HEX
Server: Apache/2.4.41 (Ubuntu)
System: Linux vm8 5.4.0-216-generic #236-Ubuntu SMP Fri Apr 11 19:53:21 UTC 2025 x86_64
User: afleverb (1000)
PHP: 7.4.33
Disabled: pcntl_alarm,pcntl_fork,pcntl_waitpid,pcntl_wait,pcntl_wifexited,pcntl_wifstopped,pcntl_wifsignaled,pcntl_wifcontinued,pcntl_wexitstatus,pcntl_wtermsig,pcntl_wstopsig,pcntl_signal,pcntl_signal_get_handler,pcntl_signal_dispatch,pcntl_get_last_error,pcntl_strerror,pcntl_sigprocmask,pcntl_sigwaitinfo,pcntl_sigtimedwait,pcntl_exec,pcntl_getpriority,pcntl_setpriority,pcntl_async_signals,pcntl_unshare,
Upload Files
File: //var/lib/apt/lists/mx.archive.ubuntu.com_ubuntu_dists_focal_universe_i18n_Translation-en
Package: 0ad
Description-md5: d943033bedada21853d2ae54a2578a7b
Description-en: Real-time strategy game of ancient warfare
 0 A.D. (pronounced "zero ey-dee") is a free, open-source, cross-platform
 real-time strategy (RTS) game of ancient warfare. In short, it is a
 historically-based war/economy game that allows players to relive or rewrite
 the history of Western civilizations, focusing on the years between 500 B.C.
 and 500 A.D. The project is highly ambitious, involving state-of-the-art 3D
 graphics, detailed artwork, sound, and a flexible and powerful custom-built
 game engine.

Package: 0ad-data
Description-md5: 26581e685027d5ae84824362a4ba59ee
Description-en: Real-time strategy game of ancient warfare (data files)
 0 A.D. (pronounced "zero ey-dee") is a free, open-source, cross-platform
 real-time strategy (RTS) game of ancient warfare. In short, it is a
 historically-based war/economy game that allows players to relive or rewrite
 the history of Western civilizations, focusing on the years between 500 B.C.
 and 500 A.D. The project is highly ambitious, involving state-of-the-art 3D
 graphics, detailed artwork, sound, and a flexible and powerful custom-built
 game engine.
 .
 This package contains the main data files required by 0 A.D.

Package: 0ad-data-common
Description-md5: 8d014b839c4c4e9b6f82c7512d7e3496
Description-en: Real-time strategy game of ancient warfare (common data files)
 0 A.D. (pronounced "zero ey-dee") is a free, open-source, cross-platform
 real-time strategy (RTS) game of ancient warfare. In short, it is a
 historically-based war/economy game that allows players to relive or rewrite
 the history of Western civilizations, focusing on the years between 500 B.C.
 and 500 A.D. The project is highly ambitious, involving state-of-the-art 3D
 graphics, detailed artwork, sound, and a flexible and powerful custom-built
 game engine.
 .
 This package contains the data files (configuration and tools) required by
 0 A.D.

Package: 0install
Description-md5: 516b2c2eefb9eca77712b715f5c0ef14
Description-en: cross-distribution packaging system
 Zero Install is a decentralised cross-distribution software installation
 system available under the LGPL. It allows software developers to publish
 programs directly from their own web-sites, while supporting features familiar
 from centralised distribution repositories such as shared libraries, automatic
 updates and digital signatures. It is intended to complement, rather than
 replace, the operating system's package management. 0install packages never
 interfere with those provided by the distribution.
 .
 This package includes the GTK GUI for 0install. If you have a headless system,
 install just the 0install-core package to avoid pulling in any GUI
 dependencies.

Package: 0install-core
Description-md5: 42858e1bbd2e448dcccd6c029ba3b0af
Description-en: cross-distribution packaging system (non-GUI parts)
 Zero Install is a decentralised cross-distribution software installation
 system available under the LGPL. It allows software developers to publish
 programs directly from their own web-sites, while supporting features familiar
 from centralised distribution repositories such as shared libraries, automatic
 updates and digital signatures. It is intended to complement, rather than
 replace, the operating system's package management. 0install packages never
 interfere with those provided by the distribution.

Package: 0xffff
Description-md5: 183c49f6505eb3432d1b069800f1f5b6
Description-en: Open Free Fiasco Firmware Flasher
 The ‘Open Free Fiasco Firmware Flasher’ aka 0xFFFF utility implements
 a free userspace handler for the NOLO bootloader and extended features
 for flashing, dumping and getting information for the Nokia Internet
 Tablets. Thus it's a free alternative to Nokia's closed source flashing
 utility called flasher.
 .
 Supported operations currently include, but are not limited to:
  * generating and unpacking FIASCO images on local device
  * editing Maemo firmware packages
  * flashing any kind image type to Maemo devices via USB
  * "cold" flashing for devices with bricked bootloaders
  * direct kernel boot without flashing it to NAND
  * changing configuration of Maemo device (R&D flags, HW revision strings, ...)
 .
 Supported are the Nokia 770, N800, N810, N810w, N900, N950 and N9.

Package: 2048-qt
Description-md5: 0f25c2ca95ceff4500fde9f651d74f2e
Description-en: mathematics based puzzle game
 2048 puzzle is mathematics based puzzle game where you have to slide
 tiles on a grid to combine them and create a tile with the number 2048.
 You have to merge the similar number tiles (2n) by moving the arrow keys
 in four different directions. When two tiles with the same number touch,
 they will merge into one.

Package: 2ping
Description-md5: 2543c220a763445976d1348c5b593743
Description-en: Ping utility to determine directional packet loss
 2ping is a bi-directional ping utility. It uses 3-way pings (akin to
 TCP SYN, SYN/ACK, ACK) and after-the-fact state comparison between a
 2ping listener and a 2ping client to determine which direction packet
 loss occurs.

Package: 2to3
Description-md5: e62c3d156d0f53d5418e0704d1e75c33
Description-en: 2to3 binary using python3
 Python, the high-level, interactive object oriented language,
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.
 .
 This package is a dependency package, which depends on Debian's default
 Python 3 2to3 version (currently v3.8).

Package: 2vcard
Description-md5: 772b42c5a35b82967966265253189059
Description-en: convert an addressbook to VCARD file format
 2vcard converts address books and alias files into the widely-used
 vCard format. Currently it can convert from abook, Eudora, Juno,
 LDIF, mutt, mh and pine.
 .
 2vcard was developed using Perl.

Package: 3270-common
Description-md5: a1fad8fe7f911b2c01164ff75d013e53
Description-en: Common files for IBM 3270 emulators and pr3287
 3270-common contains files referenced in other 3270 packages

Package: 389-ds
Description-md5: 72b0f037b5c7bab3e3a681a127817467
Description-en: 389 Directory Server suite - metapackage
 Based on the Lightweight Directory Access Protocol (LDAP), the 389
 Directory Server is designed to manage large directories of users and
 resources robustly and scalably.
 .
 This is a metapackage depending on the LDAPv3 server and a Cockpit UI plugin
 for administration.

Package: 389-ds-base
Description-md5: 9c56eb26e44476f95f66b09921fcbf22
Description-en: 389 Directory Server suite - server
 Based on the Lightweight Directory Access Protocol (LDAP), the 389
 Directory Server is designed to manage large directories of users and
 resources robustly and scalably.
 .
 Its key features include:
  * four-way multi-master replication;
  * great scalability;
  * extensive documentation;
  * Active Directory user and group synchronization;
  * secure authentication and transport;
  * support for LDAPv3;
  * graphical management console;
  * on-line, zero downtime update of schema, configuration, and
    in-tree Access Control Information.

Package: 389-ds-base-dev
Description-md5: 630be364e8b8b2a8f60ee17e872a2550
Description-en: 389 Directory Server suite - development files
 Based on the Lightweight Directory Access Protocol (LDAP), the 389
 Directory Server is designed to manage large directories of users and
 resources robustly and scalably.
 .
 This package contains development headers for the core libraries
 of the 389 Directory Server, useful for developing plugins without
 having to install the server itself.

Package: 389-ds-base-libs
Description-md5: d2ef365214ac1295f734f49594c9b579
Description-en: 389 Directory Server suite - libraries
 Based on the Lightweight Directory Access Protocol (LDAP), the 389
 Directory Server is designed to manage large directories of users and
 resources robustly and scalably.
 .
 This package contains core libraries for the 389 Directory Server.

Package: 3dchess
Description-md5: 12d01b96d7645ee302ebc29cde999e92
Description-en: Play chess across 3 boards!
 There are three boards, stacked vertically; 96 pieces of which most are
 the traditional chess pieces with just a couple of additions; 26
 possible directions in which to move. Provides a challenging enough
 game to all but the most highly skilled players.

Package: 3depict
Description-md5: 246db3da16c5305f24976464271087a5
Description-en: visualisation and analysis for single valued point data
 This program provides a graphical interface for the scientific analysis
 of real valued point data (x,y,z,value). This is primarily targeted
 towards Atom probe tomography applications, but may prove useful to
 other applications as well.

Package: 4g8
Description-md5: 08a3e421414ebaacb3294625688dc573
Description-en: Packet Capture and Interception for Switched Networks
 4G8 allows you to capture traffic from a third party in a switched
 environment at the expense of a slight increase in latency to that
 third party host. Utilizing ARP cache poisoning, packet capture and
 packet reconstruction techniques, 4G8 works with nearly all TCP, ICMP
 and UDP IPv4 traffic flows.

Package: 4pane
Description-md5: 0cbfd20b52fcad0147c132cddb630702
Description-en: four-pane detailed-list file manager
 4pane is a dual twin-pane file manager: directories and files are displayed
 in separate panes. By default two pairs of these twin-panes are displayed at
 a time, allowing easy dragging or pasting.
 .
 As well as standard file manager functions, features include support for
 nfs sshfs and samba, archive management including virtual browsing,
 multiple renaming of files, user-defined tools, a terminal emulator, and
 gui access to grep find and locate. Almost all actions, even deletions,
 can be undone and redone.
 .
 4pane aims to be highly configurable, fast and fully-featured without bloat.

Package: 4store
Description-md5: bd19d7433c49c8266d9772c0651d88a4
Description-en: RDF database storage and query engine -- database daemon
 4store is a database storage and query engine that holds RDF data.
 .
 4store's main strengths are its performance, scalability and stability.
 It does not provide many features over and above RDF storage and SPARQL
 queries, but if your are looking for a scalable, secure, fast and
 efficient RDF store, then 4store should be on your shortlist.
 .
 This package contains the database daemon and related utilities.

Package: 4ti2
Description-md5: 492cca73dfb17534ab742175067b92b6
Description-en: mathematical tool suite for problems on linear spaces -- tools
 4ti2 is a mathematical software package for algebraic, geometric
 and combinatorial problems on linear spaces.
 .
 This package provides the 4ti2 tool suite.

Package: 4ti2-doc
Description-md5: 91b1a130272354c0655306a2fe0d9ca3
Description-en: mathematical tool suite for problems on linear spaces -- user guide
 4ti2 is a mathematical software package for algebraic, geometric
 and combinatorial problems on linear spaces.
 .
 This package provides the user guide for the 4ti2 tool suite;
 it also contains examples.

Package: 64tass
Description-md5: 1ce7e76682d5e0d7bf8aaa4378da5ecb
Description-en: cross (turbo) assembler targeting the MOS 65xx series of micro processors
 Multi pass optimizing macro assembler for the 65xx series of processors.
 Key features:
  - Familiar syntax to Omicron TASS and TASM
  - Supports 6502, 65C02, R65C02, W65C02, 65CE02, 65816, DTV, 65EL02, 4510
  - Arbitrary-precision integers and bit strings, double precision floating
    point numbers
  - Character and byte strings, array arithmetic
  - Handles UTF-8, UTF-16 and 8 bit RAW encoded source files, Unicode character
    strings
  - Supports Unicode identifiers with compatibility normalization and optional
    case insensitivity
  - Built-in `linker' with section support
  - Various memory models, binary targets and text output formats (also Hex/
    S-record)
  - Assembly and label listings available for debugging or exporting
  - Conditional compilation, macros, structures, unions, scopes

Package: 6tunnel
Description-md5: 860002e3477a2706be336a14451bba8e
Description-en: TCP proxy for non-IPv6 applications
 6tunnel allows you to use services provided by IPv6 hosts
 with IPv4-only applications and vice versa. It can bind to
 any of your IPv4 or IPv6 addresses and forward all data to
 IPv4 or IPv6 host.
 .
 Tunnelling for application that don't speak IPv6. It can be
 used for example as an IPv6-capable IRC proxy in other network.

Package: 7kaa
Description-md5: 37b0a07b664e6e2e6b3370a23d7a49cb
Description-en: Seven Kingdoms Ancient Adversaries: real-time strategy game
 Seven Kingdoms, designed by Trevor Chan, brings a unique blend of
 Real-Time Strategy with the addition of trade, diplomacy, and espionage.
 .
 The game enables players to compete against up to six other kingdoms allowing
 players to conquer opponents by defeating them in war (with troops or
 machines), capturing their buildings with spies, or offering opponents money
 for their kingdom.
 .
 In 2009, Enlight Software released the game under the GPL license. 7kfans
 project is updating the game and provides a community for fans. A free Seven
 Kingdoms will help continue the legacy.

Package: 7kaa-data
Description-md5: 1a73c20d523b558c525029d969f4827f
Description-en: Seven Kingdoms Ancient Adversaries - game data
 Seven Kingdoms, designed by Trevor Chan, brings a unique blend of
 Real-Time Strategy with the addition of trade, diplomacy, and espionage.
 .
 This package contains the data files.

Package: 9base
Description-md5: 5faa0be5b1e9e3a928ae7055a1d7cbdd
Description-en: Plan 9 userland tools
 9base is a port of following original Plan 9 userland tools to Unix:
 awk, basename, bc, cat, cleanname, date, dc, echo, grep, mk, rc, sed, seq,
 sleep, sort, strings, tee, test, touch, tr, uniq, and yacc.

Package: 9menu
Description-md5: 33212022c95de2da6fad4458526c39d8
Description-en: Creates X menus from the shell
 This is a simple program that allows you to create X menus from
 the shell, where each menu item will run a command. 9menu is intended
 for use with 9wm, but can be used with any other window manager.

Package: 9mount
Description-md5: 4182335fbd3c0ecfabffdab292d174e0
Description-en: Plan 9 filesystem (v9fs) user mount utilities
 9mount is a set of SUID mounting tools for use with v9fs to help
 cope with Linux's poor mount support.
 .
 The tools offer a level of security - 9mount will only let you
 mount over non-sticky directories you have write access to, and
 9umount will only let you unmount 9p partitions that you mounted
 yourself.

Package: 9wm
Description-md5: 8688fd1950a581cab602da38d7022371
Description-en: X11 window manager inspired by Plan 9's rio
 It provides a very simple and clean user interface. It is click-to-type. It
 uses the X11 font system (which, unfortunately, means no Unicode support).
 .
 9wm does not provide virtual desktops, customization, key bindings, EWMH
 support, or compositing. It does not allocate any colors, which will be
 great news if you are stuck in 1993.
 .
 It is a great place to start if you are interested in writing a window
 manager from scratch: many folks have done exactly this.

Package: a11y-profile-manager
Description-md5: ecbac70f8ff00c7dbf5fdc46d7819613
Description-en: Accessibility Profile Manager - Command-line utility
 This package contains a command-line utility that can be used to
 work with accessibility profiles

Package: a11y-profile-manager-doc
Description-md5: 1c71821ee46c31ca86e8242f7517c26e
Description-en: Accessibility Profile Manager - (Documentation
 This package contains documentation for the at-spi2 interface.

Package: a11y-profile-manager-indicator
Description-md5: 7a7cc2271428895677f21f1f228ba926
Description-en: Accessibility Profile Manager - Unity desktop indicator
 This package contains an indicator for the Unity 7 desktop to
 facilitate the selection of accessibility profiles on the desktop.

Package: a2jmidid
Description-md5: a182a4ee1593f675a64da0a57440bb9a
Description-en: Daemon for exposing legacy ALSA MIDI in JACK MIDI systems
 Main goal of this project is to ease usage of legacy, not JACK-ified
 apps, in a JACK MIDI enabled system.
 a2jmidid is a daemon that implements automatic bridging. For every ALSA
 sequencer port you get one JACK MIDI port. If ALSA sequencer port is
 both one input and one output, you get two JACK MIDI ports, one input
 and one output.

Package: a2ps
Description-md5: 732bd45324c6fce1aa914b7b0167c68a
Description-en: GNU a2ps - 'Anything to PostScript' converter and pretty-printer
 GNU a2ps converts files into PostScript for printing or viewing. It uses a
 nice default format, usually two pages on each physical page, borders
 surrounding pages, headers with useful information (page number, printing
 date, file name or supplied header), line numbering, symbol substitution
 as well as pretty printing for a wide range of programming languages.
 .
 Historically, a2ps started as a text to PostScript converter, but thanks
 to powerful delegations it is able to let you use it for any kind of files,
 ie it can also digest manual pages, dvi files, texinfo, ....
 .
 Among the other most noticeable features of a2ps are:
  - various encodings (all the Latins and others),
  - various fonts (automatic font downloading),
  - various medias,
  - various printer interfaces,
  - various output styles,
  - various programming languages,
  - various helping applications,
  - and various spoken languages.

Package: a56
Description-md5: e2a5c0e79ee0be60f379e6e2377bc1b4
Description-en: Motorola DSP56001 assembler
 a56 is an assembler for the Motorola DSP56001 family of microcontrollers.
 .
 It is capable of compiling the firmware used in Linux' dsp56k.c driver.
 .
 Example DSP code for musical effects such as chorus, flange, and reverb
 can be found at http://www.zdomain.com/a56/src/examples/ (unfortunately
 copyright prevents distribution).

Package: a7xpg
Description-md5: e38bd4430a3538c59e6acec068b6f645
Description-en: chase action game
 The goal of the game is to collect all the gold bullions found in each level
 and avoid crashing into any of the enemies. As you progress through the
 levels you will encounter harder enemies, and you can gain a short period of
 invincibility if you gather gold at high speeds.
 .
 A7Xpg is another gem among many by Kenta Cho.

Package: a7xpg-data
Description-md5: 1ab7e6e42cf00b0a9ea4f59b9fbe4717
Description-en: chase action game - game data
 The goal of the game is to collect all the gold bullions found in each level
 and avoid crashing into any of the enemies. As you progress through the
 levels you will encounter harder enemies, and you can gain a short period of
 invincibility if you gather gold at high speeds.
 .
 This package includes the architecture-independent data for the game A7Xpg.

Package: aa3d
Description-md5: 258e8b120f0545ac1a4843f1beb1ded5
Description-en: ASCII art stereogram generator
 This program generates the well-known and popular random dot stereograms
 in ASCII art.
 .
 Features:
   * High quality ASCII art stereogram rendering
   * Highly configurable
   * User friendly command line interface (including full online help)

Package: aajm
Description-md5: 052171581e88806474f54d4c369dcee1
Description-en: ASCII art version of jugglemaster
 JuggleMaster is a siteswap animator. A siteswap is a textual representation
 for patterns one can juggle (using balls, clubs, etc.). JuggleMaster is mainly
 useful for understanding specific siteswaps by animating them (including
 multiplexing). Other uses may include watching patterns without understanding
 the notation behind them.
 .
 This package contains the ASCII art interface. It can be run as an ASCII
 screensaver and doesn't come with patterns, so you better know siteswaps.
 .
 Siteswap FAQ: http://www.juggling.org/help/siteswap/faq.html

Package: aaphoto
Description-md5: 18ea6fb428eb6d3251a8ec5e91ec82f4
Description-en: Auto Adjust Photo, automatic color correction of photos
 Auto Adjust Photo is a tiny command-line image manipulation
 tool for automatic color correction of photos. It tries to
 make the picture look better. The program does this by
 analyzing the input image and then sets the most optimal
 contrast, gamma, color balance and saturation for it.

Package: aapt
Description-md5: 975bf7a86058538d7263494591e4f7d8
Description-en: Android Asset Packaging Tool
 The Android Asset Packaging Tool (aapt) takes your application resource
 files, such as the AndroidManifest.xml file and the XML files for your
 Activities, and compiles them. An R.java is also produced so you can
 reference your resources from your Java code. This tool allows you to view,
 create, and update Zip-compatible archives (zip, jar, apk). It can also
 compile resources into binary assets.

Package: abacas
Description-md5: 2037379aa4a21c795f2b3f3ba85ac577
Description-en: close gaps in genomic alignments from short reads
 ABACAS (Algorithm Based Automatic Contiguation of Assembled Sequences)
 intends to rapidly contiguate (align, order, orientate), visualize and
 design primers to close gaps on shotgun assembled contigs based on a
 reference sequence.
 .
 ABACAS uses MUMmer to find alignment positions and identify syntenies
 of assembled contigs against the reference. The output is then processed
 to generate a pseudomolecule taking overlapping contigs and gaps in to
 account. ABACAS generates a comparison file that can be used to
 visualize ordered and oriented contigs in ACT. Synteny is represented by
 red bars where colour intensity decreases with lower values of percent
 identity between comparable blocks. Information on contigs such as the
 orientation, percent identity, coverage and overlap with other contigs
 can also be visualized by loading the outputted feature file on ACT.

Package: abcde
Description-md5: da35113f2508604b50014e0ccf6a31ac
Description-en: A Better CD Encoder
 frontend program to cdparanoia, wget, cd-discid, id3, and your
 favorite Ogg/Vorbis, MP3, FLAC, Ogg/Speex, M4A, Opus, WavPack,
 Monkey's Audio (ape), MPP/MP+(Musepack) and/or AIFF format encoder
 (defaults to oggenc). Grabs an entire CD and converts each track to
 the specified formats and then comments or tags each file, with
 one command.
 .
 With abcde you can encode several formats with one single command,
 using a single CD read operation. It also allows you to read and
 encode while not on the internet, and later query a CDDB or
 Musicbrainz server to lookup metadata and tag your files.

Package: abci
Description-md5: fbbad1826d8d6215ea6f2265c3d35f57
Description-en: Tendermint's Serverside Blockchain API
 Blockchains are a system for multi-master state machine replication.
 ABCI (Application BlockChain Interface) is an interface that defines
 the boundary between the replication engine (the blockchain), and the
 state machine (the application). By using a socket protocol, Tendermint
 enable a consensus engine running in one process to manage an
 application state running in another.
 .
 Previously, the ABCI was just referred to as TMSP.

Package: abcm2ps
Description-md5: dc3b0135560bf2cbe06ba992dbc0bb85
Description-en: Translates ABC music description files to PostScript
 This is the Debian port of the abcm2ps program by Jean-François Moine.
 The program translates tunes written in the ABC format to PostScript,
 which can then be viewed using Ghostview et al. or printed on a
 PostScript printer or through Ghostscript. It supports various
 semi-standard extensions to the ABC standard, such as multiple
 voices and staves.
 .
 This program is a vastly improved spin-off from Michael Methfessel's
 abc2ps, which is no longer supplied as part of Debian. In particular,
 it contains a lot of extensions to help typeset classical music.

Package: abcmidi
Description-md5: 698e90e5569ad6cf07f3d57abc0b5f7a
Description-en: converter from ABC to MIDI format and back
 This package contains the programs `abc2midi' and `midi2abc',  which
 convert from the abc musical notation format to standard MIDI format
 and vice-versa. They can generate accompaniment from guitar chords
 in the abc file, as well as insert various MIDI events; the
 MIDI-to-abc translation tries to figure out bars, triplets and
 accidentals on its own.
 .
 The package also contains `abc2abc' (an abc prettyprinter/transposer),
 `mftext' (a program that dumps a MIDI file as text), and `midicopy'
 (a program that extracts specific tracks, channels or time intervals
 from a MIDI file).
 .
 The package also contains Yet another ABC to PostScript converter (yaps)
 which translates tunes written in the ABC format to PostScript,
 which can then be viewed on screen or printed. It is essentially a
 (non-exclusive) alternative to abc2ps, being based on the abc2ps
 PostScript code together with the ABC parser from the abcmidi package.

Package: abe
Description-md5: b4ec019e3f9096ddfecc52da6004b162
Description-en: side-scrolling game named "Abe's Amazing Adventure"
 A scrolling, platform-jumping, key-collecting, ancient pyramid exploring game,
 vaguely in the style of similar games for the Commodore+4. The game is
 intended to show young people all the cool games they missed.

Package: abe-data
Description-md5: 567c8a00faab670558b096d2b6229f5c
Description-en: side-scrolling game named "Abe's Amazing Adventure" -- data
 A scrolling, platform-jumping, key-collecting, ancient pyramid exploring game,
 vaguely in the style of similar games for the Commodore+4. The game is
 intended to show young people all the cool games they missed.
 .
 This package contains the architecture independent data files.

Package: abgate
Description-md5: 8ed397bfde5cea8deeeec8e0c72aad99
Description-en: LV2 noise gate plugin
 abGate is a LV2 noise gate plugin in the LV2 format to manage
 noise. A noise gate is a component which attenuates an audio
 signal when it falls below a set threshold, so it can be
 applied to an audio track which has one or more periods of
 silence where no noise should be apparent.

Package: abi-compliance-checker
Description-md5: 540b577fa010ac3ef038ebd7b829f7bd
Description-en: tool to compare ABI compatibility of shared C/C++ library versions
 abi-compliance-checker can be used to help reduce the possibility of
 an application crashing when the shared libraries it links against
 have changed.  Signatures and data type definitions from two separate
 versions of a library are compared by examining the shared objects
 (.so) files themselves, and by analysing the header files (.h)
 provided for the two versions of the library and their dependencies.
 .
 Shared library developers trying to strive for binary compatibility
 between releases may also use this tool to detect if an any
 accidental application binary interface (ABI) changes have been
 introduced.
 .
 The checker may also be used for assessing binary compatibility
 between different Linux distributions.

Package: abi-dumper
Description-md5: e079a4183505a3a5176b367214e82b03
Description-en: tool to dump ABI of an ELF object containing DWARF debug info
 The tool is intended to be used with ABI Compliance Checker tool for tracking
 ABI changes of a C/C++ library or kernel module.

Package: abi-monitor
Description-md5: 4daf4cfacc9cbc30e8feb93f3176e18c
Description-en: monitor ABI of shared libraries
 abi-monitor checks for new versions of a software library, try to build them
 and create a profile for the abi-tracker tool.
 .
 This tool is intended to be used together with the ABI Tracker tool to
 visualize API/ABI changes timeline of a C/C++ library.

Package: abi-tracker
Description-md5: b3d54c45e5d805d0a1bd4b516d5110fc
Description-en: visualize ABI changes of a C/C++ library
 abi-tracker generates an ABI (Application Binary Interface) compatibility
 report for a C or C++ library. The report gets rendered to static HTML pages.
 .
 The tool is intended for developers of software libraries and Linux
 maintainers who are interested in ensuring backward binary compatibility,
 i.e. allow old applications to run with newer library versions.
 .
 abi-tracker requires an input profile for the library in JSON format,
 which holds various metadata to check ABI compatibility.
 It can be created manually or automatically generated by the abi-monitor tool.

Package: abicheck
Description-md5: a38281f070a37064c10336aabb05a83f
Description-en: binary compatibility checking tool
 ABIcheck is a tool for checking an application's compliance with a
 library's defined Application Binary Interface (ABI). It relies on
 ABI definition information contained in the library. Example
 definitions are given for GNOME and glibc.

Package: abigail-doc
Description-md5: ad34a6af2ec1314521a675bda766c4a0
Description-en: ABI Generic Analysis and Instrumentation Library (documentation)
 This is an interface to the GNU Compiler Collection for the collection
 and analysis of compiler-generated binaries.
 .
 This package contains the documentation.

Package: abigail-tools
Description-md5: e6981e21cd3ee6bb6496739dae7c4e3b
Description-en: ABI Generic Analysis and Instrumentation Library (tools)
 This is an interface to the GNU Compiler Collection for the collection
 and analysis of compiler-generated binaries.
 .
 This package contains the tools.

Package: abisip-find
Description-md5: 35c85a7533e37c8494e4c2cc5ec403e6
Description-en: Command line utility to find ip.access compatible BTS
 This package contains a command line utility for searching and finding
 BTS devices in your network.
 .
 It is part of osmo-bsc.

Package: abiword
Description-md5: 30063e6f0ad54b0bc4811f0becf40355
Description-en: efficient, featureful word processor with collaboration
 AbiWord is a full-featured, efficient word processing application.
 It is suitable for a wide variety of word processing tasks, and
 is extensible with a variety of plugins.
 .
 This package includes many of the available import/export plugins allowing
 AbiWord to interact with ODT, WordPerfect, and other formats.  It also
 includes tools plugins, offering live collaboration with AbiWord users
 on Linux and Windows (using TCP or Jabber/XMPP), web translation and
 dictionary support, and more.

Package: abiword-common
Description-md5: 168081fc8391dc5eb8f29d63bb588273
Description-en: efficient, featureful word processor with collaboration -- common files
 AbiWord is a full-featured, efficient word processing application.
 It is suitable for a wide variety of word processing tasks, and
 is extensible with a variety of plugins.
 .
 This package contains the common files that are used on all architectures
 without modification.

Package: abiword-plugin-grammar
Description-md5: 1501ee0849f0b04532649cc33398cac2
Description-en: grammar checking plugin for AbiWord
 AbiWord is a full-featured, efficient word processing application.
 It is suitable for a wide variety of word processing tasks, and
 is extensible with a variety of plugins.
 .
 This package contains an in-line grammar checking system for AbiWord
 documents. Only English is currently supported.

Package: ableton-link-dev
Description-md5: 44668d761ce8b5a618686561d8ec307d
Description-en: synchronizes musical applications on multiple devices - development
 Ableton Link, a technology that synchronizes musical beat, tempo, and phase
 across multiple applications running on one or more devices. Applications on
 devices connected to a local network discover each other automatically and form
 a musical session in which each participant can perform independently: anyone
 can start or stop while still staying in time. Anyone can change the tempo, the
 others will follow. Anyone can join or leave without disrupting the session.
 .
 Ableton Link is implemented as a header-only C++-library.
 This package contains the development files.

Package: ableton-link-utils
Description-md5: 1a38e500479d5b377a73daed2d07c5d1
Description-en: synchronizes musical applications on multiple devices - cmdline utils
 Ableton Link, a technology that synchronizes musical beat, tempo, and phase
 across multiple applications running on one or more devices. Applications on
 devices connected to a local network discover each other automatically and form
 a musical session in which each participant can perform independently: anyone
 can start or stop while still staying in time. Anyone can change the tempo, the
 others will follow. Anyone can join or leave without disrupting the session.
 .
 This package contains cmdline utilities to check synchronisation.

Package: ableton-link-utils-gui
Description-md5: 8af8938e190245247e01bd42ea08deaa
Description-en: synchronizes musical applications on multiple devices - GUI utils
 Ableton Link, a technology that synchronizes musical beat, tempo, and phase
 across multiple applications running on one or more devices. Applications on
 devices connected to a local network discover each other automatically and form
 a musical session in which each participant can perform independently: anyone
 can start or stop while still staying in time. Anyone can change the tempo, the
 others will follow. Anyone can join or leave without disrupting the session.
 .
 This package contains GUI utilities to check synchronisation.

Package: abntex
Description-md5: f24f06318565f68622fece1a9de7960d
Description-en: LaTeX class for writing documents in ABNT standard
 AbnTeX is a class for writing documents in ABNT standard. The
 Brazilian Technical Standards Association (ABNT) is responsible for
 the national standardization and certification procedures. The
 package also includes support to BibTeX in the ABNT standard.

Package: abook
Description-md5: b3df98dd5a16801ef603bb31eff45bf6
Description-en: text-based ncurses address book application
 abook is a text-based ncurses address book application. It provides many
 different fields of user info. abook is designed for use with mutt, but
 can be used independently.

Package: abootimg
Description-md5: 44be41a806690df16e9af0e9fe965a7a
Description-en: Tool to read/write/update android boot images
 Android devices use a special partition format to boot any
 operating system on the devices. These boot-images contain
 a kernel image, a ramdisk, optionally a 2nd stage boot loader
 and the commandline passed to the kernel when booting.
 The original mkbootimg from Android can only create these images
 where abootimg can also extract and modify them.
 Handling android boot images is necessary when bringing other
 operating systems to android devices.

Package: abr2gbr
Description-md5: 19edd2d5c033d3a49c4fcf4b17cbdcf2
Description-en: Converts PhotoShop brushes to GIMP
 abr2gbr is a tool for converting Adobe PhotoShop ABR and Corel Paint Shop Pro
 JBR brush files to the GIMP GBR format.

Package: abw2epub
Description-md5: fcdfd330c29e5a8627763523a6ba2b1d
Description-en: AbiWord to EPUB format converter
 This package contains a utility for converting AbiWord documents into
 EPUB documents.

Package: abw2odt
Description-md5: eb8748197979fbd3dcac57ef7fa2a19c
Description-en: AbiWord to OpenDocument converter
 This package contains a utility for converting AbiWord documents into
 OpenDocument text documents.

Package: abx
Description-md5: e969fd55e2a101d9afc1d6bb3f5df816
Description-en: audio ABX testing software
 abx is a program for performing software-based audio ABX testing on GNU/Linux
 systems. ABX test (Wikipedia, Hydrogenaudio) is a statistical test for
 assessing whether you are able to tell for audible differences between two
 samples. For example, one sample can be a compressed audio file such as OGG
 Vorbis file and another one its uncompressed variant (WAV, AU, …). You can then
 use abx to infer whether you are able to separate the two samples due to
 compression artifacts.

Package: acbuild
Description-md5: 7ec61110b07850fd6567b6a199e9657f
Description-en: utility to build and modify App Container Images
 "acbuild" is a command line utility to build and modify App Container
 Images (ACIs).

Package: accerciser
Description-md5: 7806dc55e95ea2b46c6c1541f59644ce
Description-en: interactive Python accessibility explorer for the GNOME desktop
 It uses AT-SPI to inspect and control widgets, allowing you to check if
 an application is providing correct information to assistive technologies
 and automated test frameworks. Accerciser has a simple plugin framework which
 you can use to create custom views of accessibility information.

Package: accounts-qml-module-doc
Description-md5: 122b4b6da41c90cb7a74515255f22c9c
Description-en: Online Accounts QML - documentation
 This module provides access to the Online Accounts framework from QML
 applications.
 .
 This package contains developer documentation.

Package: accountsservice-ubuntu-schemas
Description-md5: 22f0684e45225f05bf02393d800f8ab7
Description-en: AccountsService schemas for Ubuntu
 accountsservice-ubuntu-schemas contains a collection of AccountsService vendor
 extension schemas used by various components of an Ubuntu environment.

Package: accountsservice-ubuntu-touch-schemas
Description-md5: 915b14683b4ff48b3ffbe861b8d96ced
Description-en: Transitional package for accountsservice-ubuntu-schemas
 This is a transitional package for clean upgrades. You can safely remove it.

Package: accountwizard
Description-md5: 3054aee4289842adfd3e08c353313de1
Description-en: wizard for KDE PIM applications account setup
 Handles account setup of e-mail accounts for KDE PIM applications.

Package: ace
Description-md5: fcbd00026ded79e6c62f3bec35612f8f
Description-en: HTML template engine for Go (command-line tool)
 Ace is an HTML template engine for Go.  This is inspired by
 Slim (http://slim-lang.com/) and Jade (http://jade-lang.com/).
 This is a refinement of Gold (http://gold.yoss.si/).
 .
 Example:
 .
   = doctype html
   html lang=en
   head
     title Hello Ace
     = css
       h1 { color: blue; }
   body
     h1 {{.Msg}}
     #container.wrapper
       p..
         Ace is an HTML template engine for Go.
         This engine simplifies HTML coding in Go web application development.
     = javascript
       console.log('Welcome to Ace');
 .
 This package provides the /usr/bin/ace command-line tool and example files.

Package: ace-gperf
Description-md5: d2820ae34bb525c6060ea2b7be2a7746
Description-en: ACE perfect hash function generator
 ace_gperf is the ACE version of gperf.
 .
 Both ace_gperf and gperf were written by the same author, and have
 basically the same options and functionality. ace_gperf simply takes
 advantage of some of the features provided by the ACE library.

Package: ace-netsvcs
Description-md5: a0f85c6269f694a60515cd03bb0a69c6
Description-en: ACE network service implementations
 ACE network services provide reusable components for common
 distributed system tasks such as logging, naming, locking, and time
 synchronization.
 .
 This package contains driver programs and example configuration
 files to link the various ACE network services together, either
 statically or dynamically, and form complete server programs.

Package: ace-of-penguins
Description-md5: d82a08d5d97db2f18fe09492f4031054
Description-en: penguin-themed solitaire games
 The Ace of Penguins is a set of solitaire games inspired by the ones
 available for MS Windows, but with a number of enhancements.
 .
 The package consists of the games Canfield, Freecell, Golf,
 Mastermind, Merlin, Minesweeper, Pegged, Solitaire, Spider, Taipei
 (with a level editor), and Thornq.
 .
 NOTE: If you experience problems with the F1 help key, please
 make sure you have package xfonts-100dpi installed.

Package: acedb-other
Description-md5: 97be9ea18a9e2fcbdb88ae5f65465990
Description-en: retrieval of DNA or protein sequences
 This package collects all those smallish applications that acedb collects
 under its 'other' target of its Makefile.
 .
 efetch: presumably short for 'entry fetch' collects sequence information
 from common DNA and protein databases.

Package: acedb-other-belvu
Description-md5: c479610d29a79f5da47eed5b8aaa64d6
Description-en: transitional package for belvu
 This is a transitional package to ease upgrades to the new belvu
 executable now maintained in the seqtools source package.  The
 belvu executable in the acedb package was unmaintained for years
 and seqtools contains the maintained code.

Package: acedb-other-dotter
Description-md5: 3609659185d89c1d8fc343e34be04875
Description-en: transitional package for dotter
 This is a transitional package to ease upgrades to the new dotter
 executable now maintained in the seqtools source package.  The
 dotter executable in the acedb package was unmaintained for years
 and seqtools contains the maintained code.

Package: aces3
Description-md5: 72e50bf3784ea75f68ec4b2159518043
Description-en: Advanced Concepts in Electronic Structure III
 ACESIII is an electronic structure calculation program with a focus on
 correlated methods.  It is the parallel successor to ACESII, employing the
 Super Instruction Assembly Language (SIAL) as parallelization framework.
 Features include:
 .
 Energies, analytic gradients and analytic hessians for the following methods:
  * Restricted/unrestricted spin or restricted open-shell Hartree-Fock (HF)
  * Second-order Moeller-Plesset pertubation theory (MP2)
 .
 Energies and analytic gradients for the following methods:
  * Coupled cluster singles and doubles (CCSD)
 .
 Additionally, it can compute energies for the following methods:
  * Coupled cluster singles and doubles with pertubative triples (CCSD(T))
  * Quadratic configuration-interaction singles and doubles (QCISD)
 .
 Excited states can be calculated by the following methods:
  * Qadratic configuration interaction singles and doubles
  * Coupled cluster equation-of-motion (EOM-CC)
 .
 It also includes an internal coordinate geometry optimizer.  If analytic
 gradients are not available, numerical gradients via finite differences are
 used.

Package: aces3-data
Description-md5: 9dc3912cc3b2a60eaeb33bff4bfd7aa3
Description-en: Advanced Concepts in Electronic Structure III
 ACESIII is an electronic structure calculation program with a focus on
 correlated methods.  It is the parallel successor to ACESII, employing the
 Super Instruction Assembly Language (SIAL) as parallelization framework.
 .
 This package contains the documentation, the basis set definitions and the
 architecture-independent compiled SIAL files.

Package: acetoneiso
Description-md5: e08d195f1b4e97a80c19fd915b777b7f
Description-en: feature-rich application to mount and manage CD and DVD images
 AcetoneISO makes it possible to easily use various kinds of CD and
 DVD images on your computer as if they were burned to real CDs. You can use
 the application to mount and manage CD and DVD images. Supported disc-image
 formats are ISO, BIN, NRG, MDF and IMG.

Package: acfax
Description-md5: 483ca623e2e18e8a1a0bc71e40c335dd
Description-en: Receive faxes using your radio and sound card
 acfax allows you to receive faxes using your sound card.
 Typically you might use it to decode faxes sent over HF radio or
 from satellites.

Package: acheck
Description-md5: a2145f860ebbe06fd97fdf6d0a8fb78a
Description-en: Check common localisation mistakes
 acheck, any text file checker, is a tool designed to help both translators and
 reviewers checking and fixing common localisation mistakes according to file
 format.  Rules can be defined to add new checks.
 .
 If you install the Aspell Perl module as recommended, Aspell can be invoked to
 check word spelling.

Package: acheck-rules
Description-md5: 312e0176f18a51e42fb2de4e4eb3d6b3
Description-en: Basic rules for acheck
 acheck, any text file checker, is a tool designed to help both translators and
 reviewers checking and fixing common localisation mistakes according to file
 format.  Rules can be defined to add new checks.
 .
 This package provides basic rules to be checked by the acheck script.

Package: achilles
Description-md5: f24de86dfab761c7d9e320c7d10cfa24
Description-en: Artificial life and evolution simulator
 Achilles is an artificial life and evolution simulator that uses Hebbian
 neural networks and OpenGL/SDL to simulate life in a simplified environment.
 It is based on Larry Yaeger's PolyWorld.

Package: acidrip
Description-md5: 0ef9037eec15fa16cdc69b71c3383d07
Description-en: ripping and encoding DVD tool using mplayer and mencoder
 A DVD ripper and encoder, with a simple interface, based on MPlayer
 and MEncoder.
 .
 Also provides advanced features and automates the process in a number
 of ways:
  * Parses DVD into contents tree
  * Finds longest title
  * Calculates video bitrate for given filesize
  * Finds black bands and crops them
  * Gives suggestions for improved performance

Package: ack
Description-md5: e50a48f3af6e02593eb6155b28aaed6e
Description-en: grep-like program specifically for large source trees
 Ack is designed as an alternative for 99% of the uses of grep. ack is
 intelligent about the files it searches. It knows about certain file
 types, based on both the extension on the file and, in some cases, the
 contents of the file.
 .
 Ack ignores backup files and files under CVS and .svn directories. It
 also highlights matches to help you see where the match was. Ack uses
 perl regular expressions.

Package: acl2
Description-md5: 11f9e97229e3c77927e661525096d127
Description-en: Computational Logic for Applicative Common Lisp: main binary
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This package contains the base ACL2 binary.

Package: acl2-books
Description-md5: b254130a33041ec03320e5001226eff7
Description-en: Computational Logic for Applicative Common Lisp: compiled libraries
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This package contains numerous precompiled and precertified libraries
 for use in proving theorems with ACL2.  Serious users will no doubt
 want to install this package.

Package: acl2-books-certs
Description-md5: 6db199e882f251b453acf7d17c15ece3
Description-en: Computational Logic for Applicative Common Lisp: library certificates
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This file contains certification records for the various precompiled
 libraries supplied in the ACL2-books package.  ACL2 essentially
 requires that all included books be certified before use.

Package: acl2-books-source
Description-md5: 08e659cca46334f8267d3b949c74e9c4
Description-en: Computational Logic for Applicative Common Lisp: library sources
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This package contains source lisp files to the compiled libraries
 supplied in the ACL2-books package.

Package: acl2-doc
Description-md5: 5c7f73fe4a8d5c6db72b616db6a620bc
Description-en: Computational Logic for Applicative Common Lisp: documentation
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This package contains the documentation for ACL2.

Package: acl2-emacs
Description-md5: 785e47d860f1b215d53efbb4f2bef1fc
Description-en: Computational Logic for Applicative Common Lisp: emacs interface
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This package contains an emacs interface to ACL2.

Package: acl2-infix
Description-md5: 81a2aa4af877764973901ab7dc79e3c8
Description-en: Computational Logic for Applicative Common Lisp: infix interface
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This package contains utilities and libraries to access ACL2 via an
 infix notation similar to that used in many non-lisp programming
 languages.

Package: acl2-infix-source
Description-md5: 6e56cc8795675ff4708abb07184b4eef
Description-en: Computational Logic for Applicative Common Lisp: infix source
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This package contains the source files to the infix interface to
 ACL2.

Package: acl2-source
Description-md5: 24b72fcd3b9c9afee8776f2d281499a4
Description-en: Computational Logic for Applicative Common Lisp: source files
 ACL2 is both a programming language in which you can model computer
 systems and a tool to help you prove properties of those models.
 .
 This package contains the lisp source files to the main ACL2 binary.

Package: aclock.app
Description-md5: f1dce3574664657fca3749facdf6f613
Description-en: Analog dockapp clock for GNUstep
 AClock is an analog clock with display of smooth seconds. It stays in
 the dock.  The display is customizable:
 .
  * Seconds hand and its ticking rate
  * Arabic or Roman numbers, AM/PM
  * Shadows
  * Colors of the elements
  * Alarm and ringing

Package: acm
Description-md5: a62adc68efc849f5ecce53185c32107e
Description-en: Multi-player classic air combat simulator
 A multi-player aerial combat simulation. Players engage in air to air
 combat against one another using heat seeking missiles and cannons.
 .
 Main features include:
  * Simulation with 6 degrees of freedom.
  * Tricycle and bicycle landing gear simulation.
  * Structural limit to the vertical positive/negative load.
  * Standard atmosphere.
  * Weather: daylight/night, fog, wind.
  * Classic instruments, including magnetic compass, turn and slip
    indicator, airspeed indicator, attitude and bank indicator,
    altitude indicator, vertical speed indicator.
  * Navigation: HSI with RNAV calculator, ADF.
  * Head-up display (HUD) and inertial reference system.
  * Auto-pilots: hold altitude, hold climb rate, hold speed, follow VOR
    radial, follow ILS glide path, rudder/ailerons coordination.
  * Several aircraft models implemented, both civil and military.
  * Two sceneries provided: Dallas area (Texas) and Italy area with
    hundreds of runways and radio stations.
 .
 acm runs under the X window system.  This version of acm is
 implemented as a distributed simulation.  It communicates information
 via the IEEE Distributed Interactive Simulation protocol.

Package: acme
Description-md5: 3b8bfe55f1143c2db17b8974dceb5612
Description-en: Multi-platform cross assembler for 6502/6510/65816 CPU
 This is a cross assembler that can produce code for the following
 processors: 6502, 6510 (including illegal opcodes), 65c02 and 65816.
 ACME supports the standard assembler stuff like global/local/anonymous
 labels, offset assembly, conditional assembly and looping assembly.
 It can include other source files as well as binaries while assembling.
 Calculations can be done in integer or float mode. Oh, and it is fast.

Package: acme-tiny
Description-md5: 5085d7a0169e6f77012dffd350070b68
Description-en: letsencrypt tiny Python client
 acme-tiny is a tiny script to issue and renew TLS certs from Let's Encrypt
 .
 This is a tiny, auditable script that you can throw on your server to issue and
 renew Let's Encrypt certificates. Since it has to be run on your server and
 have access to your private Let's Encrypt account key, the script is kept as
 tiny as possible (currently less than 200 lines). The only prerequisites are
 Python and openssl.

Package: acmetool
Description-md5: 3e5e145ae880b97f3b6e825daf35ce32
Description-en: automatic certificate acquisition tool for Let's Encrypt
 acmetool is an easy-to-use command line tool for automatically
 acquiring TLS certificates from ACME (Automated Certificate Management
 Environment) servers such as Let's Encrypt, designed to flexibly
 integrate into your webserver setup to enable automatic verification.
 .
 acmetool is designed to work like make: you specify what certificates
 you want, and acmetool obtains certificates as necessary to satisfy
 those requirements. If the requirements are already satisfied,
 acmetool doesn't do anything when invoked. Thus, acmetool is
 ideally suited for use on a cron job; it will do nothing until
 certificates are near expiry, and then obtain new ones.
 .
 acmetool is designed to minimise the use of state and be transparent
 in the state that it does use. All state, including certificates, is
 stored in a single directory, by default /var/lib/acme. The schema
 for this directory is simple, comprehensible and documented.

Package: aconnectgui
Description-md5: 93ad27be9e68d0aed9952419652ee486
Description-en: graphical ALSA sequencer connection manager
 aconnectgui is a graphical utility to connect and disconnect two existing
 ports on ALSA sequencer system. The ports with the arbitrary subscription
 permission, such as created by aseqview, can be connected to any (MIDI) device
 ports.
 .
 aconnectgui is a frontend for aconnect, written directly on top of the
 aconnect source, leaving the original source intact, only adding a couple of
 ifdefs, and some calls to the gui part. It provides exactly the same
 functionality, but with a graphical user interface.

Package: acorn-fdisk
Description-md5: 9bb94a8ada79548177ccfe57ec6f8b3c
Description-en: partition editor for Acorn/RISC OS machines
 Acorn-fdisk allows you to edit disk partitions on Acorn machines.  It
 understands a variety of the partition tables formats used under RISC OS,
 including Filecore, ICS-IDE, EESOX and Powertec.

Package: acpi
Description-md5: db6ab4efac863dec3b47725e9bbd40ae
Description-en: displays information on ACPI devices
 Attempts to replicate the functionality of the 'old' apm command on
 ACPI systems, including battery and thermal information. Does not support
 ACPI suspending, only displays information about ACPI devices.

Package: acpi-call-dkms
Description-md5: 556ad288ffebae3f7e62898d0c7abd68
Description-en: Kernel module that enables you to call ACPI methods
 Kernel module that enables you to call ACPI methods by writing the method
 name followed by arguments to /proc/acpi/call.
 .
 It allows you to tamper with your system and should be used with caution.

Package: acpi-override-initramfs
Description-md5: 521012d2176129ead810e5697dbb8b91
Description-en: initramfs-tools hook to override ACPI tables
 Linux supports overriding ACPI tables by adding them in the very
 beginning of initrd.
 .
 This package works as an initramfs-tools hook to add ACPI tables found
 at /var/lib/acpi-override/.

Package: acpica-tools
Description-md5: 49928e241f299a9ae2e7d4a00124a5ea
Description-en: ACPICA tools for the development and debug of ACPI tables
 The ACPI Component Architecture (ACPICA) project provides an OS-independent
 reference implementation of the Advanced Configuration and Power Interface
 Specification (ACPI).  ACPICA code contains those portions of ACPI meant to
 be directly integrated into the host OS as a kernel-resident subsystem, and
 a small set of tools to assist in developing and debugging ACPI tables.
 .
 This package contains only the user-space tools needed for ACPI table
 development, not the kernel implementation of ACPI.  The following commands
 are installed:
    -- iasl: compiles ASL (ACPI Source Language) into AML (ACPI Machine
       Language), suitable for inclusion as a DSDT in system firmware.
       It also can disassemble AML, for debugging purposes.
    -- acpibin: performs basic operations on binary AML files (e.g.,
       comparison, data extraction)
    -- acpidump: write out the current contents of ACPI tables
    -- acpiexec: simulate AML execution in order to debug method definitions
    -- acpihelp: display help messages describing ASL keywords and op-codes
    -- acpinames: display complete ACPI name space from input AML
    -- acpisrc: manipulate the ACPICA source tree and format source files
       for specific environments
    -- acpixtract: extract binary ACPI tables from acpidump output (see
       also the pmtools package)

Package: acpitail
Description-md5: 9526bed1a52b5851f7cd0355f406f2c3
Description-en: Show ACPI information in a tail-like style
 acpitail shows ACPI status information about battery, fan and
 temperature in a tail-like way. As soon as a value changes, the new
 value gets appended to the current output.

Package: acpitool
Description-md5: 8bfbe99c5e1bf2100b04aac2628220b1
Description-en: command line ACPI client
 AcpiTool is a Linux ACPI client. It's a small command line application,
 intended to be a replacement for the apm tool. The primary target audience are
 laptop users, since these people are most interested in things like battery
 status, thermal status and the ability to suspend (sleep mode). The program
 simply accesses the /proc/acpi or /sysfs entries to get or set ACPI values.
 It also supports various extensions for Toshiba, Asus, and IBM Thinkpad
 laptops.

Package: acpitool-dbg
Description-md5: 01184a49d86853dcbfdd8540375870cf
Description-en: command line ACPI client (debug)
 AcpiTool is a Linux ACPI client. It's a small command line application,
 intended to be a replacement for the apm tool. The primary target audience are
 laptop users, since these people are most interested in things like battery
 status, thermal status and the ability to suspend (sleep mode). The program
 simply accesses the /proc/acpi or /sysfs entries to get or set ACPI values.
 It also supports various extensions for Toshiba, Asus, and IBM Thinkpad
 laptops.
 .
 This package contains the debugging symbols.

Package: acr
Description-md5: 67cb2ecd6d25c7b3cc9059bab8a96f72
Description-en: autoconf like tool
 ACR is an autoconf like tool that allows you to create configure scripts for
 your programs. The main aim of this tool is to teach developers how to create
 portable builds of their tools, just using generic functions wrapped by acr to
 generate portable shellscript.
 .
 Pros:
   * Easy to learn / implement.
   * Faster/smaller final configure script.
   * Own syntax, not complex m4 macros.
   * Reverse engineering tool to recover .acr files from the final configure
     script.
   * Good documentation and tutorials.
   * vim syntax highlighting for configure.acr files
     ($PREFIX/share/acr/vim/install.sh)
   * Debugging support (-d)
   * Integrated support for java, bash, perl, Tcl, c, c++, ruby and Python.
   * Recursive configure script calls.
   * Progress bar in generation stage.
 .
 Cons:
   * Not recommended for huge projects
   * Slow script generation parsing huge configuration files
   * No automake replace. (just type clean makefiles and acr substs)
   * Not enough tested (only on free operating systems (Open|Net|Free|Dfly)BSD,
     GNU systems, and Darwin).

Package: actiona
Description-md5: a258a2ae406a96178c043b4c56ac3b6d
Description-en: emulate human activity through a powerful GUI and JavaScript
 Actiona is an application that allows you to execute many actions on your
 computer such as emulating mouse clicks, key presses, showing message boxes,
 editing text files, etc. Tasks can be created using a simple editor or using
 the EcmaScript (JavaScript) programming language for more customization.
 Actiona is cross-platform.

Package: activemq
Description-md5: 791aecb1e510067b381f69430a1de7af
Description-en: Java message broker - server
 Apache ActiveMQ is a message broker built around Java Message Service (JMS)
 API : allow sending messages between two or more clients in a loosely coupled,
 reliable, and asynchronous way.
 .
 This message broker supports :
  * JMS 1.1 and J2EE 1.4 with support for transient, persistent, transactional
    and XA messaging
  * Spring Framework, CXF and Axis integration
  * pluggable transport protocols such as in-VM, TCP, SSL, NIO, UDP, multicast,
    JGroups and JXTA
  * persistence using JDBC along with journaling
  * OpenWire (cross language wire protocol) and
    Stomp (Streaming Text Orientated Messaging Protocol) protocols
 .
 This package contains a server installation of ActiveMQ.

Package: activity-log-manager
Description-md5: 7d35a32d4ba1123a4581b898008fd386
Description-en: blacklist configuration user interface for Zeitgeist
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations held with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This package contains Activity Log Manager, a graphical user interface which
 lets you control what gets logged by Zeitgeist. It supports setting up
 blacklists according to several criteria (such as application or file types),
 temporarily stopping all logging as well as deleting recent events.

Package: activity-log-manager-control-center
Description-md5: 15308e023a784b2842ee8146a3cf38fc
Description-en: blacklist configuration for Zeitgeist (transitional package)
 This is a transitional package to ease upgrades to activity-log-manager.
 It can be safely removed.

Package: ada-reference-manual-2005
Description-md5: 4250e97e282bfdec038e74b7e82ef7af
Description-en: Ada 2005 language standard
 The Ada Reference Manual is the ISO standard describing the
 programming language Ada.
 .
 This package provides the plain and annotated versions of the 2005
 ARM in HTML, PDF, info, and plain text formats.

Package: ada-reference-manual-2012
Description-md5: d1ac036b959f12e114fb9c7b4547f5a6
Description-en: Ada 2012 language standard
 The Ada Reference Manual is the ISO standard describing the
 programming language Ada.
 .
 This package provides the plain and annotated versions of the 2012
 ARM in HTML, PDF, info, and plain text formats.

Package: adabrowse
Description-md5: 0f5f203e90190f4b31fb8b8d024384a9
Description-en: HTML generator for Ada 95 library unit specifications
 AdaBrowse is a javadoc-like HTML generator for Ada 95 library unit
 specifications. It can also generate XML output; a DTD is included in
 the distribution.
 .
 The gprbuild package adds support for .gpr GNAT projects.

Package: adacontrol
Description-md5: d5090f8caa23f13ad1cc752eb256573b
Description-en: Ada rules controller
 AdaControl verifies that Ada software meets the requirements of a
 number of parameterizable rules.  It is not intended to supplement
 checks made by the compiler, but rather to search for particular
 violations of good-practice rules, or to check that some rules are
 obeyed project-wide.
 .
 This package also contains the following utilities:
 .
  * pfni: Print Full Name Image - expands any identifier to a fully-qualified
    name
  * ptree: Prints a graphic representation of an ASIS tree with corresponding
    source
  * adactl_fix: automatically fix some violations.

Package: adacontrol-doc
Description-md5: e6e16736f3d08a5019b9d9bde418f2fc
Description-en: Ada rules controller (documentation)
 AdaControl verifies that Ada software meets the requirements of a
 number of parameterizable rules.  It is not intended to supplement
 checks made by the compiler, but rather to search for particular
 violations of good-practice rules, or to check that some rules are
 obeyed project-wide.
 .
 This package contains the documentation.

Package: adanaxisgpl
Description-md5: 6132efbc8fc44ad13f97e10f7d8e676b
Description-en: Action game in four spatial dimensions
 Adanaxis is a fast-moving first person shooter set in deep space, where the
 fundamentals of space itself are changed.  By adding another dimension to
 space this game provides an environment with movement in four directions
 and six planes of rotation.  Initially the game explains the 4D control
 system via a graphical sequence, before moving on to 30 levels of gameplay
 with numerous enemy, ally, weapon and mission types.  Features include
 simulated 4D texturing, mouse and joystick control, and original music.
 Screenshots, movies and further information are available at
 http://www.mushware.com/.
 .
 Hardware-accelerated 3D is recommended, ideally with support for OpenGL
 Shading Language.

Package: adanaxisgpl-data
Description-md5: cf079fb4119672f175f51c8f3cb512d0
Description-en: Action game in four spatial dimensions
 Adanaxis is a fast-moving first person shooter set in deep space, where the
 fundamentals of space itself are changed.  By adding another dimension to
 space this game provides an environment with movement in four directions
 and six planes of rotation.  Initially the game explains the 4D control
 system via a graphical sequence, before moving on to 30 levels of gameplay
 with numerous enemy, ally, weapon and mission types.  Features include
 simulated 4D texturing, mouse and joystick control, and original music.
 Screenshots, movies and further information are available at
 http://www.mushware.com/.
 .
 Hardware-accelerated 3D is recommended, ideally with support for OpenGL
 Shading Language.
 .
 This package contains the architecture independent data files (graphics
 and fonts)

Package: adapt
Description-md5: b42bf9a52035f274e02d2f8530d8eaa4
Description-en: adapt package from one version of Ubuntu to another
 This package provides a simple, clean method to install and use
 packages, services, and binaries from one version of Ubuntu onto
 another, without requiring PPAs.
 Instead, it uses LXD and Ubuntu system containers.

Package: adapta-gtk-theme
Description-md5: f8e144b673641ced6b015eb668436b14
Description-en: Adaptive Gtk+ theme
 Adapta is an adaptive theme based on Material Design Guidelines for GNOME/GTK+
 based desktop environments.
 .
 Adapta is available in four variants:
 Adapta, Adapta-Eta, Adapta-Nokto and Adapta-Nokto-Eta.

Package: adapta-kde
Description-md5: 64855c5dcac3d83b9abe1d9667c2ddde
Description-en: Port of the popular Gtk theme Adapta for Plasma 5 desktop
 adapta-kde is a port of the popular Gtk theme Adapta for Plasma 5 desktop with
 a few additions and extras.
 .
 In this package you'll find:
 .
  * Aurorae Theme
  * Konsole Color Schemes
  * Kvantum Themes
  * Plasma Color Schemes
  * Plasma Desktop Theme
  * Plasma Look-and-Feel Settings
  * Wallpaper
  * Yakuake Skins

Package: adapterremoval
Description-md5: dedd78d99b0b56f1584fe52d5e5f7796
Description-en: rapid adapter trimming, identification, and read merging of gene sequences
 This program searches for and removes remnant adapter sequences from High-
 Throughput Sequencing (HTS) data and (optionally) trims low quality
 bases from the 3' end of reads following adapter removal. AdapterRemoval
 can analyze both single end and paired end data, and can be used to
 merge overlapping paired-ended reads into (longer) consensus sequences.
 Additionally, the AdapterRemoval may be used to recover a consensus
 adapter sequence for paired-ended data, for which this information is
 not available.

Package: adapterremoval-examples
Description-md5: c376d3f140540d9424753dee5a4d0ec8
Description-en: rapid adapter trimming, identification, and read merging (example data)
 This program searches for and removes remnant adapter sequences from High-
 Throughput Sequencing (HTS) data and (optionally) trims low quality
 bases from the 3' end of reads following adapter removal. AdapterRemoval
 can analyze both single end and paired end data, and can be used to
 merge overlapping paired-ended reads into (longer) consensus sequences.
 Additionally, the AdapterRemoval may be used to recover a consensus
 adapter sequence for paired-ended data, for which this information is
 not available.
 .
 This package provides the example data.

Package: adb
Description-md5: 4fb58b8688addfd94d32fe9a20c9d2e8
Description-en: Android Debug Bridge
 A versatile command line tool that lets you communicate with an emulator
 instance or connected Android-powered device.
 .
 This package recommends "android-sdk-platform-tools-common" which contains
 the udev rules for Android devices. Without this package, adb and fastboot need
 to be running with root permission.

Package: adcli
Description-md5: 3f005076e7a98a598f65545cbab3b448
Description-en: Tool for performing actions on an Active Directory domain
 This tool allows the administrator to join the local machine to an Active
 Directory (AD) domain. It's taking care of creating the computer account on the
 domain and adjusting the kerberos (keytab) configuration.
 .
 It also allows the domain administrator to manage the users or the groups and
 the computer accounts in Active Directory (AD) domains.

Package: add-apt-key
Description-md5: 2e36413f0fc02b5e59911952d05f29ec
Description-en: Command line tool to add GPG keys to the APT keyring
 The command add-apt-key provides a convenient command line interface
 which will add a new GPG key to your APT keyring.
 .
 Those who are more graphically inclined may prefer the package
 gui-apt-key.

Package: addresses-goodies-for-gnustep
Description-md5: 2bf16a9188fa9fdd2eb7e5023deca43d
Description-en: Personal Address Manager for GNUstep (Goodies)
 This package contains a couple of things that might be of use:
  adgnumailconverter
   A tool that will merge your GNUMail address book into the Addresses
   database.
 .
  adserver
   A stand-alone Addresses network server.
 .
  adtool
   A command-line tool for address database manipulation.

Package: addressmanager.app
Description-md5: 28774e21eb4f43c64a682e22a3195b03
Description-en: Personal Address Manager for GNUstep
 This package constitutes a personal address manager for the GNUstep
 software system. It allows archiving complete personal contact
 information, organizing contacts in groups, integration with other
 software such as mail clients and sharing address information with
 other users over the network.

Package: adequate
Description-md5: e11f9f8f0374eb3c8ffb68336482ec5d
Description-en: Debian package quality testing tool
 adequate checks packages installed on the system and reports bugs and policy
 violations.
 .
 The following checks are currently implemented:
  * broken symlinks;
  * missing copyright file;
  * obsolete conffiles;
  * Python modules not byte-compiled;
  * /bin and /sbin binaries requiring /usr/lib libraries;
  * missing libraries, undefined symbols, symbol size mismatches;
  * license conflicts;
  * program name collisions;
  * missing alternatives;
  * missing binfmt interpreters and detectors;
  * missing pkg-config dependencies.

Package: adium-theme-ubuntu
Description-md5: 314da14682c298664cc6118ca7defcf7
Description-en: Adium message style for Ubuntu
 Adium message style for Ubuntu, to be used in an instant messenger that
 supports Adium message styles, such as Empathy.

Package: adjtimex
Description-md5: 1b80fcb84c71e0b80763c87170b3227b
Description-en: kernel time variables configuration utility
 This package provides a utility to manipulate the kernel time
 variables.  For a machine connected to the Internet, or equipped with
 a precision oscillator or radio clock, the best way to keep the
 system clock accurate is using NTP (Network Time Protocol).  However,
 for a standalone or intermittently connected machine, you may use
 adjtimex instead to at least correct for systematic drift.  It can
 optionally adjust the system clock using the CMOS clock as a
 reference, and can log times for long-term estimation of drift rates.

Package: admesh
Description-md5: 618cfc32b0d16e74aea7a47ac42a2ec2
Description-en: Tool for processing triangulated solid meshes. Binary
 Currently, ADMesh only reads the STL file
 format that is used for rapid prototyping applications,
 although it can write STL, VRML, OFF, and DXF files.
 Some features of admesh are: Fill holes in the mesh
 by adding facets. Repair facets by connecting
 nearby facets. Repair normal directions
 (i.e. facets should be CCW) Remove degenerate
 facets (i.e. facets with 2 or more vertices equal)

Package: adminer
Description-md5: d71217c0cebda0e847dbf809dc3cf035
Description-en: Web-based database administration tool
 Adminer (formerly phpMinAdmin) is a full-featured database management tool
 written in PHP. Conversely to phpMyAdmin, it is a light weight application
 with these priorities in order: security, user experience, performance,
 feature set and size.

Package: adms
Description-md5: 8f9db430be778b8114776101e3401067
Description-en: Automatic device model synthesizer for Verilog-AMS
 ADMS is a code generator that converts electrical compact device models
 specified in high-level description language into
 ready-to-compile c code for the API of spice simulators.  Based on
 transformations specified in xml language adms transforms Verilog-AMS
 code into other target languages.

Package: adns-tools
Description-md5: 2c567a92eb55676cb0771def0af49103
Description-en: Asynchronous-capable DNS client utilities
 adns is a DNS resolver.  In contrast with many existing interfaces,
 gethostbyname et al and libresolv, it can be used in an asynchronous,
 non-blocking manner.  Many queries can be handled simultaneously.
 .
 This package contains useful utilities for IP address resolving,
 fast bulk logfile processing, and testing.

Package: adonthell
Description-md5: f315195fa040e0f72c53e8a3c2cee9c4
Description-en: 2D graphical roleplaying game
 A 2D graphical RPG game inspired by good old console RPGs like the
 ones on the SNES.
 .
 This package contains the Adonthell engine. You'll also need a game
 to be able to play. For this release, the official game is Waste's
 Edge, found in the package adonthell-data.

Package: adonthell-data
Description-md5: 6395bd0065d50a163898515ebb87388e
Description-en: Data files needed by Adonthell
 This is the official game for Adonthell 0.3
 .
 As a loyal servant of the elven Lady Silverhair, you arrive at the remote
 trading post of Waste's Edge, where she is engaged in negotiations with the
 dwarfish merchant Bjarn Fingolson. But not all is well at Waste's Edge, and
 soon you are confronted with circumstances that are about to destroy your
 mistress' high reputation. And you are the only one to avert this ...

Package: adplay
Description-md5: ca1ace79ff4381b414ffeb4fd4683073
Description-en: console-based OPL2 audio player
 AdPlay is AdPlug's console-based frontend. AdPlug is a free, universal OPL2
 audio playback library. AdPlay/UNIX supports the full range of AdPlug's file
 format playback features. Despite this, at the moment, only emulated OPL2
 output is supported by AdPlay, but this on a wide range of output devices.

Package: adplug-utils
Description-md5: 33daa2052c67f06329277d2f24c46fd1
Description-en: free AdLib sound library (utils)
 AdPlug is a free, cross-platform, hardware independent AdLib sound player
 library, mainly written in C++ and released under the LGPL. AdPlug plays
 sound data, originally created for the AdLib (OPL2) and Sound Blaster
 (Dual OPL2/OPL3) audio boards, directly from its original format on top
 of an emulator or by using the real hardware. No OPL chip is required
 for playback.
 .
 This package contains additional utilities.

Package: adql-java
Description-md5: beb5b50d5927bef110b663a308cc31b0
Description-en: Parse, manipulate and translate ADQL queries with Java
 ADQL is a SQL-like language which includes astronomical facilities to
 query a database. This language has been defined by the IVOA in the
 Recommendation of 30 Oct 2008 (Version 2.0) and is mainly used in the
 Table Access Protocol (TAP).
 .
 Functionalities of the package:
 .
  * Parse: read ADQL queries in text and transform them into a Java object
   (actually, a syntactic tree).
  * Manipulate: the generated object can be manipulated so than modifying the
   original query.
  * Translate: an interface and some implementations lets translating SQL
   into other languages like SQL.

Package: adql-java-doc
Description-md5: 3a62dc071ba7cd41f142decf45261abf
Description-en: Parse, manipulate and translate ADQL queries (API doc)
 ADQL is a SQL-like language which includes astronomical facilities to
 query a database. This language has been defined by the IVOA in the
 Recommendation of 30 Oct 2008 (Version 2.0) and is mainly used in the
 Table Access Protocol (TAP).
 .
 This package contains the JavaDoc documentation of the package.

Package: adun-core
Description-md5: 342c93ca6222192992707fbc49114d4c
Description-en: Molecular Simulator
 Adun is a biomolecular simulator that also includes data management and
 analysis capabilities.  It was developed at the Computational Biophysics
 and Biochemistry Laboratory, a part of the Research Unit on Biomedical
 Informatics of the UPF.
 .
 This package contains the AdunCore program and the Adun server.  If
 you want the graphical UI frontend, install the adun.app package.

Package: adun.app
Description-md5: 78adc89c967b1398bd4104cd61c7f06c
Description-en: Molecular Simulator for GNUstep (GUI)
 Adun is a biomolecular simulator that also includes data management and
 analysis capabilities.  It was developed at the Computational Biophysics
 and Biochemistry Laboratory, a part of the Research Unit on Biomedical
 Informatics of the UPF.
 .
 This package contains UL, the Adun GUI frontend.

Package: adv-17v35x-dkms
Description-md5: 09654a081b8d632c011451c4264221cb
Description-en: dkms driver sources for Advantech PCI/PCIe ACOM Series adapters
 This serial driver provides kernel support for the following multiport
 Advantech boards (using EXAR chip):
   PCIE-1602
   PCIE-1604
   PCIE-1610
   PCIE-1612
   PCIE-1620
   PCIE-1622
   PCM-3612I
   PCI-1602
   PCI-1604
   PCI-1610
   PCI-1612
   PCI-1620
   PCI-1622
 The package is aimed to provide DKMS support for this driver.

Package: advi
Description-md5: 28ba481a238ab997c6af1b3efc24e7d7
Description-en: active DVI previewer and presenter
 Active-DVI is a DVI previewer and presenter written in Objective Caml
 with some eye candy effects for presentation, support for interactive
 demonstrations, and embedding of arbitrary applications within the
 presentation (hence the Active adjective of the presenter :).
 .
 Active-DVI does not (yet) support postscript fonts (see the README.Debian for
 more details).
 .
 Active-DVI also has the ability to run embedded commands, which may cause
 security problems when viewing untrusted DVI files. Make sure to read the
 README.Debian for more information.

Package: advi-examples
Description-md5: ae407edd46fb02e622b3d1ce1f60b8df
Description-en: example presentations for Active-DVI (advi)
 Active-DVI is a DVI previewer and presenter written in Objective Caml
 with some eye candy effects for presentation, support for interactive
 demonstrations, and embedding of arbitrary applications within the
 presentation (hence the Active adjective of the presenter :).
 .
 This package contains example presentations for Active-DVI.

Package: adwaita-icon-theme-full
Description-md5: c90f325f67c5f4eab28bd6fcf1823c0a
Description-en: default icon theme of GNOME
 This package contains the default icon theme used by the GNOME desktop.
 The icons are used in many of the official GNOME applications like eog,
 Evince, system monitor, and many more.

Package: adwaita-qt
Description-md5: 2dcac42ee1ee4eeaecbdef44bb880176
Description-en: Qt 5 port of GNOME’s Adwaita theme
 Adwaita-Qt provides a port of Adwaita theme, which is the default style
 of GNOME, to Qt 5.
 .
 To use it, start your Qt applications with “-style Adwaita” parameter,
 or export QT_STYLE_OVERRIDE=Adwaita environment variable.
 For the dark version, use Adwaita-Dark instead of Adwaita.

Package: aegean
Description-md5: 2efce1184b400907195563de7b546560
Description-en: integrated genome analysis toolkit
 The AEGeAn Toolkit is designed for the Analysis and Evaluation of Genome
 Annotations. The toolkit includes a variety of analysis programs, e.g. for
 comparing distinct sets of gene structure annotations (ParsEval), computation
 of gene loci (LocusPocus) and more.

Package: aegisub
Description-md5: ac7c657c68b22f27b1565e35b32050af
Description-en: advanced subtitle editor
 Originally created as tool to make typesetting, particularly in anime
 fansubs, a less painful experience, Aegisub has grown into a fully
 fledged, highly customizable subtitle editor.
 .
 It features a lot of convenient tools to help you with timing, typesetting,
 editing and translating subtitles, as well as a powerful scripting environment
 called Automation (originally mostly intended for creating karaoke effects,
 Automation can now be used much else, including creating macros and various
 other convenient tools).

Package: aegisub-l10n
Description-md5: cd76a82e2acffa015599832e88446412
Description-en: aegisub language packages
 Originally created as tool to make typesetting, particularly in anime
 fansubs, a less painful experience, Aegisub has grown into a fully
 fledged, highly customizable subtitle editor.
 .
 It features a lot of convenient tools to help you with timing, typesetting,
 editing and translating subtitles, as well as a powerful scripting environment
 called Automation (originally mostly intended for creating karaoke effects,
 Automation can now be used much else, including creating macros and various
 other convenient tools).
 .
 This package contains language packages for the following languages:
 ca, cs, da, de, el, es, fa, fi, fr, hu, id, it, ja, ko, pl, pt_BR, pt_PT, ru,
 sr_RS, vi, zh_CN, zh_TW

Package: aeolus
Description-md5: c32beaee8e242fa55dd30dc6f5577387
Description-en: Synthesised pipe organ emulator
 Aeolus is a synthesised (i.e. not sampled) pipe organ emulator that
 should be good enough to make an organist enjoy playing it. It is a
 software synthesiser optimised for this job, with possibly hundreds
 of controls for each stop, that enable the user to "voice" his
 instrument.
 .
 Main features of the default instrument: three manuals and one pedal,
 five different temperaments, variable tuning, MIDI control of course,
 stereo, surround or Ambisonics output, flexible audio controls
 including a large church reverb.
 .
 Aeolus is not very CPU-hungry, and should run without problems on a
 e.g. a 1GHz, 256Mb machine.

Package: aephea
Description-md5: 2bec5eccdfb1fead541764a4fc088a53
Description-en: text-based authoring tool for HTML
 Aephea is an HTML authoring framework.  It enforces HTML well-formedness
 with a simpler and stricter syntax, provides useful extensions and
 abstractions as well as facilities for adding new ones, all in a single
 unified approach that stays close to HTML itself.  Some of Aephea's
 characteristics are a TeX-like syntax, dictionary stacks, iteration and a
 focus on styling via CSS.
 .
 PUD (Portable Unix Documentation) is shipped with Aephea.  It provides
 mini-languages for authoring Unix manual pages and FAQ documents with output
 both in HTML and troff.
 .
 Both Aephea and PUD are written in zoem, a high-level macro/programming
 language with character filtering capabilities.
 .
 If you'd like to generate manpages, but don't like troff syntax, and find
 Perl's POD too limited, Aephea's PUD is likely useful for you. If you like
 your documents to be available in both PDF and HTML, but find DocBook XML
 too heavyweight (and aren't really happy with Docbook XML's baroque default
 tagnames), you'll like PUD.

Package: aesfix
Description-md5: e19d002714d08e62fc2219e297f59ca6
Description-en: tool for correcting bit errors in an AES key schedule
 This program illustrates a technique for correcting bit errors in an AES
 key schedule. It should be used with the output of the aeskeyfind program.
 .
 It is limited to AES-128 key schedules, and it can only correct unidirectional
 1->0 bit errors.  For the most part it has been optimized for readability
 rather than performance.
 .
 This package is useful to several activities, as forensics investigations.

Package: aeskeyfind
Description-md5: 07a7a3e516ece906a38c6b6eb0efb1c7
Description-en: tool for locating AES keys in a captured memory image
 This program illustrates automatic techniques for locating 128-bit and
 256-bit AES keys in a captured memory image.
 .
 The program uses various algorithms and also performs a simple entropy
 test to filter out blocks that are not keys.  It counts the number of
 repeated bytes and skips blocks that have too many repeats.
 .
 This method works even if several bits of the key schedule have been
 corrupted due to memory decay.
 .
 This package is useful to several activities, as forensics investigations.

Package: aeskulap
Description-md5: c2ac231b4aa1fc34ad6b0ade8acf175b
Description-en: medical image viewer and DICOM network client
 Aeskulap is able to load a series of special images stored in the DICOM
 format for review. Additionally it is able to query and fetch DICOM
 images from archive nodes (also called PACS) over the network.  Aeskulap
 tries to achieve a full open source replacement for  commercially
 available DICOM viewers.

Package: aeson-pretty
Description-md5: b9279c14899612a43ca25c99cf538f42
Description-en: JSON pretty-printing tool
 The command-line tool reads JSON from stdin and writes prettified
 JSON to stdout. It also offers a complementary compact-mode,
 essentially the opposite of pretty-printing.
 .
 This is built on the aeson-pretty library; see also
 libghc-aeson-pretty-dev.

Package: aespipe
Description-md5: 2ddca24ac9f8c8110258c420a625301a
Description-en: AES-encryption tool with loop-AES support
 aespipe is an encryption tool that reads from standard input and
 writes to standard output. It uses the AES (Rijndael) cipher.
 .
 aespipe can be used for non-destructive in-place encryption
 of existing disk partitions for use with the loop-AES encrypted
 loopback kernel module.
 .
 It can also be used as an encryption filter to create and restore
 encrypted tar/cpio backup archives and to read/write and convert
 loop-AES compatible encrypted images.
 .
 Note that aespipe does not store any length information with the
 encrypted images, so it cannot be used as general purpose filter
 for encryption, but only for certain formats like tar.

Package: aevol
Description-md5: c506f0e4b59e40f15c941d3aed497d29
Description-en: digital genetics model to run Evolution Experiments in silico
 Aevol is a digital genetics model: populations of digital organisms are
 subjected to a process of selection and variation, which creates a
 Darwinian dynamics.
 .
 By modifying the characteristics of selection (e.g. population size,
 type of environment, environmental variations) or variation (e.g.
 mutation rates, chromosomal rearrangement rates, types of
 rearrangements, horizontal transfer), one can study experimentally the
 impact of these parameters on the structure of the evolved organisms.
 In particular, since Aevol integrates a precise and realistic model of
 the genome, it allows for the study of structural variations of the
 genome (e.g. number of genes, synteny, proportion of coding sequences).
 .
 The simulation platform comes along with a set of tools for analysing
 phylogenies and measuring many characteristics of the organisms and
 populations along evolution.

Package: aewan
Description-md5: f35d5e6a27e200b26631a11dd8be37e5
Description-en: ASCII-art Editor Without A Name
 aewan is an ASCII art editor with support for multiple layers that can be
 edited individually, colors, rectangular copy and paste, and intelligent
 horizontal and vertical flipping (converts '\' to '/', etc). It produces
 both stand-alone art files and an easy-to-parse format for integration
 into your terminal applications.

Package: aewm
Description-md5: 6344fca2a8f38283d06980eb574a7188
Description-en: minimalist window manager for X11
 aewm is a minimalist window manager for X11. It has no nifty
 features, but is light on resources and extremely simple in
 appearance. It should eventually make a good reference implementation
 of the ICCCM. A few separate programs are included to handle running
 programs, switching between windows, etc.

Package: aewm++
Description-md5: e2bb4e9897a1c23acaba6dc3b6d9a86a
Description-en: minimal window manager written in C++
 aewm++ is a minimal window manager for X11, based on aewm. It keeps aewm's
 minimalist look and feel but is written in C++, and adds some modern features
 like virtual desktops. GNOME EWMH hints have been removed in this release,
 because upstream wants to focus on making the code stable and minimalistic.
 .
 This package used to include appbar, aewm++_fspanel, setrootimage and
 aewm++_xsession; these can now be found in the aewm++-goodies package.

Package: aewm++-goodies
Description-md5: 251b82684d48b221daf1037633230679
Description-en: utilities to complement a minimal window manager
 These utilities were previously supplied with aewm++; they are intended
 to provide some of the typical desktop functionality that aewm++ itself
 does not include. This package provides:
  * aewm++_appbar: a small application launcher
  * aewm++_fspanel: a very small panel
  * aewm++_setrootimage: draws a gradient on the X root window
  * aewm++_xsession: keeps an X session alive
 Note that some of these were named differently in previous releases.

Package: afew
Description-md5: bfdf461dc8c4c596bae3ef4ddd0ca48f
Description-en: Tagging script for notmuch mail
 The basic task of afew is to provide automatic tagging each time new
 mail is registered with notmuch. In a classic setup, you might call it
 after 'notmuch new' in an offlineimap post sync hook.
 .
 In addition to more elementary features such as adding tags based on
 email headers or maildir folders, handling killed threads and spam, it
 can do some heavy magic in order to /learn/ how to initially tag your
 mails based on their content.
 .
 In move mode, afew will move mails between maildir folders according to
 configurable rules that can contain arbitrary notmuch queries to match
 against any searchable attributes.

Package: affiche.app
Description-md5: f732431a6a3d375f257bb21a33fdce7f
Description-en: Application to "stick" little notes on the desktop
 This is a little application that allows people to "stick" little
 notes on their computer desktop. It was made for the GNUstep
 environment.

Package: afflib-tools
Description-md5: 6b167772266146c1aaf839af338e295b
Description-en: Advanced Forensics Format Library (utilities)
 The Advanced Forensic Format (AFF) is on-disk format for storing
 computer forensic information. Critical features of AFF include:
 .
  - AFF allows you to store both computer forensic data and associated
    metadata in one or more files.
  - AFF allows files to be digital signed, to provide for
    chain-of-custody and long-term file integrity.
  - AFF allows for forensic disk images to stored encrypted and
    decrypted on-the-fly for processing. This allows disk images
    containing privacy sensitive material to be stored on the Internet.
 .
 This package provides the AFF Toolkit, that is a set of programs for
 working with computer forensic information. Using these tools you can:
  * Interconvert disk images between a variety of formats, including:
      - raw or "dd";
      - splitraw (in which a single image is split between multiple files);
      - AFF format (in which the entire disk image is stored in a single
        file);
      - AFD format (in which a disk image is stored in multiple AFF files
        stored in a single directory);
      - AFM format (in which an AFF file is used to annotate a raw file).
  * Compare disk images and report the data or metadata that is different.
  * Copy disk images from one location to another, with full verification
    of data, metadata, and the automatic generation of a chain-of-custody
    segment.
  * Find errors in an AFF file and fix them.
  * Print information about a file.
  * Print detailed statistics about a file
  * Generate a XML representation of a disk image's metadata (for example,
    acquisition time or the serial number of the acquisition device).
  * Produce a XML "diskprint" which allows a disk image to be rapidly
    fingerprinted without having the computer the SHA1 of the entire
    disk.
 .
 The AFF Toolkit provides these executables: affcat, affcompare, affconvert,
 affcopy, affcrypto, affdiskprint, affinfo, affix, affrecover, affsegment,
 affsign, affstats, affuse, affverify and affxml.

Package: afl++
Description-md5: 258e9e72ae94affa8a064cea0394460b
Description-en: instrumentation-driven fuzzer for binary formats
 American fuzzy lop is a fuzzer that employs compile-time instrumentation and
 genetic algorithms to automatically discover clean, interesting test cases
 that trigger new internal states in the targeted binary. This substantially
 improves the functional coverage for the fuzzed code. The compact synthesized
 corpora produced by the tool are also useful for seeding other, more labor- or
 resource-intensive testing regimes down the road.
 .
 afl++-fuzz is designed to be practical: it has modest performance
 overhead, uses a variety of highly effective fuzzing strategies, requires
 essentially no configuration, and seamlessly handles complex, real-world use
 cases - say, common image parsing or file compression libraries.
 .
 This package is a fork of the afl package that is no longer maintained.

Package: afl++-clang
Description-md5: c88794a8d94798de5faffa133fa6b9d3
Description-en: instrumentation-driven fuzzer for binary formats - clang support
 American fuzzy lop is a fuzzer that employs compile-time instrumentation and
 genetic algorithms to automatically discover clean, interesting test cases
 that trigger new internal states in the targeted binary.
 .
 This package provides support for the clang compiler.

Package: afl++-doc
Description-md5: e28faca40fdb5d878c9f725ad154b396
Description-en: instrumentation-driven fuzzer for binary formats - documentation
 American fuzzy lop is a fuzzer that employs compile-time instrumentation and
 genetic algorithms to automatically discover clean, interesting test cases
 that trigger new internal states in the targeted binary.
 .
 This package provides the documentation, a collection of special crafted test
 cases, vulnerability samples and experimental stuff.

Package: afnix
Description-md5: 4fa7732d8fdce86e3dbebc4c386022a0
Description-en: Compiler and run-time for the AFNIX programming language
 AFNIX is a multi-threaded functional programming language with
 dynamic symbol bindings that support the object oriented paradigm.
 The language features a state of the art runtime engine. The
 distribution is available with several clients and a rich set
 of modules that are designed to be platform independent.

Package: afnix-doc
Description-md5: 94e8f76cac01cc81fb148a361eb7998b
Description-en: Compiler and run-time for the AFNIX programming language (documentation)
 AFNIX is a multi-threaded functional programming language with
 dynamic symbol bindings that support the object oriented paradigm.
 The language features a state of the art runtime engine. The
 distribution is available with several clients and a rich set
 of modules that are designed to be platform independent.
 .
 This package contains the documentation for AFNIX.

Package: aft
Description-md5: ff4835b187300146cdcd26e4be8dd4d7
Description-en: "free form" document preparation system
 AFT is a document preparation system. It is mostly free form meaning that there
 is little intrusive markup. AFT source documents look a lot like plain old
 ASCII text.
 .
 AFT has a few rules for structuring your document and these rules have more to
 do with formatting your text rather than embedding commands.
 .
 Right now, AFT produces pretty good (weblint-able) HTML, XHTML, LaTeX, lout and
 RTF. It can, in fact, be coerced into producing all types of output (e.g.
 roll-your-own XML). All that needs to be done is to edit a rule file. You can
 even customize your own HTML rule files for specialized output.

Package: aften
Description-md5: c43d25867dae1515337595088e5e6907
Description-en: audio AC3 encoder
 Aften is an audio encoder which generates compressed audio streams based on
 ATSC A/52 specification. This type of audio is also known as AC-3 or Dolby®
 Digital and is one of the audio codecs used in DVD-Video content.

Package: afterstep
Description-md5: d34d45817d3b122e341c7841a63b70c5
Description-en: window manager with the NEXTSTEP look and feel
 AfterStep is a window manager based on FVWM which attempts to emulate
 the NEXTSTEP look and feel, while retaining the configurability of its
 predecessor.  Note that this window manager makes extensive use of the
 color palette.  If you have only an 8-bit color display, it will run
 as packaged, but you may want to modify the configuration to use fewer
 colors.
 .
 AfterStep is a continuation of the BowMan window manager project, but
 the name was changed to reflect the desire that it do more than
 simply emulate and become a valuable window manager in its own right.

Package: afterstep-data
Description-md5: 3697b2f757ada549aeb0717a85629d58
Description-en: data files for AfterStep window manager
 AfterStep is a window manager based on FVWM which attempts to emulate
 the NEXTSTEP look and feel, while retaining the configurability of its
 predecessor.  Note that this window manager makes extensive use of the
 color palette.  If you have only an 8-bit color display, it will run
 as packaged, but you may want to modify the configuration to use fewer
 colors.
 .
 The package contains data and configuration files needed by AfterStep.

Package: afuse
Description-md5: 602bf8a6925e536531c3f47c66ff31b9
Description-en: automounting file system implemented in user-space using FUSE
 Afuse is a FUSE based filesystem which implements filesystem automounting
 functionality similar to Linux's autofs.

Package: agda
Description-md5: 65333dc4f3e4d00a90419d96d9785d24
Description-en: dependently typed functional programming language
 Agda is a dependently typed functional programming language: It has inductive
 families, which are like Haskell's GADTs, but they can be indexed by values and
 not just types. It also has parameterised modules, mixfix operators, Unicode
 characters, and an interactive Emacs interface (the type checker can assist in
 the development of your code).
 .
 Agda is also a proof assistant: It is an interactive system for writing and
 checking proofs. Agda is based on intuitionistic type theory, a foundational
 system for constructive mathematics developed by the Swedish logician Per
 Martin-Löf. It has many similarities with other proof assistants based on
 dependent types, such as Coq, Epigram and NuPRL.
 .
 This is a meta package which provides Agda's emacs mode, executable, standard
 library and its documentation.

Package: agda-bin
Description-md5: f8e26d204d9ccc3a3cfdad460ae35595
Description-en: commandline interface to Agda
 Agda is a dependently typed functional programming language: It has inductive
 families, which are like Haskell's GADTs, but they can be indexed by values and
 not just types. It also has parameterised modules, mixfix operators, Unicode
 characters, and an interactive Emacs interface (the type checker can assist in
 the development of your code).
 .
 Agda is also a proof assistant: It is an interactive system for writing and
 checking proofs. Agda is based on intuitionistic type theory, a foundational
 system for constructive mathematics developed by the Swedish logician Per
 Martin-Löf. It has many similarities with other proof assistants based on
 dependent types, such as Coq, Epigram and NuPRL.
 .
 This package provides a command-line program for type-checking and compiling
 Agda programs. The program can also generate hyperlinked, highlighted HTML
 files from Agda sources.

Package: agda-mode
Description-md5: b4ab993ba79d4001f6691d6c30eef4fa
Description-en: transitional dummy package for elpa-agda2-mode
 agda-mode has been ELPAfied.  See the elpa-agda2-mode package.  This
 transitional package is safe to remove.

Package: agda-stdlib
Description-md5: c45346ed5a4053d9d174e9325e0d533b
Description-en: standard library for Agda
 Agda is a dependently typed functional programming language: It has inductive
 families, which are like Haskell's GADTs, but they can be indexed by values and
 not just types. It also has parameterised modules, mixfix operators, Unicode
 characters, and an interactive Emacs interface (the type checker can assist in
 the development of your code).
 .
 Agda is also a proof assistant: It is an interactive system for writing and
 checking proofs. Agda is based on intuitionistic type theory, a foundational
 system for constructive mathematics developed by the Swedish logician Per
 Martin-Löf. It has many similarities with other proof assistants based on
 dependent types, such as Coq, Epigram and NuPRL.
 .
 The Agda standard library contains modules for many common data structures and
 proof patterns. Modules provided include:
  - Algebra: Specifying and reasoning about abstract algebraic structures
  - Category: Using idioms from category theory to structure functional programs
  - Coinduction: Support for programming coindutively
  - Data: Data types and properties about data types
  - Foreign: Relating to the foreign function interface
  - Induction: A general framework for induction
  - IO: Input/output related functions
  - Level: Universe levels
  - Relations: Properties of and proofs about relations
  - Size: Sizes used by the sized types mechanism
 .
 This package contains the complete library.

Package: agda-stdlib-doc
Description-md5: fd3b8a8baa471f456e06046d5280b6e7
Description-en: standard library for Agda — documentation
 Agda is a dependently typed functional programming language: It has inductive
 families, which are like Haskell's GADTs, but they can be indexed by values and
 not just types. It also has parameterised modules, mixfix operators, Unicode
 characters, and an interactive Emacs interface (the type checker can assist in
 the development of your code).
 .
 Agda is also a proof assistant: It is an interactive system for writing and
 checking proofs. Agda is based on intuitionistic type theory, a foundational
 system for constructive mathematics developed by the Swedish logician Per
 Martin-Löf. It has many similarities with other proof assistants based on
 dependent types, such as Coq, Epigram and NuPRL.
 .
 The Agda standard library contains modules for many common data structures and
 proof patterns. Modules provided include:
  - Algebra: Specifying and reasoning about abstract algebraic structures
  - Category: Using idioms from category theory to structure functional programs
  - Coinduction: Support for programming coindutively
  - Data: Data types and properties about data types
  - Foreign: Relating to the foreign function interface
  - Induction: A general framework for induction
  - IO: Input/output related functions
  - Level: Universe levels
  - Relations: Properties of and proofs about relations
  - Size: Sizes used by the sized types mechanism
 .
 This package contains the hyperlinked library documentation.

Package: agedu
Description-md5: 9f67a1da7c038e24702713dc5d24e0cc
Description-en: Unix utility for tracking down wasted disk space
 Unix provides the standard du utility, which scans your disk and tells you
 which directories contain the largest amounts of data. That can help you
 narrow your search to the things most worth deleting.
 .
 However, that only tells you what's big. What you really want to know is
 what's too big. By itself, du won't let you distinguish between data that's
 big because you're doing something that needs it to be big, and data that's
 big because you unpacked it once and forgot about it.
 .
 To make this difference, agedu relies on the atime of the files.

Package: agenda.app
Description-md5: 84aeda73d4cbb1466d3e707eef0e7b08
Description-en: Calendar manager for GNUstep
 SimpleAgenda is a an application to manage your calendars.  It
 handles both local and remote (webcal) calendars.  Calendars can be
 shared with other programs like Evolution, Dates, IceApe Calendar and
 others.  The following features are available:
 .
  * Multiple agendas.
  * Handle both events and tasks.
  * Support for local and remote (ftp, http) iCalendar agendas.
  * Monthly calendar, day view, summary and tasks view.
  * Create, resize and move appointments easily.
  * Export individual elements as files and to the pasteboard.
  * Import .ics files.
  * Simple text search.
  * Alarms with multiple backends.

Package: agent-transfer
Description-md5: 2226db2fc13dc88ad0837848b7322d8b
Description-en: copy a secret key from GnuPG's gpg-agent to OpenSSH's ssh-agent
 agent-transfer is a simple utility to extract a secret key from
 GnuPG's gpg-agent and send it to a running ssh-agent.  This is useful
 for those who prefer the runtime semantics and behavior of OpenSSH's
 ssh-agent, but whose secret keys are held in long-term storage by
 GnuPG's gpg-agent.
 .
 This tool comes from the monkeysphere project.

Package: aggregate
Description-md5: f997a857d65d21bbf877320588a8a99c
Description-en: ipv4 cidr prefix aggregator
 takes a list of prefixes in conventional format on stdin, and performs two
 optimisations to reduce the length of the prefix list. It removes any
 supplied prefixes which are supurfluous because they are already included in
 another supplied prefix (e.g., 203.97.2.0/24 would be removed if
 203.97.0.0/17 was also supplied), and identifies adjacent prefixes that can
 be combined under a single, shorter-length prefix (e.g., 203.97.2.0/24 and
 203.97.3.0/24 can be combined into the single prefix 203.97.2.0/23).

Package: aghermann
Description-md5: 3f60235fc79bc4910bb594312f855a10
Description-en: Sleep-research experiment manager
 Aghermann is a program designed around a common workflow in
 sleep-research, complete with scoring facility; cairo subpixel
 drawing on screen or to file; conventional PSD and EEG Micrcontinuity
 profiles; Independent Component Analysis; artifact detection; and
 Process S simulation following Achermann et al, 1993.

Package: aglfn
Description-md5: 40806af3ac24bb24dab5ea0fd03065e5
Description-en: Adobe Glyph List For New Fonts
 AGL (Adobe Glyph List) maps glyph names to Unicode values for the
 purpose of deriving content. AGLFN (Adobe Glyph List For New Fonts) is a
 subset of AGL that excludes the glyph names associated with the PUA
 (Private Use Area), and is meant to specify preferred glyph names for
 new fonts. Also included is the ITC Zapf Dingbats Glyph List, which is
 similar to AGL in that it maps glyph names to Unicode values for the
 purpose of deriving content, but only for the glyphs in the ITC Zapf
 Dingbats font.
 .
 Be sure to visit the AGL Specification and Developer Documentation pages
 for detailed information about naming glyphs, interpreting glyph names,
 and developing OpenType fonts.

Package: aha
Description-md5: 0f17d309f135dcc8d21c8650ac0fb8c6
Description-en: ANSI color to HTML converter
 aha (ANSI HTML Adapter) converts ANSI colors to HTML, e.g. if you
 want to publish the output of ls --color=yes, git diff, ccal or htop
 as static HTML somewhere.

Package: ahcpd
Description-md5: f1c1a025e99acd7afd0c2b29e5c5fdef
Description-en: Ad-Hoc Configuration Protocol
 AHCP is an autoconfiguration protocol for IPv6 and dual-stack
 IPv6/IPv4 networks designed to be used in place of router discovery
 and DHCP on networks where it is difficult or impossible to configure
 a server within every link-layer broadcast domain, for example mobile
 ad-hoc networks. AHCP will automatically configure the IPv6 prefix,
 an IPv4 address for every host, the routing protocol, the DNS server
 and the NTP server. It is currently able to configure hosts for
 static routing, for use of the OLSR protocol, or for use of the Babel
 protocol. AHCP is extensible, so adding support for other routing
 protocols should be easy.

Package: aide-dynamic
Description-md5: 3c085f51d721d7dcb93bb05d2c714096
Description-en: Advanced Intrusion Detection Environment - dynamic binary
 AIDE is an intrusion detection system that detects changes to files on
 the local system. It creates a database from the regular expression rules
 that it finds from the config file. Once this database is initialized
 it can be used to verify the integrity of the files. It has several
 message digest algorithms (md5, sha1, rmd160, tiger, haval, etc.) that are
 used to check the integrity of the file. More algorithms can be added
 with relative ease. All of the usual file attributes can also be checked
 for inconsistencies.
 .
 This package contains a dynamically linked binary and should only be
 used in exeptional circumstances. To avoid exposure to trojaned
 libraries, it is advised to use one of the statically linked binaries.

Package: aide-xen
Description-md5: c100d4da9f2f66ebd26e35d911fddc82
Description-en: Advanced Intrusion Detection Environment - static binary for XEN
 AIDE is an intrusion detection system that detects changes to files on
 the local system. It creates a database from the regular expression rules
 that it finds from the config file. Once this database is initialized
 it can be used to verify the integrity of the files. It has several
 message digest algorithms (md5, sha1, rmd160, tiger, haval, etc.) that are
 used to check the integrity of the file. More algorithms can be added
 with relative ease. All of the usual file attributes can also be checked
 for inconsistencies.
 .
 This package contains the statically linked binary for XEN-enabled
 systems and should be used in Dom0 and DomU.

Package: aidl
Description-md5: 45859dc0b2ae5ed979acc57a859674e5
Description-en: Binder generator of AIDL interfaces
 aidl is a utility to generate Java and/or C++ code from Android's
 Android Interface Definition Language (AIDL). AIDL is similar to
 other IDLs you might have worked with. It allows you to define the
 programming interface that both the client and service agree upon in
 order to communicate with each other using interprocess communication
 (IPC). On Android, one process cannot normally access the memory of
 another process. So to talk, they need to decompose their objects
 into primitives that the operating system can understand, and
 marshall the objects across that boundary for you. The code to do
 that marshalling is tedious to write, so Android handles it for you
 with AIDL. This package provides two tools:
 .
   * "aidl" which generates Java bindings.
   * "aidl-cpp" which generates C++ bindings.
 .
 For more info, see:
 https://developer.android.com/guide/components/aidl.html

Package: aiksaurus
Description-md5: c494eb72c833031f7ce7b583043fb07b
Description-en: This package provides an English-language thesaurus (utility)
 Aiksaurus is an English-language thesaurus that is suitable for integration
 with word processors, email composers, and other authoring software.
 .
 This package contains aiksaurus, a command-line frontend for Aiksaurus.

Package: aiohttp-wsgi-serve
Description-md5: c66fd6a0adcec4356cc7c95577c69904
Description-en: run a WSGI application
 Run a WSGI application on top of asyncio event loop.
 This script uses Python aiohttp-wsgi module to run existing
 WSGI applications within the event loop without further changes.

Package: air-quality-sensor
Description-md5: 1cddabeb7471e827f436239ab36425d3
Description-en: user space driver for AppliedSensor's Indoor Air Monitor
 The Indoor Air Monitor is a USB flash drive-shaped sensor which
 measures the surrounding's air pollution. This driver prints a list
 with all connected sensors and their current values to the commandline.
 Brand names and further information has been documented on
 http://wiki.micasaverde.com/index.php/CO2_Sensor.
 .
 The air quality is displayed on the hardware token by three indicator
 lamps with the colours green (= good), yellow (= average) and red (=
 bad), the same colors are also printed by this tool.

Package: aircrack-ng
Description-md5: 9659071ca811e6a5bba38a9345409ece
Description-en: wireless WEP/WPA cracking utilities
 aircrack-ng is an 802.11a/b/g WEP/WPA cracking program that can recover a
 40-bit, 104-bit, 256-bit or 512-bit WEP key once enough encrypted packets
 have been gathered. Also it can attack WPA1/2 networks with some advanced
 methods or simply by brute force.
 .
 It implements the standard FMS attack along with some optimizations,
 thus making the attack much faster compared to other WEP cracking tools.
 It can also fully use a multiprocessor system to its full power in order
 to speed up the cracking process.
 .
 aircrack-ng is a fork of aircrack, as that project has been stopped by
 the upstream maintainer.

Package: airgraph-ng
Description-md5: 271c2c029cbb57505d96bdcfabab2da3
Description-en: Tool to graph txt files created by aircrack-ng
 airgraph-ng is a tool to create a graph ouf of the txt file created by airodump
 with its -w option. The graph shows the relationships between the clients and
 the access points.

Package: airport-utils
Description-md5: 7d4f6f55009c97657fa4f38b7a9d48fc
Description-en: configuration and management utilities for Apple AirPort base stations
 This package contains various utilities to manage the Apple AirPort base
 stations.
 .
 Be aware that Apple released several versions of the AirPort base station;
 the original AirPort ("Graphite") was a rebranded Lucent RG-1000 base
 station, doing 802.11a/b. The AirPort Extreme ("Snow") is an Apple-built
 802.11a/b/g base station.
 .
 For the original Apple AirPort and the Lucent RG-1000 base stations only:
   - airport-config: base station configurator
   - airport-linkmon: wireless link monitor, gives information on the wireless
     link quality between the base station and the associated hosts
 .
 For the Apple AirPort Extreme base stations only:
   - airport2-config: base station configurator
   - airport2-portinspector: port maps monitor
   - airport2-ipinspector: WAN interface monitoring utility
 .
 For all:
  - airport-modem: modem control utility, displays modem state, starts/stops
    modem connections, displays the approximate connection time (Extreme only)
  - airport-hostmon: wireless hosts monitor, lists wireless hosts connected
    to the base station (see airport2-portinspector for the Snow)

Package: airspy
Description-md5: 88a2ce3cb544a4e547613e85a4704a7a
Description-en: Tiny and efficient software defined radio receiver - utilities
 Airspy is an open source Software Defined Radio that can receive
 between 24 MHz and 1750 MHz. Airspy has a 10 MHz bandwidth.
 12bit ADC @ 20 MSPS (80dB SFDR, 64dB SNR, 10.4 ENOB)
 It is a High Speed USB device powered by the USB bus.
 .
 This package contains a set of command line utilities:
  * airspy_cpldjtag: program CLPD
  * airspy_info: probe device and show configuration
  * airspy_max2837: chip register read/write tool
  * airspy_rffc5071: chip register read/write tool
  * airspy_si5351c: chip register read/write tool
  * airspy_spiflash: read and write flash data from file.
  * airspy_transfer: file based transmit and receive sdr

Package: airstrike
Description-md5: bd343ce010d39e17acb4bfa3e5a4b5d0
Description-en: 2d dogfight game in the tradition of 'Biplanes' and 'BIP'
 Airstrike is a 2d dogfight game in the tradition of the Intellivision and
 Amiga games 'Biplanes' and 'BIP'. It features a robust physics engine and
 several other extensions of the original games. Playable by
 1 or 2 persons.

Package: airstrike-common
Description-md5: bf5e9f248c4c7da18fc2f973c405a3e6
Description-en: 2d dogfight game - data files
 Airstrike is a 2d dogfight game in the tradition of the Intellivision and
 Amiga games 'Biplanes' and 'BIP'. It features a robust physics engine and
 several other extensions of the original games. It is currently 0-2 player
 only, but will hopefully have network play and some more advanced computer
 controlled enemies in the future. The graphics have been created using the
 Povray raytracer, and should be easy to extend and modify.
 .
 This package contains the architecture-independent data files.

Package: aiscm
Description-md5: fed7dbca20e652339b34254cfbf99869
Description-en: Guile numerical arrays and tensor extension
 AIscm is a Guile extension providing multi-dimensional arrays and tensor
 operations. Array elements can be scalars or composite values such as complex
 numbers or RGB values. Array operations are provided as GOOPS generics. Real
 time performance is achieved using the LLVM JIT compiler. Furthermore AIscm
 provides input/output bindings for ImageMagick, V4L2, FFmpeg, PulseAudio, and
 X.Org.

Package: aj-snapshot
Description-md5: deac30a568faac29bb95742595309aee
Description-en: make snapshots of JACK connections
 Aj-snapshot is a small program that can be used to make snapshots
 of the connections made between JACK and/or ALSA clients. Because
 JACK can provide both audio and MIDI support to programs,
 aj-snapshot can store both types of connections for JACK. ALSA,
 on the other hand, only provides routing facilities for MIDI clients.
 Aj-snapshot is meant to be used from the command line.

Package: akonadi-backend-mysql
Description-md5: 44bbff2187bd34b353f73253edf50334
Description-en: MySQL storage backend for Akonadi
 Akonadi is an extensible cross-desktop Personal Information Management (PIM)
 storage service. It provides a common framework for applications to store and
 access mail, calendars, addressbooks, and other PIM data.
 .
 This package installs everything what's needed for Akonadi to work with MySQL
 as underlying data storage engine. By default, a local MySQL server instance
 will be started for each user. Alternatively, connection to an external MySQL
 database is supported as well.

Package: akonadi-backend-postgresql
Description-md5: bd88f5341863115ed0f5865ef888ddfd
Description-en: PostgreSQL storage backend for Akonadi
 Akonadi is an extensible cross-desktop Personal Information Management (PIM)
 storage service. It provides a common framework for applications to store and
 access mail, calendars, addressbooks, and other PIM data.
 .
 This package installs everything what's needed for Akonadi to work with
 PostgreSQL as underlying data storage engine. By default, a local PostgreSQL
 server instance will be started for each user. Alternatively, connection to an
 external PostgreSQL database is supported as well.

Package: akonadi-backend-sqlite
Description-md5: 904b79b56e98a3db270456d32ff78921
Description-en: SQLite storage backend for Akonadi
 Akonadi is an extensible cross-desktop Personal Information Management (PIM)
 storage service. It provides a common framework for applications to store and
 access mail, calendars, addressbooks, and other PIM data.
 .
 This package installs everything what's needed for Akonadi to work with SQLite
 as underlying data storage engine. Since SQLite is an embedded database
 engine, a separate SQL server daemon is not necessary.
 .
 In addition, the package contains an improved QSql driver for SQLite named
 "QSQLite3". It can be used by any application that needs to access SQLite
 databases via standard Qt QSql framework.

Package: akonadi-import-wizard
Description-md5: 40903d9bfa08053e3f7af4bacb5d1b3d
Description-en: PIM data import wizard
 akonadi-import-wizard is an assistant to import PIM data from other
 applications into Akonadi for use in KDE PIM applications

Package: akonadi-server
Description-md5: 80144a9a021e5f971cbb61777684d38e
Description-en: Akonadi PIM storage service
 Akonadi is an extensible cross-desktop Personal Information Management (PIM)
 storage service. It provides a common framework for applications to store and
 access mail, calendars, addressbooks, and other PIM data.
 .
 This package contains the Akonadi PIM storage server and associated programs.

Package: akonadiconsole
Description-md5: eab8b689674d4e9e50a06d477c067f16
Description-en: management and debugging console for akonadi
 Akonadi Console is a useful utility that can be used to explore or manage
 Akonadi. Since this utility exposes Akonadi internals, it is very useful for
 debugging but may also prove handy in other cases.

Package: akqml
Description-md5: 4fb69dc775da1160273f2b0b6842f654
Description-en: full featured webcam capture application - qml module
 webcamoid allows one to capture, save and view a video stream. It also can
 do a lot of funny things.
 .
 Features:
 .
  * Take pictures and record videos with the webcam.
  * Manages multiple webcams.
  * GUI interface.
  * Custom controls for each webcam.
  * Add funny effects to the webcam.
  * +60 effects available.
  * Effects with live previews.
  * Translated to many languages.
  * Use custom network and local files as capture devices.
  * Capture from desktop.
  * Many recording formats.
  * Virtual webcam support for feeding other programs.
 .
 This package contains the qml module for webcamoid.

Package: akregator
Description-md5: 685ce24f3633b431b73038bd0f234211
Description-en: RSS/Atom feed aggregator
 Akregator is a news feed reader. It enables you to follow
 news sites, blogs and other RSS/Atom-enabled websites without the need to
 manually check for updates using a web browser. Akregator is designed to be
 both easy to use and to be powerful enough to read hundreds of news sources
 conveniently. It comes with Konqueror integration for adding news feeds and
 with an internal browser for easy news reading.
 .
 This package is part of the KDE PIM module.

Package: alac-decoder
Description-md5: 6c5c744f525fa7c8100d9d2b2e45c520
Description-en: Apple Lossless audio codec decoder
 A simple decoder for the Apple Lossless audio codec.

Package: alacarte
Description-md5: ea89a81c038b7864336ed55a2783b93b
Description-en: easy GNOME menu editing tool
 Alacarte is an easy-to-use menu editor for GNOME that can add
 and edit new entries and menus. It works with the freedesktop.org
 menu specification and should work with any desktop environment
 that uses the spec.

Package: aladin
Description-md5: 0689e65ca0f8335c5d83e3aaec3cc9fb
Description-en: Interactive sky atlas for astronomical images and datasets
 Aladin is an interactive software sky atlas allowing the user to
 visualise digitised astronomical images, to superimpose entries from
 astronomical catalogues or databases, and to interactively access
 related data and information from the Simbad database, the VizieR
 service and other archives for all known sources in the field.
 .
 Created in 1999 by the Centre de Données astronomiques de Strasbourg
 (CDS), Aladin has become a widely-used tool of the Virtual
 Observatory (VO) framework capable of addressing challenges such as
 locating data of interest, accessing and exploring distributed
 datasets, and visualising multi-wavelength data. Compliance with
 existing or emerging VO standards, interconnection with other
 visualisation or analysis tools, and the ability to easily compare
 heterogeneous data are the key topics which allow Aladin to be a
 powerful data exploration and integration tool.

Package: aldo
Description-md5: de36683197f16f74b87f7c868c662216
Description-en: Morse code training program
 Aldo is a morse code learning tool which provides four type
 of training methods: blocks, koch, file, callsign.
 Blocks: Identify blocks of random characters played in morse code.
 Koch: Two morse characters will be played at full speed (20wpm)
 until you'll be able to identify at least 90 percent of them.
 After that, one more character will be added, and so on.
 File: Identify played characters generated from a file.
 Callsign: Identify random callsigns played in morse code.

Package: ale
Description-md5: fc3be6a181259ad7b12bfe19020358da
Description-en: synthetic capture engine and renderer
 ALE aligns and merges several similar images from a digitizing device
 (such as a digital camera or scanner) into a single image.  This operation
 can create a higher fidelity image by using details from several separate
 images combined into the final image. It can also be used to merge the
 images into a mosaic or panoramic image.

Package: alevt
Description-md5: b69b5f3f83c41724d16a921f749fbcd1
Description-en: X11 Teletext/Videotext browser
 AleVT is an X11 program for browsing and searching Teletext/Videotext
 pages received by a compatible decoder (bttv and DVB receivers).
 .
 Features include:
 .
   * Multiple windows
   * Page cache
   * Regular expression searching
   * Built-in manual
 .
 Additional command line utilities can
 .
   * receive the time from Teletext/Videotext
   * capture pages and write them to disk
 .
 Teletext/Videotext is used by TV channels to transmit textual
 information pages (it's transmitted via non-visible scan lines).

Package: alevtd
Description-md5: 19caeb496778bf1f739bc1e190f5c9cc
Description-en: HTTP daemon for teletext pages
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides an HTTP daemon which serves teletext pages as
 HTML.

Package: alex
Description-md5: bc94d454c7a2f43370b4ac0cf89a5863
Description-en: lexical analyser generator for Haskell
 Alex is a tool for generating lexical analysers in Haskell, given a
 description of the tokens to be recognised in the form of regular
 expressions. It is similar to the tool lex or flex for C/C++.

Package: alex4
Description-md5: 3566f87583f865118de0e26a364d6511
Description-en: Alex the Allegator 4 - a retro platform game
 Guide Alex the Allegator through the jungle in order to save his
 girlfriend Lola from evil humans who want to make a pair of shoes out
 of her. Plenty of classic platforming in four nice colors guaranteed!
 .
 The game includes a built-in editor so you can design and share your
 own maps.

Package: alex4-data
Description-md5: ad8fd6932daabde0a312d1bb925c8e41
Description-en: Alex the Allegator 4 - game data
 Guide Alex the Allegator through the jungle in order to save his
 girlfriend Lola from evil humans who want to make a pair of shoes out
 of her. Plenty of classic platforming in four nice colors guaranteed!
 .
 This package contains the game data files.

Package: alfa
Description-md5: eb7520e82a18ed6e7eea5d4bbf9fde07
Description-en: Automated Line Fitting Algorithm
 ALFA measures fluxes in emission line spectra, such as those of planetary
 nebulae and HII regions, using a genetic algorithm to rapidly optimise fits
 to large numbers of lines simultaneously.  It can subtract night sky emission
 lines before fitting the nebular lines if necessary.  It can read plain text
 files containing 1D spectral data, or FITS files containing 1D, 2D or 3D
 datasets.

Package: alfred
Description-md5: dbd5ea646c4ad23f94d4eb5b2ca8d100
Description-en: Almighty Lightweight Fact Remote Exchange Daemon
 A.L.F.R.E.D. gathers status information in networks with dynamically
 chaing topologies, e.g. a Wifi mesh with mobile clients. It is a
 user space daemon for distributing arbitrary local information
 over the same mesh/network in a decentralized fashion. This data
 can be anything which appears to be useful - originally designed
 to replace the batman-adv visualization (vis), you may distribute
 hostnames, phone books, administration information, DNS information,
 the local weather forecast ...
 .
 Users may insert information by using the alfred binary on the command
 line, or use custom written programs to communicate with alfred directly
 through unix sockets. Once the local data is received, the alfred
 daemon takes care of distributing this information to other alfred
 servers on other nodes somewhere in the network. As addressing scheme
 IPv6 link-local multicast addresses are used which do not require any
 manual configuration. A user can request data from alfred, and will
 receive the information available from all alfred servers in the network.

Package: algobox
Description-md5: 2f71f256ba219bdd2f090147d9147a28
Description-en: algorithmics introduction - French UI
 AlgoBox is an algorithm creation and execution helper, targeted to
 French high school students. It’s based on an educational logic
 (learning via logical structures instead of piling up code lines).

Package: algol68g
Description-md5: 62e357ec894f7a62aa94948299a0a1f4
Description-en: Implementation of Algol 68 as defined by the Revised Report
 Algol 68 is a secure, expression-oriented programming language with which
 you elegantly code algorithms without having to bother too much about
 irrelevant technical details and limitations inherent to many other
 languages.
 .
 Algol 68 Genie offers for example:
 » many runtime checks facilitating debugging and improving the
 » reliability of your programs, native support for arbitrary precision
 » arithmetic including complex numbers, syntactic constructions to
 » support linear algebra, a gdb-style debugger and a pretty-printer to
 » beautify source code, optional linkage to GNU plotutils, the GNU
 » scientific library or PostgreSQL, extensions as UNIX pipes, regular
 » expression matching, and web page content fetching.

Package: algotutor
Description-md5: c41d775ec41f2b56ed5bc23de583267b
Description-en: program for observing the intermediate steps of algorithm
 algotutor is an interactive program for observing the intermediate
 steps of algorithms ("algorithm animation"). The target audience is
 computer science students and/or anyone who studies algorithms and/or
 data structures. One can create data files in plain text format
 (actually perl anonymous hashes, but one need not care) and let
 algotutor runs through some predefined algorithm. Then one can step
 backward and forward through the execution sequence of the algorithm
 at different levels of details.

Package: alice
Description-md5: 3deea78573d420609be015425a85dd98
Description-en: Web browser (WebKit or Gecko) based IRC client
 Alice is an acronym for "Altogether Lovely Internet Chatting
 Experience" which is an IRC client that is viewed in the web
 browser. Alice runs in the background maintaining connections
 and collecting messages. When a browser connects, it will
 display the 100 most recent messages for each channel, and
 update with any new messages as they arrive.
 .
 Alice also logs messages to an SQLite database. These logs
 are searchable through the web interface.
 .
 For desktop notifications install libdesktop-notify-perl.

Package: alien
Description-md5: 250884c1c7113f08b8c335ac3cf22206
Description-en: convert and install rpm and other packages
 Alien allows you to convert LSB, Red Hat, Stampede and Slackware Packages
 into Debian packages, which can be installed with dpkg.
 .
 It can also generate packages of any of the other formats.
 .
 This is a tool only suitable for binary packages.

Package: alien-hunter
Description-md5: 5f7c91ddef3ac915fd4f61d66745892f
Description-en: Interpolated Variable Order Motifs to identify horizontally acquired DNA
 Alien_hunter is an application for the prediction of putative
 Horizontal Gene Transfer (HGT) events with the implementation of
 Interpolated Variable Order Motifs (IVOMs). An IVOM approach
 exploits compositional biases using variable order motif distributions
 and captures more reliably the local composition of a sequence compared
 to fixed-order methods. Optionally the predictions can be parsed into a
 2-state 2nd order Hidden Markov Model (HMM), in a change-point detection
 framework, to optimize the localization of the boundaries of the
 predicted regions. The predictions (embl format) can be automatically
 loaded into Artemis genome viewer freely available at:
 http://www.sanger.ac.uk/Software/Artemis/.

Package: alienblaster
Description-md5: da1f8f1a6453d62874036331e075d65f
Description-en: Classic 2D shoot 'em up
 Your mission is simple: Stop the invasion of the aliens and blast them!
 .
 Alien Blaster is a classic 2D shoot 'em up featuring lots of different
 weapons, special items, aliens to blast and a big bad boss.
 .
 It supports both a single player mode and a cooperative two player mode
 for two persons playing on one computer.

Package: alienblaster-data
Description-md5: ba7f91b87a7940dd88d237ce23043f59
Description-en: Game data for Alien Blaster
 Your mission is simple: Stop the invasion of the aliens and blast them!
 .
 Alien Blaster is a classic 2D shoot 'em up featuring lots of different
 weapons, special items, aliens to blast and a big bad boss.
 .
 It supports both a single player mode and a cooperative two player mode
 for two persons playing on one computer.
 .
 This package provides the architecture-independant game media.

Package: aliki
Description-md5: aa700150ac855fc2eef498cd2e5dcd35
Description-en: Measurement tool for Impulse Responses
 Measure Impulse Responses using a sine sweep and deconvolution.
 For more complete introduction to this method, see
 http://kokkinizita.linuxaudio.org/linuxaudio/downloads/aliki-manual.pdf

Package: all-knowing-dns
Description-md5: 1df6f6c08cc7056f9106168642d482b9
Description-en: tiny DNS server for IPv6 Reverse DNS
 AllKnowingDNS provides reverse DNS for IPv6 networks which use SLAAC
 (autoconf), e.g. for a /64 network.
 .
 The problem with IPv6 reverse DNS and traditional nameservers is that the
 nameserver requires you to provide a zone file. Assuming you want to provide
 RDNS for a /64 network, you have 2**64 = 18446744073709551616 different usable
 IP addresses (a little less if you are using SLAAC). Providing a zone file for
 that, even in a very terse notation, would consume a huge amount of disk space
 and could not possibly be held in the memory of the computers available
 nowadays.
 .
 AllKnowingDNS instead generates PTR and AAAA records on the fly. You only
 configure which network you want to serve and what your entries should look
 like.

Package: allegro4-doc
Description-md5: 9b7366b5ced0412260a68241fb797240
Description-en: documentation for the Allegro library
 This package contains the Allegro documentation in various formats,
 FAQs and other documentation about the Allegro library and the
 source of the example programs.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: allegro5-doc
Description-md5: cc7e01168096c47a3cdf0971974753fc
Description-en: documentation for the Allegro 5 library
 This package contains the Allegro documentation in various formats,
 FAQs and other documentation about the Allegro library, source of the
 example programs.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: alltray
Description-md5: f5178c13da0872ed400fdbf9afa64b52
Description-en: Dock any program into the system tray
 With AllTray you can dock any application with no native tray icon
 (like Evolution, Thunderbird, Terminals) into the system tray.
 A high-light feature is that a click on the "close" button
 will minimize back to system tray. It works well with GNOME,
 KDE, Xfce 4*, Fluxbox* and WindowMaker*.
 (*) No drag 'n drop support. Enable with "-nm" option.

Package: allure
Description-md5: ba2e06db233176c140ea65af8fbfdea7
Description-en: near-future Sci-Fi roguelike and tactical squad game
 Allure of the Stars is a near-future Sci-Fi roguelike and tactical
 squad game.
 .
 Not a single picture in this game. You have to imagine everything
 yourself, like with a book (a grown-up book, without pictures).
 Once you learn to imagine things, though, you can keep exploring
 and mastering the world and making up stories for a long time.
 .
 The game is written in Haskell using the free software LambdaHack engine.
 Please see the changelog file for recent improvements
 and the issue tracker for short-term plans. Long term goals
 are high replayability and auto-balancing through procedural
 content generation and persistent content modification
 based on player behaviour. Contributions are welcome.

Package: almanah
Description-md5: 034aeb320a1186c1cafc6b4acaefdfb1
Description-en: Application to ease management of a personal diary
 Almanah is a small application to ease management of a personal diary.
 It has basic editing and linking abilities like:
 .
  - adding links to other content to diary entries
  - database encryption
  - search and printing support

Package: alot
Description-md5: 8e537bb8a99f6ea999f43bf42d37d385
Description-en: Text mode MUA using notmuch mail
 Alot is a text mode mail user agent for the notmuch mail system.
 It features a modular and command prompt driven interface to provide
 a full MUA experience as an alternative to the Emacs and Vim modes shipped
 with notmuch.

Package: alot-doc
Description-md5: abf6372044e3e44e600e15265fbcf439
Description-en: Text mode MUA using notmuch mail - documentation
 Alot is a terminal-based mail user agent for the notmuch mail system.
 It features a modular and command prompt driven interface to provide
 a full MUA experience as an alternative to the Emacs and Vim modes shipped
 with notmuch.
 .
 This package provides detailed documentation on alot usage.

Package: alpine
Description-md5: 3ddd074b130edc15ad4419408e6d3266
Description-en: Text-based email client, friendly for novices but powerful
 Alpine is an upgrade of the well-known PINE email client.  Its name derives
 from the use of the Apache License and its ties to PINE.
 .
 It features a full suite of support for mail protocols like IMAP and SMTP and
 security protocols like TLS.  It uses curses for its interface.

Package: alpine-doc
Description-md5: d36b0af30efaab3c303df1eb7e7202ef
Description-en: Text-based email client's documentation
 Alpine is an upgrade of the well-known PINE email client.  Its name derives
 from the use of the Apache License and its ties to PINE.
 .
 This package stores documentation for alpine.

Package: alpine-pico
Description-md5: 26a939a9b42d10bb338f5e7cb4ba132b
Description-en: Simple text editor from Alpine, a text-based email client
 "pico" is a simple but powerful text editor.  It was originally the pine
 composer,  the editor used by the pine email client for writing email messages.
 .
 It has gained popularity since its initial use in that context and is now used
 as a stand-alone editor by many users.
 .
 It is similar to but less powerful than GNU Nano, an editor created with the
 pico interface when the pico license was non-free.

Package: alqalam
Description-md5: 6a39533e68c6a515eb89f058f569b09d
Description-en: Qur'an typesetting macros for TeX/LaTeX
 AlQalam (``the pen'' in Arabic) is a freely available system
 intended for typesetting the Qur'an, other traditional texts, and any
 publications in the languages using the Arabic script.

Package: alsa-oss
Description-md5: 7e1413025cd44f9937186b01e353aed0
Description-en: ALSA wrapper for OSS applications
 This package contains a program loader, aoss, which wraps
 applications written for OSS in a compatibility library,
 thus allowing them to work with ALSA.
 .
 There are two ways of getting an application to work with
 ALSA if the application was written for OSS. The first way
 is to load the special ALSA drivers that emulate the OSS
 kernel interface; these allow the application to open
 /dev/dsp0 and other OSS device files. The second way is
 to wrap the application in the libaoss library provided
 in this package; the wrapper causes the application to
 access native ALSA device files such as /dev/snd/pcmC0D0c
 instead of OSS device files.
 .
 Use of the alsa-oss library is recommended over the use of
 OSS-emulation drivers if you want to use ALSA's PCM plugin
 layer.
 .
 ALSA is the Advanced Linux Sound Architecture.
 .
 OSS is the free version of the Open Sound System.

Package: alsa-source
Description-md5: 53e1b4fbfd16b147221d407941e1a35f
Description-en: ALSA driver sources
 This package contains the source code for the ALSA drivers.
 The source code can be compiled into an alsa-modules package
 using the m-a utility (available in the module-assistant
 package). Please note that the kernel headers must be
 installed to compile these modules. Please read the
 README.Debian file for more information about loading and
 building modules.
 .
 ALSA is the Advanced Linux Sound Architecture.

Package: alsa-tools
Description-md5: 4d64eace7e51fb1fc1a18ed780ee5e62
Description-en: Console based ALSA utilities for specific hardware
 A collection of console-based utilities for specific sound hardware:
 .
  as10k1 - An assembler for the EMU10K1 (EMU10K2) DSP chip
  hda-verb - send HD-audio commands to Intel HDA devices
  sbiload - OPL2/3 FM instrument loader for the ALSA sequencer
  us428control - Controller utility for Tascam US-X2Y

Package: alsa-tools-gui
Description-md5: 7e9c05fa4ed7725d61d80aa2becaf0fc
Description-en: GUI based ALSA utilities for specific hardware
 A collection of GUI based ALSA utilities for specific sound hardware:
 .
  echomixer - control tool for Echoaudio soundcards
  envy24control - control tool for Envy24 (ice1712) based soundcards
  hdajackretask - retask jacks on HDA Intel hardware
  hdspconf - GUI program to control the Hammerfall HDSP Alsa Settings.
  hdspmixer - tool to control the advanced routing features of the
              RME Hammerfall DSP.
  rmedigicontrol - control tool for RME Digi32 and RME Digi96 soundcards

Package: alsamixergui
Description-md5: eed6350c7b7ae40f4c2d5c4e4c967d6a
Description-en: graphical soundcard mixer for ALSA soundcard driver
 alsamixergui is an FLTK based mixer program for use with the ALSA soundcard
 drivers. It supports multiple soundcards with multiple devices.
 .
 alsamixergui is a frontend for alsamixer, written directly on top of the
 alsamixer source, leaving the original source intact, only adding a couple of
 ifdefs, and some calls to the gui part. It provides exactly the same
 functionality, but with a graphical user interface.

Package: alsaplayer-alsa
Description-md5: 7420886e4fa2c05ace07f9a4b877146b
Description-en: alsaplayer output module for ALSA
 This package provides a module for alsaplayer, an audio player, that will
 output the sound through ALSA devices.

Package: alsaplayer-common
Description-md5: 80e606dafda00973df55a72ed069426f
Description-en: audio player (common files)
 Alsaplayer is a PCM player designed specifically for use with ALSA, but
 works great with OSS or EsounD. It's heavily threaded which cuts down on
 skipping, offers optional and even simultaneous visual scopes, plays
 mp3, mp2, ogg, cdda, audiofs, and lots more.
 .
 This package contains the main alsaplayer program, and needs one interface
 plugin and one output plugin. By default, GTK+ interface and alsa output will
 be installed.

Package: alsaplayer-daemon
Description-md5: ce25656f2c87939994230ada829b7217
Description-en: alsaplayer daemon
 This package provides a non-interactive interface to alsaplayer, an audio
 player, so that it will be accessible via a remote control application.

Package: alsaplayer-gtk
Description-md5: 92f6c92d78063bc4d18cfafe59ef93d5
Description-en: alsaplayer gtk interface
 This package provides a GTK+ interface to alsaplayer, an audio player.

Package: alsaplayer-jack
Description-md5: 1d16b9d68fd8b0f5fac2c90fdc216ac8
Description-en: alsaplayer output module for JACK
 This package provides a module for alsaplayer, an audio player, that will
 output the sound through JACK.

Package: alsaplayer-nas
Description-md5: 89193f5335712b72838d93ef967ae5e8
Description-en: alsaplayer output module for NAS
 This package provides a module for alsaplayer, an audio player, that will
 output the sound through the Network Audio System (NAS).

Package: alsaplayer-oss
Description-md5: 17a0fb4fbe9967582d9c0233682fa561
Description-en: alsaplayer output module for OSS
 This package provides a module for alsaplayer, an audio player, that will
 output the sound through OSS devices.

Package: alsaplayer-text
Description-md5: 4013c8b3af3212527076341561d9538e
Description-en: alsaplayer text interface
 This package provides a text interface to alsaplayer, an audio player, and
 does not require X or GTK+ to run.

Package: alsaplayer-xosd
Description-md5: 19eb976ca3160e494c6163cabfe6cf82
Description-en: alsaplayer XOSD display module
 This package provides a non-interactive interface to alsaplayer, an audio
 player. Information about the file currently being played will be displayed on
 screen through the X On-Screen Display library (xosd).

Package: alt-ergo
Description-md5: da151d43a8ca7b0344b58486b4b163a8
Description-en: Automatic theorem prover dedicated to program verification
 Alt-Ergo is an automatic theorem prover geared towards application in
 program verification. It is based on CC(X), a congruence closure
 algorithm parameterized by an equational theory X. Alt-Ergo has
 built-in provers for propositional logic, linear arithmetic,
 uninterpreted function symbols, associative-commutative function
 symbols, polymorphic arrays, user-defined polymorphic record types
 and polymorphic enumeration types. It has restricted support for
 reasoning over arbitrary user-defined algebraic types, first-order
 quantifiers, and non-linear arithmetic.
 .
 This package contains the prover as a command-line executable
 as well as the graphical interface.

Package: alter-sequence-alignment
Description-md5: 07869aa0648b93b3ddd48846fa177214
Description-en: genomic sequences ALignment Transformation EnviRonment
 ALTER (ALignment Transformation EnviRonment) is a tool to transform
 between multiple sequence alignment formats. ALTER focuses on the
 specifications of mainstream alignment and analysis programs rather than
 on the conversion among more or less specific formats.

Package: altermime
Description-md5: 3b276af8be268e563cfc16ea2999afc0
Description-en: utility used to alter mime-encoded mailpacks
 alterMIME is a small program which is used to alter your mime-encoded
 mailpacks as typically received by Inflex, Xamime and AMaViS.
 .
 alterMIME can:
    * Insert disclaimers;
    * Insert arbitrary X-headers;
    * Modify existing headers;
    * Remove attachments based on filename or content-type;
    * Replace attachments based on filename.

Package: altos
Description-md5: cf094d7ad02e2efa2e580e53cf661eb0
Description-en: Altus Metrum firmware and utilities
 Firmware and utilities needed to support high power model rocketry products
 from Altus Metrum, including TeleMetrum, TeleMini, and TeleDongle.
 .
 See http://altusmetrum.org/ for more information.

Package: altree
Description-md5: b6b21ff883122e1527c5ccc7bb5583bd
Description-en: program to perform phylogeny-based association and localization analysis
 ALTree was designed to perform association detection and localization of
 susceptibility sites using haplotype phylogenetic trees: first, it allows the
 detection of an association between a candidate gene and a disease, and second,
 it enables to make hypothesis about the susceptibility loci.

Package: altree-examples
Description-md5: 36faf63076f27a0aada20ad02635b42a
Description-en: example files for ALTree
 ALTree was designed to perform association detection and localization of
 susceptibility sites using haplotype phylogenetic trees: first, it allows the
 detection of an association between a candidate gene and a disease, and second,
 it enables to make hypothesis about the susceptibility loci.
 .
 This package contains the example files for the ALTree package discussed in
 its PDF manual.

Package: alttab
Description-md5: 86d91c92e887c0d776c9962c7a8d2471
Description-en: task switcher for minimalistic WMs or standalone X session
 alttab provides convenient visual interface and switching on releasing
 Alt, not on pressing Tab key. Being lightweight and depending only on
 basic X11 libs, it still features customizable look and behaviour.

Package: alure-doc
Description-md5: f6941b11ff3a319b1c3c3f1eef524f9e
Description-en: AL Utilities REtooled (documentation)
 ALURE is a utility library to help manage common tasks with OpenAL
 applications. This includes device enumeration and initialization, file
 loading, and streaming.
 .
 The purpose of this library is to provide pre-made functionality that would
 otherwise be repetitive or difficult to (re)code for various projects and
 platforms, such as loading a sound file into an OpenAL buffer and streaming an
 audio file through a buffer queue. Support for different formats is consistant
 across platforms, so no special checks are needed when loading files, and all
 formats are handled through the same API.
 .
 Currently ALURE includes a basic .wav and .aif file reader, and can leverage
 external libraries such as libSndFile (for extended wave formats and several
 others), VorbisFile (for Ogg Vorbis), and FLAC (for FLAC and Ogg FLAC), and
 others. External libraries can also be dynamically loaded at run-time, or
 individually disabled outright at compile time.
 .
 This package installs the ALURE documentation.

Package: alure-utils
Description-md5: 358936a90b40701c6b42821a5f7f8286
Description-en: AL Utilities REtooled (utilities)
 ALURE is a utility library to help manage common tasks with OpenAL
 applications. This includes device enumeration and initialization, file
 loading, and streaming.
 .
 The purpose of this library is to provide pre-made functionality that would
 otherwise be repetitive or difficult to (re)code for various projects and
 platforms, such as loading a sound file into an OpenAL buffer and streaming an
 audio file through a buffer queue. Support for different formats is consistant
 across platforms, so no special checks are needed when loading files, and all
 formats are handled through the same API.
 .
 Currently ALURE includes a basic .wav and .aif file reader, and can leverage
 external libraries such as libSndFile (for extended wave formats and several
 others), VorbisFile (for Ogg Vorbis), and FLAC (for FLAC and Ogg FLAC), and
 others. External libraries can also be dynamically loaded at run-time, or
 individually disabled outright at compile time.
 .
 This package installs the various ALURE utility programs.

Package: am-utils
Description-md5: 937585594faef4603260f6552ecde1ce
Description-en: automounter utilities from 4.4BSD (includes amd)
 Am-utils is a set of tools for automounting filesystems: mounting a
 filesystem "on demand" when it is first referenced, and unmounting it
 later if it is no more needed.
 .
 Am-utils contains the amd automounter.

Package: am-utils-doc
Description-md5: 00dcda2a707a353d83a758a9f02f55ba
Description-en: automounter utilities documentation
 Am-utils is a set of tools for automounting filesystems: mounting a
 filesystem "on demand" when it is first referenced, and unmounting it
 later if it is no more needed.
 .
 This is the documentation in HTML, info, texinfo and postscript
 formats.

Package: amanda-client
Description-md5: eb340217bc77fc442e67d6d525d8c0fb
Description-en: Advanced Maryland Automatic Network Disk Archiver (Client)
 Amanda is a backup system designed to archive many computers on a
 network to a single large-capacity tape drive. This package is
 suitable for large amounts of data to backup. For smaller solutions
 take a look at afbackup, tob, ...
 .
  Features:
   * will back up multiple machines in parallel to a holding disk, blasting
     finished dumps one by one to tape as fast as they can be can written to
     tape.  For example, a ~2 Gb 8mm tape on a ~240K/s interface to a host
     with a large holding disk can be filled by Amanda in under 4 hours.
   * built on top of standard backup software: Unix dump/restore, and
     later GNU Tar and others.
   * does simple tape management: will not overwrite the wrong tape.
   * supports tape changers via a generic interface.  Easily customizable
     to any type of tape carousel, robot, or stacker that can be controlled
     via the unix command line.
   * for a restore, tells you what tapes you need, and finds the proper
     backup image on the tape for you.
   * recovers gracefully from errors, including down or hung machines.
   * reports results, including all errors in detail, in email to operators.
   * will dynamically adjust backup schedule to keep within constraints:
     no more juggling by hand when adding disks and computers to network.
   * includes a pre-run checker program, that conducts sanity checks on both
     the tape server host and all the client hosts (in parallel), and will
     send an e-mail report of any problems that could cause the backups to
     fail.
   * can compress dumps before sending or after sending over the net, with
     either compress or gzip.
   * can optionally synchronize with external backups, for those large
     timesharing computers where you want to do full dumps when the system
     is down in single-user mode (since BSD dump is not reliable on active
     filesystems): Amanda will still do your daily dumps.
   * lots of other options; Amanda is very configurable.
 .
 THIS PACKAGE RELIES ON A RUNNING AMANDA SERVER IN YOUR NETWORK.
 .
 For important notes, see /usr/share/doc/amanda-client/README.Debian.
 .
 Explanation of suggested programs:
  - gnuplot is needed for plotting statistics of backups

Package: amanda-common
Description-md5: 9be314450886c6bdecdb2064ccfdb4bd
Description-en: Advanced Maryland Automatic Network Disk Archiver (Libs)
 This package contains libraries required by the amanda client and
 server packages and includes the documentation.

Package: amanda-server
Description-md5: 7a44af7cb005595215636c1ed7a211fa
Description-en: Advanced Maryland Automatic Network Disk Archiver (Server)
 Amanda is a backup system designed to archive many computers on a
 network to a single large-capacity tape drive. This package is
 suitable for large amounts of data to backup. For smaller solutions
 take a look at afbackup, tob, ...
 .
  Features:
   * will back up multiple machines in parallel to a holding disk, blasting
     finished dumps one by one to tape as fast as they can be written to
     tape.  For example, a ~2 Gb 8mm tape on a ~240K/s interface to a host
     with a large holding disk can be filled by Amanda in under 4 hours.
   * built on top of standard backup software: Unix dump/restore, and
     later GNU Tar and others.
   * does simple tape management: will not overwrite the wrong tape.
   * supports tape changers via a generic interface.  Easily customizable
     to any type of tape carousel, robot, or stacker that can be controlled
     via the unix command line.
   * for a restore, tells you what tapes you need, and finds the proper
     backup image on the tape for you.
   * recovers gracefully from errors, including down or hung machines.
   * reports results, including all errors in detail, in email to operators.
   * will dynamically adjust backup schedule to keep within constraints:
     no more juggling by hand when adding disks and computers to network.
   * includes a pre-run checker program, that conducts sanity checks on both
     the tape server host and all the client hosts (in parallel), and will
     send an e-mail report of any problems that could cause the backups to
     fail.
   * can compress dumps before sending or after sending over the net, with
     either compress or gzip.
   * can optionally synchronize with external backups, for those large
     timesharing computers where you want to do full dumps when the system
     is down in single-user mode (since BSD dump is not reliable on active
     filesystems): Amanda will still do your daily dumps.
   * lots of other options; Amanda is very configurable.
 .
 For important notes, see /usr/share/doc/amanda-server/README.Debian.
 .
 Explanation of suggested programs:
  - perl is needed for some non essential server utilities
  - gnuplot is needed for plotting statistics of backups
  - to backup the tape server, you need to install the client too

Package: amap-align
Description-md5: 8bbc20fa02f0317c9b1cc6f3abf3506c
Description-en: Protein multiple alignment by sequence annealing
 AMAP is a command line tool to perform multiple alignment of peptidic
 sequences. It utilizes posterior decoding, and a sequence-annealing
 alignment, instead of the traditional progressive alignment method. It is
 the only alignment program that allows one to control the sensitivity /
 specificity tradeoff.  It is based on the ProbCons source code, but
 uses alignment metric accuracy and eliminates the consistency
 transformation.
 .
 The Java visualisation tool of AMAP 2.2 is not yet packaged in Debian.

Package: amavisd-milter
Description-md5: 5aa8c00571da46088afa733d6b6dc007
Description-en: amavisd-new interface for milter-capable MTAs
 This package provides a milter for amavisd-new that works with
 Sendmail or Postfix, using the AM.PDP protocol.
 .
 Replacing the older amavisd-new-milter program, amavisd-milter makes
 use of the full functionality of amavisd-new. It supports using spam
 and virus information header fields, rewriting message subjects,
 adding address extensions, and selectively removing recipients.

Package: amavisd-milter-dbg
Description-md5: 5ccd4c6f360e771d825a2121691f4be2
Description-en: amavisd-new interface for milter-capable MTAs - debugging symbols
 This package provides a milter for amavisd-new that works with
 Sendmail or Postfix, using the AM.PDP protocol.
 .
 This package contains the debugging symbols for amavisd-milter.

Package: amavisd-new-postfix
Description-md5: 773545be8d857a68c40886e6112d0450
Description-en: part of Ubuntu mail stack provided by Ubuntu server team
 AMaViSd-new is a script that interfaces a mail transport agent (MTA) with
 zero or more virus scanners, and spamassassin (optional).
 .
 It supports all common virus scanners (more than 20 different AVs), with
 direct talk-to-daemon support for ClamAV, OpenAntiVirus, Trophie, AVG,
 f-prot, and Sophos AVs.
 .
 AMaViSd-new supports all MTAs through its generic SMTP/LMTP filter mode
 (ideal for postfix and exim).  It is faster and safer to use the SMTP/LMTP
 filter mode than using the AMaViS pipe client.  It supports sendmail milter
 through this package.
 .
 This package contains configuration files for amavis and alters postfix
 configuration to utilize amavisd-new.

Package: amazon-ecr-credential-helper
Description-md5: e74ed7360f292b67c479b365095e0af9
Description-en: Amazon ECR Credential Helper for Docker
 A Docker credential helper to automatically manage credentials for Amazon ECR.
 .
 Once configured, the Amazon ECR Credential Helper lets you "docker pull" and
 "docker push" container images from Amazon ECR without running "docker login".
 .
 Amazon ECR is a container registry and requires authentication for pushing and
 pulling images.  You can use the AWS CLI or the AWS SDK to obtain a
 time-limited authentication token.  This credential helper automatically
 manages obtaining and refreshing authentication tokens when using the Docker
 CLI.
 .
 For more information about Amazon ECR and how to use it, see the documentation
 at https://docs.aws.amazon.com/AmazonECR/latest/userguide/.

Package: amb-plugins
Description-md5: 5896570624067323fd593061bfd00f0d
Description-en: ambisonics LADSPA plugins
 A set of ambisonics plugins, mainly to be used within Ardour. Mono and
 stereo to B-format panning, horizontal rotator, square, hexagon and
 cube decoders.

Package: ambdec
Description-md5: 55a5b8c43a1bddf956c4e69ea0fddf88
Description-en: Ambisonic decoder for first and second order
 AmbDec is an Ambisonics decoder for up to 36 speakers. It can be used
 for both horizontal and full 3-D systems of first, second and third
 order. The decoding matrices are fully user-configurable. AmbDec has
 some advanced features not found on most decoders:
  * Dual frequency band operation.
  * Speaker distance compensation.
  * Near-field effect compensation.
 .
 All three features can be selectively enabled or disabled in the
 configuration.

Package: amber
Description-md5: cbfffa4666c07558976428469cbfdea0
Description-en: Elegant HTML templating engine for Go, inspired from HAML and Jade (CLI tool)
 Amber is an elegant HTML templating engine for the Go Programming Language.
 It is inspired from HAML and Jade.
 .
 This package provides the /usr/bin/amberc command-line tool.

Package: amide
Description-md5: 68635d5506489a7fdecefeeeeaf0a618
Description-en: software for Medical Imaging
 AMIDE: (Amide's a Medical Imaging Data Examiner)
 AMIDE is a tool for viewing and analyzing medical image data sets.
 It's capabilities include the simultaneous handling of multiple data
 sets imported from a variety of file formats, image fusion, 3D region
 of interest drawing and analysis, volume rendering, and rigid body
 alignments.
 .
 Amide imports most clinical DICOM files (using the DCMTK library).

Package: amideco
Description-md5: 9472bf7f77b7b944a3ca7c59cac2f1c6
Description-en: Decompress flashfiles equipped with an AMI BIOS
 Amideco is a program which can decompress BIOS images which
 contain an AMI BIOS.

Package: amiga-fdisk-cross
Description-md5: 134dfbdfd3cf5ff0fc80b91fc41e1a81
Description-en: Partition editor for Amiga partitions (cross version)
 Amiga-fdisk is, similar to fdisk for PCs, a program to partition
 harddisks. Though it seems to work quite well, it's still got some
 sort of beta status. It's suggested that you still use the AmigaDOS
 native tool "HDToolBox" to partition your harddisks and use
 amiga-fdisk only for querying partition information.
 This is the version for non-Amiga systems.

Package: amispammer
Description-md5: c4dfc2174f28ad0ffaaf052da1c80d23
Description-en: Powerful Mail Server checker on blacklists
 amispammer is a command line tool to check if one or
 more IP addresses or every MX domain records appear
 blacklisted in the most important blacklists. It's
 very useful for SysAdmins and security Professionals.

Package: amoebax
Description-md5: 6687047bd64e61ea991201acfd18fa67
Description-en: Puyo Puyo-style puzzle game for up to two players
 Due an awful mutation, some amoeba's species have started to multiply until
 they take the world if you can't stop them. Fortunately the mutation made then
 too unstable and lining up four or more will make them disappear.
 .
 Follow Kim or Tom through 6 levels in their quest to prevent the cute
 multiplying amoebas to take the world and become the new Amoeba Master. Watch
 out for the cute but amoeba's controlled creatures that will try to put and end
 to your quest.
 .
 Amoebax is designed with levels for everyone, from children to adults. With the
 training mode everybody will quickly become a master and the tournament mode
 will let you have a good time with your friends. There is also catchy music,
 funny sound effects, and beautiful screens that sure appeal to everyone in the
 family.

Package: amoebax-data
Description-md5: b9d9f80cf70880d45f0c33015a222b1c
Description-en: Data files for amoebax
 Amoebax is a cute and addictive action-puzzle game. Due an awful mutation, some
 amoeba's species have started to multiply until they take the world if you
 can't stop them. Fortunately the mutation made then too unstable and lining up
 four or more will make them disappear.
 .
 This package contain the sounds, graphics, fonts, music and special effects for
 amoebax.

Package: amphetamine
Description-md5: 84628a9130481aaae590448a13c3a80e
Description-en: jump'n run game with unique visual effects
 Amphetamine is an exciting jump'n run game that offers some unique visual
 effects like colored lighting, fogging and coronas. You must fight eleven
 evil monsters with your magic weapons.

Package: amphetamine-data
Description-md5: 0e43c7567c8534fbf1630b85ea8f4701
Description-en: data files for the game "Amphetamine"
 This package contains data files used by the game "Amphetamine".
 .
 Amphetamine is an exciting jump'n run game that offers some unique visual
 effects like colored lighting, fogging and coronas. You must fight eleven
 evil monsters with your magic weapons.

Package: amphora-agent
Description-md5: 69dc8ed981d458e6684a63e3ef5be102
Description-en: OpenStack Load Balancer Service - Amphora agent
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package provides Octavia Amphora Agent.

Package: ample
Description-md5: 075ea967482f019a306333be57ff857c
Description-en: simple MP3 server easy to use
 Ample (An MP3 LEnder) is a simple MP3 server written in C.
 It does not support mixing, radio shows, etc. Ample is just
 intended to be an easy way to remotely listen to your MP3s
 using the "open location" features in XMMS, WinAmp,
 and Media Player.

Package: ampliconnoise
Description-md5: cd8d15fcdec00d4fc4f08c519dbff884
Description-en: removal of noise from 454 sequenced PCR amplicons
 AmpliconNoise is a package of applications to clean up high-throughput
 sequence data.  It consists of three main parts:
 .
 Pyronoise - does flowgram-based clustering to spot misreads
 SeqNoise - removes PCR point mutations
 Perseus - removes PCR chimeras without the need for a set of reference
 sequences
 .
 Previously there was a standalone "Pyronoise" by the same authors and
 this package includes an updated version.  There is also a "Denoiser"
 in Qiime which is related but distinct.

Package: ampr-ripd
Description-md5: d3a53f9e01e1c22300141858b7ca2895
Description-en: Routing daemon for AMPRnet gateway announcements
 AMPRnet RIPv4 Listener and route injector daemon, used for participating in
 the amateur radio 44/8 AMPRnet gateways tunnel network. It updates IPIP tunnel
 routes based on incoming RIP updates from a master server.

Package: amqp-specs
Description-md5: ee2a2efbd8f66bc78ea8cc5320890b57
Description-en: specs for the Advanced Message Queuing Protocol (AMQP)
 AMQP is the Internet Protocol for Business Messaging
 .
 The Advanced Message Queuing Protocol (AMQP) is an open standard for
 passing business messages between applications or organizations.  It
 connects systems, feeds business processes with the information they
 need and reliably transmits onward the instructions that achieve their
 goals.
 .
 This package provides current and legacy AMQP protocol specifications,
 needed by some implementations.

Package: amqp-tools
Description-md5: 8059068d01bfb939336097d2add7f12f
Description-en: Command-line utilities for interacting with AMQP servers
 RabbitMQ provides robust messaging for applications. It is easy to use, fit
 for purpose at cloud scale and supported on all major operating systems and
 developer platforms. librabbitmq is a C-language AMQP client library for use
 with AMQP servers such as RabbitMQ speaking protocol versions 0-9-1.
 .
 This package includes command line utilities.

Package: ams
Description-md5: ec9619dfb2ce2790837d2121ab75fbd3
Description-en: Realtime modular synthesizer for ALSA
 AlsaModularSynth is a realtime modular synthesizer and effect processor.
 It features:
  * MIDI controlled modular software synthesis.
  * Realtime effect processing with capture from e.g. "Line In" or "Mic In".
  * Full control of all synthesis and effect parameters via MIDI.
  * Integrated LADSPA Browser with search capability.
  * JACK Support.

Package: amsynth
Description-md5: d4b490921ea66cb8c54e8e4cfb3bbf35
Description-en: two oscillator software synthesizer
 amSynth features:
    * two analogue-style audio oscillators, featuring:
          o sine wave
          o saw/triangle wave with adjustable shape
          o square/pulse wave with adjustable pulsewidth
          o noise generation
          o "random" wave (noise with sample & hold)
          o oscillator sync
          o of course, detune and range control
    * mixer section with ring modulation
    * analogue-style low-pass filter
          o 24dB/octave curve
          o dedicated ADSR envelope
          o cutoff and resonance control
          o keyboard pitch tracking
    * amplifier with dedicated ADSR envelope
    * modulation LFO
          o up to 58Hz modulation
          o routable to all sections (pitch, filter, amplifier)
    * Effects
          o High quality stereo reverb (freeverb)
          o Distortion/crunch
    * Easy navigation and manipulation of presets
    * Stand-alone OSS or ALSA Midi/Audio client

Package: amtterm
Description-md5: d08844c4e2da635676b54112db66d60e
Description-en: Serial-over-lan (sol) client for Intel AMT, console version
 AMT (included in Intel vPro and Centrino Pro) provides out-of-band (OOB)
 management for Desktops and Laptops, using an agent integrated in the
 network adapter and in the motherboard.
 .
 Serial-over-lan provides a secure way to connect a remote computer, through
 a pseudo serial interface.
 .
 amtterm and gamt are two terminal tools to connect to that pseudo serial
 interface from a remote computer.
 .
 amttool is a script to gather information about and remotely
 control AMT managed computers.
 .
 Starting with AMT 9.0 Intel has removed support for
 the old SOAP protocol which is used by amttool.
 Only WS Management (added in AMT 3.0) is supported by recent
 machines.  You can use wsmancli (see http://openwsman.sf.net)
 instead.

Package: amule-emc
Description-md5: 5ccfe8090f7c3b5997fba9d94c6bf8b2
Description-en: lists ed2k links inside emulecollection files
 amule-emc is a tool to parse emulecollection files and print out the
 ed2k links contained in them.
 .
 It differs from 'ed2k' program (from amule-utils) since amule-emc just
 displays the links, while ed2k actually imports the collection into aMule.

Package: an
Description-md5: c3a81b8c3754d57849b4791d04d63fde
Description-en: very fast anagram generator
 Generates anagrams for a phrase supplied by the user, the words used in the
 anagram are taken from a specified dictionary which should contain one word
 per line (default:/usr/share/dict/words). It understands accented characters
 and should work with any alphabetic language.

Package: anacrolix-dms
Description-md5: 628caa8af45ef357e25b26252e049608
Description-en: Go UPnP DLNA Digital Media Server with basic video transcoding
 dms is a UPnP DLNA Digital Media Server. It runs from the terminal, and serves
 content directly from the filesystem from the working directory, or the path
 given. The SSDP component will broadcast and respond to requests on all
 available network interfaces.
 .
 This package provides the executable dms binary files.
 .
 dms advertises and serves the raw files, in addition to alternate transcoded
 streams when it's able, such as mpeg2 PAL-DVD and WebM for the Chromecast. It
 will also provide thumbnails where possible.
 .
 dms uses ffprobe/avprobe to get media data such as bitrate
 and duration, ffmpeg/avconv for video transoding, and
 ffmpegthumbnailer for generating thumbnails when browsing. These
 commands must be in the PATH given to dms or the features
 requiring them will be disabled.
 .
 Known Compatible Players and Renderers
 ======================================
 .
  * Probably all Panasonic Viera TVs.
  * Android's BubbleUPnP and AirWire
  * Chromecast
  * VLC
  * LG Smart TVs, with varying success.

Package: anagramarama
Description-md5: 7df0ae841f315cd1c4853a2482a2ce3d
Description-en: fast paced anagram puzzle game using SDL
 Like anagrams? You'll love Anagramarama!
 The aim is to find as many words as possible in the time
 available. Get the longest word and you'll advance to the
 next level.

Package: anagramarama-data
Description-md5: 4e700f84969c3edec5e88de9643118c3
Description-en: fast paced anagram puzzle game using SDL (data files)
 Like anagrams? You'll love Anagramarama!
 The aim is to find as many words as possible in the time
 available. Get the longest word and you'll advance to the
 next level.
 .
 This package contains the data files for anagramarama.

Package: analitza-common
Description-md5: 15f6d44494810efbd452d25193052305
Description-en: common files for Analitza
 Analitza is a library to parse and work with mathematical expressions. This
 library is being used by KAlgebra and Cantor and may be used in other
 programs.
 .
 This package is part of the KDE education module.

Package: analog
Description-md5: 94c964c93f19549fb1bd26d122682b33
Description-en: web server log analyzer
 Analog is a fast log file processor that generates usage statistic reports
 for web servers.
 .
 Features:
  - Fast: can process millions of lines per minute;
  - Scalable;
  - Flexible: the default output is well suited for many needs but there
    are many options and 32 alternative report styles;
  - Internationalized output;
  - HTML output (compliant with standards);
  - Handles many log file formats;
  - Uses a command-line interface or a web interface.

Package: anarchism
Description-md5: 7f11188ff2c76a1303ec85d0262fccf0
Description-en: Exhaustive exploration of Anarchist theory and practice
 The Anarchist FAQ is an excellent source of information regarding Anarchist
 (libertarian socialist) theory and practice. It covers all major topics,
 from the basics of Anarchism to very specific discussions of politics,
 social organization, and economics.

Package: anc-api-tools
Description-md5: 52876577689dbb714650606fc7158e1d
Description-en: command line interface to Atlantic.net's cloud
 This package provides a handful of utilities that communicate with
 Atlantic.net's Cloud API.

Package: andi
Description-md5: 6d9fa7b1dfcf137973a4c0d3e60206c4
Description-en: Efficient Estimation of Evolutionary Distances
 This is the andi program for estimating the evolutionary distance
 between closely related genomes. These distances can be used to rapidly
 infer phylogenies for big sets of genomes. Because andi does not compute
 full alignments, it is so efficient that it scales even up to thousands
 of bacterial genomes.

Package: androguard
Description-md5: 5bce66701f5cc088d3d96260112b6c7c
Description-en: full Python tool to play with Android files
 Androguard is a full Python tool to play with Android files.
 .
  * DEX, ODEX
  * APK
  * Android's binary xml
  * Android resources
  * Disassemble DEX/ODEX bytecodes
  * Decompiler for DEX/ODEX files

Package: android-androresolvd
Description-md5: 2035cd9417d386ac22b065466cb5b9a0
Description-en: Daemon to transfer Android DNS property to resolv.conf
 Small user space daemon to get the Android DNS server setting and
 maintain the resolver config on a regulary basis. Note, that this
 daemon has to be started by some running android programs, in order
 to have the ANDROID_PROPERTY_WORKSPACE environment variable and
 the /dev/ashmem file descriptor (usually #9) that is inherited from
 the calling process. Another note: cannot be started from a login
 shell, because `bash --login` closes all inherited file descriptors.
 .
 This only makes sense to install on a Debian chroot running on Android.

Package: android-file-transfer
Description-md5: 58a1524e1344d1c366ef7b4c9c6d197f
Description-en: reliable MTP client with minimalistic UI
 Android-File-Transfer is a reliable MTP client with minimalistic UI.
 Its features are:
 1. Simple Qt UI with progress dialogs.
 2. FUSE wrapper, supporting partial read/writes, allowing instant access
      to your files.
 3. No file size limits.
 4. Automatically renames album cover to make it visible from media player.
 5. USB ‘Zerocopy’ support found in recent Linux kernel (no user/kernel
      data copying).
 6. No extra dependencies (e.g. libptp/libmtp).
 7. Available as static/shared library.
 8. Command line tool (aft-mtp-cli).

Package: android-framework-res
Description-md5: 55dfcc5c42ee1e81235a409a370c03ab
Description-en: Android platform framework resources
 This package provides the Android framework resources in an apk file,
 framework-res.apk

Package: android-libaapt
Description-md5: 6766f9d7dc04ef81ed3bf2af26ae59f6
Description-en: Android Asset Packaging Tool - Shared library
 The Android Asset Packaging Tool (aapt) takes your application resource
 files, such as the AndroidManifest.xml file and the XML files for your
 Activities, and compiles them. An R.java is also produced so you can
 reference your resources from your Java code. This tool allows you to view,
 create, and update Zip-compatible archives (zip, jar, apk). It can also
 compile resources into binary assets.
 .
 This package contains the shared library.

Package: android-libadb
Description-md5: 9a9bd2e4052ea70fce3bd501f9c70580
Description-en: Library for Android Debug Bridge
 This library provides APIs for accessing and controlling Android devices.
 .
 This library is only used by Android SDK currently.

Package: android-libadb-dev
Description-md5: d694e77c18a5737249d1fe0e8fbf4e95
Description-en: Library for Android Debug Bridge - Development files
 This library provides APIs for accessing and controlling Android devices.
 .
 This library is only used by Android SDK currently.
 .
 This package contains the development files.

Package: android-libandroidfw
Description-md5: 21839b22eef556d8ecf312e7dee83ff7
Description-en: Android utility library
 Library providing utility functions to Android related tools. This is needed
 purely to get various Android utilities working.  In the Google builds of the
 Android SDK, this library is statically linked, but in the Debian packaging,
 it is a private shared library.

Package: android-libandroidfw-dev
Description-md5: e6f58464d4ebdf1df4179fa3b085ae9c
Description-en: Android utility library - Development files
 Library providing utility functions to Android related tools. This is needed
 purely to get various Android utilities working.  In the Google builds of the
 Android SDK, this library is statically linked, but in the Debian packaging,
 it is a private shared library.
 .
 This package contains the development files.

Package: android-libart
Description-md5: 26a0df5a02a67c32c6ba4a1db7853ea4
Description-en: Android Runtime
 Android Runtime (ART) is the managed runtime used by applications and some
 system services on Android. ART and its predecessor Dalvik were originally
 created specifically for the Android project. ART as the runtime executes the
 Dalvik Executable format and DEX bytecode specification.
 .
 This package provides `libart` and `libsigchain`.
 .
 This library is only used by Android SDK and uses a customized RPATH.

Package: android-libbacktrace
Description-md5: c4aca87b93d1ffacda80bbaea5f75c97
Description-en: Android backtrace library
 This library is only used by Android SDK currently.

Package: android-libbacktrace-dev
Description-md5: 929a80fb925eddb2a2989058dc7254c3
Description-en: Android backtrace library - Development files
 This library is only used by Android SDK currently.
 .
 This package contains the development files.

Package: android-libbase
Description-md5: 0c270a1f75d0d57cef9cc7cb5d418366
Description-en: Android base library
 This library provides APIs for basic tasks like handling files, Unicode
 strings, logging, memory allocation, integer parsing, etc..
 .
 This library is only used by Android SDK currently.

Package: android-libbase-dev
Description-md5: 5400b9d81a8ba7cc90d4f188ed3a76b8
Description-en: Android base library - Development files
 This library provides APIs for basic tasks like handling files, Unicode
 strings, logging, memory allocation, integer parsing, etc..
 .
 This library is only used by Android SDK currently.
 .
 This package contains the development files.

Package: android-libboringssl
Description-md5: cd475d5105e77faeb7ea4e52f14b0a97
Description-en: Google's internal fork of OpenSSL for the Android SDK
 The Android SDK builds against a static version of BoringSSL,
 Google's internal fork of OpenSSL.  This package should never be used
 for anything but Android SDK packages that already depend on it.
 .
 BoringSSL arose because Google used OpenSSL for many years in various
 ways and, over time, built up a large number of patches that were
 maintained while tracking upstream OpenSSL. As Google’s product
 portfolio became more complex, more copies of OpenSSL sprung up and
 the effort involved in maintaining all these patches in multiple
 places was growing steadily.
 .
 This is the Android AOSP fork of BoringSSL which is designed to be
 used by Android and its SDK.  BoringSSL is only ever statically linked
 into apps, and pinned to a commit version.  Upstream has no official
 releases of BoringSSL on its own, so it must be included separately
 for each project that uses it.

Package: android-libboringssl-dev
Description-md5: 6c3c7635ba7c1cd4c13747e9410ce82d
Description-en: Google's internal fork of OpenSSL for the Android SDK - devel
 The Android SDK builds against a static version of BoringSSL,
 Google's internal fork of OpenSSL.  This package should never be used
 for anything but Android SDK packages that already depend on it.
 .
 BoringSSL arose because Google used OpenSSL for many years in various
 ways and, over time, built up a large number of patches that were
 maintained while tracking upstream OpenSSL. As Google’s product
 portfolio became more complex, more copies of OpenSSL sprung up and
 the effort involved in maintaining all these patches in multiple
 places was growing steadily.
 .
 This is the Android AOSP fork of BoringSSL which is designed to be
 used by Android and its SDK.  BoringSSL is only ever statically linked
 into apps, and pinned to a commit version.  Upstream has no official
 releases of BoringSSL on its own, so it must be included separately
 for each project that uses it.
 .
 This package contains the development files.

Package: android-libcrypto-utils
Description-md5: 5a6e589e739273886b41a9578ecdd792
Description-en: Android crypto-utils library
 This library provides utility APIs for cryptography.
 .
 This library is only used by Android SDK currently.

Package: android-libcrypto-utils-dev
Description-md5: 83d46df1c2c1e621078e31295a948368
Description-en: Android crypto-utils library - Development files
 This library provides utility APIs for cryptography.
 .
 This library is only used by Android SDK currently.
 .
 This package provides the development files.

Package: android-libcutils
Description-md5: fd1d222be998cc5b11ef894b0dccf4b2
Description-en: Android utils library for C
 This library provides common functionalities for android related tools.
 .
 This library is only used by Android SDK currently.

Package: android-libcutils-dev
Description-md5: 8dbc8f2a1febc7395868e7a5f0621a49
Description-en: Android utils library for C - Development files
 This library provides common functionalities for android related tools.
 .
 This library is only used by Android SDK currently.
 .
 This package contains the development files.

Package: android-libetc1
Description-md5: 5f9419938c4b10c1c48f183ab55ae72b
Description-en: ETC1 compression library
 Ericsson Texture Compression (ETC) is a lossy texture compression technique
 developed in collaboration with Ericsson Research in early 2005. libETC1
 provides the encoding and decoding of ETC1 compression algorithm.

Package: android-libetc1-dev
Description-md5: a3f10417a5046e2c8f597092dbabc7dd
Description-en: ETC1 compression library - Development files
 Ericsson Texture Compression (ETC) is a lossy texture compression technique
 developed in collaboration with Ericsson Research in early 2005. libETC1
 provides the encoding and decoding of ETC1 compression algorithm.
 .
 This package provides the development files.

Package: android-libext4-utils
Description-md5: 26705986f65177c6a575b2224a9edec6
Description-en: Android ext4 utility library
 This library is only used by fastboot currently.

Package: android-libext4-utils-dev
Description-md5: 31a247bdaa4f3dae59c29cb44d22c125
Description-en: Android ext4 utility library - Development files
 This library is only used by fastboot currently.
 .
 This package contains the development files.

Package: android-libf2fs-utils
Description-md5: bd77d12a8ebf0b8841aa467672ab855e
Description-en: Android F2FS utility library
 This package contains only libf2fs_utils which is composed of
 libf2fs_ioutils and libf2fs_dlutils.
 .
 This library is only used by fastboot currently.

Package: android-libf2fs-utils-dev
Description-md5: 7d3bf428a98a1b52ae0c9458f2381c92
Description-en: Android F2FS utility library - Development files
 This package contains only libf2fs_utils which is composed of
 libf2fs_ioutils and libf2fs_dlutils.
 .
 This library is only used by fastboot currently.
 .
 This package contains the development files.

Package: android-liblog
Description-md5: 9f7f6cb1971d10d4d4cdf57b3a57437b
Description-en: Android NDK logger interfaces
 liblog represents an interface to the volatile Android Logging system for NDK
 (Native) applications and libraries. Interfaces for either writing or reading
 logs. The log buffers are divided up in Main, System, Radio and Events
 sub-logs.

Package: android-liblog-dev
Description-md5: 5ad1bb25d167e070f3b3738d5d6501f5
Description-en: Android NDK logger interfaces - Development files
 liblog represents an interface to the volatile Android Logging system for NDK
 (Native) applications and libraries. Interfaces for either writing or reading
 logs. The log buffers are divided up in Main, System, Radio and Events
 sub-logs.
 .
 This package contains the development files.

Package: android-libnativebridge
Description-md5: 780d33f01091b260b150268622680c6a
Description-en: Android native bridge library
 This library is only used by Android SDK currently.

Package: android-libnativebridge-dev
Description-md5: 2209c47f0f27295ec5a98638a390d880
Description-en: Android native bridge library - Development files
 This library is only used by Android SDK currently.
 .
 This package provides the development files.

Package: android-libnativehelper
Description-md5: e711be8beaa9635f4f02e8a345bae8c4
Description-en: Support functions for Android's class libraries
 This library is only used by Android SDK currently.

Package: android-libnativehelper-dev
Description-md5: d9aac5d0071ff6be4a8add07b5871628
Description-en: Support functions for Android's class libraries - Development files
 This library is only used by Android SDK currently.
 .
 This package contains the development files.

Package: android-libnativeloader
Description-md5: 78ae8e07ddfddcca69ebfeb5180e65c0
Description-en: Android native loader library
 This library is only used by Android SDK currently.

Package: android-libnativeloader-dev
Description-md5: a2707fb59d684418d6fb61b45b143793
Description-en: Android native loader library - Development files
 This library is only used by Android SDK currently.
 .
 This package provides the development files.

Package: android-libselinux
Description-md5: df07df1a85ccddb584871278ee13cab1
Description-en: Security-Enhanced Linux for Android
 This library provides an API for SELinux applications to get and set process
 and file security contexts and to obtain security policy decisions.
 .
 This library is an AOSP fork of libselinux, adding features specifically for
 Android and is intended for Android libraries only.

Package: android-libselinux-dev
Description-md5: 66acedb5654da0817b7b9859e626d34c
Description-en: Security-Enhanced Linux for Android - Development files
 This library provides an API for SELinux applications to get and set process
 and file security contexts and to obtain security policy decisions.
 .
 This library is an AOSP fork of libselinux, adding features specifically for
 Android and is intended for Android libraries only.
 .
 This package provides the development files.

Package: android-libsepol
Description-md5: eabcc14c2637d6ff9eeab2486c777f8a
Description-en: Security-Policy Linux for Android
 This library provides an API for setting, checking sepolicy.

Package: android-libsepol-dev
Description-md5: 43b33600f044f6dfc4a2a38db002fd2a
Description-en: Security-Policy Linux for Android - Development files
 This library provides an API for setting, checking sepolicy.
 .
 This package provides the development files.

Package: android-libsparse
Description-md5: fcfc4311d4b14b27020e77f2250237d8
Description-en: Library for sparse files
 This library provides APIs for creating, manipulating and destroying sparse
 files.
 .
 This library is only used by Android SDK currently.

Package: android-libsparse-dev
Description-md5: b99b0d0490ab2905c5577e6068f1839d
Description-en: Library for sparse files - Development files
 This library provides APIs for creating, manipulating and destroying sparse
 files.
 .
 This library is only used by Android SDK currently.
 .
 This package contains the development files.

Package: android-libunwind
Description-md5: 369ea6992880b13175955104d66763a6
Description-en: libunwind for Android
 This library is the AOSP fork of libunwind. It is used by libbacktrace.
 .
 This package is only available on x86, ARM and MIPS because the AOSP
 modifications only apply on these platforms.
 .
 This library is only used by Android SDK currently.

Package: android-libunwind-dev
Description-md5: 8ef384bc008e0649ef4905e21aec542b
Description-en: libunwind for Android - Development files
 This library is the AOSP fork of libunwind. It is used by libbacktrace.
 .
 This package is only available on x86, ARM and MIPS because the AOSP
 modifications only apply on these platforms.
 .
 This library is only used by Android SDK currently.
 .
 This package provides the development files.

Package: android-libutils
Description-md5: 5733fbd900654b274afd776fc170cf63
Description-en: Android Utility Function Library
 This library provides miscellaneous utility functions.
 .
 This library is only used by Android SDK currently.

Package: android-libutils-dev
Description-md5: f37768d78bf5e25e5cf20a8d5e5dbd1f
Description-en: Android Utility Function Library - Development files
 This library provides miscellaneous utility functions.
 .
 This library is only used by Android SDK currently.
 .
 This package contains the development files.

Package: android-libziparchive
Description-md5: f2eb7b1deaf0e36dfe923e3b4709e319
Description-en: Library for ZIP archives
 This library provides APIs for creating and manipulating ZIP archives.
 .
 This library is only used by Android SDK currently.

Package: android-libziparchive-dev
Description-md5: 217dfecadef26031dff8fa80a1788d9e
Description-en: Library for ZIP archives - Development files
 This library provides APIs for creating and manipulating ZIP archives.
 .
 This library is only used by Android SDK currently.
 .
 This package contains the development files.

Package: android-logtags-tools
Description-md5: 885533142703981ecd45d4881dca3be5
Description-en: Tools from AOSP that process event-log-tags files
 This package contains Python scripts from AOSP repository platform/build that
 process event-log-tags (.logtags) files. It contains:
   * java-event-log-tags
   * merge-event-log-tags
 They are used in the process of building the Android platform framework
 "android.jar".

Package: android-platform-frameworks-native-headers
Description-md5: 9b4761969a07d759969353665ec4add2
Description-en: Headers of android-platform-frameworks-native
 This package contains headers in include/android in platform/frameworks/native.

Package: android-platform-libcore-headers
Description-md5: ddfc68a9455ce87f6ed80966be34e801
Description-en: Header files in AOSP repository platform/libcore
 This package provides the header files included in the AOSP repository
 platform/libcore.
 .
 These headers are used by android-platform-libnativehelper.

Package: android-platform-system-core-headers
Description-md5: d17abc6b3966d0256defe412df8e7b21
Description-en: Shared headers in AOSP repository platform/system/core
 This package contains header files in AOSP repository platform/system/core that
 do not belong to any specific libraries but used by other programs.
 .
 This package currently contains headers in include/private and include/system.

Package: android-platform-tools-base
Description-md5: e724a4ad8dddb3177d0b6564f765b557
Description-en: base tools for developing applications for the Android system
 This package includes various tools for developing and building Android
 applications, e.g.
 .
  * Draw 9-patch: Allows you to easily create a NinePatch graphic using a
    WYSIWYG editor. It also previews stretched versions of the image, and
    highlights the area in which content is allowed.
 .
  * screenshot2: Takes a screenshot from a remote Android device.
 .
 default-jre or other non-headless JRE needs to be installed in order to run
 "draw9patch". However draw9patch fails to run due to bug #798794.

Package: android-sdk
Description-md5: 6d199f77014c62b8baf8cbdddbe08a29
Description-en: Software development kit for Android platform
 The Android SDK includes a variety of tools that help you develop mobile
 applications for the Android platform. The tools are classified into 3 groups:
 SDK Tools, Platform-tools and Build-tools.
 .
 SDK Tools are platform independent and are required no matter which Android
 platform you are developing on. It is the base toolset of Android SDK.
 .
 This metapackage pulls the entire Android SDK.

Package: android-sdk-build-tools
Description-md5: 43a808bc9fee61d6ccbfbe518b214550
Description-en: Tools for building Android applications
 The Android SDK includes a variety of tools that help you develop mobile
 applications for the Android platform. The tools are classified into 3 groups:
 SDK Tools, Platform-tools and Build-tools.
 .
 Build-tools is a component of the Android SDK required for building Android
 application code.
 .
 This package pulls Android SDK Build-tool.

Package: android-sdk-build-tools-common
Description-md5: a1f3a1d9f77e8e522be8374bfb6c88fc
Description-en: Tools for building Android applications - Common files
 The Android SDK includes a variety of tools that help you develop mobile
 applications for the Android platform. The tools are classified into 3 groups:
 SDK Tools, Platform-tools and Build-tools.
 .
 Build-tools is a component of the Android SDK required for building Android
 application code.
 .
 This package provides common files of Android SDK Build-tools.

Package: android-sdk-common
Description-md5: 4fccf15a7285feec6ff7fd703232b171
Description-en: Common files of Android SDK base toolset
 The Android SDK includes a variety of tools that help you develop mobile
 applications for the Android platform. The tools are classified into 3 groups:
 SDK Tools, Platform-tools and Build-tools.
 .
 SDK Tools are platform independent and are required no matter which Android
 platform you are developing on. It is the base toolset of Android SDK.
 .
 This package provides common files of Android SDK Tools.

Package: android-sdk-ext4-utils
Description-md5: 025c99dab7f0ef5f26b92ba9ea3bf801
Description-en: Android ext4-utils tools
 Command line tools to make sparse images from ext4 file system images
 and android images(.img) with ext4 file systems.
 .
 This package contains tools like mkuserimg, ext4fixup and
 make_ext4fs tools.

Package: android-sdk-helper
Description-md5: 221d78f0d4a62a11f0090a68db3eb898
Description-en: Helper tools for building Android apps using Debian's Android SDK
 The Android SDK packaged in Debian is a restricted distribution with only one
 version of Build-Tools and limited selections of the Android target frameworks
 (android.jar). This package provides helper tools to build Android apps using
 Debian's Android SDK without modifying the build scripts.
 .
 This package consists of:
 .
   * A Gradle init script that forces a build script to use the Gradle Android
     Plugin in Debian which is patched to work with the SDK.

Package: android-sdk-libsparse-utils
Description-md5: 3553bf23f2e9bcfce20e8914cbf50475
Description-en: Android sparse image creation tool
 Command line tools to create sparse images for usage with Android devices.
 Includes simgimg, img2simg, simg2simg, simg_dump and append2simg tools.

Package: android-sdk-platform-23
Description-md5: 06ee719e2ca70a30b653b7df3002267c
Description-en: Android SDK Platform for API Level 23 (6.0 Marshmallow)
 This package provides the Android SDK Platform for API Level 23
 (6.0 Marshmallow).
 .
 An Android SDK Platform of a specific API Level is required to build any
 Android applications that target this API Level.

Package: android-sdk-platform-tools
Description-md5: 39e80bb695d322ee9edeb1706c1f7e25
Description-en: Tools for interacting with an Android platform
 The Android SDK includes a variety of tools that help you develop mobile
 applications for the Android platform. The tools are classified into 3 groups:
 SDK Tools, Platform-tools and Build-tools.
 .
 Platform-tools are customized to support the features of the latest Android
 platform.
 .
 This package pulls Android SDK Platform-tools.

Package: android-sdk-platform-tools-common
Description-md5: 80338f92140ec44bdea29878d26b0c09
Description-en: Tools for interacting with an Android platform - Common files
 The Android SDK includes a variety of tools that help you develop mobile
 applications for the Android platform. The tools are classified into 3 groups:
 SDK Tools, Platform-tools and Build-tools.
 .
 Platform-tools are customized to support the features of the latest Android
 platform.
 .
 This package provides common files of Android SDK Platform-tools. It also
 provides UDEV rules enabling adb and fastboot to work without root access to
 the host machine.

Package: android-tools-adb
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: android-tools-fastboot
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: android-tools-mkbootimg
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: anfo
Description-md5: 9d40ff8dbfbc176f7e331dbd4776af7e
Description-en: Short Read Aligner/Mapper from MPG
 Anfo is a mapper in the spirit of Soap/Maq/Bowtie, but its implementation takes
 more after BLAST/BLAT. It's most useful for the alignment of sequencing reads
 where the DNA sequence is somehow modified (think ancient DNA or bisulphite
 treatment) and/or there is more divergence between sample and reference than
 what fast mappers will handle gracefully (say the reference genome is missing
 and a related species is used instead).

Package: angband
Description-md5: 90d4a72141f9ca3708ba95c0959f9c27
Description-en: Single-player, text-based, dungeon simulation game
 Angband is a single-player, text-based, dungeon simulation derived
 from the game Moria, which was in turn based on Rogue. It is
 often described as a "roguelike" game because the look and feel of
 the game is still quite similar to Rogue (though there are now graphical
 tiles available if you dislike ASCII gaming). Angband has been in more or
 less continuous development since the early 1990s.
 .
 The ultimate goal of the game is to develop a character strong enough
 to defeat Morgoth, who resides on dungeon level 100. Upon doing so,
 you will receive the exalted status of "winner" and your character may
 retire. To achieve this you will need to explore numerous dungeon levels,
 defeat many foes and sift through a great deal of treasure.
 .
 Angband is a reference to Morgoth's "prison of iron" in the world of
 Middle-Earth, created by J.R.R. Tolkien.

Package: angband-data
Description-md5: 7b2836b71cc1739b4cdd4bc99db7ddbf
Description-en: Game data for angband
 Angband is a single-player, text-based, dungeon simulation derived
 from the game Moria, which was in turn based on Rogue. It is
 often described as a "roguelike" game because the look and feel of
 the game is still quite similar to Rogue (though there are now graphical
 tiles available if you dislike ASCII gaming). Angband has been in more or
 less continuous development since the early 1990s.
 .
 This package contains the data files for the game.

Package: angrydd
Description-md5: 65a714deba9dc1549d9ac236a28d5623
Description-en: Angry Drunken Dwarves - falling blocks puzzle game
 In Angry, Drunken Dwarves, you are an angry, drunken dwarf. Why are you so
 angry? Who knows. But you've decided to take your aggression out on other
 dwarves, by dropping gems on their heads. Lots of gems. angrydd is a member of
 the classic "falling blocks" puzzle game family, similar to the Capcom game
 Puzzle Fighter. The goal of the game is to build large gems by matching up
 colors, then break them, raining more gems down onto your opponent. The first
 person whose field fills up, loses.  angrydd has seven playable characters,
 six levels of AI, secret game modes and characters, and an original
 soundtrack.

Package: animals
Description-md5: f30d1c2cac6eb8923ffa7c171b0f6951
Description-en: Traditional AI animal guessing engine using a binary tree DB
 You think of an animal, and this package tries to guess it... when it's wrong,
 you teach it about your animal.
 .
 To be more flexible and help educational aspect this game does not contain
 an initial database. This also allows it to be used for non animals like
 guessing of tools or locations.

Package: anjuta
Description-md5: af8d37dc68392d1aa98b519cb3780660
Description-en: GNOME development IDE, for C/C++
 This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy
 debugging, management of code and GUI design by providing a simple and
 usable user interface. It also integrates with version control systems
 like CVS, Git or Subversion.

Package: anjuta-common
Description-md5: 4aaaed4290abe278e18de84a93204c9d
Description-en: GNOME development IDE, for C/C++ - data files
 This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy
 debugging, management of code and GUI design by providing a simple and
 usable user interface. It also integrates with version control systems
 like CVS, Git or Subversion.
 .
 This package provides the required data files for Anjuta.

Package: anjuta-extras
Description-md5: 08719358bf19281d5f292abcac89a9d7
Description-en: plugins and extras for anjuta
 anjuta-extras contain a set of plugins for anjuta, GNOME development IDE.
 .
 The following plugins are included:
  * Sample Plugin: Sample Plugin for Anjuta.
  * Scintilla Editor: An alternate editor based on Scintilla
  * Scratchbox: Change build commands to use scratchbox 1 or 2

Package: anki
Description-md5: 6be929a54b8551bbabe9503440075d3f
Description-en: extensible flashcard learning program
 Anki is a program designed to help you remember facts (such as words and
 phrases in a foreign language) as easily, quickly and efficiently as possible.
 To do this, it tracks how well you remember each fact, and uses that
 information to optimally schedule review times.
 .
 Besides text, it supports sounds, images and rendering TeX snippets in the
 cards.  It can synchronize card decks to a server so that you can review the
 deck on other computers, a web interface or mobile devices, for which versions
 of Anki are also available.  Complete card decks offered by other users can be
 downloaded the same way.
 .
 Anki is extensible with plugins which can be downloaded and installed from
 the menu.  While Anki can be used for studying anything, plugins are available
 with special features designed to make studying Japanese and English easier:
 integrated dictionary lookups, missing kanji reports, and more.

Package: ann-tools
Description-md5: 94f104b403d92f88a61b5ef568b9598c
Description-en: Approximate Nearest Neighbor Searching library (tools)
 ANN is a library written in C++, which supports data structures and
 algorithms for both exact and approximate nearest neighbor searching
 in arbitrarily high dimensions.  ANN assumes that distances
 are measured using any class of distance functions called Minkowski
 metrics. These include the well known Euclidean distance, Manhattan
 distance, and max distance. ANN performs quite efficiently for point
 sets ranging in size from thousands to hundreds of thousands, and in
 dimensions as high as 20.
 .
 This package contains the ann2fig (display ANN output in fig format)
 and the ann_sample (a sample demonstration for ANN) programs.

Package: anomaly
Description-md5: 704790c92ce17d96cce01198ae4b7750
Description-en: detect anomalous data in a numeric stream
 To detect anomalous data in a numeric stream, anomaly needs to see
 a stream of numeric data, and apply one of its detection methods.
 If an anomaly is detected, a response is made, chosen from one or
 more built in methods.

Package: anorack
Description-md5: bb2ab14aa36e44286a461d2e308986b4
Description-en: specialized spell-checker that finds incorrect indefinite articles
 The English language has two indefinite articles:
 .
 - a: used before words that begin with a consonant sound (e.g., a program, a
   host, a user);
 .
 - an: used before words that begin with a vowel sound (e.g., an example, an
   hour, an undefined variable).
 .
 anorack is a specialized spell-checker that finds incorrect usage of these
 articles.

Package: ansible
Description-md5: db2b21b0d2286fccc4401d5982361488
Description-en: Configuration management, deployment, and task execution system
 Ansible is a radically simple model-driven configuration management,
 multi-node deployment, and remote task execution system. Ansible works
 over SSH and does not require any software or daemons to be installed
 on remote nodes. Extension modules can be written in any language and
 are transferred to managed machines automatically.

Package: ansible-doc
Description-md5: b4f36330179cb3fdb9f9d31dcd85daf0
Description-en: Ansible documentation and examples
 Ansible is a radically simple model-driven configuration management,
 multi-node deployment, and remote task execution system. Ansible works
 over SSH and does not require any software or daemons to be installed
 on remote nodes. Extension modules can be written in any language and
 are transferred to managed machines automatically.
 .
 This package contains HTML documentation and examples.

Package: ansible-lint
Description-md5: a381932960794814b41d4276fb69f7a5
Description-en: lint tool for Ansible playbooks
 Ansible playbooks express configuration, deployment and orchestration in YAML
 format. They can describe a policy you want your remote systems to enforce,
  or a set of steps in a general IT process.
 .
 ansible-lint checks Ansible playbooks for practices and behaviour that could
 potentially be improved.

Package: ansible-tower-cli
Description-md5: 9a75a00fd7c22e6be1b036590cb1ccf0
Description-en: command line tool for Ansible Tower and AWX Project
 tower-cli is a command line tool for Ansible Tower. It allows Tower
 commands to be easily run from the Unix command line.
 .
 This command line tool sends commands to the Tower API. It is capable of
 retrieving, creating, modifying, and deleting most objects within Tower.
 .
 A few potential uses include:
  * Launching playbook runs
  * Checking on job statuses
  * Rapidly creating objects like organizations, users, teams and more

Package: ansible-tower-cli-doc
Description-md5: afc1576cbb48a247cd6babc0096240b3
Description-en: documentation for tower-cli command line tool and library
 tower-cli is a command line tool for Ansible Tower. It allows Tower
 commands to be easily run from the Unix command line. It can also be
 used as a client library for other Python apps, or as a reference for
 others developing API interactions with Tower's REST API.
 .
 This package contains the documentation for the command line tool and
 the library.

Package: ansilove
Description-md5: 4ee198996b480f04b7f5f87643419277
Description-en: ANSI and ASCII art to PNG converter
 The following formats are supported:
  - .ANS - ANSi (ANSI escape sequences: ANSI X3.64 standard)
  - .PCB - PCBoard Bulletin Board System (BBS) own file format
  - .BIN - Binary format (raw memory copy of text mode video memory)
  - .ADF - Artworx format, supporting custom character sets and palettes
  - .IDF - iCE Draw format, supporting custom character sets and palettes
  - .TND - TundraDraw format, supporting 24-bit color mode
  - .XB  - The eXtended Binary XBin format, supporting custom character
           sets and palettes
 .
 This is capabable of processing:
  - SAUCE records
  - DOS and Amiga fonts (embedded binary dump)
  - iCE colors
 .
 Even more:
  - Output files are highly optimized 4-bit PNGs.
  - Optionally generates additional (and proper) Retina @2x PNG.
  - You can use custom options for adjusting output results.
  - Built-in support for rendering Amiga ASCII.

Package: ansiweather
Description-md5: a9f70be5a7c5f7c41299393024dc2f37
Description-en: Weather in your terminal, with ANSI colors and Unicode symbols
 AnsiWeather is a Shell script for displaying the current
 weather conditions in your terminal, with support for
 ANSI colors and Unicode symbols.
 .
 Weather data comes from OpenWeatherMap, free weather API.

Package: ant
Description-md5: 104974004cbbc2340c2f993bcc2ea654
Description-en: Java based build tool like make
 Apache Ant is a Java library and command-line tool whose mission is to drive
 processes described in build files as targets and extension points dependent
 upon each other. The main known usage of Ant is the build of Java applications.
 Ant supplies a number of built-in tasks allowing to compile, assemble, test
 and run Java applications. Ant can also be used effectively to build non Java
 applications, for instance C or C++ applications. More generally, Ant can be
 used to pilot any type of process which can be described in terms of targets
 and tasks.
 .
 This package contains the scripts and the core tasks libraries.

Package: ant-contrib
Description-md5: 8aabd2378cb21c875bf00bbf4783525c
Description-en: collection of tasks, types and other tools for Apache Ant
 Extends ant and provides the following tasks:
 .
  * Logic Tasks
  * Network Tasks
  * Performance Monitoring and Tasks
  * Platform Tasks
  * Property Tasks
  * Process Tasks
  * Other (unstable) Tasks

Package: ant-contrib-cpptasks
Description-md5: 3ec8e31e8a7de44516955b714824e469
Description-en: C/C++ compilation tasks for Ant
 The cc task can compile various source languages and produce executables,
 shared libraries (aka DLL's) and static libraries.
 .
 Compiler adaptors are currently available for C/C++, FORTRAN, MIDL and
 Windows Resource compilers.

Package: ant-doc
Description-md5: 5dc7d2a898fd0b7c2bbd93393dc5ef63
Description-en: Java based build tool like make - API documentation and manual
 Apache Ant is a Java library and command-line tool whose mission is to drive
 processes described in build files as targets and extension points dependent
 upon each other. The main known usage of Ant is the build of Java applications.
 Ant supplies a number of built-in tasks allowing to compile, assemble, test
 and run Java applications. Ant can also be used effectively to build non Java
 applications, for instance C or C++ applications. More generally, Ant can be
 used to pilot any type of process which can be described in terms of targets
 and tasks.
 .
 This package contains the manual of ant as well as the API documentation.

Package: ant-optional
Description-md5: 031868c57b6c6724ca7d70ff964eb724
Description-en: Java based build tool like make - optional libraries
 Apache Ant is a Java library and command-line tool whose mission is to drive
 processes described in build files as targets and extension points dependent
 upon each other. The main known usage of Ant is the build of Java applications.
 Ant supplies a number of built-in tasks allowing to compile, assemble, test
 and run Java applications. Ant can also be used effectively to build non Java
 applications, for instance C or C++ applications. More generally, Ant can be
 used to pilot any type of process which can be described in terms of targets
 and tasks.
 .
 This package contains the optional tasks libraries.

Package: antennavis
Description-md5: 1e51a95b2c06188d87b02185db570cb4
Description-en: antenna radiation pattern visualization software
 Antennavis is a visualization toolkit designed to aid the user in better
 understanding the data output by the NEC2 antenna modelling software.

Package: anthy
Description-md5: 8a00e2c5c0777845b93c9209e9103f5d
Description-en: Japanese kana-kanji conversion - utilities
 Anthy is kana-kanji conversion engine for Japanese.  It converts
 hiragana text to mixed kana and kanji.  It is implemented as a library
 and stores private information in ~/.anthy/.

Package: anthy-common
Description-md5: a4cb8fcb1285deeab17a07a1d474f1aa
Description-en: Japanese kana-kanji conversion - dictionary
 Anthy is kana-kanji conversion engine for Japanese.  It converts
 hiragana text to mixed kana and kanji.  It is implemented as a library
 and stores private information in ~/.anthy/.
 .
 This package provides common dictionary data files.

Package: anthy-el
Description-md5: 66ca5add46b3548ac2d3848be485a129
Description-en: Japanese kana-kanji conversion - elisp frontend
 Anthy is kana-kanji conversion engine for Japanese.  It converts
 hiragana text to mixed kana and kanji.  It is implemented as a library
 and stores private information in ~/.anthy/.
 .
 This package provides an Anthy frontend for Emacs.

Package: antigravitaattori
Description-md5: b70e0c6a337822cf5bb35a1f19f899f6
Description-en: Multiplayer flying saucer racing game
 This is a multiplayer flying saucer racing game, made for
 Assembly 2006 game competition. It is a great and fun game
 for the family with up to four players. Coming with
 astonishing 3d rendered graphics.

Package: antimicro
Description-md5: 86ccc5ca10d2ef7daf49967e91d96e40
Description-en: GUI for mapping keyboard keys and mouse controls to a gamepad
 antimicro is a graphical program used to map keyboard keys and mouse
 controls to a gamepad. This program is useful for playing PC games
 using a gamepad that do not have any form of built-in gamepad support.
 However, you can use this program to control any desktop application
 (while running an X11 environment) with a gamepad.
 .
 https://github.com/AntiMicro/antimicro-profiles is a repository for
 pre-made antimicro profiles, providing convenience controller layouts
 suitable for playing a game without having to map everything yourself.
 .
 Use evtest, or perhaps jstest from the joystick package, if you
 encounter problems detecting a controller, axes or buttons.

Package: antimony
Description-md5: 0148c05eecd2be8e7af03a4faad9516f
Description-en: Computer-aided design CAD tool
 Antimony is a computer-aided design (CAD) tool from a
 parallel universe in which CAD software evolved
 from Lisp machines rather than drafting tables.
 .
 Antimony provides the Python3 Fab that is
 a set of software tools for personal fabrication,
 intended for use with machines common to fab labs.
 For info about Fab visit kokompe.cba.mit.edu/.

Package: antiword
Description-md5: 91202a9a74fb47111423e1091e439879
Description-en: Converts MS Word files to text, PS, PDF and XML
 Antiword is a free MS Word reader.
 .
 It converts the binary files from MS Word 2, 6, 7, 97, 2000 and 2003 to text,
 Postscript, PDF and XML.
 .
 Note that it doesn't support the XML-based formats which newer MS Word
 versions produce by default (.docx).

Package: antlr
Description-md5: 21a2d2105df695e242797a7829b2c9b5
Description-en: language tool for constructing recognizers, compilers etc
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].
 .
 Computer language translation has become a common task. While
 compilers and tools for traditional computer languages (such as C
 or Java) are still being built, their number is dwarfed by the thousands
 of mini-languages for which recognizers and translators are being
 developed. Programmers construct translators for database formats,
 graphical data files (e.g., PostScript, AutoCAD), text processing
 files (e.g., HTML, SGML).  ANTLR is designed to handle all of your
 translation tasks.

Package: antlr-doc
Description-md5: 2c7eadb8bcc6ff951791285f19c40007
Description-en: language tool for constructing recognizers, compilers etc
 This package contains the documentation and examples for antlr.
 ANTLR stands for ANother Tool for Language Recognition,
 (formerly PCCTS). It is  a language tool that provides a framework
 for constructing recognizers, compilers, and translators from
 grammatical descriptions containing C++ or Java actions
 [You can use PCCTS 1.xx to generate C-based parsers].
 .
 See antlr package for a complete description

Package: antlr3
Description-md5: 21a2d2105df695e242797a7829b2c9b5
Description-en: language tool for constructing recognizers, compilers etc
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].
 .
 Computer language translation has become a common task. While
 compilers and tools for traditional computer languages (such as C
 or Java) are still being built, their number is dwarfed by the thousands
 of mini-languages for which recognizers and translators are being
 developed. Programmers construct translators for database formats,
 graphical data files (e.g., PostScript, AutoCAD), text processing
 files (e.g., HTML, SGML).  ANTLR is designed to handle all of your
 translation tasks.

Package: antlr3-doc
Description-md5: bd618486dfe5bebd542ecda50ac17c1e
Description-en: language tool for constructing compilers etc - documentation
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].
 .
 This package provides the API documentation for ANTLR 3

Package: antlr3-gunit-maven-plugin
Description-md5: 051b34931b7cfa9971b0411905135c53
Description-en: Maven plugin for gUnit, a unit test framework for ANTLR grammars
 gUnit is a "Unit Test" framework for ANTLR grammars. It provides a simple
 way to write and run automated tests for ANTLR grammars in a manner similar
 to Java unit testing framework jUnit. The basic idea is to create a bunch of
 input/output pairs for rules in a grammar and gUnit will verify the expected
 output/result. The input can be a single line or multiple lines of strings or
 even an external file. The output can be simply success or failure, an
 abstract syntax tree (AST), a rule return value, or some text output which
 could be a rule's template return value. The current version of gUnit has 2
 main functions, interpreter and jUnit generator. The interpreter interprets
 your gUnit script and runs unit tests using Java reflection to invoke methods
 in your parser objects. The generator, on the other hand, translates your
 gUnit script to jUnit Java code that you can compile and execute by hand.
 .
 This package provides the Maven plugin that allows one to run gUnit tests
 during a Maven build.

Package: antlr3-maven-plugin
Description-md5: cd0bc2f69d05bac981be882ad48e298c
Description-en: Maven plugin for ANTLR 3
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].
 .
 This package provides the Maven plugin that supports compiling ANTLR 3
 grammars during a Maven build

Package: antlr3.2
Description-md5: 21a2d2105df695e242797a7829b2c9b5
Description-en: language tool for constructing recognizers, compilers etc
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].
 .
 Computer language translation has become a common task. While
 compilers and tools for traditional computer languages (such as C
 or Java) are still being built, their number is dwarfed by the thousands
 of mini-languages for which recognizers and translators are being
 developed. Programmers construct translators for database formats,
 graphical data files (e.g., PostScript, AutoCAD), text processing
 files (e.g., HTML, SGML).  ANTLR is designed to handle all of your
 translation tasks.

Package: antlr3.2-gunit-maven-plugin
Description-md5: 051b34931b7cfa9971b0411905135c53
Description-en: Maven plugin for gUnit, a unit test framework for ANTLR grammars
 gUnit is a "Unit Test" framework for ANTLR grammars. It provides a simple
 way to write and run automated tests for ANTLR grammars in a manner similar
 to Java unit testing framework jUnit. The basic idea is to create a bunch of
 input/output pairs for rules in a grammar and gUnit will verify the expected
 output/result. The input can be a single line or multiple lines of strings or
 even an external file. The output can be simply success or failure, an
 abstract syntax tree (AST), a rule return value, or some text output which
 could be a rule's template return value. The current version of gUnit has 2
 main functions, interpreter and jUnit generator. The interpreter interprets
 your gUnit script and runs unit tests using Java reflection to invoke methods
 in your parser objects. The generator, on the other hand, translates your
 gUnit script to jUnit Java code that you can compile and execute by hand.
 .
 This package provides the Maven plugin that allows one to run gUnit tests
 during a Maven build.

Package: antlr3.2-maven-plugin
Description-md5: 4da9b6c9767f2e37a32e8ddaa9b3fa12
Description-en: Maven plugin for ANTLR 3.2
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].
 .
 This package provides the Maven plugin that supports compiling ANTLR 3
 grammars during a Maven build

Package: antlr4
Description-md5: e0537c09f9ea82b5b9d665d3548672dc
Description-en: ANTLR Parser Generator
 ANTLR (ANother Tool for Language Recognition) is a powerful parser generator
 for reading, processing, executing, or translating structured text or binary
 files. It's widely used to build languages, tools, and frameworks. From a
 grammar, ANTLR generates a parser that can build and walk parse trees.

Package: antlr4-doc
Description-md5: 9d7307d4411a86d099b075c1248de016
Description-en: ANTLR Parser Generator (documentation)
 ANTLR (ANother Tool for Language Recognition) is a powerful parser generator
 for reading, processing, executing, or translating structured text or binary
 files. It's widely used to build languages, tools, and frameworks. From a
 grammar, ANTLR generates a parser that can build and walk parse trees.
 .
 This package provides the API documentation for ANTLR 4.

Package: antlr4-maven-plugin
Description-md5: 3feb00e2c59d6fe7ea633645ff1a9618
Description-en: Maven plugin for ANTLR 4
 ANTLR (ANother Tool for Language Recognition) is a powerful parser generator
 for reading, processing, executing, or translating structured text or binary
 files. It's widely used to build languages, tools, and frameworks. From a
 grammar, ANTLR generates a parser that can build and walk parse trees.
 .
 This package provides the Maven plugin that supports compiling ANTLR 4
 grammars during a Maven build.

Package: antpm
Description-md5: b97569ae767d64acd327d9c3aa685d3c
Description-en: ANT+ information retrieval client for Garmin GPS products
 This software uses the Garmin ANT+ proprietary USB keys and
 communication protocol to retrieve information (such as GPS traces)
 from some Garmin Forerunner watches such as Forerunner 405 and 310XT.
 .
 The underlying ANT+minus implements the ANT/ANT+/ANT-FS protocols to
 provide these tools: garmin-ant-downloader, antpm-downloader,
 antpm-fit2gpx, and antpm-usbmon2ant.
 .
 ANT+minus is a userspace implementation of a wire protocol similar
 to the ANT/ANT+/ANT-FS protocols. The goal is to be able to communicate
 with any ANT capable device in order to e.g. retrieve sports tracks. The
 C++ implementation is currently available under both Linux and win.
 Communication with watches other than the 310XT might work, but are
 untested. Please report your experience to help improving the software.
 .
 The software was originally named "gant" but renamed when packaged
 to avoid confusion with existing Java software.

Package: anypaper
Description-md5: cdd61dce9498f757b7a194ccd5f73ced
Description-en: front-end for wallpapersetter
 anyPaper is a front-end for wallpapersetter. it let you: Preview
 of selected image. Six modes of setting wallpaper (fullscreen, normal,
 tiled, adjusted, scaled and custom scale). User can select the
 background color, image position, wallpapersetter and screen size.
 Preview of background before setting no-set option for only
 handling images (command line).

Package: anyremote
Description-md5: 014f7ca16e8c56501d0cb96b3cc4882a
Description-en: Remote control daemon for applications using Bluetooth, IrDA or Wi-Fi
 With anyRemote, arbitrary desktop applications can be
 remote-controlled via many modern mobile phones that support
 Bluetooth, IrDA or Wi-Fi communication using a J2ME client, AT modem
 commands, a web interface
 or IR remote controllers.
 .
 anyRemote supports wide range of modern cell phones like Nokia,
 SonyEricsson, Motorola and others.

Package: anyremote-data
Description-md5: e7e87e05635c0637126d4e22f3375fc0
Description-en: architecture independent files for anyremote
 With anyRemote, arbitrary desktop applications can be
 remote-controlled via many modern mobile phones that support
 Bluetooth, IrDA or Wi-Fi communication using a J2ME client, AT modem
 commands, a web interface or IR remote controllers.
 .
 This package contains the architecture independent files.

Package: anyremote-doc
Description-md5: 718e88db04343884f1df4ee21a12ac32
Description-en: Documentation for anyremote
 With anyRemote, arbitrary desktop applications can be
 remote-controlled via many modern mobile phones that support
 Bluetooth, IrDA or Wi-Fi communication using a J2ME client, AT modem
 commands, a web interface or IR remote controllers.
 .
 This package contains the HTML documentation offline.

Package: anything-el
Description-md5: 8478d58e8d8d77d3fd17b91a3ae23ccc
Description-en: open anything / QuickSilver-like candidate-selection framework
 It provides a totally new Emacs experience. While normal Emacs way is
 specifying action then selecting candidates, the anything way is
 narrowing and selecting candidates then executing action for selected
 candidates.

Package: anytun
Description-md5: d755d796af614c60eef33123973ca419
Description-en: secure anycast tunneling protocol
 Anytun is an implementation of the secure anycast tunneling protocol. It
 uses an easy openvpn style interface and makes it possible to build
 redundant VPN clusters with load balancing between servers. VPN servers
 share a single IP address. Adding and removing VPN Servers is done by the
 routing protocol, so no client changes have to be made when additional VPN
 servers are added or removed. It is possible to realise global load
 balancing based on shortest BGP routes by simply announcing the address
 space of the tunnel servers at multiple locations.
 .
 Currently ethernet, ipv4 and ipv6 tunnels are supported by the
 implementation. However the protocol allows one to tunnel every ETHERTYPE
 protocol.

Package: aobook
Description-md5: 39aab3965cc02b7694765276fa72a60a
Description-en: Aozora Bunko viewer
 Aozora Bunko (青空文庫, literally the "Blue Sky Library", also known as
 the "Open Air Library") is a Japanese digital library. This online collection
 encompasses several thousands of works of Japanese-language fiction and
 non-fiction, most of them are public domain books/works, and some are the
 authors wish to make freely available. See https://www.aozora.gr.jp/
 .
 aobook is a viwer for the books/works those are provided by Aozora Bunko.

Package: aoetools
Description-md5: 07cde59d8ce67f6a9507399041e8cd8d
Description-en: tools to assist in using ATA over Ethernet
 The aoetools are the client-side programs of the ATA over Ethernet
 (AoE) network storage protocol, a simple protocol for using storage
 over an ethernet LAN.

Package: aoeui
Description-md5: fb7797c4400e86e466b63ed20e0056c5
Description-en: lightweight, unobtrusive, Dvorak-optimized text editor
 A very small and efficient display editor built upon a philosophy of making
 interaction with UNIX text manipulation commands easy, rather than duplicating
 their features. Its command set is optimized for the Dvorak and QWERTY
 keyboard layouts.
 .
 Also includes asdfg, aoeui's QWERTY variant.

Package: aoflagger
Description-md5: 57d9f9f7423c60151609a61268fa47ec
Description-en: Find RFI in radio astronomical observations
 The AOFlagger is a tool that can find and remove radio-frequency interference
 (RFI) in radio astronomical observations. The code has been highly optimized
 for speed and accuracy. It is used by default for the LOFAR radio telescope
 and thus is in productional stage. The software can run in a fully automated
 way, but a graphical interface is provided to analyse results and tweak the
 strategy. The preferred input file format is the Casa Measurement Set (MS)
 format.
 .
 Besides the flagger, the software consists of tools to efficiently visualize
 data in different ways, such as plotting time-frequency diagrams and power
 spectra. It provides the programs aoflagger, rfigui, aoqplot, aoquality and
 more.

Package: aoflagger-dev
Description-md5: 3ab59a47b54575a618ed1146b5b6c2bc
Description-en: Find RFI in radio astronomical observations (development files)
 The AOFlagger is a tool that can find and remove radio-frequency interference
 (RFI) in radio astronomical observations. The code has been highly optimized
 for speed and accuracy. It is used by default for the LOFAR radio telescope
 and thus is in productional stage. The software can run in a fully automated
 way, but a graphical interface is provided to analyse results and tweak the
 strategy. The preferred input file format is the Casa Measurement Set (MS)
 format.
 .
 This package contains static library and the header file to compile
 own programs against the library.

Package: aom-tools
Description-md5: 59fd3a6e565dd92debdd79c95b7f13f9
Description-en: AV1 Video Codec Library -- Tools
 AOMedia Video 1 (AV1) is an open and royalty free video encoding format
 optimized for the Internet and the successor of VP9. aom is the
 reference encoder and decoder implementation published by the Alliance
 for Open Media.
 .
 This package contains the command line encoding and decoding tools.

Package: aosd-cat
Description-md5: 05a64a92ff1d32e472e4e477fa979040
Description-en: an on screen display tool which uses libaosd
 aosd_cat is an advanced on screen display tool based on
 libaosd. It can be used for OSD-style notifications in
 shell scripts.

Package: ap-utils
Description-md5: e7c3191e2ce01e12305e29d8aa39358b
Description-en: Access Point SNMP Utils for Linux
 A set of utilities for remotely administrating a variety of wireless
 access points via SNMP:
  * ap-auth - update authentication list
  * ap-config - interactively configure or get statistics from
     devices that support MIB-II, IEEE 802.11 MIB or NWN DOT11EXT MIB
     and most Atmel-chipset based APs
  * ap-gl - interactively configure or get statistics from
     Atmel Prism-based APs
  * ap-mrtg - get statistics from an AP in MRTG format
  * ap-rrd - get statistics from an AP in RRDtool format
  * ap-tftp - update AP firmware by TFTP
  * ap-trapd - receive, parse and log trap messages from an AP
 .
 Access points supported include Global Sun ProWave GL2411AP, Compex
 WavePort WP11, Linksys WAP11, SMC MC2655W, Netgear ME102, Edimax WLAN
 Access Point, D-Link DWL 900AP and Eumitcom WA3001A. Others based on
 Atmel chipsets or supporting MIB-II, IEEE 802.11 MIB and NWN DOT11EXT
 MIB should also work.

Package: ap51-flash
Description-md5: 82e23857d9d57c1835e3e8b5bb0608d4
Description-en: firmware flasher for ethernet connected routers and access points
 ap51-flash is a tool to simplify the automatic firmware deployment for a
 multitude of home routers and wireless access points.
 .
 ap51-flash can identify target device(s), select the correct firmware image
 and perform the required communication to carry out the installation
 procedure. It works without the need for a local TFTP server or manual, target
 device specific network configuration.

Package: apache2-suexec-custom
Description-md5: 116f52596e88b0c7717e1f4973ada661
Description-en: Apache HTTP Server configurable suexec program for mod_suexec
 Provides a customizable version of the suexec helper program for mod_suexec.
 This is not the version from upstream, but can be configured with a
 configuration file.
 .
 If you do not need non-standard document root or userdir settings, it is
 recommended that you use the standard suexec helper program from the
 apache2-suexec-pristine package instead.

Package: apache2-suexec-pristine
Description-md5: 86a22eab8bdd72ac81f3d8aab53bf001
Description-en: Apache HTTP Server standard suexec program for mod_suexec
 Provides the standard suexec helper program for mod_suexec. This version is
 compiled with document root /var/www and userdir suffix public_html. If you
 need different settings, use the package apache2-suexec-custom.

Package: apacheds
Description-md5: db1995b9bae56abe7f8ac6233c3872f1
Description-en: Apache Directory Server
 ApacheDS is an embbedable directory server entirely written in Java, which
 has been certified LDAPv3 compatible by the Open Group. Besides LDAP it
 supports Kerberos 5 and the Change Password Protocol. It has been designed
 to introduce triggers, stored procedures, queues and views to the world
 of LDAP which has lacked these rich constructs.

Package: apachetop
Description-md5: 47eea40d14736c0a24f00ff5e9b3b9ac
Description-en: Realtime Apache monitoring tool
 Apache top is a curses-based realtime utility to display information from a
 running copy of Apache.
 .
 It is modelled after the standard 'top' utility, and displays information such
 as the requests pers second, bytes per second and the most popular URLs
 displayed.
 .
 It must be run from a machine running Apache, as it works by processing the
 logfiles found in /var/log/apache.

Package: apbs
Description-md5: 2f4ced7db86ccb9883d2869243d89809
Description-en: Adaptive Poisson Boltzmann Solver
 APBS is a software package for the numerical solution of the
 Poisson-Boltzmann equation (PBE), one of the most popular continuum
 models for describing electrostatic interactions between molecular
 solutes in salty, aqueous media.  Continuum electrostatics plays an
 important role in several areas of biomolecular simulation, including:
 .
   * simulation of diffusional processes to determine ligand-protein and
     protein-protein binding kinetics,
   * implicit solvent molecular dynamics of biomolecules ,
   * solvation and binding energy calculations to determine
     ligand-protein and protein-protein equilibrium binding constants
     and aid in rational drug design,
   * and biomolecular titration studies.
 .
 APBS was designed to efficiently evaluate electrostatic properties for
 such simulations for a wide range of length scales to enable the
 investigation of molecules with tens to millions of atoms.

Package: apcalc
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: apcalc-common
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: apcalc-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: apcupsd
Description-md5: 5828ed072ad1cdcdd2a3dc847c13d193
Description-en: APC UPS Power Management (daemon)
 apcupsd provides UPS power management for APC products, including most BackUPS
 series models (including USB), SmartUPS V/S, SmartUPS (NET/RM), and Matrix
 series.
 .
 It controls and monitors the status of UPS and allows your computer to run for
 a specified length of time on UPS power, and then executes a controlled
 shutdown in the case of an extended power failure.

Package: apcupsd-cgi
Description-md5: 0288cffcc7dcb455848bf077dd6b3995
Description-en: APC UPS Power Management (web interface)
 apcupsd provides UPS power management for APC products, including most BackUPS
 series models (including USB), SmartUPS V/S, SmartUPS (NET/RM), and Matrix
 series.
 .
 This package contains the web interface.

Package: apcupsd-doc
Description-md5: 1228fcbdeeb1d4a793e9f026680a7f88
Description-en: APC UPS Power Management (documentation/examples)
 apcupsd provides UPS power management for APC products, including most BackUPS
 series models (including USB), SmartUPS V/S, SmartUPS (NET/RM), and Matrix
 series.
 .
 This package contains the documentation and examples.

Package: apel
Description-md5: f47e25bd0d765f119fe95698f45a9f76
Description-en: portable library for emacsen
 APEL stands for "A Portable Emacs Library".  It consists of following
 modules:
 .
  poe.el          emulation module mainly for basic functions and special
                  forms/macros of latest emacsen
  poem.el         basic functions to write portable MULE programs
  pces.el         portable character encoding scheme (coding-system) features
  invisible.el    features about invisible region
  mcharset.el     MIME charset related features
  static.el       utility for static evaluation
  broken.el       information of broken facilities of Emacs
  pccl.el         utility to write portable CCL program
  alist.el        utility for Association-list
  calist.el       utility for condition tree and condition/situation-alist
  path-util.el    utility for path management or file detection
  filename.el     utility to make file-name
  install.el      utility to install emacs-lisp package
  mule-caesar.el  ROT 13-47-48 Caesar rotation utility
  emu.el          emu bundled in tm-7.106 compatibility
  pcustom.el      portable custom environment
  product.el      functions for product version information

Package: apertium
Description-md5: 45f2d36e1c35dfe9a925f418d6b95167
Description-en: Shallow-transfer machine translation engine
 An open-source shallow-transfer machine translation
 engine, Apertium is initially aimed at related-language pairs.
 .
 It uses finite-state transducers for lexical processing,
 hidden Markov models for part-of-speech tagging, and
 finite-state based chunking for structural transfer.
 .
 The system is largely based upon systems already developed by
 the Transducens  group at the Universitat d'Alacant, such as
 interNOSTRUM (Spanish-Catalan, http://www.internostrum.com/welcome.php)
 and Traductor Universia (Spanish-Portuguese,
 http://traductor.universia.net).
 .
 It will be possible to use Apertium to build machine translation
 systems for a variety of related-language pairs simply providing
 the linguistic data needed in the right format.

Package: apertium-af-nl
Description-md5: 883d0e63afec2f7f245cb3cda313e988
Description-en: Transitional dummy package for apertium-afr-nld
 This is a transitional dummy package. It can safely be removed.

Package: apertium-afr-nld
Description-md5: c2e2651dc307db40001319d797c2339e
Description-en: Apertium translation data for the Afrikaans-Dutch pair
 Data package providing Apertium language resources for translating
 between the Afrikaans and Dutch languages.

Package: apertium-anaphora
Description-md5: be627ec4624b215955588aa3d2b18540
Description-en: Anaphora resolution module
 This package provides a module for resolving anaphora in the pipeline.

Package: apertium-apy
Description-md5: 1f4ea9d0ca4e6ebf0895a161e5f0690e
Description-en: Apertium APY service
 This package contains Apertium APY which is simple Apertium
 API written in Python 3 meant as a drop-in replacement for
 ScaleMT.

Package: apertium-arg
Description-md5: 989bad6a66ab6b0e3f6bbff8169801fc
Description-en: Apertium single language data for Aragonese
 Data package providing Apertium language resources for Aragonese

Package: apertium-bel
Description-md5: 2de8f298240f495317af283f1842b36d
Description-en: Apertium single language data for Belarusian
 Data package providing Apertium language resources for Belarusian.

Package: apertium-bel-rus
Description-md5: db431232c21dcc34efa652dd51d530fc
Description-en: Apertium translation data for the Belarusian-Russian pair
 Data package providing Apertium language resources for translating
 between the Belarusian and Russian languages.

Package: apertium-br-fr
Description-md5: e4907321a09b65d86a6ec38da83ff33b
Description-en: Apertium linguistic data to translate between Breton and French
 This is a linguistic package for the Apertium shallow-transfer
 machine translation system. The package can be used to translate
 between Breton and French.

Package: apertium-ca-it
Description-md5: bfdf4a002c98cface3059f7bfd6ffd0e
Description-en: Apertium translation data for the Catalan-Italian pair
 Data package providing Apertium language resources for translating
 between the Catalan and Italian languages.

Package: apertium-crh
Description-md5: 8eee4b7cbbcf2c68c24ba746389a6592
Description-en: Apertium single language data for Crimean Tatar
 Data package providing Apertium language resources for Crimean Tatar

Package: apertium-crh-tur
Description-md5: 00ac970d75483e9fb9c8feb9c58e0d57
Description-en: Apertium translation data for the Crimean Tatar-Turkish pair
 Data package providing Apertium language resources for translating
 between the Crimean Tatar and Turkish languages.

Package: apertium-cy-en
Description-md5: 0c19b9cf7be16567efbd950515523a2c
Description-en: Apertium translation data for the Welsh-English pair
 Data package providing Apertium language resources for translating
 between the Welsh and English languages.

Package: apertium-dan
Description-md5: 7cd135829e9680ab142332cadbe3a04b
Description-en: Apertium single language data for Danish
 Data package providing Apertium language resources for Danish.

Package: apertium-dan-nor
Description-md5: cdc52e80016d740550a7f308478b67b4
Description-en: Apertium translation data for the Danish-Norwegian pair
 Data package providing Apertium language resources for translating
 from the Danish to the Norwegian Nynorsk/Norwegian Bokmål variants
 and from Danish to Norwegian Nynorsk.

Package: apertium-dev
Description-md5: 788dfc1c9e13fb4174f202b4c2387d73
Description-en: Development tools and library for Apertium
 An open-source shallow-transfer machine translation
 engine, Apertium is initially aimed at related-language pairs.
 .
 This package contains development files for the Apertium
 shallow-transfer machine translation engine.

Package: apertium-en-ca
Description-md5: 9b3410ce8030dbc0f9bbf711cc2cdcf5
Description-en: Transitional dummy package for apertium-eng-cat
 This is a transitional dummy package. It can safely be removed.

Package: apertium-en-es
Description-md5: e65e0e39712b0b30ece1f8af2755e6b8
Description-en: Apertium translation data for the English-Spanish pair
 Data package providing Apertium language resources for translating
 between the English and Spanish languages.

Package: apertium-en-gl
Description-md5: 28cefd2c61e8ef6c571f8b4bee1c5101
Description-en: Apertium translation data for the English-Galician pair
 Data package providing Apertium language resources for translating
 between the English and Galician languages.

Package: apertium-eng-cat
Description-md5: 6459d08c722d9b44f664fd9ec6838975
Description-en: Apertium translation data for the English-Catalan pair
 Data package providing Apertium language resources for translating
 between the English and Catalan languages.

Package: apertium-eo-ca
Description-md5: 879a0960c45846f28486d2b8b6486601
Description-en: Apertium translation data for the Esperanto-Catalan pair
 Data package providing Apertium language resources for translating
 between the Esperanto and Catalan languages.

Package: apertium-eo-en
Description-md5: 574736e2b1cf8c9ae16f0ecf4fa6144b
Description-en: Apertium linguistic data to translate between Esperanto and English
 This is a linguistic package for the Apertium shallow-transfer
 machine translation system. The package can be used to translate
 between Esperanto and English.

Package: apertium-eo-es
Description-md5: 7b4cb61e067688647a6d7e38cb06c687
Description-en: Apertium translation data for the Esperanto-Spanish pair
 Data package providing Apertium language resources for translating
 between the Esperanto and Spanish languages.

Package: apertium-eo-fr
Description-md5: 71571fcc1f72266480e18df25aa42abb
Description-en: Apertium translation data for the Esperanto-French pair
 Data package providing Apertium language resources for translating
 between the Esperanto and French languages.

Package: apertium-es-ast
Description-md5: 07c8f30274b34034a2f03b08f9f5c94e
Description-en: Apertium translation data for the Spanish-Asturian pair
 Data package providing Apertium language resources for translating
 between the Spanish and Asturian languages.

Package: apertium-es-ca
Description-md5: a05e74da1e11f265279976c63fb550c7
Description-en: Transitional dummy package for apertium-spa-cat
 This is a transitional dummy package. It can safely be removed.

Package: apertium-es-gl
Description-md5: c5dab29a1cb2e8b4a96ad47c9d121a96
Description-en: Apertium translation data for the Spanish-Galician pair
 Data package providing Apertium language resources for translating
 between the Spanish and Galician languages.

Package: apertium-es-it
Description-md5: 1f21d6c6919fff6c17cfd4089d13387e
Description-en: Transitional dummy package for apertium-spa-ita
 This is a transitional dummy package. It can safely be removed.

Package: apertium-es-pt
Description-md5: 2a86f48acc8807d8a7a029c65d2ad514
Description-en: Apertium translation data for the Spanish-Portuguese pair
 Data package providing Apertium language resources for translating
 between the Spanish and Portuguese languages.

Package: apertium-es-ro
Description-md5: 72b5e8a7618d054056d3686900ee739a
Description-en: Apertium translation data for the Spanish-Romanian pair
 Data package providing Apertium language resources for translating
 between the Spanish and Romanian languages.

Package: apertium-eu-en
Description-md5: f5c6bc497f842a149b425bd39a02e3b1
Description-en: Apertium translation data for the Basque-English pair
 Data package providing Apertium language resources for translating
 between the Basque and English languages.

Package: apertium-eu-es
Description-md5: b2056be8c45d27ba2c19ff2f866c835f
Description-en: Apertium translation data for the Basque-Spanish pair
 Data package providing Apertium language resources for translating
 between the Basque and Spanish languages.

Package: apertium-eval-translator
Description-md5: 3381a9d3f5dd8c375a66da1dc7e05a9b
Description-en: Evaluate machine translation output against reference
 This package contails Perl scripts to evaluate Apertium-based machine
 translation output against reference: WER, PER, TER, BLEU.

Package: apertium-fr-es
Description-md5: 49d1c0941e98d6e0981d83326150cbbc
Description-en: Apertium translation data for the French-Spanish pair
 Data package providing Apertium language resources for translating
 between the French and Spanish languages.

Package: apertium-fra
Description-md5: b57650a597bcc1b359d6466dfee28506
Description-en: Apertium single language data for French
 Data package providing Apertium language resources for French.

Package: apertium-fra-cat
Description-md5: d4ef9e7eda420df9ea8530c63f296231
Description-en: Apertium translation data for the French-Catalan pair
 Data package providing Apertium language resources for translating
 between the French and Catalan languages.

Package: apertium-hbs
Description-md5: 8eed3678eb1b877885e5329647286d20
Description-en: Apertium single language data for Serbo-Croatian
 Data package providing Apertium language resources for Serbo-Croatian.

Package: apertium-hbs-eng
Description-md5: ecbfc8af5c7545e2817dbafe4385e63b
Description-en: Apertium translation data for the Serbo-Croatian - English pair
 Data package providing Apertium language resources for translating
 between the Serbo-Croatian and English languages.

Package: apertium-hbs-mkd
Description-md5: 8ab5e1616196ae98dc0893d6e1314edb
Description-en: Apertium translation data for the Serbo-Croatian-Macedonian pair
 Data package providing Apertium language resources for translating
 between the Serbo-Croatian and Macedonian languages.

Package: apertium-hbs-slv
Description-md5: 5b725af5eec34f8e203a7b0e006d92c2
Description-en: Apertium translation data for the Serbo-Croatian-Slovenian pair
 Data package providing Apertium language resources for translating
 between the Serbo-Croatian and Slovenian languages.

Package: apertium-hin
Description-md5: fb389d2417287dfd4f882611f30df9ff
Description-en: Apertium single language data for Hindi
 Data package providing Apertium language resources for Hindi.

Package: apertium-id-ms
Description-md5: 89c1b63f62471ddda5bd219fb8683c22
Description-en: Apertium translation data for the Indonesian-Malay pair
 Data package providing Apertium language resources for translating
 between the Indonesian and Malay languages.

Package: apertium-is-sv
Description-md5: 432d76f89ca5b7e5457547cd3098013a
Description-en: Apertium translation data for the Icelandic-Swedish pair
 Data package providing Apertium language resources for translating
 between the Icelandic and Swedish languages.

Package: apertium-isl
Description-md5: debedec90a9ea2d4eda60416a55861df
Description-en: Apertium single language data for Icelandic
 Data package providing Apertium language resources for Icelandic.

Package: apertium-isl-eng
Description-md5: 12a9e952c6cf3fa3befb2017f392f4e7
Description-en: Apertium translation data for the Icelandic-English pair
 Data package providing Apertium language resources for translating
 between the Icelandic and English languages.

Package: apertium-ita
Description-md5: a3291fd266c50bbf5b1d3d21b08b25d9
Description-en: Apertium single language data for Italian
 Data package providing Apertium language resources for Italian.

Package: apertium-kaz
Description-md5: b421ff961c9ad75093f375c12fb2719a
Description-en: Apertium single language data for Kazakh
 Data package providing Apertium language resources for Kazakh

Package: apertium-kaz-tat
Description-md5: 7ed7692d77786e55f933d13d37f5cbbc
Description-en: Apertium translation data for the Kazakh-Tatar pair
 Data package providing Apertium language resources for translating
 between the Kazakh and Tatar languages.

Package: apertium-lex-tools
Description-md5: 8943b26fed3a75b77ac0816447f335c8
Description-en: Constraint-based lexical selection module
 Module for compiling lexical selection rules and processing them in the
 pipeline.

Package: apertium-mk-bg
Description-md5: e14238ae010b35ed402c241125bdf175
Description-en: Apertium translation data for the Macedonian-Bulgarian pair
 Data package providing Apertium language resources for translating
 between the Macedonian and Bulgarian languages.

Package: apertium-mk-en
Description-md5: 9bb74e73dc52000f614ba5567cb829c6
Description-en: Apertium translation data for the Macedonian-English pair
 Data package providing Apertium language resources for translating
 between the Macedonian and English languages.

Package: apertium-mlt-ara
Description-md5: 0fa0e3c2938cd698d57f6e62a57d1093
Description-en: Apertium translation data for the Maltese-Arabic pair
 Data package providing Apertium language resources for translating
 between the Maltese and Arabic languages.

Package: apertium-nno
Description-md5: 746c81247aaeb7042ab78805cc00a175
Description-en: Apertium single language data for Norwegian Nynorsk
 Data package providing Apertium language resources for Norwegian Nynorsk.

Package: apertium-nno-nob
Description-md5: 44643e4a6d0f3cd6a22313a6531d89c0
Description-en: Apertium translation data for the Norwegian Nynorsk-Norwegian Bokmål pair
 Data package providing Apertium language resources for translating
 between the Norwegian Nynorsk and Norwegian Bokmål languages.

Package: apertium-nob
Description-md5: 5d28d4916c8f7aa599e3faae2fd7bec8
Description-en: Apertium single language data for Norwegian Bokmål
 Data package providing Apertium language resources for Norwegian Bokmål.

Package: apertium-oc-ca
Description-md5: be75425e05149e3c4969ed28cd5d242e
Description-en: Apertium translation data for the Occitan-Catalan pair
 Data package providing Apertium language resources for translating
 between the Occitan and Catalan languages.

Package: apertium-oc-es
Description-md5: 44f2ca53fd0753fac6869b32946fb24b
Description-en: Apertium translation data for the Occitan-Spanish pair
 Data package providing Apertium language resources for translating
 between the Occitan and Spanish languages.

Package: apertium-oci
Description-md5: cc77e3301ae0d0c03c7c952ea8a5bbc0
Description-en: Apertium single language data for Occitan
 Data package providing Apertium language resources for Occitan.

Package: apertium-oci-fra
Description-md5: 5c1156da7b9aaee789465c313f49d98a
Description-en: Apertium translation data for the Occitan-French pair
 Data package providing Apertium language resources for translating
 between the Occitan and French languages.

Package: apertium-pol
Description-md5: 1a4dc1d2a948bebdbc27f331fa5e62e4
Description-en: Apertium single language data for Polish
 Data package providing Apertium language resources for Polish.

Package: apertium-por-cat
Description-md5: 743b79d4507a18fcbdfbf5651c661129
Description-en: Apertium translation data for the Portuguese-Catalan pair
 Data package providing Apertium language resources for translating
 between the Portuguese and Catalan languages.

Package: apertium-pt-ca
Description-md5: ae2d717a05ce3b889c5e374aad061380
Description-en: Transitional dummy package for apertium-por-cat
 This is a transitional dummy package. It can safely be removed.

Package: apertium-pt-gl
Description-md5: 769de03334afd1fb5221ab194fd4c554
Description-en: Apertium translation data for the Portuguese-Galician pair
 Data package providing Apertium language resources for translating
 between the Portuguese and Galician languages.

Package: apertium-recursive
Description-md5: 0bd431d65884561a6bb24b09c5bb2ada
Description-en: Apertium recursive structural transfer module
 This package provides Apertium module for recursive
 structural transfer.

Package: apertium-rus
Description-md5: 6189392198b4a80b2cb352c4ff9de28b
Description-en: Apertium single language data for Russian
 Data package providing Apertium language resources for Russian

Package: apertium-separable
Description-md5: dcf131c974b704a64fecf29ca476aaab
Description-en: Reordering separable/discontiguous multiwords
 Apertium module for reordering separable/discontiguous multiwords.

Package: apertium-sme-nob
Description-md5: 804fcec16de4596592a963a5e58e753c
Description-en: Apertium translation data for the Northern Sami-Norwegian Bokmål pair
 Data package providing Apertium language resources for translating
 between the Northern Sami and Norwegian Bokmål languages.

Package: apertium-spa
Description-md5: a664c93ff37fb8799a7dd1507bfbddf7
Description-en: Apertium single language data for Spanish
 Data package providing Apertium language resources for Spanish.

Package: apertium-spa-arg
Description-md5: 82a6ea90c917f95ef461e494884c743d
Description-en: Apertium translation data for the Spanish-Aragonese pair
 Data package providing Apertium language resources for translating
 between the Spanish and Aragonese languages.

Package: apertium-spa-cat
Description-md5: 87187fedc9a08223a00487e98c00c02d
Description-en: Apertium translation data for the Spanish-Catalan pair
 Data package providing Apertium language resources for translating
 between the Spanish and Catalan languages.

Package: apertium-spa-ita
Description-md5: 51f45984087015278eae09b4a6642308
Description-en: Apertium translation data for the Spanish-Italian pair
 Data package providing Apertium language resources for translating
 between the Spanish and Italian languages.

Package: apertium-swe
Description-md5: e9fcfeee79c58bf282e68c5535a93c85
Description-en: Apertium single language data for Swedish
 Data package providing Apertium language resources for Swedish.

Package: apertium-swe-dan
Description-md5: 807d04e9de3ddc12ea531586a6d7df8f
Description-en: Apertium translation data for the Swedish-Danish pair
 Data package providing Apertium language resources for translating
 between the Swedish and Danish languages.

Package: apertium-swe-nor
Description-md5: 7c45bfd9b54ef2b5781503347a031d2f
Description-en: Apertium translation data for the Swedish-Norwegian pair
 Data package providing Apertium language resources for translating
 between the Swedish and Norwegian languages.

Package: apertium-szl
Description-md5: 955383ec9bc19d627e1205c76dfb1438
Description-en: Apertium single language data for Silesian
 Data package providing Apertium language resources for Silesian.

Package: apertium-tat
Description-md5: be6eb98de5d77fb22cb72d3923e140bf
Description-en: Apertium single language data for Tatar
 Data package providing Apertium language resources for Tatar

Package: apertium-tur
Description-md5: 1a5c7f9a6d54e5de33acb4ec928165e6
Description-en: Apertium single language data for Turkish
 Data package providing Apertium language resources for Turkish.

Package: apertium-ukr
Description-md5: 4434a58956da92ea21648f52f91c8b7c
Description-en: Apertium single language data for Ukrainian
 Data package providing Apertium language resources for Ukrainian.

Package: apertium-urd
Description-md5: d94e2d1b2597754efeb5bda81b477078
Description-en: Apertium single language data for Urdu
 Data package providing Apertium language resources for Urdu.

Package: apertium-urd-hin
Description-md5: 047f3ca3846e51d7829bc65de46c5b08
Description-en: Apertium translation data for the Urdu-Hindi pair
 Data package providing Apertium language resources for translating
 between the Urdu and Hindi languages.

Package: apf-firewall
Description-md5: 70a27f6569ccb5b877ccae4716aa7104
Description-en: easy iptables based firewall system
 Advanced Policy Firewall (APF) is an iptables(netfilter) based firewall
 system designed around the essential needs of today's Internet deployed
 servers and the unique needs of custom deployed Linux installations. The
 configuration of APF is designed to be very informative and present the
 user with an easy to follow process, from top to bottom of the
 configuration file. The management of APF on a day-to-day basis is
 conducted from the command line with the 'apf' command, which includes
 detailed usage information and all the features one would expect from a
 current and forward thinking firewall solution.
 .
 Summary of features:
   * detailed and well commented configuration file
   * granular inbound and outbound network filtering
   * user id based outbound network filtering
   * application based network filtering
   * trust based rule files with an optional advanced syntax
   * global trust system where rules can be downloaded from a central
     management server
   * reactive address blocking (RAB), next generation in-line intrusion
     prevention
   * debug mode provided for testing new features and configuration setups
   * fast load feature that allows for 1000+ rules to load in under 1 second
   * inbound and outbound network interfaces can be independently configured
   * global tcp/udp port & icmp type filtering with multiple methods of
     executing filters (drop, reject, prohibit)
   * configurable policies for each ip on the system with convenience variables
     to import settings
   * packet flow rate limiting that prevents abuse on the most widely abused
     protocol, icmp
   * prerouting and postrouting rules for optimal network performance
   * dshield.org block list support to ban networks exhibiting suspicious
     activity
   * spamhaus Don't Route Or Peer List support to ban known "hijacked zombie"
     IP blocks
   * any number of additional interfaces may be configured as firewalled
     (untrusted) or trusted (not firewalled)
   * additional firewalled interfaces can have there own unique firewall
     policies applied
   * intelligent route verification to prevent embarrassing configuration
     errors
   * advanced packet sanity checks to make sure traffic coming and going meets
     the strictest of standards
   * filter attacks such as fragmented UDP, port zero floods, stuffed routing,
     arp poisoning and more
   * configurable type of service options to dictate the priority of different
     types of network traffic
   * intelligent default settings to meet every day server setups
   * dynamic configuration of your servers local DNS revolvers into the firewall
   * optional filtering of common p2p applications
   * optional filtering of private & reserved IP address space

Package: apgdiff
Description-md5: 48e4f3af001d7096782511320666f499
Description-en: Another PostgreSQL Diff Tool
 Another PostgreSQL Diff Tool is a simple PostgreSQL diff tool that is useful
 for schema upgrades. The tool compares two schema dump files and creates
 an SQL output file that is (after some hand-made modifications) suitable for
 upgrades of old schemata.

Package: api-sanity-checker
Description-md5: 0311b8cd7c1a26bce0b65ed7ee60c532
Description-en: automatic generator of basic unit tests for a C/C++ library API
 API Sanity Checker is an automatic generator of basic unit tests for a C/C++
 library. It helps to quickly generate simple ("sanity" or "shallow" quality)
 tests for every function in an API using their signatures, data type
 definitions and relationships between functions straight from the library
 header files ("Header-Driven Generation"). Each test case contains a function
 call with reasonable (in most, but unfortunately not all, cases) input
 parameters. The quality of generated tests allows one to check absence of
 critical errors in simple use cases and can be greatly improved by involving of
 highly reusable specialized types for the library.
 .
 The tool can execute generated tests and detect crashes, aborts, all kinds of
 emitted signals, non-zero program return code, program hang‐ ing and
 requirement failures (if specified). The tool can be considered as a tool for
 out-of-box low-cost sanity checking of library API or as a test development
 framework for initial generation of templates for advanced tests. Also it
 supports universal Template2Code format of tests, splint specifications, random
 test generation mode and other useful features.

Package: apitrace
Description-md5: d0274f9a2beda89ed6a50b1dafd826e8
Description-en: tools for debugging OpenGL applications and drivers - cli frontends
 apitrace is a suite of tools for debugging OpenGL applications and drivers.
 It includes a tool to generate a trace of all the OpenGL calls an application
 makes and a tool for replaying these traces and inspecting the rendering and
 OpenGL state during the program's execution.
 .
 This makes it useful for identifying the sources of graphical corruption in
 OpenGL applications.
 .
 This package contains frontends for the apitrace tool, making it easy to trace
 applications and replay, compare, profile, and modify existing traces.

Package: apitrace-gui
Description-md5: 5765a430c4337e6e467b8704fbb9b4d4
Description-en: tools for debugging OpenGL applications and drivers - graphical frontend
 apitrace is a suite of tools for debugging OpenGL applications and drivers.
 It includes a tool to generate a trace of all the OpenGL calls an application
 makes and a tool for replaying these traces and inspecting the rendering and
 OpenGL state during the program's execution.
 .
 This makes it useful for identifying the sources of graphical corruption in
 OpenGL applications.
 .
 This package contains a graphical frontend for the apitrace tool, making it
 easy to trace applications and replay, compare, profile, and modify existing
 traces.

Package: apitrace-tracers
Description-md5: 9d94cdfc03499602357a6afc04d9053c
Description-en: tools for debugging OpenGL applications and drivers - application tracer
 apitrace is a suite of tools for debugging OpenGL applications and drivers.
 It includes a tool to generate a trace of all the OpenGL calls an application
 makes and a tool for replaying these traces and inspecting the rendering and
 OpenGL state during the program's execution.
 .
 This makes it useful for identifying the sources of graphical corruption in
 OpenGL applications.
 .
 This package contains the components required to trace the OpenGL calls made
 by an application and record them into a trace file for later replay and
 debugging.

Package: apkinfo
Description-md5: de5859f137d62097a285bd6cde055884
Description-en: Simple CLI script to display info about an APK file
 This command-line tool displays metadata information about an
 Android APK file. Simple things like package, version, and
 naming information.

Package: apksigner
Description-md5: 084b3acff7be60dc94f8caedfe355b64
Description-en: command line tool to sign and verify Android APKs
 apksig is a project which aims to simplify APK signing and checking
 whether APK's signatures should verify on Android. apksig supports
 JAR signing (used by Android since day one) and APK Signature Scheme
 v2 (supported since Android Nougat, API Level 24).
 .
 The key feature of apksig is that it knows about differences in APK
 signature verification logic between different versions of the
 Android platform. apksig can thus check whether a signed APK is
 expected to verify on all Android platform versions supported by the
 APK. When signing an APK, apksig will choose the most appropriate
 cryptographic algorithms based on the Android platform versions
 supported by the APK being signed.
 .
 apksigner command-line tool offers two operations:
 .
  * sign the provided APK so that it verifies on all Android platforms
 supported by the APK. Run apksigner sign for usage information.
 .
  * check whether the provided APK's signatures are expected to verify
 on all Android platforms supported by the APK. Run apksigner verify
 for usage information.
 .
 The tool determines the range of Android platform versions (API
 Levels) supported by the APK by inspecting the APK's
 AndroidManifest.xml. This behavior can be overridden by specifying
 the range of platform versions on the command-line.

Package: apktool
Description-md5: a94f98805ada5c7490bc330d2d69de26
Description-en: tool for reverse engineering Android apk files
 A tool for reverse engineering 3rd party, closed, binary Android apps. It can
 decode resources to nearly original form and rebuild them after making some
 modifications; it makes possible to debug smali code step by step. Also it
 makes working with an app easier because of project-like file structure and
 automation of some repetitive tasks like building apk.

Package: aplus-fsf
Description-md5: 553a1401429147544eda6fd62028da59
Description-en: A+ programming language run-time environment
 A+ is a powerful and efficient programming language. It is freely
 available under the GNU General Public License. It embodies a rich set
 of functions and operators, a modern graphical user interface with
 many widgets and automatic synchronization of widgets and variables,
 asynchronous execution of functions associated with variables and
 events, dynamic loading of user compiled subroutines, and many other
 features. Execution is by a rather efficient interpreter. A+ was
 created at Morgan Stanley. Primarily used in a
 computationally-intensive business environment, many critical
 applications written in A+ have withstood the demands of real world
 developers over many years. Written in an interpreted language, A+
 applications tend to be portable.
 .
 This package contains the binaries and libraries needed to run A+ programs.
 The A+ development environment is provided by the aplus-fsf-dev package.

Package: aplus-fsf-dev
Description-md5: 2b29868efe57b57613d5a1dbe35a518b
Description-en: A+ programming language development environment
 A+ is a powerful and efficient programming language. It is freely
 available under the GNU General Public License. It embodies a rich set
 of functions and operators, a modern graphical user interface with
 many widgets and automatic synchronization of widgets and variables,
 asynchronous execution of functions associated with variables and
 events, dynamic loading of user compiled subroutines, and many other
 features. Execution is by a rather efficient interpreter. A+ was
 created at Morgan Stanley. Primarily used in a
 computationally-intensive business environment, many critical
 applications written in A+ have withstood the demands of real world
 developers over many years. Written in an interpreted language, A+
 applications tend to be portable.
 .
 This is a metapackage that provides a complete A+ development environment.
 The A+ run-time environment is provided by the aplus-fsf package.

Package: aplus-fsf-doc
Description-md5: d3f68c67c84e86d778475d6f359f9c74
Description-en: A+ programming language documentation
 This package contains the HTML documentation and examples for the A+
 programming language and development environment.  See the package aplus-fsf
 for the run-time environment, and aplus-fsf-dev for the development
 environment.

Package: aplus-fsf-el
Description-md5: 161c59272b88ea2bcd37387cb504df59
Description-en: XEmacs lisp for A+ development
 This package contains the XEmacs lisp required for the development of A+
 programs.  It does the key bindings, sets the font properly, and binds some
 function keys. The complete A+ development environment is provided by the
 aplus-fsf-dev package.
 .
 To load A+ from XEmacs, load a file with extension .apl, .a or .+, or
 use the command 'M-x a-mode'.  Or, press F4 to start the A+ interpreter.
 .
 See /usr/share/doc/README.Debian.gz if you have trouble entering the special
 A+ characters in XEmacs.
 .
 This package is now an empty dummy package because XEmacs is no longer
 available.  If that changes, this package will be resurrected.

Package: apng2gif
Description-md5: 6822f873e4f9ce2936f34c1d1d777d4e
Description-en: tool for converting APNG images to animated GIF format
 This package provides a command line tool for converting images from
 Animated PNG to animated GIF format.
 .
 The Animated Portable Network Graphics (APNG) file format is an
 extension to the Portable Network Graphics (PNG) specification. It
 allows for animated PNG files that work similarly to animated GIF
 files, while retaining backward compatibility with non-animated PNG
 files and adding support for 8-bit transparency and 24-bit images.

Package: apngasm
Description-md5: a2cd7dae598fb2c63e41d88ea81ee6b4
Description-en: assemble APNG animation from PNG/TGA image sequence
 Tools to create APNG file from individual PNG files with user
 given delay.
 .
 The Animated Portable Network Graphics (APNG) file format is an
 extension to the Portable Network Graphics (PNG) specification. It
 allows for animated PNG files that work similarly to animated GIF
 files, while retaining backward compatibility with non-animated PNG
 files and adding support for 8-bit transparency and 24-bit images.

Package: apngdis
Description-md5: 2ecd3ba8606cfcc87c7949765be3efb2
Description-en: deconstruct APNG file into a sequence of PNG frames
 Extract individual PNG files from animated PNG.
 .
 The Animated Portable Network Graphics (APNG) file format is an
 extension to the Portable Network Graphics (PNG) specification. It
 allows for animated PNG files that work similarly to animated GIF
 files, while retaining backward compatibility with non-animated PNG
 files and adding support for 8-bit transparency and 24-bit images.

Package: apngopt
Description-md5: 0590037ac8fa3d78f5961bd97c856a08
Description-en: optimize APNG animated images
 Optimize APNG files by reducing their file size.
 .
 The Animated Portable Network Graphics (APNG) file format is an
 extension to the Portable Network Graphics (PNG) specification. It
 allows for animated PNG files that work similarly to animated GIF
 files, while retaining backward compatibility with non-animated PNG
 files and adding support for 8-bit transparency and 24-bit images.

Package: apophenia-bin
Description-md5: 26970e135bf657cd5eb8fa95a3c9aad1
Description-en: Apophenia Statistical C Library -- binary package
 The Apophenia Statistical C Library is an open source C library for
 working with data sets and statistical models. It provides functions
 on the same level as those of the typical stats packages (such as OLS,
 probit, or singular value decomposition) but gives the user more
 flexibility to be creative in model-building.
 .
 Apophenia is meant to scale well, to comfortably work with gigabyte
 data sets, million-step simulations, or computationally-intensive
 agent-based models.
 .
 Apophenia builds upon the GNU Scientific and SQLite libraries,
 MySQL/mariaDB is also supported. The core functions are written
 in C, but experience has shown them to be easy to bind in Python,
 Julia, Perl, Ruby, etc. The source code is distributed under the
 GNU General Public License version 2 (GPL2).
 .
 This package provides several command line utility examples.

Package: apophenia-doc
Description-md5: 5980bf006847e377057e36c1c65d0c79
Description-en: Apophenia Statistical C Library -- reference manual
 The Apophenia Statistical C Library is an open source C library for
 working with data sets and statistical models. It provides functions
 on the same level as those of the typical stats packages (such as OLS,
 probit, or singular value decomposition) but gives the user more
 flexibility to be creative in model-building.
 .
 Apophenia is meant to scale well, to comfortably work with gigabyte
 data sets, million-step simulations, or computationally-intensive
 agent-based models.
 .
 Apophenia builds upon the GNU Scientific and SQLite libraries,
 MySQL/mariaDB is also supported. The core functions are written
 in C, but experience has shown them to be easy to bind in Python,
 Julia, Perl, Ruby, etc. The source code is distributed under the
 GNU General Public License version 2 (GPL2).
 .
 This package provides the reference manual for the Apophenia
 Statistical C Library; it also contains examples.

Package: apparix
Description-md5: 9fbd5e6f310e0e3a967acb6625c6ffd1
Description-en: console-based bookmark tool for fast file system navigation
 Fast file system navigation by bookmarking directories and jumping to a
 bookmark directly. Apparix integrates regular bookmarks with
 CDPATH-style bookmarks. Subdirectory specification and (bash) tab
 completion add further power.
 .
 Apparix maintains a mapping of user-defined marks (hopefully short and
 descriptive) to file system locations and is wrapped in other commands
 to either jump to those locations or to invoke edit/copy/move commands
 involving them.

Package: apparmor-easyprof
Description-md5: 987aefb436473d65c1b1c830e1a1cff6
Description-en: AppArmor easyprof profiling tool
 apparmor-easyprof provides the aa-easyprof utility which is an easy to
 use interface for AppArmor policy generation. aa-easyprof supports the
 use of templates and policy groups to quickly profile an application.

Package: apparmor-profiles-extra
Description-md5: 2abe7151bc1ebd61573106f9cce84757
Description-en: Extra profiles for AppArmor Security policies
 This package provides various AppArmor profiles that are shipped
 neither in the upstream AppArmor releases nor in the packages they
 provide confinement for.

Package: appc-spec
Description-md5: be2eb7f861c0686b61665fd5d16384dd
Description-en: App Container Specification (appc) - tools
 App Container (appc) is a well-specified and community developed
 specification for application containers. appc defines several independent
 but composable aspects involved in running application containers,
 including an image format, runtime environment, and discovery mechanism
 for application containers.
 .
 This package provides "actool" utility for the App Container (appc)
 specification and technical details on how an appc image is downloaded
 over a network, cryptographically verified, and executed on a host.

Package: append2simg
Description-md5: 098b53cb5345c79e9d43a7c3970e37d5
Description-en: Transitional package
 This is a transitional package. It can safely be removed.

Package: apper
Description-md5: 304716341a90e89257031372b96cb4fa
Description-en: KDE package management tool using PackageKit
 PackageKit allows performing simple software management tasks over a DBus
 interface  e.g. refreshing the cache, updating, installing and removing
 software packages or searching for multimedia codecs and file handlers.
 .
 This package provides a KDE package manager based on PackageKit,
 as well as package management support for the KDE desktop.
 It implements the PackageKit session API for KDE, which allows
 applications to easily install additional software (e.g. extensions),
 uninstall files and perform simple software status queries.

Package: apper-data
Description-md5: 1a003ab8523a23a88af28d58382f4b4d
Description-en: KDE package management tool using PackageKit (data files)
 PackageKit allows performing simple software management tasks over a DBus
 interface  e.g. refreshing the cache, updating, installing and removing
 software packages or searching for multimedia codecs and file handlers.
 .
 This package provides data files for Apper, the
 KDE package manager based on PackageKit.

Package: appmenu-gtk-module-common
Description-md5: 0767dbeb8933428ee18edd2102477891
Description-en: Common files for GtkMenuShell D-Bus exporter
 GTK+ module for exporting old-style menus as GMenuModels.
 .
 Many applications implement menus as GtkMenuShells and GtkMenuItems and
 aren't looking to migrate to the newer GMenuModel API.
 .
 The AppMenu GTK+ module watches for these types of menus and exports the
 appropriate GMenuModel implementation.
 .
 This package contains common data files of the actuall appmenu module.

Package: appmenu-gtk2-module
Description-md5: 0e50d636ee9f17564f82631604e84229
Description-en: GtkMenuShell D-Bus exporter (GTK+2.0)
 GTK+ module for exporting old-style menus as GMenuModels.
 .
 Many applications implement menus as GtkMenuShells and GtkMenuItems and
 aren't looking to migrate to the newer GMenuModel API.
 .
 The AppMenu GTK+ module watches for these types of menus and exports the
 appropriate GMenuModel implementation.
 .
 This package contains the GTK+2.0 AppMenu module.

Package: appmenu-gtk3-module
Description-md5: 640fa2e0cebcc1adc35d24f681b00f56
Description-en: GtkMenuShell D-Bus exporter (GTK+3.0)
 GTK+ module for exporting old-style menus as GMenuModels.
 .
 Many applications implement menus as GtkMenuShells and GtkMenuItems and
 aren't looking to migrate to the newer GMenuModel API.
 .
 The AppMenu GTK+ module watches for these types of menus and exports the
 appropriate GMenuModel implementation.
 .
 This package contains the GTK+3.0 AppMenu module.

Package: appmenu-registrar
Description-md5: 978ba616a5ee0827a9f786e33e110e1c
Description-en: Appmenu DBusMenu registrar
 This package provides a standalone Appmenu registrar that allows other
 applications to access any active window's application menu tree.
 .
 Such a registrar is extremely useful for, e.g.
 .
   * implementing global menus (application menus appear in the top
     panel bar of the desktop environment)
   * adding an application menu browser or search engine to HUDs
 .
 The registrar uses the protocol originally published with the Unity7
 desktop environment. It supports all features found in that
 implementation.
 .
 The menu hiding functionality in appmenu-gtk-module depends on the
 registrar's presence.
 .
 This package is a subproject of the vala-panel-appmenu project.

Package: apport-kde
Description-md5: c8fd570a74a013e8fc3c502dd64152ce
Description-en: KDE frontend for the apport crash report system
 apport automatically collects data from crashed processes and
 compiles a problem report in /var/crash/. This utilizes the crashdump
 helper hook provided by the Ubuntu kernel.
 .
 This package provides a KDE frontend for browsing and handling the
 crash reports.

Package: apport-noui
Description-md5: 5cfad9aa6d06a624d5f60c2375d26631
Description-en: tools for automatically reporting Apport crash reports
 apport automatically collects data from crashed processes and
 compiles a problem report in /var/crash/. This utilizes the crashdump
 helper hook provided by the Ubuntu kernel.
 .
 Installing this package will configure your system to automatically submit
 all new Apport crash reports.

Package: apport-valgrind
Description-md5: 21b17902929fcb9d5f6a9bcc53f2af83
Description-en: valgrind wrapper that first downloads debug symbols
 apport-valgrind is a valgrind wrapper that automatically downloads related
 available debug symbols and provides them to valgrind's memcheck tool, which
 is executed. The output is a valgrind log file ("valgrind.log") that contains
 stack traces (with as many symbols resolved as available) and that shows
 memory leaks.

Package: approx
Description-md5: 2f5350f98dbadffdaa97201d17cbf419
Description-en: caching proxy server for Debian archive files
 Approx is an HTTP-based proxy server for Debian-style package archives.
 It fetches files from remote repositories on demand,
 and caches them for local use.
 .
 Approx saves time and network bandwidth if you need to install or
 upgrade .deb packages for a number of machines on a local network.
 Each package is downloaded from a remote site only once,
 regardless of how many local clients install it.
 The approx cache typically requires a few gigabytes of disk space.
 .
 Approx also simplifies the administration of client machines:
 repository locations need only be changed in approx's configuration file,
 not in every client's /etc/apt/sources.list file.
 .
 Approx can be used as a replacement for apt-proxy,
 with no need to modify clients' /etc/apt/sources.list files,
 or as an alternative to apt-cacher.

Package: appstream-generator
Description-md5: 02b61773cddba34acabc22d74fb489a9
Description-en: Generator for AppStream metadata
 AppStream is a cross-distribution effort for creating and sharing
 metadata of software components available in the package repositories
 of a distribution.
 It provides specifications for an unified software component metadata format
 as well as tools to read, write and validate the metadata.
 .
 This package contains a tool to generate collection metadata from package
 repositories.
 It will extract icons, download screenshots, validate and transform the
 metadata and return XML or YAML files that can be read by AppStream clients,
 such as software centers.
 The `appstream-generator` tool will also generate issue reports as
 JSON documents and HTML pages.

Package: appstream-util
Description-md5: a4492a3684298de274fd2e206004ee03
Description-en: Utility to work with AppStream metadata
 AppStream is a cross-distribution effort for creating and sharing
 metadata about software components available in the package repositories
 of a distribution.
 .
 This package provides an utility to work with AppStream metadata, which uses
 libappstream-glib.
 .
 It also contains Autotools macros to easily integrate AppStream metadata into
 an Autotools-based buildsystem, by running 'appstream-util' to validate the
 files before installing them.

Package: apriltag
Description-md5: b4695a58506d4b4e758c51e8acc5986b
Description-en: AprilTags Visual Fiducial System
 AprilTag is a visual fiducial system, useful for a wide variety of tasks
 including augmented reality, robotics, and camera calibration. Targets can be
 created from an ordinary printer, and the AprilTag detection software computes
 the precise 3D position, orientation, and identity of the tags relative to the
 camera. The AprilTag library is implemented in C with no external dependencies.
 It is designed to be easily included in other applications, as well as be
 portable to embedded devices. Real-time performance can be achieved even on
 cell-phone grade processors.
 .
 This package provides the commandline tools

Package: aprsdigi
Description-md5: 5c06048dea3c507a26cdd2155363e6f4
Description-en: digipeater for APRS
 Aprsdigi is a specialized Amateur Packet Radio (AX.25) UI-frame digipeater for
 the Automatic Position Reporting Systems, APRS(tm). It uses the Linux kernel
 AX.25 network stack as well as the SOCK_PACKET facility to listen for packets
 on one or more radio interfaces (ports) and repeat those packets -- with
 several possible modifications -- on the same or other interfaces. Aprsdigi can
 also use the Internet to tunnel connections among other APRS digipeaters and
 nodes using IPv4 or IPv6 UDP unicast or multicast.

Package: aprx
Description-md5: 12a1ea91dc7b6749f92b7fb4c63bb24c
Description-en: APRS Digipeater and iGate
 Aprx is an APRS specific Digipeater and iGate.
 It supports multiple KISS-TNCs on serial ports  and listening
 to any kernel AX.25 network interfaces.
 .
 Additional features include a built-in "erlang-monitor" to analyze
 activity level of radio channels.
 .
 This software requires a valid (and unique) ham radio callsign to
 operate fully and is therefore useful mainly for licensed radio
 amateurs.

Package: apsfilter
Description-md5: 6f92400e4e7bcd0cb0f0543612044aea
Description-en: Magic print filter with automatic file type recognition
 apsfilter makes printing many file formats much easier.  It features
 on-the-fly decompression and conversion, and works on both PostScript
 and non-PostScript (via Ghostscript) graphical printers.
 .
 Among the supported formats are: gzip, bzip2, compress, freeze, pack,
 ASCII, BMP, data (PCL, etc.), DVI, FBM, FIG, FITS, GIF, Group 3 fax,
 HTML, IFF ILBM, JPEG, Kodak Photo CD, MGR, MIFF, PBM/PGM/PNM/PPM, PDF,
 PNG, PostScript, RLE, SGI, Sketch, Sun raster, Targa, TIFF, troff, WPG,
 X pixmap, XCF.  Note that actual support depends on the installed
 filter programs.

Package: apt-btrfs-snapshot
Description-md5: 1f729d91bce55160b9173cebcb88cbf5
Description-en: Automatically create snapshot on apt operations
 This will create a btrfs snapshot of the root filesystem each time
 that apt installs/removes/upgrades a software package.
 .
 A commandline tool called "apt-btrfs-snapshot" is also provided
 for easy access create/list/delete snapshots.

Package: apt-build
Description-md5: 53f603818fd993e68be0ac08386d29c1
Description-en: frontend to apt to build, optimize and install packages
 This is an apt-get front-end for compiling software optimized
 for your architecture by creating a local repository with built packages.
 It can manage system upgrades too.

Package: apt-cacher
Description-md5: c2a7cf862bdc0d1afaec036dba0d3c94
Description-en: Caching proxy server for Debian/Ubuntu/Devuan software repositories
 Apt-cacher performs caching of files requested by apt-get (or other APT clients
 such as aptitude or synaptic). Apt-cacher can also proxy Debian Bugs SOAP
 requests for apt-listbugs. It is most useful for local area networks with
 limited network bandwidth or to reduce multiple large downloads.
 .
 When a file or package is requested, apt-cacher checks whether it already has
 the requested version, in which case it fulfils the request immediately.  If
 not, it downloads the package while streaming it to the client at the same
 time. A local copy is then kept for any subsequent requests.
 .
 Apt-cacher has been optimized for best utilization of network bandwidth and is
 efficient even on slow or low-memory servers. Multiple ways of installation
 are possible: as a stand-alone proxy, as a daemon executed by inetd or as
 a CGI program (deprecated). Client machines are configured by changing APT's
 proxy configuration or modification of access URLs in sources.list.
 .
 The package includes utilities to clean the cache (removing obsolete package
 files), generate usage reports and import existing package files.  Optional
 features include a file checksum verification framework, IPv6 support, FTP and
 HTTPS (proxying only) support as well as the simultaneous caching of
 repositories from different distributions.
 .
 Apt-cacher can be used as a replacement for apt-proxy, with no need to modify
 client's /etc/apt/sources.list files (and even reusing its config and cached
 data), or as an alternative to approx.

Package: apt-cacher-ng
Description-md5: b88e5e2d04c76e8d4500fb60880c7d76
Description-en: caching proxy server for software repositories
 Apt-Cacher NG is a caching proxy for downloading packages from Debian-style
 software repositories (or possibly from other types).
 .
 The main principle is that a central machine hosts the proxy for a local
 network, and clients configure their APT setup to download through it.
 Apt-Cacher NG keeps a copy of all useful data that passes through it, and when
 a similar request is made, the cached copy of the data is delivered without
 being re-downloaded.
 .
 Apt-Cacher NG has been designed from scratch as a replacement for
 apt-cacher, but with a focus on maximizing throughput with low system
 resource requirements. It can also be used as replacement for apt-proxy and
 approx with no need to modify clients' sources.list files.

Package: apt-config-auto-update
Description-md5: 04ed3896bf19ee662ad32ee949d1912c
Description-en: APT configuration for automatic cache updates
 This package contains configuration snippets for the APT
 package manager to make it automatically update its package cache.
 .
 This is useful for systems which do not use a daemon like PackageKit
 to trigger cache refreshes at convenient times.
 The configuration will not trigger automatic installation of updates,
 for this functionality consider using the `unattended-upgrades` utility.

Package: apt-cudf
Description-md5: b4c5b792c843380c3d78649b6134794d
Description-en: CUDF solver integration for APT
 apt-cudf provides integration among the APT package manager and CUDF solvers,
 allowing APT to rely on external (CUDF-based) dependency solvers to plan
 package installation, upgrade, and removal.
 .
 CUDF is a distribution-independent, standard format to describe dependency
 solving scenarios, as faced by package managers in popular package-based
 GNU/Linux distributions. APT is a well-known package manager for Debian-based
 distributions, which is able to delegate dependency solving to external
 solvers, by the means of the External Dependency Solving Protocol (EDSP).
 .
 apt-cudf provides the glue between the EDSP protocol and CUDF solvers,
 enabling any installed CUDF solver to be used as an external solver for APT.
 .
 Several CUDF solvers are available in Debian. They all provide the cudf-solver
 virtual package.

Package: apt-dater
Description-md5: 7f58a625b0d0a2bf7e57283f92a8be8d
Description-en: terminal-based remote package update manager
 apt-dater provides an easy to use ncurses frontend for managing package
 updates on a large number of remote hosts using SSH and apt-dater-host.
 It supports Debian-based managed hosts as well as openSUSE and CentOS based
 systems.

Package: apt-dater-host
Description-md5: 58e10c802c22113fc3d12211d59adac1
Description-en: host helper application for apt-dater
 apt-dater provides an easy to use ncurses frontend for managing package
 updates on a large number of remote hosts using SSH.
 It supports Debian-based managed hosts as well as openSUSE and CentOS based
 systems.
 .
 This package provides the helper application for apt-dater.
 It has to be installed on every apt-dater managed host.

Package: apt-dpkg-ref
Description-md5: 1acdd824e827f066b923938e5151123a
Description-en: APT, Dpkg Quick Reference sheet
 A quick lookup chart with various APT and dpkg options for handy reference,
 for those who haven't quite memorized the most commonly used commands.
 .
 This package will generate the documentation in different formats, such as
 HTML and PDF.

Package: apt-file
Description-md5: 3f3f4d44b9836ed93b55a8c90dcc3665
Description-en: search for files within Debian packages (command-line interface)
 apt-file is a command line tool for searching files contained in packages
 for the APT packaging system. You can search in which package a file is
 included or list the contents of a package without installing or fetching it.

Package: apt-forktracer
Description-md5: 5f2bbc0825c48dc896c9836bd1edfdb9
Description-en: utility for tracking non-official package versions
 apt-forktracer tries to alleviate the problem that APT stops tracking official
 versions of a package after you pin it or install a newer version.
 .
 apt-forktracer displays a list of packages which are in an inconsistent state,
 or whose installed version is different than the newest official one. You can
 choose to ignore certain packages - if they match certain criteria - using
 a configuration file.

Package: apt-listdifferences
Description-md5: e427f13f17795f4ac36470203584d4e1
Description-en: source differences notification tool
 apt-listdifferences will show the differences, or patches, between the
 sources for all packages as they get updated. This makes it easy to
 review source changes that have been included in new package updates.

Package: apt-mirror
Description-md5: cf7ee1d4e4f7c65e0e14c5bc8da53c06
Description-en: APT sources mirroring tool
 A small and efficient tool that lets you mirror a part of or
 the whole Debian GNU/Linux distribution or any other apt sources.
 .
 Main features:
  * It uses a config similar to apts <sources.list>
  * It's fully pool comply
  * It supports multithreaded downloading
  * It supports multiple architectures at the same time
  * It can automatically remove unneeded files
  * It works well on overloaded channel to internet
  * It never produces an inconsistent mirror including while mirroring
  * It works on all POSIX compliant systems with perl and wget

Package: apt-move
Description-md5: 822a3c3c140c24f028e4e1f35062786a
Description-en: maintain Debian packages in a package pool
 apt-move is used to move a collection of Debian package files into a
 proper archive hierarchy as is used in the official Debian archive. It
 is intended as a tool to help manage the apt-get(8) file cache, but
 could be configured to work with any collection of Debian packages.
 .
 Running apt-move periodically will assist in managing the resulting
 partial mirror by optionally removing obsolete packages, and creating
 valid local Packages.gz files. It can also build a partial or complete
 local mirror of a Debian binary distribution (including an
 ``installed-packages only'' mirror).

Package: apt-offline
Description-md5: 7487fa218999d3466bc1f427d657de2f
Description-en: offline APT package manager
 apt-offline is an Offline APT Package Manager.
 .
 apt-offline can fully update and upgrade an APT based distribution without
 connecting to the network, all of it transparent to APT.
 .
 apt-offline can be used to generate a signature on a machine (with no network).
 This signature contains all download information required for the APT database
 system. This signature file can be used on another machine connected to the
 internet (which need not be a Debian box and can even be running windows) to
 download the updates.
 The downloaded data will contain all updates in a format understood by APT and
 this data can be used by apt-offline to update the non-networked machine.
 .
 apt-offline can also fetch bug reports and make them available offline.

Package: apt-offline-gui
Description-md5: c320d4ba3db03ee58760227c3cc84884
Description-en: offline APT package manager - GUI
 apt-offline is an Offline APT Package Manager.
 .
 apt-offline can fully update and upgrade an APT based distribution without
 connecting to the network, all of it transparent to APT.
 .
 apt-offline can be used to generate a signature on a machine (with no network).
 This signature contains all download information required for the APT database
 system. This signature file can be used on another machine connected to the
 internet (which need not be a Debian box and can even be running windows) to
 download the updates.
 The downloaded data will contain all updates in a format understood by APT and
 this data can be used by apt-offline to update the non-networked machine.
 .
 apt-offline can also fetch bug reports and make them available offline.
 .
 This package contains the graphical user interface to apt-offline.

Package: apt-rdepends
Description-md5: 9b5c1380ff7569c2bbab957d22fb1126
Description-en: recursively lists package dependencies
 This utility can recursively list package dependencies, either forwards
 or in reverse. It also lists forward build-dependencies. The output
 format closely resembles that of `apt-cache depends`. As well, it can
 generate .dot graphs, much like apt-cache in dotty mode.

Package: apt-show-source
Description-md5: d4cedf9c62e14ae2d9a9387616ae8fa2
Description-en: Shows source-package information
 This program parses the APT lists for source packages and the
 dpkg status file and then lists every package with a different
 version number than the one installed.
 It's very useful if your deb-src sources.list entries point to
 unstable and your deb entries point to stable.

Package: apt-show-versions
Description-md5: f3e90eaeb26db6c7571b38a0b4ce5b90
Description-en: lists available package versions with distribution
 apt-show-versions parses the dpkg status file and the APT lists for
 the installed and available package versions and distribution and
 shows upgrade options within the specific distribution of the selected
 package.
 .
 This is really useful if you have a mixed stable/testing environment
 and want to list all packages which are from testing and can be
 upgraded in testing.

Package: apt-src
Description-md5: c1c2aad38a3b3f83fa8835588c7f14b5
Description-en: manage Debian source packages
 apt-src is a command line interface for downloading, installing, upgrading,
 and tracking Debian source packages. It makes source package management
 feel a lot like using apt to manage binary packages, and is being used as
 a testbed to work on adding source dependencies to Debian.
 .
 It can be run as a normal user, or as root. If you want a convenient way to
 track updates to packages while preserving your local modifications, this is
 a way to do that.

Package: apt-transport-https
Description-md5: 42fb3fe23b1b826709a68809c25a3897
Description-en: transitional package for https support
 This is a dummy transitional package - https support has been moved into
 the apt package in 1.5. It can be safely removed.

Package: apt-transport-s3
Description-md5: 9c4a2707c72c73ed9aa66c0f8f95d195
Description-en: APT transport for privately held AWS S3 repositories
 This package contains the APT AWS S3 transport. It makes possible to fetch
 files from repositories privately held on AWS S3.
 .
 To start using S3 based repo it's enough to have IAM role on the server and to
 add line similar to the below to apt sources.list (more information in 'man
 apt-transport-s3'): deb s3://s3.amazonaws.com/BUCKETNAME wheezy main

Package: apt-transport-tor
Description-md5: 4d820afa6e1369d26ffa4a285e6a8503
Description-en: APT transport for anonymous package downloads via Tor
 Provides support in APT for downloading packages anonymously via the Tor
 network.
 .
 APT already includes mechanisms for guaranteeing the authenticity of the
 packages you download.  However, an adversary sniffing your network traffic
 can still see what software you are installing.
 .
 Install apt-transport-tor, edit your sources.list to include only tor://
 URLs, and you can make it very difficult for anyone intercepting your
 network traffic to be able to tell that you are installing Debian packages,
 or which packages you are installing.
 .
 Please note that this approach is only as secure as Tor itself - this
 software cannot protect you from an attacker who has access to your local
 machine.  In addition, attackers may be able to correlate your network
 traffic with the packets coming out of an exit node, so do be careful.

Package: apt-venv
Description-md5: 998def5b4f6b78bf45ded0d7b7dbad36
Description-en: apt virtual environment
 apt-venv creates a sort of virtual environment in the user
 home directory, forcing apt to run under some custom option.
 .
 A simple use case is collect information about packages
 in different Debian and Ubuntu releases without surfing the web,
 just calling apt-cache through the virtual environment.

Package: apt-xapian-index
Description-md5: febc75fd9bce6f0409e1f895855be0af
Description-en: maintenance and search tools for a Xapian index of Debian packages
 This package provides update-apt-xapian-index, a tool to maintain a Xapian
 index of Debian package information in /var/lib/apt-xapian-index, and
 axi-cache, a command line search tool that uses the index.
 .
 axi-cache allows one to search packages very quickly, and it also interfaces
 with the shell command line completion in a smart way, providing
 context-sensitive keyword and tag suggestions even before the search command
 is actually run.
 .
 update-apt-xapian-index allows plugins to be installed in
 /usr/share/apt-xapian-index to index all sorts of extra information, such as
 Debtags tags, popcon information, package ratings and anything else that would
 fit.
 .
 The index generated by update-apt-xapian-index is self-documenting, as it
 contains an autogenerated README file with information on the index layout and
 all the data that can be found in it.

Package: aptfs
Description-md5: 6b9f560c7a62b9f82be9563d5fda765c
Description-en: FUSE filesystem for APT source repositories
 AptFs is a FUSE-based filesystem that provides a view to unpacked Debian
 source packages (obtained via APT) as regular folders. Binary packages are
 modelled as symbolic links pointing to their respective source package.

Package: apticron
Description-md5: cf0f2f42b823f1d7864bab7f83826a35
Description-en: Simple tool to mail about pending package updates - cron version
 Apticron is a simple script which sends daily emails about pending package
 updates such as security updates, properly handling packages on hold both by
 dselect and aptitude.

Package: apticron-systemd
Description-md5: ec7d80bc9e810358bcc5d154b03beb8a
Description-en: Simple tool to mail about pending package updates - systemd version
 Apticron is a simple script which sends daily emails about pending package
 updates such as security updates, properly handling packages on hold both by
 dselect and aptitude.
 .
 This package uses a systemd timer instead of a cron job to run apticron.

Package: aptitude
Description-md5: 6077c8b6794c43d6b34dfc9169fe4ee5
Description-en: terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 aptitude is also Y2K-compliant, non-fattening, naturally cleansing,
 and housebroken.

Package: aptitude-common
Description-md5: 0ebb2713369d2bbfc7c859cc8eb8ae8a
Description-en: architecture independent files for the aptitude package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the data files and translations used by
 aptitude.

Package: aptitude-doc-cs
Description-md5: 932ff8810da50569ec3e41432b684d97
Description-en: Czech manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the Czech version of the aptitude user's
 manual in HTML format.

Package: aptitude-doc-en
Description-md5: 2d2da927067ef4b395df37dd2ad5ed3f
Description-en: English manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the English version of the aptitude user's
 manual in HTML format.

Package: aptitude-doc-es
Description-md5: 932df7cf457fc2f3fe2e8dc860b84561
Description-en: Spanish manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the Spanish version of the aptitude user's
 manual in HTML format.

Package: aptitude-doc-fi
Description-md5: 8df27fc79564fcd48eebaddf0a7acda5
Description-en: Finnish manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the Finnish version of the aptitude user's
 manual in HTML format.

Package: aptitude-doc-fr
Description-md5: ae91626aed826890c80dbdf82abd2bce
Description-en: French manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the French version of the aptitude user's
 manual in HTML format.

Package: aptitude-doc-it
Description-md5: 1c8949b4fe5988a83d1ba2b7cbb3c4a8
Description-en: Italian manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the Italian version of the aptitude user's
 manual in HTML format.

Package: aptitude-doc-ja
Description-md5: 4cfad5a009b39ce6a8f9399bd0bd89fd
Description-en: Japanese manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the Japanese version of the aptitude user's
 manual in HTML format.

Package: aptitude-doc-nl
Description-md5: 5d87a5e8291d4c825db40e789abca584
Description-en: Dutch manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the Dutch version of the aptitude user's
 manual in HTML format.

Package: aptitude-doc-ru
Description-md5: e18505c34cf14692eaece2043f053f5d
Description-en: Russian manual for aptitude, a terminal-based package manager
 aptitude is a package manager with a number of useful features,
 including: a mutt-like syntax for matching packages in a flexible
 manner, dselect-like persistence of user actions, the ability to
 retrieve and display the Debian changelog of most packages, and a
 command-line mode similar to that of apt-get.
 .
 This package contains the Russian version of the aptitude user's
 manual in HTML format.

Package: aptitude-robot
Description-md5: 13637d834d4b5f75beafa69aa2cf395d
Description-en: Automate package choice management
 Framework to use aptitude for automated package management including
 unattended upgrade, installation, removal, hold, etc.  Allows you to automate
 what you would manually do with aptitude.

Package: aptly
Description-md5: 20d080901cec323189594235a52164f6
Description-en: Swiss army knife for Debian repository management - main package
 It offers several features making it easy to manage Debian package
 repositories:
 .
  - make mirrors of remote Debian/Ubuntu repositories, limiting by
    components/architectures
  - take snapshots of mirrors at any point in time, fixing state of
    repository at some moment of time
  - publish snapshot as Debian repository, ready to be consumed by apt
  - controlled update of one or more packages in snapshot from upstream
    mirror, tracking dependencies
  - merge two or more snapshots into one
 .
 This is the main package, it contains the aptly command-line utility.

Package: aptly-api
Description-md5: 35f8d825ae06ba18f3415b0d0b7fbda4
Description-en: Swiss army knife for Debian repository management - API
 It offers several features making it easy to manage Debian package
 repositories:
 .
  - make mirrors of remote Debian/Ubuntu repositories, limiting by
    components/architectures
  - take snapshots of mirrors at any point in time, fixing state of
    repository at some moment of time
  - publish snapshot as Debian repository, ready to be consumed by apt
  - controlled update of one or more packages in snapshot from upstream
    mirror, tracking dependencies
  - merge two or more snapshots into one
 .
 This package contains the aptly-api service.

Package: aptly-publisher
Description-md5: f5faf07d5823cd54dce52e2a328ded13
Description-en: Tool for management of Aptly publishes
 This tool allows one to create aptly multi-component
 publishes from YAML definition of repositories and mirrors
 .
 Features:
  - create or update publish from latest snapshots
    - it takes configuration in yaml format which defines what to publish and
      how
    - expected snapshot format is ``<name>-<timestamp>``
  - promote publish
    - use source publish snapshots to create or update another publish (eg.
      testing -> stable)
  - cleanup unused snapshots

Package: apulse
Description-md5: f63aab262a204b98c258a475efbeecb3
Description-en: PulseAudio emulation for ALSA
 The program provides an alternative partial implementation of the PulseAudio
 API. It consists of a loader script and a number of shared libraries with the
 same names as from original PulseAudio, so applications could dynamically load
 them and think they are talking to PulseAudio. Internally, no separate sound
 mixing daemon is used. Instead, apulse relies on ALSA's dmix, dsnoop, and plug
 plugins to handle multiple sound sources and capture streams running at the
 same time. dmix plugin muxes multiple playback streams; dsnoop plugin allow
 multiple applications to capture from a single microphone; and plug plugin
 transparently converts audio between various sample formats, sample rates and
 channel numbers.

Package: apvlv
Description-md5: 4c924a5cb9457b9cbbc4177536ca9799
Description-en: PDF viewer with Vim-like behaviour
 apvlv (Alf's PDF Viewer Like Vim) is a small and fast PDF viewer, that uses
 poppler for rendering. Its interface aims to users who like vim behaviour.
 For example opening a PDF file can be done with ':o filename'. apvlv can also
 work with tabs, so you can open multiple files at the same time in one
 program.

Package: apwal
Description-md5: 3bd9900ae20213f0f300ca4f6bcc1aeb
Description-en: icon-based floating application launcher with transparency
 Apwal is a simple icon-based application launcher. It consists of two
 components: the application launcher itself, and the configuration editor.
 .
 Upon startup, Apwal displays icons at the mouse pointer location. Left
 clicking on the icons launches applications, and right-clicking opens
 Apwal Editor.
 .
 Apwal Editor is an easy to use graphical interface to configure Apwal. An
 icon selector displays icons available on your filesystem. Several filters
 are available to ease the search (by extension, by size, by name, etc.).

Package: aqbanking-tools
Description-md5: 20d88ad5862f5d76e55a7312cc0a5687
Description-en: basic command line homebanking utilities
 AqBanking provides a middle layer between the applications
 and online banking libraries implementing various file formats and protocols.
 Plugins supporting OFX, DTAUS, HBCI, and EBICS are available.
 .
 This package provides a basic command line interface to AqBanking.

Package: aqemu
Description-md5: 415b54bfca1541a0f6457dacd4dd48c7
Description-en: Qt5 front-end for QEMU and KVM
 aqemu is a Qt5 graphical interface used to manage QEMU and KVM virtual
 machines. It has a user-friendly interface and allows one to set up the
 majority of QEMU and KVM options.

Package: aqsis
Description-md5: d7bfb74855db6d0f0b8f13ba35a7cfe6
Description-en: 3D rendering solution adhering to the RenderMan(R) standard, binaries
 Aqsis is a high quality, photorealistic, 3D rendering solution. It complies
 with the RenderMan(R) interface standard defined by Pixar.
 .
 The RenderMan(R) standard has been used in film and television visual effects
 since its introduction in 1989. Pixar has used their own implementation for all
 of their award winning CG features, and provided their implementation for use
 in the visual effects of most major blockbuster films over the last 2
 decades. The Aqsis project offers a way for individuals and organisations alike
 to gain experience with the RenderMan(R) interface without the cost of
 commercial software licenses.
 .
 This package contains the Aqsis binaries (command line rendering tool, a tool
 for compiling shaders in the RSL language and a tool for preparing textures for
 optimal use).

Package: aqsis-examples
Description-md5: 23b6eca9712da470f168facaf269da84
Description-en: 3D rendering solution adhering to the RenderMan(R) standard, examples
 Aqsis is a high quality, photorealistic, 3D rendering solution. It complies
 with the RenderMan(R) interface standard defined by Pixar.
 .
 The RenderMan(R) standard has been used in film and television visual effects
 since its introduction in 1989. Pixar has used their own implementation for all
 of their award winning CG features, and provided their implementation for use
 in the visual effects of most major blockbuster films over the last 2
 decades. The Aqsis project offers a way for individuals and organisations alike
 to gain experience with the RenderMan(R) interface without the cost of
 commercial software licenses.
 .
 This package contains examples like scenes, procedurals and shaders.

Package: arachne-pnr
Description-md5: 26a63de2bf073756bd06b3e945d2e746
Description-en: Place and route tool for iCE40 family FPGAs
 Arachne-pnr implements the place and route step of the hardware compilation
 process for FPGAs. It accepts as input a technology-mapped netlist in BLIF
 format, as output by the Yosys synthesis suite for example. It currently
 targets the Lattice Semiconductor iCE40 family of FPGAs. Its output is a
 textual bitstream representation for assembly by the IceStorm icepack command.
 The output of icepack is a binary bitstream which can be uploaded to a hardware
 device.
 .
 Together, Yosys, arachne-pnr and IceStorm provide an fully open-source
 Verilog-to-bistream tool chain for iCE40 1K and 8K FPGA development.

Package: arachne-pnr-chipdb
Description-md5: 9df2786dc73e49db663c46fda51edf84
Description-en: Chip db files for arachne-pnr
 Arachne-pnr implements the place and route step of the hardware compilation
 process for FPGAs. It accepts as input a technology-mapped netlist in BLIF
 format, as output by the Yosys synthesis suite for example. It currently
 targets the Lattice Semiconductor iCE40 family of FPGAs. Its output is a
 textual bitstream representation for assembly by the IceStorm icepack command.
 The output of icepack is a binary bitstream which can be uploaded to a hardware
 device.
 .
 This package contains the binary versions of the chipdb files needed by
 arachne-pnr

Package: aragorn
Description-md5: 9dfb74b77cab6ab1e8b625d5e65b71c6
Description-en: tRNA and tmRNA detection in nucleotide sequences
 The program employs heuristic algorithms to predict tRNA secondary structure,
 based on homology with recognized tRNA consensus sequences and ability to form
 a base-paired cloverleaf. tmRNA genes are identified using a modified version
 of the BRUCE program.

Package: arandr
Description-md5: b7610151651b884d14113aa8df4fa9db
Description-en: Simple visual front end for XRandR
 ARandR is a visual front end for XRandR, which provides full control over the
 relative positioning and rotation of monitors, as well as saving and loading
 to/from shell scripts.

Package: aranym
Description-md5: 83eb6a8171294d3549df9c6a3d08cfd3
Description-en: Atari Running on Any Machine
 Virtual Machine for running Atari 32-bit operating systems and applications

Package: aravis-tools
Description-md5: 5d45be715f23ac96f62369dfdbf30ea3
Description-en: Aravis based tools for GenICam cameras
 Basic GenICam standard function testing and camera control utility
 (arv-tool-0.6).
 Basic viewer for aravis compatible cameras, real-time camera control using
 GenICam implemented properties (arv-viewer).

Package: arbtt
Description-md5: 243b4fc4814bcd25ef48736a465ae7bf
Description-en: Automatic Rule-Based Time Tracker
 The program arbtt, the automatic rule-based time tracker, allows you to
 investigate how you spend your time, without having to manually specify what
 you are doing. arbtt records what windows are open and active, and provides
 you with a powerful rule-based language to afterwards categorize your work.

Package: arc
Description-md5: 1046295106b32f61b8841b9de2a0964d
Description-en: Archive utility based on the MSDOS ARC program
 This program is based on the MSDOS ARC program, version 5.21, plus
 a few enhancements...
 .
 ARC also performs Huffman Squeezing on data. The Huffman Squeeze
 algorithm was removed from MSDOS ARC after version 5.12. It turns
 out to be more efficient than Lempel-Ziv style compression when
 compressing graphic images. Squeeze analysis is always done now,
 and the best of packing, squeezing, or crunching is used.
 .
 Compresses and extracts Squashed files. "Squashing" was created
 by Phil Katz in his PKxxx series of ARC utility programs for
 MSDOS. Dan Lanciani wrote the original modifications to ARC's
 Crunch code to handle Squashing. I've made minor changes since
 then, mostly to reduce the amount of memory required. The 'q'
 option flag must be specified to Squash files. The Squashing
 algorithm will be used instead of the usual Crunch algorithm,
 and will be compared against packing and squeezing, as before.
 .
 This package provides the arc and marc commands. MARC can be
 used to merge files compressed by ARC.

Package: arc-gui-clients
Description-md5: 292a7673c2c4364b48a71ffad2815cf6
Description-en: ARC Graphical Clients
 Provides graphical clients to the NorduGrid ARC middleware.

Package: arc-theme
Description-md5: ed6c210ed8603d913952411a272cecb7
Description-en: Flat theme with transparent elements
 Arc is a flat theme with transparent elements for GTK+ 3, GTK+ 2 and
 GNOME Shell which supports GTK+ 3 and GTK+ 2 based desktop environments
 like GNOME, Unity, Budgie, Pantheon, Xfce, MATE, etc.
 Arc also includes a GTK+ theme for Plank.
 .
 Arc is available in three variants:
 Arc, Arc-Darker, Arc-Dark

Package: arcanist
Description-md5: 9ea91c64cccc7e76aadf0b86ce0ee93d
Description-en: Command line interface for Phabricator (review platform)
 Arcanist is the command-line tool for Phabricator. It allows you to interact
 with Phabricator installs to send code for review, download patches, transfer
 files, view status, make API calls, and various other things.

Package: arcanist-clang-format-linter
Description-md5: 0479228ff9b37e16d63a34912f5f9df3
Description-en: clang-format linter for Arcanist
 This package allows to use clang-format as a linter for
 arcanist to enforce style over a C/C++/Objective-C codebase.

Package: arch-install-scripts
Description-md5: 90ae1b190151ce691328118fc754fe24
Description-en: scripts aimed at automating some menial tasks when debootstrapping
 A small suite of scripts aimed at automating some menial tasks when
 installing Arch Linux.
 .
 These scripts can also aid when debootstrapping a Debian based system.
 .
 This package contains `genfstab` which assists with generating a fstab and
 `arch-chroot` which assists with setting up bind mounts and disabling services
 via policy.d.

Package: arch-test
Description-md5: 09af6c91c1747a63b39ac092b1105e07
Description-en: detect architectures supported by your machine/kernel
 This package lets you enumerate architectures that your kernel can run.
 The check is for the ability to run machine code and supporting appropriate
 syscall ABI -- you may need to install userland libraries in a chroot,
 container or via multiarch to actually execute non-static binaries of such
 architectures.
 .
 Also provided is "elf-arch", a tool to determine the architecture of an ELF
 binary (program or library).
 .
 Architectures detected by this version of arch-test are:
  * amd64, i386, x32
  * mips, mipsel, mips64, mips64el
  * arm, armel, armhf, arm64, arm64ilp32
  * powerpc, ppc64, ppc64el, powerpcspe
  * m68k
  * sh4
  * s390x
  * sparc, sparc64
  * illumos-amd64
  * win32, win64
  * kfreebsd-amd64, kfreebsd-i386
  * alpha
  * hppa
  * ia64
  * riscv64

Package: archivemount
Description-md5: d6302be9f06a91afa32326ab175e2086
Description-en: mounts an archive for access as a file system
 archivemount is a FUSE based file system for Unix variants, including Linux.
 Its purpose is to mount archives to a mount point where it can be read from
 or written to as with any other file system.
 This makes accessing the contents of the archive, which maybe compressed,
 transparent to other programs, without decompressing them.
 The archive formats that archivemount supports are:
  *   old-style tar archives,
  .
  *   most variants of the POSIX 'ustar' format,
  .
  *   the POSIX 'pax interchange' format,
  .
  *   GNU-format tar archives,
  .
  *   most common cpio archive formats,
  .
  *   ISO9660 CD images (with or without RockRidge extensions),
  .
  *   Zip archives.
  .
  *   two different variants of shar archives.
  .
 archivemount is FUSE (Filesystem in USErspace).

Package: archmage
Description-md5: 122b801635de6539139ac4bb32485966
Description-en: CHM (Compiled HTML) Decompressor
 arCHMage is a reader and decompiler for files in the CHM format. This is the
 format used by Microsoft HTML Help, and is also known as Compiled HTML.
 .
 arCHMage provides the following features:
  - Extracting CHM content to set of HTML, CSS files and images
  - Dumping HTML data from CHM as a plain text

Package: archmbox
Description-md5: eea6dea2fee3bdbad0ef441aa95fcaa0
Description-en: simple email archiver written in perl
 Archmbox is a simple email archiver written in perl; it parses one or
 more mailboxes, selects some or all messages and then performs  specific
 actions on the selected messages.
 At this time archmbox supports mbox and mbx mailbox formats.
 .
 Messages selection is based upon a date criteria; an absolute date
 or a days offset can be specified. It is also possible to refine the
 selection using regular expressions on the header fields of the message.
 All archived messages are stored in a new mailbox with the same name
 of the original one plus .archived as extension (this is the default, but
 can be changed); the archive mailbox can be saved in gz or bz2
 compressed format as well.

Package: arctica-greeter
Description-md5: 15c1f715b4066a3ca83a621d5a9ea495
Description-en: LightDM Arctica Greeter
 A greeter shell for the LightDM login manager. Arctica Greeter can be used as
 local display manager as well as thin client login manager.
 .
 Arctica Greeter has been forked from Ubuntu's Unity Greeter with focus on
 keeping remote logon support a maintained feature and provide a user interface
 based on Ayatana Indicators.
 .
 Arctica Greeter can be extended with various features:
 .
   - remote logon support against RDP, Arctica and X2Go Servers
   - guest session support
   - various themes available / providable

Package: arctica-greeter-guest-session
Description-md5: a9df668d93ae6b83c672e41bf98a2440
Description-en: LightDM Arctica Greeter - Guest Session Support
 A greeter shell for the LightDM login manager. Arctica Greeter can be used as
 local display manager as well as thin client login manager.
 .
 This package adds guest account support to the Arctica Greeter login manager.

Package: arctica-greeter-remote-logon
Description-md5: d7c8cffdea25dc0f8229e3bb61df5457
Description-en: LightDM Arctica Greeter - Remote Login Support
 A greeter shell for the LightDM login manager. Arctica Greeter can be used as
 local display manager as well as thin client login manager.
 .
 This package adds remote logon support to Arctica Greeter. Remote
 sessions supported by Arctica Greeter are:
 .
   - Arctica Remote Desktop Sessions
   - X2Go Desktop Sessions
   - Windows RDP sessions (FreeRDP)
   - Citrix Remote Desktop Sessions

Package: arctica-greeter-theme-debian
Description-md5: 44e72ce10de5fd51ff9e7f5067c418e9
Description-en: LightDM Arctica Greeter - Debian Theme (active theme)
 A greeter shell for the LightDM login manager. Arctica Greeter can be used as
 local display manager as well as thin client login manager.
 .
 This package themes Arctica Greeter with a Debian look'n'feel. It will use
 the currently active Debian theme.

Package: arctica-greeter-theme-debian-buster
Description-md5: 7131107a3710b190cb5c0cc5bf902803
Description-en: LightDM Arctica Greeter - Debian 10 (buster) Theme
 A greeter shell for the LightDM login manager. Arctica Greeter can be used as
 local display manager as well as thin client login manager.
 .
 This package themes Arctica Greeter with Debian 10 (aka buster) look'n'feel.

Package: arctica-greeter-theme-debian-futureprototype
Description-md5: 1dfa41124266a42a64cac3cc2d467c27
Description-en: LightDM Arctica Greeter - Debian Theme (futurePrototype)
 A greeter shell for the LightDM login manager. Arctica Greeter can be used as
 local display manager as well as thin client login manager.
 .
 This package themes Arctica Greeter with the futurePrototype theme.

Package: arctica-greeter-theme-debian-softwaves
Description-md5: 036cca6b40ddc663dcb5dd50377fd3cd
Description-en: LightDM Arctica Greeter - Debian Theme (softWaves)
 A greeter shell for the LightDM login manager. Arctica Greeter can be used as
 local display manager as well as thin client login manager.
 .
 This package themes Arctica Greeter with the softWaves theme.

Package: arctica-greeter-theme-debian-stretch
Description-md5: 22b260a64f2ec248a96c1d59e2230b20
Description-en: LightDM Arctica Greeter - Debian 9 (stretch) Theme
 A greeter shell for the LightDM login manager. Arctica Greeter can be used as
 local display manager as well as thin client login manager.
 .
 This package themes Arctica Greeter with Debian 9 (aka stretch) look'n'feel.
 (Provided to people using Arctica Greeter from stretch-backports).

Package: arden
Description-md5: d4e3cbc891f56c41cb4a95fba3d615e8
Description-en: specificity control for read alignments using an artificial reference
 ARDEN (Artificial Reference Driven Estimation of false positives in NGS
 data) is a novel benchmark that estimates error rates based on real
 experimental reads and an additionally generated artificial reference
 genome. It allows the computation of error rates specifically for a
 dataset and the construction of a ROC-curve. Thereby, it can be used to
 optimize parameters for read mappers, to select read mappers for a
 specific problem or also to filter alignments based on quality
 estimation.

Package: ardentryst
Description-md5: 558c021de0117cc3e48b9cfc9a65647d
Description-en: Action/RPG sidescoller, focused on story and character development
 Ardentryst is an action/RPG sidescoller, focused not just on fighting, but on
 story, and character development. It features two playable characters and a
 variety of weapons, items, armour, monsters, and beautiful level scenery and
 graphics.

Package: ardour
Description-md5: da4b23920a85356ff3a27154c8159a99
Description-en: the digital audio workstation
 Ardour is a multichannel hard disk recorder (HDR) and digital audio
 workstation (DAW).  It can be used to control, record, edit and run
 complex audio setups.
 .
 Ardour supports pro-audio interfaces
 through the ALSA project, which provides high quality, well designed
 device drivers and API's for audio I/O under Linux. Any interface
 supported by ALSA can be used with Ardour. This includes the
 all-digital 26 channel RME Hammerfall, the Midiman Delta 1010 and many
 others.
 .
 Ardour has support for 24 bit samples
 using floating point internally, non-linear editing with unlimited undo,
 a user-configurable mixer, MTC master/slave capabilities, MIDI hardware
 control surface compatibility.
 .
 It supports MIDI
 Machine Control, and so can be controlled from any MMC controller and
 many modern digital mixers.
 .
 Ardour contains a
 powerful multitrack audio editor/arranger that is completely
 non-destructive and capable of all standard non-linear editing
 operations (insert, replace, delete, move, trim, select,
 cut/copy/paste). The editor has unlimited undo/redo capabilities and can
 save independent "versions" of a track or an entire piece
 .
 Ardour's editor supports the community-developed LADSPA
 plugin standard.  Arbitrary chains of plugins can be attached to any
 portion of a track.  Every mixer strip can have any number of inputs
 and outputs, not just mono, stereo or 5.1.  An N-way panner is
 included, with support for various panning models.  Pre- and post-fader
 sends exist, each with their own gain and pan controls.  Every mixer
 strip acts as its own bus, and thus the bus count in Ardour is
 unlimited.  You can submix any number of strips into another
 strip.
 .
 Ardour's channel capacity is limited only
 by the number on your audio interface and the ability of your disk
 subsystem to stream the data back and forth.
 .
 JACK
 (the JACK Audio Connection Kit) is used for all audio I/O, permitting
 data to be exchanged in perfect samplesync with other applications
 and/or hardware audio interfaces.
 .
 Ardour is
 sample rate and size neutral - any hardware formats from 8 to 32 bits,
 and rates from 8kHz to 192kHz.  Internal processing in 32/64 bit IEEE
 floating point format.
 .
 Further information can be
 found at <http://ardour.org/>.

Package: ardour-data
Description-md5: 4ded9cf3260ffb84c002d9516a4ead91
Description-en: digital audio workstation (data)
 Ardour is a multichannel hard disk recorder (HDR) and digital audio
 workstation (DAW).  It can be used to control, record, edit and run
 complex audio setups.
 .
 This package contains the architecture-independent data files.

Package: ardour-video-timeline
Description-md5: a011c8553d01c1a76ef7a4a0fe4ca2dd
Description-en: digital audio workstation - video timeline
 Ardour is a multichannel hard disk recorder (HDR) and digital audio
 workstation (DAW).  It can be used to control, record, edit and run
 complex audio setups.
 .
 This is a dependency package to add video timeline capabilities to ardour.

Package: arduino
Description-md5: 60f8f72e8783c6b5a72254120b680cdb
Description-en: AVR development board IDE and built-in libraries
 Arduino is an open-source electronics prototyping platform based on
 flexible, easy-to-use hardware and software. It's intended for artists,
 designers, hobbyists, and anyone interested in creating interactive
 objects or environments.
 .
 This package will install the integrated development environment that
 allows for program writing, code verfication, compiling, and uploading
 to the Arduino development board. Libraries and example code will also
 be installed.

Package: arduino-builder
Description-md5: 17fd8856f7bdb4f324069d2189be2a15
Description-en: Command line tool for compiling Arduino sketches
 This tool is able to parse Arduino Hardware specifications, properly run 'gcc'
 and produce compiled sketches.
 .
 An Arduino sketch differs from a standard C program in that it misses a 'main'
 (provided by the Arduino core), function prototypes are not mandatory, and
 libraries inclusion is automagic (you just have to  #include  them). This tool
 generates function prototypes and gathers library paths, providing 'gcc' with
 all the needed '-I' params.

Package: arduino-core
Description-md5: 29d99d7e25c829f76de6144309cd8ebb
Description-en: Code, examples, and libraries for the Arduino platform
 Arduino is an open hardware microcontroller platform.  This package contains
 the minimal set of tools to allow one to program an Arduino.  It also contains
 examples and libraries. For a CLI, see the 'arduino-mk' package.
 .
 This package does not include the Java based Integrated Development
 Environment, which can be found in the 'arduino' package.

Package: arduino-mighty-1284p
Description-md5: c4fb3095c94ea8d4049d030b6e34cfd0
Description-en: Platform files for Arduino to run on ATmega1284P
 Supported platforms:
  Mighty 1284p using Optiboot
  Original Mighty 1284p

Package: arduino-mk
Description-md5: 1c4b5b2d695e9a08f840110f4b0fe014
Description-en: Program your Arduino from the command line
 Arduino is an open-source electronics prototyping platform based on
 flexible, easy-to-use hardware and software. It's intended for artists,
 designers, hobbyists, and anyone interested in creating interactive
 objects or environments.
 .
 This package will install a Makefile to allow for CLI programming of the
 Arduino platform.

Package: arename
Description-md5: b4aecc4b5c13fa25d3f54f027ed6537e
Description-en: automatic audio file renaming tool
 arename is a tool that is able to rename audio files by looking at a file's
 tagging information. It uses this information to assemble a consistent
 destination file name. The user can define the format of the destination
 filename by the use of template strings.

Package: argagg-dev
Description-md5: 87677cfd6cd5e437d3b5ab338e6dc437
Description-en: Argument Aggregator - Simple C++11 command line argument parser
 This is yet another C++ command line argument/option parser. It was
 written as a simple and idiomatic alternative to other frameworks like
 getopt, Boost program options, TCLAP, and others. The goal is to achieve
 the majority of argument parsing needs in a simple manner with an easy
 to use API. It operates as a single pass over all arguments, recognizing
 flags prefixed by - (short) or -- (long) and aggregating them into easy
 to access structures with lots of convenience functions. It defers
 processing types until you access them, so the result structures end up
 just being pointers into the original command line argument C-strings.
 .
 argagg supports POSIX recommended argument syntax conventions.

Package: argagg-dev-doc
Description-md5: d3615bfcf7c01a64fb18742b67d1a80d
Description-en: Argument Aggregator - Simple C++11 command line argument parser - source doc
 This is yet another C++ command line argument/option parser. It was
 written as a simple and idiomatic alternative to other frameworks like
 getopt, Boost program options, TCLAP, and others. The goal is to achieve
 the majority of argument parsing needs in a simple manner with an easy
 to use API. It operates as a single pass over all arguments, recognizing
 flags prefixed by - (short) or -- (long) and aggregating them into easy
 to access structures with lots of convenience functions. It defers
 processing types until you access them, so the result structures end up
 just being pointers into the original command line argument C-strings.
 .
 argagg supports POSIX recommended argument syntax conventions.
 .
 This package contains the doxygen documentation for the argagg source code.

Package: argon2
Description-md5: 0dde3f6fd43fb48a8ee4a31c6947697a
Description-en: memory-hard hashing function - utility
 Argon2 is a password-hashing function that can be used to hash passwords
 for credential storage, key derivation, or other applications.
 .
 There are two main versions of Argon2: Argon2i and Argon2d.
 Argon2i is the safest against side-channel attacks, while Argon2d provides
 the highest resistance against GPU cracking attacks.
 .
 Argon2i and Argon2d are parametrized by:
  * A time cost, which defines the amount of computation realized and
    therefore the execution time, given in number of iterations
  * A memory cost, which defines the memory usage, given in kibibytes
  * A parallelism degree, which defines the number of parallel threads
 .
 This package contains the argon2 tool for hashing data on the command-line.

Package: argonaut-client
Description-md5: 87ddcd332486e1593417a922a351f107
Description-en: Argonaut JSON-RPC client to manage computers and services
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Argonaut client to manage computers and services.

Package: argonaut-common
Description-md5: 65a79dd02f72f0b306afed3848dfb50c
Description-en: Argonaut (common functions and libraries)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Common Perl libraries used by the Argonaut deployment system.

Package: argonaut-common-fai
Description-md5: b3cd52514d546799c3e474282c4bf178
Description-en: Argonaut (common library for FAI)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Library for FAI (Fully Automated install) used by the Argonaut deployment
 system.

Package: argonaut-debconf
Description-md5: 285a022341246c84228756123822b4f7
Description-en: Argonaut (scripts to enable Argonaut integration with Debconf)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Programs, scripts to integrate Argonaut into Debconf / Preseed.

Package: argonaut-dovecot
Description-md5: 8ba10505143eaddb5a11ecdc9d69c55b
Description-en: Argonaut (client-module for dovecot)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Argonaut client module to manage the creation of the directory for the user
 where his/her mailbox is created.

Package: argonaut-fai-mirror
Description-md5: 97695e567fcaa1658fde63c64b1625ca
Description-en: Argonaut (scripts to manage Debian mirrors)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 This package contains the tools to manage local mirror and external mirrors.

Package: argonaut-fai-monitor
Description-md5: f83ab8d6727f462badd5ce24e842b7be
Description-en: Argonaut (service to get status from FAI installations)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 This package contains the fai monitor to get status from FAI installations

Package: argonaut-fai-nfsroot
Description-md5: 3409a2aee3cfcc66f459fc5ba9d507ef
Description-en: Argonaut (tools, queues and status management)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Tools, queues and status management for FAI (Fully Automated Install)
 installations.

Package: argonaut-fai-server
Description-md5: e2c2100889bfa1e81b72540a160af7b4
Description-en: Argonaut (scripts to enable Argonaut integration with FAI)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Programs, scripts and FAI nfsroot hooks to integrate Argonaut into an FAI's
 (Fully Automated Install) server nfsroot.

Package: argonaut-freeradius
Description-md5: 00397a46b698f8c85e5dd8bc71a72f07
Description-en: Argonaut scripts to generate authentication for FreeRADIUS
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Script to generate authentication from LDAP for FreeRADIUS.

Package: argonaut-fuse
Description-md5: fe00fccac1cd5affb051305e0bfab90f
Description-en: Argonaut (modular TFTP/Fuse supplicant)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Argonaut-fuse is a modular fuse-tftp-supplicant written in Perl which allows
 one to create pxelinux configurations for different types of clients using
 external modules.

Package: argonaut-fuse-module-fai
Description-md5: 3f5167eacbd0a1d9c21ad78dc7cbc216
Description-en: Argonaut (LDAP FAI module for the TFTP/Fuse supplicant)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 FAI module for argonaut-fuse which is using the LDAP backend in conjunction
 with FusionDirectory and Argonaut to generate client configurations.

Package: argonaut-fuse-module-opsi
Description-md5: 9bf236fe3a7cbbd8915ae7a93cabf24d
Description-en: Argonaut (OPSI module for the TFTP/Fuse supplicant)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 OPSI module for argonaut-fuse which is using the LDAP backend in conjunction
 with FusionDirectory and Argonaut to generate client configurations.

Package: argonaut-fusiondirectory
Description-md5: fd62ef4dafff2788e1533b8bdb0111bb
Description-en: Scripts that goes with plugins in Fusiondirectory
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Contains scripts to clean audit from audit plugin and run the user
 reminder tasks.

Package: argonaut-fusioninventory
Description-md5: 99efe66974cd8bbe2b9718ac20f43723
Description-en: Argonaut (scripts to generate the FusionInventory schema)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Script to generate the inventory.schema from FusionInventory internals.

Package: argonaut-ldap2zone
Description-md5: 4af6fb0374b540202f825ca394299fda
Description-en: Argonaut (tool to extract DNS zones from LDAP trees)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 This is a tool that reads info for a zone from LDAP and constructs
 a standard plain ASCII zone file. The LDAP information has to be
 stored using the dnszone LDAP schema.
 .
 This package contains the argonaut-client module and the standalone command.

Package: argonaut-quota
Description-md5: 43ac408f9fd9fcd819719e7b39bba685
Description-en: Argonaut (tool to apply disk quota from ldap)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 This is a tool that reads info for quota from LDAP and applies it
 to your quota server. The LDAP information has to be stored using
 the fdQuota LDAP schema.

Package: argonaut-samba
Description-md5: 3aa05e7feba2a3ba70de7522d5e9a81f
Description-en: Argonaut scripts to generate Samba share configurations
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 This is a tool that reads samba shares from LDAP and constructs
 a samba configuration that can be included in the main smb.conf file
 .
 This package contains the argonaut-client module

Package: argonaut-server
Description-md5: a9757532c97d0d0e3772184770024269
Description-en: Argonaut JSON-RPC server to manage system deployment
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Argonaut server to manage system deployment.

Package: argonaut-server-module-fai
Description-md5: 64381688e22be50237a5bbee6e7d9957
Description-en: Argonaut JSON-RPC server module to manage FAI (Fully Automated Install)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Argonaut server module to manage FAI (Fully Automated Install)
 installation.

Package: argonaut-server-module-opsi
Description-md5: 769262405d157a197d0fc2b503ff7706
Description-en: Argonaut JSON-RPC server module to manage OPSI (open pc server integration)
 Client / server system for managing systems in collaboration with
 FusionDirectory (LDAP directory manager). Argonaut also allows interface
 with deployment tools such as FAI (Fully Automated Install) or OPSI (Open
 PC Server Integration).
 .
 Argonaut server module to manage OPSI (open pc server integration)
 installation.

Package: argus-client
Description-md5: fe2b5caced3cdedec26064d073529abc
Description-en: IP network transaction auditing tool
 argus is a network transaction auditing tool that allows the user
 to easily classify connections using tcpdump(1) compliant expressions.
 Argus runs as an application level daemon, promiscuously reading network
 datagrams from a specified interface, and generates network traffic audit
 records for the network activity that it encounters.
 Auditing records can be used to ensure that access control policies are
 being enforced, identify network problems such as denial of service attacks
 and more.
 .
 This package contains the client programs for the argus server.
 Please see the package argus-server for the appropriate server.

Package: argus-server
Description-md5: 353c40cd6e96a9a56a8791f8562b21be
Description-en: IP network transaction auditing tool
 argus is a network transaction auditing tool that allows the user
 to easily classify connections using tcpdump(1) compliant expressions.
 Argus runs as an application level daemon, promiscuously reading network
 datagrams from a specified interface, and generates network traffic audit
 records for the network activity that it encounters.
 Auditing records can be used to ensure that access control policies are
 being enforced, identify network problems such as denial of service attacks
 and more.
 .
 This package contains the binaries required for the argus server.
 Please see the package argus-client for appropriate clients.

Package: argyll
Description-md5: 787f052d9ed7e05055ba42d95c368d2f
Description-en: Color Management System, calibrator and profiler
 Argyll is an experimental, open source, ICC compatible color management
 system. It supports accurate ICC profile creation for scanners, CMYK
 printers, film recorders and calibration and profiling of displays.
 Spectral sample data is supported, allowing a selection of illuminants
 observer types, and paper fluorescent whitener additive compensation.
 Profiles can also incorporate source specific gamut mappings for perceptual
 and saturation intents. Gamut mapping and profile linking uses the CIECAM02
 appearance model, a unique gamut mapping algorithm, and a wide selection of
 rendering intents. It also includes code for the fastest portable 8 bit
 raster color conversion engine available anywhere, as well as support for
 fast, fully accurate 16 bit conversion. Device color gamuts can also be
 viewed and compared using a VRML viewer.

Package: argyll-doc
Description-md5: 11dd73eca8cdfd05fbb3ce018791a3f6
Description-en: Color Management System, calibrator and profiler (documentation)
 Argyll is an experimental, open source, ICC compatible color management
 system. It supports accurate ICC profile creation for scanners, CMYK
 printers, film recorders and calibration and profiling of displays.
 .
 This package contains the documentation for argyll.

Package: argyll-ref
Description-md5: fdf7f4087d1ce8d7686d892197492e85
Description-en: Color Management System, calibrator and profiler (data files)
 Argyll is an experimental, open source, ICC compatible color management
 system. It supports accurate ICC profile creation for scanners, CMYK
 printers, film recorders and calibration and profiling of displays.
 .
 This package contains the data files for argyll.

Package: aria2
Description-md5: 484d84bf21fb2a39bcbd4904b4ce5556
Description-en: High speed download utility
 Aria2 is a command line download client with resuming and
 segmented downloading. Supported protocols are HTTP/HTTPS/
 SFTP/FTP/BitTorrent and it also supports Metalink.

Package: ariba
Description-md5: 4d8147ab9fb44c5b4617a970175bc18d
Description-en: Antibiotic Resistance Identification By Assembly
 ARIBA is a tool that identifies antibiotic resistance genes by running local
 assemblies.
 The input is a FASTA file of reference genes and paired sequencing reads. ARIBA
 reports which of the reference genes were found, plus detailed information on
 the quality of the assemblies and any variants between the sequencing reads
 and the reference genes.

Package: aribas
Description-md5: 77c3b742edd36fe9a727451a0230f75f
Description-en: interpreter for arithmetic
 ARIBAS is an interactive interpreter suitable for big integer
 arithmetic and multiprecision floating point arithmetic.
 It has a syntax similar to Pascal or Modula-2, but contains also
 features from other programming languages like C, Lisp, Oberon.

Package: ario
Description-md5: 2d1cc546089294272f7d7d68b6840b16
Description-en: GTK+ client for the Music Player Daemon (MPD)
 Ario is a full featured client for MPD (Music Player Daemon).
 The interface used to browse the library is inspired by Rhythmbox but Ario
 aims to be much lighter and faster.
 It uses GTK2, avahi for MPD server detection and curl to download remote
 files (like cover arts and lyrics).
 Various plugins are provided like audioscrobbler/last.fm submission or
 multimedia keys support.

Package: ario-common
Description-md5: 127020a192576db0234fad879a965cfe
Description-en: GTK+ client for the Music Player Daemon (MPD) (Common files)
 Ario is a full featured client for MPD (Music Player Daemon).
 The interface used to browse the library is inspired by Rhythmbox but Ario
 aims to be much lighter and faster.
 It uses GTK2, avahi for MPD server detection and curl to download remote
 files (like cover arts and lyrics).
 Various plugins are provided like audioscrobbler/last.fm submission or
 multimedia keys support.
 .
 This package contains ario's architecture-independent support files.

Package: arj
Description-md5: 38b5501494d5fb5f61a707689bace555
Description-en: archiver for .arj files
 This package is an open source version of the arj archiver. This version
 has been created with the intent to preserve maximum compatibility and
 retain the feature set of original ARJ archiver as provided by
 ARJ Software, Inc.

Package: ark
Description-md5: 2826617260d5fe4c63c9795973445730
Description-en: archive utility
 Ark manages various archive formats, including tar, gzip, bzip2, rar and zip,
 as well as CD-ROM images.  Ark can be used to browse, extract, create, and
 modify archives.
 .
 This package is part of the KDE SC utilities module.

Package: armagetronad
Description-md5: 9dd6c1aabf6a7a38694e3700be689c41
Description-en: 3D Tron-like high speed game
 The rules are simple: you ride a light cycle (a kind of motorbike that can
 only turn 90 degrees at a time, leaves a wall behind and cannot be stopped)
 and have to avoid running into walls while at the same time you have to try to
 get your opponent to run into them.
 .
 The idea is based on the Disney movie from 1982 called "Tron".  If you ever
 wanted to take a try at one of those speed demons features in the movie, this
 is your chance.
 .
 Armagetron Advanced can be played against AI opponents, against other humans
 over the network, or a mixture of both.

Package: armagetronad-common
Description-md5: 26712ad4d21fc16655c9354d08cbcb45
Description-en: Common files for the Armagetron Advanced packages
 Armagetron Advanced is a 3D game based on the light cycle racing in the 80s-
 era movie "Tron".
 .
 You have to control a light cycle that can only turn in steps of 90 degrees,
 leaves a solid wall behind and can not be stopped.  The aim is to survive the
 longest of all players by not crashing into any walls.
 .
 This package contains the common configuration files and documentation shared
 between the armagetronad and armagetronad-dedicated packages.

Package: armagetronad-dedicated
Description-md5: 729ef16a08d7363b8d4f0b99c613eb67
Description-en: dedicated game server for Armagetron Advanced
 Armagetron Advanced is a 3D game based on the light cycle racing in the movie
 "Tron". You have to control a light cycle that can only turn in steps of 90
 degrees, leaves a solid wall behind and can not be stopped.  The aim is to
 survive the longest of all players by not crashing into any walls.
 .
 This version of Armagetron Advanced can only be used as a network game server.
 It does not have graphical output and can be controlled via the text console.
 .
 The normal Armagetron Advanced used for playing is also capable to serve
 games, but will require X to display its graphics, will provide a player and
 is therefore unsuited for permanent game servers.

Package: arno-iptables-firewall
Description-md5: 67013f39be2ef0ec98dd7b73d6909a3e
Description-en: single- and multi-homed firewall script with DSL/ADSL support
 Unlike other lean iptables frontends in Debian, arno-iptables-firewall
 will setup and load a secure, restrictive firewall by just asking a few
 questions. This includes configuring internal networks for internet access
 via NAT and potential network services (e.g. http or ssh).
 .
 However, it is in no way restricted to this simple setup. Some catch words
 of additional features, that can be enabled in the well documented
 configuration file are: DSL/ADSL, Port forwarding, DMZ's,
 portscan detection, MAC address filtering.
 .
 Moreover, it comes with an extensive set of plugins to cover further
 requirements. For example ssh brute force protection, traffic shaping,
 traffic accounting, vpn support to just mention a few.

Package: aroarfw-dev
Description-md5: 8dabd36af6de37eb5e00b6286cec6cc8
Description-en: framework to build hardware with RoarAudio protocol support
 This is a framework used to build hardware with support for the RoarAudio
 protocol.
 .
 This package contains C header files with most important
 magic numbers, data types and macros to work on RoarAudio messages.
 .
 This is not a complete library to access a server. If you search
 for a library which handles all the stuff for you on
 UNIX and UNIX like Operating Systems like Debian you better
 have a look at libroar and libmuroar.

Package: aroarfw-doc
Description-md5: 34bd7146d3503b66ddd8c96be71b5c55
Description-en: framework to build hardware with RoarAudio protocol support (documentation)
 This is a framework used to build hardware with support for the RoarAudio
 protocol.
 .
 This package contains documentation with most important
 magic numbers, data types and macros to work on RoarAudio messages.
 .
 This is not a complete library to access a server. If you search
 for a library which handles all the stuff for you on
 UNIX and UNIX like Operating Systems like Debian you better
 have a look at libroar and libmuroar.

Package: arp-scan
Description-md5: 41fece0fe3a96af889ef6efbd16d29d1
Description-en: arp scanning and fingerprinting tool
 arp-scan is a command-line tool that uses the ARP protocol to discover and
 fingerprint IP hosts on the local network. It is available for Linux and BSD
 under the GPL licence

Package: arpalert
Description-md5: 5d0285aec241d2216aad54760e4a3006
Description-en: monitor ARP changes in ethernet networks
 The arpalert daemon is a security tool that listens on a network interface
 (without using 'promiscuous' mode) and catches all conversations
 of MAC address to IP request to alert of possibility of ARP spoofing attack.
 .
 It compares the mac addresses it detected with a pre-configured list of
 authorized MAC addresses. If the MAC is not in list, arpalert launches a
 pre-defined user script with the MAC address and IP address as parameters.
 .
 If you need to use a list of authorized MAC addresses, this package should
 suit your needs, otherwise arpwatch may be also fine.

Package: arping
Description-md5: f9961d479363b72d3c3239629d7637df
Description-en: sends IP and/or ARP pings (to the MAC address)
 The arping utility sends ARP and/or ICMP requests to the specified host
 and displays the replies. The host may be specified by its hostname,
 its IP address, or its MAC address.

Package: arpon
Description-md5: c1e319bdd220142e68bcdfbe2ce9b5de
Description-en: Versatile ARP defense daemon
 ArpON can defend a host against some ARP attacks such as ARP spoofing, ARP
 cache poisoning and ARP poison routing.  Attackers can use these techniques to
 redirect traffic in local networks and execute Man in the Middle (MITM)
 attacks.
 .
 ArpON runs as a daemon in user space.  When enabled on an interface, it
 disables some aspects of Address Resolution Protocol (ARP) handling by the
 Linux kernel and instead handles ARP messages itself and maintains the ARP
 neighbor cache.  It has three modes of operation to support different ways of
 assigning IPv4 addresses in the local network: statically, dynamically using
 DHCP or a combination of both.

Package: arptables
Description-md5: c04609b914a7329b24d1b13a2a67bf66
Description-en: ARP table administration
 Arptables is used to set up, maintain, and inspect the tables of
 ARP rules in the Linux kernel. It is analogous to iptables,
 but operates at the ARP layer rather than the IP layer.

Package: arpwatch
Description-md5: 753ca5b7573526eab8dbf15e803ec534
Description-en: Ethernet/FDDI station activity monitor
 Arpwatch maintains a database of Ethernet MAC addresses seen on the
 network, with their associated IP pairs.  Alerts the system administrator
 via e-mail if any change happens, such as new station/activity,
 flip-flops, changed and re-used old addresses.
 .
 If you want to maintain a list authorized MAC addresses manually, take a look
 at the arpalert package which may fit your needs better.

Package: array-info
Description-md5: dd3ceef45277a6aa874abec64f02dab1
Description-en: command line tool reporting RAID status for several RAID types
 Array-info is a command line tool to retrieve information and logical
 drives status from several RAID controllers (currently HP Compaq IDA
 and CISS, and MD Software RAID).
 .
 It displays information about the firmware version, Rom revision,
 number of physical and logical drives on the controller, as well as the fault
 tolerance, size, number of physical disks and status for each logical drive.

Package: art-nextgen-simulation-tools
Description-md5: 140c9fb4acb5dca0fdb6f6578b58549f
Description-en: simulation tools to generate synthetic next-generation sequencing reads
 ART is a set of simulation tools to generate synthetic next-generation
 sequencing reads. ART simulates sequencing reads by mimicking real
 sequencing process with empirical error models or quality profiles
 summarized from large recalibrated sequencing data. ART can also
 simulate reads using user own read error model or quality profiles. ART
 supports simulation of single-end, paired-end/mate-pair reads of three
 major commercial next-generation sequencing platforms: Illumina's
 Solexa, Roche's 454 and Applied Biosystems' SOLiD. ART can be used to
 test or benchmark a variety of method or tools for next-generation
 sequencing data analysis, including read alignment, de novo assembly,
 SNP and structure variation discovery. ART was used as a primary tool
 for the simulation study of the 1000 Genomes Project . ART is
 implemented in C++ with optimized algorithms and is highly efficient in
 read simulation. ART outputs reads in the FASTQ format, and alignments
 in the ALN format. ART can also generate alignments in the SAM
 alignment or UCSC BED file format. ART can be used together with genome
 variants simulators (e.g. VarSim) for evaluating variant calling tools
 or methods.

Package: art-nextgen-simulation-tools-profiles
Description-md5: e607468e6f5e720aaffb8677699543dc
Description-en: profiles for art simulation tools
 ART is a set of simulation tools to generate synthetic next-generation
 sequencing reads. ART simulates sequencing reads by mimicking real
 sequencing process with empirical error models or quality profiles
 summarized from large recalibrated sequencing data. ART can also
 simulate reads using user own read error model or quality profiles. ART
 supports simulation of single-end, paired-end/mate-pair reads of three
 major commercial next-generation sequencing platforms: Illumina's
 Solexa, Roche's 454 and Applied Biosystems' SOLiD. ART can be used to
 test or benchmark a variety of method or tools for next-generation
 sequencing data analysis, including read alignment, de novo assembly,
 SNP and structure variation discovery. ART was used as a primary tool
 for the simulation study of the 1000 Genomes Project . ART is
 implemented in C++ with optimized algorithms and is highly efficient in
 read simulation. ART outputs reads in the FASTQ format, and alignments
 in the ALN format. ART can also generate alignments in the SAM
 alignment or UCSC BED file format. ART can be used together with genome
 variants simulators (e.g. VarSim) for evaluating variant calling tools
 or methods.
 .
 This package contains profile data for art-nextgen-simulation-tools.

Package: artemis
Description-md5: 3b29a36ba8b31f87b41f943330e4386b
Description-en: genome browser and annotation tool
 Artemis is a genome browser and annotation tool that allows visualisation of
 sequence features, next generation data and the results of analyses within the
 context of the sequence, and also its six-frame translation.
 .
 This package includes the Artemis genome browser, the Artemis Comparison
 Tool (ACT), and the DNAplotter and BamView utilities.

Package: artfastqgenerator
Description-md5: 46c5205dd3fb030f1a4090e0bf9cf921
Description-en: outputs artificial FASTQ files derived from a reference genome
 ArtificialFastqGenerator takes the reference genome (in FASTA format) as
 input and outputs artificial FASTQ files in the Sanger format. It can
 accept Phred base quality scores from existing FASTQ files, and use them
 to simulate sequencing errors. Since the artificial FASTQs are derived
 from the reference genome, the reference genome provides a gold-standard
 for calling variants (Single Nucleotide Polymorphisms (SNPs) and
 insertions and deletions (indels)). This enables evaluation of a Next
 Generation Sequencing (NGS) analysis pipeline which aligns reads to the
 reference genome and then calls the variants.

Package: artfastqgenerator-doc
Description-md5: a4aad7fd5379ce482d945d65185407e3
Description-en: outputs artificial FASTQ files derived from a reference genome (doc)
 ArtificialFastqGenerator takes the reference genome (in FASTA format) as
 input and outputs artificial FASTQ files in the Sanger format. It can
 accept Phred base quality scores from existing FASTQ files, and use them
 to simulate sequencing errors. Since the artificial FASTQs are derived
 from the reference genome, the reference genome provides a gold-standard
 for calling variants (Single Nucleotide Polymorphisms (SNPs) and
 insertions and deletions (indels)). This enables evaluation of a Next
 Generation Sequencing (NGS) analysis pipeline which aligns reads to the
 reference genome and then calls the variants.
 .
 This package contains the Java API documentation for artfastqgenerator.

Package: artfastqgenerator-examples
Description-md5: d7d2bac937254bc53677ebfece5aeb33
Description-en: ou7puts artificial FASTQ files derived from a reference genome (examples)
 ArtificialFastqGenerator takes the reference genome (in FASTA format) as
 input and outputs artificial FASTQ files in the Sanger format. It can
 accept Phred base quality scores from existing FASTQ files, and use them
 to simulate sequencing errors. Since the artificial FASTQs are derived
 from the reference genome, the reference genome provides a gold-standard
 for calling variants (Single Nucleotide Polymorphisms (SNPs) and
 insertions and deletions (indels)). This enables evaluation of a Next
 Generation Sequencing (NGS) analysis pipeline which aligns reads to the
 reference genome and then calls the variants.
 .
 This package contains example data for artfastqgenerator.

Package: artha
Description-md5: 2305d9a361d5f6a7f9a56af1854bca96
Description-en: Handy off-line thesaurus based on WordNet
 Artha is a off-line English thesaurus with distinct features like:
   * hot-key press word look-up (select text on any window and press
     a preset hot-key for look-up)
   * regular expressions based search (broaden search using wild-cards
     like *, ?, etc.)
   * passive desktop notifications (of word definitions for
     uninterrupted work-flow)
   * spelling suggestions (when the exact spelling is vague/not known)
 .
 Once launched, it monitors for a preset hot-key combination. When
 some text is selected on any window and the hot-key is pressed, it
 pops-up with the word looked-up. Should the user prefer passive
 notifications, this can be done by enabling the notifications option.
 .
 When the term looked for is vague/not known, then either the search
 can be broadened with the use of regular expressions (*, ?, etc.) in
 the search string or spelling suggestions when a term is incorrect.
 .
 For regular expressions based search to work, wordnet-sense-index
 package is required.

Package: artikulate
Description-md5: 5a33d49ace77c3f03cd02b2a136832d7
Description-en: Language learning application
 Artikulate is a language learning application that helps improving
 pronunciation skills for various languages. This is included in the
 KDE Education project.
 .
 This package contains the main application for Artikulate.

Package: as31
Description-md5: 253542ad24961989ca72073dd11e08b5
Description-en: Intel 8031/8051 assembler
 This is a fast, simple, easy to use Intel 8031/8051 assembler.

Package: asc
Description-md5: ac145838afe035aea358ef9cc14ce97a
Description-en: turn-based strategy game
 Advanced Strategic Command is a free strategy game in the tradition
 of Battle Isle 2/3. The game is turn-based and can be played against
 human or computer.

Package: asc-data
Description-md5: 96de5e4a63d138e8761ef7aff95ac987
Description-en: data files for the Advanced Strategic Command game
 Advanced Strategic Command is a free strategy game in the tradition
 of Battle Isle 2/3. The game is turn-based and can be played against
 human or computer.
 .
 This package contains the data files for the ASC game.

Package: asc-music
Description-md5: 3343fb144aee5478bf095240c16cdf41
Description-en: music pack for ASC
 This is a music pack for the Advanced Strategic Command game.
 If ASC detects the presence of these tracks it plays them during game.
 .
 Composed by Michael Kievernagel.

Package: ascd
Description-md5: 12cc18a92e011784339ff4b558d7c4f3
Description-en: CD player and mixer
 AScd is a small CD player and mixer that can be "docked" with AfterStep and
 WindowMaker window managers.

Package: ascdc
Description-md5: c5463788465df827b5231baeaea298e1
Description-en: AfterStep CD changer
 ascdc is a small CD changer.  It follows the look and feel of the
 AfterStep window manager and is ideally suited to be run within
 AfterStep's Wharf module.

Package: ascii
Description-md5: 3ef70a26e3b90455a20524f77be30845
Description-en: interactive ASCII name and synonym chart
 The ascii utility provides easy conversion between various byte representations
 and the American Standard Code for Information Interchange (ASCII) character
 table.  It knows about a wide variety of hex, binary, octal, Teletype mnemonic,
 ISO/ECMA code point, slang names, XML entity names, and other representations.
 Given any one on the command line, it will try to display all others.  Called
 with no arguments it displays a handy small ASCII chart.

Package: ascii2binary
Description-md5: 9ced2aa7330b10762e41b22c00981dc7
Description-en: Convert between ASCII, hexadecimal and binary representations
 This package contains:
  * ascii2binary reads input consisting of ascii or hexadecimal representation
    numbers separated by whitespace and produces as output the binary
    equivalents. The type and precision of the binary output is selected
    using command line flags.
  * binary2ascii reads input consisting of binary numbers and converts
    them to their ascii or hexadecimal representation.
    Command line flags specify the type and size of the binary numbers
    and provide control over the format of the output.
    Unsigned integers may be written out in binary, octal, decimal,
    or hexadecimal.
    Signed integers may be written out only in binary or decimal. Floating
    point numbers may be written out only decimal, either in standard or
    scientific notation. (If you want to examine the binary representation
    of floating point numbers, just treat the input as a sequence of unsigned
    characters.)
 .
 The two programs are useful for generating test data, for inspecting binary
 files, and for interfacing programs that generate textual output to programs
 that require  binary input and conversely. They can also be useful when it is
 desired to reformat numbers.

Package: asciiart
Description-md5: c2860f10245797c70998471f3eedc08d
Description-en: command line tool to turn images into ASCII art
 This gem provides a command line utility to turn images into ASCII art. It can
 be used as an executable which may be called from the terminal as well as a
 library so that it can be used in other programs.

Package: asciidoc
Description-md5: 3f2b6503cff7a07a4c1aad6227b68e53
Description-en: Highly configurable text format for writing documentation
 AsciiDoc is a text document format for writing articles, books, manuals and
 UNIX man pages. AsciiDoc files can be translated to HTML (with or without
 stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc
 using the asciidoc command. AsciiDoc can also be used to build and maintain
 websites.
 .
 You write an AsciiDoc document the same way you would write a
 normal text document, there are no markup tags or weird format notations.
 AsciiDoc files are designed to be viewed, edited and printed directly or
 translated to other presentation formats
 .
 This metapackage provides a fully functional asciidoc environment working
 with dblatex for historical purposes.

Package: asciidoc-base
Description-md5: 473cd9e340c8c61980b7ab4d4f96cffe
Description-en: Minimal version of asciidoc not suitable for pdf
 AsciiDoc is a text document format for writing articles, books, manuals and
 UNIX man pages. AsciiDoc files can be translated to HTML (with or without
 stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc
 using the asciidoc command. AsciiDoc can also be used to build and maintain
 websites.
 .
 You write an AsciiDoc document the same way you would write a
 normal text document, there are no markup tags or weird format notations.
 AsciiDoc files are designed to be viewed, edited and printed directly or
 translated to other presentation formats
 .
 This package provides binary files for the package. Be careful, you won't
 be able to build pdf files without the dblatex or fop dependencies. See
 asciidoc-dblatex or asciidoc-fop metapackages for this.

Package: asciidoc-common
Description-md5: 65d469b6ae15624ce9b665e30fb8b357
Description-en: Basic data and configuration files for asciidoc
 AsciiDoc is a text document format for writing articles, books, manuals and
 UNIX man pages. AsciiDoc files can be translated to HTML (with or without
 stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc
 using the asciidoc command. AsciiDoc can also be used to build and maintain
 websites.
 .
 You write an AsciiDoc document the same way you would write a
 normal text document, there are no markup tags or weird format notations.
 AsciiDoc files are designed to be viewed, edited and printed directly or
 translated to other presentation formats
 .
 This package provides basic data and configuration files for the package.

Package: asciidoc-dblatex
Description-md5: accff847e35b2035ea899d17c1a8a720
Description-en: Asciidoc package including dblatex dependencies
 AsciiDoc is a text document format for writing articles, books, manuals and
 UNIX man pages. AsciiDoc files can be translated to HTML (with or without
 stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc
 using the asciidoc command. AsciiDoc can also be used to build and maintain
 websites.
 .
 You write an AsciiDoc document the same way you would write a
 normal text document, there are no markup tags or weird format notations.
 AsciiDoc files are designed to be viewed, edited and printed directly or
 translated to other presentation formats
 .
 This package helps you to get all the required dependencies and files to build
 pdf files using dblatex.

Package: asciidoc-doc
Description-md5: f159f36ae4b8726b5f4829cf4a7e7099
Description-en: Examples and documentation for asciidoc
 AsciiDoc is a text document format for writing articles, books, manuals and
 UNIX man pages. AsciiDoc files can be translated to HTML (with or without
 stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc
 using the asciidoc command. AsciiDoc can also be used to build and maintain
 websites.
 .
 You write an AsciiDoc document the same way you would write a
 normal text document, there are no markup tags or weird format notations.
 AsciiDoc files are designed to be viewed, edited and printed directly or
 translated to other presentation formats
 .
 This package provides examples and documentation for asciidoc.

Package: asciidoc-fop
Description-md5: ee4126bffdd243c003ea7d43f1fc911d
Description-en: Asciidoc package including fop dependencies
 AsciiDoc is a text document format for writing articles, books, manuals and
 UNIX man pages. AsciiDoc files can be translated to HTML (with or without
 stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc
 using the asciidoc command. AsciiDoc can also be used to build and maintain
 websites.
 .
 You write an AsciiDoc document the same way you would write a
 normal text document, there are no markup tags or weird format notations.
 AsciiDoc files are designed to be viewed, edited and printed directly or
 translated to other presentation formats
 .
 This metapackage helps you to get all the required dependencies to build pdf
 files using fop.

Package: asciidoc-tests
Description-md5: 7db2892cd686c94649d6c968ec959973
Description-en: Test framework for asciidoc
 AsciiDoc is a text document format for writing articles, books, manuals and
 UNIX man pages. AsciiDoc files can be translated to HTML (with or without
 stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc
 using the asciidoc command. AsciiDoc can also be used to build and maintain
 websites.
 .
 You write an AsciiDoc document the same way you would write a
 normal text document, there are no markup tags or weird format notations.
 AsciiDoc files are designed to be viewed, edited and printed directly or
 translated to other presentation formats
 .
 This package provides the testasciidoc binary and the test engine files.

Package: asciidoctor
Description-md5: 12d0cefa9d5d385422cc8c36ee28ad6a
Description-en: AsciiDoc to HTML rendering for Ruby
 Asciidoctor is a pure Ruby processor for converting AsciiDoc source files and
 strings into HTML 5, DocBook 4.5, DocBook 5.0 and other formats.

Package: asciidoctor-doc
Description-md5: 2f072b4a9505129c88ad447fddec6434
Description-en: AsciiDoc to HTML rendering for Ruby (documentation)
 Asciidoctor is a pure Ruby processor for converting AsciiDoc source files and
 strings into HTML 5, DocBook 4.5, DocBook 5.0 and other formats.
 .
 This package contains the documentation for asciidoctor.

Package: asciijump
Description-md5: abd2c676ba854a9bafea6f52ce0bdafe
Description-en: Small and funny ASCII-art game about ski jumping
 This is a very funny multiplayer game, you can play with friends or against
 the computer. There are two modes of playing: World Cup and Training. You will
 be able to select from a few hills, for example czumulungma and finland.

Package: asciinema
Description-md5: 077d81789498f4492656510534729d07
Description-en: Record and share your terminal sessions, the right way
 Forget screen recording apps and blurry video. Enjoy a lightweight,
 purely text based approach to terminal recording.
 .
 This package provides a command line recorder for asciinema.org service
 or other instance of asciinema server.

Package: asciio
Description-md5: ce60d5333cac57a4fe845f252c15ff4f
Description-en: dynamically create ASCII charts and graphs with GTK+2
 This gtk2-perl application allows you to draw ASCII diagrams in a
 modern (but simple) graphical application. The ASCII graphs can be
 saved as ASCII or in a format that allows you to modify them later.

Package: asclock
Description-md5: 6e08da0a0fa5135228fd3714706286f1
Description-en: clock designed with the NeXTStep look
 This little application displays the time of the day (digital, either
 12 or 24 hour format), and the date. It provides three alternatives:
 one suitable for low color systems, one with "real" NeXTStep colors,
 and the third one is somewhat in between.

Package: asclock-themes
Description-md5: def29687338f3b5a590534994af92079
Description-en: Theme files for ASclock, a clock applet
 This package provides various themes for the various ASclock packages,
 among them a classic theme, which mimics the original ASclock application.

Package: asdftool
Description-md5: eb66e08346889fcd227d11ac2648fa8d
Description-en: Command line tool to manipulate ASDF scientific data files
 ASDF (Advanced Scientific Data Format) is a proposed
 next generation interchange format for scientific data. ASDF aims to
 exist in the same middle ground that made FITS so successful, by
 being a hybrid text and binary format: containing human editable
 metadata for interchange, and raw binary data that is fast to load
 and use. Unlike FITS, the metadata is highly structured and is
 designed up-front for extensibility.
 .
 This package contains the asdf command line tool.

Package: ase
Description-md5: 034415accf09c20e2b654acd49fb733a
Description-en: Atomic Simulation Environment
 ASE is an Atomic Simulation Environment written in the Python programming
 language with the aim of setting up, stearing, and analyzing atomic
 simulations.  ASE is part of CAMPOS, the CAMP Open Source project.
 .
 ASE contains Python interfaces to several different electronic structure
 codes including Abinit, Asap, Dacapo, Elk, GPAW and SIESTA.
 .
 This package provides the executable scripts.

Package: aseqjoy
Description-md5: 3115bedbb56a26ddc581973f85615c9c
Description-en: Joystick to ALSA MIDI Sequencer Converter
 In fact it’s a really tiny piece of software that turns a joystick into a MIDI
 controller for the ALSA sequencer infrastructure.
 .
 Its original purpose was to test the MIDI interface of terminatorX but that
 turned out to be fun, so it made it here in the hope that it could be useful
 for other experiments.
 .
 For a more detailed description on what it does and how to use it have a
 look the manpage.

Package: ash
Description-md5: dfaa90778ec9574851d009730b4a705a
Description-en: compatibility package for dash
 This package allows upgrading ash to its replacement,
 dash. It includes the /bin/ash symlink.
 It can be removed as soon as /bin/ash is no longer used.

Package: asis-doc
Description-md5: e76305ba362008bce4e1d749363b6894
Description-en: Ada Semantic Interface Specification (ASIS) documentation
 ASIS (Ada Semantic Interface Specification) lets you develop applications
 to walk through the sources of your Ada programs and examine the semantic
 constructs.
 .
 This package contains the tutorial, news and examples, but not the
 manuals because of their license. They are available online at
 http://www.adacore.com/asis.

Package: asis-programs
Description-md5: 9240f878bc68126d1dca6f278791ac89
Description-en: Ada Semantic Interface Specification (ASIS) example programs
 ASIS (Ada Semantic Interface Specification) lets you develop applications
 to walk through the sources of your Ada programs and examine the semantic
 constructs.
 .
  * asistant is an interactive command-line tool to explore the ASIS parse tree
    of a program.
  * gnatcheck verifies the conformance of source text to coding conventions
  * gnatelim finds out unused subprograms and eliminates them.
  * gnatmetric calculates metrics such as code complexity.
  * gnatpp is a pretty-printer which reformats Ada source text according to
    a default or user-specified style guide.
  * gnatstub generates an empty but compilable body for a given specification.
  * gnattest creates AUnit test skeletons and harness for a project
    (gnattest requires the libaunit development package to be installed)

Package: asl-doc
Description-md5: 897107f2c3f498302e58c75fff0c8e10
Description-en: documentation for ASL
 The Advanced Simulation Library (ASL) is a free and open source hardware
 accelerated multiphysics simulation platform (and an extensible general
 purpose tool for solving Partial Differential Equations).
 .
 Its computational engine is written in OpenCL and utilizes matrix-free
 solution techniques which enable extraordinarily high performance,
 memory efficiency and deployability on a variety of massively parallel
 architectures, ranging from inexpensive FPGAs, DSPs and GPUs up to
 heterogeneous clusters and supercomputers. The engine is hidden entirely
 behind simple C++ classes, so that no OpenCL knowledge is required from
 application programmers. Mesh-free, immersed boundary approach allows one
 to move from CAD directly to simulation drastically reducing pre-processing
 efforts and amount of potential errors.
 .
 ASL can be used to model various coupled physical and chemical phenomena and
 employed in a multitude of fields: computational fluid dynamics, virtual
 sensing, industrial process data validation and reconciliation, image-guided
 surgery, computer-aided engineering, design space exploration,
 crystallography, etc...
 .
 This package contains the documentation.

Package: asl-tools
Description-md5: 16f6ee8e5a6339bc91835d5e2214979e
Description-en: command-line tools for ASL
 The Advanced Simulation Library (ASL) is a free and open source hardware
 accelerated multiphysics simulation platform (and an extensible general
 purpose tool for solving Partial Differential Equations).
 .
 Its computational engine is written in OpenCL and utilizes matrix-free
 solution techniques which enable extraordinarily high performance,
 memory efficiency and deployability on a variety of massively parallel
 architectures, ranging from inexpensive FPGAs, DSPs and GPUs up to
 heterogeneous clusters and supercomputers. The engine is hidden entirely
 behind simple C++ classes, so that no OpenCL knowledge is required from
 application programmers. Mesh-free, immersed boundary approach allows one
 to move from CAD directly to simulation drastically reducing pre-processing
 efforts and amount of potential errors.
 .
 ASL can be used to model various coupled physical and chemical phenomena and
 employed in a multitude of fields: computational fluid dynamics, virtual
 sensing, industrial process data validation and reconciliation, image-guided
 surgery, computer-aided engineering, design space exploration,
 crystallography, etc...
 .
 This package contains the command-line tools.

Package: asmail
Description-md5: 04fd9d40023bc13737bce54d039f7bb0
Description-en: AfterStep mail monitor
 asmail is a small mail monitor similar to xbiff. It follows the
 AfterStep window manager's look and feel and is ideally suited to
 be run within AfterStep's Wharf module.

Package: asmix
Description-md5: 3e9700e92d06358584b9820b0307ce78
Description-en: display a volume knob
 The volume knob adjusts the master volume of your sound card.
 Just grab the knob with the left button of your mouse and drag it around.

Package: asmixer
Description-md5: 9d62cc20cf2df67302563f2fe851d7d9
Description-en: AfterStep audio mixer
 asmixer is a small audio mixer.  It follows the look and feel
 of the AfterStep window manager and is ideally suited to be run
 within AfterStep's Wharf module.

Package: asmon
Description-md5: ab8009ab6aad6c87b4a70ed29923f792
Description-en: system resource monitor dockapp for Afterstep and WindowMaker
 Asmon is a wharfable/dockable application that with meters detailing CPU,
 memory, swap, and X mem usage. It also includes the exact numbers for
 load average, mem, swap and X mem usage. Asmon was developed to use very
 little CPU itself.

Package: asn1c
Description-md5: 1d69d7783735c14c25f5d3e593082be3
Description-en: ASN.1 compiler for C
 This ASN.1 compiler turns the formal ASN.1 specifications into the C
 code. The compiler is shipped together with conformant BER/DER/XER
 codecs. The X.509 and GSM TAP3 decoding examples are shipped as well.

Package: asn1c-doc
Description-md5: 720e748b1b7fa335d5b924fdd8d2b07f
Description-en: Documentation for asn1c
 This ASN.1 compiler turns the formal ASN.1 specifications into the C
 code. The compiler is shipped together with conformant BER/DER/XER
 codecs. The X.509 and GSM TAP3 decoding examples are shipped as well.
 .
 This package contains documentation for asn1c.

Package: asp
Description-md5: f43db071108b258671b2244199658f78
Description-en: Discovers present ip-address of dynamically connected hosts
 Asp discovers the present ip address of a host that has a dynamically
 assigned ip-address. This is useful when you want to connect to dynamic
 hosts, or to help others find you (in which case you run asp as a server).

Package: asp.net-examples
Description-md5: 9b6e54aa6774e23ee538540eca78eda5
Description-en: demo pages for ASP.NET infrastructure
 Sample set of .aspx pages to test the XSP server or the mod_mono Apache
 module.

Package: aspcud
Description-md5: b7ea8294415a8e7e8313a56dfe596eb9
Description-en: CUDF solver based on Answer Set Programming
 Aspcud is an experimental solver for package dependencies. A package
 universe and a request to install, remove or upgrade packages have
 to be encoded in the CUDF format.

Package: aspectc++
Description-md5: 8087dfdb5b722944fe8b05d56ec315ce
Description-en: aspect-oriented programming extension for C++
 AspectC++ supports Aspect-Oriented Programming with C++, by providing:
 .
  - an aspect language extension to C++.
  - an aspect weaver that does source-to-source translation.
 .
 AspectC++ provides for C++ similar features like its best known
 cousin, AspectJ, provides for Java.

Package: aspectj
Description-md5: 51aa93f2f314551b2b6aea185821d474
Description-en: aspect-oriented extension for Java - tools
 AspectJ enables the clean modularization of crosscutting concerns
 such as: error checking and handling, synchronization, context-sensitive
 behavior, performance optimizations, monitoring and logging, debugging
 support, multi-object protocols.
 .
 This package provides the CLI tools of aspectj (aj5, ajc, ajbrowser).

Package: aspectj-doc
Description-md5: 4f84f7f75456d51b8af5d5559b206bdc
Description-en: aspect-oriented extension for Java - documentation
 AspectJ enables the clean modularization of crosscutting concerns
 such as: error checking and handling, synchronization, context-sensitive
 behavior, performance optimizations, monitoring and logging, debugging
 support, multi-object protocols.
 .
 This package provides AspectJ Programming Guide, Diagnosis Guide and
 Development Environment Guide and Development Kit Developer's Notebook.

Package: aspell-af
Description-md5: d694a3cde167e8f729201f5a64967096
Description-en: The Afrikaans dictionary for aspell
 This is an Afrikaans dictionary to be used with aspell.

Package: aspell-am
Description-md5: 40587b2617800dcc78d180023cc116b5
Description-en: Amharic dictionary for aspell
 This package contains all the required files to add support
 for Amharic language to aspell spell checker.

Package: aspell-ar
Description-md5: 2bd33021f309828e666070f978404831
Description-en: Arabic dictionary for aspell
 This package contains all the required files to add support
 for Arabic language to aspell spell checker.

Package: aspell-ar-large
Description-md5: ee8e97096037339acc08e89c04436387
Description-en: Large Arabic dictionary for aspell
 This is a large Arabic dictionary for Aspell by Google. The original word list
 used for this package was generated using The Buckwalter Arabic Morphological
 Analyzer Version 1.0.
 .
 This package is huge so you might experience some performance degradation
 with aspell.

Package: aspell-bg
Description-md5: 421625eda579191ec44af1516cfec9ee
Description-en: Bulgarian dictionary for aspell
 This package contains all the required files to add support for
 Bulgarian language to the GNU Aspell spell checker.
 .
 It contains both Bulgarian-only dictionary and a mixed Bulgarian + English
 one useful for checking the spelling of mixed texts.

Package: aspell-bn
Description-md5: 1e4e0b453f2c93adf0ee9bbe7b02e7d8
Description-en: Bengali (bn) dictionary for GNU aspell
 This package contains the required files to add support for the Bengali
 (bn) language to the GNU Aspell spell checker.
 .
 This list is developed by the Ankur Group.

Package: aspell-br
Description-md5: 6cf86e1832b9a28129fe1a0407933dc6
Description-en: Breton dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Breton language to the GNU Aspell spell checker.
 .
 GNU Aspell is a spell-checker which can be used either as a standalone
 application or embedded in other programs.

Package: aspell-ca
Description-md5: ac1a5e69d940eb04be1942837e419d62
Description-en: Catalan dictionary for aspell
 This package contains all the required files to add support for the
 Catalan language to the GNU Aspell spell checker.
 .
 It was put together by Joan Moratinos using data from different sources.

Package: aspell-cs
Description-md5: 166da7b47282ee84e409efcc75c2432c
Description-en: Czech dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Czech language to the GNU Aspell spell checker.

Package: aspell-cy
Description-md5: f5491df5b6bdbf6208c16170e49a9c23
Description-en: Welsh dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Welsh language to the GNU Aspell spell checker.

Package: aspell-da
Description-md5: 134cdf52e5c12b0ea5eb000429f9c460
Description-en: The Comprehensive Danish Dictionary (DSDO) - aspell
 The Comprehensive Danish Dictionary (DSDO) is a free spell-checking
 dictionary for Danish published by Skaane Sjaelland Linux User Group
 (SSLUG).  One thing which makes this dictionary different from most
 other dictionaries is that it basically is the result of a vote among
 the proof-readers.  The editorial group has _not_ proof-read all the
 words in the dictionary, but guides the proof-readers and keeps track
 of the overall status of the dictionary.
 .
 This is the Danish dictionary, to be used with aspell to check and
 correct spelling in Danish texts.

Package: aspell-de
Description-md5: 39cd0f073bca936d349803a5e5b99a9d
Description-en: German dictionary for aspell
 This package contains German dictionaries for the aspell spell checker.
 .
 Dictionaries included are: de_DE (de/deutsch/german), de_CH (swiss), and
 de_AT, all using the new German orthography from 1996 (neue Rechtschreibung).
 .
 The old (1901) spelling is provided by aspell-de-alt.

Package: aspell-de-1901
Description-md5: 46ec2a4cf1ba3d5831a3665aa6eefdfa
Description-en: Traditional German dictionary for aspell
 This package contains German dictionaries for the aspell spell
 checker.
 .
 Dictionaries included are de_DE-1901 (de-1901/deutsch-alt/german-old)
 and de_CH-1901, all using the traditional German orthography.  For
 the current orthography (de_DE-1996) see package aspell-de.

Package: aspell-el
Description-md5: 586966efae08561adecfd3c4604e76ff
Description-en: Greek dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Greek language to the GNU Aspell spell checker.

Package: aspell-eo
Description-md5: 3441555c663e9cb3488c113f3af8d64f
Description-en: Esperanto dictionary for aspell
 This is the Esperanto dictionary for use with the aspell spellchecker.
 The dictionary is based on the words from Plena Ilustrita Vortaro, with
 additional country/language names.

Package: aspell-eo-cx7
Description-md5: 7d80a30c1ec36a15154c73b36910b039
Description-en: Esperanto dictionary for aspell, "cx" 7bit encoding
 This is the Esperanto dictionary for use with the aspell spellchecker.
 The dictionary is based on the words from Plena Ilustrita Vortaro, with
 additional country/language names.
 .
 This package uses only the 7bit "cx" pseudo-charset.

Package: aspell-es
Description-md5: ac589503bea8a7693ebcd302926ec681
Description-en: Spanish dictionary for aspell
 This is the Spanish dictionary for use with the aspell spellchecker.
 It is based on ispell dictionary put together by
 Santiago Rodriguez and Jesus Carretero.

Package: aspell-et
Description-md5: 00e1d25fe3a31d30deb39bbd67432f22
Description-en: Estonian dictionary for Aspell
 This dictionary provides Estonian wordlists for the Aspell
 spellchecker currently supported by GNOME applications.
 .
 The wordlists are based on work by the Institute of the Estonian Language,
 and subsequently improved by Jaak Pruulmann who also created the affix file.

Package: aspell-eu
Description-md5: 08eabed1ac748acf1f8ba31fd9b5ef23
Description-en: Basque (Euskera) dictionary for aspell
 This is the Basque (Euskera) dictionary for use with
 the aspell spellchecker.
 .
 Note that the myspell2/aspell part was not updated
 by upstream since version 3.

Package: aspell-fa
Description-md5: 75445f9ba1ce13724d31533267e2375d
Description-en: Persian dictionary for GNU Aspell
 This package contains all the required files to add support
 for Persian (Farsi) language to GNU Aspell spell checker.

Package: aspell-fo
Description-md5: 14ba59b493e88d84d3296e1b77727d2b
Description-en: Faroese dictionary for aspell
 This is the Faroese dictionaries, to be used with aspell
 to check and correct spelling in Faroese texts.

Package: aspell-fr
Description-md5: c6a3a421f5b63d0c12f61f861b02487d
Description-en: French dictionary for aspell
 This package contains all the required files to add support
 for French language to aspell spell checker.

Package: aspell-ga
Description-md5: ddcbef698c81fe6fdf42a88a134e9675
Description-en: Irish (Gaeilge) dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Irish (Gaeilge) language to the GNU Aspell spell checker.

Package: aspell-gl-minimos
Description-md5: f645aa0f4b5cea6d73261b93cd0d9c1a
Description-en: Aspell dictionary for Galician (minimos)
 This is an aspell dictionary for Galician, using the "minimos"
 standard, as put together by Andre Ventas and Ramon Flores.
 .
 There are at least three orthographic conventions for Galician:
 ILG (official), reintegrationist and minimos. ILG uses orthographic
 conventions more similar to Spanish; reintegrationists are
 weighed towards Portuguese. Minimos tries to reach a middle
 consensus point.

Package: aspell-gu
Description-md5: 23ad19e394cd58bc5c07e6a1a0dffd61
Description-en: Gujarati (gu) dictionary for GNU aspell
 This package contains the required files to add support for the Gujarati
 (gu) language to the GNU Aspell spell checker.

Package: aspell-he
Description-md5: 05a360ac8eb9523e7c67df157557d917
Description-en: Hebrew dictionary for aspell
 This package contains all the required files to add support
 for Hebrew language to aspell spell checker.

Package: aspell-hi
Description-md5: de4d8957918b71f64e11f79d9e7e14c6
Description-en: Hindi (hi) dictionary for GNU aspell
 This package contains the required files to add support for the Hindi
 (hi) language to the GNU Aspell spell checker.

Package: aspell-hr
Description-md5: dd71d8327ff9d0ab0412b5fa4e610dc1
Description-en: The Croatian dictionary for GNU Aspell
 This package contains all the required files to add support
 for Croatian language to the GNU Aspell spell checker.

Package: aspell-hsb
Description-md5: 49d4a1859875beeff610029c734ecae8
Description-en: Upper Sorbian dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Upper Sorbian language to the GNU Aspell spell checker.

Package: aspell-hu
Description-md5: 31c2fc6bfb4afa2c78eca607d0c9c87e
Description-en: Hungarian dictionary for aspell
 This package contains Hungarian dictionaries for the aspell spell checker.

Package: aspell-hy
Description-md5: 254e3ec2bb3439b21b42de2129be13c0
Description-en: Armenian dictionary for GNU Aspell
 This package contains all the required files to add support
 for Armenian language to GNU Aspell spell checker.

Package: aspell-id
Description-md5: c690de47353132538c795b8985881c0c
Description-en: Indonesian (id) dictionary for GNU aspell
 This package contains the required files to add support for the Indonesian
 (id) language to the GNU Aspell/MySpell/Ispell checker.

Package: aspell-is
Description-md5: df5ba4d7f0d4e3452bd36288fcab7e1a
Description-en: Icelandic dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Icelandic language to the GNU Aspell spell checker.

Package: aspell-it
Description-md5: c8e955edc72544390fe0c90afc035014
Description-en: Italian dictionary for GNU Aspell
 This package contains all the required files to add support
 for Italian language to the GNU Aspell spell checker.

Package: aspell-kk
Description-md5: 18d7b9858cc5cf55776acb5372fcef1c
Description-en: Kazakh dictionary for GNU Aspell
 This package contains all the required files to add support
 for Kazakh language to the GNU Aspell spell checker.

Package: aspell-kn
Description-md5: c2b0be5b05dd1139b88b39dde572b6ff
Description-en: Kannada (kn) dictionary for GNU aspell
 This package contains the required files to add support for Kannada
 (kn) language to the GNU aspell spell checker.

Package: aspell-ku
Description-md5: b364c258e68043ea5fe908e169f9c3e0
Description-en: Kurdish dictionary for aspell
 This package contains the Kurdish dictionary for the aspell spell checker.

Package: aspell-lt
Description-md5: 6c51f0dac54c00ecc9a5713716c74849
Description-en: aspell dictionary for Lithuanian (LT)
 This package contains all the required files to add support for Lithuanian
 language to the GNU Aspell spell checker.

Package: aspell-lv
Description-md5: 7a9551effc6dbee3da68402a69baa42d
Description-en: Latvian dictionary for Aspell
 This dictionary contains Latvian wordlists for the Aspell
 spellchecker currently supported by GNOME applications.
 .
 The dictionary is generated from the MySpell wordlist.
 .
 This dictionary is not complete yet, but it is the best
 free solution at this moment.

Package: aspell-ml
Description-md5: 7df328f2b4dc98a1f39b354768d82c1d
Description-en: Malayalam (ml) dictionary for GNU aspell
 This package contains the required files to add support for the Malayalam (ml)
 language to the GNU Aspell spell checker which is primarily spoken in the
 Indian state of Kerala.

Package: aspell-mr
Description-md5: c55f5b9f8bf485d3fa7cd398be4aea86
Description-en: Marathi (mr) dictionary for GNU aspell
 This package contains the required files to add support for the Marathi
 (mr) language to the GNU Aspell spell checker.

Package: aspell-nl
Description-md5: 834d8c112e3956202905268a05348f4a
Description-en: Dutch dictionary for Aspell
 A Dutch spelling dictionary for the spelling checker Aspell.
 .
 This dictionary, from the OpenTaal project, uses the official
 spelling of 2005 and has been officially approved by the TaalUnie.
 .
 For a simple word list, see the wdutch package instead.

Package: aspell-no
Description-md5: 6092f29349df934ebd3f71ce1349fd19
Description-en: Norwegian dictionary for aspell
 This package provides the Norwegian dictionaries to be used with
 aspell to check and correct spelling in Norwegian texts.

Package: aspell-nr
Description-md5: 190f6e331cbfa65a5ca22e4f749eb52e
Description-en: The Ndebele dictionary for aspell
 This is an Ndebele dictionary, to be used with aspell.

Package: aspell-ns
Description-md5: 4ec31bb0d8fb390d7028905d6e26f25b
Description-en: The Northern Sotho dictionary for aspell
 This is an Northern Sotho dictionary, to be used with aspell.

Package: aspell-or
Description-md5: 12ce7570ba2a9f9e5334e0233d105000
Description-en: Oriya (or) dictionary for GNU aspell
 This package contains the required files to add support for the Oriya
 (or) language to the GNU Aspell spell checker.

Package: aspell-pa
Description-md5: dfc5748cf679e698d6a86a926b7da650
Description-en: Punjabi (pa) dictionary for GNU aspell
 This package contains the required files to add support for the Punjabi
 (pa) language to the GNU Aspell spell checker.

Package: aspell-pl
Description-md5: c7edb0acf12dd06047cc5585f2c31946
Description-en: Polish dictionary for aspell
 An Polish spelling dictionary for the spelling checker aspell.
 .
 It is taken from project http://www.sjp.pl/slownik/en/

Package: aspell-pt
Description-md5: 9a1df0a343a98d2488e24cf202886c45
Description-en: Portuguese dictionaries for GNU Aspell (old package)
 This is an empty package which depends on both the aspell-pt-pt
 (European Portuguese) and the aspell-pt-br (Brazilian Portuguese) GNU
 Aspell packages.
 .
 After installation or upgrade, this package can be safely removed.

Package: aspell-pt-br
Description-md5: 55b41a6753b5fa4dabde20215af57ddd
Description-en: Brazilian Portuguese dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Brazilian Portuguese language to the GNU Aspell spell checker.

Package: aspell-pt-pt
Description-md5: 1a83cd048e8df3ea23accfb425d4f5da
Description-en: European Portuguese dictionary for GNU Aspell
 This package contains all the required files to add support for the
 European Portuguese language to the GNU Aspell spell checker.

Package: aspell-ro
Description-md5: 3b6f998031c24d278b62dd459f16f390
Description-en: Romanian dictionary for GNU aspell
 This contains the Romanian dictionaries, to be used with aspell
 to check and correct spelling in Romanian texts.
 .
 Warning: Please note that the current word data base is really
 small and there might be a lot of false misspellings during the
 spell checking.

Package: aspell-ru
Description-md5: b0949eb10201585e2e5da1ec8b1713f1
Description-en: Russian dictionary for Aspell
 This dictionary contains Russian wordlists for the Aspell
 spellchecker currently supported by GNOME applications.
 .
 The dictionary is generated from the Ispell wordlist.

Package: aspell-sk
Description-md5: 284a394b4f0dc8f06471b09bef0e8b93
Description-en: Slovak dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Slovak language to the GNU Aspell spell checker.

Package: aspell-sl
Description-md5: d85c65f452b3f2b73608ce0fcc0d3cd2
Description-en: Slovenian dictionary for GNU Aspell
 This package contains all the required files to add support
 for Slovenian language to the GNU Aspell spell checker.

Package: aspell-ss
Description-md5: 98a91aca6c5000defa79188e4026e602
Description-en: The Swazi dictionary for aspell
 This is an Swazi dictionary, to be used with aspell.

Package: aspell-st
Description-md5: 4fc9a18d08f08c01179966c6c63afa0b
Description-en: The Southern Sotho dictionary for aspell
 This is an Southern Sotho dictionary, to be used with aspell.

Package: aspell-sv
Description-md5: 6f4d41b7918c88c9bcd9204bd025a8d9
Description-en: Swedish dictionary for GNU aspell
 This is the Swedish dictionaries, to be used with aspell
 to check and correct spelling in Swedish texts.

Package: aspell-ta
Description-md5: 4fdb8939484f3f4b0be3b4027547a112
Description-en: Tamil (ta) dictionary for GNU aspell
 This package contains the required files to add support for the Tamil
 (ta) language to the GNU Aspell spell checker.

Package: aspell-te
Description-md5: 84ae5148f1be9fb3762f14878f0fc7d6
Description-en: Telugu (te) dictionary for GNU aspell
 This package contains the required files to add support for the Telugu
 (te) language to the GNU Aspell spell checker.

Package: aspell-tl
Description-md5: e8c70d01b6565adc2f5f98cc690515be
Description-en: Tagalog dictionary for GNU Aspell
 This package contains all the required files to add support
 for the Tagalog language to the GNU Aspell spell checker.

Package: aspell-tn
Description-md5: 1e9535e1dd2b1c962e4ffbfb5e6f3b01
Description-en: The Tswana dictionary for aspell
 This is an Tswana dictionary, to be used with aspell.

Package: aspell-ts
Description-md5: b65ddd359017b1412a4f89a73811bc95
Description-en: The Tsonga dictionary for aspell
 This is an Tsonga dictionary, to be used with aspell.

Package: aspell-uk
Description-md5: eb69186d8a83bbf88f1ee5f22a559f1e
Description-en: Ukrainian dictionary for GNU Aspell
 This package contains all the required files to add support for the
 Ukrainian language to the GNU Aspell spell checker.

Package: aspell-uz
Description-md5: 39cdd3aef113964a2228b9212dfea21e
Description-en: The Uzbek dictionary for GNU Aspell
 This package contains all the required files to add support
 for Uzbek language to the GNU Aspell spell checker.

Package: aspell-xh
Description-md5: 7731670fc8d1b2c940c1226ab6d4b50f
Description-en: The Xhosa dictionary for aspell
 This is an Xhosa dictionary, to be used with aspell.

Package: aspell-zu
Description-md5: faa076f420f66274f9b408661ffb627d
Description-en: The Zulu dictionary for aspell
 This is an Zulu dictionary, to be used with aspell.

Package: aspic
Description-md5: 0e77b0907a94d9d312b529df033d2d9b
Description-en: Line art generator
 Aspic is a program that generates line art images from a text
 description of a picture that contains commands such as "line", "box",
 "circle", and "arc". Aspic's concept is similar to the "pic" command.
 Output is either encapsulated PostScript, or Scalable Vector Graphics
 (SVG). Quite complex pictures can be constructed from Aspic's
 primitives, which include facilities for positioning text alongside
 graphics, and the use of colour.

Package: asql
Description-md5: 57fc5f7cc52ca1c77e090b5e0c574f5f
Description-en: Run SQL queries against apache logs
 This package contains a simple tool which allows you to easily run
 SQL queries against Apache common logfiles.
 .
 This can be more illuminating than viewing static logfile analysis.

Package: assemblytics
Description-md5: c63fb59562187accf8f69b4f91182d8e
Description-en: detect and analyze structural variants from a genome assembly
 Assemblytics incorporates a unique anchor filtering approach to increase
 robustness to repetitive elements, and identifies six classes of variants
 based on their distinct alignment signatures. Assemblytics can be applied
 both to comparing aberrant genomes, such as human cancers, to a reference,
 or to identify differences between related species.

Package: assimp-testmodels
Description-md5: d9f5a13fa467c6422cfd24262e1d2108
Description-en: assimp 3D model import library (testdata)
 Assimp is a library to import various well-known 3D model formats ("assets") in
 a uniform manner.
 Assimp aims at providing a full asset conversion pipeline for use in game
 engines / realtime rendering systems of any kind but is not limited to this
 audience.
 .
 This package contains 3D models in various formats for testing assimp.

Package: assimp-utils
Description-md5: 275c2ca770013aef6a58fc6243b4486d
Description-en: 3D model import library (utilities)
 Assimp is a library to import various well-known 3D model formats ("assets") in
 a uniform manner.
 Assimp aims at providing a full asset conversion pipeline for use in game
 engines / realtime rendering systems of any kind but is not limited to this
 audience.
 .
 This package contains additional commandline utilities (currently only
 'assimp') to interact with 3D models.

Package: assword
Description-md5: 048526c7ae0514aa73d146b920e3558b
Description-en: Simple password manager (dummy transitional package)
 Assword has been renamed to Impass.
 .
 This is a dummy transitional package; please use "impass" instead.
 .
 It is safe to remove this package.

Package: asterisk
Description-md5: 7512e0d229d5002c76464413517c8449
Description-en: Open Source Private Branch Exchange (PBX)
 Asterisk is an Open Source PBX and telephony toolkit.  It is, in a
 sense, middleware between Internet and telephony channels on the bottom,
 and Internet and telephony applications at the top.
 .
 Asterisk can be used with Voice over IP (SIP, H.323, IAX and more) standards,
 or the Public Switched Telephone Network (PSTN) through supported hardware.
 .
 Supported hardware:
 .
  * All Wildcard (tm) ISDN PRI cards from Digium (http://www.digium.com)
  * HFC-S/HFC-4S-based ISDN BRI cards (Junghanns.NET, beroNet, Digium etc.)
  * All TDM (FXO/FXS) cards from Digium
  * Various clones of Digium cards such as those by OpenVox
  * Xorcom Astribank USB telephony adapter (http://www.xorcom.com)
  * Voicetronix OpenPCI, OpenLine and OpenSwitch cards
  * CAPI-compatible ISDN cards (using the add-on package chan-capi)
  * Full Duplex Sound Card (ALSA or OSS) supported by Linux
  * Tormenta T1/E1 card (http://www.zapatatelephony.org)
  * QuickNet Internet PhoneJack and LineJack (http://www.quicknet.net)
 .
 This is the main package that includes the Asterisk daemon and most channel
 drivers and applications.

Package: asterisk-config
Description-md5: e6341811f25f903eb6ed725a945b19f5
Description-en: Configuration files for Asterisk
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package contains the default configuration files of Asterisk.
 .
 With program asterisk-config-custom in the asterisk package,
 you can create an asterisk-config replacement package.

Package: asterisk-core-sounds-en
Description-md5: b7bbb9ba060616fa0fa5dc0a6a92c879
Description-en: asterisk PBX sound files - US English
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 The core part of that collection in US English, by voice actress
 Allison Smith, is contained in various encodings in packages
 asterisk-core-sounds-en-*; this package registers these through the
 alternatives system to provide the default "en" (English) and "en_US"
 (USA English) sounds.

Package: asterisk-core-sounds-en-g722
Description-md5: f5fe5c1670c0e85999c33759b6830e3f
Description-en: asterisk PBX sound files - en-us/g722
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in English (US,
 by Allison Smith) in raw G.722 format (mildly compressed wide-band
 codec).

Package: asterisk-core-sounds-en-gsm
Description-md5: 01d5ff81839e581afb28022cb01db208
Description-en: asterisk PBX sound files - en-us/gsm
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in English (US,
 by Allison Smith) in raw gsm-fr format (Compressed. Takes relatively
 little space. playable with sox).

Package: asterisk-core-sounds-en-wav
Description-md5: c28091ab4fe0605f31e9e604cb9d0e2a
Description-en: asterisk PBX sound files - en-us/wav
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in English (US,
 by Allison Smith) in WAV format (8Khz, mono).

Package: asterisk-core-sounds-es
Description-md5: 81e80fec40c8cce2e89bcd87d7cfe6e2
Description-en: asterisk PBX sound files - Spanish
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 The core part of that collection in Spanish, by voice actress Allison
 Smith, is contained in various encodings in packages
 asterisk-core-sounds-es-*; this package registers these through the
 alternatives system to provide the default "es" (Spanish) and "es_MX"
 (Mexican Spanish) sounds.

Package: asterisk-core-sounds-es-g722
Description-md5: 9b84d0c8ce4c8d36f200de324bbecd9a
Description-en: asterisk PBX sound files - es-mx/g722
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Spanish
 (MX, by Allison Smith) in raw G.722 format (mildly compressed
 wide-band codec).

Package: asterisk-core-sounds-es-gsm
Description-md5: 3456ab93e2ddf3f5c637d665a084554c
Description-en: asterisk PBX sound files - es-mx/gsm
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Spanish
 (MX, by Allison Smith) in raw gsm-fr format (Compressed. Takes
 relatively little space. playable with sox).

Package: asterisk-core-sounds-es-wav
Description-md5: 46e7a2e00204f26ff2bb016cee808696
Description-en: asterisk PBX sound files - es-mx/wav
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Spanish
 (MX, by Allison Smith) in WAV format (8Khz, mono).

Package: asterisk-core-sounds-fr
Description-md5: a071252c7f26a818639d1f5e75a34704
Description-en: asterisk PBX sound files - Canadian French
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 The core part of that collection in Canadian French, by voice actress
 June Wallack, is contained in various encodings in packages
 asterisk-core-sounds-fr-*; this package registers these through the
 alternatives system to provide the default "fr" (French) and "fr_CA"
 (Canadian French) sounds.

Package: asterisk-core-sounds-fr-g722
Description-md5: 5d88f065048cceed1f7a222039ee28de
Description-en: asterisk PBX sound files - fr-ca/g722
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in French
 (Canadian, by June Wallack) in raw G.722 format (mildly compressed
 wide-band codec).

Package: asterisk-core-sounds-fr-gsm
Description-md5: 93fffce97b7108d0679ded3632599fb2
Description-en: asterisk PBX sound files - fr-ca/gsm
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in French
 (Canadian, by June Wallack) in raw gsm-fr format (Compressed. Takes
 relatively little space. playable with sox).

Package: asterisk-core-sounds-fr-wav
Description-md5: ec78ad45c87d80a5073a0467544d112f
Description-en: asterisk PBX sound files - fr-ca/wav
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in French
 (Canadian, by June Wallack) in WAV format (8Khz, mono).

Package: asterisk-core-sounds-it
Description-md5: 92b192df921145a806594fd82b187a85
Description-en: asterisk PBX sound files - Italian
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 The core part of that collection in Italian, by voice actor Carlo
 Flora, is contained in various encodings in packages
 asterisk-core-sounds-it-*; this package registers these through the
 alternatives system to provide the default "it" and "it_IT" (Italian)
 sounds.

Package: asterisk-core-sounds-it-g722
Description-md5: 03484c2d53c012873af0a5db9267e5e8
Description-en: asterisk PBX sound files - it-it/g722
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Italian (by
 Carlo Flora) in raw G.722 format (mildly compressed wide-band codec).

Package: asterisk-core-sounds-it-gsm
Description-md5: a5fb56998c5e0f4e7c325c09e7a2d233
Description-en: asterisk PBX sound files - it-it/gsm
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Italian (by
 Carlo Flora) in raw gsm-fr format (Compressed. Takes relatively little
 space. playable with sox).

Package: asterisk-core-sounds-it-wav
Description-md5: 29046c94e546101ff47fbc97bf3eda39
Description-en: asterisk PBX sound files - it-it/wav
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Italian (by
 Carlo Flora) in WAV format (8Khz, mono).

Package: asterisk-core-sounds-ru
Description-md5: fe0700277bd175bd32d819b34ee31f74
Description-en: asterisk PBX sound files - Russian
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 The core part of that collection in Russian, by Maxim Topal, is
 contained in various encodings in packages asterisk-core-sounds-ru-*;
 this package registers these through the alternatives system to
 provide the default "ru" and "ru_RU" (Russian) sounds.

Package: asterisk-core-sounds-ru-g722
Description-md5: 957c76742b12cfcc339b4448cdde5610
Description-en: asterisk PBX sound files - ru-ru/g722
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Russian
 (provided by Maxim Topal) in raw G.722 format (mildly compressed
 wide-band codec).

Package: asterisk-core-sounds-ru-gsm
Description-md5: 83fe8adca41be727477ab2bb35390304
Description-en: asterisk PBX sound files - ru-ru/gsm
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Russian
 (provided by Maxim Topal) in raw gsm-fr format (Compressed. Takes
 relatively little space. playable with sox).

Package: asterisk-core-sounds-ru-wav
Description-md5: f0c8a15299b3afbe2eba0c6de149dc93
Description-en: asterisk PBX sound files - ru-ru/wav
 Asterisk is an Open Source PBX and telephony toolkit. It is, in a
 sense, middleware between Internet and telephony channels on the
 bottom, and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats.
 This package contains the core part of that collection in Russian
 (provided by Maxim Topal) in WAV format (8Khz, mono).

Package: asterisk-dahdi
Description-md5: 5529adace4a9c424bafb97ddc99c3f88
Description-en: DAHDI devices support for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package includes the DAHDI channel driver (chan_dahdi.so) and a number of
 other Asterisk modules that require DAHDI support. They will not be useful
 without kernel-level DAHDI support.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-dev
Description-md5: 29fb2eb8b22e0952fe45710ff2b553d1
Description-en: Development files for Asterisk
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package contains the include files used if you wish to compile a
 package which requires Asterisk's source file headers.

Package: asterisk-doc
Description-md5: 1f6039c0b5b8c50e4338426f68ddc318
Description-en: Source code documentation for Asterisk
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package contains the documentation for configuring an Asterisk system.

Package: asterisk-espeak
Description-md5: 1c95aef9393ef961171fa3c024e3bdf7
Description-en: eSpeak module for Asterisk
 Module for the Asterisk open source PBX which allows you to use the
 eSpeak voice synthesis engine to render text to speech.

Package: asterisk-flite
Description-md5: b9be22e6118306efe62c81bb71b89039
Description-en: flite module for Asterisk
 Module for the Asterisk open source PBX which allows you to use the
 flite voice synthesis engine to render text to speech.

Package: asterisk-mobile
Description-md5: 512eb7fdf39ee482ff4d9d46b2d19e9e
Description-en: Bluetooth phone support for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package provides support for connecting Bluetooth devices to the
 Asterisk PBX. It allows you to:
   * Send and receive PSTN calls and SMS messages over a Bluetooth phone.
   * Use a Bluetooth handset as a local phone connected to your PBX.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-modules
Description-md5: a4b7fbab293e8c2c681de69968460381
Description-en: loadable modules for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package includes most of the loadable modules of the Asterisk package.
 There is normally no need to explicitly install it.

Package: asterisk-moh-opsound-g722
Description-md5: 1b0e15375eb1fcce22dd805cc8f3bf0f
Description-en: asterisk extra sound files - English/g722
 Asterisk is an Open Source PBX and telephony toolkit.  It is, in a
 sense, middleware between Internet and telephony channels on the bottom,
 and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats. This
 package contains several "on-hold" music files in raw G.722 format
 (mildly compressed wide-band codec).

Package: asterisk-moh-opsound-gsm
Description-md5: 04fdcc06ee6ab6cec41e1bfe7f17c028
Description-en: asterisk extra sound files - English/gsm
 Asterisk is an Open Source PBX and telephony toolkit.  It is, in a
 sense, middleware between Internet and telephony channels on the bottom,
 and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats. This
 package contains several "on-hold" music files in raw gsm-fr format
 (Compressed. Takes relatively little space. playable with sox).

Package: asterisk-moh-opsound-wav
Description-md5: 09166aff340a2cec9261b1ddae8b517c
Description-en: asterisk extra sound files - English/wav
 Asterisk is an Open Source PBX and telephony toolkit.  It is, in a
 sense, middleware between Internet and telephony channels on the bottom,
 and Internet and telephony applications at the top.
 .
 Asterisk includes a set of standard sound files in various formats. This
 package contains several "on-hold" music files WAV format (8Khz, mono).

Package: asterisk-mp3
Description-md5: a050ec92d3441801316e67133f82adfa
Description-en: MP3 playback support for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package provides support for playing MP3-encoded files in
 Asterisk.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-mysql
Description-md5: b2553271b814e80df7d7376f64f21e53
Description-en: MySQL database protocol support for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package provides support for using a MySQL database to store
 configuration, call detail records, and also provides generic access to
 it from the dialplan.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-ooh323
Description-md5: 7df360c08fdc2513fd61374e3b817791
Description-en: H.323 protocol support for the Asterisk PBX - ooH323c
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This channel driver (chan_ooh323c) provides support for the H.323 protocol
 for Asterisk. This is an independent implementation that does not depend
 on OpenH323, but may be less functional than the original chan_h323.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-opus
Description-md5: f94ac1b4a8b93eb800a8eee913feef75
Description-en: opus module for Asterisk
 Module for the Asterisk open source PBX which allows you to use the
 Opus audio codec.
 .
 Opus is the default audio codec in WebRTC. WebRTC is available in
 Asterisk via SIP over WebSockets (WSS). Nevertheless, Opus can be used
 for other transports (UDP, TCP, TLS) as well. Opus supersedes previous
 codecs like CELT and SiLK. Furthermore in favor of Opus, other
 open-source audio codecs are no longer developed, like Speex, iSAC,
 iLBC, and Siren. If you use your Asterisk as a back-to-back user agent
 (B2BUA) and you transcode between various audio codecs, one should
 enable Opus for future compatibility.
 .
 Opus is not only supported for pass-through but can be transcoded as
 well. This allows you to translate to/from other audio codecs like
 those for landline telephones (ISDN: G.711; DECT: G.726-32; and HD:
 G.722) or mobile phones (GSM, AMR, AMR-WB, 3GPP EVS).

Package: asterisk-prompt-de
Description-md5: 51824bae4903778272931e4ab3d56a2d
Description-en: German voice prompts for the Asterisk PBX
 These are German voice prompts for the Asterisk PBX, courtesy of the
 German city of Pforzheim.
 .
 You need this package if you intend to run Asterisk and wish to support
 German callers.

Package: asterisk-prompt-es-co
Description-md5: a7bf7560dc399240af69a80ad210758a
Description-en: Colombian Spanish voice prompts for Asterisk
 These are Colombian Spanish voice prompts for the Asterisk PBX, courtesy
 of Avatar Ltda., Colombia.
 .
 You need this package if you intend to run Asterisk and wish to support
 Spanish-speaking callers.

Package: asterisk-prompt-fr-armelle
Description-md5: 55fedc2ee09a0a4a1e379048ca185b40
Description-en: French voice prompts for Asterisk by Armelle Desjardins
 These are French voice prompts for the Asterisk PBX, recorded by
 Armelle Desjardins for Michel Gutierrez.
 .
 You need such prompts if you intend to run Asterisk and wish to
 support French-speaking callers.

Package: asterisk-prompt-fr-proformatique
Description-md5: b3da1c5e42c70a1fa01fa7259f726ac3
Description-en: French voice prompts for Asterisk
 These are French voice prompts for the Asterisk PBX, courtesy of
 Proformatique SARL.
 .
 You need such prompts if you intend to run Asterisk and wish to
 support French-speaking callers.

Package: asterisk-prompt-it
Description-md5: e7338f1451e2eca7e06703123e31752d
Description-en: dummy transitional package
 This is a transitional package intended to simplify the migration from
 asterisk-prompt-it to asterisk-prompt-it-menardi. You can safely remove
 this package. The name asterisk-prompt-it should be reserved in the
 future to a virtual package provided by any potential Italic prompts set
 package.

Package: asterisk-prompt-it-menardi
Description-md5: 740ab1b70386af8c5203e502fe19cb64
Description-en: asterisk PBX Italian sound files
 voice prompts in Italian that are mainly useful for the Asterisk PBX
 software. Asterisk includes a set of standard sound files in various
 formats. This package include those prompts, re-recorded in Italian
 by Paola Dal Zot, courtesy of Marco Menardi.
 .
 The packages asterisk-prompt-it-menardi-* include prompts in various
 encodings. This package registers these through the alternatives system
 to provide the default "it" (Italian) and "it_IT" (Italian Italy) sounds,
 and is the package you should normally install.

Package: asterisk-prompt-it-menardi-alaw
Description-md5: 0cf0667510f2d56666e81e6fe2e3e315
Description-en: asterisk PBX Italian sound files - a-law prompts
 voice prompts in Italian that are mainly useful for the Asterisk PBX
 software. Asterisk includes a set of standard sound files in various
 formats. This package include those prompts, re-recorded in Italian
 by Paola Dal Zot, courtesy of Marco Menardi.
 .
 This package provides prompt sound files formatted as raw G.711 A.law.

Package: asterisk-prompt-it-menardi-gsm
Description-md5: a3fb2553d8f81517d6a43f64076c7c11
Description-en: asterisk PBX Italian sound files - gsm prompts
 voice prompts in Italian that are mainly useful for the Asterisk PBX
 software. Asterisk includes a set of standard sound files in various
 formats. This package include those prompts, re-recorded in Italian
 by Paola Dal Zot, courtesy of Marco Menardi.
 .
 This package provides prompt sound files formatted as raw GSM-fr (playable
 with sox)

Package: asterisk-prompt-it-menardi-wav
Description-md5: c75d1dc6a5c72c858fa28e2c90693d5b
Description-en: asterisk PBX Italian sound files - wav prompts
 voice prompts in Italian that are mainly useful for the Asterisk PBX
 software. Asterisk includes a set of standard sound files in various
 formats. This package include those prompts, re-recorded in Italian
 by Paola Dal Zot, courtesy of Marco Menardi.
 .
 This package provides prompt sound files formatted as .wav files
 (8kHz, mono).

Package: asterisk-tests
Description-md5: 3bf7b0bafed21fce92a6b7bf8d1f48a2
Description-en: internal test modules of the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package provides modules that run various functionality tests.
 You would normally not install this package unless you test a build
 of Asterisk.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-voicemail
Description-md5: e83e6754a3c48915d5603db6074f28dd
Description-en: simple voicemail support for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package includes the standard files-based voicemail storage. This
 is normally the one you use and is the one that will Just Work. The only
 reason not to install it is if you want to use a different Asterisk
 voicemail storage (ODBC or IMAP).
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-voicemail-imapstorage
Description-md5: 22e45c2f424363e41b2f6999143390e3
Description-en: IMAP voicemail storage support for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package includes an IMAP-based voicemail storage: storing the
 voicemail in a remote IMAP mail boxes. While more complex to set up,
 it may be useful in some settings.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-voicemail-odbcstorage
Description-md5: 3aa03566db8ac6e6c0a99deecb01ad49
Description-en: ODBC voicemail storage support for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package includes an database-based voicemail storage: storing the
 voicemail in a database accessed through the ODBC interface. While more
 complex to set up, it may be useful in some settings.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: asterisk-vpb
Description-md5: 923b4cbd2a85794b624413ff0f9cb007
Description-en: VoiceTronix devices support for the Asterisk PBX
 Asterisk is an Open Source PBX and telephony toolkit.
 .
 This package includes the VoiceTronix channel driver (chan_vpb.so).
 It will not be useful without kernel-level VoiceTronix support.
 .
 For more information about the Asterisk PBX, have a look at the Asterisk
 package.

Package: astro-all
Description-md5: c0b94a75d4ac444b22670ec1db0ede21
Description-en: Default selection of tasks for Debian Astro
 This package is part of the Debian Astro Pure Blend and installs all
 tasks for a default installation of this blend.

Package: astro-catalogs
Description-md5: 325b19b58895f38776712e6713713e1d
Description-en: Astronomy catalogs
 This metapackage will install a selection astronomical catalogs. Please note
 that due to their size, most catalogs are not included in Debian. Check the
 CDS web service for additional catalogs if needed.

Package: astro-datareduction
Description-md5: 2e4d4f46227b3ec4c8e2e01c5e96007b
Description-en: Data reduction pipelines for astronomy
 The term data reduction in astronomy means the data processing from the raw
 exposures up to scientific usable data. This includes the basic CCD
 processing, the astrometric and photometric calibrations, the reprocessing,
 error estimation and other steps.
 .
 This metapackage will install astronomy data reduction pipelines that can be
 used universally, but also those for specific telescopes.

Package: astro-development
Description-md5: 0ad1cbf55f087a09ebc776c5aaeed4a1
Description-en: C/C++ development packages for astronomy
 This metapackage will install Debian Astro packages which might be
 helpful for the development of (mainly C/C++) astronomical applications. Note
 that Java, Python and Tcl/Tk packages are not included here; they can be
 installed by selecting the according tasks.

Package: astro-education
Description-md5: 9b4b49fa00bf94a1726672925918f29e
Description-en: Educational astronomy applications
 This metapackage depends on various applications that can be used to
 teach astronomy.

Package: astro-frameworks
Description-md5: 858f79ce5dbe5bcf642e16bce7d64fe3
Description-en: Astronomical data analysis frameworks
 This metapackage will install large astronomical data analysis
 frameworks, which usually provide their own script language. Please
 notice that the Gnu Data Language has its own metapackage astro-gdl,
 IRAF is in astro-iraf, and the Astropy framework is in the astro-python3
 metapackage.

Package: astro-gdl
Description-md5: 6192f7df5637e103918cae2942ad68f9
Description-en: IDL/GDL packages for astronomy
 GDL (GNU Data Language) is a free replacement for the commercial software IDL
 (Interactive Data Language). It has full syntax compatibility with IDL 7.1
 and is usable for astronomical data analysis. This metapackage will install
 astronomy related packages usable with GDL; especially the  IDL Astronomy
 Users Library.

Package: astro-iraf
Description-md5: 478ff9d612cb740b8fcfeb4917cb9e85
Description-en: IRAF packages
 IRAF (Image Reduction an Analysis Facility) is a general purpose software
 system for the reduction and analysis of astronomical data.
 This metapackage will install IRAF and its associated packages.

Package: astro-java
Description-md5: 298d5344081ab6b2b5cf98d82c93c6da
Description-en: Java packages for astronomy
 This metapackage will install packages that are useful to develop Java
 applications for astronomy. Apart from FITS file handling, this is mainly used
 for VO applications.

Package: astro-publication
Description-md5: 785a8945cfb22ac4a67d31d363a64df1
Description-en: Packages for the publication and presentation of astronomical data
 This metapackage will install software for the preparation of astronomical
 data to publish them in journals or on the web.

Package: astro-python3
Description-md5: a05acbb1ab388f61fa90c43cfb7db612
Description-en: Python 3 packages for astronomy
 This metapackage will install Python 3 packages for astronomy. The packages
 can be used for interactive analysis, or to create specific programs.

Package: astro-radioastronomy
Description-md5: 8f6b1987a3fae4fd8cc448e7acfb277a
Description-en: Radio Astronomy Software
 This metapackage will install Debian Astro packages for radio astronomy. It
 should contain most of the required tools that a radio astronomer require for
 setting up a data reduction pipeline.

Package: astro-simulation
Description-md5: 65b53e56419c41a92b80fb26a45fad65
Description-en: Simulation packages for astronomy
 This metapackage will install packages for celestial, astronomical and
 cosmological simulations.

Package: astro-tasks
Description-md5: b1e6d9e4b7128dda5f18c26cabb5fa0e
Description-en: Debian Astronomy Pure Blend (tasksel tasks)
 Debian Astro is a "Debian Pure Blend" with the aim to develop a Debian based
 operating system that fits the requirements of both professional and hobby
 astronomers. It integrates a large number of software packages covering
 telescope control, data reduction, presentation and other fields.
 .
 If this package will be installed all tasks which are provided by Debian
 Astro will be added to the tasksel menu.

Package: astro-tcltk
Description-md5: 3ad30804348c2b580a07239c6816060f
Description-en: Tcl/Tk packages for astronomy
 This metapackage will install packages that are useful to develop Tcl/Tk
 applications for astronomy.

Package: astro-telescopecontrol
Description-md5: c46335ec3518e7caf385a3a2b31cca54
Description-en: Telescope control and data processing
 This metapackage will install Debian Astro packages to control (mainly
 amateur) telescopes and do their data reduction.

Package: astro-tools
Description-md5: 483e27fa9acdba00e0cc65d2c043138e
Description-en: Astronomy tools and utilities
 This metapackage will install small tools provided by Debian Astronomy
 packages. These tools handle smaller tasks, mainly dealing with FITS files.

Package: astro-viewers
Description-md5: 594da5af764431a2d5502725d51737a2
Description-en: Interactive astronomical data viewers
 This metapackage will install software for the interactive visual inspection
 of astronomical data. Note that Python packages can be used for interactive
 inspection as well within the ipython environment.

Package: astro-virtual-observatory
Description-md5: 7e01c318db30910b46750345f6bf0e99
Description-en: Tools and viewers for the Virtual Observatory
 This metapackage will install commonly used interfaces for interacting with
 datasets and archive data within the online distributed Virtual Observatory.
 .
 The Virtual Observatory allows astronomers to interrogate multiple data
 centers in a seamless and transparent way, provides new powerful analysis and
 visualization tools within that system, and gives data centers a standard
 framework for publishing and delivering services using their data. This is
 made possible by standardization of data and metadata, by standardization of
 data exchange methods, and by the use of a registry, which lists available
 services and what can be done with them.
 .
 When running, many of these tools can communicate with each other
 using the Simple Application Messaging Protocol (SAMP)

Package: astroid
Description-md5: 4668d36486e9f68f54af877a4e5004cd
Description-en: graphical notmuch email client
 Astroid is a lightweight and fast Mail User Agent that provides a
 graphical interface to searching, display and composing email,
 organized in thread and tags. Astorid uses the notmuch backend for
 blazingly fast searches through tons of email. Astroid searches,
 displays and compose emails - and rely on other programs for fetching,
 syncing and sending email.

Package: astromatic
Description-md5: df5064010af4832f979a1a2c84bfb781
Description-en: Astronomical pipeline software collection
 AstrOmatic software is meant to be run in batch mode on large quantities of
 data, mostly on Unix platforms, with minimum human intervention. It is
 currently in use in various image survey pipelines including TERAPIX, the
 Dark-Energy Survey Data Management system, and Astro-WISE.
 .
 This metapackage will install all software from AstrOmatic.net that is
 packaged for Debian.

Package: astrometry-data-tycho2
Description-md5: 99975070eb7f570c758937abe5e63e86
Description-en: Astrometry.net Tycho-2 index files
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package installs all index file from the Tycho-2 catalog.

Package: astrometry-data-tycho2-07
Description-md5: d9f36469d5c10cf7a2ee0c8d789cf81b
Description-en: Astrometry.net Tycho-2 index files (22'-30')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package installs the 4107 index file from the Tycho-2 catalog
 containing skymarks of sizes 22 arcminutes to 30 arcminutes.

Package: astrometry-data-tycho2-07-bigendian
Description-md5: b347384c53cec3dd9baca1491c50bf7d
Description-en: Astrometry.net Tycho-2 big endian index files (22'-30')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the 4107 index file from the Tycho-2 catalog
 containing skymarks of sizes 22 arcminutes to 30 arcminutes. Byte order
 is big endian.

Package: astrometry-data-tycho2-07-littleendian
Description-md5: db22975d2ad3c167a716db4976c5ee5d
Description-en: Astrometry.net Tycho-2 little endian index files (22'-30')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the 4107 index file from the Tycho-2 catalog
 containing skymarks of sizes 22 arcminutes to 30 arcminutes. Byte order
 is litte endian.

Package: astrometry-data-tycho2-08
Description-md5: 6ebf515215de03fb6134b4dbaf6a393a
Description-en: Astrometry.net Tycho-2 index files (30'-44')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package installs the 4108 index file from the Tycho-2 catalog
 containing skymarks of sizes 30 arcminutes to 44 arcminutes.

Package: astrometry-data-tycho2-08-bigendian
Description-md5: 7ddacc3cd1abd6d7af6c3beabf47e436
Description-en: Astrometry.net Tycho-2 big endian index files (30'-44')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the 4108 index file from the Tycho-2 catalog
 containing skymarks of sizes 30 arcminutes to 44 arcminutes. Byte order
 is big endian.

Package: astrometry-data-tycho2-08-littleendian
Description-md5: 42010964994b0a2dfebb1295d83c7dc3
Description-en: Astrometry.net Tycho-2 little endian index files (30'-44')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the 4108 index file from the Tycho-2 catalog
 containing skymarks of sizes 30 arcminutes to 44 arcminutes. Byte order
 is litte endian.

Package: astrometry-data-tycho2-09
Description-md5: bb3384e7a320a9d505e8131c46a66459
Description-en: Astrometry.net Tycho-2 index files (44'-60')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package installs the 4109 index file from the Tycho-2 catalog
 containing skymarks of sizes 44 arcminutes to 60 arcminutes.

Package: astrometry-data-tycho2-09-bigendian
Description-md5: 675943063ea1d46a935b87f521cd00a6
Description-en: Astrometry.net Tycho-2 big endian index files (44'-60')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the 4109 index file from the Tycho-2 catalog
 containing skymarks of sizes 44 arcminutes to 60 arcminutes. Byte order
 is big endian.

Package: astrometry-data-tycho2-09-littleendian
Description-md5: f8cd18d33713b9060780fec8aa32397c
Description-en: Astrometry.net Tycho-2 little endian index files (44'-60')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the 4109 index file from the Tycho-2 catalog
 containing skymarks of sizes 44 arcminutes to 60 arcminutes. Byte order
 is litte endian.

Package: astrometry-data-tycho2-10-19
Description-md5: 4862991080b5b1e2161dc34972e34393
Description-en: Astrometry.net Tycho-2 index files (60'-2000')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package installs the 4110-4119 index file from the Tycho-2 catalog
 containing skymarks of sizes 60 arcminutes to 2000 arcminutes.

Package: astrometry-data-tycho2-10-19-bigendian
Description-md5: fcb60b33260c92717e3b86ec592db671
Description-en: Astrometry.net Tycho-2 big endian index files (60'-2000')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the 4110-4119 index file from the Tycho-2 catalog
 containing skymarks of sizes 60 arcminutes to 2000 arcminutes. Byte order
 is big endian.

Package: astrometry-data-tycho2-10-19-littleendian
Description-md5: 5d7136d5039c87bbe63cff8c6f9c7ea5
Description-en: Astrometry.net Tycho-2 little endian index files (60'-2000')
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the 4110-4119 index file from the Tycho-2 catalog
 containing skymarks of sizes 60 arcminutes to 2000 arcminutes. Byte order
 is litte endian.

Package: astrometry.net
Description-md5: 5088a1bfc88af04d691f7b897003b643
Description-en: Astrometry plate solver
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.

Package: astronomical-almanac
Description-md5: 68a079ae6fa8c50e0eaa1029e386ce6f
Description-en: astronomical almanac - calculate planet and star positions
 The aa program computes the orbital positions of planetary bodies and
 performs rigorous coordinate reductions to apparent geocentric and
 topocentric place (local altitude and azimuth).  It also reduces star
 catalogue positions given in either the FK4 or FK5 system.  Data for
 the 57 navigational stars is included.  Most of the algorithms
 employed are from The Astronomical Almanac (AA) published by the
 U.S. Government Printing Office.
 .
 The aa program follows the rigorous algorithms for reduction of
 celestial coordinates exactly as laid out in current editions of
 the Astronomical Almanac.  The reduction to apparent geocentric
 place has been checked by a special version of the program (aa200)
 that takes planetary positions directly from the Jet Propulsion
 Laboratory DE200 numerical integration of the solar system. The
 results agree exactly with the Astronomical Almanac tables from
 1987 onward (earlier Almanacs used slightly different reduction
 methods).
 .
 Certain computations, such as the correction for nutation,
 are not given explicitly in the AA but are referenced there. In
 these cases the program performs the full computations that are
 used to construct the Almanac tables (references are provided).

Package: astropy-utils
Description-md5: 66f91a2a4f6c2297733b7ed899429da7
Description-en: Command line tools from astropy
 The astropy package contains core functionality and some common tools
 needed for performing astronomy and astrophysics research with Python.
 .
 This package contains the tools that come with astropy:
 .
  * fitscheck: Detect and fix FITS standards violations
  * fits2bitmap: Create a bitmap file from a FITS image.
  * fitsdiff: Compare two FITS image files and report the differences in
    header keywords and data.
  * fitsheader: Print the header(s) of one or more FITS file(s) to the
    standard output in a human-readable format.
  * samp_hub: SAMP Hub Server.
  * volint: Check a VOTable file for compliance to the VOTable specification
  * wcslint: Check the WCS keywords in a FITS file for compliance against
    the standards

Package: astyle
Description-md5: 8df5aba4629c6ca17e967f878cb634ad
Description-en: Source code indenter for C, C++, Objective-C, C#, and Java
 Artistic Style is a source code indenter, formatter, and beautifier for
 the C, C++, C++/CLI, Objective-C, C# and Java programming languages.
 .
 This package contains the command line tool.

Package: asunder
Description-md5: 43a8f205391cf524907c11101a270abf
Description-en: graphical audio CD ripper and encoder
 Asunder is a graphical Audio CD ripper and encoder. It can be used to
 save tracks from Audio CDs. Main features:
 .
  * Supports WAV, MP3, Ogg Vorbis, FLAC, and Wavpack audio files
  * Uses CDDB to name and tag each track
  * Can encode to multiple formats in one session
  * Creates M3U playlists
  * Allows for each track to be by a different artist
  * Does not require a specific desktop environment (just GTK+)

Package: asused
Description-md5: 44c722b367efa2fc36d76d68868a6a92
Description-en: tool to check IPv4 allocations and assignments as stored in the RIPE database
 Asused is a tool which summarizes address space as registered in the RIPE
 database. For each allocated inetnum object a summary of used and free address
 space is printed. A grant total summary for all prefixes is also provided. If
 there are no errors in locating allocations and assignments under an
 allocation. In the total % are calculated on total allocations.

Package: asylum
Description-md5: 2c18fbb5c2b2f3dab7ac3e0cd5772dc1
Description-en: surreal platform shooting game
 Young Sigmund has a few problems. To help him resolve his mental
 instability you must enter the surreal world of his inner mind and
 shut down the malfunctioning brain cells. Guide Sigmund through the
 Ego, Psyche and Id zones - each one 300 times the size of the screen -
 to locate the eight renegade neurons, entering them one by one to find
 and trigger their self-destruct system.
 .
 This is a port of Digital Psychosis' 1994 game for the Acorn
 Archimedes.

Package: asylum-data
Description-md5: 6c6f7d859658d52ef7ab4528fdf8f832
Description-en: surreal platform shooting game - data files
 This package contains data files required by the game Asylum.

Package: asymptote
Description-md5: c1b6aa3ffb76bbaec115cd7c61f16b76
Description-en: script-based vector graphics language inspired by MetaPost
 Asymptote is a powerful script-based vector graphics language for technical
 drawings, inspired by MetaPost but with an improved C++-like syntax.
 Asymptote provides for figures the same high-quality level of typesetting that
 LaTeX does for scientific text.

Package: asymptote-doc
Description-md5: 2f7e3ab8fbae0f76cb0781d5264da521
Description-en: documentation and examples for asymptote
 Asymptote is a powerful descriptive vector graphics language that
 provides a natural coordinate-based framework for technical drawing.
 This package provides documentation and examples.

Package: asymptote-x11
Description-md5: 4a8a76c4dfeb7fe35c1cd6427e34ad7a
Description-en: script-based vector graphics language inspired by MetaPost
 Asymptote is a powerful script-based vector graphics language for technical
 drawings, inspired by MetaPost but with an improved C++-like syntax.
 Asymptote provides for figures the same high-quality level of typesetting that
 LaTeX does for scientific text.
 .
 In the event that adjustments to the final figure are required, the
 preliminary Graphical User Interface (GUI) xasy included with Asymptote allows
 you to move graphical objects and draw new ones. The modified figure can then
 be saved as a normal Asymptote file.

Package: atanks
Description-md5: e17e65ae97c964a6c5e41e7dbebed8c0
Description-en: tank-battling game
 Atomic tanks is a multi-player game in which you attempt to destroy
 other tanks while trying to protect your own. You earn money for
 destroying other tanks; with this money you can buy upgrades and better
 weapons for your tank.
 .
 This game is similar Scorched Earth or the Worms series of games.

Package: atanks-data
Description-md5: 2ba28ec557882b1919101487ae24cb1e
Description-en: data files for Atomic tanks
 Atomic tanks is a multi-player game in which you attempt to destroy
 other tanks while trying to protect your own. You earn money for
 destroying other tanks; with this money you can buy upgrades and better
 weapons for your tank.
 .
 This game is similar Scorched Earth or the Worms series of games.
 .
 This package holds the data files needed for Atomic tanks.

Package: aterm
Description-md5: b68d24eea8a97be8c689e7434796aee0
Description-en: dummy transitional package for rxvt-unicode
 Aterm was a fork of rxvt; its changes have long since been merged into
 rxvt-unicode.  This is a dummy transitional package; once you've migrated
 your session startup to not call /usr/bin/aterm anymore, it can be safely
 removed.

Package: aterm-ml
Description-md5: 74d06f4307f52a114ea92accc707442d
Description-en: dummy transitional package for rxvt-unicode
 Aterm was a fork of rxvt; its changes have long since been merged into
 rxvt-unicode.  This is a dummy transitional package; once you've migrated
 your session startup to not call /usr/bin/?aterm anymore, it can be safely
 removed.

Package: atf-sh
Description-md5: 74f485442e734fcab92eec0b15ac3ac8
Description-en: Automated Test Framework (shell library)
 The Automated Testing Framework (ATF) is a collection of libraries
 to implement test programs in a variety of languages.
 .
 ATF libraries provide an API for writing test programs.
 The test programs can be written in C, C++, or POSIX shell.
 ATF based test programs can be run manually, or via automation.
 .
 Kyua is the preferred execution engine for ATF test programs.
 .
 This package ships the atf-sh tool and the corresponding shell
 library

Package: atfs
Description-md5: 6bb6b7d384e1db5ce1a7993e9ca0c9b0
Description-en: Attributed File System (AtFS)
 AtFS is a storage system supporting multiple versions of files and
 associating an arbitrary number of application defined attributes
 of the form "name=value" with each version. AtFS comes as a function
 library that is meant as an extension to the UNIX file system. It does
 this without the need for kernel modifications and without imposing any
 restrictions to existing file system applications. It is part of ShapeTools,
 a software configuration management system.

Package: atfs-dev
Description-md5: c338d5becce48d441ef4aa8dc2e5b2b0
Description-en: Attributed File System (AtFS development libraries)
 AtFS is a storage system supporting multiple versions of files and
 associating an arbitrary number of application defined attributes
 of the form "name=value" with each version. It is part of ShapeTools, a
 software configuration management system.
 .
 This package contains the development files needed to compile and link
 programs with AtFS.

Package: atftp
Description-md5: f898d8c7e405abc5e5a5efa0aefed626
Description-en: advanced TFTP client
 Interactive client for the Trivial File Transfer Protocol (TFTP). Its
 usage is mainly for testing and debugging the Atftp server. TFTP client
 is usually implemented in BIOS and bootstraps programs like pxelinux when
 booting from LAN. Atftp also supports non-interactive invocation for
 easy use in scripts.

Package: atftpd
Description-md5: 3eb0b0a3696106c263bd0289e3d92e83
Description-en: advanced TFTP server
 Multi-threaded TFTP server implementing all options (option extension and
 multicast) as specified in RFC1350, RFC2090, RFC2347, RFC2348 and RFC2349.
 Atftpd also supports multicast protocol known as mtftp, defined in the PXE
 specification. The server supports being started from inetd(8) as well as
 in daemon mode using init scripts.

Package: atheme-services
Description-md5: d2e42cf829c1539e7632d45390fec88b
Description-en: modular IRC services daemon
 atheme-services is a portable, secure set of open source, modular IRC
 services, designed to run on many IRC server implementations.
 .
 Unlike alternative packages, atheme-services' core is minimalistic,
 providing only core functionality. atheme-services is a complete services
 set, excluding features designed for oper abuse.

Package: atheme-services-contrib
Description-md5: b20ab69780c797014b0f930644646a19
Description-en: modular IRC services daemon - contrib modules
 atheme-services is a portable, secure set of open source, modular IRC
 services, designed to run on many IRC server implementations.
 .
 Unlike alternative packages, atheme-services' core is minimalistic,
 providing only core functionality. atheme-services is a complete services
 set, excluding features designed for oper abuse.
 .
 This package contains the contrib modules, which may be either silly or
 undesired in a standard install.

Package: athena-jot
Description-md5: 29052e935eaf37d159857d428a990876
Description-en: print out increasing, decreasing, random, or redundant data, one per line
 Athena jot - or simply jot - prints out increasing, decreasing, random,
 or redundant data, usually numbers, one per line.
 .
 It's a tiny C program very useful within shell scripts.

Package: atig
Description-md5: ee3b4a5de0ee3abc4b24d8f177e4061d
Description-en: Another Twitter IRC gateway
 Atig.rb is Twitter Irc Gateway. You can tweet via your favorite IRC
 client.
 .
 Feature:
  - OAuth authentication
  - CTCP Action: reply, retweet, search, thread...
  - List, Mention, Retweet as IRC channel
  - Twitter StreamAPI support

Package: atlc
Description-md5: 5e8c27d7da8a2933f83139e519b5c1e2
Description-en: Arbitrary Transmission Line Calculator
 atlc is a computer aided design (CAD) package for the design and analysis
 of electrical transmission lines and directional couplers of totally
 arbitrary cross section and an arbitrary number of different dielectrics.
 .
 By analysis, it is assumed one requires finding the electrical properties
 of a transmission line or coupler, where the physical dimensions of the
 device are known.  By design, it is assumed one requires a transmission
 line or coupler to have certain electrical properties and one wishes to
 find how to physically realise such a structure
 .
 atlc likely to be of use to radio amateurs, professional RF engineers,
 students and academics.
 .
 More information on atlc is available at http://atlc.sourceforge.net/

Package: atlc-examples
Description-md5: 914c88b6b65fe58cf49cbcffda506b45
Description-en: Examples for Arbitrary Transmission Line Calculator
 Contains a large number of example structures for analysis with atlc.

Package: atm-tools
Description-md5: 5f4797b287ee785c9fbfa5f0ef3323ce
Description-en: Base programs for ATM in Linux, the net-tools for ATM
 This package provides all the basic programs needed for setting up,
 monitoring and tuning ATM networks. Such as:
  * atmsigd, an ATM signal daemon that implements the ATM UNI protocol.
  * atmtcp, a tool to setup ATM over TCP connections.
  * atmarpd, an implementation of the ATMARP protocol (RFC1577, RFC1755)
  * zeppelin, an ATM LAN Emulation client daemon
  * les and bus, ATM LAN Emulation service daemons
 .
 Notice that upstream still flags these tools as experimental software and
 says that there is still a number of known bugs and issues. The
 software is, however, in productive use at a number of sites and is
 working reliably.

Package: atom4
Description-md5: 533842e7cc3a334afcea5be72b906d9b
Description-en: Original two-player color puzzle game
 Atom-4 is a two-player color manipulation game played with colored
 spherical pieces on a board divided into equilateral triangles. The
 player who first makes a row of 4 pieces of the right color wins.
 However, the players do not directly play pieces of the winning color;
 they must construct their winning pieces via color changes that happen
 to neighbouring pieces whenever a new piece is placed on the board.
 .
 Atom-4 supports both a curses-based text interface and an X11 interface.

Package: atomicparsley
Description-md5: 9a1dc7809b64891fcc4ed015ca4e275b
Description-en: read, parse and set metadata of MPEG-4 and 3gp files
 AtomicParsley is a lightweight command line program
 for reading, parsing and setting metadata into MPEG-4 files
 supporting these styles of metadata:
 .
  * iTunes-style metadata
    into .mp4, .m4a, .m4p, .m4v, .m4b files
  * 3gp-style assets
    (3GPP TS 26.444 version 6.4.0 Release 6 specification conforming)
    in 3GPP, 3GPP2, MobileMP4 & derivatives
  * ISO copyright notices at movie & track level
    for MPEG-4 & derivative files
  * uuid private user extension text & file embedding
    for MPEG-4 & derivative files

Package: atomix
Description-md5: 855f8eac25c9eeab5e70e051ceb71fe8
Description-en: puzzle game for building molecules out of separate atoms
 Atomix is an educational game designed for GNOME in which one has to
 build molecules, ranging from simple inorganic ones to some extremely
 complex organic ones, out of separate atoms.
 .
 The first levels can be rather easy, but added complexity always comes
 with the next level, so that several minutes (or much longer than that)
 can be spent on each single level.

Package: atomix-data
Description-md5: 4bd5135dbcb97472226c3e5912739c35
Description-en: puzzle game for building molecules out of separate atoms -- data
 Atomix is an educational game designed for GNOME in which one has to
 build molecules, ranging from simple inorganic ones to some extremely
 complex organic ones, out of separate atoms.
 .
 The first levels can be rather easy, but added complexity always comes
 with the next level, so that several minutes (or much longer than that)
 can be spent on each single level.
 .
 This package contains the architecture independent files like levels and
 graphics for atomix.

Package: atool
Description-md5: f28cbc5b8e583a5706f54626132972bc
Description-en: tool for managing file archives of various types
 atool is a script for managing file archives of various types (tar,
 tar+gzip, zip etc). The main command is probably aunpack,
 extracting files from an archive. It overcomes the dreaded "multiple
 files in archive root" problem by first extracting to a unique
 subdirectory, and then moving back the files if possible. aunpack
 also prevents local files from being overwritten by mistake.
 .
 Other commands provided are apack (create archives), als (list files
 in archives), and acat (extract files to standard out).

Package: atop
Description-md5: 2a32ea85feda1b5ec3fb2dbfd516b9ba
Description-en: Monitor for system resources and process activity
 Atop is an ASCII full-screen performance monitor, similar to the top command,
 but atop only shows the active system-resources and processes, and only shows
 the deviations since the previous interval.  At regular intervals, it shows
 system-level activity related to the CPU, memory, swap, disks and network
 layers, and it shows for every active process the CPU utilization in system
 and user mode, the virtual and resident memory growth, priority, username,
 state, and exit code. The process level activity is also shown for processes
 which finished during the last interval, to get a complete overview about the
 consumers of things such as CPU time.
 .
  Author: Gerlof Langeveld <gerlof@ATComputing.nl>

Package: atril
Description-md5: 4812dcf2412de5e958d1caec1a41bcc7
Description-en: MATE document viewer
 Atril is a simple multi-page document viewer.  It can display
 and print PostScript (PS), Encapsulated PostScript (EPS), DJVU, DVI and
 Portable Document Format (PDF) files.
 .
 When supported by the document, it also allows searching for text,
 copying text to the clipboard, hypertext navigation, and
 table-of-contents bookmarks.

Package: atril-common
Description-md5: b2ff604bc6e0b431f9db3e68f333c922
Description-en: MATE document viewer (common files)
 Atril is a simple multi-page document viewer.  It can display
 and print PostScript (PS), Encapsulated PostScript (EPS), DJVU, DVI and
 Portable Document Format (PDF) files.
 .
 This package contains the architecture independent files.

Package: atropos
Description-md5: 6d8a51e28a71effebfff42f9cbd1e5bc
Description-en: NGS read trimming tool that is specific, sensitive, and speedy
 Atropos is tool for specific, sensitive, and speedy trimming of NGS
 reads. It is a fork of the venerable Cutadapt read trimmer, with the
 primary improvements being:
 .
   1. Multi-threading support, including an extremely fast "parallel
      write" mode.
   2. Implementation of a new insert alignment-based trimming algorithm
      for paired-end reads that is substantially more sensitive and
      specific than the original Cutadapt adapter alignment-based
      algorithm. This algorithm can also correct mismatches between the
      overlapping portions of the reads.
   3. Options for trimming specific types of data (miRNA, bisulfite-seq).
   4. A new command ('detect') that will detect adapter sequences and
      other potential contaminants.
   5. A new command ('error') that will estimate the sequencing error
      rate, which helps to select the appropriate adapter- and quality-
      trimming parameter values.
   6. A new command ('qc') that generates read statistics similar to
      FastQC. The trim command can also compute read statistics both
      before and after trimming (using the '--stats' option).
   7. Improved summary reports, including support for serialization
      formats (JSON, YAML, pickle), support for user-defined templates
      (via the optional Jinja2 dependency), and integration with MultiQC.
   8. The ability to merge overlapping reads (this is experimental and
      the functionality is limited).
   9. The ability to write the summary report and log messages to
      separate files.
  10. The ability to read SAM/BAM files and read/write interleaved
      FASTQ files.
  11. Direct trimming of reads from an SRA accession.
  12. A progress bar, and other minor usability enhancements.

Package: ats-lang-anairiats
Description-md5: 26b1e6d0ce976285a37e07e6a856de0b
Description-en: Legacy ATS v1 language compiler, named Anairiats
 ATS is a programming language with a highly expressive type system
 rooted in the framework Applied Type System. In particular, both
 dependent types and linear types are available in ATS. The current
 implementation of ATS (ATS/Anairiats) is written in ATS itself. It
 can be as efficient as C/C++ and supports a variety of programming
 paradigms.
 .
 In addition, ATS contains a component ATS/LF that supports a form of
 (interactive) theorem proving, where proofs are constructed as total
 functions. With this component, ATS advocates a programming style
 that combines programming with theorem proving. Furthermore, this
 component may be used as a logical framework to encode various
 deduction systems and their (meta-)properties.
 .
 This package contains the compiler atsopt, the frontend atscc, and
 the lexer atslex.
 .
 This is the final release of ATS1. Please migrate your code to ATS2/Postiats,
 the compiler frontend patscc (patsopt), in the package ats2-lang.  This
 package is for legacy code and bootstrapping purposes.

Package: ats-lang-anairiats-doc
Description-md5: 31d44fdab4fb0808cc78a00ca88fc852
Description-en: Documentation for the ATS compiler Anairiats
 ATS is a programming language with a highly expressive type system
 rooted in the framework Applied Type System. In particular, both
 dependent types and linear types are available in ATS. The current
 implementation of ATS (ATS/Anairiats) is written in ATS itself. It
 can be as efficient as C/C++ and supports a variety of programming
 paradigms.
 .
 In addition, ATS contains a component ATS/LF that supports a form of
 (interactive) theorem proving, where proofs are constructed as total
 functions. With this component, ATS advocates a programming style
 that combines programming with theorem proving. Furthermore, this
 component may be used as a logical framework to encode various
 deduction systems and their (meta-)properties.
 .
 This package contains some documentation for the language.

Package: ats-lang-anairiats-examples
Description-md5: 3ca187940cc8bab1dca4d073f07d6399
Description-en: Examples for the ATS compiler Anairiats
 ATS is a programming language with a highly expressive type system
 rooted in the framework Applied Type System. In particular, both
 dependent types and linear types are available in ATS. The current
 implementation of ATS (ATS/Anairiats) is written in ATS itself. It
 can be as efficient as C/C++ and supports a variety of programming
 paradigms.
 .
 In addition, ATS contains a component ATS/LF that supports a form of
 (interactive) theorem proving, where proofs are constructed as total
 functions. With this component, ATS advocates a programming style
 that combines programming with theorem proving. Furthermore, this
 component may be used as a logical framework to encode various
 deduction systems and their (meta-)properties.
 .
 This package contains some examples of the language.

Package: ats2-lang
Description-md5: bb703d7d27f35e275fcdf1068a75cd10
Description-en: ATS version 2 programming language compiler
 ATS2 a.k.a. ATS/Postiats is a programming language with a highly expressive
 type system rooted in the framework Applied Type System. In particular, both
 dependent types and linear types are available in ATS. The current
 implementation of ATS (ATS/Postiats) is written in ATS (ATS/Anairiats) itself.
 It can be as efficient as C/C++ and supports a variety of programming
 paradigms.
 .
 In addition, ATS contains a component ATS/LF that supports a form of
 (interactive) theorem proving, where proofs are constructed as total
 functions. With this component, ATS advocates a programming style
 that combines programming with theorem proving. Furthermore, this
 component may be used as a logical framework to encode various
 deduction systems and their (meta-)properties.
 .
 This package contains the compiler patsopt and the frontend patscc.

Package: aubio-tools
Description-md5: f547b586ac9bea77fd66f890bb4e4736
Description-en: library for audio segmentation -- utilities
 aubio gathers a set of functions for audio signal segmentation and labelling.
 The library contains a phase vocoder, onset and pitch detection functions, a
 beat tracking algorithm and other sound processing utilities.
 .
 This package provides command line tools to run the different algorithms.

Package: auctex
Description-md5: 25baf0e24237a5e428db3cee78559130
Description-en: integrated document editing environment for TeX etc.
 AUCTeX is a comprehensive customizable integrated environment for writing
 input files for TeX/LaTeX/ConTeXt/Texinfo using GNU Emacs.  Currently
 XEmacs ships with its own AUCTeX version.
 .
 It supports processing source files by running TeX and related tools
 (such as output filters, post processors for generating indices and
 bibliographies, and viewers) from inside Emacs.  AUCTeX allows browsing
 through the errors reported by TeX, while it moves the cursor directly to
 the reported error, and displays some documentation for that particular
 error.  This will even work when the document is spread over several
 files.
 .
 AUCTeX can automatically indent LaTeX source, either line by line or for
 an entire document, and has a special outline feature which can greatly
 assist in getting an overview of a document.
 .
 AUCTeX is written entirely in Emacs Lisp, and may therefore be enhanced
 with new features for specific needs.  It comes with a large range of
 handy Emacs macros.  It is a GNU project, and documentation for all its
 features is accessible via the Emacs info browser.

Package: audacious
Description-md5: 2448b9b8910f8d08dd29bbbac89d54cd
Description-en: small and fast audio player which supports lots of formats
 Audacious is a fork of beep-media-player which supports Winamp skins
 and many codecs.
 .
 In the default install, the following codecs are supported:
 .
  * MP3
  * Ogg Vorbis / Theora
  * AAC and AAC+
  * FLAC
  * ALAC
  * Windows Media (WMA)
  * WAVE
 .
 Additionally, Audacious is extendable through plugins, and contains
 other useful features like LIRC support. Support for many more codecs
 can also be added through plugins.
 .
 This package contains the core player and its localization.

Package: audacious-dev
Description-md5: 28920a2526440e360b5883b63d424f31
Description-en: audacious development files
 Audacious is a fork of beep-media-player which supports Winamp skins
 and many codecs.
 .
 In the default install, the following codecs are supported:
 .
  * MP3
  * Ogg Vorbis / Theora
  * AAC and AAC+
  * FLAC
  * ALAC
  * Windows Media (WMA)
  * WAVE
 .
 Additionally, Audacious is extendable through plugins, and contains
 other useful features like LIRC support. Support for many more codecs
 can also be added through plugins.
 .
 This package contains the development libraries and header files
 required for developing components for audacious.
 .
 Please note that this package no longer contains libaudacious, as
 libaudacious was dropped in Audacious 1.4.

Package: audacious-plugins
Description-md5: a3b29e78b259c7b8df48cede64a27258
Description-en: Base plugins for audacious
 Audacious is a fork of beep-media-player which supports winamp skins
 and many codecs.
 .
 In the default install, the following codecs are supported:
 .
  * MP3
  * Ogg Vorbis
  * AAC and AAC+
  * FLAC
  * Windows Media (WMA)
  * Many module formats and much more!
 .
 Additionally, Audacious is extendable through plugins, and contains
 other useful features like LIRC support.
 .
 This package contains the plugins for Audacious.
  * Audio CD reading
  * MPEG support (mp3)
  * Ogg Vorbis support
  * Windows Media support (WMA)
  * AAC support
  * FLAC support
  * ALAC support
  * WAVE support
  * ALSA output
  * OSS output
  * Disk writer output
  * And many more!

Package: audacious-plugins-data
Description-md5: 1719d6de393b2f9bb46167b11f37780c
Description-en: Data files for Audacious plugins
 Audacious is a fork of beep-media-player which supports winamp skins
 and many codecs.
 .
 This package contains internationalized messages and skins for
 Audacious plugins.

Package: audacity
Description-md5: f3049c5343ef448931624eb10a0c6627
Description-en: fast, cross-platform audio editor
 Audacity is a multi-track audio editor for Linux/Unix, MacOS and
 Windows.  It is designed for easy recording, playing and editing of
 digital audio.  Audacity features digital effects and spectrum
 analysis tools.  Editing is very fast and provides unlimited
 undo/redo.
 .
 Supported file formats include Ogg Vorbis, MP2, MP3, WAV, AIFF, and AU.

Package: audacity-data
Description-md5: 33567c6e9f3ccd4163a600c05ed3f802
Description-en: fast, cross-platform audio editor (data)
 Audacity is a multi-track audio editor for Linux/Unix, MacOS and
 Windows.  It is designed for easy recording, playing and editing of
 digital audio.  Audacity features digital effects and spectrum
 analysis tools.  Editing is very fast and provides unlimited
 undo/redo.
 .
 This package contains the architecture-independent data files.

Package: audiofile-tools
Description-md5: 9bac591564495c32164bfa87879c2a7a
Description-en: sfinfo and sfconvert tools
 The audiofile library allows the processing of audio data to and from audio
 files of many common formats (currently AIFF, AIFF-C, WAVE, NeXT/Sun, BICS,
 and raw data).
 .
 This package contains the sfinfo and sfconvert tools.

Package: audiolink
Description-md5: 2ce7458549e4bc18c69a99b62327211c
Description-en: makes managing and searching for music easier
 AudioLink is a tool that makes searching for music on your local
 storage media easier and faster. Your searches can include a variety
 of criteria, like male artists, female artists, band, genre, etc.
 .
 It works with MP3 and Ogg Vorbis files and creates a MySQL database
 in which it stores the information about the music files. It creates
 symbolic links to the actual music files based on the search
 results. You can search for multiple fields, like artist, band,
 composer, lyricist, etc.

Package: audiotools
Description-md5: 1cc7a29972bbe821cd796837e1d01ead
Description-en: Collection of audio handling programs for the command line
 These include programs for CD extraction, track conversion from one
 audio format to another, track renaming and retagging, track
 identification, CD burning from tracks, and more. Supports
 internationalized track filenames and metadata using Unicode. Works
 with high-definition, multi-channel audio as well as CD-quality.
 Track conversion uses multiple CPUs or CPU cores if available to
 greatly speed the transcoding process. Track metadata can be
 retrieved from FreeDB, MusicBrainz or compatible servers.

Package: audispd-plugins
Description-md5: ecd45b16b4549199dcd0e47ca9b67251
Description-en: Plugins for the audit event dispatcher
 The audispd-plugins package provides plugins for the real-time
 interface to the audit system, audispd. These plugins can do things
 like relay events to remote machines or analyze events for suspicious
 behavior.

Package: audtty
Description-md5: 0b769a01c8b16c333d01d52d0ef1bcaa
Description-en: ncurses based frontend to audacious
 Audtty is an ncurses based terminal frontend to the Audacious
 Media Player. It is a fork of xmms-curses and is designed to be
 lightweight and intuitive to use.
 .
 Audtty makes it easy to control the Audacious Media Player from
 the command line whether locally or remotely.

Package: aufs-tools
Description-md5: 7cf963f9f359909978f61df40c9fc752
Description-en: Tools to manage aufs filesystems
 The aufs driver provides a union mount for Linux filesystems. It allows one
 to virtually merge the contents of several directories and/or stack them, so
 that file changes in the aufs union mount end up as changes in only one
 of the source directories.
 .
 This package contains utilities needed to configure aufs containers on-the-fly.

Package: augeas-doc
Description-md5: df6d79b56cf65fba9d747321e87a4f25
Description-en: Augeas lenses documentation
 Augeas parses configuration files described in lenses into a tree structure,
 which it exposes through its public API. Changes made through the API are
 written back to the initially read files.
 .
 Lenses are the building blocks of the file <-> tree transformation; they
 combine parsing a file and building the tree (the get transformation), with
 turning the tree back into an (updated) file (the put transformation).
 .
 This package contains the generated documentation for the lenses shipping in
 the augeas-lenses package.

Package: augeas-lenses
Description-md5: be11d5488843917c54ba7d49005b36de
Description-en: Set of lenses needed by libaugeas0 to parse config files
 Augeas parses configuration files described in lenses into a tree structure,
 which it exposes through its public API. Changes made through the API are
 written back to the initially read files.
 .
 Lenses are the building blocks of the file <-> tree transformation; they
 combine parsing a file and building the tree (the get transformation), with
 turning the tree back into an (updated) file (the put transformation).
 .
 The transformation works very hard to preserve comments and formatting
 details. It is controlled by ``lens'' definitions that describe the file
 format and the transformation into a tree. This package includes the official
 set of lenses.

Package: augeas-tools
Description-md5: 3910bb8edac0a7a2eb4c78437f67490b
Description-en: Augeas command line tools
 Augeas is a configuration editing tool. It parses configuration files in their
 native formats and transforms them into a tree. Configuration changes are made
 by manipulating this tree and saving it back into native config files.
 .
 This package provides command line tools based on libaugeas0:
 - augtool, a tool to manage configuration files.
 - augparse, a testing and debugging tool for augeas lenses.

Package: augustus
Description-md5: ab0f2f2c476597fc454d6e64ee4cdcaf
Description-en: gene prediction in eukaryotic genomes
 AUGUSTUS is a software for gene prediction in eukaryotic genomic sequences
 that is based on a generalized hidden Markov model (HMM), a probabilistic
 model of a sequence and its gene structure. After learning gene structures
 from a reference annotation, AUGUSTUS uses the HMM to recognize genes in a new
 sequence and annotates it with the regions of identified genes. External hints,
 e.g. from RNA sequencing, EST or protein alignments etc. can be used to guide
 and improve the gene finding process. The result is the set of most likely gene
 structures that comply with all given user constraints, if such gene
 structures exist.
 AUGUSTUS already includes prebuilt HMMs for many species, as well as scripts
 to train custom models using annotated genomes.

Package: augustus-data
Description-md5: ef2877fe1257905e04a7260a864d9c29
Description-en: data files for AUGUSTUS
 This package contains pre-trained species models and other required
 architecture-independent data files for the AUGUSTUS gene finder. These are
 needed to identify gene structures in these or related organisms.

Package: augustus-doc
Description-md5: 125f046d2967e2236b814f18e9f88402
Description-en: documentation files for AUGUSTUS
 This package contains documentation for AUGUSTUS: a comprehensive manual-style
 README both for AUGUSTUS in general as well as for its comparative gene
 prediction (cgp) mode, as well as a HTML tutorial.

Package: aumix
Description-md5: 3c87dee05b75dd9a7896d59da2819aa7
Description-en: Simple text-based mixer control program
 aumix is a small, easy-to-use program to control the mixer of your sound
 card. It runs in text mode using the ncurses library, or from the command
 line (non-interactively). It can read default settings from a file, and it
 can also automatically save and restore the mixer settings at shutdown and
 boot.
 .
 A wrapper script xaumix is provided that finds and invokes xterm or a
 replacement. This is primarily for creating the best sized terminal window.
 If you want a true graphical interface, install aumix-gtk instead. That has
 the same functionality as this one in addition to offering a nice X GUI.

Package: aumix-common
Description-md5: c660bd1ccb789700e0308531d9ce5eaf
Description-en: Simple text-based mixer control program (common files)
 aumix is a small, easy-to-use program to control the mixer of your sound
 card. It runs in text mode using the ncurses library, or from the command
 line (non-interactively). It can read default settings from a file, and it
 can also automatically save and restore the mixer settings at shutdown and
 boot.
 .
 This package provides files common to aumix and aumix-gtk.

Package: aumix-gtk
Description-md5: 7e5664845a26145730897f87f48ac62a
Description-en: Simple mixer control program with GUI and text interfaces
 aumix is a small, easy-to-use program to control the mixer of your sound
 card. It runs in text mode using the ncurses library, or from the command
 line (non-interactively). It can read default settings from a file, and it
 can also automatically save and restore the mixer settings at shutdown and
 boot.
 .
 Additionally this version can be run as a GTK+ app, i.e. with a graphical
 interface.  It can also still run in text-only mode, though.

Package: auralquiz
Description-md5: 6cf62f4273490327c885662d405cec60
Description-en: simple music quiz game using your own music files
 auralquiz is a music quiz game that scans a users selected folder of music
 and creates a quiz using the tags from the audio files.  A sample of a
 random song is played and the player must answer a question about the
 sample from either multiple choice buttons or for hardcore difficulty,
 type in the correct answer. Up to 8 players can play in a turn based
 mode with scoring based on points, with more points given by answering
 the question faster.

Package: aurora
Description-md5: 4b3427d096ea3bb226f3a195b7d1773b
Description-en: communicate with an Aurora Magnetek Photovoltaic (solar) Power Inverter
 This program will communicate over serial or USB connections to Aurora
 Photovoltaic Inverters.  It can retrieve and process the statistics
 gathered by the inverter itself.

Package: austin
Description-md5: 620d7f4a5e6cd66265e4f28583d49cb8
Description-en: Frame stack sampler for CPython
 Austin is a Python frame stack sampler for CPython written in pure C. It
 samples the stack traces of a Python application so that they can be visualised
 and analysed. As such, it serves the basis for building powerful profilers for
 Python.
 .
 The most interesting use of Austin is probably in conjunction with FlameGraph
 to profile Python applications while they are running, without the need of
 instrumentation. This means that Austin can be used on production code with
 little or even no impact on performance.

Package: ausweisapp2
Description-md5: 393e987a80a01d3681767c539e4b736d
Description-en: Official authentication app for German ID cards and residence permits
 This app is developed and issued by the German government to be
 used for online authentication with electronic German ID cards
 and residence titles. To use this app, a supported RFID card
 reader or compatible NFC smart phone is required.

Package: auto-07p
Description-md5: f02e8a51c0b28c29e694a1b1bd92748f
Description-en: software for continuation and bifurcation problems in ODE
 AUTO can do a limited bifurcation analysis of algebraic systems
 of the form
   f(u,p) = 0,  f,u in Rn
 and of systems of ordinary differential equations of the form
   u'(t) = f(u(t),p),  f,u in Rn
 subject to initial conditions, boundary conditions, and integral
 constraints. Here p denotes one or more parameters. AUTO can also
 do certain continuation and evolution computations for parabolic
 PDEs.  It also includes the software HOMCONT for the bifurcation
 analysis of homoclinic orbits. AUTO is quite fast and can benefit
 from multiple processors; therefore it is applicable to rather
 large systems of differential equations.

Package: auto-apt-proxy
Description-md5: 0c8c4965d1f33ed60761fad8385682e5
Description-en: automatic detector of common APT proxy settings
 auto-apt-proxy installs itself as an APT proxy autodetector, and detects
 common setups by checking localhost and the network default gateway for
 well-known APT proxies such as apt-cacher-ng.
 .
 This package is most useful for development environments, and will Do The
 Right Thing for:
 .
   * build chroots, with a proxy running on the host system.
   * docker/lxc containers, with a proxy running on the host system.
   * Virtual machines with NAT networking, with a proxy running on the host
   * system.
   * any other system, with a proxy running on its default gateway.
 .
 The following APT proxy servers are supported and automatically detected:
   * apt-cacher-ng
   * approx
   * apt-cacher
   * any proxy advertised by an _apt_proxy._tcp SRV record in your domain
 .
 This package has a minimal set of dependencies in order to minimize the
 influence on systems where it is installed.
 .
 This package does not query mDNS SRV records, which would require avahi.
 If you need that, consider the `squid-deb-proxy-client` package instead.

Package: auto-complete-el
Description-md5: 1486647dc6940545616080d8e89e9bff
Description-en: transitional package for elpa-auto-complete
 This is a transitional package for elpa-auto-complete and can be
 safely removed after ainstallation.

Package: auto-install-el
Description-md5: 88fe9b5eed875d56b3d3ffc09e5463e2
Description-en: Auto install elisp file
 Effortlessly download, install, and update Elisp files from the
 web or from a local buffer.
 .
 Easily install packages from the Emacswiki ElispArea (with
 auto-complete of package name, and easy update of selected
 packages).
 .
 Optionally view changes from previous versions of packages before
 installation.

Package: auto-multiple-choice
Description-md5: 0993a6d966114ad2c83df44de9dec7d5
Description-en: Auto Multiple Choice - multiple choice papers management
 Utility to manage multiple choice questionnaires, with optionally
 mixed questions and answers. AMC provides automatic marking from papers'
 scans. Annotated papers are produced. Marks can be exported as a
 LibreOffice sheet file.

Package: auto-multiple-choice-common
Description-md5: 13fd59ce15de4e20f50c78e7555762b6
Description-en: Auto Multiple Choice - architecture independent files
 Utility to manage multiple choice questionnaires, with optionally
 mixed questions and answers. AMC provides automatic marking from papers'
 scans. Annotated papers are produced. Marks can be exported as a
 LibreOffice sheet file.
 .
 These are architecture independent files.

Package: auto-multiple-choice-doc
Description-md5: a1c8b271379539d05dfe9eededc4e1e8
Description-en: Auto Multiple Choice - HTML documentation
 Utility to manage multiple choice questionnaires, with optionally
 mixed questions and answers. AMC provides automatic marking from papers'
 scans. Annotated papers are produced. Marks can be exported as a
 LibreOffice sheet file.
 .
 This is AMC HTML documentation.

Package: auto-multiple-choice-doc-pdf
Description-md5: 4a99de514269bd2b3f487a775aabe399
Description-en: Auto Multiple Choice - PDF documentation
 Utility to manage multiple choice questionnaires, with optionally
 mixed questions and answers. AMC provides automatic marking from papers'
 scans. Annotated papers are produced. Marks can be exported as a
 LibreOffice sheet file.
 .
 This is AMC PDF documentation.

Package: autobahn-cpp-dev
Description-md5: 9db91b707d3e8ed92524b84df9da4e5c
Description-en: WAMP in C++ for Boost/Asio - development headers
 Autobahn|Cpp provides an implementation of the WebSocket and Web
 Application Messaging (WAMP) protocols.
 .
 WebSocket allows bidirectional real-time messaging on the Web and
 WAMP adds asynchronous Remote Procedure Calls and Publish &
 Subscribe on top of WebSocket.
 .
 Autobahn|Cpp is open-source, licensed under the Boost Software
 License. The API and implementation make use of modern C++ 11 and
 new asynchronous idioms using (upcoming) features of the standard
 C++ library, in particular Futures, Continuations and Lambdas.
 .
 This package includes the header files

Package: autobahn-cpp-doc
Description-md5: a62821e4a55eb0699e7e3678720c9d48
Description-en: WAMP in C++ for Boost/Asio - examples
 Autobahn|Cpp provides an implementation of the WebSocket and Web
 Application Messaging (WAMP) protocols.
 .
 WebSocket allows bidirectional real-time messaging on the Web and
 WAMP adds asynchronous Remote Procedure Calls and Publish &
 Subscribe on top of WebSocket.
 .
 Autobahn|Cpp is open-source, licensed under the Boost Software
 License. The API and implementation make use of modern C++ 11 and
 new asynchronous idioms using (upcoming) features of the standard
 C++ library, in particular Futures, Continuations and Lambdas.
 .
 This package includes the examples

Package: autoclass
Description-md5: de2c363babb95350ce70fe0aa77aa2d5
Description-en: automatic classification or clustering
 AutoClass solves the problem of automatic discovery of classes in data
 (sometimes called clustering, or unsupervised learning), as distinct
 from the generation of class descriptions from labeled examples
 (called supervised learning).  It aims to discover the "natural"
 classes in the data.  AutoClass is applicable to observations of
 things that can be described by a set of attributes, without referring
 to other things.  The data values corresponding to each attribute are
 limited to be either numbers or the elements of a fixed set of
 symbols.  With numeric data, a measurement error must be provided.

Package: autoconf-archive
Description-md5: f1137e4316b07832b8b243d2230725af
Description-en: Autoconf Macro Archive
 Autoconf Macro Archive aims to provide a central repository of
 useful and tested Autoconf macros for software developers around the
 world. This package contains the complete content of the Autoconf
 Macro Archive as found at http://www.gnu.org/software/autoconf-archive/.

Package: autoconf-dickey
Description-md5: 4aec1b3d39600b35a6836d343a1c5afc
Description-en: automatic configure script builder (Thomas Dickey's version)
 This hacked version of autoconf is required to bootstrap ncurses and
 some other packages maintained upstream by Thomas Dickey. It should
 not be used for other purposes.

Package: autoconf2.13
Description-md5: badc6afb3d12de0742151fd50301c3cd
Description-en: automatic configure script builder (obsolete version)
 Obsolete compatibility package of Autoconf 2.13.  For use only with
 antique packages that have never been updated to use Autoconf 2.50+.

Package: autoconf2.64
Description-md5: 10ebda51cac38f72fa38461f25a84819
Description-en: automatic configure script builder (obsolete version)
 This obsolete version is required to build GCC (>= 4.3.3), newlib,
 and probably some others toolchain related packages.

Package: autocutsel
Description-md5: 450831838d8f25118b0f48989489441d
Description-en: Keep the X clipboard and the cutbuffer in sync
 This tracks changes in the server's cutbuffer and clipboard
 selection. when the clipboard is changed, it updates the
 cutbuffer. When the cutbuffer is changed, it owns the clipboard
 selection. The cutbuffer and clipboard selection are always
 synchronized. In the case of a VNC client since it synchronizes the
 Windows' clipboard and the server's cutbuffer, all three "clipboards"
 are always kept synchronized. When you copy some text in Windows, the
 cutbuffer and the clipboard selection are updated. When you copy text
 on the server using either the cutbuffer or the clipboard selection,
 the Windows's clipboard is always updated.

Package: autodia
Description-md5: 32916e665aac4a610d93d9eb24c36eee
Description-en: generates UML diagrams from perl or C++ code
 AutoDia creates UML files for use with dia. It scans your perl or c++
 code, and generates class diagrams in dia's native file format (XML).
 .
 Support for other languages can be provided with plugins.

Package: autodns-dhcp
Description-md5: cc930c20956907d1289ff68bb3b79a3c
Description-en: Automatic DNS updates for DHCP
 autodns-dhcp uses bind's dynamic update features to update a zonefile
 with hostnames from the dhcp leases file, this is done just once for each
 hostname as the IP, MAC address and name are settled on the dhcp
 configuration to have an static zone.

Package: autodock
Description-md5: cb07d52bd1e475f3264ac94629f75f4f
Description-en: analysis of ligand binding to protein structure
 AutoDock is a prime representative of the programs addressing the
 simulation of the docking of fairly small chemical ligands to rather big
 protein receptors. Earlier versions had all flexibility in the ligands
 while the protein was kept rather ridgid. This latest version 4 also
 allows for a flexibility of selected sidechains of surface residues,
 i.e., takes the rotamers into account.
 .
 The AutoDock program performs the docking of the ligand to a set of
 grids describing the target protein. AutoGrid pre-calculates these grids.

Package: autodock-getdata
Description-md5: 2a8fe1ca71b449ec7d69c8f0fe80facb
Description-en: instructions for getData to collect compounds
 This package provides instructions for getData to retrieve
 descriptions for sets of molecular compounds that can be used
 directly as input for autodock. The data is not provided
 direclty by this package. Only the instructions for the download
 are maintained here.
 .
 The FightAids@Home project of the World Community Grid publicly
 provides the input sets of their runs. The original structures
 come from the ZINC database and have been processed from the
 mol2 to pdbqt format by the Scripps institute:
  * asinex
  * chembridge_buildingblocks_pdbqt_1000split
  * drugbank_nutraceutics
  * drugbank_smallmol
  * fda_approved
  * human_metabolome_pdbqt_1000split
  * otava
  * zinc_natural_products
 .
 Please cite the ZINC database when using that data.

Package: autodock-test
Description-md5: 17207d13a0d0dc51dcec321cc1d8431a
Description-en: test files for AutoDock
 AutoDock is a prime representative of the programs addressing the
 simulation of the docking of fairly small chemical ligands to rather big
 protein receptors. Earlier versions had all flexibility in the ligands
 while the protein was kept rather ridgid. This latest version 4 also
 allows for a flexibility of selected sidechains of surface residues,
 i.e., takes the rotamers into account.
 .
 This package contain the test files for the AutoDock program.

Package: autodock-vina
Description-md5: ccc2890588539c77dfd8813255875f4e
Description-en: docking of small molecules to proteins
 AutoDock Vina is a program to support drug discovery, molecular
 docking and virtual screening of compound libraries. It offers
 multi-core capability, high performance and enhanced accuracy
 and ease of use.
 .
 The same institute also developed autodock, which is widely used.
 .
 O. Trott, A. J. Olson, AutoDock Vina: improving the speed and accuracy
 of docking with a new scoring function, efficient optimization and
 multithreading, Journal of Computational Chemistry 31 (2010) 455-461

Package: autofdo
Description-md5: da0fa2062b7c1cb6f47fee0ef211d7a1
Description-en: AutoFDO Profile Toolchain
 The package contains a tool to convert perf.data profile to AutoFDO
 profile that can be used by GCC and LLVM.

Package: autofs-hesiod
Description-md5: caf58cc4e5f7f3998e052ab3860d2108
Description-en: Hesiod map support for autofs
 Autofs controls the operation of the automount daemons. The
 automount daemons automatically mount filesystems when they
 are used and unmount them after a period of inactivity. This
 is done based on a set of pre-configured maps.
 .
 The kernel automounter implements an almost complete SunOS
 style automounter under Linux. A recent version of the kernel
 autofs4 module (builtin or separate) is required.
 .
 This is the Hesiod module of the autofs.

Package: autofs-ldap
Description-md5: 986dad815486127857fb80647532fa9c
Description-en: LDAP map support for autofs
 Autofs controls the operation of the automount daemons. The
 automount daemons automatically mount filesystems when they
 are used and unmount them after a period of inactivity. This
 is done based on a set of pre-configured maps.
 .
 The kernel automounter implements an almost complete SunOS
 style automounter under Linux. A recent version of the kernel
 autofs4 module (builtin or separate) is required.
 .
 This is the LDAP module of the autofs.

Package: autogen
Description-md5: 0d58326715b8d7163a06b3be785ab22a
Description-en: automated text file generator
 AutoGen is a tool designed for generating program files that contain
 repetitive text with varied substitutions. This is especially valuable if
 there are several blocks of such text that must be kept synchronized.
 .
 Included with AutoGen is a tool that virtually eliminates the hassle of
 processing options, keeping usage text up to date and so on. This tool
 allows you to specify several program attributes, innumerable options and
 option attributes, then it produces all the code necessary to parse and
 handle the command line and initialization file options.
 .
 This package contains the development tools. libopts25-dev contains the
 static libraries and header files. libopts25 contains the shared libraries.
 autogen-doc contains the PostScript and HTML documentation.

Package: autogen-doc
Description-md5: 1daff8c6c8ec754bad2bcc33cd6c5d9e
Description-en: automated text file generator - documentation
 AutoGen is a tool designed for generating program files that contain
 repetitive text with varied substitutions. This is especially valuable if
 there are several blocks of such text that must be kept synchronized.
 .
 This package contains the PostScript and HTML documentation.

Package: autogrid
Description-md5: b605a794a1c651278e0ddb8c69152707
Description-en: pre-calculate binding of ligands to their receptor
 The AutoDockSuite addresses the molecular analysis of the docking of
 a smaller chemical compounds to their receptors of known three-dimensional
 structure.
 .
 The AutoGrid program performs pre-calculations for the docking of a
 ligand to a set of grids that describe the effect that the protein has
 on point charges.  The effect of these forces on the ligand is then
 analysed by the AutoDock program.

Package: autogrid-test
Description-md5: d285530b87e4551d650af86e0e85ebb5
Description-en: test files for AutoGrid
 The AutoDockSuite addresses the molecular analysis of the docking of
 a smaller chemical compounds to their receptors of known three-dimensional
 structure.
 .
 This package contain the test files for the AutoGrid program.

Package: autojump
Description-md5: 71d66d6e65f1c151b90b631ac03fe6c3
Description-en: shell extension to jump to frequently used directories
 autojump provides a faster way to navigate your filesystem, with a
 "cd command that learns".
 .
 It works by maintaining a database of the directories you use the most from
 the command line, and allows you to "jump" to frequently used directories by
 typing only a small pattern.
 .
 To use autojump, you need to configure your shell to source
 /usr/share/autojump/autojump.sh on startup.

Package: autokey-common
Description-md5: dff860887044de0127679afce2ee3a98
Description-en: desktop automation utility - common data
 AutoKey is a desktop automation utility for Linux and X11. It allows the
 automation of virtually any task by responding to typed abbreviations and
 hotkeys. It offers a full-featured GUI that makes it highly accessible for
 novices, as well as a scripting interface offering the full flexibility and
 power of the Python language.
 .
 This package contains the common data shared between the various frontends.

Package: autokey-gtk
Description-md5: 5b4eada1c1f5004003f28c2b91584130
Description-en: desktop automation utility - GTK+ version
 AutoKey is a desktop automation utility for Linux and X11. It allows the
 automation of virtually any task by responding to typed abbreviations and
 hotkeys. It offers a full-featured GUI that makes it highly accessible for
 novices, as well as a scripting interface offering the full flexibility and
 power of the Python language.
 .
 This package contains the GTK+ frontend.

Package: autokey-qt
Description-md5: 9fed803291ed1a185e146301bb25eb55
Description-en: desktop automation utility - Qt version
 AutoKey is a desktop automation utility for Linux and X11. It allows the
 automation of virtually any task by responding to typed abbreviations and
 hotkeys. It offers a full-featured GUI that makes it highly accessible for
 novices, as well as a scripting interface offering the full flexibility and
 power of the Python language.
 .
 This package contains the Qt frontend.

Package: autolog
Description-md5: 20236d7e336740b03e756c970a0d3a77
Description-en: Log out idle users
 The  program reads the utmp file, entry by entry.  The username for each
 'user process' is compared to the entries in the configuration file.
 The first entry to match both the name, the group, and the tty line
 of the process will be used to conduct the automatic logout.

Package: automake-1.15
Description-md5: 12127f5bccf4c38c80c33e34f12556eb
Description-en: Tool for generating GNU Standards-compliant Makefiles
 Automake is a tool for automatically generating `Makefile.in's from
 files called `Makefile.am'.
 .
 The goal of Automake is to remove the burden of Makefile maintenance
 from the back of the individual GNU maintainer (and put it on the back
 of the Automake maintainer).
 .
 The `Makefile.am' is basically a series of `make' macro definitions
 (with rules being thrown in occasionally).  The generated
 `Makefile.in's are compliant with the GNU Makefile standards.
 .
 Automake 1.15 fails to work in a number of situations that Automake
 1.4, 1.6, 1.7, 1.8, 1.9, 1.10, 1.11 and 1.14 did, so previous versions are
 available as separate packages.

Package: automake1.11
Description-md5: f014fa395ec0acd07f7ea3fc5a958453
Description-en: Tool for generating GNU Standards-compliant Makefiles
 Automake is a tool for automatically generating `Makefile.in's from
 files called `Makefile.am'.
 .
 The goal of Automake is to remove the burden of Makefile maintenance
 from the back of the individual GNU maintainer (and put it on the back
 of the Automake maintainer).
 .
 The `Makefile.am' is basically a series of `make' macro definitions
 (with rules being thrown in occasionally).  The generated
 `Makefile.in's are compliant with the GNU Makefile standards.
 .
 Automake 1.11 is the legacy version of automake. The automake package
 should be preferred and this package should only be used with older
 software that doesn't support newer versions of automake.

Package: automysqlbackup
Description-md5: 9c8e4fa606e583f71f74faee893365ac
Description-en: daily, weekly and monthly backup for your MySQL database
 automysqlbackup creates backup every day, week and month for all of your MySQL
 database, to a configured folder. There's nothing to do but to install this
 package, and you'll rest assured that you have a way to go back in the history
 of your database.
 .
 This package may be unsafe if untrusted users may create databases and if no
 check on the syntax of the name of them is performed.

Package: autopilot-desktop
Description-md5: 99df27e48b3a55cb5ac3b22c0d393ac9
Description-en: Autopilot support for the ubuntu Desktop platform
 This metapackage exists to depend on the packages needed to install and use
 autopilot on the Ubuntu Desktop platform.

Package: autopostgresqlbackup
Description-md5: dcd99edb882742617b6d27f2116096aa
Description-en: Automated tool to make periodic backups of PostgreSQL databases
 autopostgresqlbackup is a shell script (usually executed from a cron job)
 designed to provide a fully automated tool to make periodic backups of
 PostgreSQL databases. autopostgresqlbackup extract databases into flat files
 in a daily, weekly or monthly basis.
 .
 autopostgresqlbackup is a PostgreSQL port of automysqlbackup.

Package: autoproject
Description-md5: 6a2ced0df448d7820927215269b2a24c
Description-en: create a skeleton source package for a new program
 autoproject interviews the user, then creates a source package for
 a new program which follows the GNU programming standards. The new
 package uses autoconf to configure itself, and automake to create
 the Makefile.
 .
 The idea is that you execute autoproject just once when you start
 a new project.  It will ask a few questions, then create a new
 directory and populate it with standard files, customized for the
 new project.
 .
 Optionally, the new package will use a command line parser generator.
 Currently, autoproject supports two parser generators: clig by Harald
 Kirsch <kir@iitb.fhg.de> (see http://wsd.iitb.fhg.de/software/), and
 autogen by Bruce Korb <bkorb@gnu.org> (see  http://autogen.sf.net/).

Package: autopsy
Description-md5: 37d2fa98885c3d41edc06647219ede8a
Description-en: graphical interface to SleuthKit
 The Autopsy Forensic Browser is a graphical interface to the command line
 digital forensic analysis tools in The Sleuth Kit. Together, The Sleuth Kit
 and Autopsy provide many of the same features as commercial digital forensics
 tools for the analysis of Windows and UNIX file systems (NTFS, FAT, FFS,
 EXT2FS, and EXT3FS).

Package: autoradio
Description-md5: 545b5fd25951527825ef965335d58246
Description-en: radio automation software
 Simple to use, starting from digital audio
 files, manage on-air broadcasting over a radio-station or
 web-radio. The main components are:
 .
    * Player (gstreamer): plays all your media files and send
      digital sound to an audio device or audio server
 .
    * Scheduler: real time manager for emission of special audio files
      like jingles, spots, playlist and programs; interact with player
      like supervisor User
 .
    * Interface: WEB interface to monitor the player and scheduler and
      admin the schedules for the complete control over your station
      format. The web interface allows you to easily publish podcasts
      that conform to the RSS 2.0 and iTunes RSS podcast specifications
      The web interface provide a "full compatible" ogg player.
 .
 Developed with Python, Django, Dbus it works in an production environment

Package: autorandr
Description-md5: 3521035ec39b136bfd84e43fb5f05f5e
Description-en: Automatically select a display configuration for connected devices
 Autorandr is a script for managing xrandr configurations based on the
 connected devices. It can be set up to automatically switch to a
 stored configuration whenever a change in the configuration is
 detected.

Package: autorenamer
Description-md5: e297943973ba6a34d809f673ee340b2c
Description-en: program to rename files to make them sort in given order
 This is a simple graphical program that lets you reorder the files using
 drag-and-drop and then changes the names of the files such that their
 alphabetical sorting matches the ordering you chose. You can also shuffle
 the files.
 .
 Currently the renaming consists of prepending each filename with a short
 number.
 .
 The program displays thumbnails of files using GNOME libraries.

Package: autorevision
Description-md5: b93bf2dbd99b418ca5796a52075cbb3b
Description-en: extracts revision metadata from your VCS repository
 Extracts metadata about the current revision from your repository.
 This program is meant to be used by project build systems to extract
 properties that can be used in software version strings. Repository
 types supported include git, hg, bzr, and svn. It can create files
 containing variable and macro definitions suitable for a variety of
 programming languages and other info formats. Emitted information
 includes the ID of the most recent commit, its branch, its date,
 and several other useful pieces of meta-information. There is
 support for reading and writing a cache file so autorevision will
 remain useful during a build from an unpacked distribution tarball.

Package: autossh
Description-md5: afc4e3df7fe4354ae0619b353327cc51
Description-en: Automatically restart SSH sessions and tunnels
 autossh is a program to start an instance of ssh and monitor it, restarting it
 as necessary should it die or stop passing traffic. The idea is from rstunnel
 (Reliable SSH Tunnel), but implemented in C. Connection monitoring is done
 using a loop of port forwardings. It backs off on the rate of connection
 attempts when experiencing rapid failures such as connection refused.

Package: autosuspend
Description-md5: a685c5b8875392f83bcb0a0d75b7bbee
Description-en: daemon to suspend a system in case of inactivity
 A daemon to automatically suspend and wake up a system. Inactivity and wake up
 times are determined by a set of configurable checks. Autosuspend periodically
 executes these checks, and if none of them indicated activity, the system is
 suspended and automatically woken up if necessary.
 .
 Autosuspend does not depend on X11 and desktop environments and is therefore
 specifically intended for (home) servers. Most available checks address these
 usage scenarios. Yet, also the X11 idle time can be used as a check to support
 graphical use cases.

Package: autotalent
Description-md5: 6ddf40d4dec90ae8975c617deab7997a
Description-en: pitch correction LADSPA plugin
 Autotalent is a real-time pitch correction plugin. Users can specify
 the notes that a singer is allowed to hit, and Autotalent makes sure
 that they do. Autotalent may be used for more exotic effects, like
 the Cher / T-Pain effect, making your voice sound like a chiptune,
 adding artificial vibrato, or messing with your formants. Autotalent
 can also be used as a harmonizer that knows how to sing in the scale
 with you. Or, you can use Autotalent to change the scale of a melody
 between major and minor or to change the musical mode.
 .
 Autotalent was designed from the ground up to process musical melodies,
 whether sung or played on some kind of instrument. As long as you
 give it a monophonic source (i.e. a melody in a single, 1-channel
 track), you can usually expect pretty good results. So this means that
 if you're in the business of processing vocal harmonies, you should
 apply it to each monophonic vocal part separately. If in the spirit of
 experimentation you're daring enough to try tuning or pitch shifting
 an entire chord using one instance of the plugin (again not what it's
 meant for), you'll hear gritty nastiness.

Package: avahi-discover
Description-md5: bd2a23e6143f793e37bb138e29fb30b9
Description-en: Service discover user interface for avahi
 Avahi is a fully LGPL framework for Multicast DNS Service Discovery.
 It allows programs to publish and discover services and hosts
 running on a local network with no specific configuration. For
 example you can plug into a network and instantly find printers to
 print to, files to look at and people to talk to.
 .
 This package contains a user interface for discovering services.

Package: avahi-dnsconfd
Description-md5: 116a8cc3e8edfd55e1444b8100a0bf7f
Description-en: Avahi DNS configuration tool
 Avahi is a fully LGPL framework for Multicast DNS Service Discovery.
 It allows programs to publish and discover services and hosts
 running on a local network with no specific configuration. For
 example you can plug into a network and instantly find printers to
 print to, files to look at and people to talk to.
 .
 This tool listens on the network for announced DNS servers and passes
 them to resolvconf so it can use them. This is very useful on autoconfigured
 IPv6 networks.

Package: avahi-ui-utils
Description-md5: 6a2d4e446eb92bf74181ccf3974335d5
Description-en: Avahi GTK+ utilities
 Avahi is a fully LGPL framework for Multicast DNS Service Discovery.
 It allows programs to publish and discover services and hosts
 running on a local network with no specific configuration. For
 example you can plug into a network and instantly find printers to
 print to, files to look at and people to talk to.
 .
 This package contains some small GTK+ utilities to discover ssh and vnc
 servers.

Package: avarice
Description-md5: 6a0fec7ecb8a2d3dbd906f20f898e292
Description-en: use GDB with Atmel AVR debuggers
 AVaRICE is a program which interfaces the GNU Debugger with the AVR JTAG ICE,
 and other debuggers, available from Atmel. It connects to gdb via a TCP socket
 and communicates via gdb's "serial debug protocol".
 .
 This protocol allows gdb to send commands like "set/remove breakpoint" and
 "read/write memory". AVaRICE translates this commands into the Atmel protocol
 used to control the JTAG ICE (or other) debugger.
 .
 Because the GDB-AVaRICE connection is via a TCP socket, the two programs do
 not need to run on the same machine.
 .
 The currently supported debuggers are:
 .
  * JTAG ICE mkI
  * JTAG ICE mkII
  * AVR Dragon

Package: avce00
Description-md5: ac90419b66d8713d5218f27320029d1e
Description-en: Conversion of ESRI Arcinfo Vector Coverage in E00 format
 AVCE00 is a C library and group of tools that makes Arcinfo (binary)
 Vector Coverages appear as E00. It allows you to read and write binary
 coverages just as if they were E00 files.

Package: averell
Description-md5: 32fdec90a257b1cd9b896eb50cdcb603
Description-en: incredibly stupid web server
 averell is an incredibly simple, but fast, web server based on the
 erlang/OTP application cowboy.
 .
 It is as simple to use as starting in a directory to serve it on
 port 8000 (default). Basic options include: enabling CORS,
 changing port, redirecting directories to index.html, etc.

Package: avfs
Description-md5: bce08fbc36fd7b8e3c454f36f0daf699
Description-en: virtual filesystem to access archives, disk images, remote locations
 This FUSE-base VFS (Virtual FileSystem) enables all programs to look inside
 archived or compressed files, or access remote files without recompiling the
 programs or changing the kernel.
 .
 At the moment it supports floppies, tar and gzip files, zip, bzip2, ar and rar
 files, ftp sessions, http, webdav, rsh/rcp, ssh/scp. Quite a few other
 handlers are implemented with the Midnight Commander's external FS.

Package: aview
Description-md5: 2fbeb12a441ff4bf9be7b896df3babc8
Description-en: A high quality ASCII art image viewer and video player
 aview is a high quality ASCII art image viewer and video player. It is
 especially useful with a text-based browser such as lynx, links or w3m.
 .
 It supports the pnm, pgm, pbm and ppm image formats, as well as
 the FLI and FLC video formats. It also supports output via stdio,
 (n)curses and S-Lang and even has support for gpm.
 .
 Features
  * High quality ASCII art rendering
  * Portable
  * Save into many formats (HTML, text, ANSI, more/less etc...)
  * Contrast, Bright, Gamma control
  * Image zooming/unzooming
  * Three dithering modes
  * Hidden "bonus" features :)
  * Inversion
  * Support for bright, dim, inverse attributes/extended character set

Package: avis
Description-md5: 3ed9cdb83a30dc66c0412d3d5ffc747e
Description-en: high-performance publish/subscribe event router
 Avis is a high-performance event router. It provides a fast
 publish/subscribe event routing service compatible with the commercial
 Elvin implementation developed by Mantara Software.

Package: avldrums.lv2
Description-md5: 34869f0513089523653045953d32e6f5
Description-en: Drum Sample Player Plugin
 avldrums.lv2 is a simple Drum Sample Player Plugin,
 dedicated to the http://www.bandshed.net/avldrumkits/
 .
 This package provides the LV2 plugin.

Package: avldrums.lv2-data
Description-md5: cd119affdff4667f35338602278c4a71
Description-en: Drum Sample Player Plugin - data
 avldrums.lv2 is a simple Drum Sample Player Plugin,
 dedicated to the http://www.bandshed.net/avldrumkits/
 .
 This package provides soundfonts files.

Package: avogadro
Description-md5: f18c3f1991d48f1decf97743ceaac81f
Description-en: Molecular Graphics and Modelling System
 Avogadro is a molecular graphics and modelling system targeted at molecules
 and biomolecules.  It can visualize properties like molecular orbitals or
 electrostatic potentials and features an intuitive molecular builder.
 .
 Features include:
  * Molecular modeller with automatic force-field based geometry optimization
  * Molecular Mechanics including constraints and conformer searches
  * Visualization of molecular orbitals and general isosurfaces
  * Visualization of vibrations and plotting of vibrational spectra
  * Support for crystallographic unit cells
  * Input generation for the Gaussian, GAMESS and MOLPRO quantum chemistry
    packages
  * Flexible plugin architecture and Python scripting
 .
 File formats Avogadro can read include PDB, XYZ, CML, CIF, Molden, as well as
 Gaussian, GAMESS and MOLPRO output.

Package: avogadro-utils
Description-md5: 31bf0871531f4974bbcb0be82fe4ba5f
Description-en: Molecular Graphics and Modelling System (library)
 Avogadro is a molecular graphics and modelling system targeted at small
 to medium molecules.  It can visualize properties like molecular orbitals or
 electrostatic potentials and features an intuitive molecular builder.
 .
 This package provides avogadro utility programs:
   avobabel
   avocjsontocml
   bodrparse
   encodefile
   qube
   resdataparse

Package: avr-evtd
Description-md5: a6262c1907caa8e58477a92c99fbf2c2
Description-en: AVR watchdog daemon for Linkstation/Kuroboxes
 avr-evtd is a simple and small user space interface to the Linkstation
 AVR micro-controller. It doesn't have a lot of special features, but
 it's main task is to provide 'keep-alive' messages to the Linkstation's
 on-board AVR device.
 .
 This device controls/monitors the fan, various LEDs, timed power up and
 two buttons. This daemon provides the necessary initialisation to the
 device and also stimulates the LEDs depending on various fault
 conditions. It also monitors a power button (located at the front) and
 a reset button (located at the rear).

Package: avr-libc
Description-md5: f8da43e684408fb968aa4789b21feef2
Description-en: Standard C library for Atmel AVR development
 Standard library used to the development of C programs for the
 Atmel AVR micro controllers. This package contains static
 libraries as well as the header files needed.

Package: avra
Description-md5: 2403543900a2a45a9c78d3a06684dfae
Description-en: assembler for Atmel AVR microcontrollers
 Avra is an assembler for the Atmel's family of AVR 8-bit RISC
 microcontrollers.  It is mostly compatible with Atmel's own assembler,
 but adds new features such as better macro support and additional
 preprocessor directives.

Package: avrdude
Description-md5: 39f53585d0a5d8a4c5c1d57f7789eace
Description-en: software for programming Atmel AVR microcontrollers
 AVRDUDE is an open source utility to download/upload/manipulate the
 ROM and EEPROM contents of AVR microcontrollers using the in-system
 programming technique (ISP).

Package: avrdude-doc
Description-md5: 0b6fe5ae5ad13f400d3869565ce43390
Description-en: documentation for avrdude
 AVRDUDE is an open source utility to download/upload/manipulate the
 ROM and EEPROM contents of AVR microcontrollers using the in-system
 programming technique (ISP).
 .
 This package contains documentation for configuring and running
 AVRDUDE.

Package: avro-bin
Description-md5: 54199c070e9d8ade79101d975ef354cf
Description-en: Apache Avro C utilities (avro-c)
 Apache Avro is a data serialization system. Avro provides rich data
 structures; a binary data format; and a container file format, to store
 Avro-encoded data persistently.
 .
 This package provides the "avro-c" implementation of Apache Avro in C.
 The C implementation supports:
 .
  * binary encoding/decoding of all primitive and complex data types
  * storage to an Avro Object Container File
  * schema resolution, promotion and projection
  * validating and non-validating mode for writing Avro data
 .
 The C implementation of Avro lacks RPC support.
 .
 This package contains the avro-c command line utilities.

Package: avrp
Description-md5: e736195b08d312d733e66c0306246ef6
Description-en: Programmer for Atmel AVR microcontrollers
 Avrp is a FLASH/EEPROM programmer for Atmel's family of AVR 8-bit
 RISC microcontrollers. It can also program the Atmel AT89 series
 microcontrollers. It supports at least four different programming
 devices including Atmel's own AVR development board and in-circuit
 programming.

Package: awardeco
Description-md5: 78132ed87ead2aa2fd98ad06f46309ab
Description-en: Decompress flashfiles equipped with an AWARD BIOS
 Awardeco is a program which can decompress BIOS images which
 contain an AWARD BIOS.

Package: away
Description-md5: 70a325e436fbdf1c902fbc67b949d259
Description-en: Terminal locking program
 Away is a simple program that locks your terminal, checks for new
 mail in any given number of mailboxes, and lets others know why you
 are inactive.
 .
 Away puts the message specified why your are away into the output of
 the 'w' command, so that users looking for you can see that and why you
 are away (and not only idle for some time)
 .
 Away will also notify you if you have new mail. By default Away only
 checks for mail in a user's $MAIL file, but by using the awayrc file,
 a user can configure any given number of mailboxes to be checked.
 .
 Away also uses PAM to lock your terminal in the way xlock locks an X11
 session.

Package: awesfx
Description-md5: 01fc69154e80cb103411b596b36aa4ab
Description-en: utility programs for AWE32/64 and Emu10k1 driver
 This package contains the following programs:
  - asfxload     SoundFont file loader (ALSA)
  - sfxload      SoundFont file loader (OSS)
  - setfx        Chorus/reverb effect loader
  - aweset       Change the running mode of AWE driver
  - sf2text      Convert SoundFont to readable text
  - text2sf      Convert from text to SoundFont file
  - gusload      GUS PAT file loader
  - sfxtest      Example program to control AWE driver
 .
 The package includes a collection of SoundFont managing routines
 called AWElib.  By default, AWElib is installed as a static library.

Package: awesome
Description-md5: 692af96918d4ae3a55f215394ce2421a
Description-en: highly configurable X window manager
 awesome manages windows dynamically in floating or tiled layouts. It is
 primarily targeted at power users, developers, and all those dealing with
 everyday computing tasks and looking for fine-grained control over their
 graphical environment.
 .
 It is highly extensible and scriptable via the Lua programming language,
 providing an easy-to-use and very well documented API to configure its
 behavior.
 .
 awesome uses tags instead of workspaces, which gives better flexibility
 in displaying windows, and can be entirely keyboard-driven, not needing a
 mouse. It also supports multi-headed configurations; uses XCB instead of
 Xlib for better performance; implements many freedesktop standards; and
 can be controlled over D-Bus from awesome-client.

Package: awesome-doc
Description-md5: a847270b780666b64cfc908b31c2bcf0
Description-en: highly configurable X window manager - documentation
 awesome manages windows dynamically in floating or tiled layouts. It is
 primarily targeted at power users, developers, and all those dealing with
 everyday computing tasks and looking for fine-grained control over their
 graphical environment.
 .
 It is highly extensible and scriptable via the Lua programming language,
 providing an easy-to-use and very well documented API to configure its
 behavior.
 .
 awesome uses tags instead of workspaces, which gives better flexibility
 in displaying windows, and can be entirely keyboard-driven, not needing a
 mouse. It also supports multi-headed configurations; uses XCB instead of
 Xlib for better performance; implements many freedesktop standards; and
 can be controlled over D-Bus from awesome-client.
 .
 This package contains the API documentation for awesome.

Package: awesome-extra
Description-md5: d686459195b257a6563583c5d18876fe
Description-en: additional modules for awesome
 This is a set of additional modules for the awesome window manager.
 .
 It contains:
  * bashets, use your shell scripts as content providers for widgets
  * flaw, object oriented library providing a thin abstraction layer
    above awesome widgets
  * cyclefocus, provides methods to cycle through most recently used clients
  * freedesktop, a module for Freedesktop.org menu and desktop icon support
  * obvious, a set of several widgets (WiFi link quality, battery usage, etc),
    superseding wicked
  * revelation, expose like functionality
  * tyrannical, a simple tag management engine
  * vicious, a widget manager

Package: awffull
Description-md5: 71131700e36bc91ad703d4a671c3bc11
Description-en: web server log analysis program
 AWFFull is a Web server log analysis program, forked from Webalizer. It adds a
 number of new features and improvements, such as extended frontpage history,
 resizable graphs, and a few more pie charts.
 .
 Webalizer is a great weblog analysis program but hasn't been going anywhere in
 recent years. AWFFull takes that base and starts to fix the niggles and
 annoyances and hopefully become a useful enhancement.
 .
 As a base, weblizer has a stated goal of producing web server analysis.
 AWFFull on the other hand, will gradually focus more on the business
 intelligence contained within those logs - and not specifically limited just to
 web server logs.
 .
 Major Enhancements vs. Webalizer
 .
 The frontpage history can now cover more than 12 months.
 StyleSheets (CSS) are supported. Customise to your hearts content!
 The log type can be auto-detected
 Can process: CLF, Combined, Squid, FTP XFER and Domino v6 Logs
 All graphs are now resizable, rather than being a fixed size
 Config File simplification to reduce duplication of common config pairings
 Bookmarking numbers are tracked. Be aware that these are estimates!
 Backend changes: Use of PCRE lib and standard library calls to ease coding pain
 Pattern Matching improvements can increase the speed of a run by 50%. YMMV!
 404 Errors are tracked and displayed with both the target and referrering URL
 Can specify what is a page or what is not.

Package: awit-dbackup
Description-md5: c43bc739ed1f98d29d512535bed8dee0
Description-en: Flexible one archive per directory backup tool
 This is a backup software package which basically creates one
 archive per directory it backs up. This makes searching
 through the backup much easier as you can look for which files
 you're after in the directory hierarchy. It will only backup
 directories which have changed, which makes it rsync friendly.

Package: awl-doc
Description-md5: daee0931a6920802a9efe4bd7b679cbe
Description-en: Andrew's Web Libraries - API documentation
 This package documents Andrew's Web Libraries.  This is a set
 of hopefully lightweight libraries for handling a variety of
 useful things for web programming, including:
  - Session management
  - User management
  - DB Records
  - Simple reporting
  - DB Schema Updating
  - iCalendar parsing
 .
 This package contains detailed technical documentation for the
 classes and methods in these libraries.

Package: aws-shell
Description-md5: 1c6403af063b5ce8a96d639de6dc08ad
Description-en: Interactive productivity booster for the AWS CLI
 aws-shell provides a interactive environment to control AWS services.
 The following features are supported:
 .
  * Auto completion of commands and options
  * Shorthand auto completion
  * Server side auto completion
  * Fuzzy searching
  * Inline documentation
  * Fish-style auto suggestions
  * Command history
  * Toolbar options
  * Dot commands
  * Executing Shell Commands

Package: awscli
Description-md5: aaf8e6b3ff4426c8a7b4d7d49df6ee53
Description-en: Universal Command Line Environment for AWS
 This package provides a unified command line interface to many
 Amazon Web Services.
 .
 The currently supported services include:
 .
  * AWS CloudFormation
  * AWS Data Pipeline
  * AWS Direct Connect
  * AWS Elastic Beanstalk
  * AWS Identity and Access Management
  * AWS Import/Export
  * AWS OpsWorks
  * AWS Security Token Service
  * AWS Storage Gateway
  * Amazon CloudWatch
  * Amazon ElastiCache
  * Amazon Elastic Compute Cloud
  * Amazon Elastic MapReduce
  * Amazon Elastic Transcoder
  * Amazon Redshift
  * Amazon Relational Database Service (Beta)
  * Amazon Simple Email Service
  * Amazon Simple Notification Service
  * Amazon Simple Queue Service
  * Amazon Simple Storage Service
  * Amazon Simple Workflow Service
  * Auto Scaling
  * Elastic Load Balancing

Package: ax25-apps
Description-md5: 9d6286b50b0a8fc36f6838c262b100cd
Description-en: AX.25 ham radio applications
 This package provides specific user applications for hamradio
 that use AX.25 Net/ROM or ROSE network protocols:
  * axcall: a general purpose AX.25, NET/ROM and ROSE connection
    program.
  * axlisten: a network monitor of all AX.25 traffic heard by the system.
  * ax25ipd: an RFC1226 compliant daemon which provides
    encapsulation of AX.25 traffic over IP.
  * ax25mond: retransmits data received from sockets into an AX.25
    monitor socket.

Package: ax25-tools
Description-md5: ad13e857b73c9055c1fae13561ac1420
Description-en: tools for AX.25 interface configuration
 These are hamradio specific tools for setting up and configuring hamradio
 ports that use AX.25 Net/ROM or ROSE network protocols.
 .
 This package is created separately for those who do not want to install tools
 that need X to run.

Package: ax25-xtools
Description-md5: 2bc6aecec420be6bfc801e788e146492
Description-en: tools for AX.25 interface configuration -- X11-based
 These are hamradio specific tools for setting up and configuring hamradio
 ports that use AX.25 Net/ROM or ROSE network protocols.
 .
 This package is created separately for those who want to install tools
 that need X to run.

Package: ax25mail-utils
Description-md5: 0e241691973928b5d9d8b12317c7deb6
Description-en: hamradio packet utilities for fbb
 This package provides utilities to download a message list or messages
 from a fbb AX.25 bbs:
 .
  * axgetlist - read the message list from the BBS
  * axgetmail - automatically download messages from the F6FBB BBS
  * axgetmsg - download selected messages from F6FBB BBS
  * home_bbs - find home BBS or force a home BBS for the callsign
  * msgcleanup - delete the messages with their lifetime exceeded
  * ulistd - collect FBB BBS messages list sent via unproto frames
  * update_routes - update the database of BBS and callsigns

Package: axe-demultiplexer
Description-md5: a7f1affdbcda6ad744b5f9bb84a00a12
Description-en: Trie-based DNA sequencing read demultiplexer
 Axe very rapidly selects the optimal barcode present in a sequence read, even
 in the presence of sequencing errors. The algorithm is able to handle
 combinatorial barcoding, barcodes of differing length, and several mismatches
 per barcode.

Package: axel
Description-md5: 3bf13d4a8f3cffae63d4bfbb3a04362d
Description-en: light command line download accelerator
 Axel tries to accelerate the downloading process by using multiple
 connections for one file, similar to DownThemAll and other famous
 programs. It can also use multiple mirrors for one download.
 .
 Using Axel, you will get files faster from Internet. So, Axel can
 speed up a download up to 60% (approximately, according to some tests).
 .
 Axel supports HTTP, HTTPS, FTP and FTPS protocols.
 .
 Axel tries to be as light as possible, so it might be useful as a
 wget clone (and other console based programs) on byte-critical systems.

Package: axhttpd
Description-md5: 21a4942001bf0b0265ee7b3f5217bbb7
Description-en: Highly configurable client/server TLSv1.2 library (web server)
 The axTLS embedded SSL project is a highly configurable client/server TLSv1.2
 library designed for platforms with small memory requirements, and is suited
 to embedded projects. It comes with a small HTTP/HTTPS server and additional
 test tools.
 .
 This package contains the demo HTTP/HTTPS server.

Package: axiom
Description-md5: 7906ab62142f695a10ec89a3a68d6405
Description-en: General purpose computer algebra system: main binary and modules
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains the main program binary and all precompiled
 algebra and autoloadable modules.

Package: axiom-databases
Description-md5: 8d413b9295193a559325705c6c55b44e
Description-en: General purpose computer algebra system: generated text databases
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains the text databases generated during the build
 process used in computing the hierarchical domain structure, among
 other uses.

Package: axiom-doc
Description-md5: 24640b7e25073646b00ff3ba01115947
Description-en: General purpose computer algebra system: documentation
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains all documentation, including the Axiom book in
 dvi format with all postscript images.

Package: axiom-graphics
Description-md5: a5db3526f73250886589d7f0091de85a
Description-en: General purpose computer algebra system: graphics subsystem
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains the binaries for the graphics subsystem.
 The programs in this package allow axiom to draw plots to separate
 windows in X as well as to output files in postscript format.

Package: axiom-graphics-data
Description-md5: c9a3c96cb300d803c2b62b2cab880980
Description-en: General purpose computer algebra system: graphics subsystem
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains required data files for the axiom graphics
 subsystem.

Package: axiom-hypertex
Description-md5: a95fd427f4c8dd4686907cd8bc04e286
Description-en: General purpose computer algebra system: hypertex subsystem
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains the binaries for the hypertex subsystem.
 The programs in this package provide an interactive online help
 system describing the many axiom commands.

Package: axiom-hypertex-data
Description-md5: 87a7f22b9470c6c32fa31534c345017c
Description-en: General purpose computer algebra system: hypertex subsystem
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains required data files for the axiom hypertex
 subsystem.

Package: axiom-source
Description-md5: 2005de8fcb9fa2ccb32ea578711d05e5
Description-en: General purpose computer algebra system: source files
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains source files in Axiom's native spad language
 for the compiled algebra modules supplied in the axiom package.

Package: axiom-test
Description-md5: e400739ca643cf78a86193e897196c87
Description-en: General purpose computer algebra system: regression test inputs
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains input files for Axiom's regression test suite.

Package: axiom-tex
Description-md5: 1fb80d5e59390ab134eecd21ad1a380b
Description-en: General purpose computer algebra system: style file for TeX
 Axiom is useful for
 research and development of mathematical algorithms. It defines a
 strongly typed, mathematically correct type hierarchy. It has a
 programming language and a built-in compiler.
 .
 Axiom has been in development since 1973 and was sold as a
 commercial product. It has been released as free software.
 .
 Efforts are underway to extend this software to (a) develop a
 better user interface (b) make it useful as a teaching tool
 (c) develop an algebra server protocol (d) integrate additional
 mathematics (e) rebuild the algebra in a literate programming style
 (f) integrate logic programming (g) develop an Axiom Journal with
 refereed submissions.
 .
 This package contains a TeX style file useful in publishing results
 obtained with Axiom.

Package: axmail
Description-md5: bb65647e5680f73ceff739768aeff22b
Description-en: Mail user agent for ax.25 users, accessed via a node frontend
 axMail provides a simple low-overhead user interface, similar to /bin/mail,
 xNOS and other packet BBS systems. It's useful for providing good SMTP mail
 services for AX.25 users over slow radio channels.

Package: ayatana-indicator-application
Description-md5: ee9faf9be2a26711582063ed6677aaed
Description-en: Ayatana Application Indicators
 An indicator to take menus from external applications and place them in
 the panel.
 .
 The application supporting indicator based panel applets provides its
 menu tree via the DBus bus org.kde.StatusNotifierWatcher.
 .
 This version of application indicators accepts connections from any
 application built against either of the available indicator frameworks:
 Ayatana Indicators and Ubuntu Indicators.

Package: ayatana-indicator-common
Description-md5: ec068f0d4663385722b23303ef87ac29
Description-en: libayatana-indicator shared files
 The Ayatana Indicators library contains information to build indicators
 to go into modern desktops' indicator applets.
 .
 This package has files needed to work with the Ayatana Indicators. This
 includes the systemd ayatana-indicators-pre.target.

Package: ayatana-indicator-notifications
Description-md5: cc5d1fbde8b3c06ce101e7191a8dbdba
Description-en: Ayatana Indicator for viewing recent notifications
 An Ayatana Indicator applet to display recent notifications sent to a
 notification daemon such as notify-osd.
 .
 Using dconf-editor, you can blacklist certain notifications, so that
 they are not shown anymore by the notifications indicator.

Package: ayatana-indicator-power
Description-md5: 648bdf63bd7e396f6d1b0fab04d915f3
Description-en: Ayatana Indicator showing power state
 This Ayatana Indicator displays current power management information and
 gives the user a way to access power management preferences.
 .
 The Ayatana Indicator provides a generic, multi-desktop-env aware
 approach of accessing power information and management features.

Package: ayatana-indicator-printers
Description-md5: bfa46325dc1866ea471c97e64e91bfa7
Description-en: Ayatana Indicator showing active print jobs
 This Ayatana Indicator is designed to let you view and control active
 print jobs.
 .
 Use an indicator plugin for your desktop environment or a desktop
 environment that natively supports indicators to provide this indicator
 to the user.

Package: ayatana-indicator-session
Description-md5: 6d334977e96d91949201cacad8e847ce
Description-en: Ayatana Indicator showing session management, status and user switching
 This Ayatana Indicator is designed to be placed on the right side of a
 panel and give the user easy control for changing their instant message
 status.   Switching to another user.  Starting a guest session.  Or
 controlling the status of their own session.
 .
 It requires some way to be hosted into a panel. For the MATE Panel the
 appropriate package is mate-indicator-applet. For the Xfce Panel the
 appropriate package is xfce4-indicator-plugin.

Package: aylet
Description-md5: 4f1be993ae45c7bf782c4b02f32d9a6a
Description-en: ncurses-based player for Spectrum '.ay' music files
 aylet plays music files in the `.ay' format. These files are
 essentially wrappers around bits of Z80 code which play music on the
 Sinclair ZX Spectrum 128's sound hardware - either the beeper, or
 (eponymously) the AY-3-8912 sound chip. Files using the Amstrad CPC
 ports are also supported.
 .
 One source of `.ay' files playable with aylet is "Project AY"
 on the World of Spectrum website:

Package: aylet-gtk
Description-md5: e5a54d9756a44dd9a0cebd93fea2cba2
Description-en: X-GTK2-based player for Spectrum '.ay' music files
 aylet plays music files in the `.ay' format. These files are
 essentially wrappers around bits of Z80 code which play music on the
 Sinclair ZX Spectrum 128's sound hardware - either the beeper, or
 (eponymously) the AY-3-8912 sound chip. Files using the Amstrad CPC
 ports are also supported.
 .
 One source of `.ay' files playable with aylet-gtk is "Project AY"
 on the World of Spectrum website:

Package: azure-cli
Description-md5: e70242073dc6488304f7552a259e60a3
Description-en: Azure Command-Line Interface (CLI)
 The Azure command-line interface (CLI) is Microsoft's cross-platform
 command-line experience for managing Azure resources. The Azure CLI is designed
 to be easy to learn and get started with, but powerful enough to be a great
 tool for building custom automation to use Azure resources.
 .
 This package provides the az executable and bash completion.

Package: b5i2iso
Description-md5: a2e5d73f62506b960037d863dd6879f0
Description-en: BlindWrite image to ISO image file converter
 B5I2ISO is a very simple utility to convert a BlindWrite BIN image
 to the standard ISO-9660 format.
 BlindWrite image can have different extensions like .b5i or .bwi

Package: babel-minify
Description-md5: 1b8c702bca9b19d872176cc688490178
Description-en: ES6+ aware minifier based on the Babel toolchain
 Babel is a toolchain that is mainly used to convert ECMAScript 2015+ code
 into a backwards compatible version of JavaScript in current and older
 browsers or environments.
 .
 This package doesn't include all of babel-minify, the following babel-minify
 helper packages are included:
  * node-babel-helper-evaluate-path
  * node-babel-helper-flip-expressions
  * node-babel-helper-is-void-0
  * node-babel-helper-mark-eval-scopes
  * node-babel-helper-remove-or-void
  * node-babel-helper-to-multiple-sequence-expressions

Package: babeld
Description-md5: c08401daeb1213eeb6a042ed2075fd1e
Description-en: loop-free distance-vector routing protocol
 Babel is a distance-vector routing protocol for IPv6 and IPv4 with
 fast convergence properties, described in RFC 6126. It was designed
 to be robust and efficient on both wireless mesh networks and
 classical wired networks. Babel has extremely modest memory and CPU
 requirements. Unlike most routing protocols, which route either IPv4
 or IPv6 but not both at the same time, Babel is a hybrid IPv6 and
 IPv4 protocol: a single update packet can carry both IPv6 and IPv4
 routes (this is similar to how multi-protocol BGP works). This makes
 Babel particularly efficient on dual (IPv6 and IPv4) networks. This
 implementation also includes a radio frequency-aware variant of
 Babel.
 .
 Babel has the following features:
  * it is a distance-vector protocol;
  * it is a proactive protocol, but with adaptative (reactive)
    features;
  * it senses link quality for computing route metrics using a variant
    of the ETX algorithm;
  * it uses a feasibility condition that guarantees the absence of
    loops (the feasibility condition is taken from EIGRP and is
    somewhat less strict than the one in AODV);
  * it uses sequence numbers to make old routes feasible again (like
    DSDV and AODV, but unlike EIGRP);
  * it speeds up convergence by reactively requesting a new sequence
    number (like AODV, and to a certain extent EIGRP, but unlike
    DSDV);
  * it allows redistributed external routes to be injected into the
    routing domain at multiple points (like EIGRP, but unlike DSDV and
    AODV).

Package: babeltrace
Description-md5: a788cef28cbe367a2335db80c321ff42
Description-en: Trace conversion program
 Babeltrace provides trace reading and writing libraries, as well as a trace
 converter. Plugins can be created for any trace format to allow its conversion
 to/from any other supported format.
 .
 This package contains the "babeltrace" trace conversion program.

Package: backblaze-b2
Description-md5: cfc46fcfd73eb23c6f50d433101d04ca
Description-en: Command Line Tool for Backblaze B2
 The command-line tool that gives easy access to all of the capabilities
 of B2 Cloud Storage.
 This program provides command-line access to the B2 service.
 .
 Usage: For more details try command: backblaze-b2 help

Package: backdoor-factory
Description-md5: 7697c24a3682cefc109a5849b79d6b0c
Description-en: Patch 32/64 bits ELF & win32/64 binaries with shellcode
 Injects shellcode into win32/64 PE files, 32/64bits ELF binaries, to continue
 normal file execution (if the shellcode supports it), by patching the exe/dll
 directly.
 .
 Some executables have built in protections, as such this will not work on all
 ELF/PE files. It is advisable that you test target ELF/PE files before
 deploying them to clients or using them in exercises

Package: backintime-common
Description-md5: 7cfb593d59017befc88a268993b317fa
Description-en: simple backup/snapshot system (common files)
 Back In Time is a framework for rsync and cron for the purpose of
 taking snapshots and backups of specified folders. It minimizes disk space use
 by taking a snapshot only if the directory has been changed, and hard links
 for unmodified files if it has. The user can schedule regular backups using
 cron.
 .
 This is the common framework for Back In Time. For a graphical interface,
 install backintime-qt.
 .
 To back up to SSH or encrypted filesystems, install the additional sshfs
 or encfs packages.

Package: backintime-qt
Description-md5: 2587da36d484aa3c1b54d050acb99a6b
Description-en: simple backup/snapshot system (graphical interface)
 Back In Time is a framework for rsync and cron for the purpose of
 taking snapshots and backups of specified folders. It minimizes disk space use
 by taking a snapshot only if the directory has been changed, and hard links
 for unmodified files if it has. The user can schedule regular backups using
 cron.
 .
 This is the graphical interface for Back In Time.

Package: backintime-qt4
Description-md5: d436dacda1defc683b8e2f0b3812c59a
Description-en: Qt 4 front-end for backintime (transitional package)
 This is a transitional package and can safely be removed.

Package: backport-iwlwifi-dkms
Description-md5: 3fea51b673a87cb9951330bfdfa9cc73
Description-en: iwlwifi driver backport in DKMS format
 Intel works with periodic releases of the iwlwifi driver that are
 tested with a specific combination of components such as firmware and
 hostap. These releases can be seen as snapshots of the development
 trees (including upstream) that can be used on older kernels.
 .
 This package provides iwlwifi modules built from iwlwifi-public
 defconfig based on upstream master branch.

Package: backstep
Description-md5: 313a69a37de58b183c8a362cffcf877d
Description-en: Draws icons for minimized windows on your desktop
 Backstep is a program that draws icons for minimized windows on your desktop.
 It doesn't depend on the window manager or on a desktop-drawing program.
 It is meant to work with window managers that are EWHM compliant.
 .
 Known Window Manager Support:
     * Metacity: known for sure to work
     * Xfwm4: known for sure to work
     * Fluxbox: known not to work
     * Kwin: partial support
     * Other: untested

Package: backup-manager
Description-md5: 8fc0f3b1192f2c956d4ddc9d6f69ee01
Description-en: command-line backup tool
 This is a backup program, designed to help you make daily archives of
 your file system.
 .
 Written in bash and perl, it can make tar, tar.gz, tar.bz2, and zip
 archives and can be run in a parallel mode with different
 configuration files. Other archives are possible: MySQL or SVN dumps,
 incremental backups...
 .
 Archives are kept for a given number of days and the upload system
 can use FTP, SSH or RSYNC to transfer the generated archives to a list of
 remote hosts.
 .
 Automatically burning archives to removable media such as CD or DVD is also
 possible.
 .
 The configuration file is very simple and basic and gettext is used for
 internationalization.

Package: backup-manager-doc
Description-md5: 381a6cf09e09c6f96802932de6236e65
Description-en: documentation package for Backup Manager
 Backup-manager is a backup program, designed to help you make daily
 archives of  your file system.
 .
 This package provides the Backup Manager User Guide in different formats:
 HTML, plain text and PDF.

Package: backup2l
Description-md5: 5012a92cfa52604bcce85ef253e5ab12
Description-en: low-maintenance backup/restore tool
 backup2l [backup-too-l] is a tool for autonomously generating, maintaining
 and restoring backups on a mountable file system (e. g. hard disk). In a
 default installation, backups are created regularly by a cron script.
 .
 The main design goals are low maintenance effort, efficiency, transparency
 and robustness. All control files are stored together with the archives on
 the backup device, and their contents are mostly self-explaining. Hence, a
 user can - if necessary - browse the files and extract archives manually.
 .
 backup2l features differential backups at multiple hierarchical levels.
 This allows one to generate small incremental backups at short intervals
 while at the same time, the total number of archives only increases
 logarithmically with the number of backups since the last full backup.
 .
 An open driver architecture allows one to use virtually any archiving
 program as a backend. Built-in drivers support .tar.gz, .tar.bz2 and others.
 Further user-defined drivers can be added.
 .
 An integrated split-and-collect function allows one to comfortably transfer
 all or selected archives to a set of CDs or other removable media.

Package: backupchecker
Description-md5: d5e4001532894d854c13e83fbebdaa1a
Description-en: fully automated backup checker
 Backup Checker parses backups (archives and file tree) to perform
 several different checks in order to verify your backup integrity
 and its associated content.

Package: backupninja
Description-md5: 84021f7af806dc704e208ac2b8b6c98a
Description-en: lightweight, extensible meta-backup system
 Backupninja lets you drop simple config files in /etc/backup.d to
 coordinate system backups. Backupninja is a master of many arts,
 including incremental remote filesystem backup, and MySQL backup.
 By creating simple drop-in handler scripts, backupninja can learn new skills.
 Backupninja is a silent flower blossom death strike to lost data.
 .
 In addition to backing up regular files, Backupninja has handlers to ease
 backing up: Maildir, MySQL, PostgreSQL, SVN, Trac, hardware and system
 information, as well as the output from custom shell scripts.
 .
 Backupninja currently supports common backup utilities, easing their
 configuration, currently supported are: rdiff-backup, duplicity, rsync,
 borgbackup and CD/DVD.
 .
 Most handlers have their own dependencies/recommendations, shown in brackets
 below:
 .
  - dup [duplicity, trickle]
  - rdiff [rdiff-backup]
  - sys [debconf-utils, hwinfo, mdadm]
  - makecd [genisoimage, wodim]
  - rsync [rsync]
  - svn [subversion]
  - tar [bzip2]
  - borg [borgbackup]

Package: backuppc-rsync
Description-md5: 92d386f1e7bfd01496e93296e4c05029
Description-en: patched rsync for BackupPC version 4
 This is not a normal rsync. It is designed only for use with
 version 4 of BackupPC, not by humans.
 .
 You do not need to install this package manually. It is pulled in as
 dependency where needed.

Package: bacula
Description-md5: 67b9d5f42cb2fef261b3f6467efb517d
Description-en: network backup service - metapackage
 Bacula is a set of programs to manage backup, recovery and verification
 of computer data across a network of computers of different kinds.
 .
 It is efficient and relatively easy to use, while offering many advanced
 storage management features that make it easy to find and recover lost or
 damaged files. Due to its modular design, Bacula is scalable from small
 single computer systems to networks of hundreds of machines.
 .
 This metapackage installs the entire suite of Bacula applications: job
 scheduling, storage control, node connector and administrative console.

Package: bacula-bscan
Description-md5: 44a01e27d6f81c2f40774f7989a68e8b
Description-en: network backup service - bscan tool
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The storage daemon performs the storage and recovery of the file
 attributes and data to the physical media; in other words, it is
 responsible for reading and writing the backups.
 .
 This package contains the bscan utility, which is used for recovery
 when Bacula's catalog is unavailable.

Package: bacula-client
Description-md5: c7615bb83ae944dfb220e188d71eb440
Description-en: network backup service - client metapackage
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The Bacula Director service supervises all the backup, restore, verify and
 archive operations. It can run as a daemon or as a foreground service which
 administrators can use to schedule backups and recover files.
 .
 The package is a metapackage for client installations (file daemon and
 console only).

Package: bacula-common
Description-md5: ea0a644926ceb853a5dc7364f1c409cc
Description-en: network backup service - common support files
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 This package provides files that are useful for other Bacula packages.

Package: bacula-common-mysql
Description-md5: 64bc48d168e93df5fe6d8ddece4e207d
Description-en: network backup service - MySQL common files
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 This package provides files that are useful for other Bacula packages
 for the MySQL database.

Package: bacula-common-pgsql
Description-md5: bbcb90bc499ef2794cf5aa8caefe2a7f
Description-en: network backup service - PostgreSQL common files
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 This package provides files that are useful for other Bacula packages
 for the PostgreSQL database.

Package: bacula-common-sqlite3
Description-md5: 5dd5383fa711d37f105290c46d19905e
Description-en: network backup service - SQLite v3 common files
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 This package provides files that are useful for other Bacula packages
 for the SQLite v3 database.
 .
 Please be aware that using SQLite 3 databases is no longer supported
 by the author.

Package: bacula-console
Description-md5: 0a204f8e90989252b1663adf774d9184
Description-en: network backup service - text console
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The management console allows the administrator or user to
 communicate with the Bacula Director.
 .
 This package provides the text-interface version of the console.

Package: bacula-console-qt
Description-md5: fd343e90994bc4bcfd4464344357d28f
Description-en: network backup service - Bacula Administration Tool
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The management console allows the administrator or user to
 communicate with the Bacula Director.
 .
 This package provides the most advanced GUI to Bacula: the Bacula
 Administration Tool (BAT) console.
 .
 This GUI interface has been designed to ease restore operations as much as
 possible as compared to the basic text console.

Package: bacula-director
Description-md5: 6f0024bae002141382406db5c20bf965
Description-en: network backup service - Director daemon
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The Bacula Director service supervises all the backup, restore, verify and
 archive operations. It can run as a daemon or as a foreground service which
 administrators can use to schedule backups and recover files.
 .
 This package provides the Bacula Director daemon.

Package: bacula-director-common
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: bacula-director-mysql
Description-md5: 248fa558b5e2e2b84005e44333d46718
Description-en: network backup service - MySQL storage for Director
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The Bacula Director service supervises all the backup, restore, verify and
 archive operations. It can run as a daemon or as a foreground service which
 administrators can use to schedule backups and recover files.
 .
 This package stores Bacula's catalog in a MySQL database and thus is
 suitable for medium-sized installations.

Package: bacula-director-pgsql
Description-md5: 9663dd70c2b7b8af49840e9b15672027
Description-en: network backup service - PostgreSQL storage for Director
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The Bacula Director service supervises all the backup, restore, verify and
 archive operations. It can run as a daemon or as a foreground service which
 administrators can use to schedule backups and recover files.
 .
 This package stores Bacula's catalog in a PostgreSQL database and thus is
 suited for large installations.

Package: bacula-director-sqlite3
Description-md5: ca500032cad106223f9840f1e7d2fcab
Description-en: network backup service - SQLite 3 storage for Director
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The Bacula Director service supervises all the backup, restore, verify and
 archive operations. It can run as a daemon or as a foreground service which
 administrators can use to schedule backups and recover files.
 .
 This package stores Bacula's catalog in an SQLite 3 database and thus is
 only suitable for small or test installations.
 .
 Please be aware that using SQLite 3 databases is no longer supported
 by the author.

Package: bacula-doc
Description-md5: aa74f6e41dce21375a71c65cc5ca4d28
Description-en: Documentation for Bacula
 This package provides the documentation for Bacula, a backup program
 that permits you to manage backup, recovery, and verification of
 computer data across a network of computers of different kinds.

Package: bacula-fd
Description-md5: 3f35bd3a108c70141218cdf190a0ec97
Description-en: network backup service - file daemon
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The file daemon has to be installed on the machine to be backed up. It is
 responsible for providing the file attributes and data when requested by
 the Director and also for the file system-dependent part of restoration.

Package: bacula-sd
Description-md5: 62128350f6771f6c2952ce19f11cffea
Description-en: network backup service - storage daemon
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 The storage daemon performs the storage and recovery of the file
 attributes and data to the physical media; in other words, it is
 responsible for reading and writing the backups.
 .
 It runs on the machine which has access to the backup device(s) - usually
 a tape drive, but alternatively other storage media, such as files.

Package: bacula-server
Description-md5: 6dda915ea1962f81a01b5beba6c56634
Description-en: network backup service - server metapackage
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 This metapackage provides a standard server install, consisting of the
 director and storage daemons.

Package: bacula-tray-monitor
Description-md5: 76605f612a112974fa0d112badbbd841
Description-en: network backup service - Bacula Tray Monitor
 Bacula is a set of programs to manage backup, recovery and verification of
 data across a network of computers of different kinds.
 .
 This package provides a graphical tray monitor for the Bacula backup system.
 .
 The tray monitor allows the monitoring of the bacula daemons.

Package: badger
Description-md5: cb4604b047b6451ff06fb2435dc7aa1e
Description-en: Fast key-value DB in Go.
 BadgerDB is an embeddable, persistent and fast key-value (KV)
 database written in pure Go. It is the underlying database for Dgraph
 (https://dgraph.io), a fast, distributed graph database. It's meant
 to be a performant alternative to non-Go-based key-value stores like
 RocksDB.  Project Status [Jun 26, 2019] Badger is stable and is being
 used to serve data sets worth hundreds of terabytes. Badger supports
 concurrent ACID transactions with serializable snapshot isolation
 (SSI) guarantees. A Jepsen-style bank test runs nightly for 8h, with
 --race flag and ensures the maintenance of transactional guarantees.
 Badger has also been tested to work with filesystem level anomalies,
 to ensure persistence and consistency.
 .
 Badger v1.0 was released in Nov 2017, and the latest version that is
 data-compatible with v1.0 is v1.6.0.
 .
 Badger v2.0, use a new storage format which won't be compatible with all of
 the v1.x.

Package: bagel
Description-md5: 0fbd02ec85f9028f689d08ec8b757ea1
Description-en: Computational Chemistry Package
 BAGEL (Brilliantly Advanced General Electronic-structure Library) is a
 computational chemistry package aimed at large-scale parallel
 computations.  It specializes on highgly accurate methods and includes
 density-fitting and relativistic effects for most of the methods it
 implements.
 .
 It can compute energies and gradients for the following methods:
  * Hartree-Fock (HF)
  * Density-Functional Theory (DFT)
  * Second-order Moeller-Plesset perturbation theory (MP2)
  * Complete active space SCF (CASSCF)
  * Complete active space second order perturbation theory (CASPT2)
  * Extended multistate CASPT2 (XMS-CASPT2)
 .
 Additionally, it can compute energies for the following methods:
  * Configuration-interaction singles (CIS)
  * Full configuration-interaction (FCI)
  * Multi-state internally contracted multireference configuration-interaction
    (ic-MRCI)
  * N-electron valence-state second order perturbation theory (NEVPT2)
  * Active-space decomposition (ASD) for dimers and for multiple sites via
    density matrix renormalization group (ASD-DMRG)
 .
 BAGEL is able to optimize stationary geometries and conical intersections and
 to compute vibrational frequencies.
 .
 BAGEL does not include a disk interface, so computations need to fit in
 memory.

Package: baitfisher
Description-md5: 4f8112acea1615fb8dd7625fdf2f7898
Description-en: software package for designing hybrid enrichment probes
 The BaitFisher package consists of two programs: BaitFisher and BaitFilter.
 .
 BaitFisher was been designed to construct hybrid enrichment baits from
 multiple sequence alignments (MSAs) or annotated features in MSAs. The main
 goal of BaitFisher is to avoid redundancy in the construction of baits by
 designing fewer baits in conserved regions of the MSAs and designing more baits
 in variable regions. This makes use of the fact that hybrid enrichment baits
 can differ to some extends from the target region, which they should capture
 in the enrichment procedure.
 By specifying the allowed distance between baits and the sequences in the MSAs
 the user can control the allowed bait-to-target distance and the degree of
 reduction in the number of baits that are designed.
 See the BaitFisher paper for details.
 .
 BaitFilter was designed (i) to determine whether baits bind unspecifically to
 a reference genome, (ii) to filter baits that only have partial length matches
 to a reference genome, (iii) to determine the optimal bait region in a MSA and
 to convert baits to a format that can be uploaded at a bait constructing
 company. The optimal bait region can be the most conserved region in the MSA
 or the region with the highest number of sequences without gaps or ambiguous
 nucleotides.

Package: balboa
Description-md5: 1fdfd1cebad3e95bd9f187adb3ad65e8
Description-en: Passive DNS database with GraphQL interface, frontend
 balboa is the BAsic Little Book Of Answers. It consumes and indexes
 observations from passive DNS collection, providing a GraphQL interface
 to access the aggregated contents of the observations database.
 .
 This package contains the frontend, which handles user query interaction
 and input parsing. It requires a backend to store the consumed observations.

Package: balboa-backend-common
Description-md5: 6f852cf6366495b4ebb010e946f50889
Description-en: Common files for balboa backends
 balboa is the BAsic Little Book Of Answers. It consumes and indexes
 observations from passive DNS collection, providing a GraphQL interface
 to access the aggregated contents of the observations database.
 .
 This package contains files common to all backends available in Debian,
 such as systemd unit files and management tools.

Package: balboa-backend-rocksdb
Description-md5: 7b94e06b30039bdc1c138526cd8039fd
Description-en: RocksDB backend for balboa
 balboa is the BAsic Little Book Of Answers. It consumes and indexes
 observations from passive DNS collection, providing a GraphQL interface
 to access the aggregated contents of the observations database.
 .
 This package contains the RocksDB backend.

Package: bali-phy
Description-md5: d67566c758d777cfb87f8ae861258ecb
Description-en: Bayesian Inference of Alignment and Phylogeny
 BAli-Phy estimates multiple sequence alignments and evolutionary trees
 from unaligned DNA, amino acid, or codon sequences.  BAli-Phy uses MCMC
 to estimate evolutionary trees, positive selection, and branch lengths
 while averaging over alternative alignments. BAli-Phy can display
 alignment ambiguity graphically in an alignment uncertainty (AU) plot.
 .
 BAli-Phy can also estimate phylogenies from a fixed alignment (like MrBayes
 and BEAST) using substitution models like GTR+gamma.  BAli-Phy automatically
 estimates relative rates for each gene.

Package: ballerburg
Description-md5: 22e6223aacd4cd867a0eb1a824beb105
Description-en: Classical castle combat game
 Two castles, separated by a mountain, try to defeat each other with their
 cannonballs, either by killing the opponent's king or by weakening the
 opponent enough so that the king capitulates.
 .
 Ballerburg was originally written 1987 by Eckhard Kruse, for the Atari ST
 machines (which were brand new computers at that point in time). Over 25
 years later, here's finally the adaption of the original source code to
 modern operating systems.

Package: ballview
Description-md5: 37b07f89d811d86f80547dffee583b29
Description-en: free molecular modeling and molecular graphics tool
 BALLView provides fast OpenGL-based visualization of molecular structures,
 molecular mechanics methods (minimization, MD simulation using the
 AMBER, CHARMM, and MMFF94 force fields), calculation and visualization
 of electrostatic properties (FDPB) and molecular editing features.
 .
 BALLView can be considered a graphical user interface on the basis of
 BALL (Biochemical Algorithms Library) with a focus on the most common
 demands of protein chemists and biophysicists in particular.  It is
 developed in the groups of Hans-Peter Lenhof (Saarland University,
 Saarbruecken, Germany) and Oliver Kohlbacher (University of Tuebingen,
 Germany). BALL is an application framework in C++ that has been
 specifically designed for rapid software development in Molecular
 Modeling and Computational Molecular Biology.  It provides an extensive
 set of data structures as well as classes for Molecular Mechanics,
 advanced solvation methods, comparison and analysis of protein
 structures, file import/export, and visualization.

Package: ballz
Description-md5: 6695a5ea72224ffabb2ef72a717ed85e
Description-en: B.A.L.L.Z. - platform/puzzle game where you control a rolling ball
 The game is a platformer with some puzzle elements. You take control
 of a ball which is genetically modified by the British secret
 service. Your mission is to rescue captured British soldiers from a
 prison in Iran.
 .
 The game was written in 72 hours for the TINS competition, a
 competition similar to Speedhack. The name TINS is an recursive
 acronym for 'TINS is not Speedhack'.

Package: ballz-data
Description-md5: a94fb0b9dabc485c329cfe9a123540d8
Description-en: B.A.L.L.Z. - game data
 The game is a platformer with some puzzle elements. You take control
 of a ball which is genetically modified by the British secret
 service. Your mission is to rescue captured British soldiers from a
 prison in Iran.
 .
 This package contains data files required by the game B.A.L.L.Z.

Package: baloo-kf5
Description-md5: 07b1ed1c2590cf6708b1c4a06b365759
Description-en: framework for searching and managing metadata
 A framework for searching and managing metadata in KDE Software.
 .
 Baloo is part of the KDE Plasma desktop.

Package: baloo-kf5-dev
Description-md5: 40419eee45005eb5f8a28370059a1e92
Description-en: framework for searching and managing metadata devel files
 A framework for searching and managing metadata in KDE Software.
 .
 Baloo is part of the KDE Plasma desktop.
 .
 This package contains the development files.

Package: balsa
Description-md5: 8fd5765c122138010ca60ac02a294a55
Description-en: e-mail client for GNOME
 Balsa is a highly configurable and robust mail client for the GNOME desktop.
 It supports both POP3 and IMAP servers as well as the mbox, maildir and mh
 local mailbox formats. Balsa also supports SMTP and/or the use of a local MTA
 such as Sendmail.
 .
 Some of Balsa's other features include:
   * Allowing nested mailboxes
   * Printing
   * Spell Checking
   * Multi-threaded mail retrieval
   * MIME support (view images inline, save parts)
   * GPE Palmtop, LDAP, LDIF and vCard address book support
   * Multiple character sets for composing and reading messages
   * File attachments on outgoing messages
   * GPG/OpenPGP mail signing and encryption
 .
 Support for Kerberos and SSL has been enabled in this package.

Package: bam
Description-md5: 9207035afd1eefe6b9ff8607600e8a61
Description-en: fast and flexible build system
 Bam uses Lua to describe the build process. It's takes its inspiration for
 the script files from scons. While scons focuses on being 100% correct when
 building, bam makes a few sacrifices to acquire fast full and incremental
 build times.

Package: bambam
Description-md5: acbf302bf6d95df85f258ac40120c939
Description-en: keyboard mashing and doodling game for babies
 Bambam is a simple baby keyboard masher application that locks
 the keyboard and mouse and instead displays bright colors,
 pictures, and sounds.
 .
 Note: type "quit" to stop the game.

Package: bambootracker
Description-md5: 84e416da9f6cf1c101836363eca3c7fd
Description-en: YM2608 (OPNA, sound chip of Yamaha) music tracker
 This is a music tracker for the Yamaha sound chip YM2608 (OPNA) which was used
 in NEC PC-8801/9801 series computers.

Package: bamf-dbg
Description-md5: d08673dde009c4e5d772fa886e34cfd2
Description-en: Window matching library - debugging symbols
 bamf matches application windows to desktop files
 .
 This package contains the daemon used by the library and a gio
 module that facilitates the matching of applications started
 through GDesktopAppInfo
 .
 This package contains debugging symbols for the daemon and library.

Package: bamfdaemon
Description-md5: 5e170802764cd2226fe0395c325fb65f
Description-en: Window matching library - daemon
 bamf matches application windows to desktop files
 .
 This package contains the daemon used by the library and a gio
 module that facilitates the matching of applications started
 through GDesktopAppInfo

Package: bamtools
Description-md5: 8806dbb8f096fa9f1dd1e9df2a932d9a
Description-en: toolkit for manipulating BAM (genome alignment) files
 BamTools facilitates research analysis and data management using BAM
 files.  It copes with the enormous amount of data produced by current
 sequencing technologies that is typically stored in compressed, binary
 formats that are not easily handled by the text-based parsers commonly
 used in bioinformatics research.
 .
 BamTools provides both a C++ API for BAM file support as well as a
 command-line toolkit.
 .
 This is the bamtools command-line toolkit.
 .
 Available bamtools commands:
  convert  Converts between BAM and a number of other formats
  count    Prints number of alignments in BAM file(s)
  coverage Prints coverage statistics from the input BAM file
  filter   Filters BAM file(s) by user-specified criteria
  header   Prints BAM header information
  index    Generates index for BAM file
  merge    Merge multiple BAM files into single file
  random   Select random alignments from existing BAM file(s), intended more
           as a testing tool.
  resolve  Resolves paired-end reads (marking the IsProperPair flag as needed)
  revert   Removes duplicate marks and restores original base qualities
  sort     Sorts the BAM file according to some criteria
  split    Splits a BAM file on user-specified property, creating a new BAM
           output file for each value found
  stats    Prints some basic statistics from input BAM file(s)

Package: bandage
Description-md5: a8a69e6879ca827fd94c04594d8842e6
Description-en: Bioinformatics Application for Navigating De novo Assembly Graphs Easily
 Bandage is a GUI program that allows users to interact with the assembly
 graphs made by de novo assemblers such as Velvet, SPAdes, MEGAHIT and
 others.
 .
 De novo assembly graphs contain not only assembled contigs but
 also the connections between those contigs, which were previously not
 easily accessible. Bandage visualises assembly graphs, with connections,
 using graph layout algorithms. Nodes in the drawn graph, which represent
 contigs, can be automatically labelled with their ID, length or depth.
 Users can interact with the graph by moving, labelling and colouring
 nodes. Sequence information can also be extracted directly from the
 graph viewer. By displaying connections between contigs, Bandage opens
 up new possibilities for analysing and improving de novo assemblies that
 are not possible by looking at contigs alone.
 .
 More information and download links are on the Bandage website:
 rrwick.github.io/Bandage
 .
 The package is relevant to the field of genome assembly and will be
 maintained by the Debian Med team.

Package: bandit
Description-md5: e2ae8937c2955dad5e27eb3518993877
Description-en: Security oriented static analyzer for Python code - Metapackage
 Bandit is a tool designed to find common security issues in Python code. To
 do this Bandit processes each file, builds an Abstract Syntaxt Tree (AST),
 and runs appropriate plugins against the AST nodes.  Once Bandit has
 finished scanning all the files it generates a report.
 .
 This package is a metapackage to install the Python 2.7 or 3.x of bandit.

Package: bandwidthd
Description-md5: a33c29c554ad71df03654be26799ec3f
Description-en: Tracks usage of TCP/IP and builds html files with graphs
 BandwidthD tracks usage of TCP/IP network subnets and builds html files
 with graphs to display utilization. Charts are built by individual IPs.
 Color Codes HTTP, TCP,UDP, ICMP, VPN, P2P, etc.
 .
 This is the static version, see bandwidthd-pgsql for multi sensor/php frontend
 bandwidthd.

Package: bandwidthd-pgsql
Description-md5: b4120e22362bbb25d4cf0cf5697efb1c
Description-en: Tracks usage of TCP/IP and builds html files with graphs
 BandwidthD tracks usage of TCP/IP network subnets and builds html files
 with graphs to display utilization. Charts are built by individual IPs.
 Color Codes HTTP, TCP,UDP, ICMP, VPN, P2P, etc.
 .
 This is the PostgreSQL version of bandwidthd which supports multiple sensors
 and uses a dynamic (php-based) web interface. If you don't need these features
 then using the package bandwidthd is suggested.

Package: bar
Description-md5: 890b273b73e077b9a8f5c9477937469d
Description-en: Show information about a data transfer
 Bar is a simple tool to process a stream of data and print a display  for
 the  user  on stderr showing (a) the amount of data passed, (b) the
 throughput of the data  transfer,  and, if the total size of the data stream
 is known, (c) estimated time remaining, percent complete, and a progress bar.
 .
 Bar was originally written for the purpose of estimating the amount  of time
 needed to transfer large amounts (many, many gigabytes) of data across a
 network.  (Usually in an SSH/tar pipe.)

Package: barcode
Description-md5: 44ae0ac9a4be2b761f73ff620f5b60ea
Description-en: Utility for barcode generation
 GNU-barcode can create printouts for the conventional product-tagging
 standards: UPC-A, UPC-E, EAN-13, EAN-8, ISBN, and several others. Output is
 generated as either Postscript, Encapsulated Postscript, or PCL.

Package: baresip
Description-md5: 45c29cdac233c918f2320a105850955b
Description-en: portable and modular SIP user-agent - metapackage
 A modular SIP user-agent with support for audio and video, and many
 IETF standards such as SIP, SDP, RTP/RTCP, STUN, TURN, and ICE.
 .
 Supports both IPv4 and IPv6, and the following features.
  * Audio codecs: AMR, G.711, G.722, G.726, GSM, L16, MPA, OPUS.
  * Video codecs: H.263, H.264, H.265, MPEG4, VP8, VP9.
  * Audio drivers: Alsa, GStreamer, JACK, OSS, Portaudio, sndio.
  * Video sources: FFmpeg avformat, Video4Linux2, X11 Grabber.
  * Video outputs: SDL2, X11, DirectFB.
  * NAT Traversal: STUN, TURN, ICE, NATBD, NAT-PMP, PCP.
  * Media encryption: SRTP, DTLS-SRTP.
  * DNS Service Discovery: Avahi.
  * Telemetry messaging: MQTT.
  * Control interfaces: JSON-over-TCP.
 .
 This metapackage will install baresip and all its optional features.

Package: baresip-core
Description-md5: 5e5b76552afde73517915c43078688cb
Description-en: portable and modular SIP user-agent - core parts
 A modular SIP user-agent
 with support for audio and video, and many IETF standards
 such as SIP, SDP, RTP/RTCP, STUN, TURN, and ICE.
 .
 Supports both IPv4 and IPv6, and the following features.
  * Audio codecs: AMR, G.711, G.722, G.726, GSM, L16, MPA, OPUS.
  * Video codecs: H.263, H.264, H.265, MPEG4, VP8, VP9.
  * Audio drivers: Alsa, GStreamer, JACK, OSS, Portaudio, sndio.
  * Video sources: FFmpeg avformat, Video4Linux2, X11 Grabber.
  * Video outputs: SDL2, X11, DirectFB.
  * NAT Traversal: STUN, TURN, ICE, NATBD, NAT-PMP, PCP.
  * Media encryption: SRTP, DTLS-SRTP.
  * DNS Service Discovery: Avahi.
  * Telemetry messaging: MQTT.
  * Control interfaces: JSON-over-TCP.
 .
 Some of above features are provided in separate packages
 baresip-gtk, baresip-ffmpeg, baresip-gstreamer and baresip-x11.

Package: baresip-ffmpeg
Description-md5: e989d6defd3313843bf63ec7d0a81bbb
Description-en: portable and modular SIP user-agent - FFmpeg codecs and formats
 A modular SIP user-agent with support for audio and video, and many
 IETF standards such as SIP, SDP, RTP/RTCP, STUN, TURN, and ICE.
 .
 Supports both IPv4 and IPv6, and the following features.
  * Audio codecs: AMR, G.711, G.722, G.726, GSM, L16, MPA, OPUS.
  * Video codecs: H.263, H.264, H.265, MPEG4, VP8, VP9.
  * Audio drivers: Alsa, GStreamer, JACK, OSS, Portaudio, sndio.
  * Video sources: FFmpeg avformat, Video4Linux2, X11 Grabber.
  * Video outputs: SDL2, X11, DirectFB.
  * NAT Traversal: STUN, TURN, ICE, NATBD, NAT-PMP, PCP.
  * Media encryption: SRTP, DTLS-SRTP.
  * DNS Service Discovery: Avahi.
  * Telemetry messaging: MQTT.
  * Control interfaces: JSON-over-TCP.
 .
 This package integrates FFmpeg codecs and formats with baresip.

Package: baresip-gstreamer
Description-md5: 431af13521a83c5a04529e973d7f3879
Description-en: portable and modular SIP user-agent - GStreamer pipelines
 A modular SIP user-agent
 with support for audio and video, and many IETF standards
 such as SIP, SDP, RTP/RTCP, STUN, TURN, and ICE.
 .
 Supports both IPv4 and IPv6, and the following features.
  * Audio codecs: AMR, G.711, G.722, G.726, GSM, L16, MPA, OPUS.
  * Video codecs: H.263, H.264, H.265, MPEG4, VP8, VP9.
  * Audio drivers: Alsa, GStreamer, JACK, OSS, Portaudio, sndio.
  * Video sources: FFmpeg avformat, Video4Linux2, X11 Grabber.
  * Video outputs: SDL2, X11, DirectFB.
  * NAT Traversal: STUN, TURN, ICE, NATBD, NAT-PMP, PCP.
  * Media encryption: SRTP, DTLS-SRTP.
  * DNS Service Discovery: Avahi.
  * Telemetry messaging: MQTT.
  * Control interfaces: JSON-over-TCP.
 .
 This package integrates GStreamer pipelines with baresip.

Package: baresip-gtk
Description-md5: 05f3e1d74ddf792bce235388e287e22b
Description-en: portable and modular SIP user-agent - GTK+ front-end
 A modular SIP user-agent
 with support for audio and video, and many IETF standards
 such as SIP, SDP, RTP/RTCP, STUN, TURN, and ICE.
 .
 Supports both IPv4 and IPv6, and the following features.
  * Audio codecs: AMR, G.711, G.722, G.726, GSM, L16, MPA, OPUS.
  * Video codecs: H.263, H.264, H.265, MPEG4, VP8, VP9.
  * Audio drivers: Alsa, GStreamer, JACK, OSS, Portaudio, sndio.
  * Video sources: FFmpeg avformat, Video4Linux2, X11 Grabber.
  * Video output: SDL2, X11, DirectFB.
  * NAT Traversal: STUN, TURN, ICE, NATBD, NAT-PMP, PCP.
  * Media encryption: SRTP, DTLS-SRTP.
  * DNS Service Discovery: Avahi.
  * Telemetry messaging: MQTT.
  * Control interfaces: JSON-over-TCP.
 .
 This package provides a GTK+ front-end for baresip.

Package: baresip-x11
Description-md5: ef76cb48dc4558e2ea82dde71bea2c97
Description-en: portable and modular SIP user-agent - X11 features
 A modular SIP user-agent
 with support for audio and video, and many IETF standards
 such as SIP, SDP, RTP/RTCP, STUN, TURN, and ICE.
 .
 Supports both IPv4 and IPv6, and the following features.
  * Audio codecs: AMR, G.711, G.722, G.726, GSM, L16, MPA, OPUS.
  * Video codecs: H.263, H.264, H.265, MPEG4, VP8, VP9.
  * Audio drivers: Alsa, GStreamer, JACK, OSS, Portaudio, sndio.
  * Video sources: FFmpeg avformat, Video4Linux2, X11 Grabber.
  * Video outputs: SDL2, X11, DirectFB.
  * NAT Traversal: STUN, TURN, ICE, NATBD, NAT-PMP, PCP.
  * Media encryption: SRTP, DTLS-SRTP.
  * DNS Service Discovery: Avahi.
  * Telemetry messaging: MQTT.
  * Control interfaces: JSON-over-TCP.
 .
 This package provides various X11-related features for baresip.

Package: barman
Description-md5: d22bbe67949a3c9d16fae95cbb531954
Description-en: Backup and Recovery Manager for PostgreSQL
 Barman (Backup and Recovery Manager) is an open-source
 administration tool for disaster recovery of PostgreSQL
 servers written in Python.
 .
 It allows your organization to perform remote backups of
 multiple servers in business critical environments to
 reduce risk and help DBAs during the recovery phase.
 .
 Barman is distributed under GNU GPL 3 and maintained
 by 2ndQuadrant.
 .
 This package provides barman binary.

Package: barman-cli
Description-md5: f22f9aa161d868fbe8ef2396df144cc8
Description-en: Client utilities for the integration of Barman in PostgreSQL clusters
 Barman (Backup and Recovery Manager) is an open-source administration
 tool for disaster recovery of PostgreSQL servers written in Python.
 .
 It allows your organization to perform remote backups of multiple
 servers in business critical environments to reduce risk and help
 DBAs during the recovery phase.
 .
 The barman-cli package contains client utilities for the integration
 of Barman in PostgreSQL clusters.
 .
 Barman is distributed under GNU GPL 3 and maintained by 2ndQuadrant.

Package: barnowl
Description-md5: 433996a434a354683f4eb690c5630cfb
Description-en: A curses-based tty Jabber, IRC, AIM  and Zephyr client
 A curses-based instant-messaging client supporting the Jabber, IRC, AIM
 and Zephyr  protocols.  Much of the functionality is written in
 Perl, which is supported as an extension language.
 .
 This is a fork of ktools software's owl Zephyr/AIM client.

Package: barrage
Description-md5: 95e9de208d218397b93fbd3bce886209
Description-en: Rather destructive action game
 Barrage is a rather destructive action game that puts you on a shooting
 range with the objective to hit as many dummy targets as possible
 within 3 minutes. You control a gun which may either fire small or large
 grenades at dummy soldiers, jeeps and tanks. The gameplay is simple but
 it is not that easy to get high scores.

Package: barrier
Description-md5: 7fa1ff39616c05e04fa1643fb3ca3b39
Description-en: Share mouse, keyboard and clipboard over the network
 Barrier lets you easily share a single mouse and keyboard between
 multiple computers with different operating systems, each with its
 own display, without special hardware.  It's intended for users
 with multiple computers on their desk since each system uses its
 own display.
 .
 Redirecting the mouse and keyboard is as simple as moving the mouse
 off the edge of your screen.  Barrier also merges the clipboards of
 all the systems into one, allowing cut-and-paste between systems.
 Furthermore, it synchronizes screen savers so they all start and stop
 together and, if screen locking is enabled, only one screen requires
 a password to unlock them all.

Package: barrnap
Description-md5: 16435ddfce10642f034223b0837d9e5c
Description-en: rapid ribosomal RNA prediction
 Barrnap (BAsic Rapid Ribosomal RNA Predictor) predicts the location of
 ribosomal RNA genes in genomes. It supports bacteria (5S,23S,16S), archaea
 (5S,5.8S,23S,16S), mitochondria (12S,16S) and eukaryotes (5S,5.8S,28S,18S).
 .
 It takes FASTA DNA sequence as input, and writes GFF3 as output. It uses the
 NHMMER tool that comes with HMMER 3.1 for HMM searching in RNA:DNA style.
 Multithreading is supported and one can expect roughly linear speed-ups
 with more CPUs.

Package: bart
Description-md5: 6f982d18eab40b33638ecd90fd2f1b5a
Description-en: tools for computational magnetic resonance imaging
 The Berkeley Advanced Reconstruction Toolbox (BART) is a free and
 open-source image-reconstruction framework for Computational Magnetic
 Resonance Imaging. It consists of a programming library and a toolbox
 of command-line programs. The library provides common operations on
 multi-dimensional arrays, Fourier and wavelet transforms, as well as
 generic implementations of iterative optimization algorithms. The
 command-line tools provide direct access to basic operations on
 multi-dimensional arrays as well as efficient implementations of
 many calibration and reconstruction algorithms for parallel imaging
 and compressed sensing.

Package: bart-view
Description-md5: 12c17c75dd71741d471dd93dcdef0561
Description-en: viewer for multi-dimensional complex-valued data
 The Berkeley Advanced Reconstruction Toolbox (BART) is a free and
 open-source image-reconstruction framework for Computational Magnetic
 Resonance Imaging.
 .
 This package provides an image viewer as an optional component of
 the BART framework. The viewer is designed for multi-dimensional
 complex-valued data.

Package: base58
Description-md5: c0b4dd58420c80d7fb4721cf688b75c7
Description-en: base58 encode/decode: command-line interface
 This package contains the base58 command, which can be used to encode, decode
 or check, in a form compatible with that used by the bitcoin network.

Package: basex
Description-md5: 7d19daeec194d22ba5448872b29e2575
Description-en: XML database and XPath/XQuery processor
 BaseX is a very fast and light-weight, yet powerful XML database and
 XPath/XQuery processor, including support for the latest W3C Full Text and
 Update Recommendations. It supports large XML instances and offers a highly
 interactive front-end (basexgui). Apart from two local standalone modes, BaseX
 offers a client/server architecture.
 .
 The package provides following commands:
  * basex  ..........  Standalone local XML database. Command line interface.
  * basexgui  .......  Standalone local XML database. Graphical user interface.
  * basexserver  ....  BaseX XML Database Server.
  * basexclient  ....  BaseX XML Database Client.
 BaseX XML database and XPath/XQuery processor

Package: basez
Description-md5: 0818946b0f0b5e51b8873d27ebb3576c
Description-en: base 16/32/64 encode/decode data to standard output
 BaseZ  encodes/decodes  base16,  base32, base32hex, base64 or base64url
 data stream per RFC 4648; MIME base64 Content-Transfer-Encoding per RFC
 2045; or PEM Printable Encoding per RFC 1421.
 .
 This binary package provides a list of commands: basez hex base16
 base32plain base32hex base64plain base64url base64mime base64pem

Package: bash-builtins
Description-md5: af509aa37177542db29bd85c79695512
Description-en: Bash loadable builtins - headers & examples
 Bash can dynamically load new builtin commands.  Included are the
 necessary headers to compile your own builtins and lots of examples.

Package: bash-static
Description-md5: ae0b688f32951bcf52b5c025ab588a4c
Description-en: GNU Bourne Again SHell (static version)
 Bash is an sh-compatible command language interpreter that executes
 commands read from the standard input or from a file.  Bash also
 incorporates useful features from the Korn and C shells (ksh and csh).
 .
 Statically linked.

Package: basic256
Description-md5: 41554da56e86394aac16c79c652af00c
Description-en: educational BASIC programming environment for children
 BASIC-256 is an easy to use version of BASIC designed to teach young
 children the basics of computer programming. It uses traditional
 control structures like gosub, for/next, and goto, which helps kids
 easily see how program flow-control works. It has a built-in graphics
 mode which lets them draw pictures on screen in minutes, and a set
 of detailed, easy-to-follow tutorials that introduce programming
 concepts through fun exercises.

Package: basket
Description-md5: 14a1fc33d1a245a0d50a677c880ec822
Description-en: multi-purpose note-taking application
 This application provides as many baskets (drawers) as you wish; Several
 kinds of objects (texts, URLs, images,...) can be drag-n-drop'd into it.
 .
 Objects can be edited, copied, dragged... So, they can be arranged
 according to users' taste. Moreover, Basket allows you to keep all objects
 you want in one place, keep data on hand, take notes...

Package: basket-data
Description-md5: b56152e5aeebbdec4421595fe44043f0
Description-en: data files for BasKet Notepads
 This application provides as many baskets (drawers) as you wish; Several
 kinds of objects (texts, URLs, images,...) can be drag-n-drop'd into it.
 .
 Objects can be edited, copied, dragged... So, they can be arranged
 according to users' taste. Moreover, Basket allows you to keep all objects
 you want in one place, keep data on hand, take notes...
 .
 This package contains arch independent data for Basket.

Package: bastet
Description-md5: a3a6971e41d676ee5058d5925f2a1ecc
Description-en: ncurses Tetris clone with a bastard algorithm
 Bastet ("bastard Tetris") is a free clone of Tetris which tries to
 compute how useful blocks are and gives you the worst possible brick.
 Playing bastet can be a painful experience, especially if you usually
 make "canyons" and wait for the long I-shaped block.

Package: bat
Description-md5: c3d5180c2f97b5de01bb1d988d683ed8
Description-en: cat(1) clone with syntax highlighting and git integration
 bat is a drop-in cat(1) replacement featuring:
 .
  * syntax highlighting for a large number of languages;
  * git integration;
  * automatic paging;
  * a user-friendly command-line interface.
 .
 In this package the executable and its manpage have been renamed from ‘bat’ to
 ‘batbat’ because of a file name clash with another Debian paclage.
 .
 This package contains the following binaries built from the Rust crate
 "bat":
  - bat

Package: batctl
Description-md5: 01e2e868d72177241969ad0c8bb64ba0
Description-en: B.A.T.M.A.N. advanced control and management tool
 This package contains batctl, which serves as configuration utility,
 monitoring and debugging application. It allows one to modify the module
 parameters, reading the logfiles and tables, decapsulate embedded packets on
 the fly, traceroute to and ping mac addresses, generate sequence number graphs
 for the Layer 2 Mesh B.A.T.M.A.N.
 .
 B.A.T.M.A.N. (better approach to mobile ad-hoc networking) is a new routing
 protocol for multi-hop ad-hoc mesh networks. B.A.T.M.A.N.-advanced is the
 implementation of this protocol on ISO/OSI Layer 2, allowing mesh networks to
 be used as a virtual switch. With this approach, LANs and WANs can be easily
 integrated, and more protocols (like DHCP, IPv6) are supported.

Package: batmand
Description-md5: 5a3af92b1dac60f36111cea31396f7c1
Description-en: better approach to mobile adhoc networking
 B.A.T.M.A.N. (better approach to mobile ad-hoc networking) is a new routing
 protocol for multi-hop ad-hoc mesh networks.
 .
 The approach of the B.A.T.M.A.N algorithm is to divide the knowledge about the
 best end-to-end paths between nodes in the mesh to all participating nodes.
 Each node perceives and maintains only the information about the best next hop
 towards all other nodes. Thereby the need for a global knowledge about local
 topology changes becomes unnecessary. Additionally, an event-based but timeless
 flooding mechanism prevents the accruement of contradicting topology
 information and limits the amount of topology messages flooding the mesh. The
 algorithm is designed to deal with networks that are based on unreliable links.

Package: batmon.app
Description-md5: 1381c1d28b4872697bc8521d64744d18
Description-en: Battery monitor for GNUstep
 Battery Monitor is a battery monitor for laptops. It displays the current
 status of the battery (charge/discharge and energy level) as well as some
 information about the general health of the cell.

Package: bats
Description-md5: 6d552fdf63d7a896150f59d788343155
Description-en: bash automated testing system
 Bats is a TAP-compliant testing framework for Bash. It provides a
 simple way to verify that the UNIX programs you write behave as
 expected.  Bats is most useful when testing software written in Bash,
 but you can use it to test any UNIX program.

Package: baycomepp
Description-md5: 2f018c32881e9e30bbc1c044f682b96f
Description-en: Drivers for the HB9JNX packet radio epp modem
 This package provides drivers for the baycom epp packet radio modem designed
 by HB9JNX.
 .
 This was used for AX25 packet communication over Amateur Radio.
 .
 Note that the upstream for this package has vanished so there is no
 Homepage: here and no debian/watch file.

Package: baycomusb
Description-md5: aae22959c3cc9bb7560922b94b891224
Description-en: Drivers for the HB9JNX packet radio usb modem
 This package provides drivers for the baycom usb packet radio modem designed
 by HB9JNX.
 .
 This was used for AX25 packet communication over Amateur Radio.
 .
 Note that the upstream for this package has vanished so there is no
 Homepage: here and no debian/watch file.

Package: bb
Description-md5: c12065012c848044e435986efafb28da
Description-en: ASCII-art demo based on AAlib
 This package contains a 'high quality audio-visual demonstration'
 of ASCII-art using AAlib, a portable ASCII-art graphics library.
 This demonstration can be displayed in a text- or X11-terminal.

Package: bbdb
Description-md5: 405fb925518348e1a54e429f7612e0da
Description-en: The Insidious Big Brother Database (email rolodex) for Emacs
 This is a transitional package and maybe safely deleted.

Package: bbdb3
Description-md5: 023a6b82d57d2e75740036348f2a7a85
Description-en: Reboot of the BBDB Insidious Big Brother Database for Emacs
 This is a reboot of BBDB, the Insidious Big Brother Database for GNU
 Emacs.  It provides an address book for email and snail mail
 addresses, phone numbers and the like.  It can be linked with various
 Emacs mail clients (Message and Mail mode, Rmail, Gnus, MH-E, Mu4e,
 VM, and Wanderlust).  BBDB is fully customizable.

Package: bbe
Description-md5: fea8060151b70ebdbeb33ddc6d799f06
Description-en: sed-like editor for binary files
 Editor like sed, but for binary files. bbe performs basic byte
 operations on blocks of input stream. bbe is a command line tool
 developed for Unix systems.

Package: bbmail
Description-md5: f783bdf0a0a79b943c7191a588db0f88
Description-en: Mail notifier for Blackbox/Fluxbox
 bbmail is a small mail notifier (displays unread and read mail)
 that can be used in the Blackbox or Fluxbox window managers.
 It is similar to other notifiers such as xbiff but with some
 additional features:
     * All the colors and gradients can be changed.
     * Support for multiple mail boxes and provides a menu showing
       all of them (and their unread/total mail count)
     * Support for counting mail through external programs, it can be
       used to notify on POP or IMAP checkboxes with fetchpop/fetchmail
     * Possibility to 'copy' Blackbox toolbar style
     * Ability to start command when new mail arrives (eg. to play sound)

Package: bbmap
Description-md5: b5833726e45421cf74f3885c83040a6f
Description-en: short read aligner and other bioinformatic tools
 BBMap: Short read aligner for DNA and RNA-seq data. Capable of handling
 arbitrarily large genomes with millions of scaffolds. Handles Illumina,
 PacBio, 454, and other reads; very high sensitivity and tolerant of
 errors and numerous large indels.
 .
 BBMap is multithreaded as well as the other tools bundled into this
 package for instance:
 .
 BBNorm: Kmer-based error-correction and normalization tool.
 .
 Dedupe: Simplifies assemblies by removing duplicate or contained
 subsequences that share a target percent identity.
 .
 Reformat: Reformats reads between fasta/fastq/scarf/fasta+qual/sam,
 interleaved/paired, and ASCII-33/64, at over 500 MB/s.
 .
 BBDuk: Filters, trims, or masks reads with kmer matches to an
 artifact/contaminant file.

Package: bbmap-jni
Description-md5: 4bb8a44275ba751392f2ea6db4cfd73b
Description-en: short read aligner and other bioinformatic tools - JNI library
 BBMap: Short read aligner for DNA and RNA-seq data. Capable of handling
 arbitrarily large genomes with millions of scaffolds. Handles Illumina,
 PacBio, 454, and other reads; very high sensitivity and tolerant of
 errors and numerous large indels.
 .
 This package contains the architecture dependent library for the bbmap
 tools.

Package: bbpager
Description-md5: bb8c06a4199066f36632b681cb5026f7
Description-en: Pager for the blackbox and fluxbox window managers
 A pager tool for the Blackbox window manager or one of its derivatives.  It
 supports the usual Blackbox styles, moving windows between desktops, and
 optional placement in the Blackbox slit. It is also possible to define how
 the desktops are displayed by defining the number of rows or columns to use.

Package: bbrun
Description-md5: 84542f7e2a649868c56b62db425fcfae
Description-en: tool for the blackbox/fluxbox window managers that runs commands
 bbrun is a small but very useful application. It makes it convenient to quickly
 execute commands from the blackbox window manager. bbrun is very similar to
 KDE's Alt+F2 Run Command dialog box. When launched, it occupies a small portion
 of the desktop and docks itself to the right side of the screen. The run dialog
 is opened by clicking a tiny bbrun icon.
 .
 You don't necessarily need blackbox for this program to work. It looks just as
 good in fluxbox, but it won't look the same in other window managers.

Package: bbswitch-dkms
Description-md5: 973e33001a4368886318f3ae57d53fb1
Description-en: Interface for toggling the power on NVIDIA Optimus video cards
 bbswitch is a kernel module which automatically detects the required ACPI
 calls for two kinds of Optimus laptops. It has been verified to work with
 "real" Optimus and "legacy" Optimus laptops (at least, that is what the
 author Lekensteyn calls those).
 .
 This package uses DKMS to automatically build the bbswitch kernel module.

Package: bbswitch-source
Description-md5: f1fc5afed2fcfaaef871d3d4ba4cc3bd
Description-en: Interface for toggling the power on NVIDIA Optimus video cards
 bbswitch is a kernel module which automatically detects the required ACPI
 calls for two kinds of Optimus laptops. It has been verified to work with
 "real" Optimus and "legacy" Optimus laptops (at least, that is what the
 author Lekensteyn calls those).
 .
 This package contains source code only, and is intended to be used with
 module-assistant to build the bbswitch kernel module.

Package: bbtime
Description-md5: d43fb49753c03987bbe0f03a68d02d4f
Description-en: Time tool for the blackbox/fluxbox window managers
 bbtime is a blackbox tool to display the system time in your
 blackbox slit. It can also display other times as an offset
 of your local time in a menu.
 .
 Note that you don't actually need blackbox or fluxbox for this program
 to work, but it won't look as good in other window managers.

Package: bcal
Description-md5: 8b80d1eeeb27fa09a0ecf2bb47cded47
Description-en: Command-line utility for storage conversions and calculations
 Feature
 .
  - convert to IEC/SI standard data storage units
  - show the address in bytes
  - show address as LBA:OFFSET
  - convert CHS to LBA and vice versa
  - show binary, decimal and hex representation of a number
  - custom sector size, max heads/cylinder and max sectors/track
  - minimal dependencies

Package: bcalm
Description-md5: 2b83667833161d4cd0bc2435a0402b84
Description-en: de Bruijn compaction in low memory
 A bioinformatics tool for constructing the compacted de Bruijn graph
 from sequencing data.
 .
 This is the parallel version of the BCALM software using gatb-core
 library.

Package: bcc
Description-md5: 94a771a7960d74aa5756bcf089ebb595
Description-en: 16-bit x86 C compiler
 This is a C-compiler for 8086 cpus which is important for the
 development of boot loaders or BIOS related 8086 code.

Package: bcftools
Description-md5: 2de6efc9fd60536b3eed1acdf68063bd
Description-en: genomic variant calling and manipulation of VCF/BCF files
 BCFtools is a set of utilities that manipulate variant calls in the
 Variant Call Format (VCF) and its binary counterpart BCF. All commands work
 transparently with both VCFs and BCFs, both uncompressed and BGZF-compressed.

Package: bchunk
Description-md5: f821b523585b337ac023f63013dbba27
Description-en: CD image format conversion from bin/cue to iso/cdr
 The bchunk package contains a UNIX/C rewrite of the BinChunker program.
 BinChunker converts a CD image in a .bin/.cue format (sometimes .raw/.cue) into
 a set of .iso and .cdr/.wav tracks. The .bin/.cue format is used by some
 non-UNIX CD-writing software, but is not supported on most other CD-writing
 programs.

Package: bcolz-doc
Description-md5: c4afcc2c02b0857f7bb9b1af2f49fbc1
Description-en: high performant compressed data container (documentation)
 bcolz provides columnar, chunked data containers that can be compressed
 in-memory and on-disk. Column storage allows for efficiently querying
 tables, as well as for cheap column addition and removal. It is based on
 NumPy, and uses it as the standard data container to communicate with
 bcolz objects, but it also comes with support for import/export facilities
 to/from HDF5/PyTables tables and Pandas dataframes.
 .
 This package contains the documentation.

Package: bcpp
Description-md5: 160d489c5cbf0e0f96de606bbd202826
Description-en: C(++) beautifier
 bcpp indents C/C++ source programs, replacing tabs with spaces or the
 reverse. Unlike indent, it does (by design) not attempt to wrap long
 statements.

Package: bcpp-dbg
Description-md5: 6bdd6803ba122f3b680ea1e4ff1c4f34
Description-en: C(++) beautifier - debug
 bcpp indents C/C++ source programs, replacing tabs with spaces or the
 reverse. Unlike indent, it does (by design) not attempt to wrap long
 statements.
 .
 This package contains the debugging symbols.

Package: bcron
Description-md5: 2bb678838c137c5e549837603fced6d7
Description-en: Bruce cron system
 Bruce cron system is designed with secure operations in mind. To do this,
 the system is divided into several separate programs, each responsible for
 a separate task, with strictly controlled communications between them.
 .
 The user interface is a drop-in replacement for similar systems, such as
 vixie-cron, but the internals differ greatly.

Package: bd
Description-md5: 364a7b03509955ac27c353556f007b56
Description-en: quickly go back to a specific parent directory in bash
 bd allows you to go to a parent directory in bash instead of typing
 "cd ../../.." redundantly.
 .
 You can simply type backdir with starting few letters and it will auto
 complete the directory name.
 .
 It can be used with other commands such as ls, ln, echo, zip, tar, etc.

Package: bdbvu
Description-md5: b0cfbe271657605e58d43ffbc8bb6324
Description-en: simple GUI tool to browse Berkeley DB databases
 BDBVu is a simple Qt GUI tool to display and browse Berkeley DB databases.

Package: bdf2psf
Description-md5: 5eb98031ca1e36678eeeefee0d31085b
Description-en: font converter to generate console fonts from BDF source fonts
 This package provides a command-line converter that can be used in
 scripts to build console fonts from BDF sources automatically. The
 converter comes with a collection of font encodings that cover many
 of the world's languages. The output font can use a different
 character encoding from the input. When the source font does not
 define a glyph for a particular symbol in the encoding table, that
 glyph position in the console font is not wasted but used for another
 symbol.
 .
 When deciding about the position in the font for a particular glyph,
 the converter takes into account that in text video modes the video
 adapter copies the eighth column of the glyph matrix of symbols
 positioned in the pseudographic area to the ninth column. In order to
 create fonts for text video modes, the width of the glyph matrix of
 the source BDF font should be seven, eight, or nine pixels; otherwise the
 converter creates fonts suitable for framebuffer only.

Package: bdfproxy
Description-md5: 9ae6fd0792c61439f2fb4d312d43ee20
Description-en: Patch binaries during download ala MITM
 The bdfproxy is for patching binaries during download ala MITM because
 a lot of security tool websites still serve binaries via non-SSL/TLS means.
 .
 This tool is based on backdoor-factory modules to cave various binaries.

Package: bdfresize
Description-md5: fdbe88767321eba83a9069b596b75cc6
Description-en: tool for resizing BDF format font
 Bdfresize is a command to magnify or reduce fonts which are described with
 the standard BDF format.

Package: bdii
Description-md5: cd4a8e45aa35a08d87f1792cdf0d0350
Description-en: Berkeley Database Information Index (BDII)
 The Berkeley Database Information Index (BDII) consists of a standard
 LDAP database which is updated by an external process. The update process
 obtains LDIF from a number of sources and merges them. It then compares
 this to the contents of the database and creates an LDIF file of the
 differences. This is then used to update the database.

Package: beads
Description-md5: 2f79c69bbcb6ace9bd282e7f61320332
Description-en: 2-DE electrophoresis gel image spot detection
 BEADS is a program for spot detection on 2-D gel images. It is based on
 an analogy with beads flowing uphill on the surface of the gel image and
 on the analysis of their paths (Langella & Zivy, 2008).

Package: beagle
Description-md5: 8c6aa7e55f8f700b70940474b2b5bd74
Description-en: Genotype calling, genotype phasing and imputation of ungenotyped markers
 Beagle performs genotype calling, genotype phasing, imputation of ungenotyped
 markers, and identity-by-descent segment detection. Genotypic imputation works
 on phased haplotypes using a Li and Stephens haplotype frequency model.
 Beagle also implements the Refined IBD algorithm for detecting
 homozygosity-by-descent (HBD) and identity-by-descent (IBD) segments.

Package: beagle-doc
Description-md5: d6ce6e352c457dfc14ea228e32e6ad3c
Description-en: Documentation for Beagle
 Beagle performs genotype calling, genotype phasing, imputation of ungenotyped
 markers, and identity-by-descent segment detection. Genotypic imputation works
 on phased haplotypes using a Li and Stephens haplotype frequency model.
 Beagle also implements the Refined IBD algorithm for detecting
 homozygosity-by-descent (HBD) and identity-by-descent (IBD) segments.
 .
 This package includes the Beagle API javadocs, and examples.

Package: beancount
Description-md5: 8d529a74f49424b908344f2220751be1
Description-en: Double-entry accounting from text files
 A double-entry bookkeeping computer language that lets you define financial
 transaction records in a text file, read them in memory, generate a variety of
 reports from them, and provides a web interface.
 .
 The following features require the following extra dependencies to be
 installed:
  - Beancount Web [python3-bottle]
  - OFX import [python3-bs4]
  - Google Sheets upload [python3-googleapi]
  - External price imports [python3-requests]

Package: beancounter
Description-md5: 1257e9f2d29552198e95e3eb3c27dd85
Description-en: A stock portfolio performance monitoring tool
 This package provides beancounter, a tool to quantify gains and losses in
 stock portfolios, as well as the BeanCounter Perl module that underlies it.
 Beancounter queries stock prices from Yahoo! Finance server(s) around the
 globe and stores them in a relational database (using PostgreSQL) so
 that the data can be used for further analysis.  Canned performance and
 risk reports are available.

Package: beanstalkd
Description-md5: c5918527026b57dc76d8a8c9e30ecf13
Description-en: simple, in-memory, workqueue service
 Beanstalkd is a simple, fast, workqueue service (a specific case of message
 queueing), in which messages are organised in "tubes". Beanstalk clients can
 insert and consume messages into and from such tubes.
 .
 The beanstalk interface is generic, but was originally designed for reducing
 the latency of page views in high-volume web applications by running
 time-consuming tasks asynchronously.
 .
 Beanstalkd is meant to be ran in a trusted network, as it has no
 authorisation/authentication mechanisms.
 .
 This package has the server files.

Package: bear
Description-md5: 7181645ef316f8148f4d7cc522b76bf9
Description-en: generate compilation database for Clang tooling
 Bear records the flags passed to the compiler for each translation unit and
 stores them in a JSON file. This file can be used by Clang's tooling interface
 and programs like clang-check to process a translation unit.
 .
 cmake supports the generation of JSON compilation databases out of the box.
 For any other build system that does not support this, Bear can be used
 instead to intercept the invocation of the compiler.

Package: bear-factory
Description-md5: 74f8f8f9272db7c3d594f880dcf656d8
Description-en: Editors for Plee the Bear
 This package includes the level editor, animation editor and model editor of
 the Bear Engine for Plee the Bear.

Package: beast2-mcmc
Description-md5: 2785321ab12649989f68aa400b6cbd7d
Description-en: Bayesian MCMC phylogenetic inference
 BEAST is a cross-platform program for Bayesian MCMC analysis of molecular
 sequences. It is entirely orientated towards rooted, time-measured
 phylogenies inferred using strict or relaxed molecular clock models. It
 can be used as a method of reconstructing phylogenies but is also a
 framework for testing evolutionary hypotheses without conditioning on a
 single tree topology. BEAST uses MCMC to average over tree space, so that
 each tree is weighted proportional to its posterior probability. Included
 is a simple to use user-interface program for setting up standard
 analyses and a suit of programs for analysing the results.
 .
 This is no new upstream version of beast-mcmc (1.x) but rather a rewritten
 version.

Package: beast2-mcmc-doc
Description-md5: d7b9c807289871437fa29c08757b103e
Description-en: Bayesian MCMC phylogenetic inference - documentation
 BEAST is a cross-platform program for Bayesian MCMC analysis of molecular
 sequences. It is entirely orientated towards rooted, time-measured
 phylogenies inferred using strict or relaxed molecular clock models. It
 can be used as a method of reconstructing phylogenies but is also a
 framework for testing evolutionary hypotheses without conditioning on a
 single tree topology. BEAST uses MCMC to average over tree space, so that
 each tree is weighted proportional to its posterior probability. Included
 is a simple to use user-interface program for setting up standard
 analyses and a suit of programs for analysing the results.
 .
 This package contains the documentation.

Package: beast2-mcmc-examples
Description-md5: c63bad5feea098eb39bb86db8b6f8d33
Description-en: Bayesian MCMC phylogenetic inference - example data
 BEAST is a cross-platform program for Bayesian MCMC analysis of molecular
 sequences. It is entirely orientated towards rooted, time-measured
 phylogenies inferred using strict or relaxed molecular clock models. It
 can be used as a method of reconstructing phylogenies but is also a
 framework for testing evolutionary hypotheses without conditioning on a
 single tree topology. BEAST uses MCMC to average over tree space, so that
 each tree is weighted proportional to its posterior probability. Included
 is a simple to use user-interface program for setting up standard
 analyses and a suit of programs for analysing the results.
 .
 This package contains the example data.

Package: beav
Description-md5: ece1300d8cfcc58cec962e14bb855ada
Description-en: binary editor and viewer
 beav (Binary Editor And Viewer) is an editor for binary files containing
 arbitrary data. Text file editors, on the other hand, expect the files they
 edit to contain textual data, and/or to be formatted in a certain way (e.g.
 lines of printable characters delimited by newline characters).
 .
 With beav, you can edit a file in HEX, ASCII, EBCDIC, OCTAL, DECIMAL, and
 BINARY. You can display but not edit data in FLOAT mode. You can search or
 search and replace in any of these modes. Data can be displayed in BYTE,
 WORD, or DOUBLE WORD formats. While displaying WORDS or DOUBLE WORDS the
 data can be displayed in INTEL's or MOTOROLA's byte ordering. Data of any
 length can be inserted at any point in the file. The source of this data
 can be the keyboard, another buffer, or a file. Any data that is being
 displayed can be sent to a printer in the displayed format. Files that are
 bigger than memory can be handled.

Package: bedops
Description-md5: d807739d5283c1d13f5877adcff218cd
Description-en: high-performance genomic feature operations
 BEDOPS is a suite of tools to address common questions raised in genomic
 studies, mostly with regard to overlap and proximity relationships between
 data sets.
 It aims to be scalable and flexible, facilitating the efficient and accurate
 analysis and management of large-scale genomic data.

Package: bedops-doc
Description-md5: e1d4c266bacfbc5a71652190723dd958
Description-en: high-performance genomic feature operations (documentation)
 BEDOPS is a suite of tools to address common questions raised in genomic
 studies, mostly with regard to overlap and proximity relationships between
 data sets.
 It aims to be scalable and flexible, facilitating the efficient and accurate
 analysis and management of large-scale genomic data.
 .
 This package contains the BEDOPS documentation.

Package: bedtools
Description-md5: 58af131119baf6930c142074f356e7c9
Description-en: suite of utilities for comparing genomic features
 The BEDTools utilities allow one to address common genomics tasks such as
 finding feature overlaps and computing coverage. The utilities are largely
 based on four widely-used file formats: BED, GFF/GTF, VCF, and SAM/BAM. Using
 BEDTools, one can develop sophisticated pipelines that answer complicated
 research questions by streaming several BEDTools together.
 .
 The groupBy utility is distributed in the filo package.

Package: bedtools-test
Description-md5: f7cded48ccb331508c65c4ef95728f52
Description-en: test data for the bedtools package
 Test data for the BEDTools suite of utilities for comparing genomic features.
 It is distributed in a separate package because it is large and
 architecture-independent.

Package: beef
Description-md5: e7f7d6829a16b29f5b43c28683c4b333
Description-en: flexible Brainfuck interpreter
 Beef is an interpreter for the Brainfuck programming language.
 .
 Its main goals are to be comfortable for the user and to run most
 Brainfuck programs unchanged; speed is generally quite good.
 .
 Beef performs thorough error checking to make sure malformed programs are
 not executed; it also supports a bunch of command-line options that can be
 used for configuration or compatibility purposes.
 .
 If GVFS is installed, Beef can use any available backend as either output
 or (where it makes sense) input source. GNU readline is used for
 interactive input.

Package: beep
Description-md5: 9a7b060493693519da9ad2c1f4292fc7
Description-en: advanced PC-speaker beeper
 beep does what you'd expect: it beeps. But unlike printf "\a", beep allows
 you to control pitch, duration, and repetitions. Its job is to live inside
 shell/perl scripts and allow more granularity than one has otherwise. It is
 controlled completely through command line options. It's not supposed to be
 complex, and it isn't - but it makes system monitoring (or whatever else it
 gets hacked into) much more informative.

Package: beets
Description-md5: ca8f466f5c117f899fd4e865a4defa20
Description-en: music tagger and library organizer
 Beets is a media library management system for obsessive-compulsive music
 geeks.
 .
 The purpose of beets is to get your music collection right once and for all.
 It catalogs your collection, automatically improving its metadata as it goes
 using the MusicBrainz database.  It then provides a set of tools for
 manipulating and accessing your music.
 .
 Beets also includes a music player that implements the MPD protocol, so you
 can play music in your beets library using any MPD client.

Package: beets-doc
Description-md5: dc039d8a0437d0d96a9bba3c9deb32bb
Description-en: music tagger and library organizer - documentation
 Beets is a media library management system for obsessive-compulsive music
 geeks.
 .
 The purpose of beets is to get your music collection right once and for all.
 It catalogs your collection, automatically improving its metadata as it goes
 using the MusicBrainz database.  It then provides a set of tools for
 manipulating and accessing your music.
 .
 Beets also includes a music player that implements the MPD protocol, so you
 can play music in your beets library using any MPD client.
 .
 This package provides detailed documentation on beets usage.

Package: beignet-dev
Description-md5: c18cb018cb442cf278f7c4cfd884d3d8
Description-en: OpenCL for Intel GPUs (development files and documentation)
 OpenCL (Open Computing Language) is a multivendor open standard for
 general-purpose parallel programming of heterogeneous systems that include
 CPUs, GPUs and other processors.
 .
 This package contains the documentation specific to this
 implementation, the development files for Intel OpenCL extensions,
 and a (general) OpenCL testing tool.

Package: beignet-opencl-icd
Description-md5: 78f61d98353778666324c0eb10656c86
Description-en: OpenCL library for Intel GPUs
 OpenCL (Open Computing Language) is a multivendor open standard for
 general-purpose parallel programming of heterogeneous systems that include
 CPUs, GPUs and other processors.
 .
 This package contains the shared library for an Intel implementation,
 which supports the integrated GPUs of Ivy Bridge to Coffee Lake
 (ix-3xxx to some ix-8xxx) Intel Core and Atom processors.

Package: belenios-tool
Description-md5: 18045667543db3e9ad34f7b79158ec26
Description-en: verifiable voting system (command-line tool)
 Belenios aims at providing an easy to use voting system, guaranteeing
 state-of-the-art security, namely vote privacy and verifiability. It
 can be used in many types of elections, ranging from scientific
 councils to sport associations.
 .
 It consists of a command-line tool and a web server. Both use the
 same backend and can be used to organize elections and perform
 verifications.
 .
 Security properties of the system are:
  * Vote privacy: No one can learn the vote of a voter. Vote privacy
    relies on the encryption of the votes.
  * End-to-end verifiablity: Every voter can check that her vote has
    been counted and only eligible voters may vote. End-to-end
    verifiablity relies on the fact that the ballot box is public
    (voters can check that their ballots have been received) and on
    the fact that the tally is publicly verifiable (anyone can recount
    the votes). Moreover, ballots are signed by the voter credential
    (only eligible voters are able to vote).
 .
 This package provides the command-line tool, which is the most
 convenient way to exercise the verifiability capabilities of the
 system. It can be used to run an election without using the web
 server, and to monitor an election running on a web server.

Package: belvu
Description-md5: bbcc780b6f15b2358daea3060c6035c4
Description-en: multiple sequence alignment viewer and phylogenetic tool
 Belvu is a multiple sequence alignment viewer and phylogenetic tool with
 an extensive set of user-configurable modes to color residues.
 .
  * View multiple sequence alignments.
  * Residues can be coloured by conservation, with user-configurable
    cutoffs and colours.
  * Residues can be coloured by residue type (user-configurable).
  * Colour schemes can be imported or exported.
  * Swissprot (or PIR) entries can be fetched by double clicking.
  * The position in the alignment can be easily tracked.
  * Manual deletion of rows and columns.
  * Automatic editing of rows and columns based on customisable criteria:
     - removal of all-gap columns;
     - removal of all gaps;
     - removal of redundant sequences;
     - removal of a column by a user-specified percentage of gaps;
     - filtering of sequences by percent identity;
     - removal of sequences by a user-specified percentage of gaps;
     - removal of partial sequences (those starting or ending with
       gaps); and
     - removal of columns by conservation (with user-specified
       upper/lower cutoffs).
  * The alignment can be saved in Stockholm, Selex, MSF or FASTA format.
  * Distance matrices between sequences can be generated using a variety
    of distance metrics.
  * Distance matrices can be imported or exported.
  * Phylogenetic trees can be constructed based on various distance-based
    tree reconstruction algorithms.
  * Trees can be saved in New Hampshire format.
  * Belvu can perform bootstrap phylogenetic reconstruction.

Package: ben
Description-md5: 26c1756a0c66374600005f242c6151a7
Description-en: toolbox for Debian maintainers
 This is a collection of useful tools that Debian maintainers can use
 to make their packaging work easier. They all work with regular
 Debian package list files, and should be useful for Debian
 derivatives as well. This package ships a single executable, "ben",
 with the following subcommands:
  * download: download a set of package list files from a mirror
  * monitor: monitor the status of a set of packages across several
    architectures (useful for transitions)
  * query: query packages using their metadata (similar to grep-dctrl,
    but uses a dedicated query language)
  * tracker: frontend to multiple monitors

Package: beneath-a-steel-sky
Description-md5: 97be6ddeca12a27cff629b7a53eee387
Description-en: classic 2D point and click science fiction adventure game
 A science-fiction thriller set in a bleak post-apocalyptic vision
 of the future, Beneath a Steel Sky revolves around "Union City",
 where selfishness, rivalry, and corruption by its citizens seems to
 be all too common, those who can afford it live underground, away
 from the pollution and social problems which are plaguing the city.
 .
 You take on the role of Robert Foster, an outcast of sorts from the
 city since a boy who was raised in a remote environment outside of
 Union City simply termed "the gap".  Robert's mother took him away
 from Union City as a child on their way to "Hobart" but the helicopter
 crashed on its way, unfortunately Robert's mother dies, but he
 survives and is left to be raised by a local tribe from the gap.
 .
 Years later, Union City security drops by and abducts Robert, killing
 his tribe in the process; upon reaching the city the helicopter taking
 him there crashes with him escaping, high upon a tower block in the
 middle of the city he sets out to discover the truth about his past,
 and to seek vengeance for the killing of his tribe.
 .
 Note that this package only contains game-data.  The game engine is
 provided by ScummVM.

Package: berkeley-abc
Description-md5: fe8b27ff54bd9aa0a3cbde7454745685
Description-en: ABC - A System for Sequential Synthesis and Verification
 This is a growing software system for synthesis and verification of binary
 sequential logic circuits appearing in synchronous hardware designs. ABC
 combines scalable logic optimization based on And-Inverter Graphs (AIGs),
 optimal-delay DAG-based technology mapping for look-up tables and standard
 cells, and innovative algorithms for sequential synthesis and verification.
 .
 ABC provides an experimental implementation of these algorithms and a
 programming environment for building similar applications. Future development
 will focus on improving the algorithms and making most of the packages
 stand-alone. This will allow the user to customize ABC for their needs as if
 it were a tool-box rather than a complete tool.

Package: berkeley-express
Description-md5: 4d4c6aaf75f2e0fff660b1816f1f13c6
Description-en: Streaming quantification for high-throughput sequencing
 eXpress is a streaming tool for quantifying the abundances of a set of
 target sequences from sampled subsequences. Example applications include
 transcript-level RNA-Seq quantification, allele-specific/haplotype
 expression analysis (from RNA-Seq), transcription factor binding
 quantification in ChIP-Seq, and analysis of metagenomic data. It is
 based on an online-EM algorithm that results in space (memory)
 requirements proportional to the total size of the target sequences and
 time requirements that are proportional to the number of sampled
 fragments. Thus, in applications such as RNA-Seq, eXpress can accurately
 quantify much larger samples than other currently available tools
 greatly reducing computing infrastructure requirements. eXpress can be
 used to build lightweight high-throughput sequencing processing
 pipelines when coupled with a streaming aligner (such as Bowtie), as
 output can be piped directly into eXpress, effectively eliminating the
 need to store read alignments in memory or on disk.
 .
 In an analysis of the performance of eXpress for RNA-Seq data, it was
 observed that this efficiency does not come at a cost of accuracy.
 eXpress is more accurate than other available tools, even when limited
 to smaller datasets that do not require such efficiency. Moreover, like
 the Cufflinks program, eXpress can be used to estimate transcript
 abundances in multi-isoform genes. eXpress is also able to resolve
 multi-mappings of reads across gene families, and does not require a
 reference genome so that it can be used in conjunction with de novo
 assemblers such as Trinity, Oases, or Trans-ABySS. The underlying model
 is based on previously described probabilistic models developed for
 RNA-Seq but is applicable to other settings where target sequences are
 sampled, and includes parameters for fragment length distributions,
 errors in reads, and sequence-specific fragment bias.
 .
 eXpress can be used to resolve ambiguous mappings in other
 high-throughput sequencing based applications. The only required inputs
 to eXpress are a set of target sequences and a set of sequenced
 fragments multiply-aligned to them.  While these target sequences will
 often be gene isoforms, they need not be. Haplotypes can be used as the
 reference for allele-specific expression analysis, binding regions for
 ChIP-Seq, or target genomes in metagenomics experiments. eXpress is
 useful in any analysis where reads multi-map to sequences that differ in
 abundance.

Package: berkeley-express-doc
Description-md5: 6872981809a9528fac787c2292ad040e
Description-en: Documentation for RNA-Seq tool eXpress
 This package provides a PDF with the documentation for eXpress.
 .
 eXpress is a streaming tool for quantifying the abundances of a set of
 target sequences from sampled subsequences. Example applications include
 transcript-level RNA-Seq quantification, allele-specific/haplotype
 expression analysis (from RNA-Seq), transcription factor binding
 quantification in ChIP-Seq, and analysis of metagenomic data. It is
 based on an online-EM algorithm that results in space (memory)
 requirements proportional to the total size of the target sequences and
 time requirements that are proportional to the number of sampled
 fragments. Thus, in applications such as RNA-Seq, eXpress can accurately
 quantify much larger samples than other currently available tools
 greatly reducing computing infrastructure requirements. eXpress can be
 used to build lightweight high-throughput sequencing processing
 pipelines when coupled with a streaming aligner (such as Bowtie), as
 output can be piped directly into eXpress, effectively eliminating the
 need to store read alignments in memory or on disk.
 .
 In an analysis of the performance of eXpress for RNA-Seq data, it was
 observed that this efficiency does not come at a cost of accuracy.
 eXpress is more accurate than other available tools, even when limited
 to smaller datasets that do not require such efficiency. Moreover, like
 the Cufflinks program, eXpress can be used to estimate transcript
 abundances in multi-isoform genes. eXpress is also able to resolve
 multi-mappings of reads across gene families, and does not require a
 reference genome so that it can be used in conjunction with de novo
 assemblers such as Trinity, Oases, or Trans-ABySS. The underlying model
 is based on previously described probabilistic models developed for
 RNA-Seq but is applicable to other settings where target sequences are
 sampled, and includes parameters for fragment length distributions,
 errors in reads, and sequence-specific fragment bias.
 .
 eXpress can be used to resolve ambiguous mappings in other
 high-throughput sequencing based applications. The only required inputs
 to eXpress are a set of target sequences and a set of sequenced
 fragments multiply-aligned to them.  While these target sequences will
 often be gene isoforms, they need not be. Haplotypes can be used as the
 reference for allele-specific expression analysis, binding regions for
 ChIP-Seq, or target genomes in metagenomics experiments. eXpress is
 useful in any analysis where reads multi-map to sequences that differ in
 abundance.

Package: berrynet
Description-md5: 35c4ff8b8b7e04099d6ec3e11c431bb2
Description-en: deep learning gateway - meta package
 BerryNet turns devices into an intelligent gateway with deep learning
 running on it. No internet connection is required, everything is done
 locally on the local LAN and the IoT devices.
 .
 This package is the meta package that install everything.

Package: berrynet-dashboard
Description-md5: fad4bb00ecce7dcbabea6f3c3425016f
Description-en: deep learning gateway - python3 modules
 BerryNet turns devices into an intelligent gateway with deep learning
 running on it. No internet connection is required, everything is done
 locally on the local LAN and the IoT devices.
 .
 This package contains the dashboard.

Package: berusky
Description-md5: fdeb85b4a0e70546b7d989c048755fba
Description-en: Logic game based on Sokoban
 Berusky is a free logic game based on an ancient puzzle named Sokoban.  An old
 idea of moving boxes in a maze has been expanded with new logic items such as
 explosives, stones, special gates and so on. In addition, up to five bugs can
 cooperate and be controlled by the player.  In order to leave each level
 (there's about 120 levels in the game) it is necessary to own five keys and
 also to have a free way to the exit.

Package: berusky-data
Description-md5: 63ae184aa47aebcf8498a358c8db26ca
Description-en: Data files for Berusky
 Berusky is a free logic game based on an ancient puzzle named Sokoban.  An old
 idea of moving boxes in a maze has been expanded with new logic items such as
 explosives, stones, special gates and so on. In addition, up to five bugs can
 cooperate and be controlled by the player.  In order to leave each level
 (there's about 120 levels in the game) it is necessary to own five keys and
 also to have a free way to the exit.
 .
 This package contains the data files for Berusky.

Package: berusky2
Description-md5: 17c8ef5eab01804db5f02522c8171826
Description-en: logic game Bugs Escape 3D
 The game based on Berusky that is also available for Debian, though this is
 the enhanced 3D version. Berusky II is a game that challenges your
 visual/spatial thinking and the ability to find a way to resolve a logic task.
 .
 The entire game takes place in a 3D environment while you slip into the role
 of different bugs and lead them out of a maze. In addition to arbitrary
 turning and level zooming all logical plots happen in space.
 .
 In comparison to the first part, new logical elements, a simple linear story
 accompanied by comic series, various 3D environments, water surfaces and
 others have been added.

Package: berusky2-data
Description-md5: f416d47787a53c2c442d91e56760a8c2
Description-en: logic game Bugs Escape 3D -- data files
 The game based on Berusky that is also available for Debian, though this is
 the enhanced 3D version. Berusky II is a game that challenges your
 visual/spatial thinking and the ability to find a way to resolve a logic task.
 .
 The entire game takes place in a 3D environment while you slip into the role
 of different bugs and lead them out of a maze. In addition to arbitrary
 turning and level zooming all logical plots happen in space.
 .
 In comparison to the first part, new logical elements, a simple linear story
 accompanied by comic series, various 3D environments, water surfaces and
 others have been added.
 .
 This package contains the data files for Berusky II.

Package: betaradio
Description-md5: c724bddd568d64c96ffa63548f9c37bd
Description-en: Internet radio of Taiwan
 With this client you can listen to internet radio of Taiwan without opening a
 browser. It displays a icon on system tray that you can click and select the
 channel you want to listen to.

Package: between
Description-md5: ecd1cb4c9190070f372c8a3a41630510
Description-en: game about consciousness and isolation
 Between is a pixelated art game for two players by Jason Rohrer.
 .
 You know exactly what you need to do -- you can see it shimmering
 right there in front of you. You can see it while dreaming, too, and
 the difference has become subtle. Dreams wake into dreams, and people
 blend in and out: real characters and dream characters, all woven into
 the same script. Finally, they fade completely, and you're alone in the
 expanse with the construction. With time, you feel something growing,
 a pinhole that eventually yawns into a deep ravine of longing. The
 construction languishes, though the expanse seems indifferent.
 .
 One night, in a dream, they appear: things that you clearly could not
 have conjured on your own. Not snowflakes. Not the self-similar forms
 of leaves. Not distant planets' erosion networks as viewed through
 telescopes. Not those things that are beautifully external but lack
 the signatures of consciousness. These things that appear are ugly and
 non-procedural: indecipherable transmissions bubbling up through static,
 faded messages floating in bottles, and charcoal handprints on cave
 walls. Evidence has reached you through time of unknown duration and
 distance of unknown magnitude, but stale evidence is still evidence.
 .
 Somewhere, across whatever barriers stand between, is an "other".

Package: bf
Description-md5: 2d82df6a87ccdde80c78a35608cfd685
Description-en: a fast Brainfuck interpreter
 bf ('a Brainfuck interpreter') is a simple and fast interpreter
 for the esoteric programming language Brainfuck. It offers some
 options to define special behavior, which is nice if you take
 part in Brainfuck programming contests with special rules.
 .
 This package is compiled with S-Lang support.

Package: bf-utf-source
Description-md5: b71d2b1a2abb75a1dc34320f9bde9d23
Description-en: source for fonts needed to build Debian installers
 This package contains fonts needed to build the debian-installer and
 bterm-unifont packages.
 .
 If you aren't building Debian install images, you probably don't need
 this package.

Package: bfbtester
Description-md5: 147bddeb0a8deebc15d69c901a6c1b6c
Description-en: Brute Force Binary Tester
 BFBTester is great for doing quick, proactive, security checks of
 binary programs. BFBTester will perform checks of single and multiple
 argument command line overflows as well as environment variable
 overflows. BFBTester can also watch for tempfile creation activity to
 alert the user of any programs using unsafe tempfile names. While
 BFBTester can not test all overflows in software, it is useful for
 detecting initial mistakes that can red flag dangerous software.

Package: bfs
Description-md5: 6af55a6b8932ab739ba592e9f4b72864
Description-en: Breadth-first version of find(1)
 bfs is a variant of the UNIX `find` command that operates breadth-first rather
 than depth-first.
 .
 It tries to be easier to use than `find`, while remaining compatible with
 find(1). For example, bfs is less picky about where you put its arguments.
 bfs also adds some extra options that make some common tasks easier.
 .
 When bfs detects that its output is a terminal, it automatically colors its
 output with the same colors ls uses.

Package: bgoffice-computer-terms
Description-md5: aa6c57cfa1071cc135d9815a39abfcd5
Description-en: English-Bulgarian dictionary of computer terms
 Data files for gbgoffice, containing English-Bulgarian dictionary of
 commonly used computer terms.
 .
 Target users are translators of software into Bulgarian.

Package: bgpdump
Description-md5: 7dda0a90345b3689da84a0dcfcbb721d
Description-en: Translate binary zebra/quagga/MRT files into readable output
 The bgpdump programs analyses the dump files produced by the quagga
 routing daemon or the Multithreaded Routing Toolkit (MRT).

Package: bgpq3
Description-md5: e5ffc7b8ed959a76c492c7a107f2acc8
Description-en: automatic BGP filter generator using RADB data
 bgpq3 eases BGP filter maintenance by automatically generating prefix
 lists, (extended) access lists, policy statement terms and AS-path lists
 using RADB data. It features IPv6 prefix-/access-list support,
 aggregation of generated filters and output compatible with Cisco,
 Juniper and BIRD.

Package: biabam
Description-md5: a39c7e567ac5ef8203e4adebeb8a629b
Description-en: bash attachment mailer
 A tool that is used for mailing attachments from the commandline.
 It is similar to using Mutt to send attachments on the commandline,
 but without the overhead of a complete email client.

Package: bibclean
Description-md5: 086653d7606e29de8ac7af2a699a8cf0
Description-en: pretty-printer for BibTeX databases
 This is a pretty-printer and syntax-checker for bibliographic
 databases in the BibTeX format. It is a useful tool for canonicalizing
 the layout of personal bibliographies with entries fetched from many
 different sources.

Package: bibcursed
Description-md5: 532f7b0aade08f1f6c9c03884859fb62
Description-en: Interactive program to edit BibTeX bibliographies
 Bibcursed is a simple program to make life a little easier when using
 BibTeX bibliographies. It currently provides these main functions:
 .
  - Adding references to your bibliography. This is done with
    prompts so templates for the different entries (article,
    proceedings, etc) do not have to be remembered. Error checking is
    used so that the required fields have to be supplied, and incorrect
    fields cannot be entered.  New entries are inserted into the
    'correct' place in the BibTeX file
  - Clean and easy removal of entries
  - Viewing of entries and changing of fields
  - Easy searching of entries, including search by field

Package: biber
Description-md5: 2145fae4f6a6ba45840729fab8ef9657
Description-en: Much-augmented BibTeX replacement for BibLaTeX users
 The biblatex package by Philipp Lehman is becoming the definitive
 citation management tool for LaTeX users. Biblatex has relied on the
 venerable BibTeX program only for sorting and generating a very
 generic .bbl file without any formatting instruction. Everything else
 is taken care of by biblatex, which provides a powerful and flexible
 macro interface for authors of citation styles.
 .
 Biber offers a large superset of BibTeX functionality for BibLaTeX
 users. In addition it offers full UTF-8 (Unicode 6.0) capabilities,
 fully customisable sorting, output to GraphViz to help visualise
 complex crossrefs, support for remote data sources, structural
 validation of the data against the (customisable) data model, and a
 lot more.

Package: bible-kjv
Description-md5: c48f444174c8af3e9ff874758e4ef06a
Description-en: King James Version of the Bible: user interface program.
 This package contains a text-retrieval program and user interface which is
 primarily designed to operate on the text and concordance of the King
 James translation of the Bible, although it could, in principle, be
 used for any text.  The search/browse engine allows searching and
 reading passages from scripture. Includes full concordance.
 .
 It would be nice if someone could set up a web interface for the bible.

Package: bible-kjv-text
Description-md5: d25de08750e4a08afa7de53b7d262d3d
Description-en: King James Version of the Bible - text and concordance
 This package contains the King James Version of the Bible (translated in
 1611) which is and was widely used in the English speaking culture,
 together with a full concordance.
 .
 The text and concordance are compressed and require the bible-kjv package
 which contains a text-based user interface for accessing the text.

Package: bibledit
Description-md5: 658ee25d9b4eb981f8bad16ba598af67
Description-en: Bible editor
 A tool for the Bible translator.
 Translate Bibles.
 Edit Bibles.
 Proofread Bibles.
 Publish Bibles.
 Synchronize your data through Bibledit Cloud.

Package: bibledit-cloud
Description-md5: d7f8d4a3495c47f42fe763de99979654
Description-en: Bible editor server
 An online tool for the Bible translator.
 Translate Bibles.
 Edit Bibles.
 Proofread Bibles.
 Publish Bibles.
 Collaboration server for multiple Bibledit clients.

Package: bibledit-cloud-data
Description-md5: 6d5896ec739ab3658f46567030f3d41c
Description-en: Data for bibledit-cloud
 Contains pictures, icons, lexicons, scripts.
 This is normally not installed manually.
 The bibledit-cloud package will install it automatically.

Package: bibledit-data
Description-md5: 8e8c5e371a796fd366a5cc26b709475c
Description-en: Data for bibledit
 Contains pictures, icons, lexicons, scripts.
 This is normally not installed manually.
 The bibledit package will install it automatically.

Package: bibletime
Description-md5: afed2027ab606da31c78854102bb44ce
Description-en: bible study tool for Qt
 BibleTime is a free and easy to use bible study tool.
 It uses the Qt and SWORD software libraries.
 BibleTime provides easy handling of digitized texts (Bibles, commentaries
 and lexicons) and powerful features to work with these texts (search in
 texts, write own notes, save, print etc.) in the SWORD module format.

Package: bibletime-data
Description-md5: 66d4524bd19e1f8f0687b64aa63ee91e
Description-en: Documentation and data for bibletime, a bible study tool
 BibleTime is a free and easy to use bible study tool.
 .
 This package contains the help, online documentation and data files
 which BibleTime uses.

Package: biboumi
Description-md5: 479d7434bd03973bbda4b1be59f8e98d
Description-en: XMPP gateway to connect to IRC servers
 Biboumi is an XMPP gateway that connects to IRC servers and translates
 between the two protocols. It can be used to access IRC channels using
 any XMPP client as if these channels were XMPP MUCs.
 .
 It is written in modern C++14 and makes great efforts to have as little
 dependencies and to be as simple as possible.
 .
 The goal is to provide a way to access most of IRC features using any
 XMPP client.  It doesn’t however try to provide a complete mapping of
 the features of both worlds simply because this is not useful and most
 probably impossible.  For example all IRC modes are not all
 translatable into an XMPP features.  Some of them are (like +m (mute)
 or +o (operator) modes), but some others are IRC-specific.  If IRC is
 the limiting factor (for example you cannot have a non-ASCII nickname
 on IRC) then biboumi doesn’t try to work around this issue: it just
 enforces the rules of the IRC server by telling the user that he/she
 must choose an ASCII-only nickname.  An important goal is to keep the
 software (and its code) light and simple.

Package: bibshelf
Description-md5: ffa65900c960bdf945b7ea7d61d8e40d
Description-en: book organizer for GNOME
 helps you to keep track of your book collection. You can
 fill in such things as the book summary, review, rating and
 the ISBN. Never forget when it was that you read a book,
 BibShelf lets you save the date so you do no longer need to
 waste your brain capacity for storing this information.

Package: bibtex2html
Description-md5: bd437b1fe8daab8da36f8e8c2177be8a
Description-en: filters BibTeX files and translates them to HTML
 Collection of tools for filtering BibTeX data bases and for producing
 HTML documents from BibTeX data bases:
 .
  - aux2bib extracts a BibTeX database consisting of only the entries
    that are refereed by an aux file.
 .
  - bib2bib is a filter tool that reads one or several bibliography
    files, filters the entries with respect to a given criterion, and
    outputs the list of selected keys together with a new bibliography
    file containing only the selected entries;
 .
  - bibtex2html is a translator that reads a bibliography file and
    outputs two HTML documents that are respectively the cited
    bibliography in a nice presentation, and the original BibTeX file
    augmented with several transparent HTML links to allow easy
    navigation.

Package: bibtexconv
Description-md5: 092a3f17fb8d6d21e6036136aa61c730
Description-en: BibTeX Converter
 BibTeXConv is a BibTeX file converter which allows one to export
 BibTeX entries to other formats, including customly defined
 text output. Furthermore, it provides the possibility to
 check URLs (including MD5, size and MIME type computations)
 and to verify ISBN and ISSN numbers.

Package: bibtool
Description-md5: 6069b497a6d13d2afc46c93cae109983
Description-en: tool to manipulate BibTeX files
 BibTeX provides an easy to use means to integrate citations and
 bibliographies into LaTeX documents. But the user is left alone with
 the management of the BibTeX files. BibTool is intended to fill this
 gap. BibTool allows the  manipulation of BibTeX files which goes
 beyond the possibilities -- and intentions -- of BibTeX.
 .
 BibTool manipulates BibTeX database files through the command line
 front-end bibtool which accepts numerous options. Modifications are
 performed through resource instructions that allow the modification
 of the various internal parameters determining the behavior of BibTool;
 resource instructions can be grouped in resource files.
 The original BibTool distribution contains a sufficient set of resource
 file samples to perform basic, relevant manipulations.
 .
 BibTool contains a documentation written in LaTeX.
 .
 BibTool is written in C and has been compiled on various operating
 systems like flavors of UN*X and MSDOS machines.

Package: bibutils
Description-md5: 817b52b3cbf74a34535280d3d904defb
Description-en: interconvert various bibliographic data formats
 Convert between the following bibliographic data formats: BibTeX,
 COPAC, EndNote refer, EndNote XML, Pubmed XML, ISI web of science, US
 Library of Congress MODS XML, RIS, and Word 2007 bibliography.
 .
 This package provides command line tools bib2xml, copac2xml, end2xml
 endx2xml, isi2xml, med2xml, ris2xml which convert to MODS XML format,
 modsclean which reformats MODS XML, and a matching set of commands
 xml2ads, xml2bib, xml2end, xml2isi, xml2ris, xml2wordbib which convert
 from MODS XML.

Package: bidentd
Description-md5: 7f34879b45166f71dd4f835dec65a655
Description-en: Bisqwit's identd for NAT proxying
 This daemon provides an Identification Protocol (RFC 1413) daemon.  It
 works like an ident daemon is supposed to work.  Masquerading is
 supported, and works recursively.  Works only under Linux, due to the
 use of /proc filesystem.
 .
 A typical case for using Bisqwit's identd:
   - Alpha has the internet connection. It has an ip in internet.
   - Beta is masqueraded by Alpha.
   - Gamma is masqueraded by Beta.
   - Somebody in Gamma starts irc, and the irc server (in internet)
     gets the username of the user in Gamma, correctly.
 All of these computers would be running bidentd (from inetd),
 although Gamma could have any ident daemon, as it does not masquerade
 further.

Package: bidiv
Description-md5: f54f3bcc32e78628e0970771051a6373
Description-en: BiDi viewer - command-line tool displaying logical Hebrew/Arabic
 bidiv is a simple utility for converting logical-Hebrew input to visual-Hebrew
 output. This is useful for reading Hebrew mail messages, viewing Hebrew texts,
 etc. It was written for Hebrew but Arabic (or other BiDi languages) should
 work equally well.

Package: bifcl
Description-md5: 5eacf4443b4a1ae7ea7ff2dde1f8d563
Description-en: Bro Built-In-Function Compiler
 The bifcl program simply takes a .bif file as input and generates C++
 header/source files along with a .bro script that all-together
 provide the declaration and implementation of Bro Built-In-Functions
 (BIFs), which can then be compiled and shipped as part of a Bro
 plugin.
 .
 A BIF allows one to write arbitrary C++ code and access it via a
 function call inside a Bro script. In this way, they can also be used
 to access parts of Bro's internal C++ API that aren't already exposed
 via their own BIFs.

Package: biff
Description-md5: 8371ac164838c91c11bf21c401c5054d
Description-en: a mail notification tool
 biff is a small program that prints a message to your terminal
 when new email arrives. Actually, the message is printed by
 the comsat daemon, and biff just enables/disables the u+x
 permission flag for the terminal, which comsat uses to determine
 whether or not to write to your terminal.
 .
 biff is mainly of historic interest, since there are much better
 alternatives (such as xlbiff and gbuffy) that are network-aware and
 do not require a daemon. Although there are no known security
 problems, running additional services is often considered risky.
 .
 By default, the biff service is disabled. To use biff email
 notification, you must enable this service by running 'update-inetd
 --enable biff' after the package is installed. You may also need
 to modify the configuration of your mail transport agent to enable
 comsat notification.

Package: big-cursor
Description-md5: 056b158844159fbfed104792106c6735
Description-en: larger mouse cursors for X
 This package provides some large mouse cursors for use under X. It's useful
 for laptop users, for those running X at very high resolutions, and for
 anyone who finds it hard to see the default mouse cursors.

Package: biglybt
Description-md5: fbbc804e606e1490aaa2e7cf7ef18b28
Description-en: BitTorrent client
 BiglyBT is a feature filled, open source, ad-free, BitTorrent client used
 to transfer files via the BitTorrent protocol. BiglyBT is the continuation
 of the Vuze/Azureus project first created in 2003, and is being actively
 developed by the original coders.

Package: bijiben
Description-md5: 35d9ccbb25f9b3694f2966ad56c0a6c6
Description-en: intuitive note editor integrated with GNOME 3
 Bijiben is a note editor that is designed to be intuitive and easy to use,
 and well integrated with GNOME 3. It aims to be simple and has an emphasis
 on visuals: quickly write notes, and quickly retrieve them.

Package: bikeshed
Description-md5: 94abc28b330ef0a237e91878102dfa5f
Description-en: random useful tools that do not yet have a permanent home
 Bikeshed is a collection of random but useful tools and utilities that
 either don't quite fit anywhere else, or have not yet been accepted
 by a more appropriate project.
 .
 Think of this package as an "orphanage", where tools live until they
 are adopted by loving, accepting parents.

Package: bilibop
Description-md5: 4606c6d97eba0670aa6464f66ba4ca39
Description-en: run Debian GNU/Linux from external media - metapackage
 Bilibop helps to maintain a Debian GNU/Linux operating system installed
 on an external media (USB, FireWire, Flash memory, eSATA). It hardens
 standard rules and policies to make the system more robust in this
 particular situation.
 .
 The installation of this metapackage will install other BILIBOP packages
 as dependencies. You should not install it, unless your system is writable
 and runs from an external device.

Package: bilibop-common
Description-md5: 4c165d023e6cdb33429745a72a1ffdd9
Description-en: shell functions for bilibop scripts
 Bilibop helps to maintain a Debian GNU/Linux operating system installed
 on an external media (USB, FireWire, Flash memory, eSATA). It hardens
 standard rules and policies to make the system more robust in this
 particular situation.
 .
 This package provides shell functions usable by other bilibop scripts on the
 running system or into the initramfs environment. These functions use /dev,
 /proc and /sys databases to output the drive name or the partition hosting
 the running system, and are fully usable by any unprivileged user or
 application. Dm-crypt, LVM, loop devices, aufs and overlay root filesystems
 (and almost any combination of them) are supported. A 'drivemap' command is
 also provided, to show block devices in a tree of dependencies.

Package: bilibop-lockfs
Description-md5: 3d0ab976669fa15081c34e0bcced86df
Description-en: lock filesystems and write changes into RAM
 Bilibop helps to maintain a Debian GNU/Linux operating system installed
 on an external media (USB, FireWire, Flash memory, eSATA). It hardens
 standard rules and policies to make the system more robust in this
 particular situation.
 .
 If the lockfs feature is enabled (in a configuration file, in the boot
 commandline or by a heuristic), nothing will be written on the filesystems
 listed in /etc/fstab, except for those that have been whitelisted, or for
 the encrypted swap devices. More, bilibop-lockfs now is able to detect if
 the drive has been locked by a physical switch, and then overrides its own
 settings to unconditionally apply a 'hard' policy.
 .
 The root filesystem is locked (set readonly, using either aufs or overlay)
 by an initramfs script which also modifies the temporary fstab to prepare
 other filesystems to be locked later by a mount helper script.
 .
 bilibop-lockfs provides the following features:
  * whitelist based policy: filesystems on which you want to allow persistent
    changes must be explicitly listed in a configuration file.
  * swap devices policy: they can be used 'as is', noauto, only if encrypted,
    only if encrypted with a random key, or not used at all.
  * not only filesystems are set read-only, but also block devices: this
    forbids changes of the partition table, boot sectors, LUKS headers and
    LVM metadata.
  * plymouth messages to know at boot time if bilibop-lockfs is enabled or
    not, or if an error occurred.
  * desktop notifications at startup about filesystems status, to inform the
    user that volatile or persistent changes are allowed or not, and where.
 .
 This package can be used as an alternative to fsprotect or overlayroot,
 especially for writable operating systems embedded on a USB stick; but it
 may also be installed on public or personal computers, for daily use,
 kiosks, testing purposes, or as a tool in anti-forensics strategies.

Package: bilibop-rules
Description-md5: cfe08d416c4c1b4f05f9b5152a6086c2
Description-en: device management rules for OS running from external media
 Bilibop helps to maintain a Debian GNU/Linux operating system installed
 on an external media (USB, FireWire, Flash memory, eSATA). It hardens
 standard rules and policies to make the system more robust in this
 particular situation.
 .
 This package provides a udev rules file to manage the external drive hosting
 the running system. Its main goal is to forbid low-level write access on this
 drive and its partitions by any unprivileged user or application, but some
 other convenient and optional rules have been added for desktop-level
 management (in desktop environments based on udisks2) of the system disk and
 partitions, as well as the internal disks of the computer. The 'lsbilibop'
 command allows the admin to update udev properties of the devices after the
 configuration file has been modified.
 .
 To ease device management, bilibop-rules also provides helper scripts to:
  * build custom bilibop udev rules running faster than the generic ones
  * filter Physical Volumes, to activate only those needed by the system
 .
 This package is not designed to be used on internal disks. It works only
 for OS installed on removable and writable media, including LiveUSB as well
 as native systems. See also the bilibop-udev package.

Package: bilibop-udev
Description-md5: c397412955dc1e01c4bf4e9fe813e415
Description-en: minimal udev rule for Debian GNU/Linux running from external media
 Bilibop helps to maintain a Debian GNU/Linux operating system installed
 on an external media (USB, FireWire, Flash memory, eSATA). It hardens
 standard rules and policies to make the system more robust in this
 particular situation.
 .
 This package provides a udev rules file to manage the external drive hosting
 the running system. Its goal is to forbid low-level write access on this
 drive and its partitions by any unprivileged user or application.
 .
 This package is not designed to be used on internal disks. It works only
 for OS installed on removable and writable media, especially LiveUSB systems
 and disk images provided by most vendors of popular ARM-based development
 boards. See also the bilibop-rules package.

Package: billard-gl
Description-md5: c4e33f43bba455925ad4b1ee732ef1f5
Description-en: 3D billiards game
 Play a game of 8-ball or 9-ball, either in training mode or against a
 friend. For beginners, the game features a tutorial, introducing them to
 the user-interface and controls. Help is also available for those who do
 not know the rules for an 8-ball or 9-ball game.

Package: billard-gl-data
Description-md5: 04a8a619424696f5628b5509e845adc4
Description-en: 3D billiards game - data files
 Play a game of 8-ball or 9-ball, either in training mode or against a
 friend. For beginners, the game features a tutorial, introducing them to
 the user-interface and controls. Help is also available for those who do
 not know the rules for an 8-ball or 9-ball game.
 .
 This package contains the data files for billard-gl.

Package: biloba
Description-md5: 6f57ac97af751be8a4fb8ae8a5a5ba81
Description-en: turn based strategy board game for up to 4 players
 Biloba is an abstract strategy board game for 1 to 4 players. At each
 turn the player moves pawns on an octagonal shaped board (but squared
 cells) trying to remove opponent's pawns from it.
 .
 Biloba includes an AI opponent for single player mode, and supports
 local or network play for 2 or more (up to 4) players.

Package: biloba-data
Description-md5: 2b17ab1a2614d95a243bbcb2d7e0c17f
Description-en: data package for biloba turn based strategy board game
 This is the required data package for biloba. Biloba is an abstract
 strategy board game for 1 to 4 players. At each turn the player moves
 pawns on an octagonal shaped board (but squared cells) trying to
 remove opponent's pawns.

Package: bin86
Description-md5: ab9b02e844161c194a3566d31fb8a4ab
Description-en: 16-bit x86 assembler and loader
 This is the as86 and ld86 distribution written by Bruce Evans.
 It's a complete 8086 assembler and loader which can make 32-bit
 code for the 386+ processors.

Package: binaryen
Description-md5: 1b6fbe6d2a104a5a4e75c4d4b14d60e6
Description-en: compiler and toolchain infrastructure library for WebAssembly
 Binaryen is a compiler and toolchain infrastructure library for WebAssembly,
 written in C++. It aims to make compiling to WebAssembly easy, fast, and
 effective:
 .
  * Easy: Binaryen has a simple C API in a single header, and can also be used
    from JavaScript. It accepts input in WebAssembly-like form but also
    accepts a general control flow graph for compilers that prefer that.
 .
  * Fast: Binaryen's internal IR uses compact data structures and is designed
    for completely parallel codegen and optimization, using all available CPU
    cores. Binaryen's IR also compiles down to WebAssembly extremely easily and
    quickly because it is essentially a subset of WebAssembly.
 .
  * Effective: Binaryen's optimizer has many passes that can improve code very
    significantly (e.g. local coloring to coalesce local variables; dead code
    elimination; precomputing expressions when possible at compile time; etc.).
    These optimizations aim to make Binaryen powerful enough to be used as a
    compiler backend by itself. One specific area of focus is on
    WebAssembly-specific optimizations (that general-purpose compilers might not
    do), which you can think of as wasm minification , similar to minification
    for JavaScript, CSS, etc., all of which are language-specific (an example of
    such an optimization is block return value generation in SimplifyLocals).

Package: binclock
Description-md5: 146aa9ff119e0d48d8781609638a1010
Description-en: binary clock for console with color support
 BinClock - Displays system time in binary format.
 It supports showing the time with eight different colors, and it can
 run a loop that prints the time every second.
 The default colors and characters for printing can be changed with a
 config file.

Package: bind9-dyndb-ldap
Description-md5: 8e81cb5294cf4a5634f077463db73379
Description-en: LDAP back-end plug-in for BIND
 This package provides an LDAP back-end plug-in for BIND. It features
 support for dynamic updates and internal caching, to lift the load
 off of your LDAP server.

Package: bind9utils
Description-md5: 8681b0dabeb2a3fde42fdbb1f21451d2
Description-en: Transitional package for bind9-utils
 This is a transitional package. It can safely be removed.

Package: bindechexascii
Description-md5: 276252700e3e21e6f9a210caeffef889
Description-en: simple ASCII,binary,decimal and hex converter
 The bindechexascii is a program that convert the argument from standard
 input to the numbering system or character set (ASCII) specified in the
 option and print the result on the screen. That way you can perform the
 following conversion: binary to decimal, binary to hex, binary to ASCII,
 decimal to binary, decimal to hex, decimal to ASCII, hex to binary, hex
 to decimal, hex to ASCII, ASCII to binary, ASCII to decimal, ASCII to
 hex.
 .
 It's different from packages like iprint showing all results (binary,
 hexadecimal,ASCII,octal) for any argument.

Package: bindfs
Description-md5: e629abef60409c1e8a620fada2b54fe9
Description-en: mirrors or overlays a local directory with altered permissions
 bindfs is a FUSE filesystem for mirroring a directory to another
 directory, similarly to mount --bind. The permissions of the mirrored
 directory can be altered in various ways.
 .
 Some things bindfs can be used for:
  - Making a directory read-only.
  - Making all executables non-executable.
  - Sharing a directory with a list of users (or groups).
  - Modifying permission bits using rules with chmod-like syntax.
  - Changing the permissions with which files are created.
 .
 Non-root users can use almost all features, but most interesting
 use-cases need user_allow_other to be defined in /etc/fuse.conf.

Package: bindgraph
Description-md5: 1acf1a51a0875158240cc2d7a7e4c45d
Description-en: DNS statistics RRDtool frontend for BIND9
 BindGraph is a very simple DNS statistics RRDtool frontend for
 BIND9 that produces daily, weekly, monthly and yearly graphs of
 the DNS server's activity (queries, errors, etc.).

Package: binfmt-support
Description-md5: 29e82a31bb4248987c1cee43d589e134
Description-en: Support for extra binary formats
 The binfmt_misc kernel module, contained in versions 2.1.43 and later of the
 Linux kernel, allows system administrators to register interpreters for
 various binary formats based on a magic number or their file extension, and
 cause the appropriate interpreter to be invoked whenever a matching file is
 executed. Think of it as a more flexible version of the #! executable
 interpreter mechanism.
 .
 This package provides an 'update-binfmts' script with which package
 maintainers can register interpreters to be used with this module without
 having to worry about writing their own init.d scripts, and which sysadmins
 can use for a slightly higher-level interface to this module.

Package: binfmtc
Description-md5: ecee66ef1f7ec71b9bd11b3337420843
Description-en: Execute C program as script
 Linux binfmt_misc handler for C, C++, Assembly languages, Pascal,
 Fortran, Java(GCJ).
 .
 Using the binfmt_misc interface, binfmtc allows users to
 seamlessly execute C source code as if they were scripts.
 .
 Includes example utilities realcsh.c, realksh.c, which are for your
 real C scripting pleasures.

Package: bing
Description-md5: 4219a8c7ed14105493d4245d2257d8bf
Description-en: Empirical stochastic bandwidth tester
 Bing is a point-to-point bandwidth measurement tool (hence the 'b'),
 based on ping.
 .
 Bing determines the real (raw, as opposed to available or average)
 throughput on a link by measuring ICMP echo requests' round trip times
 for different packet sizes at each end of the link.

Package: biniax2
Description-md5: c2a76f132d7f5cc7b5851067c68f91ad
Description-en: logic game with arcade and tactics modes
 Biniax-2 is an original and entertaining game. It only takes a minute to learn
 and gives you hours and hours of gameplay. There are three game modes (two
 singleplayer and one multiplayer), hall of fame, dynamic music and a nice
 cartoon look.

Package: biniax2-data
Description-md5: 407f22adff8f87f82525c4d98052c5ba
Description-en: logic game with arcade and tactics modes -- data
 Biniax-2 is an original and entertaining game. It only takes a minute to learn
 and gives you hours and hours of gameplay. There are three game modes (two
 singleplayer and one multiplayer), hall of fame, dynamic music and a nice
 cartoon look.
 .
 This package contains the game data files for the game Biniax-2.

Package: binkd
Description-md5: 5d0f8501c604b17ac5a63bc4efae3e5b
Description-en: FidoTech TCP/IP mailer
 Binkd is a FidoTech mailer designed for use over TCP/IP.
 .
 This program is NOT an internet mail transfer agent.
 If you don't know what it is, you don't need it.

Package: bino
Description-md5: 76af5881592020bff7cc4a63e1174838
Description-en: 3D video player
 Bino is a video player with support for a wide variety
 of stereoscopic video layouts and formats.
 .
 Bino can display monoscopic videos, as well as
 videos with the left and right view stored either
 in separate streams or displayed side by side.
 It can output these videos for several types
 of anaglyph glasses or 3D displays.

Package: binoculars
Description-md5: 7ec1126c73c65f185b08063efe81f6f2
Description-en: Surface X-ray diffraction 2D detector data reduction
 BINoculars is a tool for data reduction and analysis of large sets of
 surface diffraction data that have been acquired with a
 two-dimensional X-ray detector. The intensity of each pixel of a
 two-dimensional detector is projected onto a three-dimensional grid
 in reciprocal-lattice coordinates using a binning algorithm. This
 allows for fast acquisition and processing of high-resolution data
 sets and results in a significant reduction of the size of the data
 set. The subsequent analysis then proceeds in reciprocal space. It
 has evolved from the specific needs of the ID03 beamline at the ESRF,
 but it has a modular design and can be easily adjusted and extended
 to work with data from other beamlines or from other measurement
 techniques.

Package: binoculars-doc
Description-md5: 3a926b32f8ddecfb023dc412167e13ff
Description-en: Surface X-ray diffraction 2D detector data reduction - Documentation
 BINoculars is a tool for data reduction and analysis of large sets of
 surface diffraction data that have been acquired with a
 two-dimensional X-ray detector. The intensity of each pixel of a
 two-dimensional detector is projected onto a three-dimensional grid
 in reciprocal-lattice coordinates using a binning algorithm. This
 allows for fast acquisition and processing of high-resolution data
 sets and results in a significant reduction of the size of the data
 set. The subsequent analysis then proceeds in reciprocal space. It
 has evolved from the specific needs of the ID03 beamline at the ESRF,
 but it has a modular design and can be easily adjusted and extended
 to work with data from other beamlines or from other measurement
 techniques.
 .
 This is the common documentation package.

Package: binpac
Description-md5: 5291a7940a92282be8182294c4e312f9
Description-en: high level protocol parser language
 BinPAC is a high level language for describing protocol parsers and
 generates C++ code. It is currently maintained and distributed with
 the Bro Network Security Monitor distribution, however, the generated
 parsers may be used with other programs besides Bro.

Package: binstats
Description-md5: 191fb775de6dcedf84ab6725685be2c0
Description-en: Statistics tool for installed programs
 A utility to aid the tidying up of binaries, interpreted scripts, and
 dynamic libraries.  It can find the number and identity of a.out and
 ELF binaries, plus their debugging symbols status, setuid status, and
 dynamic library dependence.  It can count the number of Java bytecode
 programs, tally up the main types of scripts, and look for unidentified
 executable text files.
 .
 Also it is able to find any duplicated executable names, unused
 libraries, binaries with missing libraries, statically linked binaries,
 and duplicated manual page names.

Package: binutils-alpha-linux-gnu
Description-md5: cf6453387519bb9b858412d4d85d0cce
Description-en: GNU binary utilities, for alpha-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the alpha-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for alpha-linux-gnu and alpha-linux-gnu is not your native platform.

Package: binutils-alpha-linux-gnu-dbg
Description-md5: b413f423180a0d8ac45547161b750c1d
Description-en: GNU binary utilities, for alpha-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-alpha-linux-gnu.

Package: binutils-arm-linux-gnueabi
Description-md5: 543b7a53ff77ce42c2c287107f0db722
Description-en: GNU binary utilities, for arm-linux-gnueabi target
 This package provides GNU assembler, linker and binary utilities
 for the arm-linux-gnueabi target.
 .
 You don't need this package unless you plan to cross-compile programs
 for arm-linux-gnueabi and arm-linux-gnueabi is not your native platform.

Package: binutils-arm-linux-gnueabi-dbg
Description-md5: e59c420a02ddb6e7553dc778bbd0e0c3
Description-en: GNU binary utilities, for arm-linux-gnueabi target (debug symbols)
 This package provides debug symbols for binutils-arm-linux-gnueabi.

Package: binutils-arm-none-eabi
Description-md5: f75c79ad4cf4a6315ad1103f5b7e3723
Description-en: GNU assembler, linker and binary utilities for ARM Cortex-R/M processors
 Bare metal binutils for embedded ARM chips using Cortex-M0/M0+/M3/M4 and
 Cortex-R4/R5/R7 processors. The programs in this package are used to
 manipulate binary and object files that may have been created for Cortex
 architecture.

Package: binutils-avr
Description-md5: 703acfb84a3d02eb6993826f16367fc6
Description-en: Binary utilities supporting Atmel's AVR targets
 The programs in this package are used to manipulate binary and object
 files that may have been created for Atmel's AVR architecture.  This package
 is primarily for AVR developers and cross-compilers and is not needed
 by normal users or developers.

Package: binutils-djgpp
Description-md5: 89a3800c75f7965bf647be0d7cc3955a
Description-en: Cross-binutils for DOS using DJGPP
 DJGPP provides a development and runtime environment for 32-bit DOS
 applications using a specific C library and the GNU Compiler
 Collection (gcc).
 .
 This package contains the toolchain binutils targeting 32-bit DOS.

Package: binutils-for-build
Description-md5: 3e7c61cc537f12c56d442cedd307bcd5
Description-en: GNU assembler, linker and binary utilities for the build architecture
 The programs in this package are used to assemble, link and manipulate
 binary and object files for the native architecture.  They may be used in
 conjunction with a build architecture compiler and various libraries to build
 programs. When using binutils through this metapackage, tools without an
 architecture prefix must be used.

Package: binutils-for-host
Description-md5: 1f6b2f5a49fd5974b13f8e75dc20c7b1
Description-en: GNU assembler, linker and binary utilities for the host architecture
 The programs in this package are used to assemble, link and manipulate
 binary and object files for the architecture of this package.  They may be
 used in conjunction with a matching compiler and various libraries to build
 programs. When using binutils through this metapackage, all tools must be
 used with an architecture prefix.

Package: binutils-h8300-hms
Description-md5: d36f7ce5528d0c8862667e995a4a2067
Description-en: GNU binary utilities, for h8300-hitachi-coff target
 This package provides GNU assembler, linker and binary utilities
 for h8300-hitachi-coff target, for use in a cross-compilation environment.
 .
 You don't need this package unless you plan to cross-compile programs
 for h8300-hitachi-coff.

Package: binutils-hppa-linux-gnu
Description-md5: 0349a5ffa8e7cc3126545ca4fa04a5cf
Description-en: GNU binary utilities, for hppa-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the hppa-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for hppa-linux-gnu and hppa-linux-gnu is not your native platform.

Package: binutils-hppa-linux-gnu-dbg
Description-md5: 149cfbc7b99518558ca103c35604d5bc
Description-en: GNU binary utilities, for hppa-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-hppa-linux-gnu.

Package: binutils-hppa64-linux-gnu
Description-md5: d10ea856859cb34c423f67db676441a0
Description-en: GNU assembler, linker and binary utilities targeted for hppa64-linux
 The programs in this package are used to assemble, link and manipulate
 binary and object files.  They may be used in conjunction with a compiler
 and various libraries to build programs.
 .
 This package is needed to build an 64-bit kernel for 64-bit hppa machines.

Package: binutils-hppa64-linux-gnu-dbg
Description-md5: 2e7f5f591a28da760bcd221550eb3193
Description-en: GNU binutils targeted for hppa64-linux (debug symbols)
 This package provides debug symbols for binutils-hppa64-linux-gnu.

Package: binutils-ia64-linux-gnu
Description-md5: ea67f718a2f71164ed3bd3d25b639559
Description-en: GNU binary utilities, for ia64-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the ia64-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for ia64-linux-gnu and ia64-linux-gnu is not your native platform.

Package: binutils-ia64-linux-gnu-dbg
Description-md5: f6a1aa0fc4f0714615f5c5d4aa199623
Description-en: GNU binary utilities, for ia64-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-ia64-linux-gnu.

Package: binutils-m68hc1x
Description-md5: a59b18534d2ba0ee2f3ff2a06ef32d00
Description-en: binary utilities that support Motorola's 68HC11/12 targets
 The programs in this package are used to assemble, link and manipulate binary
 and object files for the Motorola's 68HC11/12 architecture. This package is
 primarily for 68HC11/12 developers and cross-compilers and is not needed by
 normal users or developers.

Package: binutils-m68k-linux-gnu
Description-md5: e59eb2b0cd08f7027abfc2476635ecb1
Description-en: GNU binary utilities, for m68k-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the m68k-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for m68k-linux-gnu and m68k-linux-gnu is not your native platform.

Package: binutils-m68k-linux-gnu-dbg
Description-md5: 092fdec0bf6a6ba4aae1f5902583622d
Description-en: GNU binary utilities, for m68k-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-m68k-linux-gnu.

Package: binutils-mingw-w64
Description-md5: 2c6b78807f6cadfd2a7ca0319422b2a0
Description-en: Cross-binutils for Win32 and Win64 using MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This metapackage provides the toolchain binutils.

Package: binutils-mingw-w64-i686
Description-md5: d710d4449fffbd4a80acf49317058bf9
Description-en: Cross-binutils for Win32 (x86) using MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the toolchain binutils targeting 32-bit Windows.

Package: binutils-mingw-w64-x86-64
Description-md5: 534c34076079da99080913185690e895
Description-en: Cross-binutils for Win64 (x64) using MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the toolchain binutils targeting 64-bit Windows.

Package: binutils-mips-linux-gnu
Description-md5: f1532fdcc3b706284d7886c4201ecedc
Description-en: GNU binary utilities, for mips-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the mips-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mips-linux-gnu and mips-linux-gnu is not your native platform.

Package: binutils-mips-linux-gnu-dbg
Description-md5: 3156208b82578d8f21b72726cb568db7
Description-en: GNU binary utilities, for mips-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-mips-linux-gnu.

Package: binutils-mips64-linux-gnuabi64
Description-md5: 353e06feecf17c7e1a90b4fe8fe655f0
Description-en: GNU binary utilities, for mips64-linux-gnuabi64 target
 This package provides GNU assembler, linker and binary utilities
 for the mips64-linux-gnuabi64 target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mips64-linux-gnuabi64 and mips64-linux-gnuabi64 is not your native platform.

Package: binutils-mips64-linux-gnuabi64-dbg
Description-md5: 09fc5162225012f61f035266c1379c27
Description-en: GNU binary utilities, for mips64-linux-gnuabi64 target (debug symbols)
 This package provides debug symbols for binutils-mips64-linux-gnuabi64.

Package: binutils-mips64-linux-gnuabin32
Description-md5: a5c8785543aa2c71c28f18162624a7df
Description-en: GNU binary utilities, for mips64-linux-gnuabin32 target
 This package provides GNU assembler, linker and binary utilities
 for the mips64-linux-gnuabin32 target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mips64-linux-gnuabin32 and mips64-linux-gnuabin32 is not your native platform.

Package: binutils-mips64-linux-gnuabin32-dbg
Description-md5: aad3106f660ba0f36d5888f7ba36c9f0
Description-en: GNU binary utilities, for mips64-linux-gnuabin32 target (debug symbols)
 This package provides debug symbols for binutils-mips64-linux-gnuabin32.

Package: binutils-mips64el-linux-gnuabi64
Description-md5: ea0e22d99ab2bf6309c095649396505b
Description-en: GNU binary utilities, for mips64el-linux-gnuabi64 target
 This package provides GNU assembler, linker and binary utilities
 for the mips64el-linux-gnuabi64 target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mips64el-linux-gnuabi64 and mips64el-linux-gnuabi64 is not your native platform.

Package: binutils-mips64el-linux-gnuabi64-dbg
Description-md5: 32909b8630aead0e832505e55aca3802
Description-en: GNU binary utilities, for mips64el-linux-gnuabi64 target (debug symbols)
 This package provides debug symbols for binutils-mips64el-linux-gnuabi64.

Package: binutils-mips64el-linux-gnuabin32
Description-md5: 74627c79f960bd00d4ff0e4f6bd4f84c
Description-en: GNU binary utilities, for mips64el-linux-gnuabin32 target
 This package provides GNU assembler, linker and binary utilities
 for the mips64el-linux-gnuabin32 target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mips64el-linux-gnuabin32 and mips64el-linux-gnuabin32 is not your native platform.

Package: binutils-mips64el-linux-gnuabin32-dbg
Description-md5: f335703791ca9e65aeccd9c0179f02ca
Description-en: GNU binary utilities, for mips64el-linux-gnuabin32 target (debug symbols)
 This package provides debug symbols for binutils-mips64el-linux-gnuabin32.

Package: binutils-mipsel-linux-gnu
Description-md5: 9841df8f4fcf1f30a3f4c089450b0307
Description-en: GNU binary utilities, for mipsel-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the mipsel-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mipsel-linux-gnu and mipsel-linux-gnu is not your native platform.

Package: binutils-mipsel-linux-gnu-dbg
Description-md5: 48f846a4a2715d869bd81abb9262eb9b
Description-en: GNU binary utilities, for mipsel-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-mipsel-linux-gnu.

Package: binutils-mipsisa32r6-linux-gnu
Description-md5: 77e5eee30e8335452fc5f7c48d4e3ee7
Description-en: GNU binary utilities, for mipsisa32r6-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the mipsisa32r6-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mipsisa32r6-linux-gnu and mipsisa32r6-linux-gnu is not your native platform.

Package: binutils-mipsisa32r6-linux-gnu-dbg
Description-md5: 377843ad2c04263b1228f2d063e4dfd5
Description-en: GNU binary utilities, for mipsisa32r6-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-mipsisa32r6-linux-gnu.

Package: binutils-mipsisa32r6el-linux-gnu
Description-md5: 09471fb0d99abcf3c2225604c92692e0
Description-en: GNU binary utilities, for mipsisa32r6el-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the mipsisa32r6el-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mipsisa32r6el-linux-gnu and mipsisa32r6el-linux-gnu is not your native platform.

Package: binutils-mipsisa32r6el-linux-gnu-dbg
Description-md5: 680d867fe7f2ac96fee44ddf84497d87
Description-en: GNU binary utilities, for mipsisa32r6el-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-mipsisa32r6el-linux-gnu.

Package: binutils-mipsisa64r6-linux-gnuabi64
Description-md5: 4df90289814a03b6f7b0caf136d4f948
Description-en: GNU binary utilities, for mipsisa64r6-linux-gnuabi64 target
 This package provides GNU assembler, linker and binary utilities
 for the mipsisa64r6-linux-gnuabi64 target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mipsisa64r6-linux-gnuabi64 and mipsisa64r6-linux-gnuabi64 is not your native platform.

Package: binutils-mipsisa64r6-linux-gnuabi64-dbg
Description-md5: 039a55490d354e1c1590bbaa9452dbaa
Description-en: GNU binary utilities, for mipsisa64r6-linux-gnuabi64 target (debug symbols)
 This package provides debug symbols for binutils-mipsisa64r6-linux-gnuabi64.

Package: binutils-mipsisa64r6-linux-gnuabin32
Description-md5: 63658ed1f5477df83840da5dbc816fea
Description-en: GNU binary utilities, for mipsisa64r6-linux-gnuabin32 target
 This package provides GNU assembler, linker and binary utilities
 for the mipsisa64r6-linux-gnuabin32 target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mipsisa64r6-linux-gnuabin32 and mipsisa64r6-linux-gnuabin32 is not your native platform.

Package: binutils-mipsisa64r6-linux-gnuabin32-dbg
Description-md5: ec954b5cf7d10c2c0f4a8d945308483c
Description-en: GNU binary utilities, for mipsisa64r6-linux-gnuabin32 target (debug symbols)
 This package provides debug symbols for binutils-mipsisa64r6-linux-gnuabin32.

Package: binutils-mipsisa64r6el-linux-gnuabi64
Description-md5: 6c6dc52d3fd6d7e64bf0c54ebd93fd4c
Description-en: GNU binary utilities, for mipsisa64r6el-linux-gnuabi64 target
 This package provides GNU assembler, linker and binary utilities
 for the mipsisa64r6el-linux-gnuabi64 target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mipsisa64r6el-linux-gnuabi64 and mipsisa64r6el-linux-gnuabi64 is not your native platform.

Package: binutils-mipsisa64r6el-linux-gnuabi64-dbg
Description-md5: f1cea46484ab0979296547106aaa9cd9
Description-en: GNU binary utilities, for mipsisa64r6el-linux-gnuabi64 target (debug symbols)
 This package provides debug symbols for binutils-mipsisa64r6el-linux-gnuabi64.

Package: binutils-mipsisa64r6el-linux-gnuabin32
Description-md5: ce4272e2fad04d07b38e5cb5b587963c
Description-en: GNU binary utilities, for mipsisa64r6el-linux-gnuabin32 target
 This package provides GNU assembler, linker and binary utilities
 for the mipsisa64r6el-linux-gnuabin32 target.
 .
 You don't need this package unless you plan to cross-compile programs
 for mipsisa64r6el-linux-gnuabin32 and mipsisa64r6el-linux-gnuabin32 is not your native platform.

Package: binutils-mipsisa64r6el-linux-gnuabin32-dbg
Description-md5: 702407bb8dde9ec28dc8fcc98927f5e9
Description-en: GNU binary utilities, for mipsisa64r6el-linux-gnuabin32 target (debug symbols)
 This package provides debug symbols for binutils-mipsisa64r6el-linux-gnuabin32.

Package: binutils-msp430
Description-md5: 2e19182dd27a8e48d01677260766620e
Description-en: Binary utilities supporting TI's MSP430 targets
 The programs in this package are used to manipulate binary and object
 files that may have been created for TI's MSP430 architecture.
 This package is primarily intended for MSP430 developers and
 cross-compilers.

Package: binutils-powerpc64-linux-gnu
Description-md5: b81ec509fee3e6fb3b2c79536d67b5a8
Description-en: GNU binary utilities, for powerpc64-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the powerpc64-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for powerpc64-linux-gnu and powerpc64-linux-gnu is not your native platform.

Package: binutils-powerpc64-linux-gnu-dbg
Description-md5: fca1ee307fc3e9e8c8b4a35abe58adb0
Description-en: GNU binary utilities, for powerpc64-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-powerpc64-linux-gnu.

Package: binutils-riscv64-linux-gnu-dbg
Description-md5: 1ca6a2cb739bd3daa03246115653b8d0
Description-en: GNU binary utilities, for riscv64-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-riscv64-linux-gnu.

Package: binutils-riscv64-unknown-elf
Description-md5: 0470079e960d159aec782d2bae9318d5
Description-en: GNU assembler, linker and binary utilities for RISC-V processors
 Bare metal binutils for embedded RISC-V chips. The programs in this
 package are used to manipulate binary and object files that have
 been created for RISC-V architecture.

Package: binutils-sh4-linux-gnu
Description-md5: e61f3b77cc63b27f48701208c29346bc
Description-en: GNU binary utilities, for sh4-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the sh4-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for sh4-linux-gnu and sh4-linux-gnu is not your native platform.

Package: binutils-sh4-linux-gnu-dbg
Description-md5: 85bb1a4a4655a7e23ba6add71b7c0b45
Description-en: GNU binary utilities, for sh4-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-sh4-linux-gnu.

Package: binutils-source
Description-md5: acf2f0e289b8b831828996002f7eb6f1
Description-en: GNU assembler, linker and binary utilities (source)
 This package contains the sources and patches which are needed to
 build binutils.

Package: binutils-sparc64-linux-gnu
Description-md5: 258d5b6025451bd2d6c06a2d1a849186
Description-en: GNU binary utilities, for sparc64-linux-gnu target
 This package provides GNU assembler, linker and binary utilities
 for the sparc64-linux-gnu target.
 .
 You don't need this package unless you plan to cross-compile programs
 for sparc64-linux-gnu and sparc64-linux-gnu is not your native platform.

Package: binutils-sparc64-linux-gnu-dbg
Description-md5: 03078b416cd22110053532d91caacde4
Description-en: GNU binary utilities, for sparc64-linux-gnu target (debug symbols)
 This package provides debug symbols for binutils-sparc64-linux-gnu.

Package: binutils-xtensa-lx106
Description-md5: 3d982b252b0da40204aef909cd976425
Description-en: GNU binary utilities, for Xtensa lx106 core
 Bare metal binutils for chips using the Xtensa lx106 core, such as the
 Espressif ESP8266 wireless modules. The programs in this package are
 used to manipulate binary and object files that may have been created
 for the Xtensa architecture. This package is primarily for those
 developing for the ESP8266 platform and is not needed by normal users or
 developers.

Package: binutils-z80
Description-md5: 8a9b975001f020173239e354af3d6a19
Description-en: GNU binary utilities for the z80-unknown-coff target
 The programs in this package are used to assemble, link and manipulate
 binary and object files for the Z80 and R800 CPUs. This
 package is primarily for Z80 and R800 developers and is not
 needed by normal users or developers.

Package: binwalk
Description-md5: f2774dbab233a5b403825c488d3d2ce8
Description-en: tool library for analyzing binary blobs and executable code
 Binwalk is a tool for searching a given binary image for embedded files
 and executable code. Specifically, it is designed for identifying files
 and code embedded inside of firmware images. Binwalk uses the libmagic
 library, so it is compatible with magic signatures created for the Unix
 file utility.
 .
 Binwalk also includes a custom magic signature file which contains
 improved signatures for files that are commonly found in firmware images
 such as compressed/archived files, firmware headers, Linux kernels,
 bootloaders, filesystems, etc.
 .
 This package is an empty package, because the binary tool is already
 provided with the library, dependency of this package.

Package: bio-eagle
Description-md5: ea6e8307bcd12f756aab2c02e5d41b0e
Description-en: Haplotype phasing within a genotyped cohort or using a phased reference panel
 Eagle estimates haplotype phase either within a genotyped cohort or using a
 phased reference panel. The basic idea of the Eagle1 algorithm is to harness
 identity-by-descent among distant relatives—which is pervasive at very large
 sample sizes but rare among smaller numbers of samples—to rapidly call phase
 using a fast scoring approach. In contrast, the Eagle2 algorithm analyzes a
 full probabilistic model similar to the diploid Li-Stephens model used by
 previous HMM-based methods.
 .
 Please note: The executable was renamed to bio-eagle because of a name clash.
 Please read more about this in /usr/share/doc/bio-eagle/README.Debian.

Package: bio-eagle-examples
Description-md5: 721467d5ef17d2df2a7f6046d9b756ed
Description-en: Examples for bio-eagle
 Eagle estimates haplotype phase either within a genotyped cohort or using a
 phased reference panel. The basic idea of the Eagle1 algorithm is to harness
 identity-by-descent among distant relatives—which is pervasive at very large
 sample sizes but rare among smaller numbers of samples—to rapidly call phase
 using a fast scoring approach. In contrast, the Eagle2 algorithm analyzes a
 full probabilistic model similar to the diploid Li-Stephens model used by
 previous HMM-based methods.
 .
 This package provides some example data for eagle.

Package: bio-rainbow
Description-md5: 9923da0307e9697918f7aeba3ffbc7dd
Description-en: clustering and assembling short reads for bioinformatics
 Efficient tool for clustering and assembling short reads,
 especially for RAD.
 .
 Rainbow is developed to provide an ultra-fast and memory-efficient
 solution to clustering and assembling short reads produced by RAD-seq.
 First, Rainbow clusters reads using a spaced seed method. Then, Rainbow
 implements a heterozygote calling like strategy to divide potential
 groups into haplotypes in a top-down manner. long a guided tree, it
 iteratively merges sibling leaves in a bottom-up manner if they are
 similar enough. Here, the similarity is defined by comparing the 2nd
 reads of a RAD segment. This approach tries to collapse heterozygote
 while discriminate repetitive sequences. At last, Rainbow uses a greedy
 algorithm to locally assemble merged reads into contigs. Rainbow not
 only outputs the optimal but also suboptimal assembly results. Based on
 simulation and a real guppy RAD-seq data, it is shown that Rainbow is
 more competent than the other tools in dealing with RAD-seq data.

Package: bio-tradis
Description-md5: ca2a4f3e521e51ca04cc5d201dc14492
Description-en: analyse the output from TraDIS analyses of genomic sequences
 Bio-Tradis contains a set of tools to analyse the output from
 TraDIS analyses.
 .
 The Bio-Tradis analysis pipeline is implemented as an extensible Perl
 library which can either be used as is, or as a basis for the
 development of more advanced analysis tools.
 .
 Please note: You need to manually install BioConductor Edger which can
 not be distributed by Debian in recent version since it is using
 non-distributable code locfit.

Package: biobambam2
Description-md5: d0d01a8c7bb85375059855bc34b26cc8
Description-en: tools for early stage alignment file processing
 This package contains some tools for processing BAM files including
 .
   bamsormadup:  parallel sorting and duplicate marking
   bamcollate2:  reads BAM and writes BAM reordered such that alignment
                 or collated by query name
   bammarkduplicates: reads BAM and writes BAM with duplicate alignments
                 marked using the BAM flags field
   bammaskflags: reads BAM and writes BAM while masking (removing) bits
                 from the flags column
   bamrecompress: reads BAM and writes BAM with a defined compression
                  setting. This tool is capable of multi-threading.
   bamsort:       reads BAM and writes BAM resorted by coordinates or
                  query name
   bamtofastq:    reads BAM and writes FastQ; output can be collated
                  or uncollated by query name

Package: biogenesis
Description-md5: db03d4fb897295ca2d9e41958e9766e5
Description-en: artificial life program that simulates evolution of organisms
 Biogenesis is an artificial life program that simulates the processes
 involved in the evolution of organisms. It shows colored segment based
 organisms that mutate and evolve in a 2D environment. Biogenesis is based
 on Primordial Life.

Package: bioperl
Description-md5: 499a31b1d7a9f73ed26eb29f5425ebf8
Description-en: Perl tools for computational molecular biology
 The Bioperl project is a coordinated effort to collect computational methods
 routinely used in bioinformatics into a set of standard CPAN-style,
 well-documented, and freely available Perl modules. It is well-accepted
 throughout the community and used in many high-profile projects, e.g.,
 Ensembl.
 .
 The recommended packages are needed to run some of the included
 binaries, for a detailed explanation including the specific Perl
 modules please see README.Debian.
 .
 The suggested package enhances the manual pages.

Package: bioperl-run
Description-md5: 7ae0a3a7ce278987fe66fde47a91ee2f
Description-en: BioPerl wrappers: scripts
 Contains scripts from the BioPerl-Run package. This package will also install
 all wrappable applications packaged in Debian. The ones that are not Free are
 "Suggested" by this package.

Package: biosquid
Description-md5: df2f375e335a1ccf1ee832e04d6801fd
Description-en: utilities for biological sequence analysis
 SQUID is a library of C code functions for sequence analysis. It also
 includes a number of small utility programs to convert, show statistics,
 manipulate and do other functions on sequence files.
 .
 The original name of the package is "squid", but since there is already
 a squid on the archive (a proxy cache), it was renamed to "biosquid".
 .
 This package contains some tools to demonstrate the features of the
 SQUID library.

Package: biosyntax
Description-md5: 26fee0d17ffd3668e736a943ee6f63bc
Description-en: Syntax Highlighting for Computational Biology (metapackage)
 Syntax highlighting for computational biology to bring you intuitively close
 to your data. BioSyntax supports .sam, .flagstat, .vcf, .fasta, .fastq, .faidx
 , .clustal, .pdb, .gtf, .bed files & more.
 .
 This is a metapackage depending on all bioSyntax plugins.

Package: biosyntax-common
Description-md5: 138dd1b35940dd7102cce9b1103b6aea
Description-en: Syntax Highlighting for Computational Biology (common files)
 Syntax highlighting for computational biology to bring you intuitively close
 to your data. BioSyntax supports .sam, .flagstat, .vcf, .fasta, .fastq, .faidx
 , .clustal, .pdb, .gtf, .bed files & more.
 .
 This package provides common files of bioSyntax.

Package: biosyntax-example
Description-md5: 247e53ed21479e81321f3acc1030f535
Description-en: Syntax Highlighting for Computational Biology (example)
 Syntax highlighting for computational biology to bring you intuitively close
 to your data. BioSyntax supports .sam, .flagstat, .vcf, .fasta, .fastq, .faidx
 , .clustal, .pdb, .gtf, .bed files & more.
 .
 This package provides example files for bioSyntax.

Package: biosyntax-gedit
Description-md5: dd9a901b93e3581d57614faff46e5a39
Description-en: Syntax Highlighting for Computational Biology (gedit)
 Syntax highlighting for computational biology to bring you intuitively close
 to your data. BioSyntax supports .sam, .flagstat, .vcf, .fasta, .fastq, .faidx
 , .clustal, .pdb, .gtf, .bed files & more.
 .
 This package provides the bioSyntax plugin for gedit.
 .
 Please note: The plugin is not enabled per default, to enable it, please read:
 /usr/share/doc/biosyntax-gedit/README.Debian

Package: biosyntax-less
Description-md5: eaf4ac3dde686b234f3eae5e906fbe70
Description-en: Syntax Highlighting for Computational Biology (less)
 Syntax highlighting for computational biology to bring you intuitively close
 to your data. BioSyntax supports .sam, .flagstat, .vcf, .fasta, .fastq, .faidx
 , .clustal, .pdb, .gtf, .bed files & more.
 .
 This package provides the bioSyntax plugin for less.
 .
 Please note: The plugin is not enabled per default, to enable it, please read:
 /usr/share/doc/biosyntax-less/README.Debian

Package: biosyntax-vim
Description-md5: b62df5a8e69f9cd3163961a9cf85fbbc
Description-en: Syntax Highlighting for Computational Biology (vim)
 Syntax highlighting for computational biology to bring you intuitively close
 to your data. BioSyntax supports .sam, .flagstat, .vcf, .fasta, .fastq, .faidx
 , .clustal, .pdb, .gtf, .bed files & more.
 .
 This package provides the bioSyntax plugin for vim.
 .
 Please note: The plugin is not enabled per default, to enable it, please read:
 /usr/share/doc/biosyntax-vim/README.Debian

Package: bip
Description-md5: 4cb69a0b8d8f447f1635e92329295c89
Description-en: multiuser irc proxy with conversation replay and more
 Bip is a IRC proxy that supports replaying logged conversation when a client
 connects, multiple clients on one irc server connection, It has a handy
 logging directory structure. It is multiuser, and has a flexible
 configuration. Some of its configuration can be changed at runtime with
 special irc commands.

Package: bird
Description-md5: abde59d9329fee5542ca525a035ae764
Description-en: Internet Routing Daemon
 BIRD is an Internet routing daemon with full support for all the major
 routing protocols. It allows redistribution between protocols with a
 powerful route filtering syntax and an easy-to-use configuration
 interface.
 .
 This package supports IPv4 and IPv6 versions of OSPF, RIP and BGP.

Package: bird-bgp
Description-md5: 9a398c8a7897c141caab0a921567ba13
Description-en: Internet Routing Daemon [transitional package]
 BIRD is an Internet routing daemon with full support for all the major
 routing protocols. It allows redistribution between protocols with a
 powerful route filtering syntax and an easy-to-use configuration
 interface.
 .
 This package is transitional and can be safely removed.

Package: bird-doc
Description-md5: 1ced61660e9937b21315d53a620aa063
Description-en: Internet Routing Daemon - documentation
 BIRD is an Internet routing daemon with full support for all the major
 routing protocols. It allows redistribution between protocols with a
 powerful route filtering syntax and an easy-to-use configuration
 interface.
 .
 This package provides the user and developer documentation.

Package: bird2
Description-md5: 874644189b6bd30d36505beb812c9640
Description-en: Internet Routing Daemon
 BIRD is an Internet routing daemon with full support for all the major
 routing protocols. It allows redistribution between protocols with a
 powerful route filtering syntax and an easy-to-use configuration
 interface.
 .
 BIRD supports IPv4 and IPv6 versions of OSPF, RIP, BGP and Babel routing
 protocols. It also supports supplementary protocols like BFD, RPKI-Router
 and IPv6 router advertisements.

Package: bird2-doc
Description-md5: 1ced61660e9937b21315d53a620aa063
Description-en: Internet Routing Daemon - documentation
 BIRD is an Internet routing daemon with full support for all the major
 routing protocols. It allows redistribution between protocols with a
 powerful route filtering syntax and an easy-to-use configuration
 interface.
 .
 This package provides the user and developer documentation.

Package: birdfont
Description-md5: 2f95592dccc0598d723b51ef08e2ca63
Description-en: font editor that lets you create outline vector graphics and export fonts
 Birdfont is a free, open source font editor that lets you create outline
 vector graphics and export ttf, eot & svg fonts.

Package: birdtray
Description-md5: 4fc0d8161c80c4c9b354e0b027880c81
Description-en: system tray notifications for Thunderbird
 Birdtray provides systray notifications for Thunderbird.  It displays the
 count of unread mail, hides the Thunderbird window when not in use, and
 restores it on clicking the tray icon.  It also provides a context menu
 with commands such as starting composing a new mail.
 .
 It is a nasty hack -- an external process looking at Thunderbird's
 insides, it suffers from problems like noticing new mails only after a
 delay, having to restart Thunderbird just to hide its window, etc --
 you'd want to use an extension like firetray instead -- but, it is
 likely that support for Thunderbird XUL extensions will be dropped soon,
 possibly by the time you read these words.

Package: birthday
Description-md5: 4baf6916eea7ba303969dcb595762fa7
Description-en: Display information about pending events on login
 Given a list of the dates of various different events, works out and
 displays a list of those which will come up in the next couple of
 weeks. This was originally designed for birthdays, but can equally be
 used for reminders about yearly events, or for a running diary.
 .
 Note that if you want to use vcf2birthday script you will need perl.

Package: bison++
Description-md5: dcda05c3e5d5048fbd022da8962d1961
Description-en: Generate a parser in c or c++ from BNF notation
 Based on bison version 1.19. Compatible with bison but with C++ support.
 Bison is a general-purpose parser generator that converts a grammar
 description for an LALR (BNF-like) context free grammar into a C/C++ program
 to parse that grammar. Once you are proficient with bison++ you can generate
 a wide range of language parsers, from those used in simple desk calculators
 to complex programming languages.

Package: bisonc++
Description-md5: 74fda00dbfd36167bcdfa72847ca341b
Description-en: Bison-style parser generator for C++
 Bisonc++ was designed after `bison++', created by Alain Coetmeur. Bisonc++
 adds to bison++ a cleaner class-design, using a base-class to communicate
 lexical tokens to a lexical scanner.
 .
 Since bisonc++ generates the parser class as well as the parsing
 function. The class can easily be provided with additional members without
 needing polymorphic functions. Consequently, classes generated by bisonc++
 have no virtual members and actually have but one public member: parse(),
 replacing the old-style bison and bison++ yyparse() function.
 .
 Bisonc++ offers many options, among which an option to define classes
 generated by bisonc++ in a separate namespace. This allows developers to
 define additional symbols, even outside of the class generated by bisonc++,
 without encountering name-collision problems. With bisonc++, artificial means
 to prevent name-collisions, like bison and bison++'s yy-convention are not
 required anymore when using bisonc++.  Bisonc++ merely generates C++ code. If
 C code is required, bison should be used. Bisonc++'s grammar requirements are
 highly compatible with bison's requirements, so converting a bison grammar
 into a bisonc++ grammar should be fairly simple.
 .
 In addition to the bisonc++ parser generator itself and several skeleton
 files, the package contains an extensive man-page, a full manual rewritten
 after the original bison manual, and several examples.
 .
 Some history: Bisonc++ versions 0.98 is a complete rewrite of an LALR(1)
 parser generator, as described in Aho, Sethi and Ullman's (1986) book
 `Compilers' (a.k.a. the `Dragon Book'). Version 0.98 was completed in May
 2005. Another major rewrite was completed one year later, May 2006, resulting
 in version 1.00.

Package: bisonc++-doc
Description-md5: 01a8a62349b7bfff562ca59dd52a0ae8
Description-en: Bison-style parser generator for C++ documentation
 Bisonc++ was designed after `bison++', created by Alain Coetmeur. Bisonc++
 adds to bison++ a cleaner class-design, using a base-class to communicate
 lexical tokens to a lexical scanner.
 .
 Since bisonc++ generates the parser class as well as the parsing
 function. The class can easily be provided with additional members without
 needing polymorphic functions. Consequently, classes generated by bisonc++
 have no virtual members and actually have but one public member: parse(),
 replacing the old-style bison and bison++ yyparse() function.
 .
 Bisonc++ offers many options, among which an option to define classes
 generated by bisonc++ in a separate namespace. This allows developers to
 define additional symbols, even outside of the class generated by bisonc++,
 without encountering name-collision problems. With bisonc++, artificial means
 to prevent name-collisions, like bison and bison++'s yy-convention are not
 required anymore when using bisonc++.  Bisonc++ merely generates C++ code. If
 C code is required, bison should be used. Bisonc++'s grammar requirements are
 highly compatible with bison's requirements, so converting a bison grammar
 into a bisonc++ grammar should be fairly simple.
 .
 In addition to the bisonc++ parser generator itself and several skeleton
 files, the package contains an extensive man-page, a full manual rewritten
 after the original bison manual, and several examples.
 .
 Some history: Bisonc++ versions 0.98 is a complete rewrite of an LALR(1)
 parser generator, as described in Aho, Sethi and Ullman's (1986) book
 `Compilers' (a.k.a. the `Dragon Book'). Version 0.98 was completed in May
 2005. Another major rewrite was completed one year later, May 2006, resulting
 in version 1.00.
 .
 This package provides the supplemental documentation for Bisonc++.

Package: bit-babbler
Description-md5: 5e30eb4e7a1c8db5fb8898d92a4de55a
Description-en: BitBabbler hardware TRNG and kernel entropy source support
 This package provides supporting software for the BitBabbler true random
 number generator hardware.  It includes:
 .
  - The seedd daemon for running continuous quality and health checks on
    the active devices and the stream of entropy that they provide, and
    for seeding the OS kernel entropy pool on demand.
  - Monitoring tools for short and long term analysis, and alerting of
    anomalies in both the BitBabbler output and the kernel /dev/random
    and /dev/urandom output.
  - Admin tools for real-time inspection of the short and long term
    quality metrics.
 .
 You will need to install libjson-xs-perl if you wish to use the munin-node
 script for continuous graphing and monitoring of device performance.
 .
 You will need to install libvirt-clients if you want hotplug support for
 adding devices to libvirt managed virtual machines.

Package: bit-babbler-dbg
Description-md5: b0c90351a13851a0fa37486ccd091ee7
Description-en: debugging symbols for BitBabbler tools
 This package provides the detached debug symbols for software in the
 bit-babbler package.

Package: bitlbee
Description-md5: fd5ccc252b6f38fb85639e46ece6b2b2
Description-en: IRC to other chat networks gateway (default version)
 This program can be used as an IRC server which forwards everything you
 say to people on other chat networks: Jabber (which includes Google
 Talk), and Twitter.

Package: bitlbee-common
Description-md5: d3354a688316956447ae5786bff4c639
Description-en: IRC to other chat networks gateway (common files/docs)
 This program can be used as an IRC server which forwards everything you
 say to people on other chat networks: Jabber (which includes Google
 Talk), and Twitter.
 .
 This package contains common files (mostly documentation) for bitlbee and
 bitlbee-libpurple.

Package: bitlbee-dev
Description-md5: e4b6ec7e8553e7af298ff96bd0823af0
Description-en: IRC to other chat networks gateway (dev files)
 This program can be used as an IRC server which forwards everything you
 say to people on other chat networks: Jabber (which includes Google
 Talk), and Twitter.
 .
 This package holds development stuff for compiling plug-ins.

Package: bitlbee-libpurple
Description-md5: 9bcaf9c20df9016ed136156a725a8076
Description-en: IRC to other chat networks gateway (using libpurple)
 This program can be used as an IRC server which forwards everything you
 say to people on other chat networks: Jabber (which includes Google
 Talk), and Twitter.
 .
 This package contains a version of BitlBee that uses the libpurple instant
 messaging library instead of built-in code, which adds support for more IM
 protocols (all protocols supported by Pidgin/Finch) and features (like file
 transfers), at the price of being less lightweight.
 .
 If you don't need the extra features, it's better to use the built-in code.

Package: bitlbee-plugin-facebook
Description-md5: 46aa8f2de06f16c77ab3c1efb1ad8eca
Description-en: IRC to other chat networks gateway (Facebook chat plugin)
 This program can be used as an IRC server which forwards everything
 you say to people on other chat networks: Jabber (which includes
 Google Talk), ICQ, AIM, MSN and Twitter.
 .
 This package contains a plugin that adds support for Facebook
 Messenger's chat protocol.

Package: bitlbee-plugin-mastodon
Description-md5: 29674775d314bdeeff9c45525c918ad1
Description-en: Mastodon plugin for bitlbee IRC gateway
 This plugin allows Bitlbee to communicate with Mastodon
 instances. Mastodon is a free, open-source, decentralized
 microblogging network. Bitlbee is an IRC server connecting to various
 other text messaging services. You run Bitlbee and connect to it
 using an IRC client, then configure Bitlbee to connect to other
 services, such as a Mastodon instance where you already have an
 account. The benefit is that you can now use any IRC client you want
 to connect to Mastodon.

Package: bitlbee-plugin-otr
Description-md5: 9960319ad73cb2e76618e5b601a97be7
Description-en: IRC to other chat networks gateway (OTR plugin)
 This program can be used as an IRC server which forwards everything you
 say to people on other chat networks: Jabber (which includes Google
 Talk), and Twitter.
 .
 This package contains a plugin that adds support for Off-The-Record
 encryption of instant messages.

Package: bitmeter
Description-md5: e238e9bad56b65a9699666476624bfb6
Description-en: diagnosis tool for JACK audio software
 JACK Bitmeter is a diagnosis tool for JACK audio software.  As its name
 might suggest, the bitmeter operates at the bare metal of JACK's I/O
 layer, looking at the 32 binary digits in each individual sample.
 .
 Think of bitmeter like the cable detector in your toolbox. You cannot
 use a cable detector to drive screws into wood, or to undo bolts, or
 measure the length of a copper pipe, but it's the perfect tool for
 detecting hidden cables in walls before you drill. You will rarely need
 bitmeter, in fact most JACK users will never use it at all, but having
 it and never needing it is much better than needing it and not having
 it.

Package: bitseq
Description-md5: dd6aa18c8b254ecc1902ba90dfe7c413
Description-en: Bayesian Inference of Transcripts from Sequencing Data
 BitSeq is an application for inferring expression levels of individual
 transcripts from sequencing (RNA-Seq) data and estimating differential
 expression (DE) between conditions. An advantage of this approach is the
 ability to account for both technical uncertainty and intrinsic biological
 variance in order to avoid false DE calls. The technical contribution to the
 uncertainty comes both from finite read-depth and the possibly ambiguous
 mapping of reads to multiple transcripts.

Package: bitshuffle
Description-md5: cd2f1aef4b1fdac0de3b63a646625903
Description-en: filter for improving compression of typed binary data
 Bitshuffle is an algorithm that rearranges typed, binary data for
 improving compression, as well as a python/C package that implements
 this algorithm within the Numpy framework.
 .
 The library can be used along side HDF5 to compress and decompress
 datasets and is integrated through the dynamically loaded filters
 framework. Bitshuffle is HDF5 filter number 32008.
 .
 Algorithmically, Bitshuffle is closely related to HDF5's Shuffle
 filter except it operates at the bit level instead of the byte level.
 Arranging a typed data array in to a matrix with the elements as the
 rows and the bits within the elements as the columns, Bitshuffle
 "transposes" the matrix, such that all the least-significant-bits
 are in a row, etc. This transpose is performed within blocks of
 data roughly 8kB long.
 .
 This does not in itself compress data, only rearranges it for more
 efficient compression. To perform the actual compression you will
 need a compression library. Bitshuffle has been designed to be well
 matched Marc Lehmann's LZF as well as LZ4. Note that because
 Bitshuffle modifies the data at the bit level, sophisticated entropy
 reducing compression libraries such as GZIP and BZIP are unlikely to
 achieve significantly better compression than simpler and faster
 duplicate-string-elimination algorithms such as LZF and LZ4.
 Bitshuffle thus includes routines (and HDF5 filter options) to apply
 LZ4 compression to each block after shuffling.
 .
 The Bitshuffle algorithm relies on neighbouring elements of a dataset
 being highly correlated to improve data compression. Any correlations
 that span at least 24 elements of the dataset may be exploited to
 improve compression.

Package: bitstormlite
Description-md5: 06ffa1301fffcb7247aa135bc9fbc1d9
Description-en: BitTorrent Client based on C++/Gtk+2.0
 This application based on the C++ language integrated
 with a Gtk+2.0 user-friendly gui has a great feature,
 which is the possibility to download a huge amount of
 data from any .torrent file taken from trackers around
 the web.

Package: bittwist
Description-md5: e3e6c29105d1907b156c198f5da6d21d
Description-en: libpcap based Ethernet packet generator
 bittwist (or Bit-Twist) is designed to complement tcpdump, which by itself has
 done a great job in capturing network traffic. Bit-Twist can regenerate the
 captured traffic onto a live network (the packets are generated from tcpdump
 trace file, generating a .pcap file).
 .
 Bit-Twist also comes with a comprehensive trace file editor to allow one to
 change the contents of a trace file (bittwiste).
 .
 Generally, a packet generator is useful in simulating networking traffic or
 scenario, testing firewall, IDS, and IPS, and troubleshooting various network
 problems.
 .
 The Bit-Twist features are:
 .
    * runs on Mac OS X (and *BSD), Linux, and Windows;
    * send multiple trace files at a time;
    * send packets at a specific speed or line rate in Mbps;
    * comprehensive trace file editor with control over most fields in
      Ethernet, ARP, IP, ICMP, TCP, and UDP headers with automatic header
      checksum correction;
    * append user payload to existing packets after a specific header;
    * select a specific range of packets and save them in another trace file;
    * highly scriptable - with proper manipulation you can turn Bit-Twist
      into an extremely flexible packet generator tool;
    * if you are teaching Computer Networks classes, you may find Bit-Twist
      useful as a practical teaching material. It gives your students a
      hands-on experience to learn various networking protocols etc.

Package: bitwise
Description-md5: 06ed4e5ccecc25a84ab22c9970342141
Description-en: Interactive bitwise operation in ncurses
 Bitwise is multi base interactive calculator supporting dynamic base
 conversion and bit manipulation. It's a handy tool for low level hackers,
 kernel developers and device drivers developers.
 .
 Some of the features include:
 .
 Interactive ncurses interface
 Command line calculator.
 Individual bit manipulator.
 Bitwise operations such as NOT, OR, AND, XOR, and shifts.

Package: black
Description-md5: 97292332649f9976213bda4a0674dce1
Description-en: uncompromising Python code formatter (Python 3)
 Black is the uncompromising Python code formatter. By using it, you
 agree to cede control over minutiae of hand-formatting. In return,
 Black gives you speed, determinism, and freedom from pycodestyle
 nagging about formatting. You will save time and mental energy for
 more important matters.
 .
 Blackened code looks the same regardless of the project you're reading.
 Formatting becomes transparent after a while and you can focus on the
 content instead.
 .
 Black makes code review faster by producing the smallest diffs
 possible.

Package: black-box
Description-md5: ea9112a1fa8eb96d10de942d62f29526
Description-en: Find the crystals
 There's a black box. You can shoot in and watch, where the shot leaves
 the box. In the box, crystals are reflecting the shots. You have to
 guess where the crystals are hidden, by watching your shots.

Package: blackbird-gtk-theme
Description-md5: 7a968f10d6a45ddf9a0895fe90d2eee4
Description-en: dark GTK+ theme from the Shimmer Project
 Blackbird is a dark variant of the popular Bluebird and Greybird
 themes from the Shimmer Project. The Shimmer Project created
 the default themes for Xubuntu since 2009.
 .
 Although originally created for Xfce, Blackbird supports other GTK+-based
 environments including GNOME Shell, MATE, Cinnamon and Xfce.

Package: blackbox
Description-md5: 7045fee6354b0d290ca6be346f63eb3a
Description-en: Window manager for X
 This is a window manager for X.  It is similar in many respects to
 such popular packages as Window Maker, Enlightenment, and FVWM2.  You
 might be interested in this package if you are tired of window managers
 that are a heavy drain on your system resources, but you still want
 an attractive and modern-looking interface.
 .
 The best part of all is that this program is coded in C++, so it
 is even more attractive "under the hood" than it is in service -- no
 small feat.
 .
 If none of this sounds familiar to you, or you want your computer to
 look like Microsoft Windows or Apple's OS X, you probably don't want
 this package.

Package: blackbox-themes
Description-md5: a69be879d8472b66f01c1c0e7a8d62ed
Description-en: Themes for the Blackbox Windowmanager
 This package contains various themes for the Blackbox Windowmanager.

Package: bladerf
Description-md5: ce36051119c0af973a2ac8599bbe5c6f
Description-en: Nuand bladeRF software-defined radio device (tools)
 The Nuand bladeRF is an open-source software-defined radio (SDR) system,
 comprised of an RF transceiver, a field-programmable gate array (FPGA),
 a microcontroller driving a USB 3.0 interface, and a suite of host
 libraries and drivers to facilitate interaction with the device.
 .
 This package provides command-line tools for controlling the bladeRF.

Package: bladerf-firmware-fx3
Description-md5: a06b4860e88296dcac8bb2c513c13dc5
Description-en: Nuand bladeRF firmware downloader (FX3)
 This empty package will, at the time of installation, download an appropriate
 firmware image for using the Nuand bladeRF with a Cypress FX3 USB
 controller.  The image will be downloaded from http://Nuand.com/fx3/
 and saved to /usr/share/Nuand/bladeRF.
 .
 This bitstream will work for either the Nuand bladeRF x40 or the x115.
 .
 Note that this will not upgrade the bladeRF itself.  That can be done
 with the bladeRF-cli utility.

Package: bladerf-fpga-hostedx115
Description-md5: 9716950fc495a67364372356bdbfb28a
Description-en: Nuand bladeRF FPGA bitstream downloader (hostedx115)
 This empty package will, at the time of installation, download an appropriate
 FPGA bitstream for using the Nuand bladeRF in a hosted (USB-controlled)
 configuration.  The FPGA bitstream will be downloaded from
 http://Nuand.com/fpga/ and saved to /usr/share/Nuand/bladeRF.
 .
 This bitstream is for the bladeRF x115 with the Altera Cyclone IV
 EP4CE115 FPGA.
 .
 Note that this will not upgrade the bladeRF itself.  That can be done
 with the bladeRF-cli utility.

Package: bladerf-fpga-hostedx40
Description-md5: 9c067a60acfcb3d3e8746ad8b8cfb5d9
Description-en: Nuand bladeRF FPGA bitstream downloader (hostedx40)
 This empty package will, at the time of installation, download an appropriate
 FPGA bitstream for using the Nuand bladeRF in a hosted (USB-controlled)
 configuration.  The FPGA bitstream will be downloaded from
 http://Nuand.com/fpga/ and saved to /usr/share/Nuand/bladeRF.
 .
 This bitstream is for the bladeRF x40 with the Altera Cyclone IV
 EP4CE40 FPGA.
 .
 Note that this will not upgrade the bladeRF itself.  That can be done
 with the bladeRF-cli utility.

Package: bladerf-fpga-hostedxa4
Description-md5: cb79f457caed164ec9b959f01add116e
Description-en: Nuand bladeRF FPGA bitstream downloader (hostedxA4)
 This empty package will, at the time of installation, download an appropriate
 FPGA bitstream for using the Nuand bladeRF in a hosted (USB-controlled)
 configuration.  The FPGA bitstream will be downloaded from
 https://nuand.com/fpga/ and saved to /usr/share/Nuand/bladeRF.
 .
 This bitstream is for the bladeRF 2.0 Micro xA4 with the Altera Cyclone V
 5CEBA4 FPGA.
 .
 Note that this will not upgrade the bladeRF itself.  That can be done
 with the bladeRF-cli utility.

Package: bladerf-fpga-hostedxa9
Description-md5: 8383e6b59b8caf083dce6fe23d96c91b
Description-en: Nuand bladeRF FPGA bitstream downloader (hostedxA9)
 This empty package will, at the time of installation, download an appropriate
 FPGA bitstream for using the Nuand bladeRF in a hosted (USB-controlled)
 configuration.  The FPGA bitstream will be downloaded from
 https://nuand.com/fpga/ and saved to /usr/share/Nuand/bladeRF.
 .
 This bitstream is for the bladeRF 2.0 Micro xA9 with the Altera Cyclone V
 5CEBA9 FPGA.
 .
 Note that this will not upgrade the bladeRF itself.  That can be done
 with the bladeRF-cli utility.

Package: blahtexml
Description-md5: 0837c1749bcf542395d08fccdd021409
Description-en: Converts TeX equations into MathML
 Blahtex converts an equation given in a syntax close to TeX into
 MathML. Blahtexml is a simple extension of blahtex. In addition to
 the functionality of blahtex, blahtexml has XML processing in mind
 and is able to process a whole XML document into another XML
 document. Instead of converting only one formula at a time, blahtexml
 can convert all the formulas of the given XML file into MathML.

Package: blasr
Description-md5: 243f2d6424b8e4087435c6d8581f6b16
Description-en: mapping single-molecule sequencing reads
 Basic local alignment with successive refinement (BLASR) is a method
 for mapping single-molecule sequencing reads against a reference genome.
 Such reads are thousands of bases long, with divergence between them
 and the genome being dominated by insertion and deletion error.

Package: blast2
Description-md5: b1463a23e3959b4567b5a9f4a9773ee4
Description-en: transitional dummy package to ncbi-blast+-legacy
 This is a transitional dummy package for ncbi-blast+-legacy.
 It can safely be removed.

Package: blastem
Description-md5: b7649656f0c479380703853cc40c200c
Description-en: Fast and accurate Genesis emulator
 BlastEm is a highly precise multi-system emulator that emulates
 the Sega Genesis/Mega Drive, Master System and Game Gear.
 .
 Despite this high accuracy, even the most demanding software runs
 at full speed on modest hardware.

Package: blazeblogger
Description-md5: 1447cc9f6c8f5f911e4c5c0b9dad84c4
Description-en: simple to use, command line based, content management system
 Written in Perl as a cross-platform application and producing the
 static content without the need of database servers or server side
 scripting, it is literally a CMS without boundaries suitable for a
 wide variety of web presentations, from personal weblog to a project
 page or even a company presentation.

Package: bleachbit
Description-md5: a958efd51e414316ebd3cb47958129ea
Description-en: delete unnecessary files from the system
 BleachBit deletes unnecessary files to free valuable disk space, maintain
 privacy, and remove junk. It removes cache, Internet history, temporary files,
 cookies, and broken shortcuts.
 .
 It handles cleaning of Adobe Reader, Bash, Beagle, Epiphany, Firefox, Flash,
 GIMP, Google Earth, Java, KDE, OpenOffice.org, Opera, RealPlayer, rpmbuild,
 Second Life Viewer, VIM, XChat, and more.
 .
 Beyond simply erasing junk files, BleachBit wipes free disk space (to hide
 previously deleted files for privacy and to improve compression of images),
 vacuums Firefox databases (to improve performance without deleting data), and
 securely shreds arbitrary files.

Package: blender
Description-md5: 90b4f36fda45432800e6a278de5b06b4
Description-en: Very fast and versatile 3D modeller/renderer
 Blender is an integrated 3d suite for modelling, animation, rendering,
 post-production, interactive creation and playback (games). Blender has its
 own particular user interface, which is implemented entirely in OpenGL and
 designed with speed in mind. Python bindings are available for scripting;
 import/export features for popular file formats like 3D Studio and Wavefront
 Obj are implemented as scripts by the community. Stills, animations, models
 for games or other third party engines and interactive content in the form of
 a standalone binary are common products of Blender use.

Package: blender-data
Description-md5: cf40bbb7bd8bb0c71e9c32489ca7949e
Description-en: Very fast and versatile 3D modeller/renderer - data package
 Blender is an integrated 3d suite for modelling, animation, rendering,
 post-production, interactive creation and playback (games). Blender has its
 own particular user interface, which is implemented entirely in OpenGL and
 designed with speed in mind. Python bindings are available for scripting;
 import/export features for popular file formats like 3D Studio and Wavefront
 Obj are implemented as scripts by the community. Stills, animations, models
 for games or other third party engines and interactive content in the form of
 a standalone binary are common products of Blender use.
 .
 This package contains documentation, libraries and images for blender.

Package: blender-ogrexml-1.9
Description-md5: dc7e48c58746c7e7db56faa3286d79ee
Description-en: Blender Exporter for OGRE
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains the Blender exporter for OGRE.

Package: blends-common
Description-md5: 771f6e9266e4c3b85e617588c2359ddb
Description-en: Debian Pure Blends common package
 This package builds the basic infra structure for metapackages.
 .
 This package provides some files which are common to metapackages
 of Common Debian Distributions. It introduces a method to handle
 system users in a group named according to the name of the
 Debian Pure Blend.

Package: blends-dev
Description-md5: a1b67751e195daa3dc82e4dac49b4fa8
Description-en: Debian Pure Blends common files for developing metapackages
 This package makes life easier when packaging metapackages.  Perhaps
 this will also encourage other people to build metapackages if there are
 easy to use templates where only the packages, the metapackage is depending
 from, to insert into the right place.

Package: blends-doc
Description-md5: 3a5af3f8d9e2e247da03fd60b91dd099
Description-en: Debian Pure Blends documentation
 This paper is intended to people who are interested in the philosophy
 of Debian Pure Blends and the technique which is used to
 manage those projects.
 .
 It is explained in detail why these are no forks from Debian but reside
 completely inside the Debian GNU distribution and which
 advantages can be gathered by this approach.  The concept of
 metapackages and user role based menus is explained.  In short: This
 document describes why Debian Pure Blends are important to
 the vitality and quality of Debian.

Package: blends-tasks
Description-md5: 6f10951d0be7a316ac4a5c4e92ceebf1
Description-en: Debian Pure Blends tasks for new installations
 This package installs a choice of a default installation for each
 Debian Pure Blend when run from the Debian installer. The
 installation includes the tasks package of the blend, so a subsequent
 invocation of tasksel enables the choice of individual tasks.
 .
 The package is intended to be installed in the base system. Later
 (un)installation is harmless, but has no effect.

Package: blepvco
Description-md5: 76cf4a2184ba25ec849f7820953581f9
Description-en: LADSPA, minBLEP-based, hard-sync-capable oscillator plugins
 A collection of LADSPA plugins containing three anti-aliased,
 minBLEP-based, hard-sync-capable oscillator plugins. The oscillators are
 intended to be used with modular synthesis systems, such as Alsa Modular
 Synth.

Package: bless
Description-md5: 9c3f0e8bb1caa94ca8855e245f061448
Description-en: A full featured hexadecimal editor
 Bless is a binary (hex) editor, a program that enables you to edit files
 as a sequence of bytes written for the GNOME Desktop. Main features are:
 .
   * Efficient editing of large data files.
   * Multilevel undo - redo operations.
   * Customizable data views.
   * Fast data rendering on screen.
   * Multiple Tabs.
   * Fast Find and Replace operations.
   * Conversion Table.
   * Advanced Copy/Paste capabilities.
   * Multi-threaded search and save operations.
   * Export to text and html (others with plugins).
   * Extensibility with Plugins.

Package: blhc
Description-md5: fbc8ec601344fa69d148b0f992f240d5
Description-en: build log hardening check
 Perl tool which checks build logs for missing hardening flags. Hardening
 flags enable additional security features in the compiler to prevent e.g.
 stack overflows, format string vulnerabilities, GOT overwrites, etc. See
 e.g. <http://wiki.debian.org/ReleaseGoals/SecurityHardeningBuildFlags>.
 .
 Because most build systems are quite complicated there are many places
 where compiler flags from the environment might be ignored. The parser
 verifies that all compiler commands use the correct hardening flags and
 thus all hardening features are correctly used.
 .
 It's designed to check build logs generated by Debian's dpkg-buildpackage
 (or tools for packaging, using dpkg-buildpackage like pbuilder or the
 official buildd build logs) to help maintainers detect missing hardening
 flags in their packages.
 .
 Only gcc is detected as compiler at the moment (but other compilers maybe
 supported).

Package: blinken
Description-md5: 15b854d36619b85d1beb335cd5aaf853
Description-en: KDE version of the Simon electronic memory game
 Blinken is based on an electronic game released in 1978, which
 challenges players to remember sequences of increasing length.  On
 the face of the device, there are 4 different color buttons, each
 with its own distinctive sound.  These buttons light up randomly,
 creating the sequence that the player must then recall.  If the
 player is successful in remembering the sequence of lights in the
 correct order, they advance to the next stage, where an identical
 sequence with one extra step is presented.
 .
 This package is part of the KDE education module.

Package: bliss
Description-md5: e2c5c3b3c6ed23686f5e1e60befaa420
Description-en: tool to compute graph automorphisms and labelings -- bin
 Bliss is a backtracking algorithm for computing automorphism groups
 and canonical forms of graphs, based on individualization and
 refinement. Its data structures, subroutines, and pruning heuristics
 are specially designed for fast handling of large and sparse graphs.
 .
 This package provides the command line tool bliss.

Package: bliss-doc
Description-md5: 1f60e9cc51eac053249ccffacd98d41c
Description-en: suite to compute graph automorphisms and labelings -- doc
 Bliss is a backtracking algorithm for computing automorphism groups
 and canonical forms of graphs, based on individualization and
 refinement. Its data structures, subroutines, and pruning heuristics
 are specially designed for fast handling of large and sparse graphs.
 .
 This package provides the API documentation.

Package: blixem
Description-md5: b15f2fb6e919e964e681fa77b856cbe0
Description-en: interactive browser of sequence alignments
 Blixem is an interactive browser of sequence alignments that have been
 stacked up in a "master-slave" multiple alignment; it is not a 'true'
 multiple alignment but a 'one-to-many' alignment.
 .
  * Overview section showing the positions of genes and alignments around
    the alignment window
  * Detail section showing the actual alignment of protein or nucleotide
    sequences to the genomic DNA sequence.
  * View alignments against both strands of the reference sequence.
  * View sequences in nucleotide or protein mode; in protein mode, Blixem
    will display the three-frame translation of the reference sequence.
  * Residues are highlighted in different colours depending on whether
    they are an exact match, conserved substitution or mismatch.
  * Gapped alignments are supported, with insertions and deletions being
    highlighted in the match sequence.
  * Matches can be sorted and filtered.
  * SNPs and other variations can be highlighted in the reference
    sequence.
  * Poly(A) tails can be displayed and poly(A) signals highlighted in the
    reference sequence.

Package: blkreplay
Description-md5: 04dc327f9a3e653b49f839ba435e17a3
Description-en: block device testing and benchmarking toolkit
 blkreplay is a utility driving the block layer of the operating
 system while measuring latency and throughput of I/O operations
 for later visualisation.
 .
 blkreplay can create artificial loads (random read-write sweeps,
 various kinds of overload tests) or replay natural loads which
 have been recorded by blktrace or a similar utility run at
 production servers.
 .
 blkreplay can be used to test physical hardware, to compare
 different brands of hard disks or RAID controllers, to
 evaluate the effect of SSD caching, to compare different
 block level transports like iSCSI vs Fibrechannel and so on.

Package: blkreplay-examples
Description-md5: c302e295213720a22b0d3a3dd45a314e
Description-en: block device testing and benchmarking toolkit (examples)
 blkreplay is a utility driving the block layer of the operating
 system while measuring latency and throughput of I/O operations
 for later visualisation.
 .
 blkreplay can create artificial loads (random read-write sweeps,
 various kinds of overload tests) or replay natural loads which
 have been recorded by blktrace or a similar utility run at
 production servers.
 .
 blkreplay can be used to test physical hardware, to compare
 different brands of hard disks or RAID controllers, to
 evaluate the effect of SSD caching, to compare different
 block level transports like iSCSI vs Fibrechannel and so on.
 .
 This package contains example configuration files and example
 loads, artifician and natural.

Package: blktool
Description-md5: 4273dc2560ebbf80ab997014ca975394
Description-en: tune low-level block device parameters
 blktool is used for querying and/or changing settings of a block
 device.  It is like hdparm but a more general tool, as it works on
 SCSI, IDE and SATA devices.
 .
 This program is for those who know what they're doing and it should
 be used at your own risk as it could cause damage to your hardware.

Package: blktrace
Description-md5: a8addeb4f4b028f6532eaa1c74cdbf22
Description-en: utilities for block layer IO tracing
 blktrace is a block layer IO tracing mechanism which provides detailed
 information about request queue operations up to user space. There are
 three major components that are provided:
 .
 blktrace: A utility which transfers event traces from the kernel
 into either long-term on-disk storage, or provides direct formatted
 output (via blkparse).
 .
 blkparse: A utility which formats events stored in files, or when
 run in live mode directly outputs data collected by blktrace.
 .
 iowatcher: A utility to visualize block I/O patterns. It generates graphs
 from blktrace runs to help visualize IO patterns and performance. It can
 plot multiple blktrace runs together, making it easy to compare the
 differences between different benchmark runs.

Package: blobandconquer
Description-md5: 801ca256b9fe0458e14e62922b9e9f97
Description-en: 3D platform shooting game
 Blob Wars episode II: Blob and Conquer is the sequel to Blob Wars:
 Metal Blob Solid.
 .
 With the apparent defeat of Galdov and the reclaiming of the Fire,
 Time, Space and Reality Crystals the Blobs' battle was only just
 beginning. Bob had rescued many Blobs and fought many battles, but now
 he had an ever bigger task ahead of him. The Blobs' homeworld is still
 littered with the alien forces and Bob once again makes it his task to
 lead the counter attack. But even without Galdov the aliens are still
 extremely well organised...

Package: blobandconquer-data
Description-md5: 78c939728fba01b4dd43bcf25d790170
Description-en: 3D platform shooting game -- data files
 Blob Wars episode II: Blob and Conquer is the sequel to Blob Wars:
 Metal Blob Solid.
 .
 This package contains the data files for blobandconquer.

Package: blobby
Description-md5: 4eb1e6785c122745a7167b565a026d0c
Description-en: Volleyball game with blobs
 This package contains the client binary for Blobby Volley 2.
 .
 Blobby Volley is an arcade volleyball game with jumping blobs.
 It contains a multiplayer mode and several bots.

Package: blobby-data
Description-md5: f2b7ec88a3e4561b20912c3931bfbe28
Description-en: Volleyball game with blobs (data files)
 This package contains the static data for Blobby Volley 2.
 .
 Blobby Volley is an arcade volleyball game with jumping blobs.
 It contains a multiplayer mode and several bots.

Package: blobby-server
Description-md5: 26efc2ab12235c2fb7b5ad72f33fed0e
Description-en: Volleyball game with blobs (server)
 This package contains the server binary for Blobby Volley 2.
 .
 Blobby Volley is an arcade volleyball game with jumping blobs.
 It contains a multiplayer mode and several bots.

Package: bloboats
Description-md5: 72fcfa32095182f5fa84840dd0688239
Description-en: Boat racing game
 Bloboats is an arcade-like boat racing game in the hybrid spirit of
 Mario-like platform jumpers and elasto mania / xmoto.
 .
 The objective of Bloboats is to reach MS Enterprise as fast as possible to
 save it from the hands of the terrible Tentacle Monsters of an Unknown Master
 and in the same time beat your friend and laugh at his or her puny time.
 .
 The journey starts from a place called Tutorial, somewhere in the United
 States, and somehow the player ends up in the famous HV-Arena of Helsinki.
 During the trip a number of MS Enterprises are saved, luckily, from the
 hands of the terrible Tentacle Monsters of an Unknown Master, who actually
 is your dad. Or then ain't. :-)

Package: blobwars
Description-md5: 04620865cad48eb96542898e371aace3
Description-en: platform shooting game
 Blob Wars: Metal Blob Solid is a 2D platform game. It is the first in the Blob
 Wars series.
 .
 Since their world was invaded by an alien race, the Blobs have faced a
 lifetime of war. But now they have a chance to win the war once and for
 all.
 .
 In Blob Wars: Metal Blob Solid, you take on the role of a fearless Blob
 agent, Bob. Bob's mission is to infiltrate the various enemy bases around
 the Blobs' homeworld and rescue as many MIAs as possible. But standing in
 his way are many vicious aliens, other Blobs who have been assimilated and
 the evil alien leader, Galdov.

Package: blobwars-data
Description-md5: e517dc98600ff7b57b375d7cab31f07b
Description-en: platform shooting game
 Since their world was invaded by an alien race, the Blobs have faced a
 lifetime of war. But now they have a chance to win the war once and for
 all.
 .
 In Blob Wars: Metal Blob Solid, you take on the role of a fearless Blob
 agent, Bob. Bob's mission is to infiltrate the various enemy bases around
 the Blobs' homeworld and rescue as many MIAs as possible. But standing in
 his way are many vicious aliens, other Blobs who have been assimilated and
 the evil alien leader, Galdov.
 .
 This package contains the maps, graphics, music and sound for blobwars.

Package: blockattack
Description-md5: 6fa0969271932e5897a5cc73a027fd8b
Description-en: puzzle game inspired by Tetris
 Block Attack is a puzzle/blockfall game inspired by Nintendo's Tetris Attack
 for the Super Nintendo. The game is pretty action packed for a puzzle game :-)
 .
 Features:
  * Based on the classic "Tetris Attack" for the SNES
  * 5 single player modes: Endless, Time Trial, Puzzle Mode, Stage Clear and
  Vs. Mode
  * 2 two player options: Time Trial and Vs. Mode
  * Puzzle mode has 20+ puzzles
  * Players can use a custom key setup
  * Handicap in multiplayer
  * Highscores are saved
  * Joypad support
  * Music and sound effects
  * Animations
  * Select puzzle file from a list in the game
 .
 System requirements:
  * Screen resolution: 1024x768 (cannot be changed, but it can run in a window)
  * Keyboard
  * Mouse (optional)
  * Processor: Decent (tested on 733 MHz)
  * Memory: at least 64 MB

Package: blockout2
Description-md5: 288f5c5b4d9fbe67dd5636ad0e032803
Description-en: Tetris like game (3D-tetris)
 BlockOut II is a free adaptation of the original BlockOut
 DOS game edited by California Dreams in 1989. BlockOut II
 has the same features than the original game with few graphic
 improvements. The score calculation is also nearly similar to
 the original game. BlockOut II has been designed by an addicted
 player for addicted players. BlockOut II is an open source
 project available for both Windows and Linux.

Package: blocks-of-the-undead
Description-md5: 48cb545405dc0c724321f8c0fada589e
Description-en: Tetris Attack clone with spooky undertones
 Blocks of the Undead is a puzzle game.
 You have to remove all the blocks on the field by swapping these
 around.

Package: blocks-of-the-undead-data
Description-md5: 729623cb671da473ede93e05537704a7
Description-en: Tetris Attack clone with spooky undertones - data files
 Blocks of the Undead is a puzzle game.
 You have to remove all the blocks on the field by swapping these
 around.
 .
 This package provides the needed data (images, sounds, levels) for
 blocks-of-the-undead.

Package: blogliterately
Description-md5: 413a2b066b8f8f7c850f060d8a1e7cb9
Description-en: Tool for posting Haskelly articles to blogs
 This package provides BlogLiterately executable, which allows you to
 write blog posts in Markdown format, then use it to do syntax
 highlighting, format ghci sessions, and upload to any blog supporting
 the metaWeblog API (such as Wordpress)

Package: blop
Description-md5: caab272385bbd0d77784d85cc1bc279d
Description-en: Bandlimited wavetable-based oscillator plugins for LADSPA hosts
 BLOP comprises a set of LADSPA plugins that generate bandlimited
 sawtooth, square, variable pulse and slope-variable triangle waves,
 for use in LADSPA aware audio applications, principally as components
 of a modular synthesis network.
 .
 They are wavetable based, and are designed to produce output with
 harmonic content as high as possible over a wide pitch range.
 .
 Additionally, there are a few extra plugins to assist in building
 synthesis networks, like a analogue-type sequencer, sync-square and
 ADSR envelope.

Package: blosxom
Description-md5: 1ac21aefc25f2ba5a984961d9341f499
Description-en: light, feature-packed weblog app with plugin extensibility
 Blosxom (pronounced "Blossom") is a lightweight yet feature-packed
 weblog application designed from the ground up with simplicity,
 usability, and interoperability in mind.
 .
 Fundamental is its reliance upon the file system, folders and files as
 its content database. Blosxom's weblog entries are plain text files
 like any other. Write from the comfort of your favorite text editor
 and hit the Save button. Create, edit, rename, and delete entries on
 the command-line, via FTP, WebDAV, or anything else you might use to
 manipulate your files. There's no import or export; entries are
 nothing more complex than title on the first line, body being
 everything thereafter.
 .
 Despite its tiny footprint, Blosxom doesn't skimp on features,
 sporting the majority of features one would find in any other Weblog
 application.  Additionally, Blosxom 2 includes support for plugins, and
 thus much more extensive customization.
 .
 Blosxom is simple, straightforward, minimalist Perl affording even the
 dabbler an opportunity for experimentation and customization. And
 last, but not least, Blosxom is open source and free for the taking
 and altering.

Package: bls-standalone
Description-md5: 38eb0188098db41fc418b4a965fca88b
Description-en: standalone build log scanner
 This package includes stand-alone version of the build-log-scanner
 as found on http://qa.debian.org/bls/.
 .
 It includes the scanning engine and (hopefully not too outdated)
 rules to check for and a helper script to better read the results.

Package: blt-demo
Description-md5: 93101f8142571329c996f82222decd80
Description-en: graphics extension library for Tcl/Tk - demos and examples
 BLT is a library of useful extensions for the Tcl language and the
 popular Tk graphical toolkit.  It adds a vector and tree data type,
 background execution and some debugging tools to Tcl, and provides
 several new widgets for Tk, including graphs, bar-charts, trees, tabs,
 splines and hyper-links, as well as a new geometry manager, drag &
 drop support, and more.
 .
 This package contains demos and samples showing the power and
 versatility of BLT.

Package: bluebird-gtk-theme
Description-md5: 6f19c81bbeb9b0585d80050b4845f495
Description-en: blue GTK+ theme from the Shimmer Project
 Bluebird was the default theme for Xubuntu 10.10 but since 2011, Greybird
 has been Xubuntu's default theme. As its name indicates, Bluebird is a
 blue theme. The Shimmer Project are the designers and developers of both
 Bluebird and Greybird.
 .
 Although originally created for Xfce, Bluebird also supports other
 GTK+-based environments including Cinnamon, GNOME Shell, MATE, and Unity.

Package: bluedevil
Description-md5: c6ec1bf024a5119d3bd9fa4a8a899625
Description-en: KDE Bluetooth stack
 BlueDevil is a set of components which integrate Bluetooth in KDE.
 It contains:
  * A KDE Control Module (KCM) to configure all the Bluetooth-related options.
  * Integration with the KDE input/output system (KIO), which allows you to
    discover and explore Bluetooth devices from your favorite file browser.
  * A wizard to pair your devices and connect directly to services they offer,
    such as input (mouse, keyboard, Wiimote) and audio (headsets, phones).
  * A system tray application from where all BlueDevil actions can be done
    (disconnect devices, send files, configure, etc).
  * A daemon which listens to incoming requests, for example to receive files
    or to introduce a requested PIN.

Package: bluefish
Description-md5: 2cf908ef001fcc791aee8e7b4a506e74
Description-en: advanced Gtk+ text editor for web and software development
 Bluefish is a powerful editor targeted towards programmers and web
 developers, with many options to write websites, scripts and programming
 code. Bluefish supports a wide variety of programming and markup languages
 and has many features, e.g.
 .
  - Customizable code folding, auto indenting and completion
  - Support for remote files operation over FTP, SFTP, HTTPS, WebDAV, etc.
  - Site upload and download
  - Powerful search and replace engine
  - Customizable integration of external programs such as lint, make, etc
  - Snippets plugin to automate often used code
  - Code-aware in-line spell checking
  - Zencoding or Emmet support
  - Bookmarks panel
 .
 but is still lightweight and fast.
 .
 For validation of CSS/HTML/XML documents you need csstidy, tidy, weblint
 and/or xmllint. For preview to work, you need a web browser that can view
 local files given to it on the command line. For PHP or Python bluefish
 supports php-codesniffer and pylint. Tools not suggested but supported
 are make, perl, php5-cli and java-compiler.

Package: bluefish-data
Description-md5: 4744152c48109732f057dcf29596e9f9
Description-en: advanced Gtk+ text editor (data)
 Bluefish is a powerful editor targeted towards programmers and web
 developers, with many options to write websites, scripts and programming
 code. Bluefish supports a wide variety of programming and markup languages
 and has many features, e.g.
 .
  - Customizable code folding, auto indenting and completion
  - Support for remote files operation over FTP, SFTP, HTTPS, WebDAV, etc.
  - Site upload and download
  - Powerful search and replace engine
  - Customizable integration of external programs such as lint, make, etc
  - Snippets plugin to automate often used code
  - Code-aware in-line spell checking
  - Zencoding or Emmet support
  - Bookmarks panel
 .
 but is still lightweight and fast.
 .
 This package contains the architecture independent data for the application
 and its plugins.

Package: bluefish-plugins
Description-md5: 9db3336111a7f802e64070e6f20a41f6
Description-en: advanced Gtk+ text editor (plugins)
 Bluefish is a powerful editor targeted towards programmers and web
 developers, with many options to write websites, scripts and programming
 code. Bluefish supports a wide variety of programming and markup languages
 and has many features, e.g.
 .
  - Customizable code folding, auto indenting and completion
  - Support for remote files operation over FTP, SFTP, HTTPS, WebDAV, etc.
  - Site upload and download
  - Powerful search and replace engine
  - Customizable integration of external programs such as lint, make, etc
  - Snippets plugin to automate often used code
  - Code-aware in-line spell checking
  - Zencoding or Emmet support
  - Bookmarks panel
 .
 but is still lightweight and fast.
 .
 This package contains the plugins. You will need it for the HTML dialogs,
 snippets, charmaps etc. So it's basically necessary.

Package: blueman
Description-md5: 0d53e070801b0cf7f6808bfd0b84a60f
Description-en: Graphical bluetooth manager
 Blueman is a GTK+ bluetooth management utility for GNOME using bluez D-Bus
 backend.

Package: bluemon
Description-md5: b3f49f1f94cb4aa3c89c67630f6ba458
Description-en: Activate or deactivate programs based on Bluetooth link quality
 BlueMon monitors the quality of the link to a Bluetooth device and can start
 or stop programs when the threshold drops below a certain value. This can be
 used to perform actions like locking the terminal when you walk away from it.
 .
 Bluemon uses the DBus system bus to alert other applications to the presence
 of Bluetooth devices. A user binary can then start or stop programs when such
 signals are received.

Package: bluetooth
Description-md5: c9c3278c19393dc7c6582892d29bf660
Description-en: Bluetooth support
 This package provides all of the different plugins supported
 by the Bluez bluetooth stack.

Package: bluez-btsco
Description-md5: cfc5261117d3f413aad494901afdabca
Description-en: Bluez Bluetooth SCO tool
 A tool to that provides a way to use a bluetooth headset with Linux.
 It works well enough now to get voice-quality audio to and from most
 headsets

Package: bluez-hcidump
Description-md5: 4ebfd05a0b1b606a60e737cc590e2325
Description-en: Analyses Bluetooth HCI packets
 The hcidump utility allows the monitoring of Bluetooth activity.
 It provides a disassembly of the Bluetooth traffic and can display
 packets from higher level protocols such as RFCOMM, SDP and BNEP.
 .
 This was the software that is independent as bluez-hcidump, but this has been
 integrated into BlueZ from BlueZ 5.0.
 .
 BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source
 project distributed under GNU General Public License (GPL).

Package: bluez-tests
Description-md5: 70d0f4a675d48599e09b3248e2e1adfe
Description-en: BlueZ test tools and scripts
 This package contains test tools and scripts used for testing BlueZ.
 .
 BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source
 project distributed under GNU General Public License (GPL).

Package: bluez-tools
Description-md5: a4bf49a8b7b8c7dced5150fd2925854d
Description-en: Set of tools to manage Bluetooth devices for linux
 Bluez-tools provides command line tools to functions of Bluetooth.
 They are Bluetooth adapter control, Bluetooth agent, sound, input, network
 and other.

Package: bmagic
Description-md5: af624056909768fdf6cd75b137a144b4
Description-en: C++ template library for efficient platform independent bitsets
 BitMagic is a C++ template library designed and developed to implement
 efficient platform independent bitsets.  Key features:
 .
  - Several types of on the fly adaptive compression.
  - Dynamic range of addressable space of 232-1 bits.
  - Efficient memory management.
  - Cache optimized bitset distance algorithms.
  - Serialization in platform independent, compact format suitable
    for storing in files and databases.
  - Performance tuning for 32-bit and 64-bit systems.
  - Optimization for Intel SSE2 128-bit integer SIMD.

Package: bmake
Description-md5: 04fe1b256f4b44d75c60c782dc07956b
Description-en: NetBSD make
 bmake is a program designed to simplify the maintenance of other
 programs. Its input is a list of specifications as to the files upon
 which programs and other files depend.  mkdep, a program to construct
 Makefile dependency lists, is also included.
 .
 bmake is a port of the NetBSD make tool.

Package: bmap-tools
Description-md5: f231809af793a5d15d63f4a3a7cbcc3a
Description-en: tool to flash image files to block devices using the block map
 bmaptool is a generic tool for creating the block map (bmap) for a
 file, and copying files using the block map. The idea is that large
 file containing unused blocks, like raw system image files, can be
 copied or flashed a lot faster with bmaptool than with traditional
 tools like "dd" or "cp".

Package: bmf
Description-md5: 9929dee4a5839d950a09d0bc7414eb1d
Description-en: e-mail filter for spam that learns
 A small, fast, flexible Bayesian filter for processing e-mail. It is
 "trained" by the user to categorize email as spam or non-spam. This
 implementation integrates well with existing tools such as procmail
 and mutt. It can use a variety of formats for storing data. A utility
 is supplied for converting data between the supported formats.
 .
 This package includes support for text and libdb formats.
 .
 See "A Plan for Spam" <https://www.paulgraham.com/spam.html> by Paul Graham
 for further information.

Package: bmon
Description-md5: 3288eb0a673978e478042369c7927d3f
Description-en: portable bandwidth monitor and rate estimator
 bmon is a commandline bandwidth monitor which supports various output
 methods including an interactive curses interface, lightweight HTML output but
 also simple ASCII output.
 .
 Statistics may be distributed over a network using multicast or unicast and
 collected at some point to generate a summary of statistics for a set of
 nodes.

Package: bmt
Description-md5: ac8c4455d4ad626dcc50667072549bfe
Description-en: software analysis benchmarking toolkit
 The benchmarking toolkit consists of three main components:
 .
  - Patch set management tools to track changes over the original source of a
    set of benchmarks;
  - Benchmark execution helpers to prepare benchmarks for various tools, run
    benchmarks in parallel, and limit execution;
  - Result evaluation and presentation, generating LaTeX tables, HTML output,
    and LaTeX/TikZ plots.

Package: bnd
Description-md5: 572357666f14f90dcded71fdfdfc96a8
Description-en: tool to create and diagnose OSGi bundles
 The bnd tool helps you create and diagnose OSGi bundles.
 The key functions are:
 .
   * Show the manifest and JAR contents of a bundle
   * Wrap a JAR so that it becomes a bundle
   * Create a Bundle from a specification and a class path
   * Verify the validity of the manifest entries
 .
 The tool is capable of acting as:
 .
   * Command line tool
   * Maven Plugin
   * Ant Plugin

Package: bnfc
Description-md5: 9f9dd02fdfd50341fe3b1def1efbf59d
Description-en: Compiler front-end generator based on Labelled BNF
 The BNF Converter is a compiler construction tool that generates a
 compiler front-end and a readable syntax description document from a
 Labelled BNF grammar. It was originally written to generate Haskell,
 but it can now also be used for generating Java, C++, and C.
 .
 To process Haskell output, you need the Glorious Glasgow Haskell
 Compiler (virtual package ghc), the Happy parser generator (package
 happy) and the Alex scanner generator (package alex).
 .
 To process Java output, you need a Java compiler and virtual machine,
 the CUP parser generator (package cup) and the JLex scanner generator
 (package jlex).
 .
 To process C or C++ output, you need a C or C++ compiler,
 respectively, the Bison parser generator (package bison) and the flex
 scanner generator (package flex).
 .
 To process the generated documents, you need LaTeX (packages
 tetex-base, tetex-bin, etc.).

Package: boats
Description-md5: 00305087b61f28440498fd210bb04eaa
Description-en: race scenario drawing tool
 Boat Scenario is a drawing tool. It is your ideal companion for training
 sessions, rule learning, strategy explanations and more. It lets you make
 a presentation of a situation, describing the facts as you represent the
 action.

Package: bochs
Description-md5: bc053c9a3065af52a73668b7866a2e07
Description-en: IA-32 PC emulator
 Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that
 runs on most popular platforms. It includes emulation of the Intel x86 CPU,
 common I/O devices, and a custom BIOS.
 .
 Bochs is capable of running most operating systems inside the emulation
 including GNU, GNU/Linux, *BSD, FreeDOS, MSDOS and Windows 95/NT.

Package: bochs-doc
Description-md5: e36971d15c516a5122416a68c7f73396
Description-en: Bochs upstream documentation
 This package contains the HTML documentation of the Bochs project.
 .
 The documentation is divided into three parts:
 .
  * User Guide
  * Development Guide
  * Documentation Guide

Package: bochs-sdl
Description-md5: c1de9e8924d4d41e5077c82fed4d22e9
Description-en: SDL plugin for Bochs
 Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that
 runs on most popular platforms. It includes emulation of the Intel x86 CPU,
 common I/O devices, and a custom BIOS.
 .
 This package contains an SDL GUI plugin for Bochs.
 .
 Use Scroll-Lock key for full screen.

Package: bochs-term
Description-md5: 6e4769512394248d4595633871dc05ef
Description-en: Terminal (ncurses-based) plugin for Bochs
 Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that
 runs on most popular platforms. It includes emulation of the Intel x86 CPU,
 common I/O devices, and a custom BIOS.
 .
 This package contains a Terminal (ncurses-based) GUI plugin for Bochs.

Package: bochs-wx
Description-md5: 173269ea6b13541db917fabb97fa5bcc
Description-en: WxWindows plugin for Bochs
 Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that
 runs on most popular platforms. It includes emulation of the Intel x86 CPU,
 common I/O devices, and a custom BIOS.
 .
 This package contains a WxWindows GUI plugin for Bochs.

Package: bochs-x
Description-md5: d05bd802b2729b64a9ea369b90b87f71
Description-en: X11 plugin for Bochs
 Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that
 runs on most popular platforms. It includes emulation of the Intel x86 CPU,
 common I/O devices, and a custom BIOS.
 .
 This package contains an X11 GUI plugin for Bochs.

Package: bochsbios
Description-md5: 9398974e6d90ae7a0272cba21ead91e5
Description-en: BIOS for the Bochs emulator
 Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that
 runs on most popular platforms. It includes emulation of the Intel x86 CPU,
 common I/O devices, and a custom BIOS.
 .
 This package contains the BIOS of the Bochs project.

Package: bodr
Description-md5: 3fd6473f4809d4aaec556aba4a53afdb
Description-en: Blue Obelisk Data Repository
 Common repository of chemical and physical facts that aim
 to increase interoperability between chemistry programs.
 .
 https://dx.doi.org/10.1021/ci050400b

Package: bogofilter
Description-md5: f86e32db0d795a463ac0ca2b8a4d9014
Description-en: fast Bayesian spam filter (meta package)
 This package implements a fast Bayesian spam filter along the lines suggested
 by Paul Graham in his article "A Plan For Spam".
 .
 This version substantially improves on Paul's proposal by doing smarter
 lexical analysis.  In particular, hostnames and IP addresses are retained
 as recognition features rather than broken up. Various kinds of MTA
 cruft such as dates and message-IDs are discarded so as not to bloat
 the word lists.

Package: bogofilter-bdb
Description-md5: e83a0090bafdc792b6c35c6bc88649e4
Description-en: fast Bayesian spam filter (Berkeley DB)
 This package implements a fast Bayesian spam filter along the lines suggested
 by Paul Graham in his article "A Plan For Spam".
 .
 This version substantially improves on Paul's proposal by doing smarter
 lexical analysis.  In particular, hostnames and IP addresses are retained
 as recognition features rather than broken up. Various kinds of MTA
 cruft such as dates and message-IDs are discarded so as not to bloat
 the word lists.
 .
 This package provides the bdb database backend.

Package: bogofilter-common
Description-md5: 2e806a17661e5d96bbb0a0121098a1e3
Description-en: fast Bayesian spam filter (common files)
 This package implements a fast Bayesian spam filter along the lines suggested
 by Paul Graham in his article "A Plan For Spam".
 .
 This version substantially improves on Paul's proposal by doing smarter
 lexical analysis.  In particular, hostnames and IP addresses are retained
 as recognition features rather than broken up. Various kinds of MTA
 cruft such as dates and message-IDs are discarded so as not to bloat
 the word lists.
 .
 This package provides files that are common for all database backends.

Package: bogofilter-sqlite
Description-md5: 6eb04a1cda23db8196381fcabb2bc183
Description-en: fast Bayesian spam filter (sqlite)
 This package implements a fast Bayesian spam filter along the lines suggested
 by Paul Graham in his article "A Plan For Spam".
 .
 This version substantially improves on Paul's proposal by doing smarter
 lexical analysis.  In particular, hostnames and IP addresses are retained
 as recognition features rather than broken up. Various kinds of MTA
 cruft such as dates and message-IDs are discarded so as not to bloat
 the word lists.
 .
 This package provides the sqlite database backend.

Package: boinc
Description-md5: 79307295fad5fe1f0172f7d981ebfbac
Description-en: metapackage for the BOINC client and the manager
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing: several initiatives of
 various scientific disciplines all compete for the idle time of
 desktop computers. The developers' web site at the University of
 Berkeley serves as a common portal to the otherwise independently run
 projects.
 .
 This package depends both on the BOINC core client program that is
 required to participate in any project that uses BOINC, and the
 BOINC Manager, a graphical monitor and control utility for the
 BOINC core client.

Package: boinc-app-seti
Description-md5: 563a41f90b5881405a1ff0da806cde29
Description-en: SETI@home application for the BOINC client
 SETI@home is a distributed computing project using Internet-connected
 computers for the Search for Extraterrestrial Intelligence (SETI). It
 searches for possible evidence of extraterrestrial intelligence in
 radio transmissions collected by the Arecibo radio telescope.
 .
 This package contains the SETI@home Enhanced application for the BOINC
 distributed computing platform. The BOINC client downloads the radio
 data which the SETI@home application analyzes looking for signals of
 extraterrestrial origin. Results are then send back to the SETI@home
 server by the BOINC client.
 .
 Note that this package has been primarily created for users of
 architectures for which SETI@home does not provide its application.
 If your architecture is x86 or AMD64 the BOINC client automatically
 downloads the latest SETI@home application if you participate in this
 project. There is no need to install this package then, except for
 it to take all technical hurdles from you to have your very custom
 SETI patch or new compiler flags evaluated. The configuration of
 BOINC to find the local SETI binary is all performed by the package.
 .
 This package only provides command line application.
 The boinc-app-seti-graphics package provides graphic application.

Package: boinc-app-seti-graphics
Description-md5: 9259a47eb4741beac0da92c68fe04aa6
Description-en: SETI@home application for the BOINC client (with graphics)
 SETI@home is a distributed computing project using Internet-connected
 computers for the Search for Extraterrestrial Intelligence (SETI). It
 searches for possible evidence of extraterrestrial intelligence in
 radio transmissions collected by the Arecibo radio telescope.
 .
 This package contains the SETI@home Enhanced application for the BOINC
 distributed computing platform. The BOINC client downloads the radio
 data which the SETI@home application analyzes looking for signals of
 extraterrestrial origin. Results are then send back to the SETI@home
 server by the BOINC client.
 .
 Note that this package has been primarily created for users of
 architectures for which SETI@home does not provide its application.
 If your architecture is x86 or AMD64 the BOINC client automatically
 downloads the latest SETI@home application if you participate in this
 project. There is no need to install this package then, except for
 it to take all technical hurdles from you to have your very custom
 SETI patch or new compiler flags evaluated. The configuration of
 BOINC to find the local SETI binary is all performed by the package.
 .
 This package provides graphic interface for SETI@HOME Enhanced application.
 The boinc-app-seti package only provides command line application.

Package: boinc-client
Description-md5: 9bd4f63a5f0f805b9edce1e36fefff24
Description-en: core client for the BOINC distributed computing infrastructure
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing: several initiatives of
 various scientific disciplines all compete for the idle time of
 desktop computers. The developers' web site at the University of
 Berkeley serves as a common portal to the otherwise independently run
 projects.
 .
 This package contains the BOINC core client program that is required
 to participate in any project that uses BOINC. A central server
 distributes work units and collects results via this client. When
 attaching a local machine to a project, this client will also
 dynamically download the scientific data and executables to be then
 wrapped by the BOINC core client.

Package: boinc-client-nvidia-cuda
Description-md5: 9384e2173f2ed43c0d057c1770da614c
Description-en: metapackage for CUDA-savvy BOINC client and manager
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing: several initiatives of
 various scientific disciplines all compete for the idle time of
 desktop computers. The developers' web site at the University of
 Berkeley serves as a common portal to the otherwise independently run
 projects.
 .
 Regular users (righteously) often find it an unbearable nuisance to
 care for the exact configuration of BOINC for CUDA-savvy graphics
 cards. This package adds a series of dependencies from the non-free
 section to the regular boinc package. This also meant this binary
 package to be redistributed in the contrib section of Debian.

Package: boinc-client-opencl
Description-md5: 1d8bb1ed221af82159a83aac2ed26b88
Description-en: metapackage for AMD/ATI OpenCL-savvy BOINC client and manager
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing: several initiatives of
 various scientific disciplines all compete for the idle time of
 desktop computers. The developers' web site at the University of
 Berkeley serves as a common portal to the otherwise independently run
 projects.
 .
 Regular users (righteously) often find it an unbearable nuisance to
 care for the configuration of BOINC for the OpenCL-savvy AMD/ATI
 graphics cards.  This package adds a series of dependencies from the
 non-free section to the regular boinc package. This also meant this
 binary package to be redistributed in the contrib section of Debian.

Package: boinc-dev
Description-md5: 9094e8539819f44becbdb4bdca550442
Description-en: development files to build applications for BOINC projects (transitional)
 Dummy transitional package helping the transition of a split of
 architecture-independent development files and the architecture-dependent
 dynamic libraries.  Static libraries are no longer redistributed.

Package: boinc-manager
Description-md5: 18cdf3bcfd01c25a71225cc0291571cd
Description-en: GUI to control and monitor the BOINC core client
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing using volunteered computer
 resources.
 .
 This package contains the BOINC Manager, a graphical monitor and
 control utility for the BOINC core client. It gives a detailed
 overview of the state of the client it is monitoring, as there are
  * attached projects
  * running tasks
  * file transfers between the client and project servers
  * statistics about granted credits and disk usage for every project
  * constraints on CPU usage
 The BOINC Manager has two modes of operation, the "Simple View" in which
 it only displays the most important information and the "Advanced View"
 in which all information and all control elements are available.
 .
 For active participation in any BOINC project the recommended
 boinc-client package, not the boinc-manager, is required for every
 machine contributing.

Package: boinc-screensaver
Description-md5: ba066f78393e8713c0e55232ad35eddf
Description-en: screen saver auto-controlling volunteer computing
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing: several initiatives of
 various scientific disciplines all compete for the idle time of
 desktop computers. The developers' web site at the University of
 Berkeley serves as a common portal to the otherwise independently run
 projects.
 .
 The BOINC client is configurable by the command line or the BOINC
 manager's GUI to compute with any range between 0% and 100% of
 available resources, and to change that ratio to a higher after
 some user determined duration of inactivity. There is now quite
 a number of individuals who prefer to have everything presented
 with their accustomed principle for setting and choosing a screen
 saver. Upon inactivity, the BOINC client is started, and stopped
 again when the user is back. To save the screen, it then shows
 the graphical progress indication as optionally shown also by
 the manager.
 .
 This package is excellent to further promote BOINC, recalling the
 effect SETI@Home once had in the Cambridge, UK, CB2 Cybercafe.
 Just, when using it together with the regular BOINC client setup,
 it is suggested not to have all CPUs used to avoid multiple
 processes running on the same core.

Package: boinctui
Description-md5: 943b8b49e95cd6112f11bd83843f1f22
Description-en: Fullscreen text mode manager for Boinc client
 boinctui is a fullscreen text mode control tool for BOINC client
 It can manage local and remote clients (via boinc RPC), and allows
 you to switch between  clients with a hot key.
 boinctui uses curses library and provides the following features:
  * Fullscreen curses based text user interface
  * Switch between several BOINC clients hosts via hot key
  * View task list (run, queue, suspend e.t.c state)
  * View message list
  * Suspend/Resume/Abort tasks
  * Update/Suspend/Resume/Reset/No New Task/Allow New Task for projects
  * Toggle activity state GPU and CPU tasks
  * Run benchmarks
  * Manage BOINC client on remote hosts via boinc_gui protocol

Package: bolt-lmm
Description-md5: 4f9ee43ad946ed850a9146b123a35ba8
Description-en: Efficient large cohorts genome-wide Bayesian mixed-model association testing
 The BOLT-LMM software package currently consists of two main algorithms, the
 BOLT-LMM algorithm for mixed model association testing, and the BOLT-REML
 algorithm for variance components analysis (i.e., partitioning of
 SNP-heritability and estimation of genetic correlations).
 .
 The BOLT-LMM algorithm computes statistics for testing association between
 phenotype and genotypes using a linear mixed model. By default, BOLT-LMM
 assumes a Bayesian mixture-of-normals prior for the random effect attributed
 to SNPs other than the one being tested. This model generalizes the standard
 infinitesimal mixed model used by previous mixed model association methods,
 providing an opportunity for increased power to detect associations while
 controlling false positives. Additionally, BOLT-LMM applies algorithmic
 advances to compute mixed model association statistics much faster than
 eigendecomposition-based methods, both when using the Bayesian mixture model
 and when specialized to standard mixed model association.
 .
 The BOLT-REML algorithm estimates heritability explained by genotyped SNPs and
 genetic correlations among multiple traits measured on the same set of
 individuals. BOLT-REML applies variance components analysis to perform these
 tasks, supporting both multi-component modeling to partition SNP-heritability
 and multi-trait modeling to estimate correlations. BOLT-REML applies a Monte
 Carlo algorithm that is much faster than eigendecomposition-based methods for
 variance components analysis at large sample sizes.

Package: bolt-lmm-example
Description-md5: a81aa403b28776a725d9d7f1eb4a7e0b
Description-en: Examples for bolt-lmm
 The BOLT-LMM software package currently consists of two main algorithms, the
 BOLT-LMM algorithm for mixed model association testing, and the BOLT-REML
 algorithm for variance components analysis (i.e., partitioning of
 SNP-heritability and estimation of genetic correlations).
 .
 This package provides some example data for bolt-lmm.

Package: bolt-tests
Description-md5: a26eca5cbceae6cfbeda33800bb8d592
Description-en: system daemon to manage thunderbolt 3 devices - installed tests
 Thunderbolt 3 features different security modes that require
 devices to be authorized before they can be used. The D-Bus API can be
 used to list devices, enroll them (authorize and store them in the
 local database) and forget them again (remove previously enrolled
 devices). It also emits signals if new devices are connected (or
 removed). During enrollment devices can be set to be automatically
 authorized as soon as they are connected.  A command line tool, called
 boltctl, can be used to control the daemon and perform all the above
 mentioned tasks.
 .
 This package includes tests to be used on the installed system.

Package: bombardier
Description-md5: df88a58c5d332d4f3c9c45b50c2a53a6
Description-en: The GNU Bombing utility
 This game is the same as the old Blitz16 game on Commodore 16/Plus 4,
 written by Simon Taylor.
 .
 The player is driving a plane that moves across the screen. When the
 plane reaches the right edge of the screen it starts again on the
 left side, but drops down one line.  Below is a cityscape composed of
 blocks. The player has to drop bombs from the plane, and each bomb
 which hits a building removes some blocks. As the plane descends it
 risks hitting any remaining blocks so priority has to be given to
 bombing the tallest buildings.  The level is completed when all
 blocks are removed and the plane has descended safely to the bottom
 of the screen.
 .
 Info about the original Blitz 16:
 http://plus4world.powweb.com/software/Blitz_16

Package: bomber
Description-md5: f7eb190e86fa42fdcccfd1f7656447b4
Description-en: arcade spaceship game
 Bomber is a game where you fly a spaceship and attempt to bomb the buildings
 below you. Each pass the spaceship makes, it gets lower and lower. If you've
 not destroyed a building in your path, you will crash into it.
 .
 This package is part of the KDE games module.

Package: bomberclone
Description-md5: 5d7bacb049c8b9522938c9e5c1fe423e
Description-en: free Bomberman clone
 BomberClone is a free Bomberman-like game for Linux and Windows. The rules of
 the game are simple: run through a level and bomb other players. It features
 powerups that give you more strength, make you walk faster through the level,
 or let you drop more bombs.
 .
 BomberClone can be played in multi-player mode via IPv4 or IPv6 networks or in
 single-player mode against the local AI.

Package: bomberclone-data
Description-md5: a6d641789a2893898ead3c1ff03ebbe8
Description-en: Data files for bomberclone game
 BomberClone is a free Bomberman-like game for Linux and Windows. The rules of
 the game are simple: run through a level and bomb other players. It features
 powerups that give you more strength, make you walk faster through the level,
 or let you drop more bombs.
 .
 BomberClone can be played in multi-player mode via IPv4 or IPv6 networks or in
 single-player mode against the local AI.
 .
 This package contains the data files (sounds, graphics) needed to run
 the game.

Package: bomstrip
Description-md5: 63b5d352d74569b4d8dfc0f80cd36dd7
Description-en: tool to strip Byte-Order Marks from UTF-8 text files
 Bomstrip is a very simple tool that removes BOM's (byte-order-marks)
 from UTF-8 files.  UTF-8 does not have byte-ordering issues, so there
 is absolutely no need to have three bytes (the UTF-8-BOM) that do not
 say anything about the byte-order (since there is nothing to say).

Package: boogie
Description-md5: ff05d0b0aea623bace962f4c12b777bd
Description-en: verifiable programming language (compiler)
 Boogie is a compiler intermediate language with support for automatic invariant
 checking using an SMT solver such as Z3.  It supports program verification for
 a variety of other, higher-level languages, including Spec\#, C, Dafny, and
 Chalice.
 .
 This package contains the Boogie compiler, as well as bvd, the Boogie
 Verification Debugger.

Package: boohu
Description-md5: 1279fae4c68d79eefcfbfe86cb955ca7
Description-en: Break Out Of Hareka's Underground -- a roguelike game
 Break Out Of Hareka's Underground (Boohu) is a roguelike game mainly
 inspired from DCSS and its tavern, with some ideas from Brogue, but aiming
 for very short games, almost no character building, and a simplified
 inventory.

Package: bookletimposer
Description-md5: 26eb6be800d155abe8e60a41d13466f5
Description-en: PDF imposition toolkit
 pdfimposer is a Python module to achieve some basic imposition on PDF
 documents, especially designed to work on booklets.
 .
 BookletImposer is a commandline and GTK+ interface to pdfimposer.
 .
 The bookletimposer package ships both.

Package: boolector
Description-md5: 3ffdf2573adbbaeee22ada3c1789353d
Description-en: SMT solver for bit-vectors and arrays
 Boolector is an efficient SMT solver for the quantifier-free theory of
 bit-vectors in combination with the quantifier-free extensional theory of
 arrays.

Package: boolstuff
Description-md5: 7b3fbbf919d78b1127b8e2430e381d41
Description-en: programs for operating on boolean expression binary trees
 BoolStuff is a C++ library that supports a few operations on boolean
 expression binary trees.
 .
 This package includes extra programs that allow the usage of the library
 from the shell. A command named booldnf can be used to convert an
 expression to the Disjunctive Normal Form (DNF).

Package: boolstuff-dev
Description-md5: d0515ff9575940450a409e1142416eaa
Description-en: library for operating on boolean expression binary trees - devel
 BoolStuff is a C++ library that supports a few operations on boolean
 expression binary trees. The main features are:
  * a simple boolean expression parser (supports operators AND, OR and
    NOT, as well as parentheses)
  * an algorithm to convert a boolean expression binary tree into its
    Disjunctive Normal Form (this algorithm supports the NOT operator)
  * a function that determines if an expression tree is in DNF.
 .
 This package includes the development files for using the library from
 inside your programs.

Package: boomaga
Description-md5: 18e73db11dd36bdd11bf5550e3a44865
Description-en: virtual printer for viewing a document before printing
 Boomaga (BOOklet MAnager) is a virtual printer for viewing a document before
 printing it out using the physical printer.
 .
 The program is very simple to work with. Running any program, click "print"
 and select "Boomaga" to see in several seconds (CUPS takes some time to
 respond) the Boomaga window open.
 .
 If you print out one more document, it gets added to the previous one, and you
 can also print them out as one.
 .
 Regardless of whether your printer supports duplex printing or not, you would
 be able to easily print on both sides of the sheet.

Package: boot-info-script
Description-md5: 131f4972c484ed474b49ad639b870114
Description-en: inspect boot environment
 boot-info-script generates a report of your boot environment. This report
 can easily be read or sent to someone else in case you can not fix the
 problem yourself.

Package: bootcd
Description-md5: c11b117611c2ea47e742119e6f9df8d2
Description-en: bootcd tools can copy a running or mounted Debian system.
 Different boot configuration are supportet (efi, old bios, secure boot).
 bootcdwrite creates an ISO life-cd file called bootcd using an overlayfs.
 bootcdflopcp writes changes from overlayfs to floppy disk, when running from bootcd.
 bootcd2disk writes bootable disks (with or without lvm, ext2, ext3, ext4, vfat, swap).

Package: booth
Description-md5: 1e1eb16ee4689b9b4c45a8b88b4cd42b
Description-en: Cluster Ticket Manager
 Booth manages tickets which authorize cluster sites located in
 geographically dispersed locations to run resources. It
 facilitates support of geographically distributed clustering in
 Pacemaker.
 .
 Booth is based on the Raft consensus algorithm. Though the
 implementation is not complete (there is no log) and there are a
 few additions and modifications, booth guarantees that a ticket
 is always available at just one site as long as it has exclusive
 control of the tickets.
 .
 This package contains the booth daemon, and a script to run booth
 in standalone ("arbitrator") mode.

Package: booth-pacemaker
Description-md5: 9076f87efc1e2175b7b2a0e83fc7d732
Description-en: Resource agents to integrate booth with Pacemaker
 Booth manages tickets which authorize cluster sites located in
 geographically dispersed locations to run resources. It
 facilitates support of geographically distributed clustering in
 Pacemaker.
 .
 Booth is based on the Raft consensus algorithm. Though the
 implementation is not complete (there is no log) and there are a
 few additions and modifications, booth guarantees that a ticket
 is always available at just one site as long as it has exclusive
 control of the tickets.
 .
 This package contains the integration script for booth to run as
 part of a Pacemaker cluster.

Package: bootmail
Description-md5: b8cb9aed9b6205c0cdeb47fa08708054
Description-en: automatically email one or more admins when a system reboots
 bootmail is a handy utility that will automatically send an email
 to a configurable list of email addresses each time the system boots.
 This is perhaps useful for unattended servers that should not reboot often.
 It appends a configurable list of log files to the email body.
 .
 If you install the suggested packages, it will also cryptographically
 sign the email messages.

Package: bootp
Description-md5: fecd82a0cca42b2c7e5ec410b50e2641
Description-en: server for the bootp protocol with DHCP support
 This is a server for the bootp protocol; which allows network administrator
 to setup networking information for clients via an /etc/bootptab on a server
 so that the clients can automatically get their networking information.  While
 this server includes rudimentary DHCP support as well, we suggest using the
 dhcp package if you need DHCP support, as it is much more complete.

Package: bootparamd
Description-md5: f8a48e5e1f4c8735656e73735d69964d
Description-en: Boot parameter server
 bootparamd is a server process that provides information to diskless clients
 necessary for booting.  It consults the /etc/bootparams file to find the
 information it needs.

Package: bootpc
Description-md5: 6a2eb49f6d136266a97ce949df0a3cdc
Description-en: bootp client
 This is a boot protocol client used to grab the machines ip number, set up
 DNS nameservers and other useful information.

Package: bopm
Description-md5: 75dbdb7dff5a9365c8bc9c885211cd70
Description-en: Blitzed Open Proxy Monitor
 An open-source open proxy monitor, designed for use with hybrid-based ircds,
 although it can be used with slight modification on any server which has +c
 to show connects to opers and that supports KLINEs.

Package: borgbackup
Description-md5: 8a56876615c13f6f25a373ef5d5c2017
Description-en: deduplicating and compressing backup program
 Borg is a deduplicating and compressing backup program. Optionally, it
 also supports authenticated encryption. It was forked from the attic
 backup program.
 .
 The main goal of Borg is to provide an efficient and secure way to
 backup data. The data deduplication technique used makes Borg suitable
 for daily backups since only the changes are stored. The authenticated
 encryption makes it suitable for backups to not fully trusted targets.
 .
 It can push backups to remote repositories accessed via ssh. A client
 pushing a backup invokes "borg serve" on the repository host. It is
 possible to restrict the ssh key to "borg serve" using the
 authorized_keys command= clause.
 .
 Please note that the server and the client should be on the same
 version of borgbackup. Using different versions of borgbackup on
 client and server might result in 'incompatible API version' error
 messages and a non-working backup.
 .
 While there is no direct operations mode for pull-type backups, you
 can configure the backup target in append-only mode, preventing the
 backup source from deleting or altering previous backups.

Package: borgbackup-doc
Description-md5: 04d1acfe5fec11e4caa380114fa4d94a
Description-en: deduplicating and compressing backup program (documentation)
 Borg is a deduplicating and compressing backup program. Optionally, it
 also supports authenticated encryption. It was forked from the attic
 backup program.
 .
 The main goal of Borg is to provide an efficient and secure way to
 backup data. The data deduplication technique used makes Borg suitable
 for daily backups since only the changes are stored. The authenticated
 encryption makes it suitable for backups to not fully trusted targets.
 .
 It can push backups to remote repositories accessed via ssh. A client
 pushing a backup invokes "borg serve" on the repository host. It is
 possible to restrict the ssh key to "borg serve" using the
 authorized_keys command= clause.
 .
 This is the documentation package

Package: borgmatic
Description-md5: f0ca4acfb3d412c3e35bb1bdeee35e47
Description-en: automatically create, prune and verify backups with borgbackup
 borgmatic is a simple Python wrapper script for the Borg backup software that
 initiates a backup, prunes any old backups according to a retention policy,
 and validates backups for consistency. The script supports specifying your
 settings in a declarative configuration file rather than having to put them
 all on the command-line, and handles common errors.

Package: bosh
Description-md5: cd976f65269fcf6d1cd0ab91ebc06460
Description-en: browse output of processes
 bosh stands for browsable output shell. This is a bit of a
 misnomer because it isn't really a shell. What is does is
 store the output of a specified program in a buffer, and
 provides a simple curses interface to browse this buffer.
 Actions can be configured which can make use of the
 contents of the currently selected line.
 .
 Commands and actions are stored in bosh configuration files.
 These can include shebang line (#!/usr/bin/bosh) so that the
 configuration can just be run from the command-line.

Package: bosixnet-daemon
Description-md5: f6d94df741d5219b9c35c205c601d0aa
Description-en: Build Own IPv6 Network (client utilities)
 Project should be useful when you have to administer many computers
 distributed through different networks and connected via NAT. In most of
 cases you may just install miredo, gogoc or any other tunnel broker's
 software and these computers will be available via IPv6 addresses. BOSixNet
 includes the collection of tools for automatic updating the list of such
 hosts.
 .
 This package provides the shell script which periodically sends information
 about current IPv6 address to remote server and updates local /etc/hosts
 based on data received from that remote server. It is expected that
 bosixnet-webui is launched on that remote server.

Package: bosixnet-webui
Description-md5: f89c5e31a87eb64042f240c53f446225
Description-en: Build Own IPv6 Network (server utilities)
 Project should be useful when you have to administer many computers
 distributed through different networks and connected via NAT. In most of
 cases you may just install miredo, gogoc or any other tunnel broker's
 software and these computers will be available via IPv6 addresses. BOSixNet
 includes the collection of tools for automatic updating the list of such
 hosts.
 .
 This package provides the FastCGI program which passively listens for
 incoming connections and generates list of hosts in your IPv6 network which
 may be put directly into /etc/hosts. Program has very few dependencies and
 it may be easily launched on embedded devices.

Package: bossa
Description-md5: 3ae432216957607a6bc69add97538515
Description-en: Atmel SAM ARM microcontroller flash programming GUI
 BOSSA is a flash programming utility for Atmel's SAM family of flash-based ARM
 microcontrollers.  The motivation behind BOSSA is to create a simple, easy-to-
 use, open source utility to replace Atmel's SAM-BA software.
 .
 This package contains the wxWidgets GUI interface to bossa.

Package: bossa-cli
Description-md5: bb12344a355aa80d4362e2e5b5043597
Description-en: Atmel SAM ARM microcontroller flash programming utility
 BOSSA is a flash programming utility for Atmel's SAM family of flash-based ARM
 microcontrollers.  The motivation behind BOSSA is to create a simple, easy-to-
 use, open source utility to replace Atmel's SAM-BA software.
 .
 This package contains the BOSSA command line interface and interactive shell
 (i.e., bossac and bossash).

Package: boswars
Description-md5: 4e73ed6461627ce9e52adec77d081117
Description-en: futuristic real-time strategy game
 Bos Wars is a futuristic real-time strategy game. It is possible to play
 against human opponents over local network (LAN), internet, or against the
 computer.
 .
 OpenGL support is available as a run-time configuration option.

Package: boswars-data
Description-md5: 10b442e940908697dde655e67a6b7cb4
Description-en: Images, data, and music files for Bos Wars
 Bos Wars is a futuristic real-time strategy game. It is possible to play
 against human opponents over local network (LAN), internet, or against the
 computer.
 .
 This package includes the images, data files, and music for the Bos Wars
 real-time-strategy game.

Package: botan
Description-md5: 6de918ceaa3e7e490370b3b25cbac026
Description-en: multiplatform crypto library (2.x version)
 Botan is a C++ library which provides support for many common cryptographic
 operations, including encryption, authentication, and X.509v3 certificates and
 CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES,
 MD5, and SHA-1.
 .
 This package contains the 2.x version of Botan.

Package: botch
Description-md5: 1e7a6c327a8c6660ae56f96c23eeff2b
Description-en: tools to create and analyse dependency graphs
 botch is a collection of tools to create and analyze dependency graphs. This
 task is facilitated by a range of individual tools which use the deb822 and
 graphml formats to exchange information with each other.
 .
 The tools installed by this package provide these features:
 .
  - analyze graphs
  - transform Packages and Sources control files
  - create graphs of different types
  - analysis of Packages and Sources control files
  - handling of botch-internal formats
  - dose3 wrappers
  - set operations on Packages or Sources files
  - create build orders
  - conversion of graphs
  - shell scripts connecting the tools for meaningful operations
  - extract regions from graphs

Package: botch-doc
Description-md5: b4689f4bc8b4ffb42375d3ffe0ca6506
Description-en: Bootstrapping helper - documentation
 Botch stands for bootstrap/build ordering tool chain and allows one to create
 and analyze bootstrapping dependency graphs, creates suggestions how to break
 dependency cycles and generates a build order.
 .
 This package contains the documentation.

Package: bottlerocket
Description-md5: 331352390812dbe755ab7c21f047c647
Description-en: Utility to control X10 Firecracker devices for home automation
 A command-line utility to interact with the Firecracker version of
 X10's home control devices (wireless home automation to control lights,
 cameras, appliances via a small transmitter that plugs into the standard
 RS-232 serial port of a computer).
 .
 Also included is rocket launcher, a graphical frontend to bottlerocket.
 If you want this functionality you should have wish (tk8.3 or tk8.4 - the
 Tcl/Tk interpreter) installed.

Package: bouncy
Description-md5: 25da351b0bb3ad7f4e652314f340ca09
Description-en: eat the yummy veggies in the garden - game for small kids
 You play Bouncy the Hungry Rabbit. You're in a garden with yummy veggies and
 a farmer who's not keen on you eating them. You can hide (and move around)
 under the ground.
 .
 Bouncy was written so it could be enjoyed by the author's daughter, who was
 about to turn 3, and by older gamers. Hence it's not a violent game and "easy"
 is really, really easy, and "hard" is challenging.

Package: bovo
Description-md5: b441d750dee643b64630cf13767fc54f
Description-en: gomoku (five in line) board game
 Bovo is a game where two players take turns placing markers on the board,
 the winner being the first to complete a line of five markers.
 .
 This package is part of the KDE games module.

Package: bowtie
Description-md5: 0321cd443ac01259f647358becd22626
Description-en: Ultrafast memory-efficient short read aligner
 This package addresses the problem to interpret the results from the
 latest (2010) DNA sequencing technologies. Those will yield fairly
 short stretches and those cannot be interpreted directly. It is the
 challenge for tools like Bowtie to give a chromosomal location to the
 short stretches of DNA sequenced per run.
 .
 Bowtie aligns short DNA sequences (reads) to the human genome at a rate
 of over 25 million 35-bp reads per hour. Bowtie indexes the genome with
 a Burrows-Wheeler index to keep its memory footprint small: typically
 about 2.2 GB for the human genome (2.9 GB for paired-end).

Package: bowtie-examples
Description-md5: 3566e3a8d3188ffdbd3edb7414cacdda
Description-en: Examples for bowtie, the ultrafast memory-efficient short read aligner
 This package addresses the problem to interpret the results from the
 latest (2010) DNA sequencing technologies. Those will yield fairly
 short stretches and those cannot be interpreted directly. It is the
 challenge for tools like Bowtie to give a chromosomal location to the
 short stretches of DNA sequenced per run.
 .
 Bowtie aligns short DNA sequences (reads) to the human genome at a rate
 of over 25 million 35-bp reads per hour. Bowtie indexes the genome with
 a Burrows-Wheeler index to keep its memory footprint small: typically
 about 2.2 GB for the human genome (2.9 GB for paired-end).
 .
 This package provides some example data to work with bowtie.

Package: bowtie2
Description-md5: a4a641e19bb5b2750860b5cde2b844a2
Description-en: ultrafast memory-efficient short read aligner
 is an ultrafast and memory-efficient tool for aligning sequencing reads
 to long reference sequences. It is particularly good at aligning reads
 of about 50 up to 100s or 1,000s of characters, and particularly good
 at aligning to relatively long (e.g. mammalian) genomes.
 .
 Bowtie 2 indexes the genome with an FM Index to keep its memory footprint
 small: for the human genome, its memory footprint is typically
 around 3.2 GB. Bowtie 2 supports gapped, local, and paired-end alignment modes

Package: bowtie2-examples
Description-md5: 4f7ffd3723c8ff00ad9a14b1e3db6d21
Description-en: Examples for bowtie2
 An ultrafast and memory-efficient tool for aligning sequencing reads
 to long reference sequences. It is particularly good at aligning reads
 of about 50 up to 100s or 1,000s of characters, and particularly good
 at aligning to relatively long (e.g. mammalian) genomes.
 .
 Bowtie 2 indexes the genome with an FM Index to keep its memory footprint
 small: for the human genome, its memory footprint is typically
 around 3.2 GB. Bowtie 2 supports gapped, local, and paired-end alignment modes
 .
 This package provides some example data to work with bowtie2.

Package: boxbackup-client
Description-md5: 2d4841498c10735664507d00f76a8c65
Description-en: client for the BoxBackup remote backup system
 BoxBackup is an automatic on-line backup system.
 The client watches for changes on the local file system,
 connects to a BoxBackup server and sends the changes via a
 secure channel. All data is encrypted before being sent to
 the server. A command-line tool is provided for restoration
 of backups including deleted files and old versions.

Package: boxbackup-server
Description-md5: b9b50cc0e8d500de40612b3327aadf15
Description-en: server for the BoxBackup remote backup system
 BoxBackup is an automatic on-line backup system.
 The server waits for connections from remote clients,
 authenticates them via X.509 certificates and stores the
 encrypted data on hard drives with optional RAID techniques.
 It also supports versions historization and per-user quotas.

Package: boxer
Description-md5: 48b00521b8407caf10ad97b422940991
Description-en: system deployment ninja tricks
 Boxer is a tool for system deployment and for composing blends,
 i.e designing how to have a distribution unfold onto boxes.

Package: boxes
Description-md5: 71d39f5c7193aab87deb83d15f0b2e82
Description-en: textmode box- and comment drawing filter
 Boxes is extremely configurable filter for adding and removing ASCII art
 (comments, for example) around chunks of text.  Most modern text editors
 support filtering text through external filters.  Boxes is such a filter.

Package: boxshade
Description-md5: ca7559d18b94433828e2715eed8e247b
Description-en: Pretty-printing of multiple sequence alignments
 Boxshade is a program for creating good looking printouts from
 multiple-aligned protein or DNA sequences. The program does not perform
 the alignment by itself and requires as input a file that was created
 by a multiple alignment program or manually edited with respective tools.
 .
 Boxshade reads multiple-aligned sequences from either PILEUP-MSF,
 CLUSTAL-ALN, MALIGNED-data and ESEE-save files (limited to a maximum
 of 150 sequences with up to 10000 elements each).  Various kinds of
 shading can be applied to identical/similar residues. Output is written
 to screen or to a file in the following formats: ANSI/VT100, PS/EPS,
 RTF, HPGL, ReGIS, LJ250-printer, ASCII, xFIG, PICT, HTML

Package: bpfcc-lua
Description-md5: 4d4f55a88fe5e8a4b353c8eff39f11aa
Description-en: Standalone tool to run BCC tracers written in Lua
 BPF Compiler Collection (BCC) is a toolkit for creating efficient
 kernel tracing and manipulation programs
 .
 It makes use of extended BPF (Berkeley Package Filter) and provides tools
 for BPF based Linux IO analysis, networking, monitoring and more
 .
 This package provides the Lua bindings

Package: bpfcc-tools
Description-md5: 7d0a78c750d7b8b1202b0362e9a9ab66
Description-en: tools for BPF Compiler Collection (BCC)
 BPF Compiler Collection (BCC) is a toolkit for creating efficient
 kernel tracing and manipulation programs
 .
 It makes use of extended BPF (Berkeley Package Filter) and provides tools
 for BPF based Linux IO analysis, networking, monitoring and more
 .
 This package provides the command-line tools and examples

Package: bpftrace
Description-md5: 77220d45de965013d79717740b8659e5
Description-en: high-level tracing language for Linux eBPF
 BPFtrace is a high-level tracing language for Linux enhanced Berkeley
 Packet Filter (eBPF) available in recent Linux kernels (4.x). BPFtrace
 uses LLVM as a backend to compile scripts to BPF-bytecode and makes
 use of BCC for interacting with the Linux BPF system, as well as
 existing Linux tracing capabilities: kernel dynamic tracing (kprobes),
 user-level dynamic tracing (uprobes), and tracepoints. The BPFtrace
 language is inspired by awk and C, and predecessor tracers such as
 DTrace and SystemTap.

Package: bplay
Description-md5: 139914051f25006f4768b8b76dffc6ce
Description-en: Buffered audio file player/recorder
 The bplay package provides a simple command-line utility for playing
 and recording audio files in raw sample, VOC and WAV formats.
 .
 To use this program you need a soundcard of some kind and the
 appropriate driver configured into your kernel.
 .
 When run the program creates two processes which share a memory
 buffer.  It does reading/writing on the disk and the sound device
 simultaneously, in order to be less liable to `pause' because the
 disk is too slow or too busy.

Package: bpm-tools
Description-md5: 5935b10ce78d0dfbacfac514f0af64b6
Description-en: command-line tool to calculate tempo of audio
 The bpm-tools commands are used to automatically calculate the tempo
 (in beats-per-minute) of music, optionally displaying an  analysis and
 adding it to file 'tags'. The data from these tags can be especially
 useful for navigating a music library in DJ software such as xwax(1).
 .
 The bpm command implements the algorithm on raw data, but the most
 commonly used command is bpm-tag to tag the file with the tempo in bpm.
 The bpm-graph command will produce a plot of the results of the
 autocorrelation.

Package: bppphyview
Description-md5: 8d2c6f0145de2af1aea067a41390ef41
Description-en: Bio++ Phylogenetic Viewer
 A phylogenetic tree editor developed using Bio++ and Qt.  Phyview allows one
 to visualize, edit, print and output phylogenetic trees and associated data.

Package: bppsuite
Description-md5: c3067d02a34fe171809be665cdf8e234
Description-en: Bio++ program suite
 The Bio++ Program Suite is a package of programs using the Bio++
 libraries and dedicated to Phylogenetics and Molecular Evolution.  All
 programs are independent, but can be combined to perform rather complex
 analyses.  These programs use the interface helper tools of the
 libraries, and hence share the same syntax.  They also have several
 options in common, which may also be shared by third-party software.
 .
 The following programs are included:
  - BppML for maximum likelihood analysis,
  - BppSeqGen for sequences simulation,
  - BppAncestor for ancestral states reconstruction,
  - BppDist for distance methods,
  - BppPars for parsimony analysis,
  - BppSeqMan for file conversion and sequence manipulation,
  - BppConsense for building consensus tree and computing
    bootstrap values,
  - BppReRoot for tree rerooting.
  - BppTreeDraw for tree drawing.
  - BppAlnScore for comparing alignments and computing alignment scores.
  - BppMixedLikelihoods for computing site per site likelihoods of
    components of mixture models.
  - BppPopGen for population genetics analyses.

Package: bppsuite-examples
Description-md5: 90cae4e3e809500100af5fa2cef0bcb6
Description-en: Examples for Bio++ program suite
 The Bio++ Program Suite is a package of programs using the Bio++
 libraries and dedicated to Phylogenetics and Molecular Evolution.  All
 programs are independent, but can be combined to perform rather complex
 analyses.  These programs use the interface helper tools of the
 libraries, and hence share the same syntax.  They also have several
 options in common, which may also be shared by third-party software.
 .
 This package contains some example data sets.

Package: bpython
Description-md5: 7e8c133162b1fbc846fe4db2c704296d
Description-en: fancy interface to the Python 3 interpreter
 bpython is a fancy interface to the Python interpreter, and has the
 following features:
 .
   * In-line syntax highlighting.
   * Readline-like autocomplete with suggestions displayed as you type
   * Expected parameter list for any Python function. Uses pydoc to attempt to
     divine params for C functions.
   * "Rewind" function to pop the last line of code from memory and re-evaluate.
     Note: this is only really useful when laying out classes and functions,
     since a true "undo" function is impossible, so be careful when using this.
   * Send the code you've entered off to a pastebin and display the pastebin URL
     for copying, etc.
   * Save the code you've entered to a file.
   * Auto-indentation.
   * Anti-Crash Mode.
 .
 This package contains bpython for Python 3.

Package: bpython3
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: br2684ctl
Description-md5: 171f5800bf9793f93f66667e1f1305f7
Description-en: Utility for configuring RFC 2684 ATM/Ethernet bridging
 ATM bridging is a way to extend Ethernet over an ATM network and is
 mainly used for DSL connections. This package contains the user space
 utility needed to configure the kernel driver.
 .
 This package is needed if you own an USB DSL modem and your connection
 uses one of these protocols: RFC 1483 bridged (RFC 2684 bridged),
 RFC 1483 bridged (RFC 2684 routed), PPP over Ethernet (PPPoE).

Package: braa
Description-md5: 8ed807dc3b9b6e463caf50d39fc33bd8
Description-en: Mass SNMP scanner
 Braa is a mass snmp scanner. The intended usage of such a tool is of course
 making SNMP queries - but unlike snmpget or snmpwalk from net-snmp, it is able
 to query dozens or hundreds of hosts simultaneously, and in a single process.
 Thus, it consumes very few system resources and does the scanning VERY fast.
 .
 Braa implements its OWN snmp stack, so it does NOT need any SNMP libraries
 like net-snmp. The implementation is very dirty, supports only several data
 types, and in any case cannot be stated 'standard-conforming'! It was designed
 to be fast, and it is fast. For this reason (well, and also because of author
 laziness ;), there is no ASN.1 parser in braa - the user HAVE to know the
 numerical values of OID's
 (for instance .1.3.6.1.2.1.1.5.0 instead of system.sysName.0).

Package: brag
Description-md5: 275712ce34651d926c15ff5db6e2ff55
Description-en: Downloads and assembles multipart Usenet binaries
 Brag collects and assembles multipart binary attachments from
 newsgroups. This is a robust command-line tool, well suited to run
 as a cron job.
  * Collects and downloads multipart binary attachments
  * Supported encodings: uuencode, MIME base64 and yenc
  * Filters messages using accept/reject patterns
  * Optionally saves message subjects
  * Supports NNTP authentication
  * Supports non-default NNTP ports
  * Can combine parts from different newsgroups or even different servers
  * Bulletproof: Restarts from the last successful operation.

Package: braillegraph
Description-md5: 315ced6ffce64e60f600c3ef677d5bfb
Description-en: simple histogram tool producing text dot-matrix graphs
 This tool lets you plot a single-series histogram on a text dot-matrix
 display by abusing Unicode.  This gives a resolution of 2×4 per character,
 as opposed to the usual single asterisk you get with ASCII.

Package: brailleutils
Description-md5: a8fe1c840832abd8e97317c3c37aaddb
Description-en: command-line interface for the brailleutils library
 BrailleUtils provides a cross platform API for embossing and converting
 braille in PEF-format.  It also supports conversion to and from commonly used
 "braille" text formats.
 .
 This package contains a command-line user interface.

Package: brainparty
Description-md5: 8cc030bbc2e8beb2ad604a2cf8dccfcc
Description-en: 36 puzzle games for all the family
 Brain Party is a fun, free puzzle game for all the family that's made
 up of 36 minigames designed to push your brain to its limits by testing
 memory, logic, mathematics, reaction time and more! Brain Party is
 split into two modes: test mode gives you five minigames in a row and
 adds up your brain weight to see how smart you are, and practise mode
 lets you play your favourite minigames as often as you want. If you do
 well enough, there are six extra minigames that can be unlocked as well
 as a new game mode designed to keep you playing and enjoying your
 favourite games even longer.

Package: brainparty-data
Description-md5: 6f90121b27d7ffc920e671d7917a2583
Description-en: 36 puzzle games for all the family -- game data
 Brain Party is a fun, free puzzle game for all the family that's made
 up of 36 minigames designed to push your brain to its limits by testing
 memory, logic, mathematics, reaction time and more!
 .
 This package provides game data for brainparty, including more than 400
 images and sounds.

Package: brandy
Description-md5: 87052efb62063bde1423433f8602c5be
Description-en: BBC BASIC V interpreter
 Brandy is an interpreter for BBC Basic. It is source code compatible with the
 BASIC V interpreter in RISC OS and runs under a number of different
 operating systems.
 .
 Note that it is not possible to make operating system calls from within a
 program except under RISC OS.

Package: brasero
Description-md5: f1b90e2793c30b656614688b60907456
Description-en: CD/DVD burning application for GNOME
 Brasero is a simple application to burn, copy and erase CD and DVD
 media: audio, video or data. It features among other things:
  * On-the-fly burning
  * Multisession support
  * On-the-fly conversion of music playlists in all formats supported by
    GStreamer
 .
 This package contains the standalone app.
 .
 The following packages, if installed, will provide Brasero with added
 functionality:
  * cdrdao to burn combined data/audio CDs and for byte-to-byte copy
  * GStreamer backends to support more audio formats
  * vcdimager to create VCDs or SVCDs
  * libdvdcss2 to copy encrypted DVDs
  * tracker to search for video, audio, image and document files

Package: brasero-cdrkit
Description-md5: f665c5ddfb353765cb64ff49e7f6bc98
Description-en: cdrkit extensions for the Brasero burning application
 Brasero is a simple application to burn, copy and erase CD and DVD
 media.
 .
 This package contains extensions for Brasero, based on the genisoimage,
 growisofs, wodim, readom and dvdauthor programs. They are only needed
 for the following operations in Brasero:
  * Audio CD burning with CD-Text information
  * Video DVD creation

Package: brasero-common
Description-md5: 4606bf022bac975b6e53bb64c48943d3
Description-en: Common files for the Brasero CD burning application and library
 Brasero is a simple application to burn, copy and erase CD and DVD
 media.
 .
 This package contains the common files and translations.

Package: brazilian-conjugate
Description-md5: 2fcba94d33f2256e9be5a9fcc39f8db3
Description-en: Brazilian Portuguese verb conjugator
 This package contains a interactive program (conjugue) capable of
 conjugating Portuguese verbs, as spoken in Brazil.  The upstream version
 is numbered 1.0, but as it is distributed together with the Ispell
 dictionary for Brazilian Portuguese, it has the same version number as the
 ibrazilian package for Debian.

Package: breathe-doc
Description-md5: 8fa9013da9cf5be99edf4a109d084a7f
Description-en: Sphinx autodox support for languages with doxygen support (documentation)
 Breathe provides a bridge between the Sphinx and doxygen documentation
 systems. It enables Sphinx to generate autodoc for languages other than Python
 with the help of doxygen. It also allows one to embed reStructuredText in
 doxygen markup.
 .
 This package contains the documentation.

Package: breathe-icon-theme
Description-md5: fef56b55945adad28de193ac441e6480
Description-en: A fresh and modern icon theme for Ubuntu
 The Breathe icon theme is a refresh of the Human icon theme using KDE's
 Oxygen icon set as an inspiration but with that distinctly Human feel.
 .
 The Breathe Icon Set is created and maintained by the Breathe Icon Team and
 Ubuntu Artwork community.

Package: brebis
Description-md5: d4b53ea68a0cf9d4d6fa8caa7fc29ef4
Description-en: fully automated backup checker
 Brebis parses backups (archives and file tree) to perform
 several different checks in order to verify your backup integrity
 and its associated content.

Package: breeze
Description-md5: b3a6646f0a235f56befa3ba88bf5b826
Description-en: Default Plasma theme (meta-package).
 This is the default theme for the KDE Plasma desktop.
 .
 This package includes wallpapers, icons, cursors, KWin style and Qt
 widget style for the Plasma 5 desktop.
 .
 This package is part of the KDE base workspace module.

Package: breeze-cursor-theme
Description-md5: 0b33c55b799ebd80c6311ff5c1c81ff6
Description-en: Default Plasma cursor theme.
 This is the default cursor theme for the KDE Plasma 5 desktop.
 .
 This package is part of the Breeze theme module.

Package: breeze-dev
Description-md5: c9f40073696fbd01634da3d5d1c5ebbc
Description-en: Default Plasma theme (development files).
 This is the default theme for the KDE Plasma desktop.
 .
 This package includes development files.
 .
 This package is part of the KDE base workspace module.

Package: breeze-gtk-theme
Description-md5: ef3d64eedcb0233aa0b20895e9335f22
Description-en: GTK theme built to match KDE's Breeze
 A GTK Theme Built to Match KDE's Breeze. Widget theme for GTK 2 and 3.

Package: breeze-icon-theme
Description-md5: 6feddc6d1f2ccb36664e101c1ee1b4af
Description-en: Default Plasma icon theme
 This is the default icon theme for the KDE Plasma 5 desktop.
 .
 This package is part of the Breeze theme module.

Package: breeze-icon-theme-rcc
Description-md5: 8b380906bf96e2da18841d22fd9ca788
Description-en: RCC resources for the breeze icon theme
 Breeze is the default icon theme for the KDE Plasma 5 desktop. This package
 contains the icons in RCC format.
 .
 This package is part of the Breeze theme module.

Package: brewtarget
Description-md5: 68a6c604ae6e3f0cf8d788bc6ed6094c
Description-en: GUI beer brewing software
 Brewtarget is a calculator for brewing beer. It is a Qt-based program which
 allows you to create recipes from a database of ingredients. It calculates all
 the important parameters, helps you with mash temperatures, and just makes the
 process of recipe formulation much easier.

Package: brickos
Description-md5: 10cd698b2ec20e7c7087952e4647bc32
Description-en: alternative OS for LEGO(r) Mindstorms RCX. Supports devel. in C/C++
 A multitasking operating system with development environment for use
 as an alternative to the standard LEGO(r) Mindstorms RCX firmware.
 .
 For posts to/from other users: http://lugnet.com/robotics/rcx/legos/
 .
 (LEGO(r) is a trademark of the LEGO Group of companies.)

Package: brickos-doc
Description-md5: 359d0988f64f96ebb702191a745b1971
Description-en: documentation for brickOS an Alternative OS for the RCX
 HOWTO and API Documentation for brickOS an alternative Operating
 System for the LEGO(r) Mindstorms RCX.
 .
 (LEGO(r) is a trademark of the LEGO Group of companies.)

Package: brig
Description-md5: 321f9b5456dd4ba31ba9deafce380718
Description-en: BLAST Ring Image Generator
 BRIG can display circular comparisons between a large number of genomes,
 with a focus on handling genome assembly data.
  * Images show similarity between a central reference sequence and other
    sequences as concentric rings.
  * BRIG will perform all BLAST comparisons and file parsing automatically
    via a simple GUI.
  * Contig boundaries and read coverage can be displayed for draft genomes;
    customized graphs and annotations can be displayed.
  * Using a user-defined set of genes as input, BRIG can display gene
    presence, absence, truncation or sequence variation in a set of
    complete genomes, draft genomes or even raw, unassembled sequence data.
  * BRIG also accepts SAM-formatted read-mapping files enabling genomic
    regions present in unassembled sequence data from multiple samples to
    be compared simultaneously

Package: brightd
Description-md5: f3571b8e114d9b45fc249991078649ce
Description-en: daemon which regulates brightness of LCDs dynamically
 The idea is taken from the way Macs behave: If a user is inactive for
 a certain time, it automatically darkens the screen.
 It is designed for IBM/Lenovo Thinkpads, but works with every display
 which is controllable via /sys/class/backlight/.

Package: brightness-udev
Description-md5: 048ef571b6df80af09ed64a983ab18ae
Description-en: Control backlight brightness - udev rules
 brightnessctl is a small utility to control the brightness
 of a screen's backlight.
 .
 This package contains udev rules allowing unprivileged users
 to set the brightness without resorting to a suid binary.

Package: brightnessctl
Description-md5: 046f77125da8cf4af53960488f1445e4
Description-en: Control backlight brightness
 brightnessctl is a small utility to control the brightness
 of a screen's backlight.
 .
 This package contains the brightnessctl utility itself.

Package: briquolo
Description-md5: 1fe2dcbb37b1c2d933cf4bc9b9fb46a0
Description-en: fast paced 3d Breakout game
 Briquolo is a Breakout like game which takes place on a colourful 3d playing
 field. It features 23 fancy levels with moving bricks, great explosions and
 sounds, different camera perspectives and an editor to create your own
 custom levels.
 .
 Briquolo uses OpenGL to offer modern graphical effects and is very portable
 thanks to the SDL library.

Package: briquolo-data
Description-md5: b69dac36ae51e688fd3a9fe5bb779c9f
Description-en: data files for the fast paced 3d Breakout game Briquolo
 Briquolo is a Breakout like game which takes place on a colourful 3d playing
 field. It features 23 fancy levels with moving bricks, great explosions and
 sounds, different camera perspectives and an editor to create your own
 custom levels.
 .
 This package contains the game data, including images, sounds and levels.

Package: bristol
Description-md5: 5d7c1d7c0cbc76eceb235db1e961d5c2
Description-en: vintage synthesizer emulator
 Bristol is a synthesizer emulator application. Bristol uses the sound card
 PCM generator and can be MIDI driven, furthermore it can be set to use the
 low latency scheduling feature of the kernel, reducing audio under runs.
 It can handle any number of simultaneous synthesizers (they all connect to
 the same engine). It supports splitting and layering the keyboard with
 multiple synthesizers on a single midi channel. Currently Bristol emulates
 the following keyboards: Moog Mini, Moog Voyager (Bristol "Explorer"),
 Sequential Circuits Prophet-5, Roland Juno-6, Yamaha DX-7,
 Hammond (single manual), Hammond B3 (dual manual).

Package: bristol-data
Description-md5: fbfcb6d2c07895634614ea70e14e7324
Description-en: vintage synthesizer emulator (data files)
 Bristol is a synthesizer emulator application. Bristol uses the sound card
 PCM generator and can be MIDI driven, furthermore it can be set to use the
 low latency scheduling feature of the kernel, reducing audio under runs.
 It can handle any number of simultaneous synthesizers (they all connect to
 the same engine). It supports splitting and layering the keyboard with
 multiple synthesizers on a single midi channel. Currently Bristol emulates
 the following keyboards: Moog Mini, Moog Voyager (Bristol "Explorer"),
 Sequential Circuits Prophet-5, Roland Juno-6, Yamaha DX-7,
 Hammond (single manual), Hammond B3 (dual manual).
 .
 This package contains the application data files.

Package: brltty-espeak
Description-md5: 12128acd3d15ad48324d1b92f13bb467
Description-en: Access software for a blind person - espeak driver
 BRLTTY is a daemon which provides access to the Linux console (text mode)
 for a blind person using a braille display.  It drives the braille
 display and provides complete screen review functionality.
 .
 This package contains an optional speech driver for the espeak
 speech synthesis engine.

Package: brltty-flite
Description-md5: 2e07054b3b97962c487b79ded37eb57b
Description-en: Access software for a blind person - Flite speech driver
 BRLTTY is a daemon which provides access to the Linux console (text mode)
 for a blind person using a braille display.  It drives the braille
 display and provides complete screen review functionality.
 .
 This package contains an optional speech driver for the Festival Lite
 speech synthesis engine.

Package: brltty-speechd
Description-md5: b90b3fdef13512fbc1fa9b477812709a
Description-en: Access software for a blind person - Speech Dispatcher driver
 BRLTTY is a daemon which provides access to the Linux console (text mode)
 for a blind person using a braille display.  It drives the braille
 display and provides complete screen review functionality.
 .
 This package contains an optional speech driver for the speech-dispatcher
 speech synthesis server.

Package: brltty-x11
Description-md5: 3f30d80e7a87ea3ecbcc6f909e8a1667
Description-en: Access software for a blind person using a braille display - X11 drivers
 BRLTTY is a daemon which provides access to the Linux console (text mode)
 for a blind person using a braille display.  It drives the braille
 display and provides complete screen review functionality.
 .
 This package contains optional screen drivers for BRLTTY which require X11 or
 GNOME.

Package: bro-aux
Description-md5: f05ed801bd82c75beba2eb3613fe9853
Description-en: small auxiliary tools for Bro
 This package provides handy auxiliary programs related to the use of the
 Bro Network Security Monitor.
 .
 The “adtrace” utility is used to compute the network address that compose
 the internal and extern nets that bro is monitoring. The “bro-cut” utility
 reads ASCII Bro logs on standard input and outputs them with only the
 specified columns (if no column names are specified, then all columns are
 output). The "nfcollector" and "ftwire2bro" utilities are for dealing with
 Bro’s custom file format for storing NetFlow records.

Package: brotli
Description-md5: aee765d3571821ffe40670742c9b514d
Description-en: lossless compression algorithm and format (command line utility)
 Brotli is a generic-purpose lossless compression algorithm
 that compresses data using a combination of a modern variant
 of the LZ77 algorithm, Huffman coding and 2nd order context modeling,
 with a compression ratio comparable to the best currently available
 general-purpose compression methods. It is similar in speed with
 deflate but offers more dense compression.
 .
 This package installs a command line utility.

Package: brp-pacu
Description-md5: b13e8cf2fb4f987c2fadf4b4020e0c35
Description-en: audio analysis tool
 Brp-pacu compares the output of the system to the input of the system
 and allows you to use this data to perform final equalization by matching
 the results with actual DSP/EQ.

Package: brutalchess
Description-md5: afa805d0b41e660bf6a3b350d15f0d3a
Description-en: 3D chess game with reflection of the chessmen
 Brutal Chess features full 3D graphics, an advanced particle engine, and
 several different levels of intelligent AI, inspired by the once popular
 "Battle Chess" released by Interplay circa 1988.

Package: brutefir
Description-md5: dbb01e422b9ea7a7b5505917a2202aef
Description-en: software convolution engine
 BruteFIR is a program for applying long FIR filters to multi-channel
 digital audio, either offline or in realtime. Its basic operation is
 specified through a configuration file, and filters, attenuation and
 delay can be changed in runtime through a simple command line
 interface. The FIR filter algorithm used is  an optimised frequency
 domain algorithm, partly implemented in hand-coded assembler, thus
 throughput is extremely high. In realtime, a standard computer can
 typically run more than 10 channels with more than 60000 filter taps
 each.
 .
 Through its highly modular design, things like adaptive filtering,
 signal generators and sample I/O are easily added, extended and
 modified, without the need to alter the program itself.

Package: bruteforce-luks
Description-md5: 7200d3fb2c51ec59b9c10d40efe5b1d8
Description-en: Try to find a password of a LUKS encrypted volume
 The program is used to try discovery a password for encrypted LUKS volume used
 to security reasons. It works trying decrypt at least one of the key slots by
 trying all the possible passwords. It is used in forensics and is especially
 useful if you know something about the password (i.e. you forgot a part of your
 password but still remember most of it).
 .
 Because of cryptography complexity, crack the password of a LUKS volume without
 knowing anything about it would take way too much time (unless the password is
 really short and/or weak).

Package: bruteforce-salted-openssl
Description-md5: d453ce277a2d253415556498c2d9246d
Description-en: try to find the passphrase for files encrypted with OpenSSL
 bruteforce-salted-openssl try to find the passphrase or password of a file
 that was encrypted with the openssl command. It can be used in two ways:
 .
    - Try all possible passwords given a charset.
    - Try all passwords in a file (dictionary).
 .
 bruteforce-salted-openssl have the following features:
 .
    - You can specify the number of threads to use when cracking a file.
    - The program should be able to use all the digests and symmetric ciphers
      available with the OpenSSL libraries installed on your system.
    - Sending a USR1 signal to a running bruteforce-salted-openssl process
      makes it print progress and continue.
    - There are an exhaustive mode and a dictionary mode.
 .
 In the exhaustive mode the program tries to decrypt the file by trying all
 possible passwords. It is especially useful if you know something about the
 password (i.e. you forgot a part of your password but still remember most of
 it). Finding the password of the file without knowing anything about it would
 take way too much time (unless the password is really short and/or weak).
 There are some command line options to specify:
 .
    - The minimum password length to try.
    - The maximum password length to try.
    - The beginning of the password.
    - The end of the password.
    - The character set to use (among the characters of the current locale).
 .
 In dictionary mode the program tries to decrypt the file by trying all the
 passwords contained in a file. The file must have one password per line.
 .
 This package is useful for security, pentests and forensics investigations.

Package: brutespray
Description-md5: f7548325f9a8edfd8bb01520d09b299e
Description-en: Python bruteforce tool
 This Python script takes nmap GNMAP/XML output and automatically brute-forces
 services with default credentials using Medusa.
 BruteSpray can even find non-standard ports by using the -sV inside Nmap.

Package: brz
Description-md5: 4daa260c32bc7524a58f92196d3808bc
Description-en: easy to use distributed version control system
 Breezy is a distributed version control system designed to be easy to
 use and intuitive, able to adapt to many file formats and workflows, reliable,
 and easily extendable.
 .
 Out of the box, Breezy supports both the Git and Bazaar repository
 formats.

Package: brz-debian
Description-md5: 20ce59bb8aaee0f2743ed51122668356
Description-en: breezy plugin for Debian package management
 brz-debian is a plugin for the breezy version control system that helps to
 automate the task of maintaining Debian packages using breezy. It is similar
 in intent to cvs-buildpackage, svn-buildpackage and the similar scripts.
 .
 It builds a Debian package from a branch, optionally using a separate
 upstream tarball.

Package: brz-doc
Description-md5: 50779d24d3e89dfe156ea3d8a27d9589
Description-en: easy to use distributed version control system (documentation)
 Breezy is a distributed version control system designed to be easy to
 use and intuitive, able to adapt to many file formats and workflows, reliable,
 and easily extendable.
 .
 This package provides the documentation.

Package: brz-loom
Description-md5: 6d06a6bf46fa29150c0081c4d7a3fcc3
Description-en: Focused patch plugin support for Bazaar branches in Breezy
 Loom is a Breezy plugin to assist in developing focused patches. It adds a
 'loom' to a Bazaar branch.
 .
 A loom allows the development of multiple patches at once, while still giving
 each patch a branch of its own.

Package: bs1770gain
Description-md5: 4db8784627eb2eb4ce9d5412b50fb995
Description-en: measure and adjust audio and video sound loudness
 The command line tool bs1770gain is a loudness scanner compliant with
 ITU-R BS.1770 and its flavors EBU R128, ATSC A/85, and ReplayGain
 2.0. It helps normalizing the loudness of audio and video files to
 the same level.

Package: bs2b-ladspa
Description-md5: 6df7970911e20f4cb9ebd4f22651f1c0
Description-en: Bauer stereophonic-to-binaural DSP LADSPA plugin
 The Bauer stereophonic-to-binaural DSP (bs2b) is designed to improve
 headphone listening of stereo audio records.
 .
 Typical stereo recordings are made for listening with speakers.
 What's missing in headphones is the sound going from each channel
 to the opposite ear, arriving a short time later for the extra distance
 traveled, and with a bit of high frequency roll-off for the shadowing
 effect of the head. The time delay to the far ear should also be
 somewhat longer at low frequencies than at high frequencies. bs2b
 implements both of these ideas to transform stereophonic recordings
 for headphone listening.
 .
 This plugin allows the bs2b library to be used with the LADSPA plug-in
 architecture.

Package: bsdgames
Description-md5: baad5e80259494938d2b2c34b0259cb7
Description-en: collection of classic textual unix games
 This is a collection of some of the text-based games and amusements that
 have been enjoyed for decades on unix systems.
 .
 It includes these programs: adventure, arithmetic, atc, backgammon,
 battlestar, bcd, boggle, caesar, canfield, countmail, cribbage, dab,
 go-fish, gomoku, hack, hangman, hunt, mille, monop, morse, number,
 pig, phantasia, pom, ppt, primes, quiz, random, rain, robots, rot13,
 sail, snake, tetris, trek, wargames, worm, worms, wump, wtf

Package: bsdiff
Description-md5: 39b21a114b327c2bc9c45b4762ee8f50
Description-en: generate/apply a patch between two binary files
 bsdiff and bspatch are tools for building and applying patches to binary files.
 By using suffix sorting (specifically, Larsson and Sadakane's qsufsort) and
 taking advantage of how executable files change, bsdiff routinely produces
 binary patches 50-80% smaller than those produced by Xdelta, and 15% smaller
 than those produced by .RTPatch (a commercial patch tool).

Package: bsdowl
Description-md5: 53603f607644c66ae8f35b4d6f53b911
Description-en: Universal portable build system written for BSD Make
 This collection of BSD Make directives aims at providing a highly
 portable universal build system targeting modern UNIX systems and
 supporting common or less common languages.
 .
 It can already be used to:
 .
   - Prepare and publish TeX documents;
   - Develop TeX macros with NOWEB;
   - Develop OCaml software;
   - Maintain and deploy FreeBSD workstation configuration files;
   - Prepare static website with ONSGMLS.
 .
 It is well tested under:
 .
   - Mac OS X, version 10.6.8 and above
   - FreeBSD, version 9.0 and above
   - Debian Jessie and newer

Package: bsfilter
Description-md5: 20718b08c0417fe4025d4172a1e738a6
Description-en: Bayesian spam filter
 Bsfilter is a spam filter which can distinguish spam mail from other mails.
 It can read mails by three way:
   * reads from normal file or stdin.
   * retrieves and stores from IMAP server.
   * reads from POP server and passes to POP client. (POP proxy)
 Bsfilter gives nice support of Japanese.

Package: bsh
Description-md5: 7344effde5b85638644be4f2f28d5c66
Description-en: Java scripting environment (BeanShell) Version 2
 BeanShell is a small, free, embeddable, Java source interpreter with object
 scripting language features, written in Java. BeanShell executes standard Java
 statements and expressions, in addition to obvious scripting commands and
 syntax.  BeanShell supports scripted objects as simple method closures like
 those in Perl and JavaScript(tm).
 .
 You can use BeanShell interactively for Java experimentation and debugging or
 as a simple scripting engine for you applications.  In short: BeanShell is a
 dynamically interpreted Java, plus some useful stuff.

Package: bsh-doc
Description-md5: 185310e44d4c9d8cbde45a7662aad306
Description-en: Documentation for bsh
 BeanShell is a small, free, embeddable, Java source interpreter with object
 scripting language features, written in Java. BeanShell executes standard Java
 statements and expressions, in addition to obvious scripting commands and
 syntax.  BeanShell supports scripted objects as simple method closures like
 those in Perl and JavaScript(tm).
 .
 You can use BeanShell interactively for Java experimentation and debugging or
 as a simple scripting engine for you applications.  In short: BeanShell is a
 dynamically interpreted Java, plus some useful stuff.
 .
 The documentation includes the javadoc API for bsh version 2 as well as
 the faq and manual in html-format.

Package: bsh-src
Description-md5: b7ff08ed9f7163110b2eba3e8aec8d91
Description-en: Java scripting environment (BeanShell) Version 2 (source code)
 BeanShell is a small, free, embeddable, Java source interpreter with object
 scripting language features, written in Java. BeanShell executes standard Java
 statements and expressions, in addition to obvious scripting commands and
 syntax.  BeanShell supports scripted objects as simple method closures like
 those in Perl and JavaScript(tm).
 .
 You can use BeanShell interactively for Java experimentation and debugging or
 as a simple scripting engine for you applications.  In short: BeanShell is a
 dynamically interpreted Java, plus some useful stuff.
 .
 This package contains the bsh source code.

Package: bspwm
Description-md5: e6095e1f2b6a324955db665b6f2c97bd
Description-en: Binary space partitioning window manager
 Bspwm is a tiling window manager that represents windows as the
 leaves of a full binary tree.

Package: btag
Description-md5: c7f28728b01cfc7d81fa3613ce2ce17a
Description-en: interactive command-line based multimedia tag editor
 btag is an interactive utility for tagging multimedia files in batches.
 tries to automate most of the process by stripping away stray whitespace
 guessing the best capitalization style for the text fields and keeping
 state on the current album being tagged.
 .
 btag can change the capitalization of text fields even when those contain
 non-ASCII characters, relying on the standard library to perform the
 appropriate case conversions. It works on directories containing complete
 albums as well as on individual files.
 .
 All tag formats supported by TagLib should be supported by btag. This
 includes (among others) ID3v1 and ID3v2 tags found in MP3 files, Ogg
 Vorbis comments, ID3 tags and Vorbis comments in FLAC files.

Package: btanks
Description-md5: 7d3601a91073f2b5a18e9721fdf32912
Description-en: fast 2D tank arcade game with multiplayer and split-screen modes
 Battle Tanks is a funny battle on your desk, where you can choose one of three
 vehicles and eliminate your enemy using the whole arsenal of weapons. It has
 original cartoon-like graphics and cool music, is fun and dynamic and has
 death-match and cooperative network modes.

Package: btanks-data
Description-md5: 059c2e49ca1d64fb28327eb3521737a0
Description-en: fast 2D tank arcade game -- data
 Battle Tanks is a funny battle on your desk, where you can choose one of three
 vehicles and eliminate your enemy using the whole arsenal of weapons. It has
 original cartoon-like graphics and cool music, is fun and dynamic and has
 death-match and cooperative network modes.
 .
 This package contains fonts, maps, sounds, music, images and other data for
 Battle Tanks.

Package: btcheck
Description-md5: 77004d9de451ff6f982a5b7d628baaf5
Description-en: downloaded data checker and a torrent file content viewer
 Btcheck can check downloaded data integrity according to the given
 torrent and it can also show the information stored in the torrent
 file (announce trackers, list of files with their size, torrent
 hash value, creation date, comments, ...).

Package: btest
Description-md5: 8bbc361463b9968b10809f89406f3a77
Description-en: simple driver for basic unit tests
 "btest" is a simple framework for writing unit tests. Freely
 borrowing some ideas from other packages, it's main objective is to
 provide an easy-to-use, straightforward driver for a suite of
 shell-based tests. Each test consists of a set of command lines that
 will be executed, and success is determined based on their exit
 codes. "btest" comes with some additional tools that can be used
 within such tests to compare output against a previously established
 baseline.

Package: btfs
Description-md5: a492e5a8385d6aead6422f82693b0290
Description-en: access torrent files as a filesystem
 btfs allows you to mount .torrent or magnet links
 as local directories using fuse.
 .
 Tools like cp, cat and ls would work the same as
 on a normal filesystem. You can also play videos
 using normal video software and only the  sections
 that you stream will be transferred.

Package: bti
Description-md5: 0167823124e2445d0d19cc30f0460e8c
Description-en: command line Twitter client
 bti sends a tweet message to twitter.com.
 .
 bti provides an easy way to send tweet messages directly from the command line
 or a script. It reads the message on standard input and uses the account
 and password settings either from the command line options or from a config
 file to deliver the message.
 .
 Besides sending messages bti also allows one to see one's friends', a group's
 or a specific user's timeline, the public timeline, replies to messages, and
 direct messages.

Package: btpd
Description-md5: 5cfa4c67aed49caa65778c66c9ea1d87
Description-en: BitTorrent Protocol Daemon
 btpd is a daemon based bittorrent client. The daemon state can be read or
 changed with appropriate commands. The daemon is capable of running several
 torrents simultaneously and only uses one tcp port, it's fairly low on
 resource usage and should be perfect for file distribution sites.
 .
 Efficient downloads and ease of use make this client a good choice for the
 casual user as well.

Package: btrbk
Description-md5: 13434d9f502ec934b9db33ec622b0769
Description-en: backup tool for btrfs subvolumes
 Backup tool for btrfs subvolumes, using a configuration file, allows
 creation of backups from multiple sources to multiple destinations,
 with ssh and flexible retention policy support (hourly, daily,
 weekly, monthly).

Package: btrfs-compsize
Description-md5: f512d4d9bea7e6b7ef8691400032e067
Description-en: calculate compression ratio of a set of files on btrfs
 Compsize takes a list of files on a btrfs filesystem (recursing directories)
 and measures used compression types and the effective compression ratio.
 .
 Because of partially used extents on one hand, and multiple reflinks to an
 extent on the other, the definition of used space can be quite unintuitive.
 This program provides answers at different stages:
  * blocks on the disk
  * uncompressed extents
  * apparent file sizes (sans holes)

Package: btrfs-heatmap
Description-md5: 7d71f5bcc610f898e8bce2be30e3facc
Description-en: Visualize the layout of data on your btrfs filesystem
 The btrfs heatmap script creates a visualization of how a btrfs filesystem is
 using the underlying disk space of the block devices that are added to it.

Package: btrfsmaintenance
Description-md5: 61f9654a02fcc26347d3a0d9a25a28e5
Description-en: automate btrfs maintenance tasks on mountpoints or directories
 This is a set of scripts for the btrfs filesystem that automates the
 following maintenance tasks: scrub, balance, trim, and defragment.
 .
 Tasks are enabled, disabled, scheduled, and customised from a single
 text file.  The default configuration assumes an installation profile
 where / is a btrfs filesystem.
 .
 The default values have been chosen as an even compromise between
 time to complete maintenance, improvement in filesystem performance,
 and minimum impact on other processes.  Please note that I/O priority
 scheduling requires the use of CFQ, and not noop, deadline,
 anticipatory, or blk-mq.  CFQ is Debian's default block scheduler.

Package: btscanner
Description-md5: cd17388937434d12c6c95cf8a83d33a2
Description-en: ncurses-based scanner for Bluetooth devices
 btscanner is a tool designed specifically to extract as much information
 as possible from a Bluetooth device without the requirement to pair. A
 detailed information screen extracts HCI and SDP information, and
 maintains an open connection to monitor the RSSI and link quality.
 btscanner is based on the BlueZ Bluetooth stack, which is included with
 recent Linux kernels, and the BlueZ toolset. btscanner also contains a
 complete listing of the IEEE OUI numbers and class lookup tables. Using
 the information gathered from these sources it is possible to make
 educated guesses as to the host device type.

Package: btyacc
Description-md5: 6a8ee09d35137bc310a639e61a1d4b6f
Description-en: Backtracking parser generator based on byacc
 btyacc is a hacked version of the original Berkeley "byacc". The main
 change to byacc is the addition of backtracking code, allowing you to
 try both alternatives in case of shift-reduce or reduce-reduce
 conflicts. As long as no backtracking takes place, speed is comparable
 to bison.
 .
 Additional features:
  - enhanced support for storing of text position information for tokens
  - closes the potential *yacc/bison memory leak during error recovery
  - preprocessor directives like %ifdef, %include
  - C++ friendly
 .
 Related packages: bison, byacc, antlr

Package: bubblefishymon
Description-md5: eeb9aae28258314c2a9164cafab78b64
Description-en: system load dockapp with a duck
 A load monitor dockapp, descended from wmfishtime and bubblemon.
 Features include fish representing network traffic, bubbles representing
 CPU usage, and a duck representing a duck.

Package: bucardo
Description-md5: 7993d525607de82908adc528d7209ef0
Description-en: asynchronous replication system for PostgreSQL
 Bucardo is an asynchronous PostgreSQL replication system, allowing for both
 multi-master and multi-slave operations.
 .
 Bucardo uses PL/pgSQL and Pl/PerlU triggers to send notification events to a
 daemon, which replicates the data modifications, and Bucardo provides both
 standard and custom conflict resolution methods.

Package: bucklespring
Description-md5: 06b4bde282664a556e659636d7abd594
Description-en: Nostalgia bucklespring keyboard sound
 Buckle emulates the sound of an old IBM Model-M space saver
 bucklespring keyboard while typing within an X session.
 .
 It runs as a background process and plays back the sound of each key
 pressed and released on the keyboard, just as if using an IBM Model-M.
 The sound of each key has carefully been sampled, and is played back
 while simulating the proper distance and direction for a realistic 3D
 sound palette of pure nostalgic bliss.

Package: bucklespring-data
Description-md5: 1605c34f7c0c2a5eb28e43423d6a97f4
Description-en: Nostalgia bucklespring keyboard sound - sound files
 Buckle emulates the sound of an old IBM Model-M space saver
 bucklespring keyboard while typing within an X session.
 .
 It runs as a background process and plays back the sound of each key
 pressed and released on the keyboard, just as if using an IBM Model-M.
 The sound of each key has carefully been sampled, and is played back
 while simulating the proper distance and direction for a realistic 3D
 sound palette of pure nostalgic bliss.
 .
 This package contains the keyboard sound files.

Package: budgie-app-launcher-applet
Description-md5: ee1de99ba1000e47eab8c8e7b6dc5334
Description-en: Applet to provide an alternative means to launch applications
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The app-launcher applet allows the ability to add favorite apps to the
 panel as well as finding and launching applications.  The list of
 applications listed can be easily configured to be visible or hidden.

Package: budgie-applications-menu-applet
Description-md5: f1959eaba81110d6568b52c089e89823
Description-en: Stylish Applications Menu for Budgie-Desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 The application-menu is a stylish panel applet that displays applications
 in different views - grid and list. Weblinks typed into the search bar
 can be opened in your default browser. Applications that have additional
 desktop options show via a right-click menu. Applications with associated
 actions show those actions are additional searchable entries.
 .
 With the optional zeitgeist package search entries learn your menu actions
 and results are automatically biased to allow you to find and execute
 applications quickly.
 .
 Variety of options are available including grid sizing and displaying a
 powerstrip.

Package: budgie-appmenu-applet
Description-md5: ace39baf7ed93078b0ceae6704da5aa3
Description-en: Application Menu plugin for budgie-panel
 This is an Application Menu (Global Menu) plugin. It is built using
 the Unity protocol and libraries and provides all features found in
 the Unity implementation.
 .
 This package provides the plugin for Budgie.

Package: budgie-brightness-controller-applet
Description-md5: 1f151ddcb6779821b52ba3109445d6ab
Description-en: Applet to control the brightness of the screen
 This is part of a suite of python3 and Vala based applets & mini-apps
 created for the Budgie Desktop that provide additional user orientated
 capabilities. These can be used individually or as a set.
 .
 The brightness controller applet allows controlling of the screen
 levels via xrandr (fallback) or via gnome-settings-daemon (if available)

Package: budgie-clockworks-applet
Description-md5: 72ed6fae44ba0fc083b90adea4376338
Description-en: Applet to display clock across multiple time zones
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The Clockworks applet displays the current time across multiple
 time zones.

Package: budgie-core
Description-md5: 6c2190c1b77711c5ead3489e8810d5fe
Description-en: Core package for Budgie-Desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This is the base package for budgie-desktop.  This package provides:
  GNOME Network Manager autostart file specifically for Budgie
  budgie-desktop plugins
  locales and icons for budgie-desktop
  budgie-desktop executables
  GLib schemas for budgie-desktop
  Session file for budgie-desktop

Package: budgie-core-dev
Description-md5: 596f7e0f81c9299755e53b7d2ff0905c
Description-en: Development package for budgie-desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This package provides the development files allowing compilation
 through the budgie-desktop API.  This package provides:
  C Header files
  Vala .vapi files

Package: budgie-countdown-applet
Description-md5: cc86b7f29926e8034a2919dedf31b909
Description-en: Applet providing a countdown capability on the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The Countdown applet provides the user the ability to start an
 action when the countdown reaches 0 seconds. Actions include flashing
 an icon in the panel, opening a notification window, sounding a
 bell or running a custom command.

Package: budgie-desktop
Description-md5: 66a8b138593a7e78e7cd56ecbc86786b
Description-en: Desktop package for budgie-desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This package installs the minimal GNOME based package-set together with
 the key budgie-desktop packages to produce a working desktop
 environment.

Package: budgie-desktop-doc
Description-md5: 4ad36e980d7fa9f2fadf205591a6d5bf
Description-en: documentation files for the budgie-desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This package contains the API documentation in HTML format

Package: budgie-desktop-environment
Description-md5: 3c218541b980c002a74379eaa520f16f
Description-en: Desktop environment customisation for Ubuntu Budgie
 Installs all Ubuntu Budgie packages, both essential dependencies
 as well as recommended packages and applications.
 Installs:
   Ubuntu Budgie panel configuration
   plank dock customisation
   gsettings overrides
   totem thumbnailer config using ffmpegthumbnailer
   Tilix integration
   ubuntu and budgie-desktop gsettings overrides
   make QT apps look like GTK+ apps
   default icon-theme for GTK+ applications
   Ubuntu Budgie plymouth branding

Package: budgie-dropby-applet
Description-md5: 66bf3a7a4650fcaa8dd82969daeb5ff6
Description-en: Applet to popup when a USB device is connected
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The DropBy applet pops up in the panel when connecting a usb device.
 The applet subsequently offers the option(s) to mount, unmount/eject
 and in case of a flash drive, to make a local copy of the drive's
 content. The info shows the free space on the volume.
 .
 The applet is context- sensitive, meaning: it only shows its icon in
 the panel if, and as long as, any usb drive is connected.

Package: budgie-extras-common
Description-md5: 79d69742a0863608f3ff5699fc7bcd95
Description-en: Shared component of budgie-extras applets
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The shared component provides for capabilities that are utilised between
 budgie-extra applets.

Package: budgie-extras-daemon
Description-md5: e9aa05938a972965cb9886a88bb331bd
Description-en: Extras daemon for budgie-extras capabilities
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 Budgie-Extras-Daemon provides both global keybinding and D-Bus functionality
 for various extras applets and applications.

Package: budgie-fuzzyclock-applet
Description-md5: d8ba91576263e135668afc72e7b2927b
Description-en: Show the time in a fuzzy way
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 Displays the time in a 'spoken' format such as five past six.

Package: budgie-hotcorners-applet
Description-md5: 147909fe36c269930f6a648c0abe78b6
Description-en: Applet providing hotcorners capabilities for the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The hotcorners applet allow user defined commands to be executed
 when the mouse cursor is pushed into a corner of the main desktop.

Package: budgie-indicator-applet
Description-md5: 1d31dc5c37c6fa70a1ef4a87f573f790
Description-en: Application Indicator for the budgie-desktop
 Package that installs an Application Indicator applet for the
 budgie-desktop. The applet is intended to be used instead of the
 X11 system tray.  It displays application icons corresponding to
 applications that support the AppIndicator API

Package: budgie-kangaroo-applet
Description-md5: 08a662bcc9e1d71f95c8ef8197b4f392
Description-en: Applet to allow quick file-browsing
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The kangaroo applet allows for quick & easy browsing, across
 (possibly) many directory layers, without having to do a single mouse
 click.

Package: budgie-keyboard-autoswitch-applet
Description-md5: c5a1ea5377476098acf8559a940b9a1c
Description-en: Applet adding the ability to set a different keyboard layout per application
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The Keyboard Auto Switcher applet provides the user the ability to set
 a different keyboard layout per application. Exceptions to the default
 layout can be set by simply choosing a different layout using the
 Keyboard Layout applet.

Package: budgie-lightdm-theme
Description-md5: 7d17e9cb6c5b8cc27a07f05ab93eec2a
Description-en: LightDM Greeter theme for Ubuntu Budgie
 This is the LightDM greeter theme and configuration
 used for a standalone Ubuntu Budgie installation.
 .
 This package can also be installed on
 other Ubuntu desktops desiring to use this
 theme, but it will replace the LightDM theme.

Package: budgie-lightdm-theme-base
Description-md5: 050ef92244b8d78286dc9ee87bc02bf9
Description-en: LightDM Session for Ubuntu Budgie
 This is the LightDM Session used to launch budgie-desktop
 without needing all of the Ubuntu Budgie styling changes.
 .
 This package allows ubiquity to autologin on self build installations.

Package: budgie-network-manager-applet
Description-md5: d54f8dd52ebf67de647605842f972abc
Description-en: Network Manager Applet for the budgie-desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 This package installs an Network connectivity applet for the
 budgie-desktop.

Package: budgie-previews
Description-md5: 84b23ae17b05d31f7d5e97a096669abb
Description-en: Provides window previews capabilities for the Budgie Desktop
 This is part of a suite of python3 and Vala based applets & mini-apps
 created for the Budgie Desktop that provide additional user orientated
 capabilities. These can be used individually or as a set.
 .
 budgie-previews can be used on most desktop environments.
 .
 Previews shows an overview of windows in an expose like way.

Package: budgie-previews-applet
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: budgie-quickchar
Description-md5: af1b1897c37a0cd74bd0d367873c0e8e
Description-en: GUI to find and choose locale characters
 This is part of a suite of python3 and Vala based applets & mini-apps
 created for the Budgie Desktop that provide additional user orientated
 capabilities. These can be used individually or as a set.
 .
 Quickly find and choose the equivalent locale character for an ascii
 character.
 .
 Quickchar can be used on most desktop environments invoked by a shortcut key.

Package: budgie-quicknote-applet
Description-md5: 046df1f6c5ec45c0b80baa92b0afd00d
Description-en: Applet providing simple notes capability for the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The quicknote applet allows a user to record a text based note.
 The applet supports multiple undo and redo capabilities.

Package: budgie-recentlyused-applet
Description-md5: b29da7d52602dfa0561c6f0dd08a2a7f
Description-en: Applet displays files recently accessed for the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The recentlyused applet displays the users files that have been opened
 or created within a configurable period of time.

Package: budgie-rotation-lock-applet
Description-md5: e82fd8f0411e97e975a2828a0e86a058
Description-en: Applet to lock or unlock the screen rotation
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The Rotation Lock applet provides the user an easy way to lock or
 unlock the screen rotation.

Package: budgie-showtime-applet
Description-md5: d66f78a7afdc24212025ed0a32265460
Description-en: Applet displaying date and time on the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The ShowTime applet is a digital desktop clock, showing time and/or
 date.  Text color of both the displayed time and date can be set
 separately from the applet's menu

Package: budgie-sntray-plugin
Description-md5: d0d07b215e8e1212e5a66b24707428ae
Description-en: plugin for xfce4-panel to show StatusNotifierItems - Budgie
 Plugin for xfce4-panel to show StatusNotifierItems
 (also known as AppIndicators). Based on ValaPanel`s
 StatusNotifier and xfce4-snw-plugin by equiem.
 .
 Budgie panel applet version of the plugin.

Package: budgie-takeabreak-applet
Description-md5: b16b56b3de648c8da0e77ff9cdcddaae
Description-en: Applet to prompt when to take-a-break for a set period of time
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The take-a-break applet monitors how long a desktop is being used
 and at a configurable time period suggests when to take a break
 from working.

Package: budgie-trash-applet
Description-md5: 865a7d7e4f1d5cb2faa7abaed596d32b
Description-en: Applet allows access to trash capabilities for the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The trash applet allows the user access the desktop trash capabilities
 from the panel including the ability to delete and restore files stored
 in the trash folder.

Package: budgie-visualspace-applet
Description-md5: c7769053e839d94bb64687a8f415e380
Description-en: Show and manage windows in workspaces for the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The visualspace applet shows as a stylish compact workspace on the budgie
 panel.  Choosing windows in the applet popup moves to the workspace
 where the window is located and gives it focus.
 The number of Workspace can also be changed though the applet popup.

Package: budgie-wallpapers
Description-md5: 185a03224e4296c56f3499edbb2f30b5
Description-en: Wallpapers for Ubuntu Budgie
 Package that installs the budgie wallpapers for the current
 release together with the default wallpaper for
 Ubuntu Budgie used on the logon, desktop and lock-screen.

Package: budgie-wallpapers-artful
Description-md5: 0915e7f7b4a938aa4478cfb779cf34a1
Description-en: Ubuntu Budgie 17.10 Wallpapers
 Community Contest wallpapers for Ubuntu Budgie (release 17.10).
 Selection of community wallpapers to showcase Ubuntu Budgie.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallpapers-bionic
Description-md5: 87ee818c677345cba15d68cb28acba53
Description-en: Ubuntu Budgie 18.04 Wallpapers
 Community Contest wallpapers for Ubuntu Budgie (release 18.04).
 Selection of community wallpapers to showcase Ubuntu Budgie.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallpapers-cosmic
Description-md5: 94437566effbf6e091b10b1d14f7eaf1
Description-en: Ubuntu Budgie 18.10 Wallpapers
 Team selected wallpapers for Ubuntu Budgie (release 18.10).
 Selection of wallpapers to showcase Ubuntu Budgie.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallpapers-disco
Description-md5: b54fb9ed01b14fb39431a1b28683dcb6
Description-en: Ubuntu Budgie 19.04 Wallpapers
 Team selected wallpapers for Ubuntu Budgie (release 19.04).
 Selection of wallpapers to showcase Ubuntu Budgie.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallpapers-eoan
Description-md5: 86a06d0aa4d5b2629614618f033415df
Description-en: Ubuntu Budgie 19.10 Wallpapers
 Team selected wallpapers for Ubuntu Budgie (release 19.10).
 Selection of wallpapers to showcase Ubuntu Budgie.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallpapers-focal
Description-md5: f2a336343b3f4d8c795bf53fd3e7390e
Description-en: Ubuntu Budgie 20.04 Wallpapers
 Community selected wallpapers for Ubuntu Budgie (release 20.04).
 Selection of wallpapers to showcase Ubuntu Budgie.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallpapers-xenial
Description-md5: a92ead1ced0548f0570a9232f5cb8234
Description-en: budgie-remix 16.04.1 Wallpapers
 Wallpapers for budgie-remix (release 16.04.1). Selection of
 community wallpapers to showcase budgie-remix.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallpapers-yakkety
Description-md5: 0c1e3dce48877ef1638e1ee4df35b924
Description-en: budgie-remix 16.10 Wallpapers
 Community Contest wallpapers for budgie-remix (release 16.10).
 Selection of community wallpapers to showcase budgie-remix.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallpapers-zesty
Description-md5: 324750560b2fc6f7ca8242fa9a8eba48
Description-en: Ubuntu Budgie 17.04 Wallpapers
 Community Contest wallpapers for Ubuntu Budgie (release 17.04).
 Selection of community wallpapers to showcase Ubuntu Budgie.
 This package can be installed on any version of Ubuntu.

Package: budgie-wallstreet
Description-md5: 75c0cb6939bbcb20561ca8288ec4ac34
Description-en: Change wallpaper from a folder on a schedule
 This is part of a suite of python3 and Vala based applets & mini-apps
 created for the Budgie Desktop that provide additional user orientated
 capabilities. These can be used individually or as a set.
 .
 Wallstreet allows for a directory of pictures to be shown on
 a schedule.

Package: budgie-weathershow-applet
Description-md5: 8ac656f4200eb62d9c6631c7b2287a1a
Description-en: Applet to display the weather and forecast
 This is part of a suite of python3 based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The weathershow applet displays daily and three hourly weather
 forecasts on both the desktop and a Popover.

Package: budgie-welcome
Description-md5: 512ace6891c4b0847011ca5f938db4b6
Description-en: Welcome screen for Ubuntu Budgie
 A welcome screen for Ubuntu Budgie that provides links to helpful
 resources and utilities. For new user accounts and a live-session
 the welcome application displays information about Ubuntu,
 budgie-desktop together with presenting options to tailor the look
 and feel of the user's desktop.
 .
 This installs a helper script for the user to install the SNAP
 version of budgie-welcome to complete the installation of Ubuntu 18.04

Package: budgie-window-mover-applet
Description-md5: a734237498d34d37376f5570d5093580
Description-en: Applet allows moving windows between workspaces for the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The Window Mover applet allows the user to quickly move windows to any
 of the other workspaces. Just drag a window to the bottom of the screen
 and a bar will popup, representing the workspaces. Click on
 any of the numbers (or press the corresponding number on the keyboard)
 and the window will move to that workspace.

Package: budgie-window-shuffler
Description-md5: 50c0f74e4052928f64b21bc0c3eb9e25
Description-en: Keyboard and GUI friendly window-tiling capability
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 The window shuffler is an easy to use windows tiling capability
 driven primarily through the keyboard to place and move window in
 a grid format.
 .
 Windows can be easily quarter, half-tiled & maximized. Windows can
 be moved within a user defined grid and intelligently switches
 window positions where necessary.

Package: budgie-workspace-overview-applet
Description-md5: bd4e7e3688b9ac5a55550c5029fbeab8
Description-en: Applet providing quick access to workspaces for the Budgie Desktop
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The workspace overview applet allows a user to quickly navigate
 workspaces using the applet's menu.  Optionally, navigation to any
 window in any workspace is possible.

Package: budgie-workspace-stopwatch-applet
Description-md5: 928e9bc9cdee4d51c2901f3c76c2aa2f
Description-en: Workspace usage tracker for the budgie desktop
 This is part of a suite of python3 and Vala based applets & mini-apps
 created for the Budgie Desktop that provide additional user orientated
 capabilities. These can be used individually or as a set.
 .
 Workspace Stopwatch Applet keeps track of usage per workspace,
 i.e. to find out how much minutes/hours were actually spent on a job.
 Workspaces can be freely named, custom names and all data are remembered.

Package: budgie-workspace-wallpaper-applet
Description-md5: 52392a941acdaaf778e3f555cb1ab444
Description-en: Applet providing per workspace wallpaper
 This is part of a suite of python3 and Vala based applets for the Budgie
 Desktop that provide additional user orientated capabilities.
 The applets can be used individually or as a set.
 .
 The workspace wallpaper applet shows a different wallpaper on each of
 the workspaces. Usage is simple: add the applet to the panel and set
 wallpapers on each of the workspaces in the way you are used to.
 The applet will remember what wallpaper was set on each of the
 workspaces.

Package: buffer
Description-md5: 971464346e26a04027ca6aa2f128fed0
Description-en: Buffering/reblocking program for tape backups, printing, etc.
 Buffer implements double buffering and can be used to keep backup tapes
 streaming or printers printing. It can also be used to convert a data
 stream to a given output blocksize.
 .
 Buffer uses shared memory to convert a variable input data rate to a
 constant output data rate. It is typically used in a pipe between a backup
 program and the tape device, but there are also other applications like
 buffering printer data in lpd's input filter.

Package: bugsquish
Description-md5: 2bde0b7040604e4af41bedf169ba1661
Description-en: Bugs are trying to suck blood out of your arm!
 "Bug Squish" is an action game not unlike light gun arcade games, but
 played with a mouse.  It's loosely based on a MacOS game whose name Bill
 can't recall.
 .
 Bugs are trying to suck blood out of your arm!  Squish them with
 your fly swatter before you run out of blood.

Package: bugwarrior
Description-md5: a9421d8bd79b11e9669b720fb32e63f2
Description-en: Pull tickets from bug trackers into taskwarrior
 bugwarrior is a command line utility for updating your local TaskWarrior
 database from your forge issue trackers.
 .
 It currently supports the following remote resources: GitHub (API v3), GitLab
 (API v3), BitBucket, Pagure, Bugzilla, Trac, Teamlab, Redmine, Debian BTS,
 Taiga, Gerrit, Phabricator and Versionone.

Package: bugz
Description-md5: f981c52cb13e3054a077e596ceaecd3a
Description-en: command-line interface to Bugzilla
 PyBugz is a Python and command line interface to Bugzilla,
 allowing the user to quickly search, isolate and contribute
 to projects using the Bugzilla bug tracker.  Developers can
 easily extract attachments and close bugs all from the
 comfort of the command line.
 .
 This package provides both a fully-working CLI application
 as well as a Python module to be reused by other projects.

Package: bugzilla-cli
Description-md5: d7f9a40542345c10de0e5220ad2a6afa
Description-en: command-line tool for interacting with Bugzilla
 bugzilla-cli is a command-line tool for interacting with Bugzilla
 instances from shell scripts.  You can perform several tasks like
 creating new bugs, query and modifying existing bugs, attaching files
 or getting attachments, and getting info about the Bugzilla instance.

Package: buici-clock
Description-md5: 4c7b4a352bd18768bfec3b0cae46ffd4
Description-en: attractive desktop clock
 As clocks go, Buici satisfies the basic need of representing the
 time accurately and attractively.  It has limited configurability.

Package: buildapp
Description-md5: 4b9e5c9c8ac8c5f6d394650d7cca9338
Description-en: application to create common lisp images
 Buildapp is an application for SBCL that configures and saves an
 executable Common Lisp image. It is similar to cl-launch and
 hu.dwim.build.

Package: buildbot
Description-md5: 7d59332abb3e99bb1839e03affc77755
Description-en: System to automate the compile/test cycle (server)
 The BuildBot is a system to automate the compile/test cycle required
 by most software projects to validate code changes. By automatically
 rebuilding and testing the tree each time something has changed,
 build problems are pinpointed quickly, before other developers are
 inconvenienced by the failure. The guilty developer can be identified
 and harassed without human intervention.
 .
 By running the builds on a variety of platforms, developers who do
 not have the facilities to test their changes everywhere before
 checkin will at least know shortly afterwards whether they have
 broken the build or not. Warning counts, lint checks, image size,
 compile time, and other build parameters can be tracked over time,
 are more visible, and are therefore easier to improve.
 .
 This package contains the master server.

Package: buildbot-doc
Description-md5: 81f4d95edef816fd80347110f9593a5d
Description-en: System to automate the compile/test cycle (documentation)
 The BuildBot is a system to automate the compile/test cycle required
 by most software projects to validate code changes. By automatically
 rebuilding and testing the tree each time something has changed,
 build problems are pinpointed quickly, before other developers are
 inconvenienced by the failure. The guilty developer can be identified
 and harassed without human intervention.
 .
 By running the builds on a variety of platforms, developers who do
 not have the facilities to test their changes everywhere before
 checkin will at least know shortly afterwards whether they have
 broken the build or not. Warning counts, lint checks, image size,
 compile time, and other build parameters can be tracked over time,
 are more visible, and are therefore easier to improve.
 .
 This package contains the HTML documentation for both the master
 and worker.

Package: buildbot-slave
Description-md5: c4fff71ff619b8ba93798bbc6f18cc0b
Description-en: transitional package for buildbot-worker
 This is a transitional package for buildbot-worker. It can safely be
 removed.

Package: buildbot-worker
Description-md5: b6738df3137c94f3611025766ef92ec5
Description-en: System to automate the compile/test cycle (worker agent)
 The BuildBot is a system to automate the compile/test cycle required
 by most software projects to validate code changes. By automatically
 rebuilding and testing the tree each time something has changed,
 build problems are pinpointed quickly, before other developers are
 inconvenienced by the failure. The guilty developer can be identified
 and harassed without human intervention.
 .
 By running the builds on a variety of platforms, developers who do
 not have the facilities to test their changes everywhere before
 checkin will at least know shortly afterwards whether they have
 broken the build or not. Warning counts, lint checks, image size,
 compile time, and other build parameters can be tracked over time,
 are more visible, and are therefore easier to improve.
 .
 This package contains the worker, which performs the actual builds.
 It is recommended to run the worker on behalf of a non-privileged user.

Package: buildd
Description-md5: 8cc8847a1a7d3580faaf822122f7dcd9
Description-en: Daemon for automatically building Debian binary packages from Debian sources
 The sbuild suite of programs (buildd and sbuild) are
 used to build binary packages from source packages.  The wanna-build
 database tracks packages which require building; buildd schedules
 work from information it gets from the wanna-build database; sbuild
 does the actual package building.
 .
 buildd is a daemon which will build Debian packages automatically
 using the wanna-build database to identify which packages need to be
 built.  Note that the wanna-build database is not packaged, and
 requires installing separately.

Package: buildstream
Description-md5: 9809a3125ee95183b9a654d5766eefbf
Description-en: toolset for the Buildstream project
 BuildStream is a GNOME project to improve the continuous integration
 of complex systems and applications. The project aims to pay special
 attention to those developers and integrators who care about the
 maintainability of their projects during a long period of time.
 .
 BuildStream is also a powerful and flexible software integration toolset.
 It has been designed to create different outputs out of a unique input
 and, at the same time, it is able to adapt to complex workflows, even
 when additional build tools are required. An important part of
 BuildStream is a sister project called BuildGrid, that allows
 BuildStream to build at scale.
 .
 This package provides the toolset, accessible with the `bst` command.

Package: buildtorrent
Description-md5: 83acf29e32cd31820fc84a71fc6f942a
Description-en: command line torrent creation program
 buildtorrent is a torrent file creation program. Given an announce url and an
 input file or directory, buildtorrent generates an output .torrent file that
 can be used by torrent clients.

Package: buku
Description-md5: ec6fddd3b9868a7bce5f29a82561e4d6
Description-en: Powerful command-line bookmark manager
 Feature
 .
  - Store bookmarks with auto-fetched title, tags and description
  - Auto-import from Firefox, Google Chrome and Chromium
  - Open bookmarks and search results in browser
  - Shorten, expand URLs, browse cached page from Wayback Machine
  - Text editor integration
  - Lightweight, clean interface, custom colors
  - Powerful search options (regex, substring...)
  - Continuous search with on the fly mode switch
  - Portable, merge-able database to sync between systems
  - Import/export bookmarks from/to HTML, Markdown or Orgfile
  - Smart tag management using redirection (>>, >, <<)
  - Multithreaded full DB refresh, manual encryption support
  - Shell completion scripts, man page with handy examples

Package: bumblebee
Description-md5: 603c350e38f498eb44849c8eb81822e8
Description-en: NVIDIA Optimus support for Linux
 Bumblebee is an effort to make NVIDIA Optimus enabled laptops work in
 GNU/Linux systems. These laptops are built in such a way that the NVIDIA
 graphics card can be used on demand so that battery life is improved and
 temperature is kept low.
 .
 It disables the discrete graphics card if no client is detected, and starts
 an X server making use of NVIDIA card if requested then let software GL
 implementations (such as VirtualGL) copy frames to the visible display that
 runs on the integrated graphics. The ability to use discrete graphics
 depends on the driver: open source nouveau and proprietary nvidia.

Package: bumblebee-nvidia
Description-md5: 1590560d4120c0dce5a765cdd8ec59b7
Description-en: NVIDIA Optimus support using the proprietary NVIDIA driver
 This metapackage ensures that the proprietary NVIDIA driver is installed in a
 way such that 3D acceleration does not break. It does so by configuring the
 OpenGL library path to use the Mesa graphics library.

Package: bumprace
Description-md5: 3225199d614fba85ba2bc66d5578ff15
Description-en: 1 or 2 players race through a multi-level maze
 In BumpRacer, 1 player or 2 players (team or competitive) choose among 4
 vehicles and race through a multi-level maze. The players must acquire
 bonuses and avoid traps and enemy fire in a race against the clock.
 For more info, see the homepage at http://www.linux-games.com/bumprace/

Package: bumprace-data
Description-md5: 65638cb4cad4269c68c767b44f096fbd
Description-en: data files for bumprace
 In BumpRacer, 1 player or 2 players (team or competitive) choose among 4
 vehicles and race through a multi-level maze. The players must acquire
 bonuses and avoid traps and enemy fire in a race against the clock.
 .
 This package contains sound and image files for bumprace

Package: bumps-private-libs
Description-md5: 16f34adb9a91abb350eaff82feff9898
Description-en: data fitting and Bayesian uncertainty modeling for inverse problems (libraries)
 Bumps is a set of routines for curve fitting and uncertainty analysis
 from a Bayesian perspective. In addition to traditional optimizers
 which search for the best minimum they can find in the search space,
 bumps provides uncertainty analysis which explores all viable minima
 and finds confidence intervals on the parameters based on uncertainty
 in the measured values. Bumps has been used for systems of up to 100
 parameters with tight constraints on the parameters. Full uncertainty
 analysis requires hundreds of thousands of function evaluations,
 which is only feasible for cheap functions, systems with many
 processors, or lots of patience.
 .
 Bumps includes several traditional local optimizers such as
 Nelder-Mead simplex, BFGS and differential evolution. Bumps
 uncertainty analysis uses Markov chain Monte Carlo to explore the
 parameter space. Although it was created for curve fitting problems,
 Bumps can explore any probability density function, such as those
 defined by PyMC. In particular, the bumps uncertainty analysis works
 well with correlated parameters.
 .
 Bumps can be used as a library within your own applications, or as a
 framework for fitting, complete with a graphical user interface to
 manage your models.
 .
 This package installs the compiled libraries used by the Python modules.

Package: bumpversion
Description-md5: 0a3ea473617a1d417c77e436b3fdb505
Description-en: Version-bump your software with a single command
 A small command line tool to simplify releasing software by updating all
 version strings in your source code by the correct increment.
 .
 Also creates commits and tags:
  * version formats are highly configurable
  * works without any VCS, but happily reads tag information from and
    writes commits and tags to Git and Mercurial if available
  * just handles text files, so it's not specific to any programming
    language

Package: bundler
Description-md5: b242d14d76111e77dd80f2c7e98a4707
Description-en: Manage Ruby application dependencies
 Bundler manages a Ruby application's dependencies through its entire
 life, across many machines, systematically and repeatably.
 .
 This is a dependency package which depends on a full Ruby development
 environment, it is targeted at application developers.

Package: bundlewrap
Description-md5: 6aa9b36eecfa8983211eb31424b509ed
Description-en: Decentralized configuration management system with Python
 By allowing for easy and low-overhead config management, BundleWrap fills
 the gap between complex deployments using Chef or Puppet and old school
 system administration over SSH.
 .
 While most other config management systems rely on a client-server
 architecture, BundleWrap works off a repository cloned to your local machine.
 .
 It then automates the process of SSHing into your servers and making sure
 everything is configured the way it's supposed to be. You won't have to
 install anything on managed servers.

Package: burgerspace
Description-md5: 0d3983db8ee492cdb119420cb5861185
Description-en: Avoid evil foodstuffs and make burgers
 This is a clone of the classic game "BurgerTime". In it, you play the
 part of a chef who must create burgers by stepping repeatedly on the
 ingredients until they fall into place. And to make things more
 complicated, you also must avoid evil animate food items while performing
 this task, with nothing but your trusty pepper shaker to protect you.

Package: burner-cdrkit
Description-md5: 0297a080d923bf9a5d78276e1ef9d132
Description-en: cdrkit extensions for the Burner burning application
 Burner is a simple application to burn, copy and erase CD and DVD
 media.
 .
 This package contains extensions for Burner, based on the genisoimage,
 growisofs, wodim, readom and dvdauthor programs. They are only needed
 for the following operations in Burner:
  * Audio CD burning with CD-Text information
  * Video DVD creation

Package: burner-common
Description-md5: 3458b38e92a571a6a1dc56e995fdae3a
Description-en: Common files for the Burner CD burning application and library
 Burner is a simple application to burn, copy and erase CD and DVD media.
 .
 This package contains the common files and translations.

Package: burp
Description-md5: 9eaecd86711efbae319b3538a0f60af5
Description-en: Simple cross-platform network BackUp and Restore Program
 Burp is a client/server backup system that saves space and network
 usage by using librsync. It uses the Volume Snapshot Service for
 backing up Windows clients.

Package: burrow
Description-md5: da677c76c49ef6aa046f3ee7b05837cf
Description-en: Apache Kafka consumer lag checking
 Burrow is a monitoring companion for Apache Kafka that provides
 consumer lag checking as a service without the need for specifying
 thresholds. It monitors committed offsets for all consumers and
 calculates the status of those consumers on demand.
 .
 An HTTP endpoint is provided to request status on demand,
 as well as provide other Kafka cluster information.
 .
 There are also configurable notifiers that can send status out
 via email or HTTP calls to another service.

Package: busybox
Description-md5: b7707908219c331294f3f9e8d926a9dc
Description-en: Tiny utilities for small and embedded systems
 BusyBox combines tiny versions of many common UNIX utilities into a single
 small executable. It provides minimalist replacements for the most common
 utilities you would usually find on your desktop system (i.e., ls, cp, mv,
 mount, tar, etc.). The utilities in BusyBox generally have fewer options than
 their full-featured GNU cousins; however, the options that are included
 provide the expected functionality and behave very much like their GNU
 counterparts.
 .
 This package installs the BusyBox binary but does not install
 symlinks for any of the supported utilities. Some of the utilities
 can be used in the system by installing the busybox-syslogd,
 busybox-udhcpc or busybox-udhcpd packages.

Package: buthead
Description-md5: 11db99ac17c8a30fb67f300463378eec
Description-en: copy all but the first few lines
 Program to copy all but the first N lines of stdin to stdout.

Package: butt
Description-md5: 245f9753d23e9af9b1aca744ac8de9e3
Description-en: multi OS streaming audio tool easy to use
 butt (broadcast using this tool) is an easy to use, multi OS streaming tool.
 It supports ShoutCast and IceCast and runs on Linux, MacOS and Windows. The
 main purpose of butt is to stream live audio data from your computers Mic or
 Line input to an Shoutcast or Icecast server. Recording is also possible. It
 is NOT intended to be a server by itself or automatically stream a set of
 audio files.
 .
 Features:
   * It Works with SHOUTcast and Icecast.
   * It runs on all three major operating systems. Mac OS X, Linux and Windows.
   * It supports aac+, mp3, ogg/vorbis, ogg/opus and flac for streaming.
   * It supports aac+, mp3, ogg/vorbis, ogg/opus, flac and wav for recording.
   * It is able to connect to a server after starting up automatically.
   * It is able to start a recording after connecting to a server automatically.
   * Recording can be split after a user defined amount of time.
   * Current song can either be updated manually or automatically by reading a
     file.
   * Configuration files can be imported and exported.
   * Status display shows infos about the current state (click on it).
   * Automatically reconnects in case the connection was interrupted.
   * It has a VU Meter with peak hold.
   * It is able to attentuate and amplify the input volume.
   * It has a 5-band EQ.
   * It can read song names from different apps in MacOS and Linux.
   * Display colors can be changed as desired.

Package: butteraugli
Description-md5: 870cf0dbd8cfe04bf185f1d5bac9be11
Description-en: measuring perceived differences between images
 Butteraugli is a project that estimates the psychovisual similarity of
 two images. It gives a score for the images that is reliable in the
 domain of barely noticeable differences. Butteraugli not only gives a
 scalar score, but also computes a spatial map of the level of
 differences.
 .
 One of the main motivations for this project is the statistical
 differences in location and density of different color receptors,
 particularly the low density of blue cones in the fovea. Another
 motivation comes from more accurate modeling of ganglion cells,
 particularly the frequency space inhibition.

Package: bve-train-br-class-323
Description-md5: d5d23beb18e7017bce8d0c09a27e2397
Description-en: British Rail Class 323 EMU train (for OpenBVE rail simulator)
 Accurate simulation of a three-car electric mulitple unit used in
 West Midlands of the United Kingdom and built in the 1990s.
 .
 The train contains a rendered cab environment, including the combined
 power+brake controller and the 3D model for exterior views.
 .
 A suitable route is the Birmingham Cross-City South route, running
 from Redditch to Birmingham New Street.
 .
 This version of the Class 323 has been has been specially prepared
 for inclusion with openBVE, and is released into the public domain.
 .
 http://www.trainsimcentral.co.uk/bve_train.htm

Package: bve-train-br-class-323-3dcab
Description-md5: e948a9ec6f0cf32de9a8d7f0e706cda0
Description-en: British Rail Class 323 EMU train 3D cab (for OpenBVE rail simulator)
 Accurate simulation of a three-car electric mulitple unit used in
 West Midlands of the United Kingdom and built in the 1990s.
 .
 The train contains a three-dimensional cab environment, including the combined
 power+brake controller.
 .
 A suitable route is the Birmingham Cross-City South route, running
 from Redditch to Birmingham New Street.
 .
 This version of the Class 323 cab extends the functionality available in the
 existing Class 323 train model.

Package: bvi
Description-md5: 82e028998d9812c24a56e1a511b425cd
Description-en: binary file editor
 The bvi is a display-oriented editor for binary files, based on the vi
 text editor. If you are familiar with vi, just start the editor and begin to
 edit! If you never heard about vi, maybe bvi is not the best choice for you.

Package: bwa
Description-md5: 7b904940a9f5331affa84d4b72dedb30
Description-en: Burrows-Wheeler Aligner
 BWA is a software package for mapping low-divergent sequences against
 a large reference genome, such as the human genome. It consists of
 three algorithms: BWA-backtrack, BWA-SW and BWA-MEM. The first
 algorithm is designed for Illumina sequence reads up to 100bp, while
 the rest two for longer sequences ranged from 70bp to 1Mbp. BWA-MEM
 and BWA-SW share similar features such as long-read support and split
 alignment, but BWA-MEM, which is the latest, is generally recommended
 for high-quality queries as it is faster and more accurate. BWA-MEM
 also has better performance than BWA-backtrack for 70-100bp Illumina
 reads.

Package: bwbar
Description-md5: ac4c055b2951d82ac9ebc0240bfb5329
Description-en: generates text and graphical readout of current bandwidth use
 This program will output a PNG and a text file that can be used in scripts
 or be included in web pages to show current bandwidth usage.  The amount
 of total bandwidth can be customized.  The PNG output appears as a bar graph
 showing maximum possible usage with the current inbound or outbound usage
 shown as a differently colored bar.

Package: bwbasic
Description-md5: a1358f918033e946f62430535dde0cef
Description-en: Bywater BASIC Interpreter
 The Bywater BASIC Interpreter (bwBASIC) implements a large superset
 of the ANSI Standard for Minimal BASIC (X3.60-1978) and a significant
 subset of the ANSI Standard for Full BASIC (X3.113-1987) in C.  It
 also offers shell programming facilities as an extension of BASIC.
 bwBASIC seeks to be as portable as possible.

Package: bwctl-client
Description-md5: 0369e912f81fa1835ef1cabd2242b4da
Description-en: bandwidth test controller (client)
 The Bwctl suite works with nuttcp and iperf to test bandwidth without
 the need for a shell account on the remote systems.
 .
 This package contains the command line tool for scheduling and controlling
 tests. It requires the bwctl-server package to be installed on the
 hosts at both ends of the network that you are trying to test.

Package: bwctl-server
Description-md5: d64e8762db208411b9acee4a6b63967a
Description-en: bandwidth test controller (server)
 The Bwctl suite works with nuttcp and iperf to test bandwidth without
 the need for a shell account on the remote systems.
 .
 This package contains the scheduling and policy daemon that wraps these tools.
 To schedule and control tests you need the bwctl-client package.

Package: bwidget
Description-md5: 854b09b3522e98cbf2ab7344ff66edf2
Description-en: Extension widgets for Tcl/Tk
 The BWidget toolkit is a high-level widget set for Tcl/Tk. It contains
 widgets such as progress bars, 3D separators, various manager widgets
 for top levels, frames, paned or scrolled windows, button boxes,
 notebooks or dialogs as well as composite widgets such as combo boxes,
 spin boxes and tree widgets.
 .
 The BWidget toolkit is completely written in Tcl so no compiled
 extension library is required.

Package: bwm-ng
Description-md5: 845b926e32c8fb2f9e96c0fdff1afc5f
Description-en: small and simple console-based bandwidth monitor
 Bandwidth Monitor NG is a small and simple console-based live bandwidth
 monitor.
 .
 Short list of features:
   * supports /proc/net/dev, netstat, getifaddr, sysctl, kstat and libstatgrab
   * unlimited number of interfaces supported
   * interfaces are added or removed dynamically from list
   * white-/blacklist of interfaces
   * output of KB/s, Kb/s, packets, errors, average, max and total sum
   * output in curses, plain console, CSV or HTML
   * configfile

Package: bximage
Description-md5: 8deff38bdaf35413e0eddc6a244bcd1c
Description-en: Disk Image Creation Tool for Bochs
 This tool is part of the Bochs project. Its purpose is to generate
 disk images that are used to allocate the guest operating system in
 Bochs environment.

Package: byacc
Description-md5: 94bbb158e9e82e9efe062f345f2e95e3
Description-en: public domain Berkeley LALR Yacc parser generator
 This package provides a parser generator utility that reads a grammar
 specification from a file and generates an LR(1) parser for it.  The
 parsers consist of a set of LALR(1) parsing tables and a driver
 routine written in the C programming language.  It has a public domain
 license which includes the generated C.

Package: byacc-j
Description-md5: 42880c5a059007b8a9f0571727aa92aa
Description-en: Berkeley YACC parser generator extended to generate Java code
 BYACC/J is an extension of the Berkeley v 1.8 YACC-compatible parser
 generator.
 .
 Standard YACC takes a YACC source file, and generates one or more C files from
 it, which if compiled properly, will produce a LALR-grammar parser. This is
 useful for expression parsing, interactive command parsing, and file reading.
 Many megabytes of YACC code have been written over the years.
 .
 This is the standard YACC tool that is in use every day to produce C/C++
 parsers.
 .
 I have added a "-J" flag which will cause BYACC to generate Java source code,
 instead. So there finally is a YACC for Java now!

Package: bygfoot
Description-md5: 4c42594f66e3703e2fcb8fa596676795
Description-en: football (a.k.a soccer) management game
 Bygfoot allows you to manage a team by training the players, buying and
 selling them, contracting loans, maintaining the stadium, etc. You can be
 promoted or relegated, even become a champion if you're a skillful manager.
 You can customise Bygfoot by writing your own country definition files or
 by creating your own team definition files.

Package: bygfoot-data
Description-md5: eb69b6e9a7f7440bf4c445b10d10a86b
Description-en: data of football (a.k.a soccer) management game
 Contain files necessary to work with bygfoot:
 images,hints,commentaries,players names,strategies.
 You can customise Bygfoot by writing your own country definition files
 or by creating your own team definition files.

Package: bytes-circle
Description-md5: 0990683f71a933309ee009285d20f636
Description-en: Show byte statistics as an ASCII circle graph
 bytes-circle is a program that shows statistics about bytes
 contained in a file as an ASCII circle graph of deviations from
 mean in sigma increments.
 .
 The program can be useful for statistically analyze the content of
 files in a glimpse: text files are shown as a green centered crown,
 compressed and encrypted files should be shown as equally distributed
 variations with a very low CV (sigma/mean), and other types of files
 can be classified between these two categories depending on their
 ASCII vs binary content, which can be useful to quickly determine how
 information is stored inside them (redis db, mmedia files, etc).

Package: byzanz
Description-md5: 5d5533817071b4562ad22d04430e60e5
Description-en: small screencast creator
 Byzanz is a desktop recorder and command line tool allowing you to record your
 current desktop or parts of it to an animated GIF, Ogg Theora, Flash or WebM.
 This is especially useful for publishing on the web.
 .
 Byzanz also allows recording of audio, when the output format supports it.

Package: bzflag
Description-md5: 6abbe5bd80be29c0501200f593c8d63f
Description-en: 3D first person tank battle game
 BZFlag is a 3D multi-player multiplatform tank battle game that allows users
 to play against each other in a network environment. There are five teams:
 red, green, blue, purple and rogue (rogue tanks are black). Destroying a
 player on another team scores a win, while being destroyed or destroying a
 teammate scores a loss. Rogues have no teammates (not even other rogues), so
 they cannot shoot teammates and they do not have a team score.
 .
 There are two main styles of play: capture-the-flag and free-for-all. In
 capture-the-flag, each team (except rogues) has a team base and each team with
 at least one player has a team flag. The object is to capture an enemy team's
 flag by bringing it to your team's base. This destroys every player on the
 captured team, subtracts one from that team's score, and adds one to your
 team's score. In free-for-all, there are no team flags or team bases. The
 object is simply to get as high a score as possible.
 .
 This metapackage installs both the client and the server, as well as generic
 documentation files concerning the bzflag community, contributing to bzflag,
 etc.

Package: bzflag-client
Description-md5: ec93b0737ea3292c2bf204ecf9335f3f
Description-en: 3D first person tank battle game -- client
 BZFlag is a 3D multi-player multiplatform tank battle game that allows users
 to play against each other in a network environment. There are five teams:
 red, green, blue, purple and rogue (rogue tanks are black). Destroying a
 player on another team scores a win, while being destroyed or destroying a
 teammate scores a loss. Rogues have no teammates (not even other rogues), so
 they cannot shoot teammates and they do not have a team score.
 .
 There are two main styles of play: capture-the-flag and free-for-all. In
 capture-the-flag, each team (except rogues) has a team base and each team with
 at least one player has a team flag. The object is to capture an enemy team's
 flag by bringing it to your team's base. This destroys every player on the
 captured team, subtracts one from that team's score, and adds one to your
 team's score. In free-for-all, there are no team flags or team bases. The
 object is simply to get as high a score as possible.
 .
 This package installs the BZFlag client compatible with 2.4.x BZFlag servers.

Package: bzflag-data
Description-md5: f47e829e59fc9047b0ce1aa2d8d6d0ef
Description-en: 3D first person tank battle game -- data
 BZFlag is a 3D multi-player multiplatform tank battle game that allows users
 to play against each other in a network environment. There are five teams:
 red, green, blue, purple and rogue (rogue tanks are black). Destroying a
 player on another team scores a win, while being destroyed or destroying a
 teammate scores a loss. Rogues have no teammates (not even other rogues), so
 they cannot shoot teammates and they do not have a team score.
 .
 There are two main styles of play: capture-the-flag and free-for-all. In
 capture-the-flag, each team (except rogues) has a team base and each team with
 at least one player has a team flag. The object is to capture an enemy team's
 flag by bringing it to your team's base. This destroys every player on the
 captured team, subtracts one from that team's score, and adds one to your
 team's score. In free-for-all, there are no team flags or team bases. The
 object is simply to get as high a score as possible.
 .
 This package installs the data files needed by the BZFlag client.

Package: bzflag-server
Description-md5: 029282d17f4701a2726858e1ad73784a
Description-en: 3D first person tank battle game -- server
 bzfs is the server for BZFlag, and it must be running to play. It can be run
 on any system on the network (including a player's system or one without
 graphics). You need to install this package if you wish to:
  - play by yourself
  - play amongst a small group of people on a private network
  - provide a server so that anyone on the Internet may play with you

Package: bzr
Description-md5: 2ec259a66fcc66f60b9badea1f16369f
Description-en: transitional dummy package for brz
 This is a transitional package, replacing the Bazaar packaging
 with the Breezy packaging.
 .
 It can be safely removed after an upgrade.

Package: bzr-builddeb
Description-md5: e4f3bebf5d29456b22501dc0c8a26386
Description-en: transitional dummy package
 This is a transitional package, replacing the Bazaar packaging
 with the Breezy packaging.
 .
 It can be safely removed after an upgrade.

Package: bzr-doc
Description-md5: 097742b6f1044448e33345008589e713
Description-en: transitional dummy package for brz-doc
 This is a transitional package, replacing the Bazaar documentation
 packaging with the Breezy documentation packaging.
 .
 It can be safely removed after an upgrade.

Package: bzr-email
Description-md5: 72e0bd01f77b6d855898d7eee434e55c
Description-en: transitional dummy package
 This is a transitional package, replacing the Bazaar packaging
 with the Breezy packaging (which bundles the e-mail plugin).
 .
 It can be safely removed after an upgrade.

Package: bzr-fastimport
Description-md5: e4f3bebf5d29456b22501dc0c8a26386
Description-en: transitional dummy package
 This is a transitional package, replacing the Bazaar packaging
 with the Breezy packaging.
 .
 It can be safely removed after an upgrade.

Package: bzr-git
Description-md5: 223565a8f6e3bf09cb273f5c36e49fa4
Description-en: transitional dummy package
 This is a transitional package, replacing the Bazaar packaging
 with the Breezy packaging (which bundles the git plugin).
 .
 It can be safely removed after an upgrade.

Package: bzr-stats
Description-md5: 8f788bd72330a3a24a18fe1f2152d564
Description-en: transitional dummy package
 This is a transitional package, replacing the Bazaar packaging
 with the Breezy packaging (which bundles the stats plugin).
 .
 It can be safely removed after an upgrade.

Package: bzr-upload
Description-md5: 3b131205c58806acfec5b13c061330a4
Description-en: transitional dummy package
 This is a transitional package, replacing the Bazaar packaging
 with the Breezy packaging (which bundles the upload plugin).
 .
 It can be safely removed after an upgrade.

Package: bzrtools
Description-md5: aedb6cfade6c57e7d40de9c1a92e4d1b
Description-en: transitional dummy package
 This is a transitional package, replacing the Bazaar packaging
 with the Breezy packaging (which bundles the bzrtools plugin).
 .
 It can be safely removed after an upgrade.

Package: c++-annotations
Description-md5: 307bdab98fbfff8e8c317e5db7b60b53
Description-en: Extensive tutorial and documentation about C++
 The C++ Annotations offer an extensive tutorial about the C++ programming
 language. It can be used as a textbook for C/C++ programming courses.
 .
 This document is intended for knowledgeable users of C (or any other language
 using a C-like grammar, like Perl or Java) who would like to know more about,
 or make the transition to, C++. This document is the main textbook for
 Frank's C++ programming courses, which are yearly organized at the University
 of Groningen, the Netherlands.
 .
 The C++ Annotations do not cover all aspects of C++, though. In particular,
 C++'s basic grammar, which is, for all practical purposes, equal to C's
 grammar, is not covered. For this part of the C++ language, the reader should
 consult other texts, like a book covering the C programming language.
 .
 This package installs C++ Annotations packages for all available formats,
 including:
    - plain ascii text
    - LaTeX (including a .dvi file)
    - PostScript
    - pdf
    - html
 The postscript, pdf and LaTeX formats were constructed for two different
 paper-sizes: A4 and legal.
 .
 If you do not require all of the available formats, you may prefer to
 install one or more of the following c++-annotations-{format} packages
 instead:
    - c++-annotations-html
    - c++-annotations-txt
    - c++-annotations-latex
    - c++-annotations-pdf
    - c++-annotations-ps
    - c++-annotations-dvi

Package: c++-annotations-contrib
Description-md5: 7f1734b0cecf469d384228ea507517fc
Description-en: Extensive tutorial and documentation about C++ - contributed files
 The C++ Annotations offer an extensive tutorial about the C++ programming
 language. It can be used as a textbook for C/C++ programming courses. See
 the c++-annotations package for more information about the tutorial
 .
 This package contains external contributions.

Package: c++-annotations-dvi
Description-md5: eae36f36a0f541fdf89f0a4252c73fb2
Description-en: Extensive tutorial and documentation about C++ - DVI output
 The C++ Annotations offer an extensive tutorial about the C++ programming
 language. It can be used as a textbook for C/C++ programming courses.
 .
 This document is intended for knowledgeable users of C (or any other language
 using a C-like grammar, like Perl or Java) who would like to know more about,
 or make the transition to, C++. This document is the main textbook for
 Frank's C++ programming courses, which are yearly organized at the University
 of Groningen, the Netherlands.
 .
 The C++ Annotations do not cover all aspects of C++, though. In particular,
 C++'s basic grammar, which is, for all practical purposes, equal to C's
 grammar, is not covered. For this part of the C++ language, the reader should
 consult other texts, like a book covering the C programming language.
 .
 The package offers the C++ Annotations in the DVI format.

Package: c++-annotations-html
Description-md5: 7ae59a616df02ddef7d525bd1fd176f5
Description-en: Extensive tutorial and documentation about C++ - html output
 The C++ Annotations offer an extensive tutorial about the C++ programming
 language. It can be used as a textbook for C/C++ programming courses.
 .
 This document is intended for knowledgeable users of C (or any other language
 using a C-like grammar, like Perl or Java) who would like to know more about,
 or make the transition to, C++. This document is the main textbook for
 Frank's C++ programming courses, which are yearly organized at the University
 of Groningen, the Netherlands.
 .
 The C++ Annotations do not cover all aspects of C++, though. In particular,
 C++'s basic grammar, which is, for all practical purposes, equal to C's
 grammar, is not covered. For this part of the C++ language, the reader should
 consult other texts, like a book covering the C programming language.
 .
 The package offers the C++ Annotations in the html format.

Package: c++-annotations-latex
Description-md5: bf8b7cbaa07e4760e2e3cef94e338156
Description-en: Extensive tutorial and documentation about C++ - LaTeX output
 The C++ Annotations offer an extensive tutorial about the C++ programming
 language. It can be used as a textbook for C/C++ programming courses.
 .
 This document is intended for knowledgeable users of C (or any other language
 using a C-like grammar, like Perl or Java) who would like to know more about,
 or make the transition to, C++. This document is the main textbook for
 Frank's C++ programming courses, which are yearly organized at the University
 of Groningen, the Netherlands.
 .
 The C++ Annotations do not cover all aspects of C++, though. In particular,
 C++'s basic grammar, which is, for all practical purposes, equal to C's
 grammar, is not covered. For this part of the C++ language, the reader should
 consult other texts, like a book covering the C programming language.
 .
 The package offers the C++ Annotations in the LaTeX format.

Package: c++-annotations-pdf
Description-md5: 41067929df9514495043413896c65437
Description-en: Extensive tutorial and documentation about C++ - PDF output
 The C++ Annotations offer an extensive tutorial about the C++ programming
 language. It can be used as a textbook for C/C++ programming courses.
 .
 This document is intended for knowledgeable users of C (or any other language
 using a C-like grammar, like Perl or Java) who would like to know more about,
 or make the transition to, C++. This document is the main textbook for
 Frank's C++ programming courses, which are yearly organized at the University
 of Groningen, the Netherlands.
 .
 The C++ Annotations do not cover all aspects of C++, though. In particular,
 C++'s basic grammar, which is, for all practical purposes, equal to C's
 grammar, is not covered. For this part of the C++ language, the reader should
 consult other texts, like a book covering the C programming language.
 .
 The package offers the C++ Annotations in the PDF format.

Package: c++-annotations-ps
Description-md5: 5a1452d24d7f070e6687c0051e0322d7
Description-en: Extensive tutorial and documentation about C++ - Postscript output
 The C++ Annotations offer an extensive tutorial about the C++ programming
 language. It can be used as a textbook for C/C++ programming courses.
 .
 This document is intended for knowledgeable users of C (or any other language
 using a C-like grammar, like Perl or Java) who would like to know more about,
 or make the transition to, C++. This document is the main textbook for
 Frank's C++ programming courses, which are yearly organized at the University
 of Groningen, the Netherlands.
 .
 The C++ Annotations do not cover all aspects of C++, though. In particular,
 C++'s basic grammar, which is, for all practical purposes, equal to C's
 grammar, is not covered. For this part of the C++ language, the reader should
 consult other texts, like a book covering the C programming language.
 .
 The package offers the C++ Annotations in the PS format.

Package: c++-annotations-txt
Description-md5: 3ced0e676decf5b53984031ff4127f4c
Description-en: Extensive tutorial and documentation about C++ - text output
 The C++ Annotations offer an extensive tutorial about the C++ programming
 language. It can be used as a textbook for C/C++ programming courses.
 .
 This document is intended for knowledgeable users of C (or any other language
 using a C-like grammar, like Perl or Java) who would like to know more about,
 or make the transition to, C++. This document is the main textbook for
 Frank's C++ programming courses, which are yearly organized at the University
 of Groningen, the Netherlands.
 .
 The C++ Annotations do not cover all aspects of C++, though. In particular,
 C++'s basic grammar, which is, for all practical purposes, equal to C's
 grammar, is not covered. For this part of the C++ language, the reader should
 consult other texts, like a book covering the C programming language.
 .
 The package offers the C++ Annotations in the txt format.

Package: c-graph
Description-md5: 3f1ab8dfc9aa9ce66e90453012c0ede5
Description-en: interactive visualization tool for the convolution theorem
 GNU C-Graph is a novel tool for visualizing the mathematical operation
 of convolution. "C-Graph" is an abbreviation for "Convolution Graph".
 A game changer, C-Graph -- the de facto tool for visualizing the convolution
 theorem in universities worldwide -- is invaluable for lecture demonstrations
 and lab work in the teaching of signals and systems, as well as other courses
 featuring convolution. GNU C-Graph is widely used across industries that
 utilize signal processing techniques for design, test, and development:
 telecommunications, instrumentation and control, manufacturing, automotive,
 aviation and aerospace, medical devices, and others. This nifty package
 seamlessly generates publication quality graphics for papers, lecture
 demonstrations, and other professional presentations.
 .
 GNU C-Graph is interactive, prompting the user to enter character or
 numerical values from the keyboard - dispensing with the learning curve for
 writing code. A Texinfo manual provides sample sessions and an overview of
 the convolution theorem. C-Graph computes the linear convolution of two
 signals in the time domain then compares their circular convolution by
 demonstrating the convolution theorem. Each signal is modeled by a register
 of discrete values simulating samples of a signal, and the discrete Fourier
 transform (DFT) computed by means of the fast Fourier transform (FFT).
 .
 Select, Transform, Visualize : GNU C-Graph makes visualizing convolution easy

Package: c-icap
Description-md5: 2594eb234a2232bbabc15b43d9e6900f
Description-en: ICAP server implementation
 C-ICAP is an implementation of an ICAP server. It can be used with
 HTTP proxies that support the ICAP protocol to implement content
 adaptation and filtering services.
 .
 Most of the commercial HTTP proxies must support the ICAP protocol.
 The open source Squid 3.x proxy server supports it.
 .
 This Package contains the core ICAP daemon

Package: c-sig
Description-md5: 5eb0718bc00bf1053347a9966c21d188
Description-en: Transition package, c-sig to elpa-c-sig
 The c-sig emacs addon has been elpafied.  This dummy package
 helps ease transition from c-sig to elpa-c-sig.

Package: c2hs
Description-md5: 2289ad79b89c4f005ff817a92b9e52f0
Description-en: C->Haskell Interface Generator
 C->Haskell is an interface generator that simplifies the development
 of Haskell bindings to C libraries.  The tool processes existing C
 header files that determine data layout and function signatures on
 the C side in conjunction with Haskell modules that specify
 Haskell-side type signatures and marshaling details.  Hooks embedded
 in the Haskell code signal access to C structures and functions --
 they are expanded by the interfacing tool in dependence on
 information from the corresponding C header file.
 .
 Haskell 98 is "the" standard lazy functional programming language.
 More info plus the language definition is at http://www.haskell.org/.
 .
 This package contains the c2hs parser.

Package: c2hs-doc
Description-md5: 335f608de21cf792d6ac16a0171b67a9
Description-en: C->Haskell Interface Generator -- Documentation package
 C->Haskell is an interface generator that simplifies the development
 of Haskell bindings to C libraries.  The tool processes existing C
 header files that determine data layout and function signatures on
 the C side in conjunction with Haskell modules that specify
 Haskell-side type signatures and marshaling details.  Hooks embedded
 in the Haskell code signal access to C structures and functions --
 they are expanded by the interfacing tool in dependence on
 information from the corresponding C header file.
 .
 Haskell 98 is "the" standard lazy functional programming language.
 More info plus the language definition is at http://www.haskell.org/.
 .
 This package contains documentation for c2hs.

Package: c3270
Description-md5: 2a65b16edb88122ec44f58356c043e1a
Description-en: Curses program for telnet sessions to IBM mainframes
 c3270 opens a telnet connection to an IBM mainframe host in an Xterm
 window or Virtual Console.  It can also connect to an IBM AS/400 as
 a 5250 terminal using the AS/400's 3270 emulation.
 .
 c3270 is similar to tn3270(1), and x3270(1) except that it is curses-based,
 not X-based.
 .
 c3270 implements RFCs 2355 (TN3270E), 1576 (TN3270),
 and 1646 (LU name selection), and supports IND$FILE file transfer.

Package: ca-cacert
Description-md5: e2fefc6ea7db7d5726e39f8b82671250
Description-en: CAcert.org root certificates
 This package provides CAcert.org (sub-)root certificates in PEM format.
 Root certificates allow SSL-based applications to check for the
 authenticity of certificates issued by the CAcert authority.
 .
 CAcert.org is a community-driven Certificate Authority that issues
 certificates to the public for free.
 .
 CAcert's goal is to promote awareness and education on computer security
 through the use of encryption, specifically by providing cryptographic
 certificates. These certificates can be used to digitally sign and encrypt
 email, authenticate and authorize users connecting to websites and secure
 data transmission over the internet. Any application that supports the
 Secure Socket Layer Protocol (SSL or TLS) can make use of certificates
 signed by CAcert, as can any application that uses X.509 certificates,
 e.g. for encryption or code signing and document signatures.
 .
 Please note that CAcert may not yet comply with RFC 3647 or similar standards.

Package: ca-certificates-mono
Description-md5: db6d1aed783549f6b47ea9980a42a837
Description-en: Common CA certificates (Mono keystore)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package uses the hooks of the ca-certificates package to update the
 Mono keystore.

Package: cabal-debian
Description-md5: d5d29259cbc28631ee1c07b445c17326
Description-en: Create a debianization for a cabal package
 Tool for creating debianizations of Haskell packages based on the .cabal
 file.  If apt-file is installed it will use it to discover what is the
 Debian package name of a C library.

Package: cabal-install
Description-md5: 4478c796a8d4a5f67f5bbfa55b6cce07
Description-en: command-line interface for Cabal and Hackage
 The 'cabal' command-line program simplifies the process of managing
 Haskell software by automating the fetching, configuration, compilation
 and installation of Haskell libraries and programs.

Package: cabextract
Description-md5: 3be58181913cd1a65aef709347d1f1f1
Description-en: Microsoft Cabinet file unpacker
 Cabextract is a program which unpacks cabinet (.cab) files, which
 are a form of archive Microsoft uses to distribute their software
 and things like Windows Font Packs.

Package: caca-utils
Description-md5: 44b5211535e8c3f0c83253e20bd8fb3e
Description-en: text mode graphics utilities
 This package contains utilities and demonstration programs for libcaca, the
 Colour AsCii Art library.
 .
 cacaview is a simple image viewer for the terminal. It opens most image
 formats such as JPEG, PNG, GIF etc. and renders them on the terminal using
 ASCII art. The user can zoom and scroll the image, set the dithering method
 or enable anti-aliasing.
 .
 cacafire is a port of AALib's aafire and displays burning ASCII art flames.
 .
 cacademo is a tiny graphic program that renders animated ASCII metaballs,
 matrix effects, colourful moiré circles and old school plasma effects.

Package: cachefilesd
Description-md5: a43d1c7ece3d6a969e37f3e55b7bb374
Description-en: support fscache on already mounted filesystem
 FSCache is a generic caching manager in the Linux kernel which can be used
 by network and other filesystems to cache data locally.
 .
 CacheFiles is an FSCache backend that's meant to use as a cache a directory on
 an already mounted filesystem of a local type (such as Ext3).  This package
 installs the userspace support required by the cachefiles backend.

Package: cackey
Description-md5: a6200cc944ef919710bfe41e96ccf386
Description-en: CAC and PIV Smartcard PKCS #11 cryptographic module
 CACKey provides a standard interface (PKCS #11) for smartcards connected to a
 PC/SC compliant reader.  It performs a similar function to "CoolKey", but
 only supports Government Smartcards.  It supports all Government Smartcards
 that implement the Government Smartcard Interoperability Specification (GSC-IS)
 v2.1 or newer as well as PIV cards that comply with NIST SP 800-73-3.

Package: cacti
Description-md5: c149f2c54502ee335699276ff204fd5d
Description-en: web interface for graphing of monitoring systems
 Cacti is a complete PHP-driven front-end for RRDTool. It stores all of
 the necessary data source information to create graphs, handles the data
 gathering, and populates the MySQL database with round-robin archives.
 It also includes SNMP support for those used to creating traffic graphs
 with MRTG.
 .
 This package requires a functional MySQL database server on either the
 installation host or a remotely accessible system.

Package: cacti-spine
Description-md5: 61315daeee86a59c040eb931ed2cfc1e
Description-en: Multi-Threading poller for cacti
 Spine is a replacement for the default cmd.php poller in the cacti
 package. It primarily strives to be as fast as possible, and is
 designed for sites with large numbers of hosts and devices polled.
 .
 If the default poller provided by cacti works for you, then you should
 not use this package.  You should only use this package if the default
 poller is unable to complete a polling cycle in the required amount
 of time.

Package: cadabra
Description-md5: f51ef1fbdd897eb46a68dfa58c3f050e
Description-en: field-theory motivated computer algebra system
 Cadabra is a computer algebra system designed specifically for the
 solution of problems encountered in field theory. It has extensive
 functionality for tensor polynomial simplification including
 multi-term symmetries, fermions and anti-commuting variables,
 Clifford algebras and Fierz transformations, implicit coordinate
 dependence, multiple index types and many more. The input format is
 a subset of TeX.

Package: cadaver
Description-md5: 9c20a9a01d12d5f262352b6d0ca23917
Description-en: command-line WebDAV client
 cadaver supports file upload, download, on-screen display, in-place editing,
 namespace operations (move/copy), collection creation and deletion, property
 manipulation, and resource locking.
 .
 Its operation is similar to the standard BSD ftp(1) client and the Samba
 Project's smbclient(1).
 .
 This package includes GnuTLS (HTTPS) support.
 .
 WebDAV (Web-based Distributed Authoring and Versioning) is a set of
 extensions to the HTTP protocol which allow users to collaboratively edit and
 manage files on remote web servers.

Package: cadubi
Description-md5: 2fa05a8185497ae5f4221dffb2867e9e
Description-en: Creative ASCII Drawing Utility By Ian
 CADUBI is an application written in Perl that allows you to draw
 text-based images that are viewable on typical unix-based consoles.
 Usually the applications that emulate these consoles support various
 text modes, such as background and foreground colors, bold, and inverse.
 .
 This text art, commonly called "ASCII art", is used in various places
 such as online BBSes, email and login prompts.

Package: cadvisor
Description-md5: dd5a513802da1b09ca90b03bff7b4612
Description-en: analyze resource usage and performance characteristics of running containers
 cAdvisor (Container Advisor) provides container users an understanding of
 the resource usage and performance characteristics of their running
 containers.
 .
 cAdvisor has native support for Docker containers and should support just
 about any other container type out of the box.
 .
 cAdvisor also exposes container stats as Prometheus (http://prometheus.io)
 metrics.
 .
 This package provides daemon that collects, aggregates, processes, and
 exports information about running containers. Specifically, for each
 container it keeps resource isolation parameters, historical resource
 usage, histograms of complete historical resource usage and network
 statistics. This data is exported by container and machine-wide.

Package: cafeobj
Description-md5: b42b01806ae871b24d070a89f0f03ba7
Description-en: new generation algebraic specification and programming language
 CafeOBJ is a most advanced formal specification language which
 inherits many advanced features (e.g. flexible mix-fix syntax,
 powerful and clear typing system with ordered sorts, parameteric
 modules and views for instantiating the parameters, and module
 expressions, etc.) from OBJ (or more exactly OBJ3) algebraic
 specification language.
 .
 CafeOBJ is a language for writing formal (i.e. mathematical)
 specifications of models for wide varieties of software and systems,
 and verifying properties of them. CafeOBJ implements equational logic
 by rewriting and can be used as a powerful interactive theorem proving
 system. Specifiers can write proof scores also in CafeOBJ and doing
 proofs by executing the proof scores.
 .
 CafeOBJ has state-of-art rigorous logical semantics based on
 institutions. The CafeOBJ cube shows the structure of the various
 logics underlying the combination of the various paradigms implemented
 by the language. Proof scores in CafeOBJ are also based on institution
 based rigorous semantics, and can be constructed using a complete set
 of proof rules.

Package: cafeobj-mode
Description-md5: a792ead79d156a4a21335b39d1688547
Description-en: Emacs major mode for editing CafeOBJ source code
 cafeobj-mode provides syntax highlighting and indentation for CafeOBJ
 source code inside emacs.  Included is the ability to interact with a
 CafeOBJ interpreter inside emacs and to send expressions from CafeOBJ
 source files to the running interpreter.

Package: caffe-cpu
Description-md5: 5dcacd91397782f56a9741446660470b
Description-en: Fast, open framework for Deep Learning (Meta)
 Caffe is a deep learning framework made with expression, speed,
 and modularity in mind. It is developed by the Berkeley AI Research
 Lab (BAIR) and community contributors.
 .
 This metapackage pulls CPU_ONLY version of caffe:
  * caffe-tools-cpu
  * libcaffe-cpu*
  * python3-caffe-cpu
 And suggests these packages:
  * libcaffe-cpu-dev
  * caffe-doc
 .
 Note, this CPU_ONLY version cannot co-exist with the CUDA version.

Package: caffe-doc
Description-md5: 56e47f307bc287adca6e776f57d67ece
Description-en: Caffe's doxygen docs and examples
 Caffe is a deep learning framework made with expression, speed,
 and modularity in mind. It is developed by the Berkeley AI Research
 Lab (BAIR) and community contributors.
 .
 This package contains doxygen documents (both HTML version and
 PDF version) and some other documents and examples.
 .
 The HTML doc can be found here: /usr/share/doc/caffe-doc/html/index.html
 The PDF doc can be found here: /usr/share/doc/caffe-doc/refman.pdf.gz

Package: caffe-tools-cpu
Description-md5: a44c0b75b97330b3bfcb943951a8b085
Description-en: Tools for fast, open framework for Deep Learning (CPU_ONLY)
 Caffe is a deep learning framework made with expression, speed,
 and modularity in mind. It is developed by the Berkeley AI Research
 Lab (BAIR) and community contributors.
 .
 It contains caffe executables, configured as CPU_ONLY.
 .
 Issue this command at the root of caffe source tree for a unit test:
   $ caffe-gtest --gtest_shuffle --gtest_filter="-*GPU*"

Package: caffeine
Description-md5: 4c9c48fcec88e1f3a34205595604515d
Description-en: prevent the desktop becoming idle in full-screen mode
 Caffeine prevents the desktop from becoming idle when an application
 is running full-screen. A desktop indicator ‘caffeine-indicator’
 supplies a manual toggle, and the command ‘caffeinate’ can be used
 to prevent idleness for the duration of any command.

Package: cairo-5c
Description-md5: ee4625cc345faa33f92def2684f56fc9
Description-en: nickle bindings for the cairo graphics library
 This package contains the nickle code to load and use the cairo-5c
 library, along with examples of how to use it and a simple toolkit,
 nichrome, which allows applications to build user interfaces entirely
 in nickle.

Package: cairo-dock
Description-md5: 63a3307dafbeacabf261326477a626ed
Description-en: Metapackage for cairo-dock
 Cairo-dock has a family-likeness with OS X dock, but with more options.
 It uses cairo to render nice graphics, and Glitz to use hardware acceleration.
 It's fully configurable and can be a taskbar too.
 You can easily plug applets into it.
 .
 This is metapackage that provides the components necessary for running
 Cairo-dock. This installs a cairo-dock-core application and cairo-dock-plugins
 package easily.
 .
 And Cairo-dock installs cairo-dock-core and plugins and makes sense as
 Cairo-dock.

Package: cairo-dock-alsamixer-plug-in
Description-md5: 43711c46dab1ed0c61857d7c5a1f2d42
Description-en: Alsamixer plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in lets you control the sound volume from the dock.
 This works with the Alsa sound driver.

Package: cairo-dock-animated-icons-plug-in
Description-md5: dfe327dc246c8299528338a9f0269663
Description-en: Animated icons plug-in Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in provides many different animations for your icons.

Package: cairo-dock-cairo-penguin-plug-in
Description-md5: 3f952acb18313959c049b9f6877d8fe8
Description-en: Cairo-Penguin plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in adds a lively Penguin in your dock.
 Tux images are taken from Pingus, some other characters are available
 or can be added easily.

Package: cairo-dock-clipper-plug-in
Description-md5: 19203b5032af87d4cd96a6c84f0854ac
Description-en: Clipper plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in keeps a trace of the clipboard and mouse selection,
 so that you can recall them quickly. It's a clone of the well-know Klipper.
 This supports clipboard and mouse selection, predefined actions, and
 persistent items.

Package: cairo-dock-clock-plug-in
Description-md5: 4771e1f9f3e3da065be112902e206924
Description-en: Clock plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in displays time and date in your dock.
 Two views are available : numeric and analogic, based on Cairo-Clock.
 This is compatible with the Cairo-Clock's themes, and you can detach itself to
 be a perfect clone of Cairo-Clock.
 And this supports alarms, and a basic calendar, and allows you to set time
 and date.

Package: cairo-dock-core
Description-md5: 5ba8c52bdaa881c94217f5cd8474a65d
Description-en: Light eye-candy fully themable animated dock for Linux desktop
 Cairo-dock has a family-likeness with OS X dock, but with more options.
 It uses cairo to render nice graphics, and Glitz to use hardware acceleration.
 It's fully configurable and can be a taskbar too.
 You can easily plug applets into it.
 .
 This package provides Cairo-dock-core application.

Package: cairo-dock-dbus-plug-in
Description-md5: 806ab0a79a2ffa3a819bb273f028595f
Description-en: Dbus plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock
 .
 This plug-in lets external applications interact on the dock.
 The communication between both sides is based on Dbus.

Package: cairo-dock-dbus-plug-in-interface-mono
Description-md5: ea54e492ba607a27c0bbe4ea96fcfded
Description-en: library of D-Bus interface for mono of Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This package provides library of Cairo-Dock D-Bus interface for mono

Package: cairo-dock-dbus-plug-in-interface-python
Description-md5: b8ab12d0344cc6cb89b81f15bcca9bc0
Description-en: library of D-Bus interface for Python of Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This package provides library of Cairo-Dock D-Bus interface for Python.

Package: cairo-dock-dbus-plug-in-interface-ruby
Description-md5: 21011c70a305838c00f1bc3235771095
Description-en: library of D-Bus interface for ruby of Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This package provides library of Cairo-Dock D-Bus interface for ruby.

Package: cairo-dock-dbus-plug-in-interface-vala
Description-md5: fa0d29acb4b7e2748c67e0f0d2c321fa
Description-en: library of D-Bus interface for vala of Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This package provides library of Cairo-Dock D-Bus interface for vala.

Package: cairo-dock-desklet-rendering-plug-in
Description-md5: 14ca59cbf6127379890f944bf95a76dc
Description-en: Desklet rendering plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in renders your desktop.

Package: cairo-dock-dev
Description-md5: 626ee26f66d4ae89babfa02227afed3c
Description-en: Cairo-dock development file
 Cairo-dock has a family-likeness with OS X dock, but with more options.
 It uses cairo to render nice graphics, and Glitz to use hardware acceleration.
 It's fully configurable and can be a taskbar too.
 You can easily plug applets into it.
 .
 This package provides Cairo-dock development file.

Package: cairo-dock-dialog-rendering-plug-in
Description-md5: 6fb30186ac7a07ee5450da50fe50e99b
Description-en: Dialog rendering plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in provides some dialog decorators for dialog bubbles.

Package: cairo-dock-dnd2share-plug-in
Description-md5: e9814e32df09924f6376f62ff587de9f
Description-en: Dnd2share plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in lets you share files easily.
 Drag-and-drop a file on the icon to upload it to one of the available
 hosting sites. This supports many sites, like DropBox, Imageshack, pastebin,
 etc.
 The user can upload text, image, video, and files.

Package: cairo-dock-drop-indicator-plug-in
Description-md5: 65a87ce95c6116616d516b16ea80e449
Description-en: Drop indicator plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in displays an animated indicator when you drop something
 in the dock.

Package: cairo-dock-dustbin-plug-in
Description-md5: 1865186071932c53eec809e10ab30339
Description-en: Dustbin plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in manages the dustbin.
 Users can delete files and unmount disks by drag and dropping them on
 the icon.
 This can warn users if they use too much space.

Package: cairo-dock-folders-plug-in
Description-md5: 1d0df90d54c66066a4f3339378a6ca6f
Description-en: Folders plug-in Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in provides that applet imports folders inside the Dock.
 User can have as many instances of this applet as user want, each one
 with a different folder.

Package: cairo-dock-gmenu-plug-in
Description-md5: 20eabd39bfb6a01e11a98ffa512bc9a3
Description-en: GMenu plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 Displays the common Applications menu and the Recently used files.
 This is compatible with any XDG compliant menu (GNOME, Xfce, KDE, ...).

Package: cairo-dock-gnome-integration-plug-in
Description-md5: 5d30414c870311ba59ebe62041c770ac
Description-en: GNOME integration plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in provides functions for a better integration into the
 GNOME environment.
 This is auto-activated, so you don't need to activate it.
 This is designed for the GNOME version >= 2.22.

Package: cairo-dock-icon-effect-plug-in
Description-md5: c91575ef4eabab9d677a0dad4ec66967
Description-en: Icon effect plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in adds many special effects to your icons.

Package: cairo-dock-illusion-plug-in
Description-md5: 46e725f5ea7920c610247384bba6b337
Description-en: Illusion plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in provides animations for appearance and disappearance of icons.

Package: cairo-dock-impulse-plug-in
Description-md5: c9085103a3c71f2209be52e213dfb4a2
Description-en: Implus plug-in Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in provides the function which an icon dances according to music.
 It will analyse the signal given by PulseAudio.

Package: cairo-dock-kde-integration-plug-in
Description-md5: c2f9182574fb64d863ef891ae6385aff
Description-en: KDE integration plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in provides functions for a better integration into the
 KDE environment.
 This is auto-activated, so you don't need to activate it.
 It is designed for KDE4.

Package: cairo-dock-keyboard-indicator-plug-in
Description-md5: f6c4f49bffd979023aecf5adbb64dab2
Description-en: Keyboard indicator plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in lets you control the keyboard layout.
 This can also display the current num and caps lock.

Package: cairo-dock-logout-plug-in
Description-md5: e5641922ffa639d0c24625192759a635
Description-en: Logout plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 A very simple plug-in that adds an icon to log out from your session.

Package: cairo-dock-mail-plug-in
Description-md5: 5ba45fba8e16e22c254873c94b28d74f
Description-en: Mail plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in is very useful to warn you when you get new e-mails.
 This can check in any kind of mailbox (yahoo, gmail, etc).

Package: cairo-dock-messaging-menu-plug-in
Description-md5: 804e63817a93fb038ea87e285c8fa1a6
Description-en: Messaging menu plug-in Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This provide a menu that notices you about new messages from Mail or Chat
 applications. It handles Evolution, Pidgin, Empathy, etc.

Package: cairo-dock-motion-blur-plug-in
Description-md5: b15dbe01f3f2d47c0e6e243835fa514f
Description-en: Motion blur plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in adds a motion blur effect on docks.

Package: cairo-dock-musicplayer-plug-in
Description-md5: 4193318578fc6499e2455020762c4aeb
Description-en: Music player plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in lets you control any music player.
 The User can drag and drop songs on the icon to put them in the queue
 (depends on the player).

Package: cairo-dock-netspeed-plug-in
Description-md5: 439446ca314965d74f9c14e94b000661
Description-en: Netspeed plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in shows you the bit rate of your internet connection and some
 stats about it.

Package: cairo-dock-plug-in-data
Description-md5: 7ea601287032cb9c06278f2506649c2b
Description-en: Cairo-dock - Plug-in data files
 A collection of official plug-ins and applets for cairo-dock.
 .
 This package provides plug-in data files.

Package: cairo-dock-plug-ins
Description-md5: f727111a9ddea727bef0438039fedc19
Description-en: Cairo-dock - All plug-ins
 A collection of official plug-ins and applets for cairo-dock.
 .
 This is a metapackage depending on all available cairo-dock plug-ins to make
 installation easier.

Package: cairo-dock-powermanager-plug-in
Description-md5: 51bfbc3ef4cde600a0b942c7d6d81e70
Description-en: Powermanager plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in controls the powermanager for your laptop's battery.
 It works with ACPI and DBus.

Package: cairo-dock-quick-browser-plug-in
Description-md5: f024335c4022e08090b728396952f253
Description-en: Quick browser plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in lets you browse a folder and its sub-folders very quickly.

Package: cairo-dock-recent-events-plug-in
Description-md5: 0e31669f93cb372bc909e139a4d064a3
Description-en: Recent events plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in remembers you last actions to help you working faster.

Package: cairo-dock-remote-control-plug-in
Description-md5: 68148b011e46183d9ef5e68e9bdc5eef
Description-en: Remote control plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in lets you control dock from the keyboard, or even a
 remote controller.

Package: cairo-dock-rendering-plug-in
Description-md5: 8e77d3651768062a648bac3423149614
Description-en: Rendering plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in adds different views to your dock.
 Any dock or sub-dock can be displayed with the view of your choice.
 Currently, 3D-plane, Caroussel, Parabolic, Rainbow, Slide, and Curve views
 are provided.

Package: cairo-dock-rssreader-plug-in
Description-md5: 4c374cd8ff86f26612455f420999031b
Description-en: RSS Reader plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in is an RSS/Atom feed reader.
 You can instantiate it as many times as you want.

Package: cairo-dock-shortcuts-plug-in
Description-md5: 4c44929d65d7a9935695017745e6cf46
Description-en: Shortcuts plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in lets you access quickly all of your shortcuts.
 This can manage disks, network points, and Nautilus bookmarks.

Package: cairo-dock-showdesktop-plug-in
Description-md5: 82322c9f6a8bad89b3190680bcb2b214
Description-en: Show desktop plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in adds an icon to show your desktop, and also the desklets,
 the Widget Layer, or all the desktops at once.

Package: cairo-dock-showmouse-plug-in
Description-md5: b40c5f9bd53ac569958ae135a6eae529
Description-en: Showmouse plug-in Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in draws some animation around the cursor when it's
 inside a dock/desklet.

Package: cairo-dock-slider-plug-in
Description-md5: c37c7071619821924a62dae2597565ba
Description-en: Slider plug-in Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in is a basic image slider.
 Users just have to select a directory and a display effect and you're done.

Package: cairo-dock-stack-plug-in
Description-md5: 97a7be56471df3f285e09409eeb16415
Description-en: Stack plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in allows you to build a stack of files, just like the Stacks
 applet of MacOS X.

Package: cairo-dock-switcher-plug-in
Description-md5: 0e167051751c2de56c96be23d493e769
Description-en: Switcher plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in allows you to interact with your workspaces.
 This has 2 modes : compact on one icon and expanded with a sub-dock.

Package: cairo-dock-system-monitor-plug-in
Description-md5: 4eef51a33bcf1b66ae5b8f2477035005
Description-en: System Monitor plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in shows you the CPU load, RAM usage, graphic card temperature, etc.
 The user can instantiate this applet several times to show different values
 each time.

Package: cairo-dock-systray-plug-in
Description-md5: 8d4cc218de588ec4d43800eb53551713
Description-en: Systray plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in adds the system tray to your dock.

Package: cairo-dock-terminal-plug-in
Description-md5: 35897ee03b992187ceae7816b7fc3ada
Description-en: Terminal plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in add a terminal to cairo-dock.
 The user can drag'n'drop files or text and select an action.

Package: cairo-dock-tomboy-plug-in
Description-md5: b2417ca3dda723d45515e1cc59ce771d
Description-en: Tomboy plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in controls Gnote or TomBoy's notes directly in the dock.
 User can search inside notes and display their content on the icons.

Package: cairo-dock-toons-plug-in
Description-md5: dcf4ce3dc1eaf810071129f49f7fe229
Description-en: Toons plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in displays a toon that will look at user's mouse.

Package: cairo-dock-weather-plug-in
Description-md5: f61a01eb0f8b0c2f0de698259d00dc73
Description-en: Weather plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in displays weather into your dock.
 Data are provided by www.weather.com, users can find the location in
 the config panel.

Package: cairo-dock-wifi-plug-in
Description-md5: c261a4968732ef1fff1d9775e7d1dc3c
Description-en: Wifi plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in shows you the signal strength of the first active Wi-Fi
 connection.

Package: cairo-dock-xfce-integration-plug-in
Description-md5: 10449755bca1c05f6c6e207c44c78622
Description-en: Xfce integration plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in provides functions for a better integration into the
 Xfce environment.
 This is auto-activated, so you don't need to activate it.

Package: cairo-dock-xgamma-plug-in
Description-md5: 508425800134a014251e77a1fc4143ef
Description-en: Xgamma plug-in for Cairo-dock
 A collection of official plug-ins and applets for cairo-dock.
 .
 This plug-in setup the luminosity of your screen directly from your dock.
 User can also define a luminosity value that will be applied automatically
 on startup.

Package: cairo-perf-utils
Description-md5: 393a362d567a90781c3ca1cb47cb8355
Description-en: Cairo 2D vector graphics library performance utilities
 Cairo is a multi-platform library providing anti-aliased
 vector-based rendering for multiple target backends.
 .
 This package contains a set of utilities for capturing and replaying cairo
 execution traces using various backends, in order to be able to measure the
 performance of cairo for real-world applications in a reproducible way.

Package: cairosvg
Description-md5: 31719718d06444d946a2ea1cc1d773f7
Description-en: SVG to PDF/PS/PNG converter based on Cairo
 CairoSVG is a SVG converter based on Cairo. It can export SVG files to PDF,
 PostScript and PNG files.
 The main part of CairoSVG is a SVG parser, trying to follow the SVG 1.1
 recommendation from the W3C. Once parsed, the result is drawn to a Cairo
 surface that can be exported to various formats: PDF, PostScript, PNG and
 even SVG.

Package: caja
Description-md5: 2d27e6d6f085ec92e7532bd116bd9d0a
Description-en: file manager for the MATE desktop
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.

Package: caja-actions
Description-md5: 5fdfe58b5244c4cba042a70fd14026cf
Description-en: Caja extension to create custom actions
 Caja-actions is an extension for Caja file manager which allows the user to
 add arbitrary program to be launched through the Caja file manager popup
 menu of selected files.

Package: caja-actions-common
Description-md5: 758b048f9789c041842d8d69495ea295
Description-en: Caja extension to create custom actions (common files)
 Caja-actions is an extension for Caja file manager which allows the user to
 add arbitrary program to be launched through the Caja file manager popup
 menu of selected files.
 .
 This package contains the architecture independent files.

Package: caja-actions-dev
Description-md5: 1b7c15eef8b796b7bf4fc7a8d9a17693
Description-en: Caja extension to create custom actions (development files)
 Caja-actions is an extension for Caja file manager which allows the user to
 add arbitrary program to be launched through the Caja file manager popup
 menu of selected files.
 .
 This package contains the development files for the libraries needed
 by Caja's extensions.

Package: caja-admin
Description-md5: 02139469ac6856149d7e6468b76cb407
Description-en: Add administrative actions to Caja's right-click menu
 Caja Admin is a simple Python extension for the Caja file manager that
 adds some administrative actions to the right-click menu:
 .
   - Open as Administrator: opens a folder in a new Caja window running
     with administrator (root) privileges.
   - Edit as Administrator: opens a file in a Pluma window running with
     administrator (root) privileges.
   - Run as Administrator: runs an executable file with administrator
     (root) privileges inside a MATE Terminal.

Package: caja-common
Description-md5: e845fd1d469dd58a087ffd0d047163d0
Description-en: file manager for the MATE desktop (common files)
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.
 .
 This package contains the architecture independent files.

Package: caja-eiciel
Description-md5: 4a0c38ad13cf0d38f3f98e749d08c511
Description-en: Graphical editor for ACLs and xattr for MATE Desktop
 MATE eiciel is a Graphical editor for access control lists (ACLs) and
 extended attributes (xattr), either as an extension within Caja, or as a
 standalone utility.

Package: caja-extension-fma
Description-md5: ee8911ba81c264451d9c8daccf41b99d
Description-en: Caja extension to allow user-defined file-manager actions
 FileManager-Actions is an extension for GNOME-based file managers, which
 allows the user to add arbitrary programs to be launched through the file
 manager's context menu, based on the current selection.
 .
 The project was formerly known as Nautilus-Actions, but has changed its
 name since it now supports Caja and Nemo, in addition to Nautilus.
 .
 This package provides the Caja extension to allow the execution of the
 user-defined file-manager actions.

Package: caja-extensions-common
Description-md5: 0bcf84cc2108acbef07608f05dce7bb2
Description-en: Caja extensions (common files)
 Caja is the official file manager for the MATE desktop. This
 package adds extended functionality to the Caja file manager.
 .
 This package contains the architecture independent files for
 various available Caja extensions.

Package: caja-gtkhash
Description-md5: a34cbb849f68e703e06e4f16da8c7cb6
Description-en: caja extension for computing checksums and more using gtkhash
 The GtkHash extension for caja which allows users to compute
 message digests or checksums using the mhash library.
 Currently supported hash functions include MD5, MD6, SHA1,
 SHA256, SHA512, RIPEMD, TIGER and WHIRLPOOL.

Package: caja-image-converter
Description-md5: 856c002fb178bbe956f8abd065daed28
Description-en: Caja extension to mass resize or rotate images
 Caja is the official file manager for the MATE desktop. This
 package adds extended functionality to the Caja file manager.
 .
 This package adds a "Resize Images..." menu item to the context menu of
 all images. This opens a dialog where you set the desired image size
 and file name. A click on "Resize" finally resizes the image(s) using
 ImageMagick's convert tool.

Package: caja-mediainfo
Description-md5: 355b9a1c0c7c817785826fbd0c7dc3b3
Description-en: View media information from the Caja file properties tab
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.
 .
 With this extension, you can view media information from the Caja file
 properties tab.

Package: caja-nextcloud
Description-md5: c1987c87a19ca3f5c8e3572a905ba186
Description-en: Nextcloud integration for Caja
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 Caja Nextcloud is an extension that integrates the Nextcloud web service with
 your MATE Desktop.

Package: caja-open-terminal
Description-md5: bcdb7a5709bf14f070db5f2d1cbf536b
Description-en: Caja plugin for opening terminals in arbitrary local paths
 Caja is the official file manager for the MATE desktop. This
 package adds extended functionality to the Caja file manager.
 .
 caja-open-terminal is a proof-of-concept Caja extension
 which allows you to open a terminal in arbitrary local folders.

Package: caja-owncloud
Description-md5: c67686a58601ed6b7e35a3e382685b5b
Description-en: ownCloud integration for Caja
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 Nemo ownCloud is an extension that integrates the ownCloud web service with
 your MATE Desktop.

Package: caja-rename
Description-md5: 5f3e4cf17dd3ffae63005ae7bcfa871c
Description-en: Batch renaming extension for Caja
 An extension for the Caja file browser allowing users to rename multiple
 files/folders in a single pass.
 .
 The application can change the case, insert, replace and delete strings,
 as well as enumerate the selection. Any changes are instantly visible in
 the preview list. The user interface strives to be as simple as
 possible, without confusing advanced operations.

Package: caja-seahorse
Description-md5: 4cf177148121553186a081dd93b3f7cc
Description-en: Caja extension to encrypt/decrypt OpenPGP files using GnuPG
 Seahorse caja is an extension for caja which allows encryption and
 decryption of OpenPGP files using GnuPG. It is integrated into the caja
 right-click menu, but can also be used from the command line. It's based
 on seahorse-nautilus.

Package: caja-sendto
Description-md5: 2901b4d306ca9fdcceed1844d3bfe329
Description-en: integrates email clients and Pidgin into the Caja file manager
 Caja is the official file manager for the MATE desktop. This
 package adds extended functionality to the Caja file manager.
 .
 The Caja Send To extension provides the Caja file manager with a context
 menu component for quickly sending files to given email addresses, contacts
 on a Pidgin or Gajim instant messaging list, through Thunderbird/Icedove,
 or through Claws Mail.
 .
 Caja Send To also provides the option of compressing the files (tar.bz2,
 tar.gz, or zip) before sending.

Package: caja-share
Description-md5: 240c2e7c47f684dbfdfdfde342ba808d
Description-en: Allows one to quickly share a folder from the Caja file manager
 Caja is the official file manager for the MATE desktop. This
 package adds extended functionality to the Caja file manager.
 .
 The Caja share extension allows you to quickly share a folder from the
 Caja file manager without requiring root access. It uses Samba, so your
 folders can be accessed by any operating system.

Package: caja-wallpaper
Description-md5: 30e6cd3abf4cc06cfd6795d943e67838
Description-en: Allows one to quickly set a wallpaper from folders
 Caja is the official file manager for the MATE desktop. This
 package adds extended functionality to the Caja file manager.
 .
 Caja Wallpaper allows you to quickly set a wallpaper directly from
 file browser folders.

Package: caja-xattr-tags
Description-md5: 9e5a461ff28c6f4e97cb0c53c4b96451
Description-en: Allows one to view extended attributes
 Caja is the official file manager for the MATE desktop. This
 package adds extended functionality to the Caja file manager.
 .
 Caja xattr tags extension allows you to view file and folder
 extended attributes.

Package: cakephp
Description-md5: a39b87df5c3f77abdef1cb623549702f
Description-en: rapid application development framework for PHP
 CakePHP is a flexible model-view-controller rapid application development
 framework for PHP inspired by Ruby on Rails.
 .
 CakePHP makes developing applications swiftly and with the least amount of
 hassle:
 .
  * integrated CRUD for database interaction and simplified queries including
    scaffolding
  * request dispatcher with good looking, custom URLs
  * fast and flexible templating (PHP syntax, with helpers)
  * useful core features (access control lists, AJAX integration, etc.)
  * works from any website subdirectory

Package: cakephp-scripts
Description-md5: 16f6759e20f63fc40537f58bf17919a5
Description-en: rapid application development framework for PHP (scripts)
 CakePHP is a flexible model-view-controller rapid application development
 framework for PHP inspired by Ruby on Rails.
 .
 This package contains the bake.php and acl.php scripts for creating (or
 "baking") CakePHP applications and modifying Access Control Lists.

Package: calamares
Description-md5: 4d5b78b9b4c9dcb910431a58e1938ff8
Description-en: distribution-independent installer framework
 Calamares is a distribution-independent installer framework.
 .
 It provides a graphical installer that can be used with nearly
 any distribution. This package is suitable for live media on
 Debian-based systems, and won't be of any particular use on
 an already installed system.
 .
 You will likely want to provide your own config files to match
 your distribution, reading the Calamares documentation will guide
 you through that process.

Package: calamares-settings-debian
Description-md5: 1a67e0bdf77e1b45aa33e4c9ae9359a6
Description-en: Debian theme and settings for the Calamares Installer
 Calamares is a generic installer framework for Linux distributions.
 By default, it contains a set of boilerplate wording and images. This
 package provides the latest Debian artwork as well as scripts that
 supports EFI installations.
 .
 It also serves as an example for how derivatives can create their own
 calamares-settings packages.

Package: calamares-settings-lubuntu
Description-md5: c922db821f41cca8a6cfe853dfbd4685
Description-en: Lubuntu Calamares Settings and Branding
 This package contains the Calamares settings and branding for Lubuntu.
 As part of the branding the installer slideshow is contained within.
 The settings ensure a proper Lubuntu desktop is installed with the
 LXQt desktop environment.

Package: calamares-settings-ubuntu-common
Description-md5: 7bc0cf61c852ab341b6ddc25b361f5a2
Description-en: Common Calamares Settings
 This package contains the common Calamares settings for all flavors.
 There is also a automirror Python script to set sources based on
 geolocation.

Package: calamaris
Description-md5: b387541da1be01ea1d0ddbeba04aa274
Description-en: log analyzer for Squid or Oops proxy log files
 Calamaris is a Perl script which generates nice statistics out of
 Squid or Oops log files. It is invoked daily before the proxy rotates
 its log files, and mails the statistics or puts them on the web.
 .
 Various options are supported for generated reports; some extra
 features require the suggested Perl modules.

Package: calc
Description-md5: 7e8c6bf964993756c1eee115967c7b9f
Description-en: Arbitrary precision calculator
 Calc is an arbitrary precision arithmetic system that uses a C-like
 language. Calc is useful as a calculator, an algorithm prototyper and as a
 mathematical research tool. More importantly, calc provides one with a
 machine independent means of computation. Calc comes with a rich set of
 builtin mathematical and programmatic functions.
 .
 Calc is built on top of the library libcalc that represents numeric values
 as fractions reduced to their lowest terms. This library can also be used
 to add arbitrary precision capabilities to your own programs and is
 available in the Debian package `calc-dev'.
 .
 Previously, this package was named `apcalc' in Debian due to a name
 collision. However, the previous `calc' Debian package vanished long ago,
 so `apcalc' was renamed back to `calc' to match the upstream name.

Package: calc-common
Description-md5: 7397d4d425a516694a6b90bd99df8bcd
Description-en: Arbitrary precision calculator (common files)
 Calc is an arbitrary precision arithmetic system that uses a C-like
 language. Calc is useful as a calculator, an algorithm prototyper and as a
 mathematical research tool. More importantly, calc provides one with a
 machine independent means of computation. Calc comes with a rich set of
 builtin mathematical and programmatic functions.
 .
 This package contains architecture independent files required by the main
 package `calc'.

Package: calc-dev
Description-md5: a5bfa31a80063d6f142abdfd82323f25
Description-en: Library for arbitrary precision arithmetic
 This package contains the library libcalc.a and the necessary header files
 which provide routines to handle arbitrary precision arithmetic with
 integers, rational numbers, or complex numbers. There are also many numeric
 functions such as factorial and gcd, along with some transcendental
 functions such as sin and exp.
 .
 This library is also used by the commandline calculator `calc', which is
 provided in the Debian package `calc'.

Package: calc-stats
Description-md5: 8663a9ea9da3e00d4f65be84542326af
Description-en: run standard statistics operations on a file or stdin using awk
 This package provides a number of useful utilities which can efficiently
 perform statistic calculations on files or standard input containing
 numbers (integers or floats). This includes: min, max, mean, avg, median,
 mode, stdev, histogram, summate

Package: calcoo
Description-md5: ea7643e4452d9ac675a3cec6f2818c43
Description-en: Scientific calculator (GTK+)
 Calcoo is a scientific calculator designed to provide maximum usability.
 The features that make Calcoo better than (at least some) other calculator
 programs are:
 .
  - bitmapped button labels and display digits to improve readability
  - no double-function buttons - you need to click only one button
    for any operation (except for arc-hyp trigonometric functions)
  - undo/redo buttons
  - both RPN (reverse Polish notation) and algebraic modes
  - copy/paste interaction with X clipboard
  - display tick marks to separate thousands
  - two memory registers with displays
  - displays for Y, Z, and T registers

Package: calculix-ccx
Description-md5: b9652211e4452dc83798aead93a56b9e
Description-en: Three-Dimensional Structural Finite Element Program
 CalculiX is a free and open source finite element analysis
 application that uses a similar input format to Abaqus. It has an implicit and
 explicit solver and a pre and post processor (CGX).
 This package contains the solver CalculiX CrunchiX (cxx).

Package: calculix-ccx-doc
Description-md5: fdc300f594372dbbdf99e7ceee51d3ee
Description-en: Three-Dimensional Structural Finite Element Program (documentation files)
 CalculiX is a free and open source finite element analysis
 application that uses a similar input format to Abaqus. It has an implicit and
 explicit solver and a pre and post processor (CGX).
 .
 This package contains the documentation in html format for CalculiX CrunchiX

Package: calculix-ccx-test
Description-md5: c2b22806f40af538386d7fda2086e5fb
Description-en: Three-Dimensional Structural Finite Element Program (documentation files)
 CalculiX is a free and open source finite element analysis
 application that uses a similar input format to Abaqus. It has an implicit and
 explicit solver and a pre and post processor (CGX).
 .
 This package contains example files for CalculiX CrunchiX.

Package: calculix-cgx
Description-md5: 7a6ef79708df906248e99b0169a94b64
Description-en: Calculix cgx is a 3-dimensional pre- and post-processor for fem
 CalculiX GraphiX (cgx) is a  3-dimensional pre- and post-processor for
 finite elemnts. CalculiX CrunchiX Finite Element Models can be build,
 calculated and post-processed. The pre- and post-processor is an
 interactive 3D-tool using the openGL API.
 .
 In addition to the Calculix CrunchiX results files (frd) results from
 OpenFoam, Duns (2D and 3D), Isaac (2D and 3D) and Nastran (f06) can
 be read. For preprocessing Netgen nativ format, STEP and STL can be
 imported.

Package: calculix-cgx-examples
Description-md5: ff24fea3cdaaa2d2a3e67e8b11d81466
Description-en: Example files for Calculix GraphiX
 CalculiX GraphiX (cgx) is a  3-dimensional pre- and post-processor for
 finite elemnts. CalculiX CrunchiX Finite Element Models can be build,
 calculated and post-processed. The pre- and post-processor is an
 interactive 3D-tool using the openGL API.
 .
 In addition to the Calculix CrunchiX results files (frd) results from
 OpenFoam, Duns (2D and 3D), Isaac (2D and 3D) and Nastran (f06) can
 be read. For preprocessing Netgen nativ format, STEP and STL can be
 imported.
 .
 This package contains the examples.

Package: calcurse
Description-md5: deb8aa0a7c8dd4afb0cb20c7d3f26d02
Description-en: text-based calendar and todo manager
 CalCurse is a calendar and todo list for the console which allows
 you to keep track of your appointments and everyday tasks. CalCurse
 has a nice textmode interface with configurable color schemes, configurable
 layout and Vi-like keybindings.

Package: calf-plugins
Description-md5: 2b18b0d62be736dd94de4941d42d04e5
Description-en: Calf Studio Gear - audio effects and sound generators
 The Calf plugins include all todays frequently used studio effects
 along with some feature-rich sound processors.
 .
 Calf Studiogear is designed to run under the most flexible plugin
 standard in Linux, namely LV2. So you're able to insert them in lots of
 plugin-capable audio software like Ardour, Rosegarden and others.
 .
 The Calf Rack offers you all Calf effects and synthesizers in an
 easy-to-use studio rack. Wire the effects through Jack to build your own
 studio environment and trigger the sound processors live or through a
 MIDI sequencer or tracker.
 .
 Calf Rack supports LADISH level 1.

Package: calibre
Description-md5: 186d9ede4fee8b62a65e3debb2c12a1e
Description-en: powerful and easy to use e-book manager
 Calibre is a complete e-library solution. It includes library management,
 format conversion, news feeds to e-book conversion, e-book viewer and editor,
 and e-book reader sync features.
 .
 Calibre is primarily an e-book cataloging program. It manages your e-book
 collection for you. It is designed around the concept of the logical book,
 i.e. a single entry in the database that may correspond to e-books in several
 formats. It also supports conversion to and from a dozen different e-book
 formats.
 .
 Calibre supports almost every single e-Reader (e.g., Kindle, Kobo, Nook) and
 is compatible with more devices with every update. Calibre can transfer your
 e-books from one device to another in seconds, wirelessly or with a cable.
 It will send the best file format for your device converting it if
 needed, automatically.
 .
 Calibre can automatically fetch news from a number of websites/RSS feeds,
 format the news into a e-book and upload to a connected device.
 .
 Calibre has also a built-in e-book viewer that can display all the major e-book
 formats.

Package: calibre-bin
Description-md5: a73525b2064f1439e659f444ae127eed
Description-en: powerful and easy to use e-book manager
 Calibre is a complete e-library solution. It includes library management,
 format conversion, news feeds to e-book conversion, e-book viewer and editor,
 and e-book reader sync features.
 .
 This package contains the compiled architecture dependent plugins, the main
 package is called 'calibre'.

Package: calife
Description-md5: c179ce954c78b064b0b4327213fc7a89
Description-en: Provides super user privileges to specific users
 Calife is a lightweight alternative to Sudo.
 It allows selected users to obtain a shell with the identity
 of root, or another user, after entering their own password.
 This permits the system administrator to grant root privileges
 without sharing the root password.

Package: calligra
Description-md5: 5b2385e3ba6a90ac8a81e5c2cbf9a89e
Description-en: extensive productivity and creative suite
 Calligra Suite is a set of applications written to help you to accomplish
 your work. It includes office applications such as a word processor,
 a spreadsheet, a presentation program, a database application, etc., and
 raster and vector graphics tools.
 .
 This metapackage provides all the components of the Calligra Suite.

Package: calligra-data
Description-md5: d3f6d1b2e7bc9c43c1993d8f13b5bad4
Description-en: common shared data for the Calligra Suite
 This package provides the architecture-independent data that is shared
 amongst the various components of Calligra.
 .
 This package is part of the Calligra Suite.

Package: calligra-gemini
Description-md5: e156dccbd8762e0bf3c51b08fa15e113
Description-en: unified interface for stage and words
 Calligra Gemini provide a unified applications which combines
 traditional desktop application and touch friendly interface for
 the Words and Stage components.
 .
 This package is part of the Calligra Suite.

Package: calligra-gemini-data
Description-md5: ba80b197ee8375b24507a54641a0e7b6
Description-en: Calligra Gemini - data files
 Calligra Gemini provide a unified applications which combines
 traditional desktop application and touch friendly interface for
 the Words and Stage components.
 .
 This package provides data files for Calligra Gemini.

Package: calligra-libs
Description-md5: bd711fec00d4e12eb191529df959aaf2
Description-en: common libraries and binaries for the Calligra Suite
 This package provides the libraries and binaries that are shared amongst
 the various components of Calligra.
 .
 This package is part of the Calligra Suite.

Package: calligraplan
Description-md5: 3b9251064c785ceb98ba836ad8ad05c3
Description-en: integrated project management and planning tool
 Plan is a project management application, allowing for the planning and
 scheduling of projects. It was formerly known as KPlato.
 .
 This package is part of the Calligra Suite.

Package: calligrasheets
Description-md5: 2d8660804f7fda925c09df2937712c47
Description-en: spreadsheet for the Calligra Suite
 Tables is a powerful spreadsheet application.  It is scriptable and
 provides both table-oriented sheets and support for complex mathematical
 formulae and statistics. It is the successor of KSpread.
 .
 This package is part of the Calligra Suite.

Package: calligrasheets-data
Description-md5: bd117eec7cfca920b66e8222d53837b6
Description-en: data files for Sheets spreadsheet
 This package contains architecture-independent data files for Sheets,
 the spreadsheet shipped with the Calligra Suite.
 .
 See the calligrasheets package for further information.
 .
 This package is part of the Calligra Suite.

Package: calligrastage
Description-md5: 4b8f690fc43fe1ca4190000d83114c16
Description-en: presentation program for the Calligra Suite
 Stage is an easy to use yet still flexible presentation application. You can
 easily create presentations containing a rich variety of elements, from
 graphics to text, from charts to images. Stage is extensible through a
 plugin system, so it is easy to add new effects, new content elements or even
 new ways of managing your presentation.
 .
 Stage natively uses the OpenDocument file format standard, for easy
 interchange with all ODF supporting applications, which includes Microsoft
 Office. Examples of its easy-to-use features are support for layouts, a
 special slide overview view during presentations for the presenter, support
 for many different master sliders in one presentation, cool transitions and
 a useful notes feature.
 .
 This package is part of the Calligra Suite.

Package: calligrastage-data
Description-md5: 63d64d4a8a3b9b339727cb670a41bc78
Description-en: data files for Calligra Stage
 This package contains architecture-independent data files for Stage,
 the presentation program shipped with the Calligra Suite.
 .
 See the calligrastage package for further information.
 .
 This package is part of the Calligra Suite.

Package: calligrawords
Description-md5: e8c718ad734d42503998d607ce115062
Description-en: word processor for the Calligra Suite
 Words is a FrameMaker-like word processing and desktop publishing
 application. It is capable of creating polished and professional
 looking documents. It can be used for desktop publishing, but also for
 "normal" word processing, like writing letters, reports and so on.
 .
 This package is part of the Calligra Suite.

Package: calligrawords-data
Description-md5: e3cac4e05ee1286dadee867f20e3d4d4
Description-en: data files for Words word processor
 This package contains architecture-independent data files for Words,
 the word processor shipped with the Calligra Suite.
 .
 See the calligrawords package for further information.
 .
 This package is part of the Calligra Suite.

Package: callisto
Description-md5: bfb74208f5f7ca155449f957360d475c
Description-en: Daemon for e-Callisto hardware
 This is a daemon program that records data from the Callisto hardware. It
 supports continuous uncalibrated recording (mode 3) and spectral overviews
 (mode 8). To use the software, a Callisto configuration file (callisto.cfg)
 and frequency file (frqXXXXX.cfg) are also needed.
 .
 e-Callisto is a world wide network you can contribute to with an Callisto
 installation.

Package: calypso
Description-md5: 3f376e597a3eefd96ac8dd7c583a7a1c
Description-en: CalDAV/CardDAV server with git backend
 Calypso is a caldav server written in Python which stores calendar
 and contacts in git repositories. Each collection resides in its own
 git repository. For now, creating repositories and accounts is done
 outside of the calypso server.

Package: camera-calibration-parsers-tools
Description-md5: f1bc4db631d62eb12db4abd5a9c36e02
Description-en: Robot OS camera_calibration_parsers_tools package
 This package is part of Robot OS (ROS). It provides tools for
 reading and writing camera calibration parameters.
 .
 They are executables that use libcamera-calibration-parsers.

Package: camera.app
Description-md5: 3cf2b23116004596581b3fcff3221207
Description-en: GNUstep application for digital still cameras
 Camera is a simple GNUstep application to download files from a digital
 camera. The files can be optionally deleted after download. During
 download, a thumbnail of the currently downloaded file is displayed.

Package: cameraplugin-fake
Description-md5: 5931765cb2dbd0148eb60e26323e86c6
Description-en: Camera plugin for QtMultimedia faking with a photo file
 A plugin for QtMultimedia faking a real camera by using
 an image from disk as source of the photo.

Package: camitk-actionstatemachine
Description-md5: 1a89de2353abd7bc4a9d494836fabbc2
Description-en: pipeline replay application for the CamiTK library
 CamiTK helps researchers and clinicians to easily and rapidly collaborate in
 order to prototype CAMI applications, that feature medical images,
 surgical navigation and biomechanical simulations.
 .
 camitk-actionstatemachine is able to replay a pipeline (workflow) of CamiTK
 actions.
 This application takes an CamiTK augmented version of a SCXML subset as input
 and allow on to replay interactive the described state-machine containing a
 list of CamiTK actions and transitions.

Package: camitk-config
Description-md5: 2c1afc6dce4ed70dd9ce0bcc4ed035f5
Description-en: Computer Assisted Medical Intervention Tool Kit - config
 Helps researchers and clinicians to easily and rapidly collaborate in
 order to prototype CAMI applications, that feature medical images,
 surgical navigation and biomechanical simulations.
 .
 This package contains a command-line application to diagnose and check
 the CamiTK configuration and environment setup.

Package: camitk-imp
Description-md5: dd3f9295894451a1295fe3976b740a2f
Description-en: workbench application for the CamiTK library
 CamiTK helps researchers and clinicians to easily and rapidly collaborate in
 order to prototype CAMI applications, that feature medical images,
 surgical navigation and biomechanical simulations.
 .
 camitk-imp is the CamiTK workbench application where you can test
 all available extensions for prototyping.
 It provides an easy and interactive access to all available data and
 algorithm parameters, including the one you build yourself using
 the CamiTK SDK.
 .
 For example, camitk-imp can visualize medical images from a lot of different
 (standard) formats, offers image processing and segmentation algorithms to
 reconstruct a mesh geometry and run a biomechanical simulation.

Package: caml2html
Description-md5: 1e9da3aee9b1e61f8f672f14ac5946a3
Description-en: HTML and LaTeX colored syntax from OCaml source files - executable
 Caml2html provides a command-line executable which converts a set of
 OCaml source files into a HTML or LaTeX document with colored syntax. A
 library is also provided for building web-page generators that would color
 OCaml code appropriately.
 .
 This package contains the caml2html executable.

Package: camlidl
Description-md5: 8b59e7135e390b690206f2e5dcbf12c5
Description-en: Stub code generator for Objective Caml
 CamlIDL generates stub code for interfacing Caml with C from an IDL
 description of the C functions.  Thus, CamlIDL automates the most
 tedious task in interfacing C libraries with Caml programs.
 .
 It can also be used to interface Caml programs with other languages, as
 long as those languages have a well-defined C interface.
 .
 This package contains the CamlIDL executable.

Package: camlidl-doc
Description-md5: ef0b34636d525d208f66b7a8ba679705
Description-en: Documentation for CamlIDL in PS, PDF and HTML formats
 CamlIDL generates stub code for interfacing Caml with C from an IDL
 description of the C functions.  Thus, CamlIDL automates the most
 tedious task in interfacing C libraries with Caml programs.
 .
 It can also be used to interface Caml programs with other languages, as
 long as those languages have a well-defined C interface.
 .
 This package contains the CamlIDL documentation in PostScript, PDF and
 HTML formats.

Package: camlmix
Description-md5: e1fca5fe9d4183c4b90f1ff5b7398b2a
Description-en: preprocessor which converts text with embedded OCaml
 Camlmix is a generic preprocessor which converts text with embedded OCaml into
 an OCaml program with embedded text. It produces text documents from one or
 several templates. OCaml toplevel statements are inserted between '## ... ##',
 and OCaml string expressions between '##= ...  ##'.

Package: camlp4
Description-md5: 9fce8d460519e96aaf18e6a0e29e1892
Description-en: Pre Processor Pretty Printer for OCaml
 Camlp4 is a software system for writing extensible parsers for
 programming languages. It provides a set of OCaml libraries that are
 used to define grammars as well as loadable syntax extensions of such
 grammars. Camlp4 stands for Caml Preprocessor and Pretty-Printer and
 one of its most important applications is the definition of
 domain-specific extensions of the syntax of OCaml.
 .
 This package contains CamlP4 executables for pre-processing and
 pretty-printing OCaml sources both interactively and in a batch
 fashion.

Package: camlp5
Description-md5: 1113a8e1433440e62f5174bc5b44ee5c
Description-en: Pre Processor Pretty Printer for OCaml - classical version
 Objective Caml (OCaml) is an implementation of the ML language,
 based on the Caml Light dialect extended with a complete
 class-based object system and a powerful module system in the
 style of Standard ML.
 .
 CamlP4 is a Pre-Processor-Pretty-Printer for Objective Caml. It
 offers tools for syntax (Stream Parsers and Grammars) and the
 ability to modify the concrete syntax of the language
 (Quotations, Syntax Extensions).
 .
 This package contains the "classical" version of CamlP4
 executables and libraries for pre-processing and pretty-printing
 OCaml sources both interactively and in a batch fashion. The
 "classical" version is the implementation of CamlP4 which used
 to be distributed with OCaml before the release of version 3.10.

Package: camo
Description-md5: 5adf0a8d6d096e3d96981325d5466fdd
Description-en: SSL/TLS image proxy to prevent mixed-content warnings
 Camo is an image proxy to prevent mixed content warnings on secure
 pages.
 .
 It should not be installed by an end-user; instead people who operate
 websites that allow user-specified image embeds by URL can run this as
 a daemon to proxy such images through their own servers and serve the
 resulting content over SSL/TLS.
 .
 This provides integrity protection and last-mile confidentiality to
 images, thus preventing a local network attacker from seeing the images
 you request (allowing for possible disclosure of the content you're
 viewing) or changing their content (to misinform, confuse, or shock).
 .
 It of course does not prevent an attacker from modifying the content or
 noticing its access if the attacker is in the path between your
 datacentre and the image source.
 .
 However, even in this case, it provides some security insofar as it
 may prevent the attacker from knowing who is accessing the image.
 .
 Using a shared key, proxy URLs are encrypted with hmac so we can bust
 caches/ban/rate limit if needed.
 .
 Features include:
  * Proxy Google charts
  * Proxy images under 5 MB
  * Follow redirects to a configurable depth
  * Proxy remote images with a content-type of image/*
  * Disallows proxying to private IP ranges

Package: camping
Description-md5: 329885e4401a354bf999f36f42f23dcb
Description-en: small Ruby web framework for Model-View-Controller type applications
 Camping is a web framework which consistently stays at less than 4kb of
 code. The idea here is to store a complete fledgling web application
 (written in Ruby) in a single file like many small CGIs, but to organize
 it as a Model-View-Controller application like Rails does. You can then
 easily move it to Rails once you've got it going.

Package: can-utils
Description-md5: 65a4f6e799cef84f4f1b06be5d3a5f5b
Description-en: SocketCAN userspace utilities and tools
 CAN is a message-based network protocol designed for vehicles originally
 created by Robert Bosch GmbH. SocketCAN is a set of open source CAN drivers
 and a networking stack contributed by Volkswagen Research to the Linux kernel.
 .
 This package contains some userspace utilities for Linux SocketCAN subsystem.
 .
 Basic tools to display, record, generate and replay CAN traffic:
 candump, canplayer, cansend, cangen, cansniffer.
 CAN access via IP sockets: canlogserver, bcmserver, socketcand.
 CAN in-kernel gateway configuration: cangw.
 CAN bus measurement and testing: canbusload, can-calc-bit-timing, canfdtest.
 ISO-TP (ISO 15765-2 2016) tools: isotpsend, isotprecv, isotpsniffer,
 isotpdump, isotpserver, isotpperf, isotptun.
 CAN log file converters: asc2log, log2asc, log2long.
 CAN Serial Line Discipline configuration (for slcan driver):
 slcan_attach, slcand, slcanpty.
 SAE J1939 tools and demo: jacd, jspy, jsr, testj1939.

Package: caneda
Description-md5: a6399eb16f5ba4d4807130943bbbcff5
Description-en: Electronic Design Automation software focused on easy of use and portability
 Caneda is an open source Electronic Design Automation (EDA) application
 focused on easy of use and portability. It's goal is to handle the complete
 design process from schematic capture, through simulation and into circuit
 layout and PCB.
 .
 The software aims to support all kinds of circuit simulation types, e.g. DC,
 AC, S-parameter and harmonic balance analysis.

Package: canid
Description-md5: f0525f8083798531a1b05f8775ed407e
Description-en: Caching Additional Network Information Daemon
 canid the Caching Additional Network Information Daemon provides a simple
 HTTP API for getting information about Internet names and numbers from
 a given vantage point.
 .
 canid looks up BGP AS number and country code associated with the
 smallest prefix announced which contains the address in the RIPEstat
 database. It caches the results by prefix in memory. It returns a JSON
 object with four keys. It also looks up the IPv4 and IPv6 addresses associated
 with a given name. It caches the results by name in memory, and precaches
 prefix results for a subsequent prefix call.

Package: canlock
Description-md5: c2b527a480458f2c74799269b689025b
Description-en: utilities for creating and verifying Usenet cancel locks
 Cancel locks are used by Usenet article posters to authenticate their
 authorship of an article. It may then by used by servers to prevent
 cancel and supersede abuse. The use of this feature remains the
 newsmaster's decision.
 .
 This package contains a simple utility wrapping the canlock library,
 which may be used for both the generation and the verification of
 cancel locks, along with a message header parser and a header field
 parser.

Package: canmatrix-utils
Description-md5: 902fe5508d59f65695bafdfb3cbdcdf0
Description-en: Handle CAN (Controller Area Network) descriptions - cmdline utilities
 Canmatrix implements a "Python CAN Matrix Object" which describes the
 CAN-communication itself and some auxiliary objects (Boardunits, Frames,
 Signals, Values, ...)
 Canmatrix also includes two Tools (canconvert and cancompare) for
 converting and comparing CAN databases. There are also some extract and merge
 options for dealing with CAN databases.
 .
 Supported file formats for import:
  * .dbc candb / Vector
  * .dbf Busmaster (open source!)
  * .kcd kayak (open source!)
  * .arxml autosar system description
  * .yaml dump of the Python object
  * .xls(x) excel xls-import, works with .xls-file generated by this lib
  * .sym peak pcan can description
 Supported file formats for export:
  * .dbc
  * .dbf
  * .kcd
  * .xls(x)
  * .json Canard (open source!)
  * .arxml (very basic implementation)
  * .yaml (dump of the Python object)
  * .sym
 .
 This package provides the cmdline tools.

Package: canna
Description-md5: 0a488f2dff2e7939afda5d7533699a3a
Description-en: input system for Japanese - server and dictionary
 Canna provides a unified user interface for Japanese input. It is based
 on a client-server model and supports automatic kana-to-kanji conversion.
 .
 It supports multiple clients (including kinput2 and canuum), and allows
 them all to work in the same way, sharing customization files,
 romaji-to-kana conversion rules and conversion dictionaries.
 .
 This package provides the Canna server program and dictionary files.

Package: canna-shion
Description-md5: 5ac763bb3ead9d6a3bd51f379646330e
Description-en: supporting dictionaries for Canna
 Shion is a set of dictionaries supporting Canna.
 It has much more words than original dictionaries of Canna
 and it makes Canna more intelligent.

Package: canna-utils
Description-md5: 6fec4c938fa2aaabb180151c354a266c
Description-en: input system for Japanese - utilities
 Canna provides a unified user interface for Japanese input. It is based
 on a client-server model and supports automatic kana-to-kanji conversion.
 .
 It supports multiple clients (including kinput2 and canuum), and allows
 them all to work in the same way, sharing customization files,
 romaji-to-kana conversion rules and conversion dictionaries.
 .
 This package provides client programs for Canna.

Package: cantata
Description-md5: 56e03d3207539531d61e1a9308595d20
Description-en: Qt client for the music player daemon (MPD)
 Cantata is a graphical front-end for the music player daemon, MPD. It provides
 many tools to view and manage the music collection including:
 .
   * library - sorted by album, artist, track, directory
   * albums with cover art
   * user defined playlists
   * dynamic playlists
   * streams, internet radio
   * lyrics
   * artist information from Wikipedia
   * device support for USB mass storage, MTP and CDs

Package: cantor
Description-md5: b6206700c97d395d6c4cbb313b01d339
Description-en: interface for mathematical applications
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 Cantor supports various mathematical applications as backends (provided in
 external packages):
  * Maxima Computer Algebra System (cantor-backend-maxima)
  * R Project for Statistical Computing (cantor-backend-r)
  * Sage Mathematics Software (cantor-backend-sage)
  * Octave (cantor-backend-octave)
  * Python (cantor-backend-python3)
  * Scilab (cantor-backend-scilab)
  * Qalculate! (cantor-backend-qalculate)
  * Lua (cantor-backend-lua)
 .
 This package is part of the KDE education module.

Package: cantor-backend-julia
Description-md5: d91c0031f83c1dcb0b283961c12f9a86
Description-en: Julia backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using Julia
 (http://julialang.org) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-backend-kalgebra
Description-md5: ba531ee14b7e36e41a4623f04928c4c9
Description-en: KAlgebra backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using KAlgebra
 (https://edu.kde.org/kalgebra) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-backend-lua
Description-md5: b3f632c467ccd8c4c5c36fe6b5db2657
Description-en: Lua backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the Lua language
 (https://www.lua.org) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-backend-maxima
Description-md5: f6ae06ebc930de49c27db235585f4e1a
Description-en: Maxima backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the Maxima Computer Algebra System
 (http://maxima.sourceforge.net) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-backend-octave
Description-md5: 5151317e4655857a3bfbeb52846533ae
Description-en: Octave backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the GNU Octave language for
 numerical computations (https://www.octave.org/) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-backend-python3
Description-md5: 5859020cfbc1e49e53997056f3b2944a
Description-en: Python3 backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the Python3 language
 (https://www.python.org/) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-backend-qalculate
Description-md5: 31c54ecdd183e63b8b691b850c067238
Description-en: Qalculate! backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the Qalculate! calculator
 (https://qalculate.github.io/) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-backend-r
Description-md5: f273670a825e4363322b9d1005c95d3d
Description-en: R backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the R Project for Statistical
 Computing (https://www.r-project.org) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-backend-sage
Description-md5: 24fd46a63a3b2de9b683c6fa5dc90cd6
Description-en: Sage backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the Sage Mathematics Software
 (https://www.sagemath.org) in Cantor.
 .
 Please note that sagemath is not available in Debian, so you need to manually
 install it for this package to work.
 .
 This package is part of the KDE education module.

Package: cantor-backend-scilab
Description-md5: 87f7e53c9057cfe3dd32cf3773a8ac88
Description-en: Scilab backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the Scilab scientific software
 package for numerical computations (https://www.scilab.org) in Cantor.
 .
 This package is part of the KDE education module.

Package: cantor-dev
Description-md5: c8c959c059b127758dbf95e392660c87
Description-en: interface for mathematical applications - development files
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package is part of the KDE education module.
 .
 This package contains development files for cantor.

Package: canu
Description-md5: b3bd7163822a1d72471857eecd4e7a6e
Description-en: single molecule sequence assembler for genomes
 Canu is a fork of the Celera Assembler, designed for high-noise
 single-molecule sequencing (such as the PacBio RS II or Oxford
 Nanopore MinION).
 .
 Canu is a hierarchical assembly pipeline which runs in four steps:
 .
  * Detect overlaps in high-noise sequences using MHAP
  * Generate corrected sequence consensus
  * Trim corrected sequences
  * Assemble trimmed corrected sequences

Package: capi4hylafax
Description-md5: dfbc354fd810dd47ebb08c5f8e6e6be6
Description-en: Faxing over CAPI 2.0 device
 If you have working capi20 interface, use this package to send and
 receive fax over capi. It can be used as a "fax-modem" for a
 hylafax-server or as stand alone version. Be sure you have a recent
 kernel with capi4linux compiled in.
 .
 Please read /usr/share/doc/capi4hylafax/README.Debian to make things
 working.

Package: capistrano
Description-md5: 0394f9c4340d904eacd71fecf2358bc0
Description-en: tool to execute commands in parallel on multiple servers
 Capistrano is great for automating tasks via SSH on remote servers, like
 software installation, application deployment, configuration management,
 ad hoc server monitoring, and more. Ideal for system administrators, whether
 professional or incidental. Easy to customize. Its configuration files use
 the Ruby programming language syntax, but you don't need to know Ruby to do
 most things with Capistrano.
 .
 Capistrano is easy to extend. It's written in the Ruby programming language,
 and may be extended easily by writing additional Ruby modules.

Package: capiutils
Description-md5: 944730dfda6c704bf7447b916def55e5
Description-en: ISDN utilities - tools for CAPI-capable cards
 Some ISDN cards (notably the AVM active cards, and the AVM passive cards
 when using the proprietary drivers) are accessed via the CAPI interface.
 The package contains utilities to initialize and get information about
 these cards.

Package: capnproto
Description-md5: 91e7c63080dfa6da83ea260ce539593f
Description-en: tool for working with the Cap'n Proto data interchange format
 Similar to Protocol Buffers, Cap'n Proto is an efficient means of
 serializing structured data to be transferred across a network or
 written to disk. Users write a Cap'n Proto definition file that
 drives a code generator, which in turn emits C++ code for encoding &
 decoding messages in the Cap'n Proto format.
 .
 In addition to being extremely fast, Cap'n Proto also smooths over some
 of the rougher aspects of Protocol Buffers & introduces a number of new
 features to boot.
 .
 This package contains a small suite of tools for developing & maintaining
 your Cap'n Proto schema files, including a code generator for compiling
 your schema files to supported languages.

Package: cappuccino
Description-md5: c8cb7844894beb175704aff074eb20c0
Description-en: utility to let your boss think that you're working hard
 Run this software on your computer when you are not motivated to work, and
 enjoy doing something different. If your boss come in your cubicle, he'll
 think "Yeah, he's doing something different since his computer is really
 busy - He's doing something really important".

Package: caps
Description-md5: ddb688967998d935b755c502de987778
Description-en: C* Audio Plugin Suite
 caps comprises a range of classic sound effects
 and a few more basic audio DSP units,
 signal and noise generators.
 The suite's aim is to provide impeccable sound quality,
 computational efficiency and no perceptible latency.
 .
 Documentation: http://quitte.de/dsp/caps.html

Package: capstats
Description-md5: abe7fcfcd8198f0d40721432dcd0894a
Description-en: command-line tool for collecting network interface statistics
 capstats is a small tool for collecting statistics on the current load of
 a network interface, using either libpcap or the native interface for
 Endace’s. It reports statistics per time interval and/or for the tool’s
 total run-time.

Package: capstone-tool
Description-md5: 038e731047f2ca697e90f3e301ec43a6
Description-en: lightweight multi-architecture disassembly framework - command line tool
 Capstone is a lightweight multi-platform, multi-architecture disassembly
 framework.
 .
 This package contains cstool, a command-line tool to disassemble
 hexadecimal strings.

Package: carbon-c-relay
Description-md5: 69b7b35b1f54a0a3a16906f5584a3852
Description-en: Carbon-compatible graphite line mode relay
 This project provides a multithreaded relay which can address multiple targets
 and clusters for each and every metric based on pattern matches.
 .
 Consistent-hash routing compatible with the original carbon's implementation
 is also provided. This relay also supports aggregation, failover of backend
 servers and more.
 .
 Carbon is graphite's default storage backend and supports different protocols
 for receiving metrics, this project aims to be a replacement of graphite's
 original carbon-relay component and supports "plaintext" protocol metrics.

Package: cardpeek
Description-md5: d80d427075a8d897a8bce018ed33be37
Description-en: Tool to read the contents of ISO7816 smartcards
 The goal of cardpeek is to allow smartcard owners to be better informed
 about what type of personal information is stored in these devices.
 The tool currently reads the contents of :
 .
  * EMV cards, including NFC ones
  * Navigo public transport cards (partially supports MOBIB as well)
  * The French health card "Vitale 2"
  * Electronic/Biometric passports in BAC security mode
 .
 It can also read the following cards with limited interpretation of data:
  * Some Mifare cards (such as the Thalys card)
  * Moneo, the French electronic purse
  * GSM SIM cards

Package: cardpeek-data
Description-md5: e168ab5b978e394c9334b1e59fa3a26c
Description-en: Tool to read the contents of ISO7816 smartcards - data files
 The goal of cardpeek is to allow smartcard owners to be better informed
 about what type of personal information is stored in these devices.
 The tool currently reads the contents of :
 .
  * EMV cards, including NFC ones
  * Navigo public transport cards (partially supports MOBIB as well)
  * The French health card "Vitale 2"
  * Electronic/Biometric passports in BAC security mode
 .
 It can also read the following cards with limited interpretation of data:
  * Some Mifare cards (such as the Thalys card)
  * Moneo, the French electronic purse
  * GSM SIM cards
 .
 This package contains architecture independent data files for cardpeek.

Package: care
Description-md5: 4eb2955d5ef5b31f60e47958eee9510b
Description-en: make linux programs reproducible on all linux systems
 CARE monitors the execution of the specified command to create an archive that
 contains all the material required to re-execute it in the same context.
 .
 That way, the command will be reproducible everywhere, even on Linux systems
 that are supposed to be not compatible with the original Linux system. CARE is
 typically useful to get reliable bug reports, demonstrations, artifact
 evaluation, tutorials, portable applications, minimal rootfs, file-system
 coverage, ...
 .
 By design, CARE does not record events at all. Instead, it archives
 environment variables and accessed file-system components -- before
 modification -- during the so-called initial execution. Then, to reproduce
 this execution, the re-execute.sh script embedded into the archive restores
 the environment variables and relaunches the command confined into the saved
 file-system.

Package: carettah
Description-md5: 1b1db45092d883af7314ed49f994cc75
Description-en: presentation tool that renders Markdown
 A presentation tool, Carettah generates new presentation boilerplate
 and displays it when invoked like `carettah -n markdown.md`.  You can
 then edit the Markdown and hit 'r' to re-render.
 It can be controlled by keyboard or Wiimote.
 .
 It's a clone of the rabbit package, written in Haskell.

Package: cargo
Description-md5: f894562a11fc2421647ac771e1f6c13a
Description-en: Rust package manager
 Cargo is a tool that allows Rust projects to declare their various
 dependencies, and ensure that you'll always get a repeatable build.
 .
 To accomplish this goal, Cargo does four things:
  * Introduces two metadata files with various bits of project information.
  * Fetches and builds your project's dependencies.
  * Invokes rustc or another build tool with the correct parameters to build
    your project.
  * Introduces conventions, making working with Rust projects easier.
 .
 Cargo downloads your Rust project’s dependencies and compiles your
 project.

Package: cargo-doc
Description-md5: f27438963e0fd905872c0e1656b9d40c
Description-en: Rust package manager, documentation
 Cargo is a tool that allows Rust projects to declare their various
 dependencies, and ensure that you'll always get a repeatable build.
 .
 To accomplish this goal, Cargo does four things:
  * Introduces two metadata files with various bits of project information.
  * Fetches and builds your project's dependencies.
  * Invokes rustc or another build tool with the correct parameters to build
    your project.
  * Introduces conventions, making working with Rust projects easier.
 .
 Cargo downloads your Rust project’s dependencies and compiles your
 project.
 .
 This package contains the documentation.

Package: cargo-outdated
Description-md5: 6c637ad3aaced24e60df750ede3629e9
Description-en: Cargo subcommand for displaying when dependencies are out of date
 This package contains the following binaries built from the Rust crate
 "cargo-outdated":
  - cargo-outdated

Package: caribou
Description-md5: 1393efd26539e44d081130ca63d0858e
Description-en: Configurable on screen keyboard with scanning mode
 An input assistive technology intended for switch and pointer users.

Package: caribou-antler
Description-md5: 1393efd26539e44d081130ca63d0858e
Description-en: Configurable on screen keyboard with scanning mode
 An input assistive technology intended for switch and pointer users.

Package: carla
Description-md5: 343c0a9226972cd649b2a5b9d987fd10
Description-en: audio plugin host
 Carla is an audio plugin host, with support for many audio drivers and plugin
 formats. It has some nice features like automation of parameters via MIDI CC
 and full OSC control. Currently supports LADSPA, DSSI, LV2, VST2/3 and AU
 plugin formats, plus SF2 and SFZ sounds banks.
 .
 This package contains the main application.

Package: carla-bridge-linux64
Description-md5: ea6541006bab7fcf8e029c3bbc36a1c6
Description-en: audio plugin host (linux64 bridge)
 Carla is an audio plugin host, with support for many audio drivers and plugin
 formats. It has some nice features like automation of parameters via MIDI CC
 and full OSC control. Currently supports LADSPA, DSSI, LV2, VST2/3 and AU
 plugin formats, plus SF2 and SFZ sounds banks.
 .
 This package provides the linux64 bridge for loading 64bit Linux plugins.

Package: carla-bridge-win64
Description-md5: 73a2ca6e57c8735972ec7ce822093705
Description-en: carla win64 bridge
 This package provides the Carla win64 bridge.

Package: carla-bridge-wine64
Description-md5: c5bf9e3c8a760a37be894751239fd4ef
Description-en: carla win64 bridge (wine DLL)
 This package provides the wine DLL needed for the Carla win64 bridge.

Package: carla-data
Description-md5: 117e427b316699fb64ea3cf1192bc465
Description-en: audio plugin host (common data)
 Carla is an audio plugin host, with support for many audio drivers and plugin
 formats. It has some nice features like automation of parameters via MIDI CC
 and full OSC control. Currently supports LADSPA, DSSI, LV2, VST2/3 and AU
 plugin formats, plus SF2 and SFZ sounds banks.
 .
 This package contains common non-binary data.

Package: carla-lv2
Description-md5: 0d2dd53d370d432d77143f2d65edb516
Description-en: audio plugin host (LV2 plugin)
 Carla is an audio plugin host, with support for many audio drivers and plugin
 formats. It has some nice features like automation of parameters via MIDI CC
 and full OSC control. Currently supports LADSPA, DSSI, LV2, VST2/3 and AU
 plugin formats, plus SF2 and SFZ sounds banks.
 .
 This package contains the LV2 plugin.

Package: carla-vst
Description-md5: 74bf4c3ab0bcd246d1741b66eed7fe2d
Description-en: audio plugin host (VST plugin)
 Carla is an audio plugin host, with support for many audio drivers and plugin
 formats. It has some nice features like automation of parameters via MIDI CC
 and full OSC control. Currently supports LADSPA, DSSI, LV2, VST2/3 and AU
 plugin formats, plus SF2 and SFZ sounds banks.
 .
 This package contains the VST plugin.

Package: carmetal
Description-md5: fd40e6a6ff4e3029fe3f4e4ab98e52a6
Description-en: dynamic geometry software with highly ergonomic UI
 Based on the C.a.R. (Compass and Ruler) project, CaRMetal includes
 all of its functionalities - or almost. It propose a different approach
 from the graphical interface point of view.
 .
  - Ruler and compass constructions can be changed by dragging one of the
 basic construction points. The construction follows immediately. The
 student can check the correctness of the construction and gain new
 insight.
  - Tracks of points and animated constructions can help to understand
 geometric relations. Tracks can be used as new objects to explore.
  - With the macros of C.a.R. very complicated constructions become
 possible. Macros are also a way to organize the geometric thinking.
  - Hiding construction details and using colors make constructions
 clearer to read. In C.a.R. lines and circles can also be reduced to the
 relevant points.
  - Arithmetic computations, numerical solutions, curves and functions
 go beyond classical constructions. It is even possible to construct in 3D
 using advanced macros.
  - Other geometries, hyperbolic or elliptic, can be explored.
  - Scripting (javascript based) of figures.

Package: carton
Description-md5: 6a36e17599f8967e36962e0aed8a171d
Description-en: Perl module dependency manager (aka Bundler for Perl)
 carton is a command line tool to track the Perl module dependencies for your
 Perl application. The required dependencies are managed through a file named
 cpanfile and tracked through the carton.lock file. It makes deployments
 easier and allows other developers of your application to have the exact same
 versions of the modules.

Package: casacore-data
Description-md5: 19dab778f97a06951dcc61bb5b65e6ee
Description-en: Data for Common Astronomy Software Applications core library
 This package will install a basic set of data tables to be used by
 Common Astronomy Software Applications core library at runtime and
 test:
 .
  * IGRF: International Geomagnetic Reference Field data
  * Jet Propulsion Laboratory Development Ephemeris
  * Sources: Table of ICRF2 reference source coordinates
  * Lines: Table of spectral line frequencies
  * Observatories: Table of radio observatory coordinates
  * TAI_UTC: Difference table between TAI and UTC
 .
 Note that the earth orientation data are not available yet.

Package: casacore-data-igrf
Description-md5: e2049e642aa8d39fb55334d6215b0164
Description-en: International Geomagnetic Reference Field data for casacore
 This package contains the coefficients for the standard mathematical
 description of the Earth's main magnetic field that is used widely in studies
 of the Earth's deep interior, its crust and its ionosphere and magnetosphere.
 .
 The data are in a format specific to casacore.

Package: casacore-data-jpl-de200
Description-md5: 9b998786ba675657eb53cff2b2494aa1
Description-en: Jet Propulsion Laboratory Development Ephemeris DE200 for casacore
 The name Jet Propulsion Laboratory Development Ephemeris are a series of
 models of the Solar System produced at the Jet Propulsion Laboratory in
 Pasadena, California, primarily for purposes of spacecraft navigation
 and astronomy.
 .
 DE200 was created September 1981. It includes nutations but not librations.
 and refers to the dynamical equator and equinox of 2000.
 .
 This package contains the DE200 data as casacore table.

Package: casacore-data-jpl-de405
Description-md5: 0419b89f265d1e47395d0f9108631242
Description-en: Jet Propulsion Laboratory Development Ephemeris DE405 for casacore
 The name Jet Propulsion Laboratory Development Ephemeris are a series of
 models of the Solar System produced at the Jet Propulsion Laboratory in
 Pasadena, California, primarily for purposes of spacecraft navigation
 and astronomy.
 .
 DE405 was released in 1998. It includes both nutations and librations and
 refers to the International Celestial Reference Frame.
 .
 This package contains the DE405 data as casacore table.

Package: casacore-data-lines
Description-md5: bd37baa08cd19bdf83cf683fc48f6095
Description-en: Table of spectral line frequencies for casacore
 This package contains a table with the spectral line frequencies for the use
 with casacore.
 .
 Currently, this list is limited to 18 lines between 0.3 and ~5 GHz that
 originally came from AIPS++.

Package: casacore-data-observatories
Description-md5: ee02e790309515e257ca3b384ca48c47
Description-en: Table of radio observatory coordinates for casacore
 This package contains a table with radio observatories and their
 coordinates for the use with casacore. The data is initially taken from
 Wikipedia, but will be incrementally replaced with verified coordinates.

Package: casacore-data-sources
Description-md5: ea642eae912391d7fd1a99363a063a3e
Description-en: Table of ICRF reference source coordinates for casacore
 This package contains a table with the sources that realize the
 and the International Celestial Reference Frame (ICRF), as a table for
 the use with casacore. The ICRF is now the standard reference frame
 used to define the positions of the planets (including the Earth) and
 other astronomical objects.

Package: casacore-data-tai-utc
Description-md5: ddb0f0f8a379f8ad1b1a9964fa5b0c97
Description-en: Difference table between TAI and UTC for casacore
 This package contains the leap second difference between TAI and UTC, created
 from /usr/share/zoneinfo/leap-seconds.list. The data are in a format specific
 to casacore. The table is kept in sync with the tzdata package.

Package: casacore-dev
Description-md5: 96dfc7b8d66b63d03c6e0e9d427747f8
Description-en: CASA core library development files
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the files for application development.

Package: casacore-doc
Description-md5: b2725f0b9d3c79c624256037996c492a
Description-en: CASA core library (documentation)
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the API documentation.

Package: casacore-tools
Description-md5: a5e79738e73cd737a454d5f6d6da9106
Description-en: Tools built with CASA
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the tools built with CASA core:
 .
  * taql - query language for casacore tables
  * measuresdata - create data tables for measures
  * imageregrid, imagecalc, showtable, showtablelock, findmeastable,
    fits2table, imreorder, casahdf5support, msselect,  image2fits,
    imageslice, etc.

Package: caspar
Description-md5: 06daae8a7ffe33cb7597844be4c911ed
Description-en: Makefile snippets for centralized configuration management and typesetting
 Caspar offers Makefile snippets for tasks like installing files you maintain
 using a version control system, or typesetting documents in some markup
 language.
 .
 If you need to maintain and distribute lots of files (system configuration
 files for Unix hosts using a version control system, e.g.), caspar is likely
 useful for you.
 It is comparable with other tools for Unix system administrators like
 puppet and cfengine.  Main difference: the caspar code consists of less than
 100 lines of GNU Make, so if puppet and cfengine are overkill for your needs,
 you'll probably like the small and simple caspar tool.
 .
 If you write documents in a markup language like LaTeX, DocBook
 XML, DocBook SGML or POD, and want to automate the typesetting, you'll
 like caspar.  Install all suggested packages if you'd like to use this
 typesetting functionality (or pick the suitable ones from the list of
 suggested packages).
 .
 It's not strictly necessary to know how to write Makefiles when using caspar.

Package: caspar-doc
Description-md5: b4d0af6863bd65c41ebb6d661937ead8
Description-en: documentation for caspar
 Caspar offers Makefile snippets for common tasks, like installing (system
 configuration) files or typesetting documentation.
 .
 This package contains the caspar manpages in HTML and PostScript format as
 well as some other documentation.

Package: cassbeam
Description-md5: 8324519e6d631ade9e0430a434bbf7ca
Description-en: Cassegrain antenna modelling
 Cassbeam is a Cassegrain antenna ray tracer. A Cassegrain antenna is
 a double reflector system which works on the principle of cassegrain
 optical telescope. A high gain cassegrain reflector antenna in
 Ku-band has been successfully developed for command transmit
 application for the missile programme. It can also be used for
 monopulse radar, satellite communication.
 .
 Based on an input text file, it computes several properties of the
 antenna including gain, zenith system temperature, and the beam, in
 full polarization. All calculations are done in the transmit sense
 and use reciprocity to relate to the equivalent receiving system.
 .
 Additionally, cassbeam allows deformations, or pathologies of the
 optics to be modelled. Currently this is limited to rotations and
 translations of the feed and secondary. In the future, large scale
 deviations in the primary (such as a misplaced panel) will likely be
 supported.

Package: cassiopee
Description-md5: 57e73214b8958cbfb0b47dcc9f78fe23
Description-en: index and search tool in genomic sequences
 Cassiopee index and search library C implementation.
 It is a complete rewrite of the ruby Cassiopee gem. It scans an input
 genomic sequence (dna/rna/protein) and search for a subsequence with
 exact match or allowing substitutions (Hamming distance) and/or
 insertion/deletions.
 .
 This package contains the cassiopee and cassiopeeknife tools.

Package: castle-game-engine-doc
Description-md5: 7834bd380d993d05d01dc35610eb04df
Description-en: Castle Game Engine - Developer's Documentation
 Castle Game Engine is a set of LGPL licenced libraries that are intended to
 ease developing 3D games with FreePascal / Lazarus.
 .
 It provides an excellent support for the VRML / X3D 3D data format. Other 3D
 formats are also supported.
 .
 It features many advanced graphic effects and easy to use API on top of OpenGL.
 .
 This package contains documentation.

Package: castle-game-engine-src
Description-md5: b47442ab1df8281141342ded66cbb9db
Description-en: Castle Game Engine - Source code for Lazarus integration
 Castle Game Engine is a set of LGPL licenced libraries that are intended to
 ease developing 3D games with FreePascal / Lazarus.
 .
 It provides an excellent support for the VRML / X3D 3D data format. Other 3D
 formats are also supported.
 .
 It features many advanced graphic effects and easy to use API on top of OpenGL.
 .
 This package contains source code for integration with Lazarus RAD IDE.

Package: castxml
Description-md5: 0b6c3678eea001256be5255ac040ea99
Description-en: C-family abstract syntax tree XML output tool
 Parse C-family source files and optionally write a subset of the
 Abstract Syntax Tree (AST) to a representation in XML.
 This is the successor to gccxml.

Package: casync
Description-md5: ab026792195c559e115a3d61f539ad47
Description-en: content addressable data synchronizer
 casync is
 .
 1. A combination of the rsync algorithm and content-addressable storage
 2. An efficient way to store and retrieve multiple related versions of
    large file systems or directory trees
 3. An efficient way to deliver and update OS and container images over
    the Internet
 4. An efficient backup system
 .
 casync uses well-known concepts implemented in a variety of other projects
 (primarily git and rsync), and puts them together in a moderately new, nice
 way.

Package: cataclysm-dda-curses
Description-md5: a3504f6e9a441b69760010b5fbbd1104
Description-en: post-apocalyptic roguelike game - curses interface
 Cataclysm: Dark Days Ahead is a roguelike set in a post-apocalyptic world.
 .
 While some have described it as a "zombie game", there's far more to Cataclysm
 than that. Struggle to survive in a harsh, persistent, procedurally generated
 world. Scavenge the remnants of a dead civilization for food, equipment, or,
 if you're lucky, a vehicle with a full tank of gas to get you the hell out of
 Dodge. Fight to defeat or escape from a wide variety of powerful monstrosities,
 from zombies to giant insects to killer robots and things far stranger and
 deadlier, and against the others like yourself, that want what you have...
 .
 This package contains the text-only ncurses-based interface.

Package: cataclysm-dda-data
Description-md5: 0fa1c4c243ab3906f08b9f4b1a9b08cc
Description-en: post-apocalyptic roguelike game - data files
 Cataclysm: Dark Days Ahead is a roguelike set in a post-apocalyptic world.
 .
 While some have described it as a "zombie game", there's far more to Cataclysm
 than that. Struggle to survive in a harsh, persistent, procedurally generated
 world. Scavenge the remnants of a dead civilization for food, equipment, or,
 if you're lucky, a vehicle with a full tank of gas to get you the hell out of
 Dodge. Fight to defeat or escape from a wide variety of powerful monstrosities,
 from zombies to giant insects to killer robots and things far stranger and
 deadlier, and against the others like yourself, that want what you have...
 .
 This package contains the data files required by any of the frontends.

Package: cataclysm-dda-sdl
Description-md5: 82346d21a344ad2dbd3690dc37a1d2cb
Description-en: post-apocalyptic roguelike game - SDL interface
 Cataclysm: Dark Days Ahead is a roguelike set in a post-apocalyptic world.
 .
 While some have described it as a "zombie game", there's far more to Cataclysm
 than that. Struggle to survive in a harsh, persistent, procedurally generated
 world. Scavenge the remnants of a dead civilization for food, equipment, or,
 if you're lucky, a vehicle with a full tank of gas to get you the hell out of
 Dodge. Fight to defeat or escape from a wide variety of powerful monstrosities,
 from zombies to giant insects to killer robots and things far stranger and
 deadlier, and against the others like yourself, that want what you have...
 .
 This package contains the graphical SDL2-based interface.

Package: catch
Description-md5: d4413c684c96adae6fd62fd0fe4d362e
Description-en: C++ Automated Test Cases in Headers
 Catch is a multi-paradigm automated test framework for C++
 and Objective-C.
 .
 Its key features are:
  * Distributed as a single header file with no dependencies.
  * Test cases are self-registering.
  * Test cases can be de divided into isolated sections.
  * Behaviour Driven Design style is possible with GIVEN-WHEN-THEN
    in place of test cases and sections.
  * Only one core assertion macro for comparisons; standard language
    operators are used for comparison, yet the expression is decomposed
    and properly logged.

Package: catcodec
Description-md5: a061ea682bb9e1229548a5bca83eea38
Description-en: tool to decode/encode the sample catalogue for OpenTTD
 catcodec decodes and encodes sample catalogues for OpenTTD.
 These sample catalogues are not much more than some meta-data
 (description and file name) and raw PCM data.

Package: catdoc
Description-md5: cb82e2f5547700b576c65ead2431b0f6
Description-en: text extractor for MS-Office files
 The catdoc program reads one or more Microsoft Word files and outputs
 their contents to standard output as text.
 .
 It is accompanied by xls2csv, a program which converts Excel spreadsheets
 into comma-separated-values format, and catppt, a utility to extract textual
 information from PowerPoint files.
 .
 It doesn't try to preserve Word formatting; its goal is to extract plain
 text and allow you to read it (and, probably, reformat it with TeX).
 .
 This package suggests tk because it also includes wordview, an
 optional Tk-based GUI for catdoc.  The MIME config provided in this
 package will use wordview if X is running, or catdoc directly if it
 is not.

Package: catdvi
Description-md5: a008d54d5f36bf31be7cce91bf333112
Description-en: DVI to plain text translator
 CatDVI translates a TeX Device Independent (DVI) file into a readable
 plaintext file.  It aims to generate high-quality output that reproduces
 all aspects of the DVI file within the limits of the output medium.
 CatDVI uses Unicode internally and can output ASCII, ISO Latin 1 and
 UTF-8 Unicode.
 .
 CatDVI can be used for previewing TeX documents on character-cell displays
 such as traditional terminals and terminal emulators.  It is also possible
 to use it in generation of plain text documents from TeX source, assuming
 that the document is written with this conversion in mind.
 .
 CatDVI is under development and as such yet incomplete.  However, it is
 quite useful even in its current form and already outperforms some of its
 competition in some areas.
 .
 CatDVI requires that the TFM files for all fonts used in its input DVI files
 are installed or can be generated by kpathsea.

Package: catfish
Description-md5: b7ea6e8c7cd8dcd35786b72c76f96349
Description-en: File searching tool which is configurable via the command line
 Catfish is a handy file searching tool for Linux and UNIX.
 The interface is intentionally lightweight and simple, using only Gtk+3.
 You can configure it to your needs by using several command line options.

Package: catimg
Description-md5: 34d427d8e9a3ab0482fef0a35135749a
Description-en: fast image printing in to your terminal
 catimg is a little program written in C with no dependencies that
 prints images in terminal. It supports JPEG, PNG, ICO and GIF formats.
 .
 You will need a 256 color terminal in order to use this program. For
 higher resolution images, you need unicode support.

Package: catkin
Description-md5: 33ab274f6a426c47cf4494b0d3323c22
Description-en: Low-level build system macros and infrastructure for Robot OS
 Catkin contains CMake macros that are useful in the development of
 ROS-related systems. In ROS (Robot OS) Fuerte and later, many of the
 lower-level libraries are being migrated to be CMake only.

Package: catkin-lint
Description-md5: 841a8ede07ed1e391e9d01c16a783657
Description-en: Check Robot OS catkin packages for common errors
 This package is part of Robot OS (ROS). catkin_lint checks package
 configurations for the catkin build system of ROS. It runs a static analysis
 of the package.xml and CMakeLists.txt files in your package, and it will
 detect and report a number of common problems.

Package: cauchy-dev
Description-md5: 642d9e5331f6aa220658fb1742d73e15
Description-en: library for transforming Matlab/Octave files to C++
 library for transforming Matlab/Octave files to C++ (with Eigen) and
 Matlab.
 .
 Development files.

Package: cauchy-tools
Description-md5: c8c72ffe6536dbd42727d3c276abab8f
Description-en: library for transforming Matlab/Octave files to C++ - toold
 library for transforming Matlab/Octave files to C++ (with Eigen) and
 Matlab.
 .
 Tools that use Cauchy.

Package: caveconverter
Description-md5: 66a6eb994ffb47cd29967d6d17209845
Description-en: Cave survey data format converter
 Software to convert between various survey data formats. Formats
 currently supported are:
 formats written: Toporobot, Survex, Compass
 formats read: Survex, Pockettopo text file, dxf (polylines and lines)
 This version is a command-line utility.

Package: caveexpress
Description-md5: fb05330cbd5cf92ea395f4f1603b40bf
Description-en: 2D platformer with physics-based gameplay
 CaveExpress is a classic 2D platformer with physics-based gameplay
 and dozens of levels. Master your pedal-powered flying machine to
 pick up packages from your cave-dwelling clients and drop them off
 at the collection point.
 .
 But beware! Mighty mastodons, terrifying pterodactyls and others
 would rather see you extinct.

Package: caveexpress-data
Description-md5: 0e6ec79cf97e544e52e77bd9096be394
Description-en: 2D platformer with physics-based gameplay --data
 CaveExpress is a classic 2D platformer with physics-based gameplay
 and dozens of levels. Master your pedal-powered flying machine to
 pick up packages from your cave-dwelling clients and drop them off
 at the collection point.
 .
 This package contains the data files needed to play CaveExpress.

Package: cavepacker
Description-md5: e4a7a9f4e1a46b7d3a07b45d27303cdc
Description-en: sokoban game with network based multiplayer gaming
 CavePacker is a sokoban game. It is a puzzle game where you have to move the
 packages onto their targets without getting stuck. The lesser steps you made
 for solving a level, the better. You may only push but not pull packages.

Package: cavepacker-data
Description-md5: 8486d848c7c6b0c751094658c5fb979a
Description-en: sokoban game with network based multiplayer gaming --data
 CavePacker is a sokoban game. It is a puzzle game where you have to move the
 packages onto their targets without getting stuck. The lesser steps you made
 for solving a level, the better. You may only push but not pull packages.
 .
 This package contains the data files needed to play CavePacker.

Package: cavezofphear
Description-md5: 0d5806c0470cc8b66121610169ad32e5
Description-en: ASCII Boulder Dash clone
 A Boulder Dash game clone for your favorite terminal.
 You are trapped in the CAVEZ of PHEAR, your mission is to escape
 through all the caves and make it out alive. To escape through a
 cave you will have to find all the diamonds located in it. Once
 you've found all the diamonds, their powers combined will help you
 get to the next cave, one step closer to freedom.

Package: cb2bib
Description-md5: f7af541085ae2da540a5e7de2631346f
Description-en: extract bibliographic references from various sources
 cb2bib is a program to extract bibliographic references from
 unformatted and non standard sources, like:
  - email alerts;
  - journal Web pages;
  - and most interestingly PDF files.
 .
 Output references are written to BibTeX-formatted files. Other
 features include:
  - article files can be linked and renamed by dragging them onto the
    cb2Bib window;
  - editing and browsing BibTeX files;
  - citing references, searching references and the full contents of the
    referenced documents;
  - inserting bibliographic metadata to documents;
  - writing short notes that interrelate several references.

Package: cba
Description-md5: 4bcfd4a22ba4f2f729a4f2728fed1975
Description-en: Continuous Beam Analysis
 A small engineering tool that calculates member forces and support reactions
 of a continuous beam.
 A material and section database is included to set elasticity and moment of
 inertia. Boundary conditions can be set differently at each support.

Package: cbatticon
Description-md5: 7eb35d1f73ec0aa8ba0c331f1aeeeb00
Description-en: lightweight and fast battery icon status and more
 Utility that displays battery information as battery status, remaining
 percentage, remaining time, using an icon in the system tray.
 .
 Based on code from xbattbar-acpi, cbatticon sits in your system tray,
 reporting on battery events, like plug-in, critical, remaining, etc.
 .
 A regular user can (re)define custom system's behaviour, like performing
 graceful shutdown on critical status, by user-defined thresholds and commands
 on low power/critical.

Package: cbflib-bin
Description-md5: a72e81bc95d613ca98523265b713c861
Description-en: utilities to manipulate CBF files
 CBFlib is a library of ANSI-C functions providing a simple mechanism
 for accessing Crystallographic Binary Files (CBF files) and
 Image-supporting CIF (imgCIF) files.
 .
 This package contains various utility programs.

Package: cbflib-doc
Description-md5: b812fdfc937951e1a03fd864a6a21385
Description-en: documentation for CBFlib
 CBFlib is a library of ANSI-C functions providing a simple mechanism
 for accessing Crystallographic Binary Files (CBF files) and
 Image-supporting CIF (imgCIF) files.
 .
 This package contains the documentation to CBFlib.

Package: cbindgen
Description-md5: 552d00bcc148a4159906dddb7b91c35d
Description-en: Generates C bindings from Rust code
 cbindgen can be used to generate C bindings for Rust code.
 It is currently being developed to support creating bindings for
 WebRender (by Mozilla for Firefox), but has been designed to support
 any project.
 .
 Features:
 .
  * Builds bindings for a crate, its mods, its dependent crates, and their mods
  * Only the necessary types for exposed functions are given bindings
  * Can specify annotations for controlling some aspects of binding
  * Support for generic structs and unions
  * Support for exporting constants and statics
  * Customizable formatting, can be used in C or C++ projects
  * Support for generating #ifdef's for #[cfg] attributes
  * Support for #[repr(sized)] tagged enum's
 .
 This package contains the following binaries built from the Rust crate
 "cbindgen":
  - cbindgen

Package: cbios
Description-md5: 72059135d91158f7977588cfec1ca153
Description-en: open source MSX BIOS roms
 C-BIOS is an open source BIOS for MSX computers.
 .
 C-BIOS can be shipped with MSX emulators so they are usable
 out-of-the-box. It comes readily configured for the openMSX
 emulator.

Package: cbm
Description-md5: 6a7b85ec4ae9edd0c415c0d9a7cd6a48
Description-en: display in real time the network traffic speed
 The Color Bandwidth Meter (CBM) is a small program to display the traffic
 currently flowing through the network devices in a simple curses-based GUI.
 The traffic for all interfaces include values as receive, transfer and total
 Bytes/s or bits/s (or its multiples as KB/s and Kb/s).
 .
 It is useful for Internet or LAN speed tests, measuring the velocity of a
 link, to establish a benchmark or to monitor your connections. CBM can be
 used with virtual, wired or wireless networks.

Package: cbmc
Description-md5: 2c892fdd5142036595dc17775271afad
Description-en: bounded model checker for C and C++ programs
 CBMC generates traces that demonstrate how an assertion can be violated, or
 proves that the assertion cannot be violated within a given number of loop
 iterations.

Package: cbootimage
Description-md5: b794495e33ace9543e3aa19c23528a2d
Description-en: Tools to dump and generate boot config table on Tegra devices
 This package contains two programs to parse the boot config table (bct)
 of Tegra SoC based devices and to generate a new bct with appended
 bootloader (e.g. u-boot) read to be flashed to a storage device.
 The boot config table is used in the early boot process to setup
 the sdhci, DRAM memory controller and also points to the position of the
 bootloader.

Package: cbp2make
Description-md5: 6502b3bf64ef97e923b054e6fe4bd479
Description-en: Makefile generation tool for the Code::Blocks IDE
 cbp2make is a stand-alone build tool that allows you
 to generate makefile(s) for GNU Make out of Code::Blocks
 IDE project or workspace files.

Package: cc-tool
Description-md5: a37ea1a147945bc68f62387b103eb973
Description-en: support for Texas Instruments CC Debugger
 This software provides support of Texas Instruments CC Debugger and
 several evaluation boards to program TI 8051-based System-On-Chip
 devices.

Package: cc65
Description-md5: a6f7bb8eca9e1ddc764e517453905db0
Description-en: complete cross development package for 65(C)02 systems
 cc65 is a complete cross development package for 65(C)02 systems, including
 a powerful macro assembler, a C compiler, linker, librarian and several
 other tools.
 .
 cc65 has C and runtime library support for many of the old 6502 machines,
 including
   - the following Commodore machines:
     - VIC20
     - C16/C116 and Plus/4
     - C64
     - C128
     - CBM 510 (aka P500)
     - the 600/700 family
     - newer PET machines (not 2001).
  - the Apple ][+ and successors.
  - the Atari 8 bit machines.
  - the Atari 5200 console.
  - GEOS for the C64, C128 and Apple //e.
  - the Nintendo Entertainment System (NES) console.
  - the Supervision console.
  - the Oric Atmos.
  - the Lynx console.
  - the Ohio Scientific Challenger 1P

Package: cc65-doc
Description-md5: 93a479d70613b81e4df3ea82fd732b8b
Description-en: cc65 documentation
 cc65 is a complete cross development package for 65(C)02 systems, including
 a powerful macro assembler, a C compiler, linker, librarian and several
 other tools.
 .
 This package contains the documentation that is also available on
 the cc65 homepage.

Package: ccal
Description-md5: 4904bb59ec61bbf54db035923198950b
Description-en: Colorised calendar utility
 CCAL is a drop in replacement for the standard unix calendar program. It
 includes support for color and daily, weekly, and yearly
 reminders/appointments.

Package: ccbuild
Description-md5: 881a4e51adb3d91ecb9ce9710bcd9d8d
Description-en: source scanning build utility for C++
 Scan the source for main functions and includes. When the file
 contains a main function it will compile all the files next to that
 file's includes and link them into a program.
 .
 This makes it possible to build entire programs with minimal
 configuration. Linker and compiler options can be added and will be
 automatically divided over the compiler and linker.

Package: cccc
Description-md5: 317a4726f84d2cbea6547c453dd7f8b1
Description-en: C and C++ Code Counter, a software metrics tool
 CCCC analyses and reports measurements of source code in C, C++, and Java.
 The metrics supported include lines of code, McCabe's Cyclomatic
 Complexity, and measures of module fan-out/fan-in.

Package: cccd
Description-md5: 80f5a5ec79c4c380d2126dc795c1b5bf
Description-en: Small GTK+ CD player program
 cccd is a small GTK+ CD player program with many features:
  * Works with SCSI devices
  * CDDB lookups (local and remote) and local storing
  * Uses very little screen space
  * Handles CD Extras (mixed mode CDs)

Package: ccd2iso
Description-md5: a1954787bfd651f56bc2746cfa74b767
Description-en: Converter from CloneCD disc image format to standard ISO
 This converts CD backup files created using the non-free CloneCD program to a
 format understood by most Free Software CD writing programs.

Package: ccdiff
Description-md5: 3c720b064a45cc15be0a8193c0d69782
Description-en: Colored Character Diff
 ccdiff is a colored diff that also colors inside changed lines.
 .
 All command-line tools that show the difference between two files fall short
 in showing minor changes visuably useful. ccdiff tries to give the look and
 feel of `diff --color` or `colordiff`, but extending the display of colored
 output from colored deleted and added lines to colors for deleted and added
 characters within the changed lines.

Package: ccextractor
Description-md5: 1eb3483ea89768e3c26401136ed619a1
Description-en: fast closed captions extractor for MPEG and H264 files
 Supports DVD, HDTV transport streams, Replay TV.
 .
 Use this to create .srt (subtitles) files for your TV captures,
 have transcripts so you can edit subtitles, etc.

Package: cciss-vol-status
Description-md5: a2cbf895144a2fbd5a68f67a3075498a
Description-en: HP SmartArray RAID Volume Status Checker
 A RAID monitor for HP SmartArray Controllers, as supported by the "cciss",
 "hpsa", "hpahcisr" kernel drivers.
 It will check for problems on your configured logical drives, without relying
 on the controller's event log.
 .
 It also supports MSA500 and MSA1000 controllers.

Package: cclib
Description-md5: c683e0da670f2dd8eec83dee021ac933
Description-en: Parsers and algorithms for computational chemistry
 A Python library that provides parsers for computational
 chemistry log files. It also provides a platform to implement
 algorithms in a package-independent manner.
 .
 This package contains helper scripts for end users.
 .
 If you are looking for the unit tests and data files managed by cclib,
 they are distributed separately as in non-free package cclib-data.

Package: ccls
Description-md5: a0041ae63c0c8de35e4287f98d9d0b4e
Description-en: C/C++/ObjC language server
 This originates from cquery, and is a server implementing
 Language Server Protocol for C/C++/Objective-C. It supports:
 .
  * code completion (with both signature help and snippets)
  * definition/references, and other cross references
  * cross reference extensions:
    $ccls/call $ccls/inheritance $ccls/member $ccls/vars ...
  * formatting
  * hierarchies:
    call (caller/callee) hierarchy, inheritance (base/derived) hierarchy,
    member hierarchy
  * symbol rename
  * document symbols and approximate search of workspace symbol
  * hover information
  * diagnostics and code actions (clang FixIts)
  * semantic highlighting and preprocessor skipped regions
  * semantic navigation:
    $ccls/navigate

Package: cconv
Description-md5: 0a45fbe6312ca3e32c59397ececccd1e
Description-en: simplified-traditional chinese conversion tool
 The Chinese national GB standard defines a basic set of (around 6,000)
 characters for use with Simplified Chinese writing that does not include many
 of the characters in the Taiwanese industry standard for Traditional Chinese
 called Big5 (around 13,000 characters in the basic set). Unicode is however a
 superset of both with all duplication removed down to the level of detail
 described above.
 .
 This tool is used for converting a UTF-8 string which combining both
 Simplified Chinese characters and Traditional Chinese charcters directly into
 one type Chinese chareacters.

Package: ccrypt
Description-md5: da31f4a0f5044cdae29a94198cd0810c
Description-en: secure encryption and decryption of files and streams
 ccrypt is a utility for encrypting and decrypting files and streams. It was
 designed as a replacement for the standard unix crypt utility, which is
 notorious for using a very weak encryption algorithm. ccrypt is based on the
 Rijndael cipher, which is the U.S. government's chosen candidate for the
 Advanced Encryption Standard (AES, see http://www.nist.gov/aes). This cipher is
 believed to provide very strong security.

Package: cct
Description-md5: 0ab3a35909def68fd30bc34fe539c2b2
Description-en: visually comparing bacterial, plasmid, chloroplast, or mitochondrial sequences
 The CGView Comparison Tool (CCT) is a package for visually comparing
 bacterial, plasmid, chloroplast, or mitochondrial sequences of interest
 to existing genomes or sequence collections. The comparisons are
 conducted using BLAST, and the BLAST results are presented in the form
 of graphical maps that can also show sequence features, gene and protein
 names, COG category assignments, and sequence composition
 characteristics. CCT can generate maps in a variety of sizes, including
 400 Megapixel maps suitable for posters. Comparisons can be conducted
 within a particular species or genus, or all available genomes can be
 used. The entire map creation process, from downloading sequences to
 redrawing zoomed maps, can be completed easily using scripts included
 with the CCT. User-defined features or analysis results can be included
 on maps, and maps can be extensively customized. To simplify program
 setup, a CCT virtual machine that includes all dependencies preinstalled
 is available. Detailed tutorials illustrating the use of CCT are
 included with the CCT documentation.

Package: cct-examples
Description-md5: 95920a7e7f6569516d39adab7810f72d
Description-en: example data for testing the package cct
 The CGView Comparison Tool (CCT) is a package for visually comparing
 bacterial, plasmid, chloroplast, or mitochondrial sequences of interest
 to existing genomes or sequence collections. The comparisons are
 conducted using BLAST, and the BLAST results are presented in the form
 of graphical maps that can also show sequence features, gene and protein
 names, COG category assignments, and sequence composition
 characteristics. CCT can generate maps in a variety of sizes, including
 400 Megapixel maps suitable for posters. Comparisons can be conducted
 within a particular species or genus, or all available genomes can be
 used. The entire map creation process, from downloading sequences to
 redrawing zoomed maps, can be completed easily using scripts included
 with the CCT. User-defined features or analysis results can be included
 on maps, and maps can be extensively customized. To simplify program
 setup, a CCT virtual machine that includes all dependencies preinstalled
 is available. Detailed tutorials illustrating the use of CCT are
 included with the CCT documentation.
 .
 This package provides the data which can be used as example.  These are
 the same data as used in autopkgtest.

Package: ccze
Description-md5: 55cd93dbcf614712a4d89cb3489414f6
Description-en: robust, modular log coloriser
 CCZE is a robust and modular log coloriser, with plugins for apm,
 exim, fetchmail, httpd, postfix, procmail, squid, syslog, ulogd,
 vsftpd, xferlog and more.

Package: cd-circleprint
Description-md5: 51a4ae39167501ed72dce961877ad4cd
Description-en: prints round cd-labels
 cd-circleprint is a program to create round cd-labels written in perl,
 using perl-tk to produce a graphical frontend. The
 labels have four lines of nice curved text and an additional four
 fields with normal text. Each field has selectable text-size, font and
 colour. The background colour is also selectable. The label may also have
 a background image.
 You can squeeze the whole page if your printer doesn't generate correct
 circles and you can move the two labels on the sheet to accommodate
 different types of label sheets.
 The output is postscript to make it as portable as possible.

Package: cd-discid
Description-md5: 5e36cbcb6bf4b1b7273d65c78305914d
Description-en: CDDB DiscID utility
 In order to do CDDB queries over the Internet, you must know the DiscID of
 the CD you are querying. cd-discid provides you with that information. It
 outputs the discid, the number of tracks, the frame offset of all of the
 tracks, and the total length of the CD in seconds, on one line in
 a space-delimited format. cd-discid was designed as a backend tool for
 cdgrab (now abcde) but will work independently of it.

Package: cd-hit
Description-md5: ad9ee03ef4098028c26f1091e913dcda
Description-en: suite of programs designed to quickly group sequences
 cd-hit contains a number of programs designed to quickly group
 sequences. cd-hit groups proteins into clusters that meet a user-defined
 similarity threshold. cd-hit-est is similar to cd-hit, but designed to
 group nucleotide sequences (without introns). cd-hit-est-2d is similar
 to cd-hit-2d but designed to compare two nucleotide datasets. A number
 of other related programs are also in this package. Please see the
 cd-hit user manual, also part of this package, for further information.

Package: cd-paranoia
Description-md5: f8caad30ccf9b64e260217e6a0473266
Description-en: audio CD reading utility which includes extra data verification features
 cd-paranoia retrieves audio tracks from CDDA capable CD-ROM drives.
 The data can be saved to a file or directed to standard output in WAV,
 AIFF, AIFF-C or raw format. Most ATAPI, SCSI and several proprietary
 CD-ROM drive makes are supported; cd- paranoia can determine if the target
 drive is CDDA capable.
 .
 In addition to simple reading, cd-paranoia adds extra-robust data
 verification, synchronization, error handling and scratch reconstruction
 capability.
 .
 This version uses the libcdio library for interaction with a CD-ROM drive.
 The jitter and error correction however are the same as used in Xiph's
 cdparanoia.

Package: cd5
Description-md5: da571f53de6ee65f3fcdb981203edc75
Description-en: Compute checksum of individual track on CD-ROMS
 CD-ROM Digest is a program that checks a multi-track CD-ROM.
 It reports the MD5 digest and size for each track on a CD-ROM.
 The digest is done as the track is read, without dumping CD-ROM data
 on the hard drive. This tool helps users that are burning a multi-track
 CD-ROM to check the result.

Package: cdargs
Description-md5: a017b56e84c1ac3c23573edc81d1c82f
Description-en: bookmarks and browsing for the cd command
 CDargs is a tool which enhances the navigation of the common unix file-system
 inside the shell. It plugs into the shell built-in cd-command (via a shell
 function or an alias) and adds bookmarks and a browser to it.
 .
 It enables you to move to a very distant place in the file-system with just a
 few keystrokes.

Package: cdbackup
Description-md5: ab61b14fa607299af9f957daaa4df69d
Description-en: CD-R(W) backup utility
 cdbackup and cdrestore are a pair of utilities designed to facilitate
 streaming backup to and from CD-R(W) disks.  Specifically, they were
 designed to work with dump/restore, but tar/cpio/whatever you want should
 work, so long as it writes to stdout for backups and reads from stdin for
 restores.

Package: cdbfasta
Description-md5: be2aa2147d836ef006051adca2699081
Description-en: Constant DataBase indexing and retrieval tools for multi-FASTA files
 CDB (Constant DataBase) can be used for creating indices for quick
 retrieval of any particular sequences from large multi-FASTA files.
 It has the option to compress data records in order to save space.

Package: cdbs
Description-md5: 84b2b5b6a68f07483ccc082be0bb4b21
Description-en: common build system for Debian packages
 This package contains the Common Debian Build System,
 an abstract build system based on Makefile inheritance
 which is completely extensible and overridable.
 In other words, CDBS provides a sane set of default rules
 upon which packages can build;
 any or all rules may be overridden as needed.

Package: cdcd
Description-md5: c3cc40cb240561188f5854ad90a3e676
Description-en: command line or console based CD player
 cdcd works in two ways, accepting commands directly off the command line or in
 a query mode similar to other UNIX programs. To pass a command to cdcd, simply
 run cdcd with the command as the argument (e.g. cdcd play). This is great for
 using cron and cdcd together to make a CD alarm clock. Or you can run cdcd
 without arguments and you will be given the cdcd command prompt.

Package: cdcd-dbg
Description-md5: 99cde580e3070f580b2513d117db475b
Description-en: command line or console based CD player (debug)
 cdcd works in two ways, accepting commands directly off the command line or in
 a query mode similar to other UNIX programs. To pass a command to cdcd, simply
 run cdcd with the command as the argument (e.g. cdcd play). This is great for
 using cron and cdcd together to make a CD alarm clock. Or you can run cdcd
 without arguments and you will be given the cdcd command prompt.
 .
 This package contains the debugging symbols.

Package: cdck
Description-md5: c8c08a093de640839bb76ef33c2469b3
Description-en: tool for verifying the quality of written CDs/DVDs
 cdck (CD/DVD check tool) is a simple console program to verify CD/DVD
 quality. The known fact is that even if all files on the disc are readable,
 some sectors having bad timing can easily turn into unreadable ones in the
 future.
 .
 To get an idea about a disc cdck reads it sector by sector, keeping all
 reading timings and then tells you its verdict. Optionally it can write the
 timing table into text file usable by gnuplot(1) program, so you can draw
 some graphs out of it.

Package: cdcover
Description-md5: ef9fb8e66100d268103281471af25a1b
Description-en: Creating Data-CD Covers
 cdcover is a little commandline tool which creates
 user-defined data-cd covers.

Package: cdde
Description-md5: 72a9d0bc4a3b8d2c7015b48ff53da747
Description-en: CD Detect & Execute utility
 CDDE is a program that detects when a CD/DVD-ROM drive has a disc
 inserted. When it finds a disc inserted in the drive it will attempt
 to determine the type of the disc, and execute a specified command.
 This means a DVD can be inserted and your favorite DVD software will
 start, or a data CD can be automatically mounted, etc. The commands
 are defined in a configuration file that has simple XML syntax.

Package: cde
Description-md5: 888b6c2716e3ae2cbea84c0cc11dc749
Description-en: package everything required to execute a Linux command on another computer
 CDEpack (Code, Data, and Environment packaging) is a tool that
 automatically packages up everything required to execute a Linux
 command on another computer without any installation or
 configuration.  A command can range from something as simple as a
 command-line utility to a sophisticated GUI application with 3D
 graphics. The only requirement is that the other computer have the
 same hardware architecture (e.g., x86) and major kernel version
 (e.g., 2.6.X) as yours. CDEpack allows you to easily run programs
 without the dependency hell that inevitably occurs when attempting to
 install software or libraries.
 .
 Typical use cases:
  1. Quickly share prototype software
  2. Try out software in non-native environments
  3. Perform reproducible research
  4. Instantly deploy applications to cluster or cloud computing
  5. Submit executable bug reports
  6. Package class programming assignments
  7. Easily collaborate on coding projects

Package: cdebconf-gtk
Description-md5: fa7569ffcd2c68e0354e0bf4c62db2ca
Description-en: Gtk+ frontend for Debian Configuration Management System
 Debconf is a configuration management system for Debian packages. It is
 used by some packages to prompt you for information before they are
 installed. This is a reimplementation of the original debconf version in C.
 .
 cdebconf-gtk is a minimal Gtk+ frontend cdebconf package.

Package: cdebootstrap
Description-md5: 485427068050df8db0fa5d43537509b8
Description-en: Bootstrap a Debian system
 cdebootstrap generates systems from scratch for Debian and derivates.
 .
 This is implementation is different from debootstrap.  It features a different
 package selection.  The package selection is done according to the flavour.

Package: cdebootstrap-static
Description-md5: f767113340343840f6bbfba8d04abfba
Description-en: Bootstrap a Debian system - static binary
 cdebootstrap generates systems from scratch for Debian and derivates.
 .
 This package contains a static binary and a standalone tar.  The standalone
 tar can be used on non-Debian systems.

Package: cdecl
Description-md5: 4c77c035a2a07fa8fa3ff98ce2990d72
Description-en: Turn English phrases to C or C++ declarations
 Cdecl is a program which will turn English-like phrases such as "declare
 foo as array 5 of pointer to function returning int" into C declarations
 such as "int (*foo[5])()". It can also translate the C into the pseudo-
 English. And it handles typecasts, too. Plus C++. And in this version
 it has command line editing and history with the GNU readline library.

Package: cdftools
Description-md5: 65a151ac368fdf5dd2ee69cc2f9dde8f
Description-en: Diagnostic tools for NEMO netCDF output
 CDFTOOLS is a diagnostic package written in fortran 90 for the analysis
 of NEMO model output in the frame of the DRAKKAR project.
 NEMO (Nucleus for European Modelling of the Ocean) is a state-of-the-art
 modeling framework for oceanographic research, operational oceanography
 seasonal forecast and climate studies.

Package: cdi2iso
Description-md5: e4681972048a873ac8bacb2d586154e5
Description-en: DiscJuggler image to ISO image file converter
 cdi2iso is a very simple utility to convert DiscJuggler image
 to the standard ISO-9660 format.
 .
  Homepage: http://developer.berlios.de/projects/cdi2iso/

Package: cdist
Description-md5: 97d537661ee9811704ff49850b4cd25d
Description-en: Usable Configuration Management System
 cdist is a usable configuration management system.
 It adheres to the KISS principle and is being used in
 small up to enterprise grade environments. It has the
 following noteworthy features:
 .
  * shell scripting configuration language
  * access to all control structures (if, case, for, while)
  * idempotent target properties
  * zero-dependencies: target system need only /bin/sh and ssh
  * push-based distribution
  * highly-scalable
 .
 cdist is an alternative to other configuration management systems
 like cfengine, bcfg2, chef and puppet.

Package: cdist-doc
Description-md5: bf067e2005918c8948bcab6df150681a
Description-en: Usable Configuration Management System (html documentation)
 cdist is a usable configuration management system.
 It adheres to the KISS principle and is being used in
 small up to enterprise grade environments. It has the
 following noteworthy features:
 .
  * shell scripting configuration language
  * access to all control structures (if, case, for, while)
  * idempotent target properties
  * zero-dependencies: target system need only /bin/sh and ssh
  * push-based distribution
  * highly-scalable
 .
 cdist is an alternative to other configuration management systems
 like cfengine, bcfg2, chef and puppet.
 .
 This package contains Sphinx-generated html documentation.

Package: cdlabelgen
Description-md5: 8415194c7ea0c7a66f885839643a18a4
Description-en: generates front cards and tray cards for CDs and DVDs
 cdlabelgen was designed to simplify the process of
 generating labels for CDs and DVDs. It originated as a program
 to allow auto generation of front cards and tray cards for CDs
 burned via an automated mechanism (specifically for archiving
 data), but has now become popular for labelling CD
 compilations of mp3's, and copies of CDs. Note that cdlabelgen
 does not actually print anything--it just spits out
 postscript, which you can then do with as you please.

Package: cdo
Description-md5: a3706a835a1fd31e6223c556a174dc28
Description-en: Climate Data Operators
 Climate Data Operators are a collection of command line Operators
 to manipulate and analyse Climate model Data.  Supported data formats are GRIB,
 netCDF, SERVICE, EXTRA and IEG. There are more than 400 operators available.

Package: cdparanoia
Description-md5: e822cba0a77b7c13282c31b92c5e9561
Description-en: audio extraction tool for sampling CDs
 An audio extraction tool for sampling CDs. Unlike similar programs such as
 cdda2wav, cdparanoia goes to great lengths to try to extract the audio
 information without any artifacts such as jitter.

Package: cdpr
Description-md5: 77dd2ca280526fc8efcbd3fb1086aab3
Description-en: Cisco Discovery Protocol Reporter
 cdpr listens on specified network interfaces for Cisco Discovery
 Protocol packets. It then decodes those packets and outputs the
 information, optionally sending the information to a server for
 processing.

Package: cdr2odg
Description-md5: c3880d12941394f4bbb3c93dfb69f060
Description-en: Corel Draw graphics to OpenDocument converter
 This package contains a utility for converting Corel Draw documents
 into OpenDocument draw documents.

Package: cdrdao
Description-md5: 27c1ea6fa8a4913e9dd3ddfb6c692c21
Description-en: records CDs in Disk-At-Once (DAO) mode
 cdrdao records audio or data CD-Rs in disk-at-once (DAO) mode based on a
 textual description of the CD contents.
 .
 Recording in disk-at-once mode writes the complete disc, i.e. lead-in, one or
 more tracks and lead-out, in a single step. The commonly used track-at-once
 (TAO) mode writes each track independently which requires link blocks between
 two tracks. You probably want to use this if you're copying a CD with multiple
 tracks, like most audio CDs.
 .
 cdrdao can also handle the bin/cue format commonly used for VCDs or disks with
 subchannel data.
 .
 If you just want to burn a normal data CD, you probably want wodim instead.

Package: cdrskin
Description-md5: 7fc8b88d1a5145b8ff953a033d1211cc
Description-en: command line CD/DVD/BD writing tool
 cdrskin strives to be a second source for the services traditionally
 provided by cdrecord. It writes data sessions to CD, DVD, or BD media.
 To CD media it may also write audio sessions.
 Multi-session is possible on all media types except DVD-R DL and
 fastly blanked DVD-RW.
 .
 This is a burner-only application. If you want a burner and ISO 9660 image
 manipulation application, please install the xorriso package.

Package: cdtool
Description-md5: 958b66f86ad886922b8d6bb1322a4481
Description-en: text-based audio CD player and CD-ROM control commands
 cdtool contains cdplay, cdeject, cdstop, cdpause, and several other
 programs for playing audio CDs and controlling a CD-ROM drive from
 the command line and in a quick and scriptable way.
 .
 cdown reads track info and queries a CDDB database for info on
 the current CD. cdctrl is a command line utility for controlling a
 CD-ROM drive interactively and from scripts. cdir keeps track of the
 contents of different CDs using a workman-compatible database.

Package: cdw
Description-md5: 77dacb1e6c00dada63762b78b9a605d5
Description-en: Tool for burning CD's - console version
 Ncurses-based frontend for wodim and genisoimage. It can handle audio and
 data CD burning, through a CD image or directly from the files.

Package: cec-utils
Description-md5: 1cada05ded3a092df64a7f10e4b34be9
Description-en: USB CEC Adaptor communication Library (utility programs)
 This library provides support for the Pulse-Eight USB-CEC adapter and
 other CEC capable hardware, like the Raspberry Pi.
 .
 This package provides the CEC utility programs.

Package: cecilia
Description-md5: 0ad50c0128dc7c07aa943e8ebf3e38b9
Description-en: Sound synthesis and audio signal processing environment
 Cecilia is an audio signal processing environment aimed at sound
 designers. It lets you create your own GUI using a simple syntax and comes
 with many original built-in modules and presets for sound effects and
 synthesis.
 .
 Cecilia uses the pyo audio engine created for the Python programming language.

Package: cedar-backup3
Description-md5: 33453566e74f16c0bd5c3fc52cde62c5
Description-en: local and remote backups to CD/DVD media or Amazon S3 storage
 Cedar Backup 3 is a software package designed to manage system backups for a
 pool of local and remote machines.  Cedar Backup understands how to back up
 filesystem data as well as MySQL and PostgreSQL databases and Subversion
 repositories.  It can also be easily extended to support other kinds of data
 sources.
 .
 Cedar Backup is focused around weekly backups to a single CD or DVD disc, with
 the expectation that the disc will be changed or overwritten at the beginning
 of each week.  If your hardware is new enough, Cedar Backup can write
 multisession discs, allowing you to add incremental data to a disc on a daily
 basis.
 .
 Alternately, Cedar Backup can write your backups to the Amazon S3 cloud
 rather than relying on physical media.
 .
 Besides offering command-line utilities to manage the backup process, Cedar
 Backup provides a well-organized library of backup-related functionality,
 written in the Python 3 programming language.
 .
 This package provides Cedar Backup command-line utilities as well as the
 CedarBackup3 Python library.  The accompanying documentation package
 (cedar-backup3-doc) contains all of the end-user and library public
 interface documentation.  You really should install cedar-backup3-doc and
 read through the end-user documentation before using Cedar Backup for the
 first time.

Package: cedar-backup3-doc
Description-md5: f49576ac765d6ef34a71952b17fd09d7
Description-en: local and remote backups to CD/DVD media or Amazon S3 storage (documentation)
 Cedar Backup 3 is a software package designed to manage system backups for a
 pool of local and remote machines.  Cedar Backup understands how to back up
 filesystem data as well as MySQL and PostgreSQL databases and Subversion
 repositories.  It can also be easily extended to support other kinds of data
 sources.
 .
 Cedar Backup is focused around weekly backups to a single CD or DVD disc, with
 the expectation that the disc will be changed or overwritten at the beginning
 of each week.  If your hardware is new enough, Cedar Backup can write
 multisession discs, allowing you to add incremental data to a disc on a daily
 basis.
 .
 Alternately, Cedar Backup can write your backups to the Amazon S3 cloud
 rather than relying on physical media.
 .
 Besides offering command-line utilities to manage the backup process, Cedar
 Backup provides a well-organized library of backup-related functionality,
 written in the Python 3 programming language.
 .
 This package provides the end-user and library public interface documentation
 for Cedar Backup, both in HTML form.  You really should read through the
 end-user documentation before using Cedar Backup for the first time.

Package: ceferino
Description-md5: 0b1a78bf0c83fea665bf2bacc703441f
Description-en: action game similar to Super Pang
 A game similar to 'Super Pang'. You are attacked by little green balls which
 are bouncing around and which you have to destroy with your knife. Your knife
 however is limited to being thrown upwards, thus you have to get under
 the balls to destroy them. Even worse, if you destroy a large ball, it doesn't
 just vanish, but breaks apart into two smaller balls. Levels consist of little
 platforms connected by ladders, so you can go up and down or find cover
 if needed.

Package: ceferino-data
Description-md5: 45046c487f430401286178a2dc28022c
Description-en: action game similar to Super Pang
 A game similar to 'Super Pang'. You are attacked by little green balls which
 are bouncing around and which you have to destroy with your knife. Your knife
 however is limited to being thrown upwards, thus you have to get under
 the balls to destroy them. Even worse, if you destroy a large ball, it doesn't
 just vanish, but breaks apart into two smaller balls. Levels consist of little
 platforms connected by ladders, so you can go up and down or find cover
 if needed.
 .
 This package includes the data of the game.

Package: cegui-mk2-0.8.7
Description-md5: b2275c0855da956d16c186603ae60780
Description-en: Crazy Eddie's GUI (executables)
 CEGUI is a free library providing windowing and widgets for graphics
 APIs and engines where such functionality is not natively available
 or is severely lacking. The library is written in C++, is object
 oriented, and is primarily targeted at games developers who should be
 spending their time creating great games, not building GUI sub-systems
 .
 This package contains the executables that support using the CEGUI library.

Package: ceilometer-agent-ipmi
Description-md5: 7db139fd7b44649a5c9c5b4d42f93279
Description-en: ceilometer ipmi agent
 Ceilometer aims to deliver a unique point of contact for billing systems to
 acquire all counters they need to establish customer billing, across all
 current and future OpenStack components. The delivery of counters must
 be traceable and auditable, the counters must be easily extensible to support
 new projects, and agents doing data collections should be
 independent of the overall system.
 .
 (A ceilometer is an instrument that measures cloud coverage.)
 .
 This package contains the ipmi agent.

Package: ceilometer-agent-notification
Description-md5: 8b0e885afabe5cb497d26a5f59977c71
Description-en: ceilometer notification agent
 Ceilometer aims to deliver a unique point of contact for billing systems to
 acquire all counters they need to establish customer billing, across all
 current and future OpenStack components. The delivery of counters must
 be traceable and auditable, the counters must be easily extensible to support
 new projects, and agents doing data collections should be
 independent of the overall system.
 .
 (A ceilometer is an instrument that measures cloud coverage.)
 .
 This package contains the notification agent.

Package: ceilometer-polling
Description-md5: e22697074a3a10ed6635f213d9cf9d07
Description-en: ceilometer polling service
 Ceilometer aims to deliver a unique point of contact for billing systems to
 acquire all counters they need to establish customer billing, across all
 current and future OpenStack components. The delivery of counters must
 be traceable and auditable, the counters must be easily extensible to support
 new projects, and agents doing data collections should be
 independent of the overall system.
 .
 (A ceilometer is an instrument that measures cloud coverage.)
 .
 This package contains the polling service.

Package: celluloid
Description-md5: 36039aaa9324deae871f44000a6a65b0
Description-en: simple GTK+ frontend for mpv
 GNOME MPV is a simple GTK+ frontend for mpv. GNOME MPV interacts with mpv via
 the client API exported by libmpv, allowing access to mpv's powerful playback
 capabilities.

Package: cellwriter
Description-md5: 8e8ed407f93fe036cf7ba99236e0abc1
Description-en: grid-entry handwriting input panel
 CellWriter is a grid-entry natural handwriting input panel.
 As you write characters into the cells, your writing is instantly
 recognized at the character level. When you press 'Enter' on the panel,
 the input you entered is sent to the currently focused application as if
 typed on the keyboard.
 .
   * Writer-dependent, learns your handwriting for reliable recognition
   * Correcting preprocessor algorithms account for digitizer noise,
     differing stroke order, direction, and number of strokes
   * Unicode support enables you to write in your native language

Package: cen64
Description-md5: 86c6b219bfa41852ac3f2c50f28b33bc
Description-en: Cycle-Accurate Nintendo 64 Simulator
 CEN64 is a new Nintendo 64 emulator which aims for perfect emulation by
 simulating the hardware inside the Nintendo 64 itself, down to the
 register-transfer level (RTL). It is still heavy under development and
 currently does not have any sound emulation.
 .
 Being a cycle-accurate emulator, CEN64 has considerably high hardware
 requirements to achieve passable performance when emulating most Nintendo 64
 titles. However, similar to the higan emulator, the cycle accuracy guarantess
 very high compatibility and despite its early development stage, CEN64 already
 allows one to run several commercial titles without or with only minor
 glitches.
 .
 As a distinctive feature among Nintendo 64 emulators, CEN64 offers emulation
 support for the 64DD external disk drive that was never released outside Japan
 and even there is considered rare. Thus, CEN64 offers the unique possibility
 for anyone to play any of the rare titles that were exclusively released on the
 64DD.

Package: cen64-qt
Description-md5: 5e1c350169eaa1e62098a82a4116a1c0
Description-en: Cross-platform graphical frontend for the CEN64 emulator
 CEN64-Qt is a cross-platform graphical frontend for the CEN64 emulator. Since
 CEN64 itself provides a simple command line interface only, anyone who wants
 to use this particular emulator with a little more convenience has to resort
 to additional software which is where this package jumps in.
 .
 The frontend lists all available ROMs after adding them to the inventory with
 the option to download additional information from external game databases on
 the web. File paths for the necessary IPL files can be conveniently configured
 from the settings menu as well as the paths where games save a stored and
 recovered from.
 .
 Additional features include a log viewer for the emulator, a configurable view
 for the ROM listing as well as a quick access menu to select the preferred
 input device for the emulator such as different gamepads and a keyboard.
 .
 Naturally, all settings are automatically saved and restored such that they
 only need to be configured once.

Package: ceni
Description-md5: 614d9d17e5bb1d40979f2412da59ae1d
Description-en: Curses interface to /etc/network/interfaces
 A Curses user interface for configuring network interfaces with ifupdown.
 Ceni can manage basic network interface ifupdown configuration stanzas for
 ethernet and wireless devices.

Package: cenon.app
Description-md5: f1b3a118ef345779554e4e15967de2d6
Description-en: Vector graphics tool for GNUstep
 Cenon is a graphical tool of a special kind. Build upon a modular graphical
 core, Cenon offers a wide variety of possibilities and applications - not
 only Desktop Publishing. The best of all, Cenon is free software, available
 with full source codes, and at home on many computer platforms.
 .
 Convert, import and export DXF, PostScript, Adobe Illustrator, DIN formats,
 HPGL, Gerber and PDF.

Package: cenon.app-common
Description-md5: 517ea2381fe39a8b56f0793028d0f51e
Description-en: Vector graphics tool for GNUstep (common files)
 Cenon is a graphical tool for vector design and desktop publishing.
 It supports conversion, import and export in several formats like DXF,
 PostScript, Adobe Illustrator, DIN formats, HPGL, Gerber, PDF and SVG.
 .
 This package contains the architecture-independent files.

Package: centreon-connector-perl
Description-md5: 1bb3c184689e7738f417439c10694e87
Description-en: Network, system, applicative supervision and monitoring - perl connector
 Centreon is a modular and flexible platform for network, system and
 applicative supervision and monitoring.
 .
  * monitoring of network services
  * monitoring of host resources
  * simple plugin design that allows users to easily develop their own
    service checks
  * parallelized service checks
  * ability to define network hierarchies
  * contact notifications when service or host problems occur and get
    resolved (via email, page, or user-defined method)
  * ability to define event handlers to be run during service or host
    events for proactive problem resolution
  * automatic log file rotation
  * support for implementing redundant monitoring hosts
 .
 This package contains the perl connector.

Package: centreon-connector-ssh
Description-md5: 95b5407c7e16bfc2712bebfe90da29b6
Description-en: Network, system, applicative supervision and monitoring - ssh connector
 Centreon is a modular and flexible platform for network, system and
 applicative supervision and monitoring.
 .
  * monitoring of network services
  * monitoring of host resources
  * simple plugin design that allows users to easily develop their own
    service checks
  * parallelized service checks
  * ability to define network hierarchies
  * contact notifications when service or host problems occur and get
    resolved (via email, page, or user-defined method)
  * ability to define event handlers to be run during service or host
    events for proactive problem resolution
  * automatic log file rotation
  * support for implementing redundant monitoring hosts
 .
 This package contains the ssh connector.

Package: centreon-engine
Description-md5: 03dbfe32a2cc533d3b6399c690c033d1
Description-en: Network, system, applicative supervision and monitoring - engine
 Centreon is a modular and flexible platform for network, system and
 applicative supervision and monitoring.
 .
  * monitoring of network services
  * monitoring of host resources
  * simple plugin design that allows users to easily develop their own
    service checks
  * parallelized service checks
  * ability to define network hierarchies
  * contact notifications when service or host problems occur and get
    resolved (via email, page, or user-defined method)
  * ability to define event handlers to be run during service or host
    events for proactive problem resolution
  * automatic log file rotation
  * support for implementing redundant monitoring hosts
 .
 This package contains the engine.

Package: centrifuge
Description-md5: 0654470974325c1dfa34d590e1faa93b
Description-en: rapid and memory-efficient system for classification of DNA sequences
 Centrifuge is a very rapid and memory-efficient system for the
 classification of DNA sequences from microbial samples, with better
 sensitivity than and comparable accuracy to other leading systems. The
 system uses a novel indexing scheme based on the Burrows-Wheeler
 transform (BWT) and the Ferragina-Manzini (FM) index, optimized
 specifically for the metagenomic classification problem. Centrifuge
 requires a relatively small index (e.g., 4.3 GB for ~4,100 bacterial
 genomes) yet provides very fast classification speed, allowing it to
 process a typical DNA sequencing run within an hour. Together these
 advances enable timely and accurate analysis of large metagenomics data
 sets on conventional desktop computers.

Package: ceph-deploy
Description-md5: fe5152e36629e00e2958af9923255b0a
Description-en: Ceph cluster deployment and configuration over ssh
 Ceph is a massively scalable, open-source, distributed storage system that
 runs on commodity hardware and delivers object, block and file system storage.
 .
 ceph-deploy is an easy to use deployment and configuration tool to deploy Ceph
 relying on just SSH access to the servers, sudo, and some Python. It runs
 fully on your workstation, requiring no servers, databases, or anything like
 that.
 .
 If you set up and tear down Ceph clusters a lot, and want minimal extra
 bureaucracy, this is for you.
 .
 This package includes the programs and libraries to support simple ceph
 cluster deployment.

Package: ceph-fuse
Description-md5: 2e66da405a3a0048edd7d65c675526ff
Description-en: FUSE-based client for the Ceph distributed file system
 Ceph is a distributed network file system designed to provide
 excellent performance, reliability, and scalability.  This is a
 FUSE-based client that allows one to mount a Ceph file system without
 root privileges.
 .
 Because the FUSE-based client has certain inherent performance
 limitations, it is recommended that the native Linux kernel client
 be used if possible.  If it is not practical to load a kernel module
 (insufficient privileges, older kernel, etc.), then the FUSE client will
 do.

Package: ceph-immutable-object-cache
Description-md5: c76c82274d014d060096f8293a6f711f
Description-en: Ceph daemon for immutable object cache
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.  This is a daemon for immutable
 object cache.

Package: ceph-iscsi
Description-md5: 9fc8fc80d98391efea463e8485cedbea
Description-en: common logic and CLI tools for creating and managing LIO gateways for Ceph
 It includes the rbd-target-api daemon which is responsible for
 restoring the state of LIO following a gateway reboot/outage and
 exporting a REST API to configure the system using tools like
 gwcli. It replaces the existing 'target' service.
 .
 There is also a second daemon rbd-target-gw which exports a REST API
 to gather statistics.
 .
 It also includes the CLI tool gwcli which can be used to configure
 and manage the Ceph iSCSI gateway, which replaces the existing
 targetcli CLI tool. This CLI tool utilizes the rbd-target-api server
 daemon to configure multiple gateways concurrently.

Package: ceph-mgr-cephadm
Description-md5: 0da38d6bcb55495002fa13c0136a25ef
Description-en: cephadm orchestrator module for ceph-mgr
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 This package contains the CEPHADM module for ceph-mgr's orchestration
 functionality, to allow ceph-mgr to perform orchestration functions
 over a standard SSH connection.

Package: ceph-mgr-dashboard
Description-md5: 9456ae355deee9b12b1de8a1b94dd3ca
Description-en: dashboard module for ceph-mgr
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 This package provides a ceph-mgr module, providing a web-based
 application to monitor and manage many aspects of a Ceph cluster and
 related components.
 .
 See the Dashboard documentation at http://docs.ceph.com/ for details
 and a detailed feature overview.

Package: ceph-mgr-diskprediction-cloud
Description-md5: 3fa4f00ae23cab06b260435a0a5a9494
Description-en: diskprediction-cloud module for ceph-mgr
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 This package contains the diskprediction_cloud module for the ceph-mgr
 daemon, which helps predict disk failures.

Package: ceph-mgr-diskprediction-local
Description-md5: 02a03197652e5c6eab4a90148eaa1a89
Description-en: diskprediction-local module for ceph-mgr
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 This package contains the diskprediction_local module for the ceph-mgr
 daemon, which helps predict disk failures.

Package: ceph-mgr-k8sevents
Description-md5: 56d70a75867540beabd413da01d6e8e2
Description-en: kubernetes events module for ceph-mgr
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 This package contains the k8sevents module, to allow ceph-mgr to send
 ceph related events to the kubernetes events API, and track all events
 that occur within the rook-ceph namespace.

Package: ceph-mgr-rook
Description-md5: 475363182e55451cd54ca37f2d67e100
Description-en: rook module for ceph-mgr
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 This package contains the rook module for ceph-mgr's orchestration
 functionality, to allow ceph-mgr to install and configure ceph using
 Rook.

Package: ceph-resource-agents
Description-md5: f350d6a1d9754497356ffd017b1cd63e
Description-en: OCF-compliant resource agents for Ceph
 Ceph is a distributed storage and network file system designed to provide
 excellent performance, reliability, and scalability.
 .
 This package contains the resource agents (RAs) which integrate
 Ceph with OCF-compliant cluster resource managers,
 such as Pacemaker.

Package: cephadm
Description-md5: 110f2b722251944a806cc32bbb99c417
Description-en: cephadm utility to bootstrap ceph daemons with systemd and containers
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 The cephadm utility is used to bootstrap a Ceph cluster and to manage
 ceph daemons deployed with systemd and containers.

Package: cephfs-shell
Description-md5: 0270e88f7113c49abc31ad8ecd0f5323
Description-en: interactive shell for the Ceph distributed file system
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.  This is an interactive tool that
 allows accessing a Ceph file system without mounting it by providing
 a nice pseudo-shell which works like an FTP client.
 .
 This package contains a CLI for interacting with the CephFS.

Package: cereal
Description-md5: b9ad2ecc1cc2144159d702f77e5663d0
Description-en: automated, logged serial terminal management system
 cereal provides a framework to easily set up and maintain automated,
 timestamped logs of serial lines, while simultaneously allowing end
 user access to them.  This is probably most useful for providing
 automated, logged access to remote serial consoles.
 .
 cereal can control an arbitrary number of independently monitored and
 logged lines.  Direct access to the monitored lines is allowed only
 to a specific user (who doesn't necessarily otherwise have access to
 the direct serial line), but logs can be made available to any group.
 Logs are rotated automatically and their total space can be limited
 in size.

Package: ceres-solver-doc
Description-md5: 13ffcc3a5ba1e6ead64b17f76afbd824
Description-en: documentation for ceres-solver
 Ceres Solver is an open source C++ library for modeling and solving large,
 complicated optimization problems.
 It is a feature rich, mature and performant library which has been used
 in production at Google since 2010.
 .
 Ceres Solver can solve two kinds of problems.
  - Non-linear Least Squares problems with bounds constraints.
  - General unconstrained optimization problems.
 .
 This package contains the documentation found on http://ceres-solver.org/
 and the examples that were included with the release.

Package: cernlib
Description-md5: 79f2c7c6c556a50b9b93d845ac54b539
Description-en: CERNLIB data analysis suite - general use metapackage
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This metapackage provides almost all of the programs and libraries contained
 in CERNLIB. Most people will likely want only a subset of these. A few
 extra CERNLIB programs, not of interest to many people, may be obtained via
 the cernlib-extras metapackage.

Package: cernlib-base
Description-md5: 59f4b3ad3d099cab19a90dfaebbf05ea
Description-en: CERNLIB data analysis suite - common files
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes miscellaneous architecture-independent files useful
 for CERNLIB libraries and programs, including an example script that can
 generate a skeleton CERNLIB directory structure and Vim syntax highlighting
 macros for KUIPC CDF files and PAW "kumac" macro files.

Package: cernlib-base-dev
Description-md5: 8d0a02b6db8d89b1f284a4f28dd182bb
Description-en: CERNLIB data analysis suite - dependencies checking script
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes the "cernlib" script that lists the command-line options
 needed for linking against CERNLIB libraries. The script has been rewritten
 from the upstream version to calculate dependencies recursively. Also
 included are a contributed set of Autoconf macros to test for CERNLIB
 libraries, and a set of Imake macros to allow CERNLIB modules to be built
 out-of-tree.

Package: cernlib-core
Description-md5: 83e93b0737824c7815bc463f89520530
Description-en: CERNLIB data analysis suite - main libraries and programs
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This metapackage provides the libraries and analysis tools (e.g. PAW) likely
 to be wanted by most users of the CERN libraries who are not interested
 specifically in high energy physics. It does not provide development
 libraries or tools; those may be obtained by installing the cernlib-core-dev
 metapackage or individual lib*-dev packages.

Package: cernlib-core-dev
Description-md5: f44ddb928739c6580f7ab6053348c991
Description-en: CERNLIB data analysis suite - core development files
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This metapackage provides the header files and static libraries needed
 by developers using the CERN libraries and not specifically interested in high
 energy physics. It also provides the CERNLIB development tools: DZedit,
 KUIPC, and the Nypatchy family of programs. CERNLIB analysis programs may be
 obtained by installing the cernlib-core metapackage.

Package: cernlib-extras
Description-md5: 1693e742ac582fa222b2c3aa43e64b53
Description-en: CERNLIB data analysis suite - extra programs
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This metapackage provides a few additional CERNLIB programs not included
 in any other CERNLIB package. Very few people are likely to be
 interested in them; currently they include zftp, pawserv and zserv.
 The latter two programs run as daemons through inetd and may
 raise concerns about the system's security.
 .
 Installing this package along with the 'cernlib' metapackage will supply
 a complete set of all CERNLIB programs and libraries, except for those
 not included in Debian due to licensing reasons.

Package: cernlib-montecarlo
Description-md5: 1532b90a49af7b2a7a1e16e6be34d002
Description-en: CERNLIB Monte Carlo libraries
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This metapackage provides various Monte Carlo libraries included in CERNLIB
 (both shared and static).  Likely only physicists will be interested in
 these packages.  Note that the GEANT 3.21 framework is not included, but it
 may be obtained by also installing the geant321 Debian package.
 .
 Be aware that the libraries of Ariadne, Fritiof, Jetset, Lepto, and Pythia are
 not available within Debian due to licensing issues; see the README.Debian
 file in the montecarlo-base package for information on obtaining them.

Package: certbot
Description-md5: deb7e404ce1b150b59379c3f9a73ac1a
Description-en: automatically configure HTTPS using Let's Encrypt
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the main application, including the standalone
 and the manual authenticators.

Package: certmonger
Description-md5: 738d6b1394e6e31874aba5badb793710
Description-en: D-Bus -based service to simplify interaction with certificate authorities
 Certmonger is a D-Bus -based service which attempts to simplify
 interaction with certifying authorities (CAs) on networks which use
 public-key infrastructure (PKI).
 .
 If it knows the location of a certificate, certmonger can track the
 expiration date and notify you when the certificate is about to expire.
 .
 If it has access to the corresponding private key and information about
 the CA which issued the certificate, certmonger can even attempt to
 automatically obtain a new certificate.
 .
 Supports certificate and key storage in PEM or NSSDB formats.
 .
 Can self-sign certificates, or can submit them to either certmaster or
 development versions of IPA.

Package: certspotter
Description-md5: dcd7ea2910639d061748abb8f430bfd1
Description-en: Certificate Transparency Log Monitor
 Cert Spotter is a Certificate Transparency log monitor from SSLMate that
 alerts you when a SSL/TLS certificate is issued for one of your domains.
 Cert Spotter is easier than other open source CT monitors, since it does
 not require a database. It's also more robust, since it uses a special
 certificate parser that ensures it won't miss certificates.
 .
 Cert Spotter is also available as a hosted service by SSLMate,
 <https://sslmate.com/certspotter>
 .
 You can use Cert Spotter to detect:
  * Certificates issued to attackers who have compromised a certificate
    authority and want to impersonate your site.
  * Certificates issued to attackers who are using your infrastructure
    to serve malware.
  * Certificates issued in violation of your corporate policy
    or outside of your centralized certificate procurement process.
  * Certificates issued to your infrastructure providers without your
    consent.

Package: cervisia
Description-md5: 24aa55ccfc4c9ef6342e9d331b63080e
Description-en: graphical CVS client
 Cervisia is a front-end for the CVS version control system client.
 .
 In addition to basic and advanced CVS operations, it provides a convenient
 graphical interface for viewing, editing, and manipulating files in a CVS
 repository or working directory.  It includes tools designed to ease the use
 of CVS, such as a log browser, conflict resolver, and changelog editor that
 checks for incorrect formatting.
 .
 This package is part of the KDE Software Development Kit module.

Package: cewl
Description-md5: c98fad42e6cb4900899bf3c5bdb2e677
Description-en: custom word list generator
 CeWL (Custom Word List generator) is a ruby app which spiders
 a given URL, up to a specified depth, and returns a list of
 words which can then be used for password crackers such as John
 the Ripper. Optionally, CeWL can follow  external links.
 .
 CeWL can also create a list of email addresses found in mailto
 links. These email addresses can be used as usernames in brute
 force actions.
 .
 Another tool provided by CeWL project is FAB (Files Already
 Bagged). FAB extracts the content of the author/creator fields,
 from metadata of the some files, to create lists of possible
 usernames. These usernames can be used in association with the
 password list generated by CeWL. FAB uses the same metadata
 extraction techniques that CeWL. Currently, FAB process Office
 pre 2007, Office 2007 and PDF formats.
 .
 CeWL is useful in security tests and forensics investigations.
 CeWL is pronounced "cool".

Package: cfengine3
Description-md5: 788a29b26be014b99b2dd6cde0363f81
Description-en: tool for configuring and maintaining network machines
 Cfengine is a suite of programs for integrated autonomic management
 of either individual or networked computers.
 .
 Cfengine 3 is both a more powerful and much simplified version of cfengine,
 which has been designed to inter operate with cfengine 2 rather than be
 backwards compatible with it.
 .
 With cfengine 3 you can install, configure and maintain computers using
 powerful hands-free tools.

Package: cfi-en
Description-md5: 17ab06c18c5240a4f56ed13031b1c8ac
Description-en: Copyright does not exist, book about hacker culture
 Copyright does not exist: Book about hacker culture, folklore and
 history by Linus Walleij. This is a translation from the original
 Swedish text. In HTML format.

Package: cfi-sv
Description-md5: 8a4f34e7fa6bad19e23af8e2926ba148
Description-en: Copyright finns inte, book about hacker culture
 Copyright finns inte: Book about hacker culture, folklore and history
 by Linus Walleij. This is the original Swedish text.  In HTML and DVI
 format.

Package: cfingerd
Description-md5: c59ae5f8fe9f252bf9fbf651bcf214b8
Description-en: configurable finger daemon
 This is a free replacement for standard finger daemons such as GNU
 fingerd and MIT fingerd.  Cfingerd can enable/disable finger services
 to individual users, rather than to all users on a given host.  It is
 able to respond to a finger request to a specified user by running a
 shell script (e.g., finger doorbell@mysite.mydomain might cause a
 sound file to be sent) rather than just a plain text file.

Package: cflow
Description-md5: a2e70e0ff63ad5a57ddfb5fe376d0b07
Description-en: control flow analyzer for C source files
 GNU cflow analyzes a collection of C source files and prints a graph, charting
 control flow within the program.
 .
 GNU cflow is able to produce both direct and inverted flowgraphs for C
 sources. Optionally a cross-reference listing can be generated. Two output
 formats are implemented: POSIX and GNU (extended).
 .
 Input files can optionally be preprocessed before analyzing.

Package: cflow-doc
Description-md5: 417abed47b5f826b942790f40cccb23b
Description-en: control flow analyzer for C source files (documentation)
 GNU cflow analyzes a collection of C source files and prints a graph, charting
 control flow within the program.
 .
 GNU cflow is able to produce both direct and inverted flowgraphs for C
 sources. Optionally a cross-reference listing can be generated. Two output
 formats are implemented: POSIX and GNU (extended).
 .
 Input files can optionally be preprocessed before analyzing.

Package: cflow-l10n
Description-md5: 4ebf08a61f71b7b1f2e2ccb92c469739
Description-en: control flow analyzer for C source files (translation files)
 GNU cflow analyzes a collection of C source files and prints a graph, charting
 control flow within the program.
 .
 GNU cflow is able to produce both direct and inverted flowgraphs for C
 sources. Optionally a cross-reference listing can be generated. Two output
 formats are implemented: POSIX and GNU (extended).
 .
 Input files can optionally be preprocessed before analyzing.

Package: cfortran
Description-md5: f09b79347e5a5273bafa49fe1cf3ec97
Description-en: Header file permitting Fortran routines to be called in C/C++
 cfortran.h is an easy-to-use powerful bridge between C and FORTRAN.
 It provides a completely transparent, machine independent interface between
 C and FORTRAN routines (= subroutines and/or functions) and global data,
 i.e. structures and COMMON blocks.

Package: cfourcc
Description-md5: 43a50279090e8d765e9d411a26fd5d26
Description-en: command line tool for changing FourCC in Microsoft RIFF AVI files
 Identifies the codec used in AVI files (*.avi) and allows
 the user to change the FourCC description code (like
 fourcc-changer in Windows). Useful for people working
 with Microsoft AVI file. A Linux clone of AviC fourcc changer tool.

Package: cg3
Description-md5: fa1145234f8aca6fd78084adcc760f50
Description-en: Tools for using the 3rd edition of Constraint Grammar (CG-3)
 Constraint Grammar compiler and applicator for the 3rd edition of CG
 that is developed and maintained by VISL SDU and GrammarSoft ApS.
 .
 CG-3 can be used for disambiguation of morphology, syntax, semantics, etc;
 dependency markup, target language lemma choice for MT, QA systems, and
 much more. The core idea is that you choose what to do based on the whole
 available context, as opposed to n-grams.
 .
 See https://visl.sdu.dk/cg3.html for more documentation

Package: cg3-dev
Description-md5: 2400612686cce2f3d1f532e6d4950a70
Description-en: Metapackage providing both CG-3 CLI dev tools and dev library
 Development files to use the CG-3 CLI tools and library API.
 .
 See https://visl.sdu.dk/cg3.html for more documentation

Package: cgdb
Description-md5: e92d369dc730bb952f9afd73d14798e9
Description-en: curses-based interface to the GNU Debugger (GDB)
 CGDB is a curses  frontend to the GNU Debugger (GDB). The goal of CGDB is
 to be lightweight and responsive; not encumbered with unnecessary features.
 .
 The interface is designed to deliver the familiar GDB text interface, with a
 split screen showing the source as it executes. The UI is modeled on the
 classic Unix text editor, vi. Those familiar with vi should feel right at home
 using CGDB.
 .
 Some features offered by CGDB are:
   * Syntax-highlighted source window
   * Visual breakpoint setting
   * Keyboard shortcuts for common functions
   * Searching source window (using regexp)

Package: cgi-mapserver
Description-md5: 84edf36c492ddf586b6901d8fd342142
Description-en: CGI executable for MapServer
 This package contains the mapserv CGI program. It provides the
 MapServer template language, and can be used to implement Web Map
 Service applications.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards. Scripting
 functionality in MapScript is provided by the suggested mapscript
 library packages.

Package: cgilib
Description-md5: e6858716f1a5fe470806506faabdaf40
Description-en: Simple CGI Library
 This library provides a simple programming API to the Common Gateway
 Interface (CGI).  It features HTTP Redirect, provides read access to
 FORM variables, sets HTTP Cookies and reads them.

Package: cgit
Description-md5: 5e74acb15d1188f3db80ed71f4b7567d
Description-en: hyperfast web frontend for git repositories written in C
 This is an attempt to create a fast web interface for the Git SCM, using a
 built-in cache to decrease server I/O pressure.
 .
 Features:
  * basic repository browsing (logs, diffs, trees...)
  * caching of generated HTML
  * cloneable URLs (implements dumb HTTP transport)
  * commit feeds (atom format)
  * discovery of Git repositories
  * on-the-fly archives for tags and commits
  * plugin support for e.g. syntax highlighting
  * side-by-side diffs
  * simple time/author statistics
  * simple virtual hosting support (macro expansion)
  * understands GitWeb project-lists
  * understands gitweb.owner in Git config files
  * has extensive filtering framework using scripts or a built-in Lua
    interpreter

Package: cgminer
Description-md5: 68c25897436b6ff527f994e664dae879
Description-en: multi-threaded multi-pool Bitcoin miner
 Bitcoin miner software with multi-threaded multi-pool mining support.
 .
 BitCoins are a digital currency, exchanged freely against
 all other currencies. Coins may be issued by everyone, one
 just needs considerable computer power - and luck. To even
 out rewards for one's contribution, many initiative have
 forms to provide pools of computers and share the load.

Package: cgns-convert
Description-md5: a6d7902ce7acdbd73e3e6d8f0350872f
Description-en: CFD General Notation System - Conversion tools
 The CFD General Notation System (CGNS) provides a general, portable, and
 extensible standard for the storage and retrieval of computational fluid
 dynamics (CFD) analysis data. It consists of a collection of conventions, and
 free and open software implementing those conventions. It is self-descriptive,
 machine-independent, well-documented, and administered by an international
 steering committee. It is also an American Institute of Aeronautics and
 Astronautics (AIAA) Recommended Practice.
 .
 This package contains the adf2hdf, hdf2adf tools.

Package: cgoban
Description-md5: fd9a7d9c5e397cc593474ca68e0d71a5
Description-en: complete Go board
 CGoban (Complete Goban) is a computerized board on which you can play
 the game of Go against another player, view and edit smart-go files,
 and connect to Go servers on the internet. It can also interface with
 computer Go programs such as GNU Go that speak the Go modem protocol.
 Some sort of image converter is needed if you wish to use the utility
 provided to capture "screen shots" of a CGoban game. The CGoban
 homepage can be found at http://cgoban1.sourceforge.net/.
 .
 Go is an ancient game originated from China, with a definite history
 of over 3000 years, although there are historians who say that the
 game was invented more than 4000 years ago. The Chinese call the game
 Weiqi, other names for Go include Baduk (Korean), Igo (Japanese), and
 Goe (Taiwanese).
 .
 In this game, each player tries to exert more influence on territory
 than her opponent, using threats of death, capture, or isolation. It
 is, therefore, a symbolic representation of the relationships between
 nations. Go is getting increasingly popular around the world,
 especially in Asian, European and American countries, with many
 worldwide competitions being held.
 .
 The game of Go is played on a board. The Go set is comprised of the
 board, together with 181 black and 180 white stones. The standard
 board has 19 lines by 19 lines, but 13x13 and 9x9 boards can also be
 used. However, the 9x9 and 13x13 boards are usually for beginners;
 more advanced players would prefer the traditional 19x19 board.
 .
 Compared to International Chess and Chinese Chess, Go has far fewer
 rules. Yet this allowed for all sorts of moves to be played, so Go
 can be a more intellectually challenging game than the other two
 types of Chess. Nonetheless, Go is not a difficult game to learn, so
 have a fun time playing the game with your friends.
 .
 (adopted from http://senseis.xmp.net/?WhatIsGo)

Package: cgpt
Description-md5: 20f7ed5c2ae3417c32a02f2d829aa535
Description-en: GPT manipulation tool with support for Chromium OS extensions
 Cgpt is a tool to manipulate GUID Partition Table from command line. It also
 supports Chromium OS extensions enabling you to change priority for kernel
 partitions.

Package: cgroup-lite
Description-md5: fe014e9d284dece1e33bea560fa15953
Description-en: Light-weight package to set up cgroups at system boot
 Control groups are a kernel mechanism for tracking and imposing
 limits on resource usage on groups of task.
 .
 This package installs an upstart job to set up cgroups when the
 system boots, without doing any cgroup management or doing any
 classification of tasks into cgroups.

Package: cgroup-tools
Description-md5: 31bbde716d49909064e8fe618de2abd5
Description-en: control and monitor control groups (tools)
 Control Groups (cgroups) provide a mechanism for aggregating/partitioning sets
 of tasks, and all their future children, into hierarchical groups with
 specialized behaviour.
 .
 libcgroup allows one to manipulate, control, administrate and monitor cgroups
 and the associated controllers.
 .
 This package contains the command-line tools.

Package: cgroupfs-mount
Description-md5: cafc79634fd490cec4ad189f157c90f9
Description-en: Light-weight package to set up cgroupfs mounts
 Control groups are a kernel mechanism for tracking and imposing
 limits on resource usage on groups of tasks.
 .
 This package installs scripts to set up cgroups at boot without doing any
 cgroup management or doing any classification of tasks into cgroups.

Package: cgvg
Description-md5: b23f1a8c3132a407fe7cad19b1f0e7ed
Description-en: command-line source browsing tool
 cgvg is a pair of Perl scripts ("cg" and "vg") which are meant to
 assist a programmer in doing command-line source browsing.
 .
 The idea is you can easily search for keywords in the code, and jump
 to the file and line where a match is found. Used with ctags(1), this
 can really help with jumping around and following code. Some features
 include a human-readable output, coloring, bold (and alternate
 bolding), and just sheer convenience for a programmer.
 .
 cgvg uses the Perl internal find and does its own searching, rather
 than being a wrapper for UNIX find(1) and grep(1). There is a
 ~/.cgvgrc file for per-user configuration, and some nice features
 like coloring, and multiple log files.

Package: cgview
Description-md5: 43e679418d17db04183ad02e8a2c137e
Description-en: Circular Genome Viewer
 CGView is a Java package for generating high quality, zoomable maps of
 circular genomes. Its primary purpose is to serve as a component of
 sequence annotation pipelines, as a means of generating visual output
 suitable for the web. Feature information and rendering options are
 supplied to the program using an XML file, a tab delimited file, or an
 NCBI ptt file. CGView converts the input into a graphical map (PNG, JPG,
 or Scalable Vector Graphics format), complete with labels, a title,
 legends, and footnotes. In addition to the default full view map, the
 program can generate a series of hyperlinked maps showing expanded
 views. The linked maps can be explored using any web browser, allowing
 rapid genome browsing, and facilitating data sharing. The feature labels
 in maps can be hyperlinked to external resources, allowing CGView maps
 to be integrated with existing web site content or databases.
 .
 In addition to the CGView application, an API is available for
 generating maps from within other Java applications, using the
 cgview package.
 .
 CGView can be used for any of the following:
  * Bacterial genome visualization and browsing - CGView can be
    incorporated into bacterial genome annotation pipelines, as a means
    of generating web content for data visualization and navigation. The
    PNG and image map content does not require Java applets or special
    browser plugins.
  * Genome poster generation - CGView can generate poster-sized images of
    circular genomes in rasterized image formats or in Scalable Vector
    Graphics format.
  * Sequence analysis visualization - CGView can be used to display the
    output of sequence analysis programs in a circular context.
 .
 CGView features:
  * Images can be generated in PNG, JPG, or SVG format. See the
    CGView gallery.
  * Static or interactive maps can be generated. The interactive maps
    make use of standard PNG images and HTML image maps. Scalable Vector
    Graphics output is included in the interactive maps (see example).
  * The XML input allows complete control over the appearance of the map.
  * Tab delimited input files and NCBI ptt files can be used as an
    alternative to the XML format.
  * The CGView API can be used to incorporate CGView into Java
    applications.
  * The CGView applet can be used to incorporate zoomable maps into web
    pages (see example).
  * The CGView Server can be used to generate maps online.

Package: ch5m3d
Description-md5: 32f73a5f03971e883944ec0887a51460
Description-en: create and visualize 3-dimensional drawings of simple molecules
 This program provides a web interface which has been developed to
 allow users to create and visualize 3-dimensional drawings of simple
 molecules without requiring the download of any additional
 software. In addition to creating structures, users can also load
 existing XYZ formatted files (such as those generated by Open Babel)
 containing 3-dimensional coordinates.
 .
 Once molecules are created or read from a file, users can examine
 geometry information (bond lengths, angles, and dihedral angles) and
 modify these structures. Routines to perform a crude geometry
 optimization and a simple calculation of atomic charges are also
 available.
 .
 This web interface relies on HTML5 (in particular, the HTML5 Canvas),
 and as such requires a modern web browser to run. The advantage of
 this approach is the no additional plugins or Java is required to use
 this program. Support for mobile devices is currently only partially
 implemented, but is planned to be included in future versions.

Package: chado-utils
Description-md5: 1fd13458637258a545ef6a7e3e3e8993
Description-en: tools to add/extract data from Chado
 This package contains several tools that can be used to
 extract data from Chado database or prepare data before upload.
 It is a subset above the Chado database schema and libraries.
 .
 Chado is a relational database schema that underlies many GMOD
 installations. It is capable of representing many of the general
 classes of data frequently encountered in modern biology such as
 sequence, sequence comparisons, phenotypes, genotypes, ontologies,
 publications, and phylogeny.

Package: chafa
Description-md5: 22a0cde9e8437e61d5167ec916682939
Description-en: Image-to-text converter supporting a wide range of symbols, etc.
 Chafa is a command-line utility that converts all kinds of images, including
 animated image formats like GIFs, into ANSI/Unicode character output that can
 be displayed in a terminal.
 .
 It is highly configurable, with support for alpha transparency and multiple
 color modes and color spaces, combining a range of Unicode characters for
 optimal output.
 .
 The core functionality is provided by a C library with a public,
 well-documented API.
 .
 This package ships the command line tool.

Package: chai
Description-md5: 8c1690565f2cb6e8137d1fc0a4726b49
Description-en: assertion library for Node.js
 Chai is a BDD / TDD assertion library for Node.js and the
 browser that can be delightfully paired with any javascript testing framework.
 This library is test framework agnostic.
 .
 Test-driven development (TDD) is a software development process that relies
 on the repetition of a very short development cycle: requirements
 are turned into very specific test cases, then the software is improved
 to pass the new tests
 .
 BDD of Behavior-Driven Development is an extension of test-driven
 development that makes use of a simple, domain-specific scripting
 language (DSL). These DSLs convert structured natural language statements
 into executable tests.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: chake
Description-md5: 3c2d792cffa5f681193673053b15aafa
Description-en: serverless configuration management tool for chef
 chake allows one to manage a number of hosts via SSH by combining chef (solo)
 and rake. It doesn't require a chef server; all you need is a workstation from
 where you can SSH into all your hosts. chake automates copying the
 configuration management repository to the target host (including managing
 encrypted files), running chef on them, and arbitraty commands on the hosts.

Package: chaksem
Description-md5: 2a20f02adbf4e6f313226447dbeffb09
Description-en: LaTeX class for presentations
 chaksem is a LaTeX2e class for slides.  Based on seminar, it adds
 support for running footers as well as itemised and numbered lists,
 with a layout that fits nicely to the sans serif font used for text.
 There is support for overlays, which includes the ability to accumulate
 text and images on the slides for online presentations.  Printable
 lecture notes with collapsed overlays are also able to be generated from
 this class.

Package: chalow
Description-md5: a91a6eaf852e4bca0f81d2d955f948b2
Description-en: weblog tool that converts ChangeLog to HTML
 chalow (CHAngeLog On the Web) is a weblog tool written in Perl.
 It converts ChangeLog to HTML and RSS.
 .
 chalow supports themes of design templates for tDiary weblog system.
 To use the themes of tDiary, install the tdiary-theme package.

Package: chameleon-cursor-theme
Description-md5: a5eb9460bc93797e4afd45f75f88f747
Description-en: modern but not gaudy X11 mouse theme
 Package comes with 21 different mouse themes for X11.
 7 colors (anthracite, skyblue, darkskyblue, white, pearl, mint, purple)
 3 different sizes (small, regular and large)
 .
 Preview: https://www.gnome-look.org/content/show.php?content=38459
 .
 Warning: these pointers make some cats scratch the screen.  In such case,
 you'd be safer with a theme without a pseudo-3D look.

Package: changeme
Description-md5: 8e4e9007817c3593c4e68ce4cabd2544
Description-en: Default credential scanner
 This package contains a default credential scanner. Commercial vulnerability
 scanners miss common default credentials. Getting default credentials added to
 commercial scanners is often difficult and slow. changeme is designed to be
 simple to add new credentials without having to write any code or modules.
 .
 changeme keeps credential data separate from code. All credentials are stored
 in yaml files so they can be both easily read by humans and processed by
 changeme. Credential files can be created by using the ./changeme.py --mkcred
 tool and answering a few questions.
 .
 changeme supports the http/https, MSSQL, MySQL, Postgres, ssh and ssh w/key
 protocols. Use ./changeme.py --dump to output all of the currently available
 credentials.

Package: changeo
Description-md5: f7df938dee11ca49f4c4cdf5a450df24
Description-en: Repertoire clonal assignment toolkit (Python 3)
 Change-O is a collection of tools for processing the output of V(D)J
 alignment tools, assigning clonal clusters to immunoglobulin (Ig)
 sequences, and reconstructing germline sequences.
 .
 Dramatic improvements in high-throughput sequencing technologies now
 enable large-scale characterization of Ig repertoires, defined as the
 collection of trans-membrane antigen-receptor proteins located on the
 surface of B cells and T cells. Change-O is a suite of utilities to
 facilitate advanced analysis of Ig and TCR sequences following germline
 segment assignment. Change-O handles output from IMGT/HighV-QUEST
 and IgBLAST, and provides a wide variety of clustering methods for
 assigning clonal groups to Ig sequences. Record sorting, grouping,
 and various database manipulation operations are also included.
 .
 This package installs the library for Python 3.

Package: changetrack
Description-md5: b8416f0b28829435263901d7b90422bb
Description-en: monitor changes to (configuration) files
 changetrack automatically monitors changes to a set of files. If the files
 are modified one day and the machine stops working correctly some days later,
 changetrack will provide information on which files were modified and thus
 help locate the problem.
 .
 If you tell changetrack to use either the line editor ed (the default) or The
 GNU Revision Control System (RCS), you can recover files to any previous stage.
 If you do not want to install Perl, try out the filetraq or diffmon package.
 .
 changetrack is a filesystem watch, similar to etckeeper.

Package: chaosread
Description-md5: 650c4623a74ba49b8ca560222f08fe0b
Description-en: Directly read ChaosKey noise source
 ChaosKey provides a whitened source which the kernel driver uses as
 the source of random numbers. It also provides access to the raw
 noise source as a separate USB endpoint. ChaosRead provides access to
 that raw endpoint.

Package: chaosreader
Description-md5: 49832fd20f39ed1c5379dfd9c0b6e488
Description-en: trace network sessions and export it to html format
 Chaosreader traces TCP/UDP/others sessions and fetches application data from
 snoop or tcpdump logs (or other libpcap compatible programs). This is a type
 of "any-snarf" program, as it will fetch telnet sessions, FTP files, HTTP
 transfers (HTML, GIF, JPEG etc) and SMTP emails from the captured data inside
 network traffic logs. A html index file is created to that links to all the
 session details, including realtime replay programs for telnet, rlogin, IRC,
 X11 and VNC sessions. Chaosreader reports such as image reports and HTTP
 GET/POST content reports.
 .
 It also creates replay programs for telnet sessions, so that you can play
 them back in realtime (or even different speeds).
 .
 Chaosreader can also run in standalone mode, where it invokes tcpdump or
 snoop (a similar to tcpdump program for Solaris) to create the log files
 and then processes them.
 .
 This package is useful for forensics investigations and for network traffic
 analysis.

Package: charactermanaj
Description-md5: f06a93aebb47da273ed581c6be268a89
Description-en: avatar editor application
 Charactermanaj is an application to create a single image by superimposing
 multiple selected images. It is able to design the avatar by different
 dresses and different parts by layering them, but not limited to it.
 In order to run charactermanaj you need to have some images first.

Package: charliecloud
Description-md5: e8b0c88a03e40f5fe26037143704e8a3
Description-en: user-defined software stacks (UDSS) for HPC centers
 Charliecloud provides user-defined software stacks (UDSS) (aka containers)
 for high-performance computing (HPC) centers. This "bring your own software
 stack" functionality addresses needs such as: software dependencies that are
 numerous, complex, unusual, differently configured, or simply newer/older
 than what the center provides; build-time requirements unavailable within
 the center, such as relatively unfettered internet access; validated
 software stacks and configuration to meet the standards of a particular
 field of inquiry; portability of environments between resources, including
 workstations and other test and development system not managed by the
 center; consistent environments, even archivally so, that can be easily,
 reliabily, and verifiably reproduced in the future; and/or usability and
 comprehensibility.

Package: charliecloud-doc
Description-md5: 0e91f6ba355ba1bb236347474df2ccb1
Description-en: user-defined software stacks (UDSS) for HPC centers (documentation)
 Charliecloud provides user-defined software stacks (UDSS) (aka containers)
 for high-performance computing (HPC) centers. This "bring your own software
 stack" functionality addresses needs such as: software dependencies that are
 numerous, complex, unusual, differently configured, or simply newer/older
 than what the center provides; build-time requirements unavailable within
 the center, such as relatively unfettered internet access; validated
 software stacks and configuration to meet the standards of a particular
 field of inquiry; portability of environments between resources, including
 workstations and other test and development system not managed by the
 center; consistent environments, even archivally so, that can be easily,
 reliabily, and verifiably reproduced in the future; and/or usability and
 comprehensibility.
 .
 This package provides documentation files for CharlieCloud.

Package: charmap.app
Description-md5: 4136c67e287c0c7fdb2a2d059a6ab08d
Description-en: Character map for GNUstep
 This is a character map. It is developed using the GNUstep development
 environment (www.gnustep.org) and is meant to contribute to GNUstep's promise
 towards a desktop environment.
 .
 Charmap offers font selection, allowing one to easily see all the
 glyphs which a particular font offers.

Package: charmap.app-common
Description-md5: bd80fd4e79b2fa8cbe031939d26a0af1
Description-en: Character map for GNUstep (arch-independent files)
 Charmap is a character map for GNUstep.  It offers font selection,
 allowing one to easily see all the glyphs which a particular font
 provides.
 .
 This package contains the architecture-independent files.

Package: charmtimetracker
Description-md5: f3e05a4d7ec14a0121500587bf010253
Description-en: task based Time Tracker
 It is built around two major ideas - tasks and events.
 Tasks are the things time is spend on, repeatedly. Tasks
 are done in events.
 .
 For example, ironing laundry is a task. The laundry done
 for two hours on last Tuesday is an event in that task.

Package: charon-cmd
Description-md5: 80fd42eb6fb38dab592c2f0b87243623
Description-en: standalone IPsec client
 The strongSwan VPN suite uses the native IPsec stack in the standard
 Linux kernel. It supports both the IKEv1 and IKEv2 protocols.
 .
 This package contains the charon-cmd command, which can be used as a client to
 connect to a remote IKE daemon.

Package: charon-systemd
Description-md5: aa65f07fc27272b7f25aed8a94e96770
Description-en: strongSwan IPsec client, systemd support
 The strongSwan VPN suite uses the native IPsec stack in the standard
 Linux kernel. It supports both the IKEv1 and IKEv2 protocols.
 .
 This package contains the charon-systemd files.

Package: charybdis
Description-md5: 94654d158322b3a3c5285ff6ad0e272a
Description-en: fast, scalable irc server
 Charybdis is a highly scalable IRC server which presently implements
 the IRCv3.1 client protocol with some additional components of
 IRCv3.2. Development of charybdis began in 2005 as a proposed
 replacement to freenode’s hyperion ircd, designed for use by any
 network, with roots in ircd-ratbox and ircu. Over time, charybdis has
 developed, tested and demonstrated many of the features commonly seen
 in modern IRC networks.
 .
 Interesting features that Charybdis has include:
 .
  * SASL support — charybdis was the first IRC server to implement SASL
    support, resulting in the IRCv3 SASL standards.
  * DNS blocklist support
  * Augmented banlist criteria through “extbans”
  * Channel forwarding (channel mode +f)
  * Optional hostname/IP cloaking (through modules, either usermode +h or
    +x)
  * Colorcode stripping (channel mode +c)
  * CALLERID (user mode +g) with automatic accept when you send a PM
  * TLS encrypted client and server connections
  * Multi-process sandbox architecture for enhanced security, scalability
    and robustness
  * Easy to understand configuration
  * A complete user and operator’s manual
 .
 Many of the largest networks in the world use charybdis-based IRC
 servers, including freenode, EsperNet, DarkMyst and others, because
 of it’s proven scalability, security and robustness track records

Package: chase
Description-md5: 2f0129cda6030977f4ac0694e97f61fb
Description-en: Follow a symlink and print out its target file
 Chase is a small utility for tracking down the actual
 file that a symbolic link points to - chasing the symlink,
 if you will.  The result of a successful run is guaranteed
 to be an existing file which is not a symbolic link.

Package: chasen
Description-md5: 3c95c0e5f7bf70faed36ef31e6bf228b
Description-en: Japanese Morphological Analysis System
 ChaSen is a morphological analysis system. It can segment and
 tokenize Japanese text string, and can output with many additional
 information (pronunciation, semantic information, and others).
 .
 It will print the result of such an operation to the standard output,
 so that it can be either written to a file or further processed.

Package: chasen-dictutils
Description-md5: 81093a661a0a0968b589cf2c7b141aa8
Description-en: Japanese Morphological Analysis System - utilities for dictionary
 ChaSen is a morphological analysis system. It can segment and
 tokenize Japanese text string, and can output with many additional
 information (pronunciation, semantic information, and others).
 .
 This package contains dictionary utilities for ChaSen.

Package: chasquid
Description-md5: c0fb2e26766e3f3e6bae1791a66dd482
Description-en: simple SMTP (email) server written in go
 chasquid is an SMTP (email) server with a focus on simplicity, security, and
 ease of operation.
 .
 It's written in Go, and is open source under the Apache license 2.0.
 .
 It is currently in beta: it's functional and has had some production exposure,
 but some things may still change in backwards-incompatible ways, including the
 configuration format. It should be rare and will be avoided if possible.

Package: chaussette
Description-md5: aaedfdd6dfb7ae62e660868827c80c72
Description-en: WSGI Server for Circus
 Chaussette is a WSGI server you can use to run your Python WSGI applications.
 .
 The particularity of Chaussette is that it can either bind a socket on a port
 like any other server does or run against already opened sockets.
 .
 That makes Chaussette the best companion to run a WSGI or Django stack under
 a process and socket manager, such as Circus or Supervisor.

Package: check
Description-md5: a51fdfa929f98fb924854b88bd42548c
Description-en: unit test framework for C
 Check features a simple interface for defining unit tests, putting
 little in the way of the developer. Tests are run in a separate
 address space, so Check can catch both assertion failures and code
 errors that cause segmentation faults or other signals. The output
 from unit tests can be used within source code editors and IDEs.

Package: check-all-the-things
Description-md5: 9975c1f614e1aed789d15330df19e391
Description-en: check all of the things!
 This package will help you check all of the things.
 .
 This package is aimed at checking things that are
 related to packaging and software development.
 .
 To find out what kind of things it checks, take a
 look at the package recommends and data directory.
 .
 WARNING: At this time it is probably not suitable
 for running against untrusted directories.
 .
 WARNING: since it checks so many things the output
 can be very verbose so don't use it if you don't
 have time to go through the output to find problems.
 It is not for the busy, lazy or noise intolerant.

Package: check-manifest
Description-md5: c51d954135c8eb3c388f6e04868b97be
Description-en: Tool to check the completeness of MANIFEST.in for Python packages (Python 3)
 The check-manifest tool performs a check on a MANIFEST.in file
 from a Python project and verifies its completeness.  It can also
 create a MANIFEST.in file from scratch or append suggestions to it,
 and the user can also ignore certain patterns if needed.

Package: check-pgactivity
Description-md5: 258b0a1cb6dece43ce441ecde2a4dfda
Description-en: PostgreSQL plugin for Nagios
 check_pgactivity is a Nagios probe dedicated to PostgreSQL. It offers many
 options to measure and monitor useful performance metrics.
 .
 Services include (among others) monitoring of autovacuum, bgwriter, locks,
 long queries, idle in transaction queries, number of temporary files and WAL
 files, bloat of tables and btree indexes, commit and hit ratios,
 WAL archives exhaustivity, age of logical backups, backends number and states,
 backup label file age, connectivity, replication delay between primary and
 secondary instances, database sizes, vacuum and analyze times,
 sequence exhaustion, snapshots age, presence of unlogged tables or invalid
 indexes, incoming freeze, settings changes, PostgreSQL minor version,
 PGDATA rights, custom queries.
 .
 Most services only need normal user rights.
 .
 All versions of PostgreSQL are supported from 7.4 to 10 (and partially 11).

Package: check-postgres
Description-md5: 70e0f8840b281fd43a4e56d4d6a1cfed
Description-en: script for monitoring PostgreSQL databases
 check_postgres is a Perl script that runs many different tests
 against one or more Postgres databases.  It uses the psql program to
 gather the information, and outputs the results in one of three
 formats: Nagios, MRTG, or simple.

Package: checkbot
Description-md5: 8ca966a28523ef22aff1bf9086f333f1
Description-en: WWW link verifier
 Checkbot verifies links within a region of the World Wide Web. It
 checks all pages within an identified region, and all links within
 that region. After checking all links within the region, it will also
 check all links which point outside of the region, and then stop.

Package: checkinstall
Description-md5: 65a8e9c4837c96312620e0e3daa0cd3f
Description-en: installation tracker
 CheckInstall keeps track of all the files created or
 modified by your installation script ("make install"
 "make install_modules", "setup", etc), builds a
 standard binary package and installs it in your
 system giving you the ability to uninstall it with your
 distribution's standard package management utilities.

Package: checkit-tiff
Description-md5: f1077d43c7869fa9ff00ddd1f99e6696
Description-en: conformance checker for baseline TIFFs
 "checkit_tiff" is a conformance checker for baseline TIFFs (with various
 extensions). It works in different way than other tools. Instead to use
 a hardcoded rule set with predefined values, you are able to define tags
 and corresponding values more fine granulary. The rulesets are human
 readable and could be adapted to own needs.
 .
 The "checkit_tiff" works on commandline and therefore it is embedable
 in scripts
 .
 The tools are developed for purposes of curation and longterm
 preservation

Package: checkpolicy
Description-md5: 863da67d6724107de7579bcd3c30b3db
Description-en: SELinux policy compiler
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access
 Control, and Multi-level Security.
 .
 This package contains checkpolicy, the SELinux policy compiler.
 Only required for building policies.  It uses libsepol to generate
 the binary policy.  checkpolicy uses the static libsepol since it
 deals with low level details of the policy that have not been
 encapsulated/abstracted by a proper shared library interface.

Package: checkpw
Description-md5: 31447975786615161e84f9a118474060
Description-en: checks password which is stored in ~/Maildir/.password
 checkpw is an implementation of the checkpassword interface that checks a
 password against a ``.password'' file in the user's Maildir. The password
 file has read and write permissions to the user only.
 .
 The code is in the public domain.
 .
 The original checkpassword program and interface specification is written
 by D. J. Bernstein:
 http://cr.yp.to/checkpwd.html

Package: checksec
Description-md5: a97047856b15e3cdb277f4df737b523f
Description-en: Bash script to test executable properties
 Modern Linux distributions offer some mitigation techniques to make it harder
 to exploit software vulnerabilities reliably. Mitigations such as RELRO,
 NoExecute (NX), Stack Canaries, Address Space Layout Randomization (ASLR) and
 Position Independent Executables (PIE) have made reliably exploiting any
 vulnerabilities that do exist far more challenging. The checksec.sh script is
 designed to test what standard Linux OS and PaX security features are being
 used.

Package: checkstyle
Description-md5: de3cc011f60c846fd1ee6020300ba246
Description-en: checks Java source against a coding standard
 Checkstyle is a development tool to help programmers write Java code that
 adheres to a coding standard. It automates the process of checking Java
 code to spare humans of this boring (but important) task. This makes it
 ideal for projects that want to enforce a coding standard.
 .
 Checkstyle is highly configurable and can be made to support almost any
 coding standard. An example configuration file is supplied supporting the
 Sun Code Conventions.

Package: checkstyle-doc
Description-md5: bab49bc473fefc35dcd1bbd91b098568
Description-en: Documentation for Checkstyle
 Checkstyle is a development tool to help programmers write Java code that
 adheres to a coding standard. It automates the process of checking Java
 code to spare humans of this boring (but important) task. This makes it
 ideal for projects that want to enforce a coding standard.
 .
 Checkstyle is highly configurable and can be made to support almost any
 coding standard. An example configuration file is supplied supporting the
 Sun Code Conventions.
 .
 This package contains the Javadoc files and some examples to illustrate
 how use the Checkstyle tool.

Package: cheesecutter
Description-md5: 70b103adfabdd56799b40444e25c76dc
Description-en: SID music editor
 This is a tracker program for composing music for the SID chip. It uses the
 reSID engine for SID emulation. The packed files can be played on a real C64.

Package: chef
Description-md5: 098a9d576a0343601f43d595b54cea80
Description-en: systems integration framework - clients
 Chef is a systems integration framework and configuration management library
 written in Ruby. Chef provides a Ruby library and API that can be used to
 bring the benefits of configuration management to an entire infrastructure.
 .
 Chef can be run as a client (chef-client) to a server, or run as a standalone
 tool (chef-solo). Configuration recipes are written in a pure Ruby DSL.
 .
 This package provides the chef-client, chef-solo, and knife binaries as well
 as the Chef library.

Package: chef-bin
Description-md5: 6183b060a85847eaedb53580c9fb7c69
Description-en: Chef binaries
 Chef is a systems integration framework and configuration management library
 written in Ruby. Chef provides a Ruby library and API that can be used to
 bring the benefits of configuration management to an entire infrastructure.
 .
 This package provides the chef-* programs.

Package: chef-zero
Description-md5: d76e6629a90366297c6a425c4fd1a3a9
Description-en: in-memory Chef server (for testing and solo purposes)
 Chef is a systems integration framework and configuration management library
 written in Ruby.
 Chef-zero is a self-contained, easy-setup, fast-start in-memory Chef server
 for testing and solo setup purposes.

Package: chemeq
Description-md5: 6bfb1cf1fa225245d561cb2a73745b32
Description-en: Parser for chemical formula and equilibria
 chemeq is a basic standalone filter written in C language,
 flex and bison. It inputs strings like:
  2H2 + O2 ---> 2 H2O
 then it outputs LaTeX code and messages about the equilibrium of a
 chemical reaction.
 .
  example:~/src$ echo "2H2 + O2 ---> 2 H2O" | chemeq -lc
  2\,H_{2}\,+\,O_{2}\,\rightarrow\,2\,H_{2}O
  OK

Package: chemical-mime-data
Description-md5: 8a873ed1eafb6c2265d72cec24a7b3fb
Description-en: chemical MIME and file type support for desktops
 The chemical media type and various subtypes have been proposed by
 Henry Rzepa, Peter-Murray Rust and Benjamin Whitaker in 1996 as an
 addition to the existing MIME types. The proposal didn't succeed
 but various applications make use of these MIME/file types. This
 package adds support to Linux desktops to detect and recognize
 files of the chemical/* media type.
 .
 See also for http://www.ch.ic.ac.uk/chemime/.

Package: chemical-structures
Description-md5: 3c08e566ee8f4154a1e45edc30fc4a0a
Description-en: set of molecular structures in open formats
 hundreds of molecular structures, in the following classes: alcohols,
 aldehydes, alkanes, alkenes, amides, amines, amino_acids, aromatics,
 carboxylic_acids, esters, ethers, fatty_acids, haloalkanes, ketones,
 nitriles,nucleobases, water.

Package: chemps2
Description-md5: d6f0f6452f15513ebee6432ebcb64aea
Description-en: Executable to call libchemps2-3 from the command line
 chemps2 is a scientific library which contains a spin-adapted
 implementation of the density matrix renormalization group (DMRG)
 for ab initio quantum chemistry. This wavefunction method allows one
 to obtain numerical accuracy in active spaces beyond the capabilities
 of full configuration interaction (FCI), and allows one to extract
 the 2-, 3-, and 4-particle reduced density matrices (2-, 3- and 4-RDM)
 of the active space.
 .
 For general active spaces up to 40 electrons in 40 orbitals can be
 handled with DMRG, and for one-dimensional active spaces up to 100
 electrons in 100 orbitals. The 2-RDM of these active spaces can
 also be easily extracted, while the 3- and 4-RDM are limited to
 about 28 orbitals.
 .
 When the active space size becomes prohibitively expensive for FCI,
 DMRG can be used to replace the FCI solver in the complete active
 space self consistent field (CASSCF) method and the corresponding
 complete active space second order perturbation theory (CASPT2).
 The corresponding methods are called DMRG-SCF and DMRG-CASPT2,
 respectively. For DMRG-SCF the active space 2-RDM is required, and
 for DMRG-CASPT2 the active space 4-RDM.
 .
 This package installs the executable which parses Hamiltonians in
 fcidump format, performs DMRG-SCF and DMRG-CASPT2 calculations as
 specified by the user.

Package: chemps2-doc
Description-md5: 5cdf20d2d8a1dd9c0307f9479bfb8665
Description-en: Documentation of the libchemps2-3 package
 chemps2 is a scientific library which contains a spin-adapted
 implementation of the density matrix renormalization group (DMRG)
 for ab initio quantum chemistry. This wavefunction method allows one
 to obtain numerical accuracy in active spaces beyond the capabilities
 of full configuration interaction (FCI), and allows one to extract
 the 2-, 3-, and 4-particle reduced density matrices (2-, 3- and 4-RDM)
 of the active space.
 .
 For general active spaces up to 40 electrons in 40 orbitals can be
 handled with DMRG, and for one-dimensional active spaces up to 100
 electrons in 100 orbitals. The 2-RDM of these active spaces can
 also be easily extracted, while the 3- and 4-RDM are limited to
 about 28 orbitals.
 .
 When the active space size becomes prohibitively expensive for FCI,
 DMRG can be used to replace the FCI solver in the complete active
 space self consistent field (CASSCF) method and the corresponding
 complete active space second order perturbation theory (CASPT2).
 The corresponding methods are called DMRG-SCF and DMRG-CASPT2,
 respectively. For DMRG-SCF the active space 2-RDM is required, and
 for DMRG-CASPT2 the active space 4-RDM.
 .
 This is the common documentation package.

Package: chemtool
Description-md5: b024ce1fbf60f9454170cdff7154f986
Description-en: chemical structures drawing program
 Chemtool is a GTK+ based 2D chemical structure editor for X11. It
 supports many bond styles, most forms of text needed for chemical
 typesetting and splines/arcs/curved arrows.
 .
 Drawings can be exported to MOL and PDB format, SVG or XFig format for
 further annotation, as a PiCTeX drawing, as a bitmap or as Postscript
 files (several of these through XFig's companion program fig2dev).
 .
 The package also contains a helper program, cht, to calculate sum
 formula and (exact) molecular weight from a chemtool drawing file. Cht
 can either be called directly by Chemtool or on the console.

Package: chess.app
Description-md5: 7bb088817c4723a76f8fb415612e00bc
Description-en: Chess for GNUstep ported from OPENSTEP
 This is a Chess frontend for GNU chess.

Package: chessx
Description-md5: 8c4d05e5acdf30ecf19089eb87f1a97b
Description-en: chess database
 With ChessX you can operate your collection of chess games in many ways:
 browse, edit, add, organize, analyze, etc.
 .
 Current features:
  - Multi-platform. Supports Windows, Linux and Mac OS X.
  - Load and save PGN files.
  - Work with multiple databases simultaneously.
  - Browse games, including variations.
  - Enter moves, variations and comments.
  - Setup board, copy/paste FEN.
  - Search header (click on columns in game list header).
  - Display opening tree for the current position.
  - Analyze using UCI and Winboard/XBoard chess engines.
  - Observe and play games on FICS.

Package: chewing-editor
Description-md5: 650544df0935b3bd4d990e488d237901
Description-en: user dictionary editor for the chewing input method
 chewing-editor is a cross platform user dictionary editor for the chewing
 input method. It provides an easy way to customize user dictionary so that
 chewing input method can take advantage of the user dictionary and provide a
 better input experience.

Package: chewmail
Description-md5: 8a41c68341878d09fd4cc6070dbd0e28
Description-en: mail archiver for various mailbox formats
 chewmail is a Perl-based mail archiver. It uses Mail::Box so supports
 any mailbox format it does. It can filter messages into mbox's based
 on the message's date and other criteria.

Package: chezdav
Description-md5: 9b37149951cc26428a16c18becdc9405
Description-en: simple tool to share a directory with WebDAV
 phởdav is a WebDAV server implementation using libsoup (RFC 4918).
 .
 This package contains a simple tool to share a directory with WebDAV. The
 service is announced over mDNS for clients to discover.

Package: chezscheme
Description-md5: c5d5d115962268032951ab86308c08a7
Description-en: Reliable, high performance Scheme compiler
 Chez Scheme is both a programming language and an implementation of
 that language, with supporting tools and documentation.
 .
 As a superset of the language described in the Revised^6 Report on
 the Algorithmic Language Scheme (R6RS), Chez Scheme supports all
 standard features of Scheme, including first-class procedures, proper
 treatment of tail calls, continuations, user-defined records,
 libraries, exceptions, and hygienic macro expansion.
 .
 Chez Scheme also includes extensive support for interfacing with C
 and other languages, support for multiple threads possibly running on
 multiple cores, non-blocking I/O, and many other features.
 .
 This package depends on the latest Chez Scheme version.

Package: chezscheme9.5
Description-md5: 68ad2b9fb924e295f504178aebece472
Description-en: Reliable, high performance Scheme compiler (version 9.5)
 Chez Scheme is both a programming language and an implementation of
 that language, with supporting tools and documentation.
 .
 This package contains the executables and the boot files.

Package: chezscheme9.5-dev
Description-md5: 7ce701968c2ba2f60e9ed8727bf30686
Description-en: Reliable, high performance Scheme compiler (C development files)
 Chez Scheme is both a programming language and an implementation of
 that language, with supporting tools and documentation.
 .
 This package contains the runtime kernel and C headers.

Package: chezscheme9.5-doc
Description-md5: e9590dca3cc741e47b39b840b435de00
Description-en: Reliable, high performance Scheme compiler (documentation)
 Chez Scheme is both a programming language and an implementation of
 that language, with supporting tools and documentation.
 .
 This package contains the documentation.

Package: chiark-backup
Description-md5: 8f73a9c68de80c205bffa6bb46cde061
Description-en: backup system for small systems and networks
 These are the backup scripts used by chiark.greenend.org.uk and other
 systems belonging to the Sinister Greenend Organisation.  Features:
  * Suitable for single systems and small networks.
  * Reasonably simple; they do what you tell it to.
  * Hard failures when individual systems fail, to encourage fixing !
 If you have a larger site you may wish to look at Amanda.

Package: chiark-really
Description-md5: 9709e7755f9784c63272a8109e99e67b
Description-en: really - a tool for gaining privilege (simple, realistic sudo)
 really is a program that allows certain users to become whatever user
 they like on request.  It is a bit like sudo in that respect.
 However, really is simpler than sudo, and doesn't give the system
 administrator any false security promises.  So really is less of a
 general security risk to the system.
 .
 Unlike sudo it does not pretend that the called account can be any
 more secure than the calling account, so there is never a need for a
 password.  If you wanted to restrict which commands and functions the
 called user can perform, use userv, not really or sudo.
 .
 Also unlike sudo, really only works if the calling user is supposed
 to be equivalent to root.  But, really can also be used by
 root-equivalent users to become any user, not just root; in this way
 it can be a replacement for certain uses of su.

Package: chiark-rwbuffer
Description-md5: 4f79465741ec8b18804b213a7948ac8d
Description-en: readbuffer/writebuffer: prevents tape drive seesawing, etc.
 readbuffer and writebuffer: programs for reading input from devices,
 and writing output to, which don't like constant stopping and
 starting, such as tape drives and audio playback devices.

Package: chiark-scripts
Description-md5: bcfa2a9ab39dcbb07b920f22470f16fa
Description-en: chiark system administration scripts
 This package contains a number of small administration scripts used
 by chiark.greenend.org.uk and other systems belonging to the Sinister
 Greenend Organisation.  Featuring:
 .
 fishdescriptor: a tool for extracting a file descriptor from
 another (non-cooperating) process and giving it to you (or
 for examining it).  Requires gdb and python3.
 .
 chiark-named-conf: a tool for managing nameserver configurations
 and checking for suspected DNS problems.  Its main functions are to
 check that delegations are appropriate and working, that secondary
 zones are slaved from the right places, and to generate a
 configuration for BIND, from its own input file.
 .
 sync-accounts: a simple but flexible account info synchroniser.
 sync-accounts is a tool for copying un*x account data from remote
 systems and installing it locally.  It is flexible and reasonably
 straightforward, but lacks integration with other distributed
 databases such as NIS.
 .
 cvs-repomove and cvs-adjustroot: tools for moving CVS repositories
 and adjusting working trees.
 .
 palm-datebook-reminders: a program which emails mails you reminders
 about the appointments in your Palm's Datebook.
 .
 cvsweb-list: cgi program to list ucgi (userv-utils) cvsweb repos
 .
 expire-iso8601: keep or expire backup trees named after their dates
 .
 gnucap2genspic, ngspice2genspic, genspic2gnuplot: convert gnucap
 files and ngspice output files to genspic and genspic files to
 gnuplot input so they can be plotted.
 .
 hexterm: connects to serial port and allows the user interact in
 ASCII and hex.  Ie, a hex "terminal" program which lets you speak a
 serial port protocol directly.  (Needs tcl8.4 to be installed.)
 .
 git-branchmove, random-word, remountresizereiserfs,
 summarise-mailbox-preserving-privacy

Package: chiark-utils-bin
Description-md5: cde627f9ed89648809643e1b5eed571c
Description-en: chiark system administration utilities
 This package contains a number of small administration scripts used
 by chiark.greenend.org.uk and other systems belonging to the Sinister
 Greenend Organisation.  Currently featuring only:
 .
 with-lock-ex: a simple tool for acquiring a lockfile before running
 another program or script.
 .
 summer: a tool for reporting complete details about a filesystem tree
 in a parseable format, including checksums.
 .
 xbatmon-simple: a very simple X client for displaying battery
 charge status.
 .
 xduplic-copier: a very simple X client for typing into multiple windows
 at once.
 .
 watershed: a utility for saving on superfluous executions of an
 idempotent command.  (This is the same utility as shipped separately
 in Ubuntu's udev, but with slightly different defaults and a
 different install location.)
 .
 rcopy-repeatedly: a utility for repeatedly copying a file from one
 host to another, to keep a copy constantly up to date.
 .
 acctdump: for reading process accounting files.
 .
 summer and watershed require the installation of the Recommended
 crypto libraries; xbatmon-simple needs the Suggested X libraries.
 .
 cgi-fcgi-interp: for use with cgi-fcgi as a #! interpreter

Package: chicken-bin
Description-md5: a2b8f17a423d7f435cd562848391bee4
Description-en: Practical and portable Scheme system - compiler
 CHICKEN is a Scheme compiler which compiles a subset of R5RS into C.
 It uses the ideas presented in Baker's paper "Cheney on the MTA", and
 has a small core and is easily extendable.
 .
 This package contains the compiler.

Package: childsplay
Description-md5: 533ca128a3e56e3c9a4bdc02cc6fc64e
Description-en: Suite of educational games for young children
 Childsplay provides memory activities that are fun to play and at the same
 time learn sounds, images, letters and numbers; activities that train the
 child to use the mouse and keyboard and pure game activities like puzzles,
 pong, pacman and billiards.

Package: chimeraslayer
Description-md5: bf434653c4fd71a825161211bb8cbd8e
Description-en: detects likely chimeras in PCR amplified DNA
 ChimeraSlayer is a chimeric sequence detection utility, compatible with
 near-full length Sanger sequences and shorter 454-FLX sequences (~500bp).
 .
 Chimera Slayer involves the following series of steps that operate to
 flag chimeric 16S rRNA sequences:
 .
  1. the ends of a query sequence are searched against an included
     database of reference chimera-free 16S sequences to identify potential
     parents of a chimera
  2. candidate parents of a chimera are selected as those that form a
     branched best scoring alignment to the NAST-formatted query sequence
  3. the NAST alignment of the query sequence is improved in a
     ‘chimera-aware’ profile-based NAST realignment to the selected
     reference parent sequences
  4. an evolutionary framework is used to flag query sequences found to
     exhibit greater sequence homology to an in silico chimera formed
     between any two of the selected reference parent sequences.
 .
 To run Chimera Slayer, you need NAST-formatted sequences generated by
 the nast-ier utility.
 .
 ChimeraSlayer is part of the microbiomeutil suite.

Package: chipmunk-dev
Description-md5: 3961251694fe4e672502876ff2815c7a
Description-en: Fast and lightweight 2D rigid body physics library - devel
 Chipmunk is a simple, lightweight, fast and portable 2D rigid body physics
 library written in C. It's licensed under the unrestrictive, OSI approved
 MIT license. Its aim is to give 2D developers access the same quality of
 physics you find in newer 3D games.
 .
 This package contains the development libraries and headers.

Package: chise-db
Description-md5: 31469277cd1020121087b0b316296f5d
Description-en: CHISE db, the character information database
 The CHISE (CHaracter Information Service Environment) project
 attempts to collect and organize into a Knowledge-Base information
 about characters in the scripts of the world.
 This package provides the database itself.  Please use this with
 libchise, the C language binding of CHISE database.

Package: chkboot
Description-md5: cfa9f8ad81eb8c6f57b408d11439d3e4
Description-en: detection of malicious changes for boot files
 chkboot is a set of scripts that will display a notification (console or
 graphical) when boot files are tempered with.
 .
 This tool is indented to be used on encrypted disks. In order to get the
 operating system to run, parts of the boot process must remains un-encrypted.
 chkboot checks that those files have not changed between reboots.
 .
 Since the scripts and the data they generate are stored on the encrypted part
 of the disk, any attempts to modify the boot partition between reboots will be
 detected.
 .
 Please note that this tool is not effective against rootkit that hides every
 boot files modifications or prevents chkboot from functioning properly.

Package: chkrootkit
Description-md5: 1fb422eb07ad1833888e688ab81e8df9
Description-en: rootkit detector
 The chkrootkit security scanner searches the local system for signs
 that it is infected with a 'rootkit'. Rootkits are set of programs
 and hacks designed to take control of a target machine by using known
 security flaws.
 .
 Types that chkrootkit can identify are listed on the project's home page.
 .
 Please note that where chkrootkit detects no intrusions, this does
 not guarantee that the system is uncompromised. In addition to
 running chkrootkit, more specific tests should always be performed.

Package: chkservice
Description-md5: 5ccbcb95ce29463be49ec0c903a7e220
Description-en: Tool for managing systemd units
 chkservice is an ncurses-based tool for managing systemd units from the
 terminal. It provides the user with a comprehensive view of all systemd
 services and allows them to be changed easily. chkservice requires superuser
 privileges to make unit state changes.

Package: chktex
Description-md5: 436e0e3a1b4f3382caaae822c79330c9
Description-en: Finds typographic errors in LaTeX
 ChkTeX finds typographic errors in LaTeX documents:
  * Supports over 40 warnings.
  * Supports ``\input'' command; both TeX and LaTeX version. Actually
    includes the files. ``TEXINPUTS''-equivalent search path.
  * Intelligent warning/error handling. The user may promote/mute
    warnings to suit his preferences. You may also mute warnings in
    the header of a file; thus killing much unwanted garbage.
  * Supports both LaTeX 2.09 and LaTeX2e.
  * Flexible output handling. Has some predefined formats and lets the
    user specify his own format. Uses a ``printf()'' similar syntax.
    ``lacheck'' compatible mode included for interfacing with the
    AUC-TeX Emacs mode.

Package: chntpw
Description-md5: 5caff50ce5da87721dc20b3c911d2784
Description-en: NT SAM password recovery utility
 This little program provides a way to view information and
 change user passwords in a Windows NT/2000 user database file.
 Old passwords need not be known since they are overwritten.
 In addition it also contains a simple registry editor
 (same size data writes) and an hex-editor which enables you to
 fiddle around with bits and bytes in the file as you wish.
 .
 If you want GNU/Linux bootdisks for offline password recovery
 you can add this utility to custom image disks or use those provided
 at the tools homepage.

Package: chocolate-doom
Description-md5: d31a8af48c86e30d7ee52da3a0fe2c93
Description-en: Doom engines closely-compatible with Vanilla Doom
 Chocolate Doom aims to accurately reproduce the original DOS version of
 Doom and other games based on the Doom engine in a form that can be
 run on modern computers. Unlike most modern Doom engines, Chocolate Doom
 is not derived from the Boom source port and does not inherit its
 features (or bugs).
 .
 This package contains:
  * Chocolate Doom, a port of Id Software's "Doom" (1993)
  * Chocolate Heretic, a port of Raven Software's "Heretic" (1994)
  * Chocolate Hexen, a port of Raven Software's "Hexen" (1995)
  * Chocolate Strife, a recreation of Rogue Entertainment's "Strife" (1996)
 .
 These games are designed to behave as similar to the original DOS version as
 is possible.
 .
 Chocolate Doom supports all flavors of Doom, including The Ultimate Doom, Doom
 2 and Final Doom as well as Chex(R) Quest, HACX and FreeDM.
 .
 All Chocolate game engines require game data to play. For Chocolate Doom,
 free game data is available in the freedm package. Commercial game data for
 all four engines can be packaged using "game-data-packager".

Package: choosewm
Description-md5: 5d4a8a3c642e6f0000954d0e0dd4bed2
Description-en: fake x-session-manager allowing the user to choose a wm
 When installed, this package installs a x-session-manager alternative
 allowing the user to choose the window manager. The list is taken
 by default from everything installing a window manager option in
 the Debian menu system, but the administrator or user can add or
 remove items via config files.

Package: choqok
Description-md5: bbc96ef6f2db31b2a8b47c49ee309e26
Description-en: KDE micro-blogging client
 Choqok is a fast, efficient and simple to use micro-blogging client for KDE.
 It currently supports the twitter.com and identi.ca microblogging services.
 .
 Other notable features include:
    * Support for user + friends time-lines.
    * Support for @Reply time-lines.
    * Support for sending and receiving direct messages.
    * Twitpic.com integration.
    * The ability to use multiple accounts simultaneously.
    * Support for search APIs for all services.
    * KWallet integration.
    * Support for automatic shortening urls with more than 30 characters.
    * Support for configuring status lists appearance.

Package: chordii
Description-md5: b6cdceea1722310c682c18470ecb1a28
Description-en: Text file (chordpro format) to music sheet converter
 chordii provides guitar players and other musicians with a tool to
 produce good looking, self-descriptive music sheets from text files.
 .
 chordii reads text files in chordpro format, containing the lyrics of
 songs, the chords to be played, their descriptions and some other
 optional data. It produces a PostScript document suitable for viewing
 and printing.

Package: chroma
Description-md5: 245c1c93018daf7274310b44ad6dd161
Description-en: general purpose syntax highlighter in pure Go (binaries)
 Chroma takes source code and other structured text and converts it into
 syntax highlighted HTML, ANSI-coloured text, etc.
 .
 Chroma is based heavily on Pygments (http://pygments.org/), and includes
 translators for Pygments lexers and styles.
 .
 This package contains the compiled chroma and chromad binaries.

Package: chrome-gnome-shell
Description-md5: 43946ac811b50863f04071b7486fc93f
Description-en: GNOME Shell extensions integration for web browsers
 Provides integration with GNOME Shell extensions repository for
 Chromium (and derivatives) and Firefox
 .
 This package provides the connector that talks with the browser
 extension

Package: chromhmm
Description-md5: 9f69005f0878f8c58d9c5cf8207d4d4b
Description-en: Chromatin state discovery and characterization
 ChromHMM is software for learning and characterizing chromatin states.
 ChromHMM can integrate multiple chromatin datasets such as ChIP-seq data of
 various histone modifications to discover de novo the major re-occuring
 combinatorial and spatial patterns of marks. ChromHMM is based on a
 multivariate Hidden Markov Model that explicitly models the presence or
 absence of each chromatin mark. The resulting model can then be used to
 systematically annotate a genome in one or more cell types. By automatically
 computing state enrichments for large-scale functional and annotation datasets
 ChromHMM facilitates the biological characterization of each state. ChromHMM
 also produces files with genome-wide maps of chromatin state annotations that
 can be directly visualized in a genome browser.

Package: chromhmm-example
Description-md5: 3572f4435730b38208e1cb26fe125d2f
Description-en: Chromatin state discovery and characterization (example)
 ChromHMM is software for learning and characterizing chromatin states.
 ChromHMM can integrate multiple chromatin datasets such as ChIP-seq data of
 various histone modifications to discover de novo the major re-occuring
 combinatorial and spatial patterns of marks. ChromHMM is based on a
 multivariate Hidden Markov Model that explicitly models the presence or
 absence of each chromatin mark. The resulting model can then be used to
 systematically annotate a genome in one or more cell types. By automatically
 computing state enrichments for large-scale functional and annotation datasets
 ChromHMM facilitates the biological characterization of each state. ChromHMM
 also produces files with genome-wide maps of chromatin state annotations that
 can be directly visualized in a genome browser.
 .
 This package provides example to work with ChromHMM.

Package: chromimpute
Description-md5: e3e50d55b8034f014bbadcc1b2d6ff71
Description-en: Large-scale systematic epigenome imputation
 ChromImpute takes an existing compendium of epigenomic data and uses it to
 predict signal tracks for mark-sample combinations not experimentally mapped
 or to generate a potentially more robust version of data sets that have been
 mapped experimentally. ChromImpute bases its predictions on features from
 signal tracks of other marks that have been mapped in the target sample and
 the target mark in other samples with these features combined using an
 ensemble of regression trees.

Package: chromium-browser
Description-md5: 9f69be0b9ae7f70c291004898fbebffb
Description-en: Transitional package - chromium-browser -> chromium snap
 This is a transitional dummy package. It can safely be removed.
 .
 chromium-browser is now replaced by the chromium snap.

Package: chromium-browser-l10n
Description-md5: d3f66ec347eff1110b3fc90d06b5af82
Description-en: Transitional package - chromium-browser-l10n -> chromium snap
 This is a transitional dummy package. It can safely be removed.
 .
 chromium-browser-l10n is now replaced by the chromium snap.

Package: chromium-bsu
Description-md5: bf03f80034119dd57df5e05f79692a37
Description-en: fast paced, arcade-style, scrolling space shooter
 Chromium is a top down fast paced high action scrolling space shooter.
 .
 In this game you are the captain of the cargo ship Chromium B.S.U. and
 are responsible for delivering supplies to the troops on the front line.
 Your ship has a small fleet of robotic fighters which you control from
 the relative safety of the Chromium vessel.

Package: chromium-bsu-data
Description-md5: 2276494fbb3ff1064f86fb55b001423c
Description-en: data pack for the Chromium B.S.U. game
 This package provides all the sounds, images, translations and
 documentation required for Chromium B.S.U., a fast paced high
 action scrolling space shooter. The sounds include menu music,
 in-game music and various sound effects. The images include ships,
 weapons and miscellaneous UI elements. The documentation includes
 a FAQ and a visual introduction to the game.

Package: chromium-chromedriver
Description-md5: eb8732d030bd6de55eaac40666d02553
Description-en: Transitional package - chromium-chromedriver -> chromium snap
 This is a transitional dummy package. It can safely be removed.
 .
 chromium-chromedriver is now replaced by the chromium snap.

Package: chromium-codecs-ffmpeg
Description-md5: b901ea5dc41749c66a2a0c7bb48594af
Description-en: Transitional package - chromium-codecs-ffmpeg -> chromium-ffmpeg snap
 This is a transitional dummy package. It can safely be removed.
 .
 chromium-codecs-ffmpeg is now replaced by the chromium-ffmpeg snap.

Package: chromium-codecs-ffmpeg-extra
Description-md5: d6537fb6daa5fc5f862760ce4ab9d4ff
Description-en: Transitional package - chromium-codecs-ffmpeg-extra -> chromium-ffmpeg snap
 This is a transitional dummy package. It can safely be removed.
 .
 chromium-codecs-ffmpeg-extra is now replaced by the chromium-ffmpeg snap.

Package: chromium-lwn4chrome
Description-md5: 3e19d15554f0b9b7649e2e1163aaf862
Description-en: Chromium extension for making LWN.net slightly easier to read
 When faced with an LWN article that links to another LWN article, with
 perhaps a word of anchor text, it's hard to tell if the new article is worth
 opening in a tab, is indeed already open in a tab, or has been previously
 read.
 .
 This package adds a adds title attributes to LWN links, which helps to solve
 this problem.

Package: chromium-tt-rss-notifier
Description-md5: aef73daa03ae02a036097e39c9a243f4
Description-en: Chromium extension providing toolbar button for TT-RSS installations
 This extension adds a toolbar button which changes color when unread articles
 are available in your Tiny Tiny RSS installation and displays the number of
 unread entries in a tooltip and, optionally, using a badge. The server's URL
 and the username are changeable in the extension's option page.

Package: chromium-ublock-origin
Description-md5: 93ff7a3ac4caec7c543ddf3ed39b79fa
Description-en: general-purpose lightweight ads, malware, trackers blocker (Chromium)
 This is a transitional package, it can be safely removed.

Package: chronicle
Description-md5: fef93831973b5d7dd698e38dd17df136
Description-en: HTML & RSS blog compiler
 This is a tool which will convert a directory of simple
 text files into a static HTML weblog, (or blog if you prefer).
 .
 The system is intentionally simple, but it supports:
 .
  * RSS feed creation.
  * Template based output.
  * The tagging of entries.
  * Notification of ping services upon blog rebuild.
  * User comments upon entries.

Package: chrootuid
Description-md5: 650413b514f785d7c818fe4f60f706ea
Description-en: Run commands in restricted environments
 Chrootuid makes it easy to run a network service at low privilege
 level and with restricted file system access.  The daemons have access only
 to their own directory tree, and run under a low-privileged userid.
 .
 In the past it has been used to run the gopher and www
 (world-wide web) network. It can be used nowadays also for proxy servers.
 The arrangement greatly reduces the impact of possible loopholes in
 network software.

Package: chrpath
Description-md5: a999fc68ae2f1aed25b996deccacd83d
Description-en: Tool to edit the rpath in ELF binaries
 chrpath allows you to change the rpath (where the application looks
 for libraries) in an application.  It does not (yet) allow you to
 add an rpath if there isn't one already.

Package: chuck
Description-md5: 93973ac7854c53bfa5aca97d89078109
Description-en: Concurrent, On-the-fly Audio Programming Language
 ChucK is a new audio programming language for real-time synthesis,
 composition, and performance, which runs on commodity operating systems.
 .
 ChucK presents a new time-based concurrent programming model, which supports
 multiple, simultaneous, dynamic control rates, and the ability to add, remove,
 and modify code, on-the-fly, while the program is running, without stopping or
 restarting.

Package: cider-doc
Description-md5: 5bd5a9c44aeacd2652b4075f30ba4ea4
Description-en: Clojure IDE for Emacs - documentation
 CIDER is the Clojure(Script) Interactive Development Environment that Rocks
 .
 While clojure-mode provides Emacs support for editing Clojure source files,
 CIDER's cider-mode provides support for interacting with a running Clojure
 process for compilation, debugging, looking up definitions and more.
 .
 This package installs CIDER's documentation.

Package: ciderwebmail
Description-md5: e4e2044a58a9b3997237eb5047bf055d
Description-en: IMAP webmail service
 CiderWebmail is a modern, user friendly and maintenance free webmail
 application. It's targeted at mailserver administrators who need to
 provide web access for their user's mailboxes and individuals wanting
 to access their mailboxes via an always available web application.
 .
 It currently supports all the basic mail handling features one would
 expect from such an application:
 .
  * Listing your emails with selectable sort order and grouping.
  * Moving emails between folders and deleting using drag & drop.
  * Displaying text and HTML emails even if their code is completely
    broken (which happens quite often in reality)
  * Keyboard bindings for switching through emails, moving, deleting,
    replying and forwarding.
  * Reply to and forward existing emails or write new emails, add
    attachments and have a copy saved in your "Sent" folder.
 .
 As an application written deep in the 21st century, CiderWebmail
 supports only IMAP mail servers.
 .
 It is recommended to use CiderWebmail with the Dovecot IMAP server.
 CiderWebmail deliberately does little internal caching for security
 reasons, so if used with an IMAP server less powerful than Dovecot you
 may benefit from connecting via imapproxy.

Package: cifer
Description-md5: 52c0492721adc62a4fc6ff30a6712d6b
Description-en: multipurpose classical cryptanalysis and code-breaking tool
 Cifer provides many functions designed to aid in cracking classical
 ciphers; a group of ciphers used historically, but which have now
 fallen into disuse because of their suceptability to ciphertext-only
 attacks. In general, they were designed and implemented by hand, and
 operate on an alphabet of letters (such as [A-Z]).
 .
 It operates using text files as input and output, and can perform both brute
 force and other, more sophisticated, attacks against many classic encryption
 schemes. In addition, it provides many utilities such as frequency analysis
 and automated encryption/decryption of texts.

Package: cil
Description-md5: 6668bb7e78fac3cab5451a581e29ff5d
Description-en: command line issue tracker
 'cil' allows easy command-line creation of an issue tracker. It saves each
 issue locally and in plain text. Commands are given such that these issues can
 be added, edited and listed easily.

Package: cimg-dev
Description-md5: 56992994760615db1ad7152d046d81ae
Description-en: powerful image processing library
 The CImg Library is a C++ toolkit providing simple classes and functions
 to load, save, process and display images in your own C++ code. It consists
 only of a single header file CImg.h that must be included in your program
 source. It contains useful image processing algorithms for loading/saving,
 resizing/rotating, filtering, object drawing (text, lines, faces,
 ellipses, ...), etc.
 .
 Images are instantiated by a class able to represent images up to 4-dimension
 wide (from 1-D scalar signals to 3-D volumes of vector-valued pixels), with
 template pixel types. It depends on a minimal number of libraries : you can
 compile it with only standard C libraries. No need for exotic libraries and
 complex dependencies.

Package: cimg-doc
Description-md5: 0eb6ff63cab3c330fa17dcf7a2d9b24e
Description-en: documentation of cimg-dev imaging library
 The CImg Library is a C++ toolkit providing simple classes and functions
 to load, save, process and display images in your own C++ code. It consists
 only of a single header file CImg.h that must be included in your program
 source. It contains useful image processing algorithms for loading/saving,
 resizing/rotating, filtering, object drawing (text, lines, faces,
 ellipses, ...), etc.
 .
 Images are instantiated by a class able to represent images up to 4-dimension
 wide (from 1-D scalar signals to 3-D volumes of vector-valued pixels), with
 template pixel types. It depends on a minimal number of libraries : you can
 compile it with only standard C libraries. No need for exotic libraries and
 complex dependencies.
 .
 This package contains the documentation.

Package: cimg-examples
Description-md5: 989b46b47ea3715c1de4b95f9167ec8e
Description-en: examples for cimg-dev imaging library
 The CImg Library is a C++ toolkit providing simple classes and functions
 to load, save, process and display images in your own C++ code. It consists
 only of a single header file CImg.h that must be included in your program
 source. It contains useful image processing algorithms for loading/saving,
 resizing/rotating, filtering, object drawing (text, lines, faces,
 ellipses, ...), etc.
 .
 Images are instantiated by a class able to represent images up to 4-dimension
 wide (from 1-D scalar signals to 3-D volumes of vector-valued pixels), with
 template pixel types. It depends on a minimal number of libraries : you can
 compile it with only standard C libraries. No need for exotic libraries and
 complex dependencies.
 .
 This package contains examples for the usage of the library.

Package: cinnamon
Description-md5: 85a575fee9af55bc8d39cbd88a551b9d
Description-en: Innovative and comfortable desktop
 Cinnamon is a desktop environment which provides advanced innovative
 features and a traditional user experience.
 .
 The desktop layout is similar to GNOME 2.  The underlying technology is
 forked from gnome-shell.  The emphasis is put on making users feel at home
 and providing them with an easy to use and comfortable desktop experience.

Package: cinnamon-common
Description-md5: e46179d94fb5e328c6af58cab1200e40
Description-en: Innovative and comfortable desktop (Common data files)
 Cinnamon is a desktop environment which provides advanced innovative
 features and a traditional user experience.
 .
 The desktop layout is similar to GNOME 2.  The underlying technology is
 forked from gnome-shell.  The emphasis is put on making users feel at home
 and providing them with an easy to use and comfortable desktop experience.
 .
 This package contains the data files needed by Cinnamon

Package: cinnamon-control-center
Description-md5: 37bb08041c8507e847360436bc62febb
Description-en: configuration applets for the Cinnamon desktop
 This package contains configuration applets for the Cinnamon desktop,
 allowing to set the date and time, regional settings, network configuration,
 screen resolution, and other Cinnamon parameters.

Package: cinnamon-control-center-data
Description-md5: 1bd4f69feaf5b384956d45684850d147
Description-en: configuration applets for Cinnamon - data files
 This package contains data files (icons, pixmaps, locales files) needed by
 the configuration applets in the cinnamon-control-center package.

Package: cinnamon-control-center-goa
Description-md5: 7ec0e90c36793a02b91b493709230904
Description-en: configuration applets for the Cinnamon desktop - Gnome Online Account
 This package contains configuration applets for the Cinnamon desktop, that
 use Gnome Online Accounts.

Package: cinnamon-core
Description-md5: 889baf0f76d6e61afe89c68c6ed55106
Description-en: Cinnamon desktop environment - essential components
 These are the core components of Cinnamon, a desktop environment which
 provides advanced innovative features and a traditional user experience.
 .
 This metapackage depends on basic components needed to use the desktop
 environment. Install this if you want a minimal cinnamon desktop.

Package: cinnamon-desktop-data
Description-md5: 1eaf9fbdff376002b0e11161f1afb94f
Description-en: Common files for Cinnamon desktop apps
 This package includes files that are shared between several Cinnamon
 apps (i18n files and configuration schemas).

Package: cinnamon-desktop-environment
Description-md5: a9448fa9f0bd799ae5c38d79c7cf2df3
Description-en: Cinnamon desktop environment - full desktop with extra components
 These are the extended components of Cinnamon, a desktop environment which
 provides advanced innovative features and a traditional user experience.
 .
 This metapackage depends on all programs needed to have a fully fledged
 desktop environment. Install this if you want a complete cinnamon desktop,
 including graphical apps that allow users to perform almost all everyday tasks.

Package: cinnamon-doc
Description-md5: 9ef440ff71ad9c38463c3f77c6c0dff0
Description-en: Innovative and comfortable desktop (Documentation)
 Cinnamon is a desktop environment which provides advanced innovative
 features and a traditional user experience.
 .
 The desktop layout is similar to GNOME 2.  The underlying technology is
 forked from gnome-shell.  The emphasis is put on making users feel at home
 and providing them with an easy to use and comfortable desktop experience.
 .
 This package contains the documentation files distributed with Cinnamon.

Package: cinnamon-l10n
Description-md5: 08faa0fc53da3f89ab345a76d740ea09
Description-en: Translation files for the Cinnamon desktop
 The package contains the translation files for all the Cinnamon packages.
 Translations for the Cinnamon project are managed via:
 https://translations.launchpad.net/linuxmint/latest/

Package: cinnamon-screensaver
Description-md5: 8b61f0d92305f37fe8e1fd511f0a23f5
Description-en: Cinnamon screen saver and locker
 cinnamon-screensaver is a screen saver and locker that aims to have simple,
 sane and secure defaults, and be well integrated with the Cinnamon desktop.

Package: cinnamon-session
Description-md5: 0361118c974a1d7833385553aa7c1bab
Description-en: Cinnamon Session Manager - Minimal runtime
 The Cinnamon Session Manager is in charge of starting the core components
 of the Cinnamon desktop, and applications that should be launched at
 login time. It also features a way to save and restore currently
 running applications.
 .
 This package contains the binaries for the Cinnamon Session Manager, but
 no startup scripts. It is meant for those willing to start
 cinnamon-session by hand with the components of their choice, and for
 applications such as MDM that use cinnamon-session internally.

Package: cinnamon-session-common
Description-md5: a0e321d40ad03e6982f4f1352502dac6
Description-en: Cinnamon Session Manager - common files
 The Cinnamon Session Manager is in charge of starting the core components
 of the Cinnamon desktop, and applications that should be launched at
 login time. It also features a way to save and restore currently
 running applications.
 .
 This package contains the translations, data files and startup scripts
 which are common to the Cinnamon and Cinnamon fallback sessions.

Package: cinnamon-settings-daemon
Description-md5: 1b8a7da957990b0c44c1c4af82997a5e
Description-en: daemon handling the Cinnamon session settings
 This package contains the daemon which is responsible for setting the
 various parameters of a Cinnamon session and the applications that run
 under it. It handles the following kinds of settings:
 .
  * Keyboard: layout, accessibility options, shortcuts, media keys
  * Clipboard management
  * Theming: background, icons, GTK+ applications
  * Cleanup of unused files
  * Mouse: cursors, speed, accessibility options
  * Startup of other daemons: screensaver, sound daemon
  * Typing break
 .
 It also sets various application settings through X resources and
 freedesktop.org XSETTINGS.

Package: cinnamon-settings-daemon-dev
Description-md5: bdea501e16d008ae3d53e5e9cb036053
Description-en: headers for building applications communicating with cinnamon-settings-daemon
 This package contains header files required to build applications that
 communicate with the Cinnamon settings daemon over D-Bus.

Package: ciopfs
Description-md5: e99f7f2aa0f59d602a6bac4cf4539613
Description-en: FUSE case insensitive on purpose file system
 ciopfs is a stackable or overlay linux userspace file system (implemented with
 FUSE) which mounts a normal directory on a regular file system in a case
 insensitive fashion.

Package: circlator
Description-md5: cf235cf3121c5ca347cea31d93662299
Description-en: circularize genome assemblies
 Circlator is a tool to automate assembly circularization for bacterial and
 small eukaryotic genomes and produce accurate linear representations of
 circular sequences.

Package: circle-backend
Description-md5: 81dc860d6d7c7fbac3371caa25a8034a
Description-en: server backend for the Circle IRC client
 Circle is an IRC client which merges the best attributes of a local
 client and the common screen+irssi recipe:
 .
  * Keep IRC connects and state on a backend server, allowing
    disconnections from local UI.
  * Interact with a real local GUI for the frontend, instead of
    incurring SSH roundtrips for every keypress.
 .
 Circle uses Tangence for its underlying communications layer.
 .
 This package is meant to be used together with a package providing
 a user-facing frontend, e.g. circle-term or circle-gtk.

Package: circle-gtk
Description-md5: a58d04023ba8932b4ce15fecaa1120ae
Description-en: GTK 2 frontend for the Circle IRC client
 Circle is an IRC client which merges the best attributes of a local
 client and the common screen+irssi recipe:
 .
  * Keep IRC connects and state on a backend server, allowing
    disconnections from local UI.
  * Interact with a real local GUI for the frontend, instead of
    incurring SSH roundtrips for every keypress.
 .
 Circle uses Tangence for its underlying communications layer.
 .
 This package provides a GTK 2 user interface for Circle. It needs
 circle-backend to be installed on the same or a different machine
 in order to be useful.

Package: circos
Description-md5: b1d5e394e1ff44315fac8498f49a2505
Description-en: plotter for visualizing data
 Circos visualizes data in a circular layout — ideal for exploring
 relationships between objects or positions, and creating highly
 informative publication-quality graphics.
 .
 This package provides the Circos plotting engine, which is command-line
 driven (like gnuplot) and fully scriptable.

Package: circos-tools
Description-md5: 6a3bc9951420ac97dd1b2fa421423363
Description-en: plotter for visualizing data - helper utilities
 Circos visualizes data in a circular layout — ideal for exploring
 relationships between objects or positions, and creating highly
 informative publication-quality graphics.
 .
 This package provides a set of tools to help process your data:
 binlinks, bundlelinks, categoryviewer, colorinterpolate,
 filterlinks, maketutorialimages, orderchr, randomlinks, resample,
 and tableviewer.

Package: circuit-macros
Description-md5: 52e9cb305d30abc1b6ccb8597214e2f5
Description-en: Macros for drawing electric circuits
 Circuit Macros is a set of macros designed by
 Dwight Aplevich for drawing high quality
 electric circuits to include in TeX, LaTeX,
 web or other similar documents.

Package: circuslinux
Description-md5: 6d85068fda29b7c2eb0c5658db917f44
Description-en: Clowns are trying to pop balloons to score points!
 "Circus Linux!" is based on the Atari 2600 game "Circus Atari" by Atari,
 released in 1980. Gameplay is similar to "Breakout" and "Arkanoid" - you
 slide a device left and right to bounce objects into the air which destroy
 a wall.

Package: circuslinux-data
Description-md5: ecb361ac1a649930d6f3f10554ec8fac
Description-en: data files for circuslinux
 "Circus Linux!" is based on the Atari 2600 game "Circus Atari" by Atari,
 released in 1980. Gameplay is similar to "Breakout" and "Arkanoid" - you
 slide a device left and right to bounce objects into the air which destroy
 a wall.
 .
 This package contains sound and image files for circuslinux.

Package: ciso
Description-md5: dd5fe06a47031002f95e17b9e1ee67e6
Description-en: Tool to convert Sony PSP iso to ciso
 A simple tool to convert your Sony PSP isos
 from your backuped games to cso files.
 .
 Originally coded by Booster.
 Homepage: http://ciso.tenshu.fr/

Package: citadel-client
Description-md5: b8ce7bf7e1bde2d01bbb9169439be1a9
Description-en: complete and feature-rich groupware server (command line client)
 This is package contains the command line client for Citadel, a complete and
 feature-rich open source groupware platform.
 .
 See the 'citadel-server' package for more information.

Package: citadel-doc
Description-md5: 6f663560d58413eea8fae483dedc625a
Description-en: complete and feature-rich groupware server (documentation)
 This package contains documentation for Citadel, a complete and feature-rich
 open source groupware platform.
 .
 See the 'citadel-server' package for more information.

Package: citadel-server
Description-md5: 1faf865b0bebdfe23dac182993a86359
Description-en: complete and feature-rich groupware server
 Citadel is a complete and feature-rich open source groupware platform.
  * Email, calendaring/scheduling, address books
  * Bulletin boards, mailing list server, instant messaging
  * Multiple domain support
  * An intuitive, attractive AJAX-style web interface
 .
 The Citadel system is extremely versatile. It provides numerous front ends to
 present to users, such as a text-based interface, an AJAX-style web interface,
 and many popular PIM clients using SMTP/POP/IMAP. All of these can be used
 simultaneously.
 .
 It's also extremely scalable. Not only can a well-equipped Citadel server
 support a large number of concurrent users, but you can also build
 a distributed network of Citadel nodes that share rooms and their content.

Package: citadel-suite
Description-md5: bc6a5676764badd21d08b0b14add7fb6
Description-en: complete and feature-rich groupware server; metapackage for full installation
 Citadel is a complete and feature-rich open source groupware platform.
  * Email, calendaring/scheduling, address books
  * Bulletin boards, mailing list server, instant messaging
  * Multiple domain support
  * An intuitive, attractive AJAX-style web interface
 .
 The Citadel system is extremely versatile. It provides numerous front ends to
 present to users, such as a text-based interface, an AJAX-style web interface,
 and many popular PIM clients using SMTP/POP/IMAP. All of these can be used
 simultaneously.
 .
 It's also extremely scalable. Not only can a well-equipped Citadel server
 support a large number of concurrent users, but you can also build
 a distributed network of Citadel nodes that share rooms and their content.

Package: citadel-webcit
Description-md5: 42fa8781f87c05285dd7dd3c2e5d6071
Description-en: web-based frontend to Citadel groupware server
 WebCit is a web-based, AJAX-enabled frontend to the Citadel groupware system.
 It is an attractive web middleware layer that allows user-friendly access.
 By combining WebCit and Citadel, you can have a versatile online environment
 with many users concurrently accessing the same system using the user
 interface of their choice (text, web, or downloaded client software).

Package: citation-style-language-locales
Description-md5: bebe609cff1609aa35ffbabddc00b650
Description-en: Citation Style Language (CSL) citation locales
 Citation Style Language (CSL) is a popular open XML-based language to
 describe the formatting of citations and bibliographies. This package
 includes all available locales files from CitationStyles.org.

Package: citation-style-language-styles
Description-md5: d39938f1d76a18d7154441a276c8345a
Description-en: Citation Style Language (CSL) citation styles
 Citation Style Language (CSL) is a popular open XML-based language to
 describe the formatting of citations and bibliographies. This package
 includes all available styles from CitationStyles.org.

Package: civicrm-common
Description-md5: 224841fd3ac63cfa4a451edb79b40196
Description-en: CiviCRM common files
 CiviCRM is web-based, Constituent Relationship Management (CRM) software
 geared toward meeting the needs of non-profit and other civic-sector
 organizations.
 .
 As a non profit committed to the public good itself, CiviCRM understands
 that forging and growing strong relationships with constituents is about
 more than collecting and tracking constituent data - it is about sustaining
 relationships with supporters over time.
 .
 With CiviCRM's robust feature set, organizations can further their mission
 through contact management, fundraising, event management, member
 management, mass e-mail marketing, peer-to-peer campaigns, case management,
 and much more.
 .
 CiviCRM is a solution for managing
  * Contacts & relationships
  * Events & registration
  * Fundraising
  * Online payments
  * Communications
  * Mailing lists
  * Membership
  * Clients
  * Reports
 .
 This package contains core components of CiviCRM.

Package: civicrm-l10n
Description-md5: b8d5e20d43018878989c39398ee54149
Description-en: CiviCRM country and language data
 CiviCRM is web-based, Constituent Relationship Management (CRM) software
 geared toward meeting the needs of non-profit and other civic-sector
 organizations.
 .
 As a non profit committed to the public good itself, CiviCRM understands
 that forging and growing strong relationships with constituents is about
 more than collecting and tracking constituent data - it is about sustaining
 relationships with supporters over time.
 .
 With CiviCRM's robust feature set, organizations can further their mission
 through contact management, fundraising, event management, member
 management, mass e-mail marketing, peer-to-peer campaigns, case management,
 and much more.
 .
 This package contains CiviCRM language files and countries data.

Package: cjk-latex
Description-md5: 70e2c15cd497b533ad733dc52be29256
Description-en: installs all LaTeX CJK packages
 This is a dummy package that allows you to smoothly upgrade
 from the old cjk-latex to the current latex-cjk-common packages.
 After the installation of the latex-cjk-common packages, you can
 safely delete this package.

Package: cjs
Description-md5: 91542f16b64bba757302b3f7afc38fd4
Description-en: Mozilla-based javascript bindings for the GNOME platform
 Makes it possible for applications to use all of GNOME's platform
 libraries using the Javascript language. It's mainly based on the
 Mozilla javascript engine and the GObject introspection framework.
 .
 This package is the frozen version of gjs that the cinnamon desktop currently
 uses.
 .
 This package contains the interactive console application.

Package: ckati
Description-md5: a6cb2c981b9e9b8274730f223da8ebee
Description-en: Experimental GNU make clone
 The main goal of this tool is to speed up incremental build of Android.
 Currently, kati does not offer a faster build by itself. It instead converts
 your Makefile to a ninja file.
 .
 ckati is the C++ version of kati.

Package: ckb-next
Description-md5: 3ae573810d61a5eebaaa863a2be5f572
Description-en: driver for Corsair keyboards and mice
 ckb-next is an open-source driver for Corsair keyboards and mice.
 It aims to bring the features of Corsair's proprietary CUE
 software to the Linux and Mac operating systems.

Package: ckbuilder
Description-md5: c6686bae664b242879bc0c5ba9fbb0f9
Description-en: command line builder for CKEditor
 CKBuilder builds CKEditor from its source code.
 .
 CKEditor is a WYSIWYG editor which can be embedded into web pages.
 WYSIWYG means that the text being edited looks as similar
 as possible to the results users have when publishing it.

Package: ckeditor
Description-md5: 71b06b05fb0eb089dea4bb9079109b68
Description-en: text editor which can be embedded into web pages
 CKEditor is a WYSIWYG editor which can be embedded into web pages.
 WYSIWYG means that the text being edited looks as similar
 as possible to the results users have when publishing it.
 .
 It brings to the web common editing features found on desktop
 editing applications like Microsoft Word and OpenOffice.

Package: ckeditor3
Description-md5: d03aaf33bcd3822055349c0a442fc12e
Description-en: text editor for internet
 CKEditor is a text editor to be used inside web pages. It's a WYSIWYG editor,
 which means that the text being edited on it looks as similar as possible to
 the results users have when publishing it. It brings to the web common
 editing features found on desktop editing applications like Microsoft Word
 and OpenOffice.
 .
 This package has CKEditor version 3.6. If you need the latest version, use the
 ckeditor package.

Package: ckon
Description-md5: 966f350773289fc904dac638da9a29a0
Description-en: automatic build tool for ROOT data analysis software
 ckon is a C++ program/tool which automatically takes care of compilation,
 dictionary generation and linking of programs and libraries developed for data
 analyses within the CERN ROOT analysis framework. This includes parsing
 include headers to figure out which libraries the main programs need to be
 linked to.  It uses automake/autoconf to be platform independent and GNU
 install compliant.  In addition, m4 macros are automatically downloaded and the
 according compiler flags included based on a list of boost libraries provided
 in the config file.  For the purpose of YAML database usage, a m4 macro can be
 downloaded during setup to link against the yaml-cpp library.

Package: ckport
Description-md5: b7754b2e5044a59e1da8b0a483a3355a
Description-en: portability analysis and security checking tool
 ckport is a tool to check already compiled binaries and libraries for porting
 and security problems.
 .
 It uses objdump to read the binaries and analyses calls and jumps to functions.
 .
 This package is architecture independent and can be used on non-host
 architecture binaries if an objdump tool for the target architecture
 is installed.

Package: ckport-database
Description-md5: 550db598372974f3ae72fb8db54056ab
Description-en: portability analysis and security checking tool (Database files)
 ckport is a tool to check already compiled binaries and libraries for porting
 and security problems.
 .
 It uses objdump to read the binaries and analyses calls and jumps to functions.
 .
 This package is architecture independent and can be used on non-host
 architecture binaries if an objdump tool for the target architecture
 is installed.
 .
 This package contains some common databases.
 Libraries should provide own databases.

Package: cksfv
Description-md5: 06bb000e7bdabdb59542a19d5b0db755
Description-en: sfv checker and generator
 SFV, Simple File Verification, uses crc32 checksums to verify
 that files are intact. cksfv automates the task of generating
 and checking .sfv sheets.
 For integrity, md5 checksums are probably a better alternative,
 but sfv is a widely used method for verification on the
 USENET binary newsgroups and other places.

Package: cl-abnf
Description-md5: da972546bd6c049a52623e606f5f1c16
Description-en: Common Lisp ABNF parser generator
 The generated parser is a regular expression scanner provided by the
 http://weitz.de/cl-ppcre/ lib, which means that we can't parse recursive
 grammar definition. One such definition is the ABNF definition as given by
 the RFC. Fortunately, as you have this lib, you most probably don't need to
 generate another parser to handle that particular ABNF grammar.

Package: cl-acl-compat
Description-md5: b4e3d092016927f4626835a029137d45
Description-en: Compatibility layer for Allegro Common Lisp
 A thin compatibility-layer that emulates library functions provided
 by Allegro Common Lisp. It is used
 to support a number of Franz's open-source packages which depend upon
 such library functions.

Package: cl-actionlib
Description-md5: 601f5fbee07273c84ba68ded79d8c98e
Description-en: Robot OS actionlib library - LISP interface
 This package is part of Robot OS (ROS). The actionlib stack provides
 a standardized interface for interfacing with preemptable
 tasks. Examples of this include moving the base to a target location,
 performing a laser scan and returning the resulting point cloud,
 detecting the handle of a door, etc.
 .
 This package contains the LISP binding.

Package: cl-actionlib-msgs
Description-md5: ec98494cd3b84f50bbb592bf8efb42bb
Description-en: Messages relating to Robot OS actionlib, LISP interface
 This package is part of Robot OS (ROS), and contains the common
 messages to interact with an action server and an action client.  For
 full documentation of the actionlib API see the
 https://wiki.ros.org/actionlib package.
 .
 This package contains the generated LISP library.

Package: cl-alexandria
Description-md5: 0dd69fb70876babb2b9e35332bda3ba9
Description-en: collection of portable Common Lisp utilities
 Alexandria is a collection of utilities in the public domain for Common Lisp.
 .
 It is a library but also a project that aims to reduce duplication of effort
 and improve portability of Common Lisp code according to its own idiosyncratic
 and rather conservative aesthetic.
 .
 It is used by other projects as a base to build on.

Package: cl-anaphora
Description-md5: 270c5937e5324e095c5448c97b2c52fa
Description-en: Common Lisp Anaphoric Macro Collection
 Anaphora is the anaphoric macro collection from Hell: it includes many new
 fiends in addition to old friends like AIF and AWHEN. Anaphora is in the
 Public Domain.

Package: cl-asdf
Description-md5: 045d32b1c65c61130426f3ef093f072e
Description-en: Another System Definition Facility
 ASDF provides the functionality of make and ld.so for Common Lisp software:
 It drives compilation and dynamic loading for complex Lisp systems
 with multiple modules and files, and is the de facto standard used
 by free software written in Common Lisp.
 .
 It also includes a utility library, UIOP, to portably deal with many issues
 that all Common Lisp programs have to deal with, including handling pathnames,
 accessing the filesystem, executing external programs, user configuration, etc.
 .
 Most Common Lisp implementations already provide asdf via (require "asdf"),
 but not all of them provide an up-to-date version.

Package: cl-asdf-finalizers
Description-md5: ab19507df7ce65e6d6846040e72cff44
Description-en: Enforced finalization of ASDF Common Lisp components
 This library allows you to implement and enforce proper finalization of
 compile-time constructs while building Lisp source files. It depends on
 ASDF 2.22.3 or later.

Package: cl-asdf-flv
Description-md5: 2f31af6a9e2f6c44dfe11a91a9db3dce
Description-en: file-local variables through Common Lisp's ASDF
 ASDF-FLV provides support for file-local variables through ASDF (the Common
 Lisp standard utility for building projects and declaring dependencies between
 them).
 .
 A file-local variable behaves like *PACKAGE* and *READTABLE* with respect to
 LOAD and COMPILE-FILE: a new dynamic binding is created before processing the
 file, so that any modification to the variable essentially becomes file-local.

Package: cl-asdf-system-connections
Description-md5: 50ff6f236177212142439c9a893f28bb
Description-en: Allows for ASDF system to be connected so that auto-loading may occur
 ASDF-System-Connections provides auto-loading of systems that only make
 sense when several other systems are loaded. If I'm lucky, an example will
 make this clear! CL-Containers and CL-Variates are separate systems and can
 therefore be loaded independently. If both of them are loaded, however,
 then it makes sense to also load code that uses CL-Variates to sample
 random elements from containers. Rather than requiring you to remember to
 load that extra system (and to load it only after both Cl-Containers and
 CL-Variates are loaded), ASDF-System-Connections lets you set things up so
 that loading happens automatically.

Package: cl-aserve
Description-md5: b15d015a33d64ab2e1b029ed9761041d
Description-en: Portable Aserve
 A portable version of AllegroServe which is a web application server
 for Common Lisp programs. It also includes an HTTP client function
 for accessing web sites and retrieving data.

Package: cl-babel
Description-md5: 734bead228f1e8893804fba8da89314b
Description-en: charset encoding/decoding library for Common Lisp
 Babel is a charset encoding/decoding library, not unlike GNU libiconv, but
 completely written in Common Lisp.
 .
 It strives to achieve decent performance. To that effect, it uses OpenMCL's
 approach of calculating the destination buffer size in advance. Most of the
 encoding/decoding algorithms have been adapted from OpenMCL's source.
 .
 Another important goal is reusability. Similarly to SBCL, it defines an
 interface wherein the algorithms can be reused between a variety of data types
 so long we're dealing with conversions between octets and unicode code points.
 .
 Babel comes with converters between strings and (unsigned-byte 8) vectors but
 can be easily extended to deal with, e.g., strings and foreign memory, vectors
 and Closure's runes, etc...

Package: cl-base64
Description-md5: 6e7fad8dc7188e59dd496e2d9f3dc0a4
Description-en: Common Lisp package to encode and decode base64 with URI support
 This package provides highly optimized base64 encoding and decoding.
 Besides conversion to and from strings, integer conversions are supported.
 Encoding with Uniform Resource Identifiers is supported by using
 a modified encoding table that uses only URI-compatible characters.

Package: cl-bond
Description-md5: 8e7b6165eed5b18b89b6e5e05e50189e
Description-en: Messages related to Robot OS bond_core - LISP
 This package is part of Robot OS (ROS). This is the 'bond' process state
 machine library LISP bindings.
 .
 Bond is a mechanism for checking when another process has
 terminated. A bond allows two processes, A and B, to know when the
 other has terminated, either cleanly or by crashing. The bond remains
 connected until it is either broken explicitly or until a heartbeat
 times out.

Package: cl-bordeaux-threads
Description-md5: 5378588069e09e6c16e32d40e4635e4e
Description-en: Portable threads library for Common Lisp
 BORDEAUX-THREADS is a proposed standard for a minimal MP/Threading interface.
 .
 It essentially provides a compatibility layer for multi-threading across
 multiple CL implementations.
 .
 Some parts of its implementation-specific code can also be implemented in a
 Lisp that does not support multiple threads, so that thread-safe code can be
 compiled on both multithread and single-thread implementations without need of
 conditionals.

Package: cl-brlapi
Description-md5: 3d138eb9b5759e26473f9b6ed2a9b4ad
Description-en: Common Lisp bindings for BrlAPI
 BRLTTY is a daemon which provides access to the console (text mode)
 for a blind person using a braille display.  It drives the braille
 display and provides complete screen review functionality.
 .
 BrlAPI is a library which gives an application access to a braille display and
 lets it write braille.
 .
 This package provides Common Lisp bindings vor BrlAPI.

Package: cl-cffi
Description-md5: cdeb7a303a963733117c732a9e17127f
Description-en: Common Foreign Function Interface for Common Lisp
 CFFI, the Common Foreign Function Interface, purports to be a portable foreign
 function interface for Common Lisp. The CFFI library is composed of a
 Lisp-implementation-specific backend in the CFFI-SYS package, and a portable
 frontend in the CFFI package.
 .
 The CFFI-SYS backend package defines a low-level interface to the native FFI
 support in the Lisp implementation. It offers operators for allocating and
 dereferencing foreign memory, calling foreign functions, and loading shared
 libraries. The CFFI frontend provides a declarative interface for defining
 foreign functions, structures, typedefs, enumerated types. It is implemented
 in portable ANSI CL making use of the low-level operators exported by
 CFFI-SYS.
 .
 A UFFI compatibility layer is also being developed.

Package: cl-chipz
Description-md5: 3552ead609591f386b44de2bfc2262e8
Description-en: decompress DEFLATE and BZIP2 data in Common Lisp
 DEFLATE data, defined in RFC1951, forms the core of popular compression
 formats such as zlib (RFC 1950) and gzip (RFC 1952). As such, Chipz also
 provides for decompressing data in those formats as well. BZIP2 is the
 format used by the popular compression tool bzip2.
 .
 Chipz is the reading complement to Salza (provided by cl-salza2 package).

Package: cl-chunga
Description-md5: 1a98c32f1aa3430fb8b9ec29ebc6cee3
Description-en: Portable chunked streams for Common Lisp
 Chunga implements streams capable of chunked encoding on demand as defined
 in RFC 2616. For an example of how these streams can be used see Drakma.
 .
 The library needs a Common Lisp implementation that supports Gray streams
 and relies on David Lichteblau's trivial-gray-streams to offer portability
 between different Lisps.
 .
 Chunga is currently not optimized towards performance - it is rather
 intended to be easy to use and (if possible) to behave correctly.

Package: cl-closer-mop
Description-md5: a21414ff10eea06d00c3205a642b7d68
Description-en: Cross Implementation AMOP library
 This library enhances the different MOP implementations so that
 they support better the AMOP specifications.
 .
 The CLOS spec contained two parts, only the basic level went into
 the Common Lisp standard. The lower level functions of the AMOP
 were not included so different implementations differ (mostly
 slightly) in how to implement the AMOP.
 .
 With the help of cl-closer-mop you can use the full power of
 AMOP on all supported implementations, relying on the library
 to translate your code.
 .
 Supported implementations:
  - Allegro Common Lisp
  - Armed Bear Common Lisp (ABCL)
  - Clisp
  - Clozure Common Lisp (CCL)
  - CMU Common Lisp (CMUCL)
  - Embeddable Common Lisp (ECL)
  - LispWorks Personal and Hobbyist editions
  - Steel Bank Common Lisp (SBCL)
 (version restrictions might apply)

Package: cl-closure-common
Description-md5: afc9b36e4d420d1f2dd4a0e0b99e55c9
Description-en: Shared code for Closure XML and Closure HTML
 CXML implements a namespace-aware, validating XML 1.0 parser as well as the
 DOM Level 2 Core interfaces. Two parser interfaces are offered, one
 SAX-like, the other similar to StAX.

Package: cl-cluck
Description-md5: ea70c3767056b857d2b17268e641dc08
Description-en: Common Lisp Microcontroller Clock Calculator
 Cluck provides several functions to help select crystal frequencies,
 clock prescalers, and compare values to configuring timers on
 microcontroller chips.

Package: cl-clx-sbcl
Description-md5: 1c05306a6da83bcf588ec8e34166ed2f
Description-en: X11 Common Lisp client library for SBCL
 CLX is a low-level X11 client library for Common Lisp.  CLX is to Lisp what
 Xlib is to C.
 .
 This version of CLX originated in a CMUCL distribution, was modified in order
 to make it compile and run under SBCL and was enhanced with some features from
 other CLX implementations.  It currently runs only on SBCL, but it might be
 ported to other Common Lisp implementations.

Package: cl-command-line-arguments
Description-md5: 796b08e28d4dd913164d64269200db5d
Description-en: get command line arguments in Common Lisp
 trivial interface for getting and parsing command-line-arguments

Package: cl-containers
Description-md5: c31b07933b3b31d8d7303559b42b8361
Description-en: Common Lisp containers data structures
 Common Lisp ships with a set of powerful built in data structures including
 the venerable list, full featured arrays, and hash-tables. CL-containers
 enhances and builds on these structures in two ways:
 .
 By adding containers that are not available in native Lisp (for example:
 binary search trees, red-black trees, sparse arrays and so on).
 .
 By providing a standard interface so that they are simpler to use and so
 that changing design decisions becomes significantly easier.

Package: cl-contextl
Description-md5: ec92d0549c79b40cfee2306c5d2a8558
Description-en: context orientation for Common Lisp
 ContextL provides the Common Lisp Object System with functionality
 for context orientation. It allows the definition of context-specific
 methods or class slots, so that methods act differently depending on
 the dynamic context they are executed in.
 .
 It further allows developers to rebind places (e.g. slots of objects)
 dynamically using the "dletf" framework that has previously been
 present in AspectL.

Package: cl-csv
Description-md5: a384a582ae0f00bdad48b967575239d4
Description-en: Common Lisp library providing easy CSV reading and writing
 This Common Lisp library aims to simplify working with CSVs:
 .
  * reads/writes CSVs from/to strings, streams and files
  * support streaming reads (allowing processing very large CSVs, through
    read-csv's row-fn parameter)
  * supports custom data formatting
  * settable quote, separator and quote-escapes
  * supports multiline quoted data
  * a test suite
  * detailed state about the process on error (line number, column number,
    char index), current collection state

Package: cl-curry-compose-reader-macros
Description-md5: 828144d4a1d405de93b4e42ad29bcbf8
Description-en: Reader macros for function partial application and composition.
 These reader macros expand into the curry, rcurry and compose functions
 from the Alexandria library. The contents of curly brackets are curried and
 the contents of square brackets are composed. The _ symbol inside curly
 brackets changes the order of arguments with rcurry.

Package: cl-cxml
Description-md5: 4314177910b1705c67119b8c90ab49e2
Description-en: XML parser written in Common Lisp
 CXML implements a namespace-aware, validating XML 1.0 parser as well as the
 DOM Level 2 Core interfaces. Two parser interfaces are offered, one
 SAX-like, the other similar to StAX.

Package: cl-daemon
Description-md5: 581e72517d57583949595826ef39bead
Description-en: make lisp process daemonize on unix like platform
 This is a library which has simple functionality to make lisp process
 daemonize on unix like platform.

Package: cl-db3
Description-md5: ee23d76efabfcb18ca3687e932bda6ad
Description-en: Common Lisp lib to read dbf files version 3
 Allows processing data found in dbf and db3 files.

Package: cl-diagnostic-msgs
Description-md5: 5b4c2edf4c70efa1e43733db43b17c8a
Description-en: Messages relating to Robot OS diagnostic, LISP interface
 This package is part of Robot OS (ROS), and contains the messages
 which provide the standardized interface for the diagnostic and
 runtime monitoring systems in ROS. These messages are currently used
 by the https://wiki.ros.org/diagnostics stack, which provides
 libraries for simple ways to set and access the messages, as well as
 automated ways to process the diagnostic data.
 .
 This package contains the generated LISP library.

Package: cl-drakma
Description-md5: 9ea1f9c1168bcbb599c7c12ab799b7d7
Description-en: Common Lisp HTTP client
 Drakma is a full-featured HTTP client implemented in Common Lisp. It knows
 how to handle HTTP/1.1 chunking, persistent connections, re-usable sockets,
 SSL, continuable uploads, file uploads, cookies, and more.

Package: cl-dynamic-classes
Description-md5: 975942cd94695de07abb291fef0a9915
Description-en: Common Lisp Dynamic Classes
 Class-mixins are a great idea but sometimes they lead to a proliferation of
 subclasses with names like
 printable-touchable-composing-colored-shape-square-mixin and that's just
 ugly. Common-Lisp is a dynamic-programming language; Dynamic-Classes brings
 dynamism to class definition!

Package: cl-dynamic-reconfigure
Description-md5: 17be6010828ca3ef3c3081d83530149b
Description-en: Robot OS dynamic-reconfigure library - LISP bindings
 This package is part of Robot OS (ROS), and contains the
 dynamic_reconfigure package which provides a means to change node
 parameters at any time without having to restart the node.
 .
 This package contains the LISP bindings.

Package: cl-esrap
Description-md5: c31bf7580d15778f36b8b2dadbb6098b
Description-en: Packrat Parsing library for Common Lisp
 In addition to regular Packrat / Parsing Grammar / TDPL features Esrap
 supports dynamic redefinition of nonterminals, inline grammars, semantic
 predicates, and include introspecive facilities for development.

Package: cl-fad
Description-md5: 8142df92d553dc199716b142f1ad0a29
Description-en: portable pathname library for Common Lisp
 CL-FAD (for "Files and Directories") is a thin layer atop Common Lisp's
 standard pathname functions. It is intended to provide some unification
 between current CL implementations on Windows, OS X, Linux, and Unix. Most
 of the code was written by Peter Seibel for his book Practical Common Lisp.

Package: cl-fftw3
Description-md5: 79585a304f2975d86b5b513e2417754b
Description-en: Common Lisp package for using the FFTW3 library
 This package provides an interface for Common Lisp programs to use
 the FFTW3 Fourier transform library. A number of higher-level interfaces
 has been added in addition to the native interface to the FFTW3
 C-based library.

Package: cl-fiveam
Description-md5: 793ce5bbc190c1e82bcfc9675002d423
Description-en: simple regression testing framework for Common Lisp
 It has been designed with Common Lisp's interactive development model in
 mind.
 .
 Features:
  - Test and test suite hierarchies allow test to be organized into hierarchies
    to ease running.
  - Functions for re-running recently run tests.
  - Inter-test dependencies.
  - Specification based testing ala QuickCheck.
  - Redefined macros are instantly seen by the test code, no explicit
    recompilation required (this is exactly what LispUnit and FReT do).

Package: cl-flexi-streams
Description-md5: 3592692ba9c977fcd81391e74f04ddb5
Description-en: Flexi-streams: Flexible bivalent streams for Common Lisp
 FLEXI-STREAMS implements "virtual" bivalent streams that can be layered
 atop real binary or bivalent streams and that can be used to read and
 write character data in various single- or multi-octet encodings which
 can be changed on the fly. It also supplies in-memory binary streams
 which are similar to string streams.
 .
 FLEXI-STREAMS is currently not optimized towards performance - it is
 rather intended to be easy to use and (if possible) to behave correctly.

Package: cl-ftp
Description-md5: 4d64fb2c3cc09ddc9e7d0361f1b673ac
Description-en: Common Lisp FTP library
 Provides basic FTP client functionality to Common Lisp programs as well as
 macros to assist in writing additional functionality, and a few helpful
 utility functions.

Package: cl-garbage-pools
Description-md5: 1b783bed39b79fd0e181c67f8e477fc8
Description-en: implementation the APR Pools for resource management
 Common Lisp re-implementation the APR Pools for resource management.

Package: cl-geometry-msgs
Description-md5: 32145c84e182bbec321caf5d07918c49
Description-en: Messages relating to Robot OS geometry, LISP interface
 This package is part of Robot OS (ROS), and provides the messages for
 common geometric primitives such as points, vectors, and poses. These
 primitives are designed to provide a common data type and facilitate
 interoperability throughout the system.
 .
 This package contains the generated LISP library.

Package: cl-getopt
Description-md5: 5b321c2657beabd4019bbcfaf3556b6c
Description-en: Common Lisp utility for command-line processing
 This package provides Common Lisp programs processing of command-line
 arguments. The command-line processing is based on GNU's getopt_long
 module.

Package: cl-github-v3
Description-md5: 5b75cfea81e43d0117185e108d850946
Description-en: Common Lisp interface to the github V3 API
 This library implements a thin wrapper around github's V3 API. At this
 point, it is incomplete and only defines CL functions for listing and
 creating repositories.

Package: cl-graph
Description-md5: 490560b455a09f748ab0f0f101b70e6d
Description-en: simple graph data structure and algorithms
 The GRAPH library strives for simplicity both in backing data structures
 and in usage. Graphs and Digraphs are represented as CLOS objects with
 methods and algorithms provided for graph manipulation and analysis.
 .
 See the GRAPH-JSON and GRAPH-DOT libraries for serialization and
 visualization of graphs.

Package: cl-htmlgen
Description-md5: b1e446aff05a439654e99e34df6b821d
Description-en: HTML generation library for Common Lisp programs
 cl-htmlgen is Franz's library for generating HTML from Common Lisp programs.
 It is limited to generating HTML code, so you may want to use the cl-lml2
 Debian package for generating XHTML code.

Package: cl-hunchentoot
Description-md5: 37a7c87a6530a7f467022410ee84801f
Description-en: Common Lisp web server formerly known as TBNL
 Hunchentoot is a web server written in Common Lisp and at the same time a
 toolkit for building dynamic websites. As a stand-alone web server,
 Hunchentoot is capable of HTTP/1.1 chunking (both directions), persistent
 connections (keep-alive), and SSL.
 .
 Hunchentoot provides facilities like automatic session handling (with and
 without cookies), logging, customizable error handling, and easy access to
 GET and POST parameters sent by the client. It does not include
 functionality to programmatically generate HTML output. For this task you
 can use any library you like, e.g. (shameless self-plug) CL-WHO or
 HTML-TEMPLATE.
 .
 Hunchentoot talks with its front-end or with the client over TCP/IP sockets
 and optionally uses multiprocessing to handle several requests at the same
 time. Therefore, it cannot be implemented completely in portable Common
 Lisp. It currently works "natively" with LispWorks (which is the main
 development and testing platform), and additionally on all Lisps which are
 supported by the compatibility layers usocket and Bordeaux Threads.
 .
 Hunchentoot comes with a BSD-style license so you can basically do with it
 whatever you want.

Package: cl-hyperobject
Description-md5: 560d17aa95ba7edaaa19c221bc03cbea
Description-en: Common Lisp library for hyperobjects
 This package contains a library for creating and display hyperobjects.
 Hyperobjects contain references to subobjects as well as to linked
 objects.  This package includes functions to display hyperobjects in
 multiple formats: ASCII Text, HTML, XML with optional field labels and
 hyperlinks.

Package: cl-ieee-floats
Description-md5: 63623678a825f3f2561fa81ea148d88a
Description-en: Common Lisp IEEE-754 float en- and decoding
 Functions for converting floating point numbers represented in
 IEEE 754 style to lisp numbers.

Package: cl-interpol
Description-md5: f8100c5d582f3c6442f7ace338b8aa65
Description-en: String interpolation for Common Lisp
 CL-INTERPOL is a library for Common Lisp which modifies the reader so that
 you can have interpolation within strings similar to Perl or Unix Shell
 scripts. It also provides various ways to insert arbitrary characters into
 literal strings even if your editor/IDE doesn't support them.

Package: cl-irc
Description-md5: 2606a9ebc1fffd8212836e77ad3ce5ab
Description-en: Common Lisp Internet Relay Chat Library
 cl-irc provides a library for Common Lisp programs to interact with
 IRC servers. This library has been most tested with SBCL.
 Several example programs are provided.

Package: cl-irc-logger
Description-md5: 86896487a250e453099d8f06a335d7de
Description-en: Internet Relay Channel Logger
 cl-irc-logger provides a multi-channel logger for IRC. It is a library
 written in Common Lisp and requires knowledge of Common Lisp to
 setup and use this library.

Package: cl-ironclad
Description-md5: fc956644c68c68c0849a60705f210d5a
Description-en: cryptographic toolkit written in Common Lisp
 Ironclad is a cryptography library written entirely in Common Lisp. It
 includes support for several popular ciphers, digests, and MACs and public key
 cryptography algorithms. For several implementations that support Gray
 Streams, support is included for convenient stream wrappers.
 .
 Most of the algorithms were written with efficiency for specific
 Common Lisp implementations in mind, although portable code is
 provided as an alternative in nearly all instances. The framework
 should be flexible enough to accommodate implementation-specific
 optimizations when possible.
 .
 Test vectors for many of the algorithms are included to provide
 a level of confidence in the correctness of the implementations.

Package: cl-iterate
Description-md5: dffe8de763fc1090657e640890a0fd96
Description-en: Jonathan Amsterdam's Common Lisp iterator/gatherer/accumulator facility
 iterate is an iteration construct for Common Lisp. It is similar to the
 CL:LOOP macro, with these distinguishing marks:
 .
 it is extensible, it helps editors like Emacs indent iterate forms by
 having a more lisp-like syntax, and it isn't part of the ANSI standard for
 Common Lisp (which is sometimes a bad thing and sometimes good).

Package: cl-ixf
Description-md5: 2cbc125deb2b23406a441c061c5667e3
Description-en: Common Lisp library to parse IBM IXF file format.
 Tools to handle IBM PC version of IXF file format.

Package: cl-kmrcl
Description-md5: 2aa90da74793637f6287abd35b203f72
Description-en: General Utilities for Common Lisp Programs
 This package includes general purpose utilities for Common Lisp
 programs. It is packages for Debian primarily to support more complex
 Common Lisp packages by the upstream author Kevin Rosenberg.

Package: cl-launch
Description-md5: 38f8376b91b83d24fd808b01858c5c18
Description-en: uniform frontend to running Common Lisp code from the shell
 CL-Launch will allow you to invoke Common Lisp source code from the shell
 command line, from a shell script or as a Common Lisp script. It will also
 allow you to turn your Common Lisp source programs into standalone
 executables or executable shell scripts (optionally using a dumped image),
 depending on the features available in your underlying implementation.
 .
 CL-Launch will automatically detect a supported Common Lisp implementation
 and use adequate invocation options. It can also be configured to fit exactly
 the programmer's desires. Fully supported implementations are:
        Allegro, CLISP, ClozureCL, CMUCL, ECL, MKCL, SBCL, SCL.
 Partially supported implementations are:
        LispWorks Professional, ABCL, GCL, XCL.
 Creating standalone executables is supported on:
        CLISP, ClozureCL, CMUCL, LispWorks, SBCL, SCL
 .
 CL-Launch also offers Common Lisp programs a simple uniform interface to
 invocation parameters (command-line arguments and environment variables).
 It relies on ASDF 3 for system construction. See the ASDF 3 manual for
 more information on how to configure source and object code location.
 CL-Launch may dump memory images for fast startup (at the expense of disk
 space). It can also be used as a quick way to evaluate and print simple Lisp
 forms or scripts from the command-line without invoking a full-fledged REPL,
 and to easily compare results between implementations.

Package: cl-lml
Description-md5: 09a485e98dcb387e85e2e3efc59e407d
Description-en: Lisp Markup Language
 LML provides a markup language for generation XHTML web pages. It is
 a different syntax than cl-lml2 which some people like better.
 In general, though, cl-lml2 is
 faster at compiling and generating XHTML than this package.

Package: cl-lml2
Description-md5: e5a8e67c25e0a67dac99fe8afbeab7c1
Description-en: Lisp Markup Language
 LML2 provides a markup language for generation XHTML web pages.
 .
 The usage of LML2 is incompatible with the original LML version. However,
 the two versions may be used along side each other.

Package: cl-local-time
Description-md5: cd30e5e3a2488bfafcde0b85a3a8ada7
Description-en: Common Lisp library for date and time manipulations
 local-time is a development library for manipulating date and time
 information in a semi-standard manner. It is based almost entirely off of
 Erik Naggum's paper, The Long, Painful History of Time.
 .
 It includes such features as: Time and date arithmetic, ISO 8601 timestring
 formatted output and parsing, Reader macros to embed timestrings directly
 in code, Timezone handling (will read unix tzfile format), Conversion
 between universal and unix time epochs, Julian date calculation.

Package: cl-log
Description-md5: 6d6df53ad3777d0387a3ea2c61208581
Description-en: general purpose Common Lisp logging utility
 CL-LOG is a general purpose logging utility, loosely modelled in some
 respects after Gary King's Log5.

Package: cl-lparallel
Description-md5: fbc37d426440f858baa7adb1aaeb2bb1
Description-en: parallel programming in Common Lisp
 lparallel is a library for parallel programming in Common Lisp, featuring a
 simple model of task submission with receiving queue, constructs for
 expressing fine-grained parallelism, asynchronous condition handling across
 thread boundaries, parallel versions of map, reduce, sort, remove, and many
 others promises, futures, and delayed evaluation constructs, computation
 trees for parallelizing interconnected tasks bounded and unbounded FIFO
 queues, high and low priority tasks, task killing by category and
 integrated timeouts.

Package: cl-lw-compat
Description-md5: 3f73aeb707f22cacfda025b4a55d625e
Description-en: LispWorks Compatibility Library
 This library is a portable implementation of a set of utility
 functions provided by LispWorks.

Package: cl-map-msgs
Description-md5: 08ae24465b635993ab8760fb1087c05e
Description-en: LISP code for map-related ROS Messages
 This package is part of Robot OS (ROS). This package defines messages commonly
 used in mapping packages.
 .
 It contains the map-related LISP library.

Package: cl-markdown
Description-md5: 2a6bee69bc8b58c2db2ff8ed1a2a9361
Description-en: Common Lisp rewrite of Markdown
 Markdown is John Gruber's text markup language and the Perl program that
 converts documents written in that language into HTML. CL-Markdown is a
 Common Lisp rewrite of Markdown. CL-Markdown is licensed under the MIT
 license.

Package: cl-md5
Description-md5: 59442e93dd015d0b524b4705813fb991
Description-en: Common Lisp package for MD5 Message Digests
 This package contains a Common Lisp function to calculate the MD5
 message digest of a string, stream, or file.

Package: cl-metabang-bind
Description-md5: 70cf560b054d3bcfc6004d8ddbd792ab
Description-en: Common Lisp flexible pattern matching
 Bind extends the idea of let and destructing to provide a uniform syntax
 for all your accessor needs. It combines let, destructuring-bind,
 with-slots, with-accessors, structure editing, property or
 association-lists, and multiple-value-bind and a whole lot more into a
 single form.

Package: cl-metatilities-base
Description-md5: 31b94f3c4a0bf677576faa25bd0bb032
Description-en: Common Lisp set of utilities from Metabang
 Metatilities-base is the core of Metatilities. It has the good stuff that
 sits at the foundation of the rest of the code that metabang.com writes.
 .
 Metatilities is metabang.com's big box of stuff that has accumulated over
 the years. It's full of things you're pretty sure you don't need but can't
 quite bear to part with.

Package: cl-modlisp
Description-md5: 4825b6e67b01b0caf998c399f102513b
Description-en: Common Lisp interface to the Apache mod-lisp module
 cl-modlisp provides a Common Lisp interface to the mod_lisp Apache module.
 The mod_lisp is a low-level Apache interface. This package provides a
 higher level layer on top of mod_lisp.
 The package has support for CMUCL, SBCL, CLISP, AllegroCL, and Lispworks.

Package: cl-move-base-msgs
Description-md5: 851269a90c66b1f1e4854dfc42e908ce
Description-en: LISP code for move-base-related ROS Messages
 This package is part of Robot OS (ROS). Holds the action description and
 relevant messages for the move_base package.
 .
 It contains the navigation-related LISP library.

Package: cl-mssql
Description-md5: 0e4ab955ac30d9c69f915f8b927ca6e7
Description-en: Common Lisp interface to MS SQL Server
 A Common Lisp library for interacting with MS SQL Server databases.

Package: cl-mustache
Description-md5: cc5e3701164f4edea9aeef4098d7f4fb
Description-en: Common Lisp Mustache Template Renderer
 Inspired by ctemplate and et, Mustache is a framework-agnostic way to render
 logic-free views.
 .
 As ctemplates says, "It emphasizes separating logic from presentation: it is
 impossible to embed application logic in this template language."
 .
 CL-MUSTACHE is a Common Lisp implementation of Mustache v1.1.2+λ.

Package: cl-named-readtables
Description-md5: 2c872f88c5030a27b709a996c91a2c6f
Description-en: Common Lisp library that creates namespaces for named readtables
 Named-Readtables is a library that provides a namespace for readtables akin to
 the already-existing namespace of packages in Common Lisp. In particular:
 .
  - you can associate readtables with names, and retrieve readtables by names;
 .
  - you can associate source files with readtable names, and be sure that the
    right readtable is active when compiling/loading the file;
 .
  - similarly, your development environment now has a chance to automatically
    determine what readtable should be active while processing source forms on
    interactive commands. (E.g. think of `C-c C-c` in Slime (yet to be done))
 .
 It follows that Named-Readtables is a facility for using readtables in
 a localized way.
 .
 Additionally, it also attempts to become a facility for using
 readtables in a modular way. In particular:
 .
  - it provides a macro to specify the content of a readtable at a glance;
 .
  - it makes it possible to use multiple inheritance between readtables.

Package: cl-nav-msgs
Description-md5: 0800b04d18c7281a7f0b3190bb2a5636
Description-en: Messages relating to Robot OS nav, LISP interface
 This package is part of Robot OS (ROS), and provides the common
 messages used to interact with the 'nav' https://wiki.ros.org/navigation
 stack.
 .
 This package contains the generated LISP library.

Package: cl-nibbles
Description-md5: fbfc5a79ec698621ca6538a153d88d7f
Description-en: Common Lisp library for accessing octet-addressed blocks of data
 nibbles is a library for accessing multibyte integers from octet arrays and
 streams. While such accessors are straightforward to write, nibbles aims to
 centralize such facilities and also provide optimizations for them when
 appropriate.

Package: cl-nodelet
Description-md5: 99b28dfe0403575ed825115e67d11ae4
Description-en: Robot OS nodelet library - service files - LISP
 This package is part of Robot OS (ROS). Nodelet is designed to
 provide a way to run multiple algorithms in the same process with
 zero copy transport between algorithms. The nodelet base class needed
 for implementing a nodelet, and the NodeletLoader class used for
 instantiating nodelets are provided.
 .
 This package contains the LISP interface.

Package: cl-opencv-apps
Description-md5: d695a7e0cf326e9bf4c61794792f9dba
Description-en: opencv_apps Robot OS package - LISP bindings
 This package is part of Robot OS (ROS). It contains several ROS
 packages for working providing OpenCV functionalities in a simplest
 manner in ROS, i.e., running a launch file that corresponds to
 the functionality.
 .
 The package contains implementations for edge detection, structural analysis,
 people/face detection, motion analysis and object segmentation.
 .
 It contains the LISP bindings for the opencv_apps library.

Package: cl-parse-number
Description-md5: db9171617e7d52e5f8955504a3132f9d
Description-en: parse a string into one of the standard Common Lisp number types
 parse-number accepts an arbitrary string and attempts to parse the string
 into one of the standard Common Lisp number types, if possible, or else
 parse-number signals an error of type invalid-number.

Package: cl-pcl-msgs
Description-md5: 66bbd33604533a7b8fa0e0b200522899
Description-en: LISP code for PCL-related Robot OS Messages
 This package is part of Robot OS (ROS). It contains messages which
 provide the glue between the Point Cloud Library and ROS.
 .
 This package contains the generated LISP library.

Package: cl-pg
Description-md5: ec914fb1743c2a6baf5943d55e70b2c4
Description-en: Common Lisp library that provides a socket level postgresql interface
 Pg is a socket-level interface to the PostgreSQL object-relational
 Database. The Library implements the client part of the frontend/backend
 protocol, so does not require interfacing with the libpq library. SQL
 types are converted to the equivalent Common Lisp types where possible.
 Supports large objects (BLOBs).

Package: cl-pgloader
Description-md5: c3276f31c13a9fa328d545c55fb29249
Description-en: extract, transform and load data into PostgreSQL
 pgloader imports data from different kind of sources and COPY it into
 PostgreSQL.
 .
 The command language is described in the manual page and allows one to
 describe where to find the data source, its format, and to describe data
 processing and transformation.
 .
 Supported source formats include CSV, fixed width flat files, dBase3 files
 (DBF), and SQLite and MySQL databases. In most of those formats, pgloader
 is able to auto-discover the schema and create the tables and the indexes
 in PostgreSQL. In the MySQL case it's possible to edit CASTing rules from
 the pgloader command directly.

Package: cl-photo
Description-md5: aad3346c894bf1ff79a39551ffff19ad
Description-en: photographic calculator in Common Lisp
 cl-photo provides multiple photographic formulas for to assist
 with taking photographs. A significant camera database is included.
 Field of view and depth of field are well supported.

Package: cl-pipes
Description-md5: b3518c88137a8d03171209397267d17a
Description-en: Common Lisp library for pipes or streams
 This package has functions for manipulating pipes, also called streams.
 This package is adapted from an implementation in Peter Norvig's
 Paradigms of Artificial Intelligence Programming.

Package: cl-plus-ssl
Description-md5: 9d705195ad7c3e4e5143152f074598d9
Description-en: Common Lisp interface to OpenSSL
 CL+SSL is portable code based on CFFI and gray streams. It defines its own
 libssl BIO method, so that SSL I/O can be written over portable Lisp
 streams instead of bypassing the streams and sending data over Unix file
 descriptors directly. (But the traditional approach is still used if
 possible.)

Package: cl-polled-camera
Description-md5: 1d727957e0975e2f1ead3ef95cd5016d
Description-en: Robot OS polled_camera package - LISP
 This package is part of Robot OS (ROS). It contains a LISP interface
 for implementing a polled camera driver node and requesting images
 from it. The package is currently for internal use as the API is
 still under development.

Package: cl-postgres
Description-md5: 478d9783d086a25f176e474e54d1cefc
Description-en: Low-level client library for PosgreSQL
 CL-postgres module implements a rather low-level interface for
 communicating with a PostgreSQL database server. It is part of the
 Postmodern library, but can be used separately.

Package: cl-postmodern
Description-md5: 3058a8e868c737033e33fc048e8c9aee
Description-en: Common Lisp library for interacting with PostgreSQL databases
 Features efficient communication with the database server without need for
 foreign libraries, support for UTF-8 on Unicode-aware Lisp implementations,
 a syntax for mixing SQL and Lisp code, convenient support for prepared
 statements and stored procedures, a metaclass for simple database-access
 objects
 .
 The biggest differences between this library and CLSQL/CommonSQL are that
 Postmodern has no intention of being portable across different SQL
 implementations (it embraces non-standard Postgres features), and
 approaches extensions like lispy SQL and database access objects in a quite
 different way. This library was written because the CLSQL approach did not
 really work for me, your mileage may vary.

Package: cl-ppcre
Description-md5: d4114effca05408ddbf69ace9e9e4ce6
Description-en: Portable Perl-compatible regular expressions for Common Lisp
 CL-PPCRE is a portable regular expression library for Common Lisp
 which has the following features:
 .
  * It is compatible with Perl.
  * It is pretty fast.
  * It is portable between ANSI-compliant Common Lisp implementations.
  * It is thread-safe.
  * In addition to specifying regular expressions as strings like in Perl you
    can also use S-expressions.

Package: cl-ppcre-unicode
Description-md5: f9926bde560996b85de236e47a025acf
Description-en: Portable Perl-compatible regular expressions for Common Lisp (Unicode)
 CL-PPCRE is a portable regular expression library for Common Lisp
 which has the following features:
 .
  * It is compatible with Perl.
  * It is pretty fast.
  * It is portable between ANSI-compliant Common Lisp implementations.
  * It is thread-safe.
  * In addition to specifying regular expressions as strings like in Perl you
    can also use S-expressions.
 .
 This package adds support for Unicode properties to cl-ppcre.

Package: cl-ptester
Description-md5: d62ed56887965500f3125bcec2bdd0ee
Description-en: Test suite for Common Lisp programs
 ptester is portable version of Franz's opensource testing suite.
 It is designed for writing regression test suites for
 Common Lisp packages.

Package: cl-pubmed
Description-md5: 26d7b67de3b629e65f5ed35be7a8e3ce
Description-en: Common Lisp package to query Pubmed medical literature database
 This library has functions for querying the PubMed medical literature
 database and parsing the XML results into Common Lisp objects. This package
 uses the cl-aserve for HTTP fetches.

Package: cl-puri
Description-md5: cc2149dc3042d8b57e2e76649db5cca1
Description-en: Common Lisp Portable URI Library
 This is portable Universal Resource Identifier (RFC 2396)
 library for Common Lisp programs. It is based on Franz,
 Incorporated opensource package and ported to run on
 other CL implementations. A regression test package is included.

Package: cl-py-configparser
Description-md5: d4b2173340dcda6e4f2ba8430d02a576
Description-en: implements the ConfigParser Python module functionality in Common Lisp
 The py-configparser package implements the ConfigParser Python module
 functionality in Common Lisp.
 .
 In short, it implements reading and writing of .INI-file style
 configuration files with sections containing key/value pairs of
 configuration options. In line with the functionalities in the python
 module, does this package implement basic interpolation of option values in
 other options.

Package: cl-qmynd
Description-md5: 9982ed3bbd14d1ff4f4869c8ef7aef8d
Description-en: MySQL Native Driver for Common Lisp
 QMyND, the QITAB MySQL Native Driver, is a MySQL client library that
 directly talks to a MySQL server in its native network protocol. The little
 documentation there is currently lies in the code itself and its test
 suite.

Package: cl-quicklisp
Description-md5: 9bd843336a4d893ae8705664419e60d5
Description-en: library manager for Common Lisp
 Once installed, Quicklisp can download, install, and load over 1,500 Common
 Lisp libraries with a few simple Lisp commands. It currently works with ABCL,
 Allegro CL, Clasp, Clozure CL, CLISP, CMUCL, ECL, LispWorks, MKCL, SBCL, and
 Scieneer CL.

Package: cl-quri
Description-md5: 47d2f2115bd722e488d4169e899bb791
Description-en: Yet another URI library for Common Lisp
 QURI (pronounced "Q-ree") is yet another URI library for Common Lisp. It is
 intended to be a replacement of PURI.

Package: cl-regex
Description-md5: f390fe063062124501eee12dbb8104b7
Description-en: Common Lisp regular expression compiler/matcher
 A fully-featured regular expression compiler and matching engine for
 Common Lisp that claims to be roughly 5x-20x times faster than the GNU
 regex matcher written in C.

Package: cl-reversi
Description-md5: 76ff9f1e7984647c7a07926a8ef9e91f
Description-en: Reversi game for Common Lisp
 This package is based on Peter Norvig's reversi program in the book
 Paradigms of Artificial Intelligence. Compared to the code in the book,
 this package employs significant optimizations and includes a CLIM-based
 graphical user interface.

Package: cl-rfc2388
Description-md5: 69601954e6492169f889c63e499c28e3
Description-en: implementation of RFC 2388 in Common Lisp
 This package contains an implementation of RFC 2388, which is used to
 process form data posted with HTTP POST method using enctype
 "multipart/form-data".

Package: cl-roscpp-msg
Description-md5: 64bb2a0a18a45e3a1cd74e3a128463c6
Description-en: Header for roscpp messages, LISP
 This package is part of Robot OS (ROS). roscpp is a C++
 implementation of ROS. It provides a client library that enables C++
 programmers to quickly interface with ROS topics, services, and
 parameters.
 .
 roscpp is the most widely used ROS client library and is designed to be the
 high-performance library for ROS. ROS console output library.
 .
 This package contains the generated LISP library.

Package: cl-rosgraph-msgs
Description-md5: 957ebdcca945095b82897d2d762501a3
Description-en: Messages relating to the Robot OS Computation Graph, LISP bindings
 This package is part of Robot OS (ROS). It contains the LISP
 interface to messages relating to the ROS Computation Graph. Most
 users are not expected to interact with messages in this package, and
 it is strongly advised against. These messages are generally wrapped
 in higher level APIs.

Package: cl-rss
Description-md5: 3caaadf242c63d736905118ee2147200
Description-en: Common Lisp RSS processor
 This package provides a Common Lisp library for fetching and parsing
 Remote Site Summary data via HTTP. Currently, it supports RSS versions
 0.90, 0.91, and 0.92 as well as RSS version 2.

Package: cl-rt
Description-md5: 9965d63925e825fd2eab180689d5ac5a
Description-en: Common Lisp regression tester from MIT
 This is MIT's regression tester for Common Lisp programs. It provides a
 framework for writing
 regression tests for Common Lisp packages. This package includes
 Paul Dietz's modifications to the original MIT release.

Package: cl-s-sql
Description-md5: 3498b671ef3f9dd9524fd931b6e0a22b
Description-en: lispy syntax for SQL queries
 S-SQL provides a lispy syntax for SQL queries, and knows how to convert
 various lisp types to their textual SQL representation. It takes care to do
 as much of the work as possible at compile-time, so that at runtime a
 string concatenation is all that is needed to produce the final SQL query.

Package: cl-salza2
Description-md5: ae4936da3af22cfd6ef4c320b525d5f6
Description-en: Create compressed data from Common Lisp
 Salza2 is a Common Lisp library for creating compressed data in the ZLIB,
 DEFLATE, or GZIP data formats, described in RFC 1950, RFC 1951, and RFC
 1952, respectively. It does not use any external libraries for compression.
 It does not yet support decompression. Salza2 is available under a BSD-like
 license.

Package: cl-sensor-msgs
Description-md5: 10ec224a3650d26bd1fb88ccf79c3216
Description-en: Messages relating to Robot OS sensor, LISP interface
 This package is part of Robot OS (ROS), and provides messages for
 commonly used sensors, including cameras and scanning laser
 rangefinders.
 .
 This package contains the generated LISP library.

Package: cl-shape-msgs
Description-md5: e1f8416fd7b112b29a87f69f59db6e62
Description-en: Messages relating to Robot OS shape, LISP interface
 This package is part of Robot OS (ROS), and provides messages for
 defining shapes, such as simple solid object primitives (cube,
 sphere, etc), planes, and meshes.
 .
 This package contains the generated LISP library.

Package: cl-simple-date
Description-md5: b50483753b2b780eaf021fa812acba34
Description-en: Common Lisp types for dates, timestamps, and intervals
 Simple-date provides types (CLOS classes) for dates, timestamps, and
 intervals similar to the ones SQL databases use, in order to be able to
 store and read these to and from a database in a straighforward way. A few
 obvious operations are defined on these types.
 .
 The most glaring defect of this library is its ignorance of time zones. It
 pretends the whole world lives in UTC. Use with care.
 .
 When this library is loaded after CL-postgres, it will register suitable SQL
 readers and writers for the associated database types.

Package: cl-speech-dispatcher
Description-md5: 7b4b0a144c4870eab899a44ed1bbb38d
Description-en: Common Lisp interface to Speech Dispatcher
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains a Common Lisp library for communication with Speech
 Dispatcher.

Package: cl-split-sequence
Description-md5: 4af5097fa0c744be5b34123784135ef6
Description-en: Common Lisp package to split a sequence of objects
 This package contains functions to partition a Common Lisp sequence into
 multiple result sequences.

Package: cl-sql
Description-md5: e4133a9f6a7781ec8bdc047234cba530
Description-en: SQL Interface for Common Lisp
 CLSQL is a Common Lisp interface for multiple SQL databases
 on multiple Common Lisp implementations. It uses the UFFI
 foreign language interface.

Package: cl-sql-aodbc
Description-md5: 78668b107d69577f382cc919bc9d804e
Description-en: CLSQL database backend, AODBC
 This package enables you to use the CLSQL data access package
 with AllegroCL's AODBC databases.
 CLSQL is a Common Lisp interface to SQL databases.

Package: cl-sql-mysql
Description-md5: 1c361ed8a766b0b03e9087751d61a134
Description-en: CLSQL database backend, MySQL
 This package enables you to use the CLSQL data access package
 with MySQL databases.
 CLSQL is a Common Lisp interface to SQL databases.

Package: cl-sql-odbc
Description-md5: e0c127b2b735addb19b5fe086d91e62a
Description-en: CLSQL database backend, ODBC
 This package enables you to use the CLSQL data access package
 with ODBC databases.
 CLSQL is a Common Lisp interface to SQL databases.

Package: cl-sql-postgresql
Description-md5: 79c554ec7f1bb4e04b6f728ca8577135
Description-en: CLSQL database backend, PostgreSQL via library
 This package enables you to use the CLSQL data access package
 with PostgreSQL databases using the PostgreSQL client library.
 CLSQL is a Common Lisp interface to SQL databases.

Package: cl-sql-postgresql-socket
Description-md5: 8f6a6feea0fc7315fcfd9948df0a36c1
Description-en: CLSQL database backend, PostgreSQL via sockets
 This package enables you to use the CLSQL data access package
 with PostgreSQL databases via a socket interface to the PostgreSQL
 server.
 CLSQL is a Common Lisp interface to SQL databases.

Package: cl-sql-sqlite
Description-md5: 58b97cd2a5871dcf2d3fb25719fc26d1
Description-en: CLSQL database backend, SQLite
 This package enables you to use the CLSQL data access package
 with SQLite databases.
 CLSQL is a Common Lisp interface to SQL databases.

Package: cl-sql-sqlite3
Description-md5: 123e8e300dcf9add9f2da0225590b6b1
Description-en: CLSQL database backend, SQLite3
 This package enables you to use the CLSQL data access package
 with SQLite3 databases.
 CLSQL is a Common Lisp interface to SQL databases.

Package: cl-sql-tests
Description-md5: 54a35b2bd96814a1755ed1afcff49168
Description-en: Testing suite for CLSQL
 This package contains a test suite for CLSQL. It requires manual
 configuration of MySQL and PostgreSQL databases to execute.
 A configured, licensed version of AllegroCL with ODBC setup is
 required to test the clsql-aodbc interface. See the
 /usr/share/doc/cl-sql-tests/README file if you want to try
 running these regression tests.

Package: cl-sql-uffi
Description-md5: d6327b11459fedd8729102841a1cf2e8
Description-en: Common UFFI functions for CLSQL database backends
 This package provides an interface to several UFFI functions used by multiple
 CLSQL database backends.
 CLSQL is a Common Lisp interface to SQL databases.

Package: cl-sqlite
Description-md5: 6c3a42d537829ca4282f642635338302
Description-en: Common Lisp interface to SQLite
 CL-SQLITE package is an interface to the SQLite embedded relational
 database engine.

Package: cl-std-msgs
Description-md5: a08a4b7c3751c5bc9e9193f3344ac7d2
Description-en: LISP interface for Standard Robot OS Messages
 This package is part of Robot OS (ROS). It contains the LISP
 interface for libstd-msgs, which contains wrappers for ROS primitive
 types, which are documented in the msg specification. It also
 contains the Empty type, which is useful for sending an empty signal.

Package: cl-std-srvs
Description-md5: 324cf23eff2fe4f6d38f58eec0480a6b
Description-en: Common service definitions, LISP bindings
 This package is part of Robot OS (ROS). It contains common service
 definitions.
 .
 This package contains the LISP interface to common service definitions.

Package: cl-stereo-msgs
Description-md5: 4a1888c0b172188225c6a4e53894c23b
Description-en: Messages relating to Robot OS stereo, LISP interface
 This package is part of Robot OS (ROS), and provides messages
 specific to stereo processing, such as disparity images.
 .
 This package contains the generated LISP library.

Package: cl-swank
Description-md5: 4925485fcc0754ed8354affac6594fc2
Description-en: Superior Lisp Interaction Mode for Emacs (Lisp-side server)
 SLIME is the Superior Lisp Interaction Mode for Emacs.
 .
 SLIME extends Emacs with support for interactive programming in Common Lisp.
 The features are centered around slime-mode, an Emacs minor-mode that
 complements the standard lisp-mode. While lisp-mode supports editing Lisp
 source files, slime-mode adds support for interacting with a running Common
 Lisp process for compilation, debugging, documentation lookup, and so on.
 .
 The slime-mode programming environment follows the example of Emacs’s native
 Emacs Lisp environment. It also includes good ideas from similar systems
 (such as ILISP) and some new ideas.
 .
 SLIME is compatible with the following free Common Lisp implementations:
 CMUCL, SBCL, CCL, CLISP, ECL, ABCL.
 .
 This package contains the Lisp-side server, needed by the Emacs client
 (see package slime).

Package: cl-tf
Description-md5: dbaab638c11027dde78604e49cc774b7
Description-en: Robot OS tf transform library -- LISP interface
 tf is a package that lets the user keep track of multiple coordinate frames
 over time. tf maintains the relationship between coordinate frames in a tree
 structure buffered in time, and lets the user transform points, vectors, etc
 between any two coordinate frames at any desired point in time.
 .
 This package contains the LISP bindings (messages and services) and
 is part of Robot OS (ROS).

Package: cl-tf2-msgs
Description-md5: 33be1a5297fdc6c1d0fcf88dae56dc66
Description-en: Robot OS tf2 transform library messages - LISP
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the LISP binding (messages).

Package: cl-tf2-srvs
Description-md5: ce055d323642f6b177e259fdb5e4ff24
Description-en: Robot OS tf2 transform library services - LISP
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the LISP binding (services).

Package: cl-topic-tools
Description-md5: ee5ee134089d6d5be3a3af1703337746
Description-en: LISP library for working with Robot OS topics
 This package is part of Robot OS (ROS). It contains the lisp
 interface to the tools for directing, throttling, selecting, and
 otherwise messing with ROS topics at a meta level.

Package: cl-trajectory-msgs
Description-md5: 8ae9afa1c6c4d4601501da663665350b
Description-en: Messages relating to Robot OS trajectory, LISP interface
 This package is part of Robot OS (ROS), and provides messages for
 defining robot trajectories. These messages are also the building
 blocks of most of the https://wiki.ros.org/control_msgs
 .
 This package contains the generated LISP library.

Package: cl-trivial-backtrace
Description-md5: 24b508b3a54fb63b1ecc42d4e0ac9233
Description-en: generate a Common Lisp backtrace portably
 One of the many things that didn't quite get into the Common Lisp standard
 was how to get a Lisp to output its call stack when something has gone
 wrong. As such, each Lisp has developed its own notion of what to display,
 how to display it, and what sort of arguments can be used to customize it.
 trivial-backtrace is a simple solution to generating a backtrace portably.
 .
 It supports Allegro Common Lisp, LispWorks, ECL, MCL, SCL, SBCL and CMUCL.

Package: cl-trivial-features
Description-md5: 698b1a8435cfe3cbc15b034345a7332b
Description-en: abstraction layer for the *FEATURES* across Common Lisp implementations
 trivial-features ensures consistent *FEATURES* across multiple Common
 Lisp implementations.
 .
 For example, on MacOS X platforms, while most Lisps push `:DARWIN` to
 `*FEATURES*`, CLISP and Allegro push `:MACOS` and `:MACOSX` instead,
 respectively.  Some Lisps might not push any feature suggesting MacOS
 X at all.  trivial-features will make sure all Lisps will have
 `:DARWIN` in the `*FEATURES*` list when running on MacOS X.  This
 way, you can write
 .
    #+darwin foo #-darwin bar
 .
 instead of
 .
    #+(or darwin macos macosx) foo
    #-(or darwin macos macosx) bar

Package: cl-trivial-garbage
Description-md5: 505a650c9629f6d0d7c9f82dfb15df72
Description-en: portable garbage collector-related APIs for Common Lisp
 trivial-garbage provides a portable API to finalizers, weak hash-tables and
 weak pointers on all major implementations of the Common Lisp programming
 language.

Package: cl-trivial-gray-streams
Description-md5: 38288c018424a8bce1e1d2f7f4a925ab
Description-en: thin compatibility layer for Common Lisp gray streams
 This system provides an extremely thin compatibility layer for Common Lisp
 gray streams, which provide a standard way for users to define their own
 streams for use by the standard I/O functions.
 .
 Gray streams is an interface proposed for inclusion with ANSI Common Lisp by
 David N. Gray. The proposal did not make it into the standard, but most
 popular Common Lisp implementations implement this facility anyway.
 .
 This system provides an extremely thin compatibility layer for gray
 streams.

Package: cl-trivial-utf-8
Description-md5: 027c14d107bb79c9b616187294d0b918
Description-en: small Common Lisp library for doing UTF-8-based in- and output
 Trivial UTF-8 is a small library for doing UTF-8-based in- and output on a
 Lisp implementation that already supports Unicode -- meaning char-code and
 code-char deal with Unicode character codes.
 .
 The rationale for the existence of this library is that while
 Unicode-enabled implementations usually do provide some kind of interface
 to dealing with character encodings, these are typically not terribly
 flexible or uniform.
 .
 The Babel library solves a similar problem while understanding more
 encodings. Trivial UTF-8 was written before Babel existed, but for new
 projects you might be better off going with Babel. The one plus that
 Trivial UTF-8 has is that it doesn't depend on any other libraries.

Package: cl-uffi
Description-md5: 99c6778fe3ecefab2a5aab4ec2149326
Description-en: Universal Foreign Function Library for Common Lisp
 UFFI provides a universal foreign function interface (FFI) for
 Common Lisp.
 UFFI supports AllegroCL, CMUCL, Lispworks, MCL, OpenMCL,
 SBCL, and SCL.

Package: cl-uffi-tests
Description-md5: 76f55f92b68c7d5b46acd50f9d385b63
Description-en: Regression tests for UFFI Common Lisp Library
 This is a test of regression tests for Debian cl-uffi package.
 UFFI is a universal foreign function interface for Common Lisp
 implementations. Besides providing testing for UFFI, the tests
 serve as an example of UFFI usage.

Package: cl-unicode
Description-md5: 6501f5488b34f23473cd8ace8701f430
Description-en: portable Unicode library for Common Lisp
 CL-UNICODE is a library which provides Common Lisp implementations with
 knowledge about Unicode characters including their name, their general
 category, the scripts and blocks they belong to, their numerical value, and
 several other properties. It also provides the ability to replace the
 standard syntax for reading Lisp characters with one that is Unicode-aware
 and is used to enhance CL-PPCRE with Unicode properties.
 .
 CL-UNICODE is based on Unicode 5.1.

Package: cl-usocket
Description-md5: a38881d0de1f774189c4488770f77394
Description-en: Common Lisp socket library
 cl-usocket provides a library for Common Lisp programs to use TCP
 sockets. It is the successor to trivial-sockets.
 .
 To run the test suite you need the recommended cl-rt package.

Package: cl-utilities
Description-md5: 2c0c3ab37291595d975f46e47e488040
Description-en: collection of Common Lisp utilities
 On Cliki.net <http://www.cliki.net/Common%20Lisp%20Utilities>, there
 is a collection of Common Lisp Utilities, things that everybody writes
 since they're not part of the official standard. There are some very
 useful things there; the only problems are that they aren't
 implemented as well as you'd like (some aren't implemented at all) and
 they aren't conveniently packaged and maintained. It takes quite a bit
 of work to carefully implement utilities for common use, commented
 and documented, with error checking placed everywhere some dumb user
 might make a mistake.

Package: cl-uuid
Description-md5: 9af677750d25bfcec3188dea869ddf1d
Description-en: Common Lisp librabry for generation of UUIDs as described by RFC 4122
 UUID provides methods for the generation of uuids version 1 (time based), 3
 (name based with MD5 hashing), 5 (name based with SHA1 hashing) and 4
 (random uuids).

Package: cl-visualization-msgs
Description-md5: 12da5a4652d9143252348793cd91b648
Description-en: Messages relating to Robot OS visualization, LISP interface
 This package is part of Robot OS (ROS), and provides a set of
 messages used by higher level packages, such as rviz, that deal in
 visualization-specific data.
 .
 The main messages in visualization_msgs is
 visualization_msgs/Marker.  The marker message is used to
 send visualization "markers" such as boxes, spheres, arrows, lines,
 etc. to a visualization environment such as
 https:///www.ros.org/wiki/rviz See the rviz tutorial
 https://www.ros.org/wiki/rviz/Tutorials for more information.
 .
 This package contains the generated LISP library.

Package: cl-webactions
Description-md5: 76e42e91a7e862e3cdb284e44e3f8974
Description-en: HTTP dispatch library for cl-aserve
 Webactions is Franz's Common Lisp library for dispatching HTTP requests as
 well as processing HTML templates. It relies on the Portable AllegroServe web
 server.

Package: cl-who
Description-md5: f802e8f0a8f6462fe47f055053ced957
Description-en: Yet another Lisp markup language
 There are plenty of Lisp Markup Languages out there - every Lisp programmer
 seems to write at least one during his career - and CL-WHO (where WHO means
 "with-html-output" for want of a better acronym) is probably just as good
 or bad as the next one.

Package: cl-xlunit
Description-md5: 396134fead80d6f9dc48689109d65eec
Description-en: Common Lisp eXtreme Lisp Unit Testing Package
 XLUnit provides a unit testing package for Common Lisp.
 It is based on the XPTest package by OnShore development, but is
 rewritten to be closer in usage to the JUnit package. Also, it is
 designed to be used with significantly less overhead on the
 part of the test author compared to XPTest.

Package: cl-xmls
Description-md5: bd1478426bcd1c5631ffb004b9dc4877
Description-en: XML Simple Parser for Common Lisp
 XMLS is a small, simple, non-validating XML parser for Common Lisp.  It's
 designed to be a self-contained, easily embedded parser that recognizes a
 useful subset of the XML spec.  It provides a simple mapping from XML to Lisp
 structures or S-expressions and back.

Package: cl-xptest
Description-md5: 193e8a789a5452f9c19622f219aa29aa
Description-en: Extreme programming test suite for Common Lisp package
 xptest is the test suite written by onShore Development.
 It is toolkit for building test suites, very much inspired by the test
 frameworks that the Extreme Programming crew made available for
 Smalltalk and other languages.

Package: cl-yason
Description-md5: 832fa9b1da2ac8126e8141976a193295
Description-en: JSON encoder/decoder for Common Lisp
 YASON is a Common Lisp library for encoding and decoding data in the JSON
 interchange format. JSON is used as a lightweight alternative to XML. YASON
 has the sole purpose of encoding and decoding data and does not impose any
 object model on the Common Lisp application that uses it.

Package: cl-zip
Description-md5: d4712a137c1bae8c7f262d0e93594fb2
Description-en: Common Lisp HTTP client
 Zip is a full-featured HTTP client implemented in Common Lisp. It knows
 how to handle HTTP/1.1 chunking, persistent connections, re-usable sockets,
 SSL, continuable uploads, file uploads, cookies, and more.

Package: cl-zpb-ttf
Description-md5: 420dde4695cbce63147a971261d0d6b4
Description-en: TTF parser
 ZPB-TTF is a TrueType file parser that provides an interface for reading
 typographic metrics, glyph outlines, and other information from a TTF file.

Package: cl-zs3
Description-md5: 4514fdcfef69869da4a31578fc096704
Description-en: Amazon S3 and CloudFront from Common Lisp
 ZS3 is a Common Lisp library for working with Amazon's Simple Storage
 Service (S3) and CloudFront content delivery service. It is available under
 a BSD-style license.

Package: clamassassin
Description-md5: b12e365364402b946b1ca5b4910b7896
Description-en: email virus filter wrapper for ClamAV
 clamassassin is a simple virus filter wrapper for ClamAV for use in procmail
 filters and similar applications. clamassassin's interface is similar to
 that of spamassassin, making it easy to implement for those familiar with that
 tool. clamassassin is designed with an emphasis on security, robustness and
 simplicity.

Package: clamav-milter
Description-md5: e47a1194215b5fbde13fb9217c44d83f
Description-en: anti-virus utility for Unix - sendmail integration
 Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of
 this software is the integration with mail servers (attachment
 scanning). The package provides a flexible and scalable
 multi-threaded daemon in the clamav-daemon package, a command-line
 scanner in the clamav package, and a tool for automatic updating via
 the Internet in the clamav-freshclam package. The programs are based
 on libclamav, which can be used by other software.
 .
 This package contains the ClamAV milter for use with sendmail. It can
 be configured to be run either standalone, or using clamav-daemon.

Package: clamav-testfiles
Description-md5: 29437a0b8c82cc8179b64405688f062e
Description-en: anti-virus utility for Unix - test files
 Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of
 this software is the integration with mail servers (attachment
 scanning). The package provides a flexible and scalable
 multi-threaded daemon in the clamav-daemon package, a command-line
 scanner in the clamav package, and a tool for automatic updating via
 the Internet in the clamav-freshclam package. The programs are based
 on libclamav, which can be used by other software.
 .
 This package contains files 'infected' with a test signature. The test
 signature (ClamAV-Test-Signature) should be detectable by all
 anti-virus programs.

Package: clamav-unofficial-sigs
Description-md5: 25cae5c730f952d7bbaaee3d1874cf69
Description-en: update script for 3rd-party clamav signatures
 This package provides a script for updating the following sources of
 3rd-party clamav signatures until freshclamav gains support for such
 signatures.
 .
 The SaneSecurity/OITC signatures provide detection of phishing, spear
 phishing, fake lottery, ecard malware, casino, fake jobs, fake loans,
 419s, fake diplomas, porn, emailed malware and other general spam.
 .
 MSRBL signatures provide detection of image spam and general spam.
 .
 SecuriteInfo signatures provide various badware signatures,
 securiteinfo.com honeypot signatures, honeynet.cz signatures
 and French anti-spam signatures
 .
 MalwarePatrol provides detection of mail containing URLs to malware.

Package: clamfs
Description-md5: c8df642610168b48ffd1b29953e6bf2d
Description-en: user-space anti-virus protected file system
 ClamFS is a FUSE-based user-space file system for Linux with on-access
 anti-virus file scanning through clamd daemon. Clamd (clamav-daemon) is
 a file scanning service developed by ClamAV project.

Package: clamsmtp
Description-md5: cdf08a4ae6d4e7583dc83022589ace70
Description-en: virus-scanning SMTP proxy
 ClamSMTP is an SMTP proxy daemon that checks for viruses using the ClamAV
 anti-virus software.  It can act as a traditional SMTP proxy with publicly
 accessible ports or as a transparent proxy, where SMTP traffic is redirected
 to the ClamSMTP by your router.
 .
 ClamSMTP aims to be lightweight, reliable, and simple rather than have a
 myriad of options. It is written in C without major dependencies. ClamSMTP
 does not do general spam filtering, it only scans for viruses.
 .
 You do not need a local mail-transport-agent installed, since this server can
 forward to a remote one.

Package: clamtk
Description-md5: 8942c2da6c02354b285054fb8219c530
Description-en: graphical front-end for ClamAV
 ClamTk is a graphical front-end for Clam Antivirus.
 .
 It is designed to be an easy-to-use, lightweight, on-demand antivirus scanner
 for Linux systems.
 .
 Context menu support for KDE's Plasma Desktop (Dolphin file manager) and
 Nemo and Thunar sendto is included.  Context menu support for GNOME (Nautilus
 file manager), but due to additional dependencies required, it is in a
 separate package: clamtk-gnome.

Package: clamtk-gnome
Description-md5: fda80a0170042c568962b1893e1d98d4
Description-en: GNOME (Nautilus) MenuProvider extension for ClamTk
 ClamTk is a graphical front-end for Clam Antivirus.
 .
 This package contains a nautilus plugin to allow right click support for easy
 virus scanning with ClamTk and thus ClamAV in GNOME.

Package: clamz
Description-md5: 2ddf5c23e13f44e0cd518e37876b295b
Description-en: command-line program to download MP3's from Amazon
 Clamz is intended to serve as a substitute for Amazon's official
 MP3 Downloader, which is not free software. Clamz can be used to
 download either individual songs or complete albums that you have
 purchased from Amazon.

Package: clang
Description-md5: 417b47912f4072aafff4541922523a39
Description-en: C, C++ and Objective-C compiler (LLVM based)
 Clang project is a C, C++, Objective C and Objective C++ front-end
 for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler
 Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This is a dependency package providing the default clang compiler.

Package: clang-10
Description-md5: c364160e44345420df0f2e97b3082b69
Description-en: C, C++ and Objective-C compiler
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.

Package: clang-10-doc
Description-md5: 6bf5242bfe6f159fd907beb982f2315f
Description-en: C, C++ and Objective-C compiler - Documentation
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This package contains the documentation.

Package: clang-10-examples
Description-md5: c2fd51cc2d722b8e5b1c1549d931bc06
Description-en: Clang examples
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This package contains the Clang examples.

Package: clang-6.0
Description-md5: 224e1c81284b37e6720b78f6cf56d36b
Description-en: C, C++ and Objective-C compiler
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.

Package: clang-6.0-examples
Description-md5: 8c0030ae4303d1ed71b02679b563c06d
Description-en: Clang examples
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the clang examples.

Package: clang-7
Description-md5: 224e1c81284b37e6720b78f6cf56d36b
Description-en: C, C++ and Objective-C compiler
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.

Package: clang-7-examples
Description-md5: 9e24e5183658bfc1863c33a6d462636a
Description-en: Clang examples
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang examples.

Package: clang-8
Description-md5: 224e1c81284b37e6720b78f6cf56d36b
Description-en: C, C++ and Objective-C compiler
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.

Package: clang-8-doc
Description-md5: a362b805a7230bf3a9d9d558b30d2a59
Description-en: C, C++ and Objective-C compiler - Documentation
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the documentation.

Package: clang-8-examples
Description-md5: 9e24e5183658bfc1863c33a6d462636a
Description-en: Clang examples
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang examples.

Package: clang-9
Description-md5: 224e1c81284b37e6720b78f6cf56d36b
Description-en: C, C++ and Objective-C compiler
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.

Package: clang-9-doc
Description-md5: a362b805a7230bf3a9d9d558b30d2a59
Description-en: C, C++ and Objective-C compiler - Documentation
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the documentation.

Package: clang-9-examples
Description-md5: 9e24e5183658bfc1863c33a6d462636a
Description-en: Clang examples
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang examples.

Package: clang-format
Description-md5: b50362fb3abbe4fca65017f3e1c209b3
Description-en: Tool to format C/C++/Obj-C code
 Clang-format is both a library and a stand-alone tool with the goal of
 automatically reformatting C++ sources files according to configurable
 style guides. To do so, clang-format uses Clang's Lexer to transform an
 input file into a token stream and then changes all the whitespace around
 those tokens. The goal is for clang-format to both serve both as a user
 tool (ideally with powerful IDE integrations) and part of other
 refactoring tools, e.g. to do a reformatting of all the lines changed
 during a renaming.
 .
 This is a dependency package providing the clang format tool.

Package: clang-format-10
Description-md5: 5fdb7838fa336de9de2703cb644c12e4
Description-en: Tool to format C/C++/Obj-C code
 Clang-format is both a library and a stand-alone tool with the goal of
 automatically reformatting C++ sources files according to configurable
 style guides. To do so, clang-format uses Clang's Lexer to transform an
 input file into a token stream and then changes all the whitespace around
 those tokens. The goal is for clang-format to both serve both as a user
 tool (ideally with powerful IDE integrations) and part of other
 refactoring tools, e.g. to do a reformatting of all the lines changed
 during a renaming.
 .
 This package also provides vim and emacs plugins.

Package: clang-format-6.0
Description-md5: 5fdb7838fa336de9de2703cb644c12e4
Description-en: Tool to format C/C++/Obj-C code
 Clang-format is both a library and a stand-alone tool with the goal of
 automatically reformatting C++ sources files according to configurable
 style guides. To do so, clang-format uses Clang's Lexer to transform an
 input file into a token stream and then changes all the whitespace around
 those tokens. The goal is for clang-format to both serve both as a user
 tool (ideally with powerful IDE integrations) and part of other
 refactoring tools, e.g. to do a reformatting of all the lines changed
 during a renaming.
 .
 This package also provides vim and emacs plugins.

Package: clang-format-7
Description-md5: 5fdb7838fa336de9de2703cb644c12e4
Description-en: Tool to format C/C++/Obj-C code
 Clang-format is both a library and a stand-alone tool with the goal of
 automatically reformatting C++ sources files according to configurable
 style guides. To do so, clang-format uses Clang's Lexer to transform an
 input file into a token stream and then changes all the whitespace around
 those tokens. The goal is for clang-format to both serve both as a user
 tool (ideally with powerful IDE integrations) and part of other
 refactoring tools, e.g. to do a reformatting of all the lines changed
 during a renaming.
 .
 This package also provides vim and emacs plugins.

Package: clang-format-8
Description-md5: 5fdb7838fa336de9de2703cb644c12e4
Description-en: Tool to format C/C++/Obj-C code
 Clang-format is both a library and a stand-alone tool with the goal of
 automatically reformatting C++ sources files according to configurable
 style guides. To do so, clang-format uses Clang's Lexer to transform an
 input file into a token stream and then changes all the whitespace around
 those tokens. The goal is for clang-format to both serve both as a user
 tool (ideally with powerful IDE integrations) and part of other
 refactoring tools, e.g. to do a reformatting of all the lines changed
 during a renaming.
 .
 This package also provides vim and emacs plugins.

Package: clang-format-9
Description-md5: 5fdb7838fa336de9de2703cb644c12e4
Description-en: Tool to format C/C++/Obj-C code
 Clang-format is both a library and a stand-alone tool with the goal of
 automatically reformatting C++ sources files according to configurable
 style guides. To do so, clang-format uses Clang's Lexer to transform an
 input file into a token stream and then changes all the whitespace around
 those tokens. The goal is for clang-format to both serve both as a user
 tool (ideally with powerful IDE integrations) and part of other
 refactoring tools, e.g. to do a reformatting of all the lines changed
 during a renaming.
 .
 This package also provides vim and emacs plugins.

Package: clang-tidy
Description-md5: e32373427b28170217c40b30ee117e2f
Description-en: clang-based C++ linter tool
 Provide an extensible framework for diagnosing and fixing typical programming
 errors, like style violations, interface misuse, or bugs that can be deduced
 via static analysis. clang-tidy is modular and provides a convenient interface
 for writing new checks.
 .
 clang-tidy replaces clang-modernize
 .
 This is a dependency package providing the clang tidy tool.

Package: clang-tidy-10
Description-md5: 239d974b460ce0b6a914aa94c05888ad
Description-en: clang-based C++ linter tool
 Provide an extensible framework for diagnosing and fixing typical programming
 errors, like style violations, interface misuse, or bugs that can be deduced
 via static analysis. clang-tidy is modular and provides a convenient interface
 for writing new checks.

Package: clang-tidy-6.0
Description-md5: 239d974b460ce0b6a914aa94c05888ad
Description-en: clang-based C++ linter tool
 Provide an extensible framework for diagnosing and fixing typical programming
 errors, like style violations, interface misuse, or bugs that can be deduced
 via static analysis. clang-tidy is modular and provides a convenient interface
 for writing new checks.

Package: clang-tidy-7
Description-md5: 239d974b460ce0b6a914aa94c05888ad
Description-en: clang-based C++ linter tool
 Provide an extensible framework for diagnosing and fixing typical programming
 errors, like style violations, interface misuse, or bugs that can be deduced
 via static analysis. clang-tidy is modular and provides a convenient interface
 for writing new checks.

Package: clang-tidy-8
Description-md5: 239d974b460ce0b6a914aa94c05888ad
Description-en: clang-based C++ linter tool
 Provide an extensible framework for diagnosing and fixing typical programming
 errors, like style violations, interface misuse, or bugs that can be deduced
 via static analysis. clang-tidy is modular and provides a convenient interface
 for writing new checks.

Package: clang-tidy-9
Description-md5: 239d974b460ce0b6a914aa94c05888ad
Description-en: clang-based C++ linter tool
 Provide an extensible framework for diagnosing and fixing typical programming
 errors, like style violations, interface misuse, or bugs that can be deduced
 via static analysis. clang-tidy is modular and provides a convenient interface
 for writing new checks.

Package: clang-tools
Description-md5: 35e3559feb87e5ef96dd76ef6e569cfd
Description-en: clang-based tools
 Clang project is a C, C++, Objective C and Objective C++ front-end
 for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler
 Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This is a dependency package providing the clang tools package.

Package: clang-tools-10
Description-md5: da24a5a87f39211c1ff10f03f06e0a3c
Description-en: clang-based tools for C/C++ developments
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This package contains some clang-based tools like scan-build, clang-cl, etc.

Package: clang-tools-6.0
Description-md5: e0ee42d606f86c7a3ed2a8807472b9f3
Description-en: clang-based tools for C/C++ developments
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains some clang-based tools like scan-build, clangd,
 clang-cl, etc.

Package: clang-tools-7
Description-md5: e0ee42d606f86c7a3ed2a8807472b9f3
Description-en: clang-based tools for C/C++ developments
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains some clang-based tools like scan-build, clangd,
 clang-cl, etc.

Package: clang-tools-8
Description-md5: 7383eb4c4968d58c794ab9a86ffc588e
Description-en: clang-based tools for C/C++ developments
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains some clang-based tools like scan-build, clang-cl, etc.

Package: clang-tools-9
Description-md5: 7383eb4c4968d58c794ab9a86ffc588e
Description-en: clang-based tools for C/C++ developments
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains some clang-based tools like scan-build, clang-cl, etc.

Package: clangd
Description-md5: 3b7cb01eb87cc13d9033aca5bf9d0f1d
Description-en: Language server that provides IDE-like features to editors
 clangd understands your C++ code and adds smart features to your editor:
  - code completion
  - compile errors
  - go-to-definition
  - and more.
 .
 clangd is a language server that implements the Language Server Protocol;
 it can work with many editors through a plugin.
 .
 This is a dependency package providing clangd.

Package: clangd-10
Description-md5: 9ae9ea58c7af3228199dd96c36ecd0bb
Description-en: Language server that provides IDE-like features to editors
 clangd understands your C++ code and adds smart features to your editor:
  - code completion
  - compile errors
  - go-to-definition
  - and more.
 .
 clangd is a language server that implements the Language Server Protocol;
 it can work with many editors through a plugin.

Package: clangd-8
Description-md5: 9ae9ea58c7af3228199dd96c36ecd0bb
Description-en: Language server that provides IDE-like features to editors
 clangd understands your C++ code and adds smart features to your editor:
  - code completion
  - compile errors
  - go-to-definition
  - and more.
 .
 clangd is a language server that implements the Language Server Protocol;
 it can work with many editors through a plugin.

Package: clangd-9
Description-md5: 9ae9ea58c7af3228199dd96c36ecd0bb
Description-en: Language server that provides IDE-like features to editors
 clangd understands your C++ code and adds smart features to your editor:
  - code completion
  - compile errors
  - go-to-definition
  - and more.
 .
 clangd is a language server that implements the Language Server Protocol;
 it can work with many editors through a plugin.

Package: clanlib-doc
Description-md5: d6492b26d5bab7f025856f673098bdc8
Description-en: Reference documentation and tutorials for ClanLib
 ClanLib delivers a platform independent interface to write games with.
 If a game is written with ClanLib, it should be possible to compile the
 game under any platform (supported by ClanLib, that is) without changing
 the application source code.
 .
 But ClanLib is not just a wrapper library, providing a common interface
 to low-level libraries such as DirectFB, DirectX, OpenGL, X11, etc. While
 platform independence is ClanLib's primary goal, it also tries to be a
 service-minded game SDK. In other words, great effort has been put into
 designing the API, to ensure ClanLib's ease of use - while maintaining its
 power.
 .
 This package contains html documentation for the ClanLib API and some
 tutorials to ease the learning curve for programming with it.

Package: clasp
Description-md5: 618ecb2971ab1f6d5fd7213f2985ff5b
Description-en: conflict-driven nogood learning answer set solver
 clasp is an answer set solver for (extended) normal logic
 programs. It combines the high-level modeling capacities of answer
 set programming (ASP) with state-of-the-art techniques from the area
 of Boolean constraint solving. The primary clasp algorithm relies on
 conflict-driven nogood learning, a technique that proved very
 successful for satisfiability checking (SAT). Unlike other learning
 ASP solvers, clasp does not rely on legacy software, such as a SAT
 solver or any other existing ASP solver. Rather, clasp has been
 genuinely developed for answer set solving based on conflict-driven
 nogood learning. clasp can be applied as an ASP solver (on LPARSE
 output format), as a SAT solver (on simplified DIMACS/CNF format), or
 as a PB solver (on OPB format).

Package: classicmenu-indicator
Description-md5: 508b3337101fdb56e732ba52caed5559
Description-en: indicator showing the main menu from GNOME Classic
 ClassicMenu Indicator is a notification area applet (application
 indicator) for the top panel of Ubuntu’s Unity desktop environment
 and other environments that support the AppIndicator protocol.
 .
 It provides a simple way to get a GNOME Classic-style application
 menu for those who prefer this over the Unity dash menu.

Package: classified-ads
Description-md5: ee649a652f8d0cd7d1708c47f5662ba2
Description-en: Program for displaying classified advertisement items
 Classified ads is an attempt to re-produce parts of the functionality
 that went away when usenet news ceased to exist. This attempt tries to
 fix the problem of disappearing news-servers so that there is no
 servers required; data storage is implemented inside client
 applications that users are running. Features of the program
 currently include
  * Posting of ads online, with categorization.
  * Replying to ads either in public or privately to operator.
  * Binary attachments to posts.
  * Providing basic data of operators
  * Basic searching of content based on words.
  * Audio negotiations between operators.
  * Possibility to extend the UI using Tcl scripting language
  * Sharing data between Tcl programs using general-purpose distributed db

Package: classmate-artwork
Description-md5: 9579e8b39860d7d55d122e0c92b97a05
Description-en: classmate specific artwork adjustments
 Specific GUI adjustments for the 800x480 screen size of the classmatePC

Package: claws-mail
Description-md5: f9d9f85803d938ab3d5fbc85f90e263a
Description-en: Fast, lightweight and user-friendly GTK+2 based email client
 Claws Mail is a powerful and full-featured mail client formerly called
 Sylpheed-Claws. It is also extensible using loadable plugins, which can
 provide support for additional features, like other storage formats,
 feed reader, calendar management, mail filtering, etc.

Package: claws-mail-acpi-notifier
Description-md5: 4e5b85c8942d81ee4bd233418f63a2a2
Description-en: Laptop's Mail LED control for Claws Mail
 This plugin for the Claws Mail mailer enables notification
 of new mail using the mail LED available on some portable computer
 models from Acer, ASUS, Fujitsu and IBM makers.

Package: claws-mail-address-keeper
Description-md5: faacd3fe1d0d1606f5c86d62f6196a38
Description-en: Address keeper plugin for Claws Mail
 This plugin allows saving outgoing addresses to a designated folder
 in the address book. Addresses are saved only if not found in the
 address book to avoid unwanted duplicates.
 .
 Selecting which headers are scanned for keeping addresses is also
 supported, one or several of 'To', 'Cc' and/or 'Bcc'.

Package: claws-mail-archiver-plugin
Description-md5: 94bf682ad3a2ffe62004d3a22e4d9e3b
Description-en: Archiver plugin for Claws Mail
 This plugin for Claws Mail allows archiving of account's folders (IMAP,
 POP or local) as well as vcalendar folders.
 .
 Several formats are supported (TAR, PAX, SHAR and CPIO) with optional
 compression methods (GZIP, BZIP2).

Package: claws-mail-attach-remover
Description-md5: 3fee7e31954e9d3ea9d5d1cf1822cec8
Description-en: Mail attachment remover for Claws Mail
 This plugin for the Claws Mail mailer provides ways to remove unwanted
 attachments from received mails.
 .
 All attachments are removed from the selected mails when more than one
 message is selected.
 .
 You can also remove individual attachments from messages.

Package: claws-mail-attach-warner
Description-md5: 59e83182f81ae39e1bd2208a237368c7
Description-en: Missing attachment warnings for Claws Mail
 This plugin for Claws Mail warns the user with a popup window if some
 reference to an attachment is found in the composed mail text but no
 file is attached.

Package: claws-mail-bogofilter
Description-md5: 504a951df8914653f11ee4bb5deb69db
Description-en: Bogofilter plugin for Claws Mail
 This plugin for Claws Mail will scan received mail using Bogofilter
 to decide if it is spam or not. Users can also teach Bogofilter
 to recognise spam or ham using the corresponding menu entries.

Package: claws-mail-bsfilter-plugin
Description-md5: 1ddf77a7ec2ac108b230c93b1a2583be
Description-en: Spam filtering using bsfilter for Claws Mail
 This plugin for Claws Mail allows filtering of spam messages using the
 Bayesian filtering program bsfilter.
 .
 The bsfilter can be trained with spam and ham messages to improve its
 spam detection capabilities.

Package: claws-mail-clamd-plugin
Description-md5: 6954540c2d783c54db171f63f9fb0a24
Description-en: ClamAV socket-based plugin for Claws Mail
 This plugin uses Clam AntiVirus to scan all messages that are
 received from an IMAP, POP or local account.
 .
 When a message attachment is found to contain a virus it can be
 deleted or saved in a specially designated folder.
 .
 Due to licensing issues this plugin does not link against any
 libraries from ClamAV. The plugin therefore requires a ClamAV
 daemon running, either on localhost or somewhere else, for the
 plugin to access it via a Unix or TCP socket.

Package: claws-mail-dillo-viewer
Description-md5: bb042d60efe411fef778c5d1091a04f5
Description-en: HTML viewer plugin for Claws Mail using Dillo
 This is an HTML viewer plugin that uses the Dillo browser to render the
 HTML message parts in the Claws Mail message view window.
 .
 Additionally allows for:
 • Safe browsing to avoid problems with malicious HTML mails.
 • Fetching and displaying remote images.
 • Browsing the web from within of Claws Mail.

Package: claws-mail-doc
Description-md5: 5908123576c87afa2da6cc4147785777
Description-en: User documentation for Claws Mail mailer
 This package provides the Claws Mail user's manual. The document is
 available in text and HTML formats for screen reading, and also PostScript
 and PDF formats for printing.

Package: claws-mail-extra-plugins
Description-md5: 5be0713364e2ea1b54026a74529533cc
Description-en: Extra plugins collection for Claws Mail
 This is a metapackage for installing all extra plugins packages
 available from the upstream site for the Claws Mail mailer.
 .
 Historically these were plugins shipped in a separate tarball
 but nowadays they are included in Claws Mail sources.
 .
 See each individual package description for further details.

Package: claws-mail-feeds-reader
Description-md5: cd0f79d2ef1c9e1bc12cdb61bf6907a0
Description-en: Feeds (RSS/Atom/RDF) reader plugin for Claws Mail
 The RSSyl plugin provides feeds reading capability for Claws Mail mailer.
 .
 Supported feed formats are:
 • RSS 1.0 (Resource Description Framework)
 • RSS 2.0
 • Atom (RFC 4287)
 .
 Has also per-feed customization features, transforming Claws Mail into
 a powerful lightweight feeds reader.

Package: claws-mail-fetchinfo-plugin
Description-md5: 841a2ef97926188460ae1c13942bfc38
Description-en: Add X-FETCH headers plugin for Claws Mail
 This plugin for the Claws Mail mailer enables adding useful extra headers
 to the received mails with information like the receiving account or the
 server the mail was downloaded from. These extra headers can be used for
 filtering and/or processing messages more appropriately.

Package: claws-mail-gdata-plugin
Description-md5: c45fbb7e0aea3befe51099824fafe46b
Description-en: Access to GData (Google services) for Claws Mail
 This plugin provides access to the Google services using the
 GData library.
 .
 The currently implemented feature is to make your Google contacts
 available for automatic completion of address headers when
 composing a mail message.

Package: claws-mail-i18n
Description-md5: c260b84bb0144f68c9ec6679901e405c
Description-en: Locale data for Claws Mail (i18n support)
 This package provides support for additional languages to the
 Claws Mail mailer.
 Currently available locales are:
  ca (Catalan)                  cs (Czech)
  da (Danish)                   de (German)
  en_GB (British English)       es (Spanish)
  fi (Finnish)                  fr (French)
  he (Hebrew)                   hu (Hungarian)
  id_ID (Indonesian)            it (Italian)
  ja (Japanese)                 nb (Norwegian Bokmål)
  nl (Dutch)                    pt_BR (Brazilian Portuguese)
  pl (Polish)                   ro (Romanian)
  ru (Russian)                  sk (Slovak)
  sv (Swedish)                  tr (Turkish)
  zh_TW (Traditional Chinese)

Package: claws-mail-libravatar
Description-md5: eacf857760854ac440624d8426a2591c
Description-en: Display sender avatar from a libravatar server
 This plugin for the Claws Mail mailer allows retrieval of profile
 images from libravatar servers, either federated servers (running
 on sender's domain) or the main libravatar.org server.
 .
 Currently supports all default missing icon modes on libravatar.org
 service, and makes extensive use of disk caching to minimize the
 number of network requests.
 .
 Nevertheless, it may add several network requests per message, so
 if you're on a high latency network you may experience some delay
 displaying messages.

Package: claws-mail-litehtml-viewer
Description-md5: ddf06b17b1cf162943a869e65f9563c9
Description-en: HTML viewer plugin using litehtml library
 This is an HTML viewer plugin that uses the litehtml to render the
 HTML message parts in the Claws Mail message view window.
 .
 Users of old Fancy plugin may find this viewer more similar than
 other HTML viewer plugins, though you may miss some feature.

Package: claws-mail-mailmbox-plugin
Description-md5: 19bf8bc8f99d98d9d59ffcb51cfd2ee5
Description-en: mbox format mailboxes handler for Claws Mail
 The mailMBOX plugin for Claws Mail provides the ability of handling
 existing mbox format files using the libetpan library.
 .
 Once added, these files appear like mailer's native mail folders.

Package: claws-mail-managesieve
Description-md5: 1ea5983ecb3e0c7f5f7259242639d731
Description-en: manage Sieve filters with Claws Mail
 This plugin for Claws Mail mailer provides an interface for
 managing filtering scripts on Sieve-compliant mail servers.
 .
 It allows creating new, editing and removing existing server
 scripts. Syntax checking is also available, but knowledge of
 filtering language is required.

Package: claws-mail-multi-notifier
Description-md5: 4afbd30463edcbea413b068e8dcea0de
Description-en: Various new mail notifiers for Claws Mail
 This plugin for Claws Mail mailer collects several ways of notification
 of new (and possibly unread) mail to the user.
 .
 Currently the following methods are implemented:
  - a banner
  - a popup window
  - a custom command
  - a message to a LCD daemon
  - a system tray icon
  - an indicator

Package: claws-mail-newmail-plugin
Description-md5: be9616baf10620781e523d42d04b288b
Description-en: New mail logger plugin for Claws Mail
 This plugin for the Claws Mail mailer writes a summary of new messages'
 headers to a log file.
 .
 This summary is written on arrival of new mail and after sorting it.

Package: claws-mail-pdf-viewer
Description-md5: 0d8443a0c4b9cabce87b62e22cf4d319
Description-en: PDF and PostScript attachment viewer for Claws Mail
 This plugin allows Claws Mail to view PDF and PostScript files
 attached to mails in the message window.
 .
 PostScript requires 'gs' tool to be available for conversion,
 otherwise plugin will only be able to display PDF attachments.

Package: claws-mail-perl-filter
Description-md5: e54b415a2b4c0548f40cd4753adbc9ae
Description-en: Message filtering plugin using perl for Claws Mail
 This plugin enables filtering of Claws Mail messages using perl
 regular expressions and, in fact, giving all the perl features and
 power to the filtering engine.
 .
 A tool for converting the current filter set of the user into a
 equivalent perl script suitable for the plugin is also provided.

Package: claws-mail-pgpinline
Description-md5: 7166e0d7bd8f79fd291d57cd18bcc651
Description-en: PGP/inline plugin for Claws Mail
 This plugin for Claws Mail verifies signatures of digitally signed
 messages, and decrypts encrypted messages. It's also required
 to send signed and encrypted messages using inline method (deprecated).

Package: claws-mail-pgpmime
Description-md5: 5429c2a80781d2ea107fbbfad8cab2ed
Description-en: PGP/MIME plugin for Claws Mail
 This plugin for Claws Mail verifies signatures and decrypts
 messages. It does also provide the PGP/core plugin for use with other
 privacy plugins, required also for signing and encrypting mails.

Package: claws-mail-plugins
Description-md5: aea89c1eacea28211295db1a94b6b8c8
Description-en: Installs plugins for the Claws Mail mailer
 This is a metapackage to install core plugin packages provided
 by the Claws Mail sources. Historically these were the only
 plugins provided along with Claws Mail itself.
 .
 See the claws-mail-extra-plugins package for more plugins.

Package: claws-mail-smime-plugin
Description-md5: b0bded1d04f084c1ec70a2a4f594350b
Description-en: S/MIME signature/encryption handling for Claws Mail
 This plugin handles S/MIME signed and/or encrypted mails in Claws Mail.
 You can decrypt mails, verify signatures or sign and encrypt your own mails.
 .
 S/MIME is also known as PKCS#7 and uses the application/pkcs7-signature
 or application/x-pkcs7-signature MIME subtype within messages.
 .
 Receiving an attachment called smime.p7m is also sign of this kind of
 signature usage.

Package: claws-mail-spam-report
Description-md5: 15cf727eb20d84e8a0a571ad876e60dc
Description-en: Spam reporting plugin for Claws Mail
 This plugin for Claws Mail allows sending reports about spam
 messages received to spam harvesting sites online.
 .
 Currently are supported the signal-spam.fr and spamcop.net
 sites and also the Debian lists spam nomination system.

Package: claws-mail-spamassassin
Description-md5: 7776d5cb9efb31a0d77a00c53abdcc32
Description-en: SpamAssassin plugin for Claws Mail
 This plugin for Claws Mail will filter all received email through
 a SpamAssassin daemon to decide if it is spam or not and process them
 accordingly.

Package: claws-mail-themes
Description-md5: dba534e1c3f86661e2a4e9c042766f96
Description-en: Pixmap icon themes for the Claws Mail mailer
 These are the user contributed icon themes for the Claws Mail mailer.
 Currently includes 39 icon themes from various authors:
 .
  achileus-noname         A_Ducks_Claw            black
  Blaue_Klaue             blue_anarchy            clawsola
  Coons-Blue-0.3          Crystal                 elementary
  Everaldo_Kids           Fugue                   Gnomaws-0.6a
  Gnome gnome-brave       Gnomeria                Gorillaws
  Graphitte-0.9.7         hydroxygen              Korillaws
  Kovico-claws            Logos-1.0.1             mongrel
  mongrel2                mozilla                 Navigator
  New_Session             Old_Dark_Theme          orbit-claws
  Phoenity                Plain_and_Bluish        Skypilot_Clawssic
  stw                     Sylpholution            SylZilla
  Tango_v1.2.1            tml02c                  tom_2.1.1
  UltimateClawsMail0.5.1  XeNtish

Package: claws-mail-tnef-parser
Description-md5: b8e73b52146e065a3bdff4bb8f78cc0c
Description-en: TNEF attachment handler for Claws Mail
 This plugin for Claws Mail allows reading of application/ms-tnef
 attachments. These attachments usually come from Microsoft mailers
 with the name 'winmail.dat'.

Package: claws-mail-tools
Description-md5: 38d2e1956f817d4610778a5eac021743
Description-en: Helper and utility scripts for Claws Mail mailer
 Several scripts in a variety of languages which provide ready to use
 solutions to common problems found by Claws Mail users.
 E.g.: mailboxes migration, addressbooks conversion, etc.
 .
 See README files for further details about included scripts.

Package: claws-mail-vcalendar-plugin
Description-md5: 6800bec1a1d9b26bab9d54ee77eb5c60
Description-en: vCalendar message handling plugin for Claws Mail
 The vCalendar plugin for Claws Mail provides vCalendar message
 handling (the meeting subset of the vCalendar format), displaying
 such mails in a nice format, letting you create and send meetings,
 and creating a virtual folder with the meetings you sent or received.
 .
 The functionality is similar to the one provided by Evolution for
 that mails.

Package: clawsker
Description-md5: fffadf27f43829f15cdafcf78faa3d76
Description-en: Configuration tweaker for Claws Mail
 Clawsker is an applet to edit the so called Claws Mail hidden preferences.
 .
 Claws Mail is a fast, lightweight and feature-rich MUA with a high number
 of configurable options. To keep the binary small and fast some of these
 preferences which not widely used are not provided with a graphical
 interface for inspection and/or modification.
 .
 Users wanting to edit such preferences had to face raw edition of their
 configuration files, now you can do it with a convenient GTK+2 interface
 using Clawsker.

Package: clazy
Description-md5: 5bdd5d8030f3ce392b4cd4a160016c2b
Description-en: Clang plugin for additional warnings
 Clazy is a Clang plugin which extends the compiler with over
 50 warnings related to Qt best practices ranging from unneeded
 memory allocations to API misuse. It’s an opensource project
 spawned by KDAB’s R&D efforts for better C++ tooling.

Package: clblas-client
Description-md5: be1486d102cd9469a45a7115eef5a830
Description-en: client program for clBLAS
 This library provides an implementation of the Basic Linear Algebra
 Subprograms levels 1, 2 and 3, using OpenCL and optimized for AMD GPU
 hardware.
 .
 This package provides the client program.

Package: clc-intercal
Description-md5: 8377fcaece3b12bf7911a8ee0bcb2da8
Description-en: Compiler for the INTERCAL language
 This package provides a Perl-based compiler for the INTERCAL
 programming language, usable either from the command line or as a
 Perl module.
 .
 CLC-INTERCAL is designed to be almost compatible with the original
 (Princeton 1972) compiler.  It also implements several extensions to
 the original language, including support for object orientation,
 operator overloading and quantum computing.

Package: cldump
Description-md5: 845bedeaa45e56a729a79c91dfc56651
Description-en: Clarion database files extractor
 The cldump utility allows you to extract the content of a Clarion database;
 Clarion is a Windows IDE similar to Delphi and others, and has its own
 (simple) database format.
 .
 cldump can export the content of the database to CSV or SQL, plus its own
 "format" which dumps all the meta data along with the data contained in
 the database. When using the SQL output, you'll get a nearly ready-to-go
 dump of the database that will create the table and the indexes and insert
 the data into the table.
 .
 Note that cldump doesn't support all the datatypes yet; patches welcome.
 .
 You may be interested in the dbview package too, which can dump dBase files.

Package: cleancss
Description-md5: 899b492607a1d539f2489a7d1d8bf45c
Description-en: Tool for minifying CSS files
 Clean-css is a Node.js module for minifying CSS files. It does the same job as
 YUI Compressor's CSS minifier, but much faster thanks to many speed
 optimizations and Node.js' V8 engine.
 .
 The cleancss tool is a reference implementation for the Clean-css Node.js
 module.

Package: clearcut
Description-md5: df5efa914d97c43b1e4523927f0b73d9
Description-en: extremely efficient phylogenetic tree reconstruction
 Clearcut is the reference implementation for the Relaxed Neighbor Joining (RNJ)
 algorithm by J. Evans, L. Sheneman, and J. Foster from the Initiative
 for Bioinformatics and Evolutionary Studies (IBEST) at the University of
 Idaho.

Package: clearlooks-phenix-theme
Description-md5: 8347eb5fd4bd2a6e5b7009c953079859
Description-en: GTK3 port of Clearlooks theme
 Clearlooks-Phénix is a GTK3 theme which is a port of Clearlooks, the
 default theme for GNOME 2.  Files for GTK3 is a Clearlooks theme ported
 to GTK3 CSS language. Files for GTK2 come from the unmodified Clearlooks
 theme.

Package: clearsilver-dev
Description-md5: b5293162c81649db90dbcaa016da224f
Description-en: headers and static library for clearsilver
 Clearsilver is a fast, powerful, and language-neutral HTML template system.
 In both static content sites and dynamic HTML applications, it
 provides a separation between presentation code and application logic which
 makes working with your project easier.
 .
 This package contains the C headers, the static library and the cstest binary
 for deverlopers.

Package: clementine
Description-md5: 6c0d2467401a265b35dca91cffe047ef
Description-en: modern music player and library organizer
 Clementine is a multiplatform music player focusing on a fast and
 easy-to-use interface for searching and playing your music.
 .
 Summary of included features :
  - Search and play your local music library.
  - Listen to internet radio from SomaFM, Magnatune, Jamendo, Intergalactic FM,
    Digitally Imported, JAZZRADIO.com, ROCKRADIO.com, RadioTunes.com,
    Soundcloud, Icecast and Subsonic servers.
  - Search and play songs you've uploaded to Box, Dropbox, Google Drive, and
    OneDrive.
  - Create smart playlists and dynamic playlists.
  - Tabbed playlists, import and export M3U, XSPF, PLS and ASX.
  - CUE sheet support.
  - Play audio CDs.
  - Visualisations from projectM.
  - Lyrics and artist biographies and photos.
  - Transcode music into MP3, Ogg Vorbis, Ogg Speex, FLAC or AAC.
  - Edit tags on MP3 and OGG files, organise your music.
  - Fetch missing tags from MusicBrainz.
  - Discover and download Podcasts.
  - Download missing album cover art from Last.fm.
  - Native desktop notifications using libnotify.
  - Remote control using an Android device, a Wii Remote, MPRIS or the
    command-line.
  - Copy music to your iPod, iPhone, MTP or mass-storage USB player.
  - Queue manager.
 .
 It is largely a port of Amarok 1.4, with some features rewritten to take
 advantage of Qt4.

Package: cleo
Description-md5: 95031ce27a81a0488736815d76a467fd
Description-en: Play back shell commands for live demonstrations
 cleo is a utility for playing back pre-recorded shell commands in a
 live demonstration. cleo displays the commands as if you had actually
 typed them and then executes them interactively.

Package: clevis
Description-md5: c6ef1646c8a29856d6814e87887f94ae
Description-en: automated encryption framework
 Clevis is a plugable framework for automated decryption. It can be used
 to provide automated decryption of data or even automated unlocking of
 LUKS volumes.
 .
 It supports tang and shamir secret sharing (SSS).

Package: clevis-dracut
Description-md5: 69325bb448357ea243737f1ab16e1df7
Description-en: Clevis integration for dracut
 Clevis is a plugable framework for automated decryption. This package
 provides integration for dracut to automatically unlock LUKSv1 block
 devices in early boot.

Package: clevis-initramfs
Description-md5: 71e6956c28f7656e5cfa67e9b9ba0cfe
Description-en: Clevis initramfs integration
 Clevis is a plugable framework for automated decryption. This package
 provides integration for initramfs-tools to automatically unlock LUKSv1
 block devices in early boot.

Package: clevis-luks
Description-md5: ac3cb2305c24e58aaa531cd61fc468ae
Description-en: LUKSv1 integration for clevis
 This package allows you to bind a LUKSv1 volume to a clevis unlocking
 policy. For automated unlocking, an unlocker will also be required.
 See, for example, clevis-dracut and clevis-udisks2.

Package: clevis-systemd
Description-md5: 819a075becf102e0f1c853af6e2f6c9e
Description-en: systemd integration for clevis
 Clevis is a plugable framework for automated decryption. This package
 provides automatic unlocking of LUKSv1 _netdev block devices from
 /etc/crypttab.

Package: clevis-tpm2
Description-md5: a47425622ca670b0ea687bbf9af0bbba
Description-en: automated encryption framework, TPM2 support
 Clevis is a plugable framework for automated decryption. It can be used
 to provide automated decryption of data or even automated unlocking of
 LUKS volumes.
 .
 This package provides the TPM2 pin.

Package: clevis-udisks2
Description-md5: c1f01ed461c42d384f9fd19ef39347d2
Description-en: UDisks2/Storaged integration for clevis
 Clevis is a plugable framework for automated decryption. This package
 provides automatic unlocking LUKSv1 block devices in desktop
 environments that use UDisks2 or storaged.

Package: clex
Description-md5: d5b3423cfcdea8ca134d03f16e60b835
Description-en: command line file manager which uses the ncurses library
 Clex is a fully functional textual file-manager. It displays things
 like permissions, date of creation, filesize and others when browsing a
 directory. Clex also has the ability to accept commands with the
 default shell, and showing the output.

Package: clfft-client
Description-md5: 3a32a9a656d1706fffd523fa98bd2af8
Description-en: client program for clFFT
 The clFFT library is an open source OpenCL library implementation of discrete
 Fast Fourier Transforms, which:
 .
   * Provides a fast and accurate platform for calculating discrete FFTs.
   * Works on CPU or GPU backends.
   * Supports in-place or out-of-place transforms.
   * Supports 1D, 2D, and 3D transforms with a batch size that can be greater
     than 1.
   * Supports planar (real and complex components in separate arrays) and
     interleaved (real and complex components as a pair contiguous in memory)
     formats.
   * Supports dimension lengths that can be any mix of powers of 2, 3, and 5.
   * Supports single and double precision floating point formats.
 .
 This package provides the client program.

Package: clfswm
Description-md5: 8cad01eff42c930d6803db5959d5e3a7
Description-en: A(nother) Common Lisp FullScreen Window Manager
 CLFSWM is a 100% Common Lisp X11 window manager (based on Tinywm and
 Stumpwm. Many thanks to them).  It can be driven only with the
 keyboard or with the mouse.
 .
 A display contains a root frame and its children. A child can be a
 window or another frame. The root frame or its children can be the
 current root. The current root is fullscreen maximized (no
 decorations, no buttons, no menus: nothing, just the application
 fullscreen!).
 .
 CLFSWM is highly dynamic. By default there is only one frame (the root
 frame). Other frames are created/deleted on the fly. A window can be
 in more than one frame, so it can have multiple views of the same
 windows.
 .
 Using CLFSWM is like walking through a tree of frames and
 windows. Enter in a child to make it the current root and make it
 fullscreen maximized. Leave it to make its parent the current root.

Package: clhep-doc
Description-md5: c3a1fa48f4dfe8bd7fc09058e71daae8
Description-en: Documentation of CLHEP
 CLHEP provides a set of HEP-specific foundation and utility classes such
 as random generators, physics vectors, geometry and linear algebra.
 .
 This package provides HTML documentation of CLHEP.

Package: cli-common
Description-md5: c868d733992b0f798d46399562a779bf
Description-en: common files between all CLI packages
 This package must be installed if a CLI (Common Language Infrastructure)
 runtime environment is desired.
 .
 It covers useful integration and information for CLI implementations in
 Debian GNU/Linux, including:
  * The CLI policy describes how CLI packages should behave and integrate.
  * A FAQ for package maintainers of CLI/.NET applications.
  * Integration for CLRs (Common Language Runtime):
    + Installing libraries into existing GACs (Global Assembly Cache)

Package: cli-common-dev
Description-md5: 3920a08599d8970b5296ceb111af4410
Description-en: common files for building CLI packages
 This package must be installed if a CLI (Common Language Infrastructure)
 packaging environment is desired.
 .
 It includes debhelper scripts for managing automatic dependency tracking
 between native libraries, CLI libraries and CLI applications:
  * dh_clideps to generate cli:Depends information for debian/control
  * dh_makeclilibs to create clilibs files that are needed/used by dh_clideps
  * dh_installcligac to register assemblies to be late installed into a GAC
  * dh_cligacpolicy to create and install the policy files into a GAC
  * dh_clifixperms to fix permissions of files in CLI package build directories
  * dh_clistrip to strip CLI debug symbols from package build directories

Package: cli-spinner
Description-md5: 3cb501522d9879997583b1d70d9b54b7
Description-en: Simple command line that show a slash spinner
 Simple spinner for the commandline while your CLI application is working.
 The cli-spinner binary isn't really functional, it's a commandline
 example. If you to want use a spinner library in your software, please,
 install golang-github-odeke-em-cli-spinner-dev package.
 .
 This package contains a example binary with spinner slash.

Package: clickhouse-client
Description-md5: 6dceda8938e33615fa36694310e1e0cc
Description-en: column-oriented database system (cli client)
 ClickHouse is a column-oriented database management system that allows
 generating analytical data reports in real time.
 .
 This package provides ClickHouse CLI client.

Package: clickhouse-common
Description-md5: dafc4f101c8118146cf2903a05dfe5ed
Description-en: column-oriented database system (common files)
 ClickHouse is a column-oriented database management system that allows
 generating analytical data reports in real time.
 .
 This package provides common files for both ClickHouse server and client.

Package: clickhouse-server
Description-md5: 40ffe1390c0d529d732668d8ed763668
Description-en: column-oriented database system (server runner)
 ClickHouse is a column-oriented database management system that allows
 generating analytical data reports in real time.
 .
 This package provides ClickHouse server runner.

Package: clickhouse-tools
Description-md5: 25307a8fa689f823bd361f1d7b95d91a
Description-en: column-oriented database system (tools)
 ClickHouse is a column-oriented database management system that allows
 generating analytical data reports in real time.
 .
 This package provides various ClickHouse tools:
  - clickhouse-performance-test
  - clickhouse-benchmark
  - clickhouse-compressor
  - clickhouse-copier
 and some others.

Package: clif
Description-md5: 9a25d6e0da8cf54ff392b50fd5fa344a
Description-en: C language interpreter
 Clif, a C-like Interpreter Framework, is and open-ended system
 for fast development of programs with C syntax.  The program is
 compiled and if syntactically correct, code is immediately
 generated. The code is generated for  a virtual machine.
 The virtual machine is a part of the framework.

Package: cligh
Description-md5: 753e574d17647c32e46726de79617c26
Description-en: Command-line interface to GitHub
 This program allows working with GitHub from console.
 .
 Issues can be opened, closed, viewed, listed and commented. Repositories
 can be created, listed and forked. Collaborators can be viewed,
 added and removed.

Package: clinfo
Description-md5: 61f518af669d193a0d2dd298ab456672
Description-en: Query OpenCL system information
 OpenCL (Open Computing Language) is a multivendor open standard for
 general-purpose parallel programming of heterogeneous systems that include
 CPUs, GPUs and other processors.
 .
 This package contains a tool that queries the capabilities of the available
 OpenCL drivers.

Package: clipf
Description-md5: a9b9685699394534d27a460be2f9b8ec
Description-en: command line minimalistic personal finance manager
 'clipf' is a minimalistic personal finance manager with command
 line interface, written on Python.
 .
 hierarchical list of income/expense items. Item defined
 by segmented item code like food.drink.beer. Tab-completion
 make it easy to enter even long item codes. Reporting by
 item groups on any level of hierarchy.
 .
 Track turnover and remains by several independent accounts.
 .
 Export reports to text files also features aliases for
 frequently used commands.

Package: clipit
Description-md5: f8113cbb3418c4be7aaf7f8b6060bbcc
Description-en: lightweight GTK+ clipboard manager
 Clipboard manager with features such as:
  * Save history of your last copied items
  * Search through the history
  * Global hotkeys for most used functions
  * Execute actions with clipboard items
  * Exclude specific items from history
 .
 ClipIt was forked from Parcellite and adds many bugfixes and features to the
 project. For a full list of changes see either the ChangeLog in the package
 or http://clipit.rspwn.com/changelog/

Package: cliquer
Description-md5: 6430f2982eeea287f1bd7cdc1a178144
Description-en: clique searching program
 Cliquer search for cliques in arbitrary weighted and unweighted graphs.
 It can search for maximal cliques, maximal-weighted cliques or cliques within
 a given size range.

Package: clirr
Description-md5: 48044b49f6ce459d6ae164f5a4ff7b91
Description-en: Checks Java libraries for compatibility with older releases
 Clirr is a tool that checks Java libraries for binary and source
 compatibility with older releases. The Clirr Ant task can break
 the build if it detects incompatible API changes, making it easy to
 prevent accidental introduction of compatibility issues.

Package: clisp
Description-md5: bd606112c6e68065d5c3e4bf2ea84e07
Description-en: GNU CLISP, a Common Lisp implementation
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 It is Free Software and may be distributed under the terms of GNU GPL,
 while it is possible to distribute commercial proprietary applications
 compiled with GNU CLISP.
 .
 The user interface comes in English, German, French, Spanish, Dutch,
 Russian and Danish, and can be changed during run time.
 .
 GNU CLISP includes an interpreter, a compiler, a debugger, CLOS, MOP,
 a foreign language interface, a socket interface, i18n, fast bignums,
 arbitrary precision floats and more.
 An X11 interface is available through CLX, Garnet, CLUE/CLIO.
 GNU CLISP runs Maxima, ACL2 and many other Common Lisp packages.
 .
 This package contains the main CLISP executable, the link-kit, and the
 following modules: i18n, regexp, syscalls, readline, ASDF, rawsock,
 bindings/glibc.

Package: clisp-doc
Description-md5: 48178209c0c67734f0f29f4aab3ae93d
Description-en: GNU CLISP, a Common Lisp implementation (documentation)
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 This package contains the documentation for CLISP.

Package: clisp-module-berkeley-db
Description-md5: 54ee2627028cc0fbca855b7a56a4e579
Description-en: GNU CLISP module that adds an interface to Berkeley DB
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 This package adds a module to CLISP that implements an interface to the
 Berkeley DB.

Package: clisp-module-clx
Description-md5: 8dce40ecd796dcc40eb98cc49d585c58
Description-en: GNU CLISP module that adds X11 bindings
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 This adds a module to CLISP that implements an interface to the
 X11 called CLX.

Package: clisp-module-dbus
Description-md5: e5d9e87c24db82c4b7487ccf1a070bac
Description-en: GNU CLISP module that adds an interface to D-Bus
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 This adds a module to CLISP that implements an interface to the
 D-Bus system.

Package: clisp-module-gdbm
Description-md5: bcb10de03d2c3c7d7482879565840396
Description-en: GNU CLISP module that adds an interface to GNU DBM
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 This adds a module to CLISP that implements an interface to
 GNU DBM.

Package: clisp-module-pcre
Description-md5: 7088b6fe43e51edeaac2138814abc672
Description-en: GNU CLISP module that adds libpcre support
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 This adds a module to CLISP that implements an interface to the
 libpcre which implements Perl-compatible regular expressions.

Package: clisp-module-postgresql
Description-md5: b227c3be5f5023744682e423cfec930e
Description-en: GNU CLISP module that adds a PostgreSQL interface
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 This adds a module to CLISP that implements an interface to the
 PostgreSQL DB using the C bindings.

Package: clisp-module-zlib
Description-md5: 745092b4f14a16a1d5b4c3ec0e8c96ff
Description-en: GNU CLISP module that adds zlib support for vectors
 GNU CLISP is a Common Lisp implementation.
 It conforms to the ANSI Common Lisp standard, and offers many extensions.
 It runs on all desktop operating systems (GNU and Unix systems, macOS,
 Windows) and is particularly memory-efficient.
 .
 This adds a module to CLISP that implements a zlib interface,
 allowing you to compress vectors using zlib.

Package: clitest
Description-md5: df4d8066a8f71982c28b074ef78676c7
Description-en: performs automatic testing in command lines
 Clitest is a portable POSIX shell script that performs automatic
 testing in Unix command lines. This script was carefully coded to
 be portable between POSIX shells.
 .
 It's the same concept as in Python's doctest module: you document
 both the commands and their expected output, using the familiar
 interactive prompt format, and a specialized tool tests them.
 .
 The clitest command searches for pieces of text that look like
 interactive Unix command lines, and then executes those command
 lines to verify that they work exactly as shown.

Package: cloc
Description-md5: 46f1c02e62dfd50de10eefa0ee79ac14
Description-en: statistics utility to count lines of code
 Count physical lines of source code in the given files (may be
 archives such as compressed tarballs or zip files) and/or
 recursively below the given directories.
 .
 Counts blank lines, comment lines, and physical lines of source code
 in many programming languages. It is written entirely in Perl, using
 only modules from the standard distribution.

Package: clog
Description-md5: 12db889be3714d63dad6c845dcfe239d
Description-en: colorizing log tail utility
 Clog is useful for watching log files. It can spot patterns in an input
 stream and colorize or suppress the lines. It can also decorate the lines
 with time- or datestamps.

Package: clojure
Description-md5: 375367a1e5611012be8bf354320a8e10
Description-en: Lisp dialect for the JVM
 Clojure is a dynamic programming language that targets the Java Virtual
 Machine. It is designed to be a general-purpose language, combining the
 approachability and interactive development of a scripting language with
 an efficient and robust infrastructure for multithreaded programming.
 Clojure is a compiled language - it compiles directly to JVM bytecode,
 yet remains completely dynamic. Every feature supported by Clojure is
 supported at runtime. Clojure provides easy access to the Java
 frameworks, with optional type hints and type inference, to ensure that
 calls to Java can avoid reflection.
 .
 Clojure is a dialect of Lisp, and shares with Lisp the code-as-data
 philosophy and a powerful macro system. Clojure is predominantly a
 functional programming language, and features a rich set of immutable,
 persistent data structures. When mutable state is needed, Clojure offers
 a software transactional memory system and reactive Agent system that
 ensure clean, correct, multithreaded designs.

Package: clonalframe
Description-md5: 819b92534a0771df03d25aa1b0334115
Description-en: inference of bacterial microevolution using multilocus sequence data
 ClonalFrame identifies the clonal relationships between the members of
 a sample, while also estimating the chromosomal position of homologous
 recombination events that have disrupted the clonal inheritance.
 .
 ClonalFrame can be applied to any kind of sequence data, from a single
 fragment of DNA to whole genomes. It is well suited for the analysis of
 MLST data, where 7 gene fragments have been sequenced, but becomes
 progressively more powerful as the sequenced regions increase in length
 and number up to whole genomes. However, it requires the sequences to be
 aligned. If you have genomic data that is not aligned, it is recommend to
 use Mauve which produces alignment of whole bacterial genomes in
 exactly the format required for analysis with ClonalFrame.

Package: clonalframeml
Description-md5: d126c69c3417457c19f344bd1d674a69
Description-en: Efficient Inference of Recombination in Whole Bacterial Genomes
 ClonalFrameML is a software package that performs efficient inference of
 recombination in bacterial genomes. ClonalFrameML was created by Xavier
 Didelot and Daniel Wilson. ClonalFrameML can be applied to any type of
 aligned sequence data, but is especially aimed at analysis of whole
 genome sequences. It is able to compare hundreds of whole genomes in a
 matter of hours on a standard Desktop computer. There are three main
 outputs from a run of ClonalFrameML: a phylogeny with branch lengths
 corrected to account for recombination, an estimation of the key
 parameters of the recombination process, and a genomic map of where
 recombination took place for each branch of the phylogeny.
 .
 ClonalFrameML is a maximum likelihood implementation of the Bayesian
 software ClonalFrame which was previously described by Didelot and
 Falush (2007). The recombination model underpinning ClonalFrameML is
 exactly the same as for ClonalFrame, but this new implementation is a
 lot faster, is able to deal with much larger genomic dataset, and does
 not suffer from MCMC convergence issues

Package: clonalorigin
Description-md5: b298d0959363ca20b9f4e69cb5aa4fcf
Description-en: inference of homologous recombination in bacteria using whole genome sequences
 Bacteria, unlike us, can reproduce on their own. They do however have
 mechanisms that transfer DNA between organisms, a process more formally
 known as recombination. The mechanisms by which recombination takes
 place have been studied extensively in the laboratory but much remains
 to be understood concerning how, when and where recombination takes
 place within natural populations of bacteria and how it helps them to
 adapt to new environments. ClonalOrigin performs a comparative analysis
 of the sequences of a sample of bacterial genomes in order to
 reconstruct the recombination events that have taken place in their
 ancestry.

Package: clonezilla
Description-md5: f9c2e3ff6ca4a7aff674192c45254b5b
Description-en: bare metal backup and recovery of disk drives
 Clonezilla is an OpenSource clone system (OCS) solution with
 unicasting and multicasting.
 .
 Clonezilla, based on drbl, partclone and udpcast, allows you to do
 bare metal backup and recovery. This package provides Clonezilla SE
 (server edition) which is for massive deployment: it can clone many
 (40 plus!) computers simultaneously.
 .
 Clonezilla saves and restores only used blocks in the harddisk. This
 increases the clone efficiency. At the NCHC's Classroom C, Clonezilla
 SE was used to clone 41 computers simultaneously. It took only about
 10 minutes to clone a 5.6 GBytes system image to all 41 computers via
 multicasting.

Package: cloop-utils
Description-md5: 3d1d4288bcff38ee763800b505a55411
Description-en: Tools for handling with cloop compressed volumes
 Utilities for creating and unpacking compressed loopback files
 for cloop.

Package: closure-compiler
Description-md5: 17c9a89452a06536b402982e226ceb44
Description-en: JavaScript optimizing compiler
 Closure Compiler is a JavaScript optimizing compiler. It parses your
 JavaScript, analyzes it, removes dead code and rewrites and minimizes
 what's left. It also checks syntax, variable references, and types, and
 warns about common JavaScript pitfalls. It is used in many of Google's
 JavaScript apps, including Gmail, Google Web Search, Google Maps, and
 Google Docs.
 .
 This package contains the /usr/bin wrapper script and manpage.

Package: cloud-initramfs-growroot
Description-md5: 2a0d4bed7bada9873cf69d658abe0c23
Description-en: automatically resize the root partition on first boot
 This package adds functionality to an initramfs built by initramfs-tools.
 When installed, the initramfs will repartition a disk to make the
 root volume consume all space that follows it.
 .
 You most likely do not want this package unless you know what you are
 doing.  It is primarily interesting in a virtualized environment when
 a disk can provisioned with a size larger than its original size.
 In this case, with this package installed, you can automatically use
 the new space without requiring a reboot to re-read the partition table.

Package: cloud-initramfs-rescuevol
Description-md5: 8c7d5bea23ab4500ee06bad7105b2628
Description-en: boot off a rescue volume rather than root filesystem
 This package adds functionality to an initramfs built by initramfs-tools.
 When installed the initramfs will check to see if any partitions
 with a label of 'RESCUE_VOL' are attached.  If such a volume is attached,
 it will boot off that volume rather than the root volume.
 .
 This is useful in a cloud environment, when the user is able to attach
 and detach volumes to a running system, but has no other mechanism
 for interrupting of fixing a failed boot.  It is analogous to inserting
 a rescue CD into a system to recover from failure.

Package: cloud-initramfs-updateroot
Description-md5: f3db8d34df0e9fc0c67e5d7c16f80476
Description-en: extract a tarball over root filesystem before pivot
 Allows kernel command line updateroot=http://your.tar.gz
 And then tarball will be pulled and extracted over the root.

Package: cloud-sptheme-common
Description-md5: c406295f8bf6cc5c5c9a9e2e8233d161
Description-en: Cloud Sphinx theme and related extensions (theme files and docs)
 cloud_sptheme contains a Sphinx theme named "Cloud", and some related
 Sphinx extensions.  Cloud and its extensions are primarily oriented
 towards generating html documentation for Python libraries. It provides
 numerous small enhancements to make the html documentation more
 interactive, and improve the layout on mobile devices.
 .
 This package contains the theme files, shared by the python2 and
 python3 versions of the package. It also contains the documentation for
 the theme and the associated extensions.

Package: cloudcompare
Description-md5: e3b2889b460a3d2cdf91469d80a45500
Description-en: 3D point cloud and mesh processing software
 This is a 3D point cloud (and triangular mesh) processing software. It has
 been originally designed to perform comparison between two 3D points clouds
 (such as the ones obtained with a laser scanner) or between a point cloud
 and a triangular mesh.
 .
 It relies on a specific octree structure that enables great performances in
 this particular function. It was also meant to deal with huge point clouds
 (typically more than 10 millions points, and up to 120 millions with 2 GB
 of memory)

Package: cloudkitty-api
Description-md5: e2748c3cddc052e5cbfef76ce4baeca8
Description-en: OpenStack Rating as a Service - API server
 CloudKitty aims at filling the gap between metrics collection systems like
 ceilometer and a billing system.
 .
 Every metrics are collected, aggregated and processed through different rating
 modules. You can then query CloudKitty's storage to retrieve processed data
 and easily generate reports.
 .
 Most parts of CloudKitty are modular so you can easily extend the base code to
 address your particular use case.
 .
 This package contains the Cloudkitty API server.

Package: cloudkitty-common
Description-md5: dfa569abfac7039aa62ac414c43b0bd8
Description-en: OpenStack Rating as a Service - common files
 CloudKitty aims at filling the gap between metrics collection systems like
 ceilometer and a billing system.
 .
 Every metrics are collected, aggregated and processed through different rating
 modules. You can then query CloudKitty's storage to retrieve processed data
 and easily generate reports.
 .
 Most parts of CloudKitty are modular so you can easily extend the base code to
 address your particular use case.
 .
 This package contains common files for Cloudkitty.

Package: cloudkitty-doc
Description-md5: f3516716b5e4577044fe8f338788a17e
Description-en: OpenStack Rating as a Service - Documentation
 CloudKitty aims at filling the gap between metrics collection systems like
 ceilometer and a billing system.
 .
 Every metrics are collected, aggregated and processed through different rating
 modules. You can then query CloudKitty's storage to retrieve processed data
 and easily generate reports.
 .
 Most parts of CloudKitty are modular so you can easily extend the base code to
 address your particular use case.
 .
 This package contains the documentation.

Package: cloudkitty-processor
Description-md5: 0f129dd6518c0449a6f05639534f4ceb
Description-en: OpenStack Rating as a Service - processor
 CloudKitty aims at filling the gap between metrics collection systems like
 ceilometer and a billing system.
 .
 Every metrics are collected, aggregated and processed through different rating
 modules. You can then query CloudKitty's storage to retrieve processed data
 and easily generate reports.
 .
 Most parts of CloudKitty are modular so you can easily extend the base code to
 address your particular use case.
 .
 This package contains the Cloudkitty processor daemon.

Package: cloudprint
Description-md5: c5859a91e5075c6ebb4d2df020a942bf
Description-en: Google Cloud Print proxy
 Worker script to support a Google Cloud Print proxy. This can make
 locally-configured printers to be accessed by local or remote users over
 Cloud Print.

Package: cloudprint-service
Description-md5: 1591878b5dcacd33e2e935480f4eb86e
Description-en: provide a service for sharing printers on Google Cloud Print
 Share locally-defined CUPS printers with the Google Cloud Print service.
 The printers can be accessed locally or remotely by authorized users via
 multiple platforms.

Package: cloudsql-proxy
Description-md5: 8271a28fa8468eb1e7389adb7303d6d9
Description-en: connect securely to a 2nd generation Cloud SQL DB
 The Cloud SQL Proxy allows a user with the appropriate permissions to
 connect to a Second Generation Google Cloud SQL database without having
 to deal with IP whitelisting or SSL certificates manually. It works by
 opening unix/tcp sockets on the local machine and proxying
 connections to the associated Cloud SQL instances when the sockets
 are used.
 .
 Optional functionality can be enabled (--fuse) with access to `/dev/fuse`
 as well as the `fusermount` binary.
 .
 By default, the proxy will authenticate under the default service account
 of the Compute Engine VM it is running on. Therefore, the VM must have at
 least the sqlservice.admin API scope
 ("https://www.googleapis.com/auth/sqlservice.admin")
 and the associated project must have the SQL Admin API enabled.  The default
 service account must also have at least WRITER/EDITOR privileges to any
 projects of target SQL instances.

Package: clsync
Description-md5: dc90e1f8baf81e558800bdf9ef3fe58b
Description-en: live sync tool based on inotify, written in GNU C
 Clsync recursively watches for source directory and executes external
 program to sync the changes. Clsync is adapted to use together with rsync.
 This utility is much more lightweight than competitors and supports such
 features as separate queue for big files, regex file filter,
 multi-threading.

Package: clustalo
Description-md5: c5b8b242b518676693b5229bc2a15a5e
Description-en: General purpose multiple sequence alignment program for proteins
 Clustal-Omega is a general purpose multiple sequence alignment (MSA)
 program for dna/rna/proteins. It produces high quality MSAs and is capable of
 handling data-sets of hundreds of thousands of sequences in reasonable
 time.

Package: clustalw
Description-md5: 633246bd8fd1b951f15985f7cbfb1909
Description-en: global multiple nucleotide or peptide sequence alignment
 This program performs an alignment of multiple nucleotide or amino acid
 sequences. It recognizes the format of input sequences and whether the
 sequences are nucleic acid (DNA/RNA) or amino acid (proteins). The output
 format may be selected from in various formats for multiple alignments such as
 Phylip or FASTA. Clustal W is very well accepted.
 .
 The output of Clustal W can be edited manually but preferably with an
 alignment editor like SeaView or within its companion Clustal X. When building
 a model from your alignment, this can be applied for improved database
 searches. The Debian package hmmer creates such in form of an HMM.

Package: clustershell
Description-md5: d54510d9491af599a416049a6aa04a69
Description-en: Distributed shell that provides an efficient Python interface
 Event-based Python library to execute commands on local or distant
 cluster nodes in parallel depending on the selected engine and
 worker mechanisms.
 .
 The library provides also advanced nodeset handling methods. Its goal
 is to improve the administration of cluster by providing a lightweight
 but scalable API for developers.

Package: clusterssh
Description-md5: 08bbb1a9bb2e2b74d88867b64ad9a6a0
Description-en: administer multiple ssh or rsh shells simultaneously
 ClusterSSH (cssh, crsh, ctel) allows you to control multiple ssh, rsh
 or telnet sessions from a single input window.  You can also configure
 clusters of machines for easy invocation and interact with individual
 terminal windows during a session.

Package: clutter-1.0-tests
Description-md5: 51afb63914624c08a468668583080e6b
Description-en: Open GL based interactive canvas library (installed test programs)
 Clutter is an Open GL based interactive canvas library, designed for creating
 fast, mainly 2D single window applications such as media box UIs,
 presentations, kiosk style applications and so on.
 .
 This package contains installed test programs.

Package: clzip
Description-md5: f4f9082ace3754e4570abdb054e5ccc8
Description-en: C, lossless data compressor based on the LZMA algorithm
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 Lzip decompresses almost as fast as gzip and compresses better than bzip2,
 which makes it well suited for software distribution and data archiving.
 .
 Clzip is a C language version of lzip using the lzip file format; the files
 produced by clzip are fully compatible with lzip.
 .
 Clzip is intended for embedded devices or systems lacking a C++ compiler.

Package: cm-super
Description-md5: 9fe4e3aba570ff7c624f1fe742925226
Description-en: TeX font package (full version) with CM (EC) in Type1 in T1, T2*, TS1, X2 enc
 This package ships the full set of cm-super fonts, for a minimal variant
 install cm-super-minimal.
 .
 The CM-Super package contains Type 1 fonts converted from METAFONT
 fonts and covers entire EC/TC, EC Concrete, EC Bright and LH fonts
 (Computer Modern font families). All European and Cyrillic writings
 are covered. Each Type 1 font program contains ALL glyphs from the
 following standard LaTeX font encodings: T1, TS1, T2A, T2B, T2C, X2,
 and also Adobe StandardEncoding (585 glyphs per non-SC font and 468
 glyphs per SC font), and could be reencoded to any of these encodings
 using standard dvips or pdftex facilities (the corresponding support
 files are also included).

Package: cm-super-minimal
Description-md5: 39485e185bc328206134e010df0a53bb
Description-en: TeX font package (minimal version) with CM/EC in Type1 in T1, T2*, TS1, X2 enc
 This package ships the 10pt version of the various fonts. For the full
 set please install cm-super.
 .
 The CM-Super package contains Type 1 fonts converted from METAFONT
 fonts and covers entire EC/TC, EC Concrete, EC Bright and LH fonts
 (Computer Modern font families). All European and Cyrillic writings
 are covered. Each Type 1 font program contains ALL glyphs from the
 following standard LaTeX font encodings: T1, TS1, T2A, T2B, T2C, X2,
 and also Adobe StandardEncoding (585 glyphs per non-SC font and 468
 glyphs per SC font), and could be reencoded to any of these encodings
 using standard dvips or pdftex facilities (the corresponding support
 files are also included).

Package: cm-super-x11
Description-md5: 6c4222f30b1b90b442c3fcd2da993794
Description-en: Make the cm-super fonts available to X11
 This package makes the cm-super fonts available to X11. This package
 does not contain any fonts itself but allows one to reuse the cm-super
 fonts as X11 screen fonts.

Package: cmake-curses-gui
Description-md5: 9b41ab000523f38307827365d113b808
Description-en: curses based user interface for CMake (ccmake)
 CMake is used to control the software compilation process using simple
 platform and compiler independent configuration files. CMake generates native
 makefiles and workspaces that can be used in the compiler environment of your
 choice.
 .
 This package provides the CMake curses interface. Project configuration
 settings may be specified interactively through this GUI. Brief instructions
 are provided at the bottom of the terminal when the program is running. The
 main executable file for this GUI is "ccmake".

Package: cmake-extras
Description-md5: 465a216c1db639cf227162be3f5d25cc
Description-en: Extra CMake utility modules
 CMake modules which are used for Ubuntu development. In Debian, this package is
 helpful when porting Ubuntu originated software to Debian.
 .
 Included CMake modules:
 .
   - copyright testing
   - coverage testing
   - building gtest
   - doxygen documentation
   - code formatting
   - GDbus, GMock and GSettings modules
   - intltool helpers
   - QML plugin helper
   - and several more...

Package: cmake-fedora
Description-md5: 6c4b447889d16a17ed086e82abdf648e
Description-en: Set of scripts and cmake modules that simplify the release process
 cmake-fedora is designed to relieve you from chores of releasing software by:
 .
   - Keeping the consistency of release information such as version and update
     messages in:
     - Release notes
     - ChangeLog
     - Tags
     - Spec file
     - Fedpkg commit message
     - Bodhi notes
   - Source archive depends on the files to be packed.
   - Run rpmlint and koji scratch build before tag as sanity checks.
   - Easy upload to scp, sftp hosting services sites.
   - Translation targets like gettext and Zanata.
   - Provide license information and templates of CMakeLists.txt, spec files for
    new packages.
 .
 while avoid some pitfalls like all junk files are packed.

Package: cmake-qt-gui
Description-md5: 2b605ffa84235b56fbc6540b628c2c5f
Description-en: Qt based user interface for CMake (cmake-gui)
 CMake is used to control the software compilation process using simple
 platform and compiler independent configuration files. CMake generates native
 makefiles and workspaces that can be used in the compiler environment of your
 choice.
 .
 This package provides the CMake Qt based GUI. Project configuration
 settings may be specified interactively. Brief instructions are provided at
 the bottom of the window when the program is running. The main executable
 file for this GUI is "cmake-gui".

Package: cmake-vala
Description-md5: a4fa1b9a996772a07320201a1558f89e
Description-en: CMake utility modules needed for building Vala Panel (and related projects)
 CMake modules which are used for Vala Panel development.
 .
 Included CMake modules:
 .
   - various Find<PACKAGE> modules (e.g. BAMF, GTK+, PEAS, WNCK, X11)
   - various GLib helpers
   - various modules for Git based versioning
   - FindVala and ValaPrecompile modules
   - and others

Package: cmark
Description-md5: 2da08d2dcdd2e8ea361726705af20e03
Description-en: CommonMark parsing and rendering program
 cmark is the C reference implementation of CommonMark, a rationalized
 version of Markdown syntax with a spec. This package provides a
 command-line program (cmark) for parsing and rendering CommonMark
 documents.

Package: cmark-gfm
Description-md5: 8a8b3dc436b3a41e1f2fdd94720f824d
Description-en: CommonMark parsing and rendering program, GitHub flavor
 cmark-gfm is the GitHub flavor of the cmark C reference
 implementation of CommonMark, a rationalized version of Markdown
 syntax with a spec.  This package provides a command-line program
 (cmark-gfm) for parsing and rendering CommonMark documents.
 .
 This GitHub flavored version has extensions for org-mode style
 tables, auto-linking, and more.

Package: cmatrix
Description-md5: 9af1f58e4b6301a6583f036c780c6ae6
Description-en: simulates the display from "The Matrix"
 Screen saver for the terminal based in the movie "The Matrix". It works in
 terminals of all dimensions and have the following features:
  * Support terminal resize.
  * Screen saver mode: any key closes it.
  * Selectable color.
  * Change text scroll rate.

Package: cmatrix-xfont
Description-md5: 3cf18b96c42ea2720e3c7ed00bf8bcf7
Description-en: X11 font for cmatrix
 Console Matrix simulates the display from "The Matrix", this package provides
 the font to run cmatrix in an xterm.

Package: cmdreader
Description-md5: 2bbe4dbf631f779fa2ab959ae508142e
Description-en: Library that parses command line arguments
 Parses command line arguments. The CmdReader can turn strings like
 -fmv /foo/bar /bar/baz --color=white *.xyzzy *.cheese
 into something that easier to work with programmatically.

Package: cmdtest
Description-md5: e8e0b446e381405475887764acc551a4
Description-en: blackbox testing of Unix command line programs
 cmdtest black box tests Unix command line tools. Roughly, it is given a
 script, its input files, and its expected output files. cmdtest runs
 the script, and checks the output is as expected.
 .
 cmdtest is aimed specifically at testing non-interactive Unix command
 line programs, and tries to make that as easy as possible.
 .
 Also included is a "scenario testing" tool, yarn.

Package: cme
Description-md5: 45b506e74122752acb6b78c730f20bb6
Description-en: Check or edit configuration data with Config::Model
 cme provides a command to check or edit configuration data with
 Config::Model.
 .
 cme and Config::Model are quite modular: the configuration data that
 you can edit depend on installed packages. I.e.:
  - ssh client or ssh daemon config: libconfig-model-openssh-perl
  - approx config: libconfig-model-approx-perl
  - lcdproc config: libconfig-model-lcdproc-perl
  - popcon config: provided with libconfig-model-perl
  - systemd config: provided with libconfig-model-systemd-perl
 .
 Some applications can be handled by cme:
  - Debian package files: libconfig-model-dpkg-perl
  - multistrap files: provided with libconfig-model-perl
 .
 You can also choose a user interface:
  - graphical, based on Tk: libconfig-model-tkui-perl
  - curses based: libconfig-model-cursesui-perl
  - simple shell: provided with libconfig-model-perl
 .
 Last but not least, you can also take a stab at maintaining
 configuration model with libconfig-model-itself-perl.

Package: cmigemo
Description-md5: 6da276b2c63b47e28ae6040261e2ae56
Description-en: Japanese incremental search tool written in C - binary
 Migemo is a tool that supports Japanese incremental search with Romaji.
 Originally migemo is written in Ruby. C/Migemo provides search tool and
 library written in C.
 .
 This package provides binary.

Package: cmigemo-common
Description-md5: 9cdb31f83dd8d0619d6dbd3c80de4c4a
Description-en: Japanese incremental search tool written in C - common files
 Migemo is a tool that supports Japanese incremental search with Romaji.
 Originally migemo is written in Ruby. C/Migemo provides search tool and
 library written in C.
 .
 This package provides translation table from Romaji to Hiragana or
 Katakana and vise versa, and dictionary maintenance tools.

Package: cminpack-doc
Description-md5: 7916c27a10527d98830034de19fff8b2
Description-en: Nonlinear equations and nonlinear least squares problems (doc)
 Minpack includes software for solving nonlinear equations and nonlinear least
 squares problems. Five algorithmic paths each include a core subroutine and an
 easy-to-use driver. The algorithms proceed either from an analytic
 specification of the Jacobian matrix or directly from the problem functions.
 The paths include facilities for systems of equations with a banded Jacobian
 matrix, for least squares problems with a large amount of data, and for
 checking the consistency of the Jacobian matrix with the functions.
 .
 This is the C re-write of the original fortran minpack implementation.
 .
 This package contains documentation files for cminpack.

Package: cmis-client
Description-md5: 807028aaf2267b0d4fcfe1832a06abe1
Description-en: client for the CMIS protocol
 LibCMIS is a C++ client library for the CMIS interface.
 .
 This allows C++ applications to connect to any Enterprise Content Management
 system behaving as a CMIS server like Alfresco, Nuxeo. It also provides Access
 to Google Drive/OneDrive/SharePoint
 .
 This package contains the cmis-client standalone application.

Package: cmocka-doc
Description-md5: 1e4267f520b0334af6aa2a4b13b4ab65
Description-en: documentation for the CMocka unit testing framework
 This package contains the documentation and examples for CMocka.
 .
 The idea of CMocka is that a test application only requires the
 standard C library and the CMocka library itself to minimize conflicts
 with standard C headers especially on a lot of different platforms.
 .
 Features:
  * Easy to use framework to write unit tests
  * Support for mock objects
  * Testing of memory leaks, buffer overflows and underflows
  * Platform and compiler independent

Package: cmor-tables
Description-md5: 149c71641003b65e118f0967b4a44222
Description-en: MIP tables for the Climate Model Output Rewriter library
 This package contains tables for use with CMOR that describe the variables
 and format of data needed.
 .
 CMOR software uses these tables to verify that output is valid.

Package: cmospwd
Description-md5: 15fd197e22194ff29a906ee8ec37fee9
Description-en: decrypt BIOS passwords from CMOS
 CmosPwd is a cross-platform tool to decrypt password stored in CMOS used
 to access a computer's BIOS setup.
 .
 This application should work out of the box on most modern systems, but
 some more esoteric BIOSes may not be supported or may require additional
 steps.

Package: cmst
Description-md5: a922054eff00f41c44c72746a175ca0c
Description-en: QT GUI for Connman with system tray icon
 Graphical user interface to control the connman daemon.
 The connman daemon must be started as you normally would,
 this program just interfaces with that daemon.
 You can see what technologies and services connman has found,
 and for wifi services an agent is registered to assist in
 obtaining the information from you necessary to logon to the
 wifi service.

Package: cmt
Description-md5: fbf3dc8c03358936bc3995cc09a2fa9c
Description-en: LADSPA plugin collection
 Computer Music Toolkit is a collection of LADSPA compatible
 plugins that any conforming program may take advantage of.
 .
 Plugins available are: low/high pass filters, echo/feedback delay filters
 with configurable delays from 0.01 to 60 seconds, amplifies, white and
 pink noise generators, compressors, expanders, limiters, b/fmh encoders,
 drum synthesizers, lofi (low fidelity), phase modulator (phasemod) and
 many more.
 .
 These plugins are only usable in host applications, of which glame,
 sweep and others can be found in Debian.

Package: cmtk
Description-md5: 4f24b57b7c720df9d5e853df1eaf7483
Description-en: Computational Morphometry Toolkit
 A software toolkit for computational morphometry of biomedical
 images, CMTK comprises a set of command line tools and a back-end
 general-purpose library for processing and I/O.
 .
 The command line tools primarily provide the following functionality:
 registration (affine and nonrigid; single and multi-channel; pairwise
 and groupwise), image correction (MR bias field estimation;
 interleaved image artifact correction), processing (filters;
 combination of segmentations via voting and STAPLE; shape-based
 averaging), statistics (t-tests; general linear regression).

Package: cmus
Description-md5: 862a6bf2368d493298de5b26cd8f8bcb
Description-en: lightweight ncurses audio player
 C* Music Player is a modular and very configurable ncurses-based audio player.
 It has some interesting features like configurable colorscheme, mp3 and ogg
 streaming, it can be controlled with an UNIX socket, filters, album/artists
 sorting and a vi-like configuration interface.
 .
 It currently supports different input formats:
  - Ogg Vorbis
  - MP3 (with libmad)
  - FLAC
  - Wav
  - Modules (with libmodplug)
  - Musepack
  - AAC
  - Windows Media Audio

Package: cmus-plugin-ffmpeg
Description-md5: 4bb1386678fe15219a1b1422700d43a7
Description-en: lightweight ncurses audio player (FFmpeg plugin)
 C* Music Player is a modular and very configurable ncurses-based audio player.
 It has some interesting features like configurable colorscheme, mp3 and ogg
 streaming, it can be controlled with an UNIX socket, filters, album/artists
 sorting and a vi-like configuration interface.
 .
 This package adds FFmpeg support to C* Music Player.

Package: cmuscheme48-el
Description-md5: dafc274df6782e9c474de579aa72a425
Description-en: Emacs mode specialized for Scheme48
 Scheme48 associates loaded code with specific files. This extension
 to the normal scheme-mode of Emacs uses this facility to associated
 data sent to a inferior Scheme process with the correct file. Scheme
 48 will automatically evaluate the code in the correct package for
 the file.

Package: cnee
Description-md5: 2fab81d45ab2d8810d6635a5608bb504
Description-en: X event recorder/replayer - command-line flavor
 GNU Xnee is a suite of programs that can record, replay and
 distribute user actions under the X11 environment. Think of it as a
 robot that can imitate the job you just did.
 .
 Xnee can be used to
  - automate tests
  - demonstrate programs
  - distribute actions
  - record and replay 'macro'
  - retype a file
 .
 This package contains the command-line flavor of Xnee.

Package: cntlm
Description-md5: a09ce14efcc2b3cdd95e19cd49ef5d70
Description-en: Fast NTLM authentication proxy with tunneling
 Cntlm is a fast and efficient NTLM proxy, with support for TCP/IP tunneling,
 authenticated connection caching, ACLs, proper daemon logging and behaviour
 and much more. It has up to ten times faster responses than similar NTLM
 proxies, while using by orders or magnitude less RAM and CPU. Manual page
 contains detailed information.

Package: cnvkit
Description-md5: 0b8ab5ba6be9de3379277ab7a92a7b5d
Description-en: Copy number variant detection from targeted DNA sequencing
 A command-line toolkit and Python library for detecting copy number variants
 and alterations genome-wide from targeted DNA sequencing. It is designed for
 use with hybrid capture, including both whole-exome and custom target panels,
 and short-read sequencing platforms such as Illumina and Ion Torrent.

Package: cobertura
Description-md5: 3e8a7c49ab65490c63936fce78ae0af7
Description-en: java tool that calculates the percentage of code accessed by tests
 Tool that measures test coverage by instrumenting a Java code base and watching
 which lines of code are and are not executed as the test suite runs. In
 addition to identifying untested code and locating bugs, Cobertura can
 optimize code by flagging dead, unreachable code and can provide insights
 into how an API operates in practice. It is based on jcoverage.

Package: cobra
Description-md5: fbcf8ccfc0a3061ed7139da0143db05f
Description-en: Tool to generate Cobra-based modern Go CLI application
 Cobra is a Go library providing a simple interface to create powerful
 modern CLI interfaces similar to git & go tools.
 .
 Cobra has an exceptionally clean interface and simple design without
 needless constructors or initialization methods.
 .
 This package contains the Cobra Generator as /usr/bin/cobra,
 a tool that will generate your application scaffolding
 to rapidly develop a Cobra-based application.

Package: coccinella
Description-md5: d64dfced5f308859032ea19ac48bbe15
Description-en: Communication tool (XMPP/Jabber) with a built-in whiteboard
 Coccinella is a cross-platform communication tool with a built-in
 whiteboard for improved collaboration with other people.
 .
 The whiteboard is a shared desktop which supports text, drawings,
 images, and multimedia in a number of formats, such as MP3 and video.
 .
 The protocol being used by coccinella for chat and whiteboard communication
 is the XMPP protocol (Jabber).

Package: cockpit
Description-md5: 24b0aa0c07779dcba10e15ca9244d9e9
Description-en: Web Console for Linux servers
 The Cockpit Web Console enables users to administer GNU/Linux servers using a
 web browser.
 .
 It offers network configuration, log inspection, diagnostic reports, SELinux
 troubleshooting, interactive command-line sessions, and more.

Package: cockpit-389-ds
Description-md5: 29c953a4198b73567ac827862c4a3e0f
Description-en: Cockpit user interface for 389 Directory Server
 This package includes a Cockpit UI plugin for configuring and administering
 the 389 Directory Server.

Package: cockpit-bridge
Description-md5: 34419f40043aa83e9a0fb5968fe56ce7
Description-en: Cockpit bridge server-side component
 The Cockpit bridge component installed server side and runs commands on
 the system on behalf of the web based user interface.

Package: cockpit-dashboard
Description-md5: 1b1adf0b10d4d95da33a41c0d668cfd1
Description-en: Cockpit remote servers and dashboard
 Cockpit support for connecting to remote servers (through ssh)
 bastion hosts, and a basic dashboard.

Package: cockpit-doc
Description-md5: 917dc8f7798407683627da6ebb139a47
Description-en: Cockpit deployment and developer guide
 The Cockpit Deployment and Developer Guide shows sysadmins how to deploy
 Cockpit on their machines as well as helps developers who want to embed
 or extend Cockpit.

Package: cockpit-machines
Description-md5: a902f6feddb06225624681441d26bc7d
Description-en: Cockpit user interface for virtual machines
 The Cockpit components for managing virtual machines.
 .
 If the "virtinst" package is installed, you can also create new virtual
 machines.

Package: cockpit-networkmanager
Description-md5: 3ec1a60ecda81a24c8267c56cf7a9a3f
Description-en: Cockpit user interface for networking
 The Cockpit components for interacting with networking configuration.

Package: cockpit-packagekit
Description-md5: 01ecbad973ab31bb91eb03019d4352aa
Description-en: Cockpit user interface for packages
 The Cockpit component for installing packages, via PackageKit.

Package: cockpit-pcp
Description-md5: 2bcebebdc8b56e2cd8c2ba8755912a8f
Description-en: Cockpit PCP integration
 Cockpit support for reading PCP metrics and loading PCP archives.

Package: cockpit-storaged
Description-md5: f6107ca2b49a2b554a0abcd9b73419c5
Description-en: Cockpit user interface for storage
 The Cockpit components for interacting with storage.

Package: cockpit-system
Description-md5: 305239ce7fcb5c6043156a1e54c69f73
Description-en: Cockpit admin interface for a system
 Cockpit admin interface package for configuring and
 troubleshooting a system.

Package: cockpit-tests
Description-md5: 0b4ed46aa862cd96d493b081c605101e
Description-en: Tests for Cockpit
 This package contains tests and files used while testing Cockpit.
 These files are not required for running Cockpit.

Package: cockpit-ws
Description-md5: f492a869e15f8c92004032f384bce124
Description-en: Cockpit Web Service
 The Cockpit Web Service listens on the network, and authenticates
 users.
 .
 Install sssd-dbus for supporting client certificate/smart card authentication
 via sssd/FreeIPA.

Package: coco-cpp
Description-md5: 02d009ce52ba1690b30aabdb24096e50
Description-en: Coco/R Compiler Generator (C++ Version)
 Coco/R is a compiler generator, which takes an attributed grammar of a source
 language and generates a scanner and a parser for this language. The scanner
 works as a deterministic finite automaton. The parser uses recursive descent.
 LL(1) conflicts can be resolved by a multi-symbol lookahead or by semantic
 checks. Thus the class of accepted grammars is LL(k) for an arbitrary k.
 .
 To start Coco call cococpp, which is a shell script in /usr/bin.

Package: coco-cs
Description-md5: 6ea15825c902eb6288a63b12b257493b
Description-en: Coco/R Compiler Generator (C-Sharp Version)
 Coco/R is a compiler generator, which takes an attributed grammar of a source
 language and generates a scanner and a parser for this language. The scanner
 works as a deterministic finite automaton. The parser uses recursive descent.
 LL(1) conflicts can be resolved by a multi-symbol lookahead or by semantic
 checks. Thus the class of accepted grammars is LL(k) for an arbitrary k.
 .
 To start Coco call cococs, which is a shell script in /usr/bin.

Package: coco-doc
Description-md5: 87e4b53a6c80ad089570c8e26204bf47
Description-en: Documentation for the Coco/R Compiler Generator
 Coco/R is a compiler generator, which takes an attributed grammar of a source
 language and generates a scanner and a parser for this language. The scanner
 works as a deterministic finite automaton. The parser uses recursive descent.
 LL(1) conflicts can be resolved by a multi-symbol lookahead or by semantic
 checks. Thus the class of accepted grammars is LL(k) for an arbitrary k.
 .
 See /usr/share/doc/cocosourcesdoc.

Package: coco-java
Description-md5: 13a3bbb6c3c3c725c1b9c1e771dfa17a
Description-en: Coco/R Compiler Generator (Java Version)
 Coco/R is a compiler generator, which takes an attributed grammar of a source
 language and generates a scanner and a parser for this language. The scanner
 works as a deterministic finite automaton. The parser uses recursive descent.
 LL(1) conflicts can be resolved by a multi-symbol lookahead or by semantic
 checks. Thus the class of accepted grammars is LL(k) for an arbitrary k.
 .
 To start Coco call cocoj, which is a shell script in /usr/bin.

Package: cod-tools
Description-md5: 8b218d25247cc21b857131c4bc2132d7
Description-en: tools for manipulating CIF format files
 cod-tools is a set of Perl modules and command line tools for
 manipulating Crystallographic Information Format (CIF) v1.1 and v2.0
 files.

Package: coda
Description-md5: 242ddbeb7caa0b075875bef4ad5e63b3
Description-en: Common Data Access framework for Earth science
 The Common Data Access framework allows reading of scientific data
 to manipulate and analyse Climate model Data.  Supported data formats are GRIB,
 netCDF, SERVICE, EXTRA and IEG. There are more than 400 operators available.

Package: code-saturne
Description-md5: 324f545519ac0b2322d5099db3ca9a52
Description-en: General purpose Computational Fluid Dynamics (CFD) software
 The basic capabilities of Code_Saturne enable the handling of either
 incompressible or expandable flows with or without heat transfer and
 turbulence. Dedicated modules are available for specific physics such
 as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...),
 magneto-hydrodynamics, compressible flows, two-phase flows
 (Euler-Lagrange approach with two-way coupling), extensions to
 specific applications (e.g. Mercure_Saturne for atmospheric
 environment).
 .
 It runs in parallel with MPI on distributed memory machines.
 Developed since 1997 at EDF R&D, it is based on a co-located Finite
 Volume approach that accepts meshes with any type of cell
 (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any
 type of grid structure (unstructured, block structured, hybrid,
 conforming or with hanging nodes, ...).

Package: code-saturne-bin
Description-md5: 6b8329552556d4b9d517c72e0cc074ed
Description-en: General purpose Computational Fluid Dynamics (CFD) software - binaries
 The basic capabilities of Code_Saturne enable the handling of either
 incompressible or expandable flows with or without heat transfer and
 turbulence. Dedicated modules are available for specific physics such
 as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...),
 magneto-hydrodynamics, compressible flows, two-phase flows
 (Euler-Lagrange approach with two-way coupling), extensions to
 specific applications (e.g. Mercure_Saturne for atmospheric
 environment).
 .
 It runs in parallel with MPI on distributed memory machines.
 Developed since 1997 at EDF R&D, it is based on a co-located Finite
 Volume approach that accepts meshes with any type of cell
 (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any
 type of grid structure (unstructured, block structured, hybrid,
 conforming or with hanging nodes, ...).
 .
 This package contains the binary files.

Package: code-saturne-data
Description-md5: 5c55d0048b6e1a03e1bbb43c0d295dc5
Description-en: General purpose Computational Fluid Dynamics (CFD) software - data
 The basic capabilities of Code_Saturne enable the handling of either
 incompressible or expandable flows with or without heat transfer and
 turbulence. Dedicated modules are available for specific physics such
 as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...),
 magneto-hydrodynamics, compressible flows, two-phase flows
 (Euler-Lagrange approach with two-way coupling), extensions to
 specific applications (e.g. Mercure_Saturne for atmospheric
 environment).
 .
 It runs in parallel with MPI on distributed memory machines.
 Developed since 1997 at EDF R&D, it is based on a co-located Finite
 Volume approach that accepts meshes with any type of cell
 (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any
 type of grid structure (unstructured, block structured, hybrid,
 conforming or with hanging nodes, ...).
 .
 This package contains the data.

Package: code-saturne-doc
Description-md5: c4958adf62437b0de0c7d54b02ed1120
Description-en: General purpose Computational Fluid Dynamics (CFD) software - Documentation
 The basic capabilities of Code_Saturne enable the handling of either
 incompressible or expandable flows with or without heat transfer and
 turbulence. Dedicated modules are available for specific physics such
 as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...),
 magneto-hydrodynamics, compressible flows, two-phase flows
 (Euler-Lagrange approach with two-way coupling), extensions to
 specific applications (e.g. Mercure_Saturne for atmospheric
 environment).
 .
 It runs in parallel with MPI on distributed memory machines.
 Developed since 1997 at EDF R&D, it is based on a co-located Finite
 Volume approach that accepts meshes with any type of cell
 (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any
 type of grid structure (unstructured, block structured, hybrid,
 conforming or with hanging nodes, ...).
 .
 This package contains the documentation.

Package: code-saturne-include
Description-md5: 2ae3c01e2c637a220de7ed00270e06fb
Description-en: General purpose Computational Fluid Dynamics (CFD) software - includes
 The basic capabilities of Code_Saturne enable the handling of either
 incompressible or expandable flows with or without heat transfer and
 turbulence. Dedicated modules are available for specific physics such
 as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...),
 magneto-hydrodynamics, compressible flows, two-phase flows
 (Euler-Lagrange approach with two-way coupling), extensions to
 specific applications (e.g. Mercure_Saturne for atmospheric
 environment).
 .
 It runs in parallel with MPI on distributed memory machines.
 Developed since 1997 at EDF R&D, it is based on a co-located Finite
 Volume approach that accepts meshes with any type of cell
 (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any
 type of grid structure (unstructured, block structured, hybrid,
 conforming or with hanging nodes, ...).
 .
 This package contains the include files.

Package: code2html
Description-md5: 2a48b60ad92a6713b290ac009cc91058
Description-en: Syntax highlighter
 Code2html is a perl script which converts a program source code to
 syntax highlighted HTML, or any other target for which rules are
 defined.
 .
 It may be used as a simple console program, converting a single
 source code file to a single output file, it can patch HTML files
 including special command sequences to insert syntax highlighted
 snippets of code, or it can be used as a CGI script.

Package: codeblocks
Description-md5: 085a469fb5f38916d82842e097c6fda4
Description-en: Code::Blocks integrated development environment (IDE)
 Code::Blocks is a cross-platform Integrated Development Environment (IDE).
 It is based on a self-developed plugin framework allowing unlimited
 extensibility. Most of its functionality is already provided by plugins.
 Plugins included in the base package are:
    * Compiler frontend to many free compilers
    * Debugger frontend for GDB
    * Source formatter (based on AStyle)
    * Wizard to create new C++ classes
    * Code-completion / symbols-browser (work in progress)
    * Default MIME handler
    * Wizard to create new Code::Blocks plugins
    * To-do list
    * Extensible wizard based on scripts
    * Autosave (saves your work in the unfortunate case of a crash)

Package: codeblocks-common
Description-md5: e1583e4d79ede6b548b2149d9200bf8b
Description-en: common files for Code::Blocks IDE
 Code::Blocks is a cross-platform Integrated Development Environment (IDE).
 It is based on a self-developed plugin framework allowing unlimited
 extensibility. Most of its functionality is already provided by plugins.
 .
 This package contains the architecture-independent files of Code::Blocks.

Package: codeblocks-contrib
Description-md5: fb01804165a85f9f7cba61be9770640e
Description-en: contrib plugins for Code::Blocks IDE
 This package extends Code::Blocks functionality a great deal with the included
 plugins. These are:
    * Code profiler (based on gprof) and code statistics (SLOCs etc)
    * Copy strings
    * DragScroll (enhances mouse operations)
    * Setting of environment variables
    * Source exporter to PDF/HTML/ODT/RTF
    * Header fixup
    * Help
    * Keyboard shortcuts configuration
    * RAD gui-builder for wxWidgets (wxSmith)
    * wxSmith AUI and wxSmith contrib items
    * Selection of small games for relaxing between coding sessions! (BYOGames)
    * Autoversioning
    * ThreadSearch
    * BrowseTracker
    * Valgrind
    * HexEditor
    * IncrementalSearch
    * Codesnippets
    * Library finder
    * Regular expression testbed
    * Symbol table plugin
    * CB koders
    * MouseSap
    * Cccc
    * CppCheck

Package: codeblocks-dev
Description-md5: bf5bf143062d45ee97db1da6c01550ed
Description-en: Code::Blocks development files (SDK)
 Code::Blocks is a cross-platform Integrated Development Environment (IDE).
 It is based on a self-developed plugin framework allowing unlimited
 extensibility. Most of its functionality is already provided by plugins.
 Plugins included in the base package are:
    * Compiler frontend to many free compilers
    * Debugger frontend for GDB
    * Source formatter (based on AStyle)
    * Wizard to create new C++ classes
    * Code-completion / symbols-browser (work in progress)
    * Default MIME handler
    * Wizard to create new Code::Blocks plugins
    * To-do list
    * Extensible wizard based on scripts
    * Autosave (saves your work in the unfortunate case of a crash)
 .
 This package contains the development files (headers and libraries) for
 creating Code::Blocks plugins.

Package: codec2
Description-md5: 6828e17e97c3e2afb27bd64c3e1ef09f
Description-en: command line tools for handling Codec2 data
 Codec2 is an audio codec especially designed for compressing voice at
 very low bit-rates for applications such as digital voice radio.
 .
 This package contains the encoder and decoder command-line applications.

Package: codec2-examples
Description-md5: 46f9fbb252310b9319283482401bfa6a
Description-en: Codec2 sample data and examples
 Codec2 is an audio codec especially designed for compressing voice at
 very low bit-rates for applications such as digital voice radio.
 .
 This package contains sample data and supporting examples.

Package: codecgraph
Description-md5: 9fb144bcbab5dafc7e0161c91fde4030
Description-en: Generates graphviz graphs from HDA-Intel codec information
 Codecgraph is a tool to generate a graph based on the ALSA description
 of a High Definition Audio codec. The generated graph depicts the HDA
 codec layout and node connections, helping driver troubleshooting and
 maintenance. Codecgraph's parser reads the codec description from
 /proc/asound/card*/codec#0 and parsed data is sent to Graphviz for
 actual graph generation.

Package: codecrypt
Description-md5: 915568d3e5a2fcc1737660a3a0259450
Description-en: post-quantum encryption and signing tool
 Codecrypt is a quantum-computer-resistant cryptography tool that can be used
 to encrypt, decrypt, sign and verify documents and communications in a manner
 similar to GnuPG or PGP.

Package: codegroup
Description-md5: 508505728494a0bef2f14533bc2d0ab0
Description-en: Convert any file, including binary, into 5 letter code
 Codegroup converts any file, of any format including raw binary,
 into a set of five letter uppercase codegroups.
 The codegroup format includes a 16 bit CRC and file length to verify
 message integrity.
 Codegroup does NO CRYPTO.  It's purely a file format converter
 much like base64 or uuencoding.
 Unlike other traditional file encoding algorithms such as
 base64 or uuencoding, codegroup exclusively uses the 26
 letter alphabet.
 Codegroup is ideal for transferring short binary files over a voice
 or morse code channel.

Package: codelite
Description-md5: bb0622bca6d829c373938524d6efe3bb
Description-en: Powerful and lightweight IDE
 CodeLite is a powerful and lightweight IDE specializing in C, C++, PHP and
 Javascript. Its features include:
  * Generic support for compilers
  * Built-in GDB support
  * Database-based Code Completion mechanism
  * Syntax highlighting for C/C++, Java, Perl, XML, Makefile, Lua, Diff files,
    PHP, JavaScript, Python, HTML, and ASP
  * Text Folding
  * Bookmarks
  * Find and Replace
  * doxygen comment generator

Package: codelite-plugins
Description-md5: 9e5b542f06da063db4f766227d417aba
Description-en: Powerful and lightweight IDE - plugins
 CodeLite is a powerful and lightweight IDE specializing in C, C++, PHP and
 Javascript. Its features include:
  * Generic support for compilers
  * Built-in GDB support
  * Database-based Code Completion mechanism
  * Syntax highlighting for C/C++, Java, Perl, XML, Makefile, Lua, Diff files,
    PHP, JavaScript, Python, HTML, and ASP
  * Text Folding
  * Bookmarks
  * Find and Replace
  * doxygen comment generator
 .
 This package contains the plugins for CodeLite, including its
 form-designer wxCrafter.

Package: codequery
Description-md5: 1b24feba22ff34f337caf49c167b4e36
Description-en: code-understanding, code-browsing or code-search tool
 This is a tool to index, then query or search C, C++, Java, Python,
 Ruby, Go and Javascript source code.
 .
 It builds upon the databases of cscope and Exuberant ctags.
 .
 The databases of cscope and ctags would be processed by the cqmakedb
 tool to generate the CodeQuery database file.
 .
 The CodeQuery database file can be viewed and queried using the
 codequery GUI tool.

Package: coderay
Description-md5: 2f787c2bf9b398e3bc4cf5ba39666ad5
Description-en: Helper programs for CodeRay Ruby lib for syntax highlighting
 CodeRay is a Ruby library that can scan an input file or text in a web
 page and encode it as syntax highlighted HTML output.
 .
 CodeRay supports many input languages including: C, C++, CSS, Delphi, diff,
 Groovy, HTML, RHTML (Erb+HTML), Nitro-XHTML, Java, JavaScript, JSON, PHP,
 Python, Ruby, SQL and YAML.
 .
 CodeRay can output to HTML, JSON, XML, Yaml.
 .
 The coderay binary scans a file and outputs in HTML.

Package: codesearch
Description-md5: b2cb491f3b15b88c57c51f839ece279e
Description-en: regular expression search over large bodies of source code
 Code Search is a tool for indexing and then performing regular expression
 searches over large bodies of source code. It is a set of command-line
 programs written in Go.
 .
 For background and an overview of the commands, see Regular Expression
 Matching with a Trigram Index:
 http://swtch.com/~rsc/regexp/regexp4.html

Package: codespell
Description-md5: 74582b198b8f4950c85f94eeb72173c7
Description-en: Find and fix common misspellings in text files
 codespell is designed to find and fix common misspellings in text files.
 It is designed primarily for checking misspelled words in source code,
 but it can be used with other files as well.

Package: codfis
Description-md5: 86f71b611492c2bcf3622f0706e568b0
Description-en: tool to generate Italian fiscal codes (codice fiscale)
 CodFis is a tool to generate Italian fiscal codes (codice fiscale) given
 name, surname, gender, date and place of birth.
 .
 Note that the official fiscal codes are only those assigned by Agenzia
 delle Entrate (which may be different from those generated by this tool
 in some special cases).

Package: codonw
Description-md5: 287922402d73f9d72c044dab7caf35bc
Description-en: Correspondence Analysis of Codon Usage
 CodonW is a package for codon usage analysis. It was designed to simplify
 Multivariate Analysis (MVA) of codon usage. The MVA method employed in
 CodonW is correspondence analysis (COA) (the most popular MVA method for
 codon usage analysis). CodonW can generate a COA for codon usage, relative
 synonymous codon usage or amino acid usage. Additional analyses of codon
 usage include investigation of optimal codons, codon and dinucleotide bias,
 and/or base composition. CodonW analyses sequences encoded by genetic codes
 other than the universal code.

Package: coffeescript
Description-md5: 5644cf07d02a0b2fd827cbc933e9520c
Description-en: interpreter and compiler for the CoffeeScript language
 CoffeeScript is a little language that compiles into JavaScript.
 Underneath all of those embarrassing braces and semicolons, JavaScript
 has always had a gorgeous object model at its heart. CoffeeScript is an
 attempt to expose the good parts of JavaScript in a simple way.
 .
 The golden rule of CoffeeScript is: "It's just JavaScript". The code
 compiles one-to-one into the equivalent JS, and there is no
 interpretation at runtime. You can use any existing JavaScript library
 seamlessly (and vice-versa). The compiled output is readable and
 pretty-printed, passes through JavaScript Lint without warnings, will
 work in every JavaScript implementation, and tends to run as fast or
 faster than the equivalent handwritten JavaScript.
 .
 The "cake" executable is provided as "cake.coffeescript" to peacefully
 coexist with cakephp.

Package: coffeescript-doc
Description-md5: d2365244984ed6a594a84d6ac4c6fcca
Description-en: documentation for the CoffeeScript language
 CoffeeScript is a little language that compiles into JavaScript.
 Underneath all of those embarrassing braces and semicolons, JavaScript
 has always had a gorgeous object model at its heart. CoffeeScript is an
 attempt to expose the good parts of JavaScript in a simple way.
 .
 The golden rule of CoffeeScript is: "It's just JavaScript". The code
 compiles one-to-one into the equivalent JS, and there is no
 interpretation at runtime. You can use any existing JavaScript library
 seamlessly (and vice-versa). The compiled output is readable and
 pretty-printed, passes through JavaScript Lint without warnings, will
 work in every JavaScript implementation, and tends to run as fast or
 faster than the equivalent handwritten JavaScript.
 .
 This package contains documentation.

Package: cog
Description-md5: 74698c759166396617f708327c53319b
Description-en: Single-window web browser based on WPE WebKit
 Cog is a small, single-window web browser based on WPE WebKit.
 It provides no user interface and is suitable to be used as a web
 application container for embedded devices in kiosk mode.

Package: cohomcalg
Description-md5: cb48a2908636d80fb6add468be2ae83e
Description-en: sheaf cohomology of line bundles on toric varieties
 The algorithm for the computation of sheaf cohomologies for line bundles on
 toric varieties presented in "Cohomology of Line Bundles: A Computational
 Algorithm" by Ralph Blumenhagen, Benjamin Jurke, Thorsten Rahn, and Helmut
 Roschy has been implemented in a convenient and high-performance C/C++
 application called cohomCalg.
 .
 The optional cohomCalg Koszul extension serves as a Mathematica 7 frontend and
 allows for the easy computation of hypersurface and complete intersection
 cohomologies, following the material presented in "Cohomology of Line Bundles:
 Applications" by the same authors.

Package: cohomcalg-common
Description-md5: a277cc8ea23c73e9ea61a95d8447dbe7
Description-en: sheaf cohomology of line bundles on toric varieties (common files)
 The algorithm for the computation of sheaf cohomologies for line bundles on
 toric varieties presented in "Cohomology of Line Bundles: A Computational
 Algorithm" by Ralph Blumenhagen, Benjamin Jurke, Thorsten Rahn, and Helmut
 Roschy has been implemented in a convenient and high-performance C/C++
 application called cohomCalg.
 .
 The optional cohomCalg Koszul extension serves as a Mathematica 7 frontend and
 allows for the easy computation of hypersurface and complete intersection
 cohomologies, following the material presented in "Cohomology of Line Bundles:
 Applications" by the same authors.
 .
 This package contains the documentation, example files, and Mathematica scripts
 included with cohomCalg.

Package: coinor-cbc
Description-md5: cbf90f50714cfa2cd057e6088d95f3c0
Description-en: Coin-or branch-and-cut mixed integer programming solver
 Cbc (Coin-or branch and cut) is an open-source mixed integer programming
 solver written in C++. It can be used as a callable library or as a
 stand-alone executable.
 .
 This package contains cbc executable.

Package: coinor-clp
Description-md5: 4ddc6e20408ebb0060ed4fcb2fa3e533
Description-en: Coin-or linear programming solver
 Clp (Coin-or linear programming) is an open-source linear programming solver
 written in C++. It is primarily meant to be used as a callable library, but a
 basic, stand-alone executable version is also available. It is designed to
 find solutions of constrained linear mathematical optimization problems.
 .
 This package contains the clp executable.

Package: coinor-csdp
Description-md5: 3387a519cd4050842068fed81a363f44
Description-en: A software package for semidefinite programming
 CSDP is a library of routines that implements a predictor corrector variant of
 the semidefinite programming algorithm of Helmberg, Rendl, Vanderbei, and
 Wolkowicz. The code runs in parallel on shared memory multi-processor systems,
 and it makes effective use of sparsity in the constraint matrices.
 .
 CSDP is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the binaries.

Package: coinor-csdp-dbg
Description-md5: 60f914d528b73385ffc26ffed03d4422
Description-en: A software package for semidefinite programming
 CSDP is a library of routines that implements a predictor corrector variant of
 the semidefinite programming algorithm of Helmberg, Rendl, Vanderbei, and
 Wolkowicz. The code runs in parallel on shared memory multi-processor systems,
 and it makes effective use of sparsity in the constraint matrices.
 .
 CSDP is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the debug symbols.

Package: coinor-csdp-doc
Description-md5: 36afd71b06e40a59e9be520d4e184445
Description-en: A software package for semidefinite programming
 CSDP is a library of routines that implements a predictor corrector variant of
 the semidefinite programming algorithm of Helmberg, Rendl, Vanderbei, and
 Wolkowicz. The code runs in parallel on shared memory multi-processor systems,
 and it makes effective use of sparsity in the constraint matrices.
 .
 CSDP is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the documentation and examples.

Package: coinor-libcbc-dev
Description-md5: 39d5593fbf908672e8c9691ba11233cd
Description-en: Coin-or branch-and-cut mixed integer programming solver (developer files)
 Cbc (Coin-or branch and cut) is an open-source mixed integer programming
 solver written in C++. It can be used as a callable library or as a
 stand-alone executable.
 .
 This package contains the header files for developers.

Package: coinor-libcbc-doc
Description-md5: a22c6bbfa2107d5edcf0af71cb0aaaa1
Description-en: Coin-or branch-and-cut mixed integer programming solver (documentation)
 Cbc (Coin-or branch and cut) is an open-source mixed integer programming
 solver written in C++. It can be used as a callable library or as a
 stand-alone executable.
 .
 This package contains the documentation and examples.

Package: coinor-libcbc3
Description-md5: b4d3283ed0e3235b24f8eec60fa098c1
Description-en: Coin-or branch-and-cut mixed integer programming solver (shared libraries)
 Cbc (Coin-or branch and cut) is an open-source mixed integer programming
 solver written in C++. It can be used as a callable library or as a
 stand-alone executable.
 .
 This package contains the shared libraries.

Package: coinor-libcgl-dev
Description-md5: c6e37dd842b7e636e6548ce84ca0778f
Description-en: COIN-OR Cut Generation Library (developer files)
 The Cut Generation Library (Cgl) is an open collection of cutting plane
 implementations ("cut generators") for use in teaching, research, and
 applications.
 .
 Cgl is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research) and can be used with other COIN-OR packages that
 make use of cuts, such as the mixed-integer linear programming solver Cbc.
 .
 This package contains the header files for developers.

Package: coinor-libcgl-doc
Description-md5: c1e354598b97d885dbef1b041fb452e2
Description-en: COIN-OR Cut Generation Library (documentation)
 The Cut Generation Library (Cgl) is an open collection of cutting plane
 implementations ("cut generators") for use in teaching, research, and
 applications.
 .
 Cgl is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research) and can be used with other COIN-OR packages that
 make use of cuts, such as the mixed-integer linear programming solver Cbc.
 .
 This package contains the documentation and examples.

Package: coinor-libcgl1
Description-md5: c6ccd2db443bc5ffb4c514f1ddba4977
Description-en: COIN-OR Cut Generation Library
 The Cut Generation Library (Cgl) is an open collection of cutting plane
 implementations ("cut generators") for use in teaching, research, and
 applications.
 .
 Cgl is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research) and can be used with other COIN-OR packages that
 make use of cuts, such as the mixed-integer linear programming solver Cbc.
 .
 This package contains the binaries and libraries.

Package: coinor-libclp-dev
Description-md5: 725e4845bec6e12ddcb5774bc8e4e7ec
Description-en: Coin-or linear programming solver (developer files)
 Clp (Coin-or linear programming) is an open-source linear programming solver
 written in C++. It is primarily meant to be used as a callable library, but a
 basic, stand-alone executable version is also available. It is designed to
 find solutions of constrained linear mathematical optimization problems.
 .
 This package contains the header files for developers.

Package: coinor-libclp-doc
Description-md5: 1763fbe2774afd0d49a6231c7e49b1e3
Description-en: Coin-or linear programming solver (documentation)
 Clp (Coin-or linear programming) is an open-source linear programming solver
 written in C++. It is primarily meant to be used as a callable library, but a
 basic, stand-alone executable version is also available. It is designed to
 find solutions of constrained linear mathematical optimization problems.
 .
 This package contains the documentation and examples.

Package: coinor-libclp1
Description-md5: 3786e3e5f9cbf41f18024cf8db62b4d1
Description-en: Coin-or linear programming solver (shared libraries)
 Clp (Coin-or linear programming) is an open-source linear programming solver
 written in C++. It is primarily meant to be used as a callable library, but a
 basic, stand-alone executable version is also available. It is designed to
 find solutions of constrained linear mathematical optimization problems.
 .
 This package contains the Clp callable libraries, including the
 OSI Clp interface.

Package: coinor-libcoinmp-dev
Description-md5: 72218cdd25b3b59e8a731230c5176d80
Description-en: Simple C API for COIN-OR Solvers Clp and Cbc -- development
 The Coin-MP optimizer is an open source solver, it is part of the COIN-OR
 project which is an initiative to spur the development of open-source software
 for the operations research community.
 .
 CoinMP is a C-API library that supports most of the functionality of CLP
 (Coin LP), CBC (Coin Branch-and-Cut), and CGL (Cut Generation Library)
 projects.
 .
 This package contains the files needed to build applications using libCoinMP.

Package: coinor-libcoinmp1v5
Description-md5: 20dd13138584bfbc3266a7128fc809d8
Description-en: Simple C API for COIN-OR Solvers Clp and Cbc -- library
 The Coin-MP optimizer is an open source solver, it is part of the COIN-OR
 project which is an initiative to spur the development of open-source software
 for the operations research community.
 .
 CoinMP is a C-API library that supports most of the functionality of CLP
 (Coin LP), CBC (Coin Branch-and-Cut), and CGL (Cut Generation Library)
 projects.

Package: coinor-libcoinutils-dev
Description-md5: f35f1db33e4ab59ff153cb0d81367837
Description-en: Coin-or collection of utility classes (developer files)
 CoinUtils (Coin-or Utilities) is a collection of classes and functions
 that are generally useful to more than one COIN-OR project.  These include
 classes for vectors, matrices, mps file reading, and functions for
 floating-point comparisons.
 .
 The Computational Infrastructure for Operations Research (COIN-OR, or simply
 COIN) project is an initiative to spur the development of open source software
 for the operations research community.
 .
 This package contains the header files for developers.

Package: coinor-libcoinutils-doc
Description-md5: 0de112f4a03d87846ec053ad52fb38d1
Description-en: Coin-or collection of utility classes (documentation)
 CoinUtils (Coin-or Utilities) is a collection of classes and functions
 that are generally useful to more than one COIN-OR project.  These include
 classes for vectors, matrices, mps file reading, and functions for
 floating-point comparisons.
 .
 The Computational Infrastructure for Operations Research (COIN-OR, or simply
 COIN) project is an initiative to spur the development of open source software
 for the operations research community.
 .
 This package contains the documentation.

Package: coinor-libcoinutils3v5
Description-md5: af0df5b968ddc9e824f6ac579aacef9a
Description-en: Coin-or collection of utility classes (binaries and libraries)
 CoinUtils (Coin-or Utilities) is a collection of classes and functions
 that are generally useful to more than one COIN-OR project.  These include
 classes for vectors, matrices, mps file reading, and functions for
 floating-point comparisons.
 .
 The Computational Infrastructure for Operations Research (COIN-OR, or simply
 COIN) project is an initiative to spur the development of open source software
 for the operations research community.
 .
 This package contains the binaries and libraries.

Package: coinor-libdylp-dev
Description-md5: d94abf98bf4f783bbc3a3458e3a59c9c
Description-en: Linear programming solver using of the dynamic simplex algorithm
 DyLp is designed to find solutions of constrained linear mathematical
 optimization problems. To this end, it is using a full implementation of the
 so called dynamic simplex algorithm for linear programming.
 .
 DyLP is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research) and integrates well in the COIN Open Solver
 Interface (OSI), OsiDylp, which takes advantage of capabilities provided by
 COIN (e.g., enhanced input/output and constraint system preprocessing) and is
 recommended if you're working in a C++ environment.
 .
 This package contains the header files for developers.

Package: coinor-libdylp-doc
Description-md5: c5f68e9abdd9f6366b1558e5c7cd3c34
Description-en: Linear programming solver using of the dynamic simplex algorithm
 DyLp is designed to find solutions of constrained linear mathematical
 optimization problems. To this end, it is using a full implementation of the
 so called dynamic simplex algorithm for linear programming.
 .
 DyLP is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research) and integrates well in the COIN Open Solver
 Interface (OSI), OsiDylp, which takes advantage of capabilities provided by
 COIN (e.g., enhanced input/output and constraint system preprocessing) and is
 recommended if you're working in a C++ environment.
 .
 This package contains the documentation and examples.

Package: coinor-libdylp1
Description-md5: 326ec697d30205c5da27f85ace778b93
Description-en: Linear programming solver using the dynamic simplex algorithm
 DyLp is designed to find solutions of constrained linear mathematical
 optimization problems. To this end, it is using a full implementation of the
 so called dynamic simplex algorithm for linear programming.
 .
 DyLP is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research) and integrates well in the COIN Open Solver
 Interface (OSI), OsiDylp, which takes advantage of capabilities provided by
 COIN (e.g., enhanced input/output and constraint system preprocessing) and is
 recommended if you're working in a C++ environment.
 .
 This package contains the binaries and libraries.

Package: coinor-libflopc++-dev
Description-md5: 0f55ac9c720e451ba9dffc0e63b23e9e
Description-en: Formulation of Linear Optimization Problems in C++
 An open source algebraic modelling language implemented as a C++ class
 library.  Using FLOPC++, linear optimization models can be specified in
 a declarative style, similar to algebraic modelling languages such as
 GAMS and AMPL, within a C++ program. As a result the traditional strengths of
 algebraic modelling languages are preserved, while embedding linear
 optimization models in software applications is facilitated.
 .
 Coinor-flopc++ is part of the larger COIN-OR initiative
 (Computational Infrastructure for Operations Research).
 .
 This package contains the header files for developers.

Package: coinor-libflopc++-doc
Description-md5: da5c102d869aa54f4fba749641473996
Description-en: Formulation of Linear Optimization Problems in C++
 An open source algebraic modelling language implemented as a C++ class
 library.  Using FLOPC++, linear optimization models can be specified in
 a declarative style, similar to algebraic modelling languages such as
 GAMS and AMPL, within a C++ program. As a result the traditional strengths of
 algebraic modelling languages are preserved, while embedding linear
 optimization models in software applications is facilitated.
 .
 Coinor-flopc++ is part of the larger COIN-OR initiative
 (Computational Infrastructure for Operations Research).
 .
 This package contains the documentation and examples.

Package: coinor-libflopc++0v5
Description-md5: 68019d2c730bc244f89c96f22c06d7de
Description-en: Formulation of Linear Optimization Problems in C++
 An open source algebraic modelling language implemented as a C++ class
 library.  Using FLOPC++, linear optimization models can be specified in
 a declarative style, similar to algebraic modelling languages such as
 GAMS and AMPL, within a C++ program. As a result the traditional strengths of
 algebraic modelling languages are preserved, while embedding linear
 optimization models in software applications is facilitated.
 .
 Coinor-flopc++ is part of the larger COIN-OR initiative
 (Computational Infrastructure for Operations Research).
 .
 This package contains the binaries and libraries.

Package: coinor-libflopc++0v5-dbg
Description-md5: 94a74c4c2d3037321bcde4c7f0f41df0
Description-en: Formulation of Linear Optimization Problems in C++
 An open source algebraic modelling language implemented as a C++ class
 library.  Using FLOPC++, linear optimization models can be specified in
 a declarative style, similar to algebraic modelling languages such as
 GAMS and AMPL, within a C++ program. As a result the traditional strengths of
 algebraic modelling languages are preserved, while embedding linear
 optimization models in software applications is facilitated.
 .
 Coinor-flopc++ is part of the larger COIN-OR initiative
 (Computational Infrastructure for Operations Research).
 .
 This package contains the debug symbols.

Package: coinor-libipopt-dev
Description-md5: 004a2b4e162fc64f12e7d4eb4d34e764
Description-en: Interior-Point Optimizer - header files
 Ipopt is an open-source solver for large-scale nonlinear continuous
 optimization. It can be used from modeling environments, such as AMPL,
 GAMS, or Matlab, and it is also available as a callable library with
 interfaces to C++, C, and Fortran. Ipopt uses an interior point method,
 together with a filter linear search procedure. Ipopt is part of the
 larger COIN-OR initiative (Computational Infrastructure for Operations
 Research).
 .
 The Debian package is compiled against MUMPS (as it is the only one of
 the available solvers that is Free Software and included in Debian).
 .
 This package contains the header files for developers.

Package: coinor-libipopt-doc
Description-md5: ace0722ec70c25cead2c4fcc86a4a74d
Description-en: Interior-Point Optimizer - documentation
 Ipopt is an open-source solver for large-scale nonlinear continuous
 optimization. It can be used from modeling environments, such as AMPL,
 GAMS, or Matlab, and it is also available as a callable library with
 interfaces to C++, C, and Fortran. Ipopt uses an interior point method,
 together with a filter linear search procedure. Ipopt is part of the
 larger COIN-OR initiative (Computational Infrastructure for Operations
 Research).
 .
 The Debian package is compiled against MUMPS (as it is the only one of
 the available solvers that is Free Software and included in Debian).
 .
 This package contains the documentation.

Package: coinor-libipopt1v5
Description-md5: b22cc6665e1345d36a3c5f15fa8b6fb8
Description-en: Interior-Point Optimizer, for large-scale nonlinear optimization
 Ipopt is an open-source solver for large-scale nonlinear continuous
 optimization. It can be used from modeling environments, such as AMPL,
 GAMS, or Matlab, and it is also available as a callable library with
 interfaces to C++, C, and Fortran. Ipopt uses an interior point method,
 together with a filter linear search procedure. Ipopt is part of the
 larger COIN-OR initiative (Computational Infrastructure for Operations
 Research).
 .
 The Debian package is compiled against MUMPS (as it is the only one of
 the available solvers that is Free Software and included in Debian).
 .
 This package contains the library.

Package: coinor-libipopt1v5-dbg
Description-md5: 80f963768d87ff823fbdb833d6440d67
Description-en: Interior-Point Optimizer - debugging symbols
 Ipopt is an open-source solver for large-scale nonlinear continuous
 optimization. It can be used from modeling environments, such as AMPL,
 GAMS, or Matlab, and it is also available as a callable library with
 interfaces to C++, C, and Fortran. Ipopt uses an interior point method,
 together with a filter linear search procedure. Ipopt is part of the
 larger COIN-OR initiative (Computational Infrastructure for Operations
 Research).
 .
 The Debian package is compiled against MUMPS (as it is the only one of
 the available solvers that is Free Software and included in Debian).
 .
 This package contains the debugging symbols.

Package: coinor-libosi-dev
Description-md5: e86ac53244f2cd9c10c25a872bccb1bb
Description-en: COIN-OR Open Solver Interface (developer files)
 Osi (Open Solver Interface) provides an abstract C++ base class to a generic
 linear programming (LP) solver, along with derived classes for specific
 solvers. Many applications may be able to use the Osi to insulate themselves
 from a specific LP solver.
 .
 Osi is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the header files for developers.

Package: coinor-libosi-doc
Description-md5: 11323afa3409aef6b962bf9c822e83fb
Description-en: COIN-OR Open Solver Interface (documentation)
 Osi (Open Solver Interface) provides an abstract C++ base class to a generic
 linear programming (LP) solver, along with derived classes for specific
 solvers. Many applications may be able to use the Osi to insulate themselves
 from a specific LP solver.
 .
 Osi is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the documentation and examples.

Package: coinor-libosi1v5
Description-md5: 0bf9e8ada61f7131b0cd806548402bc5
Description-en: COIN-OR Open Solver Interface
 Osi (Open Solver Interface) provides an abstract C++ base class to a generic
 linear programming (LP) solver, along with derived classes for specific
 solvers. Many applications may be able to use the Osi to insulate themselves
 from a specific LP solver.
 .
 Osi is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the abstract Osi base library; implementations for
 different solvers are provided separately. The OsiClp interface is provided
 by the clp source package.

Package: coinor-libsymphony-dev
Description-md5: 0d6e0584b3a2a247b945f39ee22649fc
Description-en: COIN-OR solver for mixed-integer linear programs (developer files)
 SYMPHONY is an open-source generic mixed-integer linear programs (MILP) solver,
 callable library, and extensible framework for implementing customized solvers
 SYMPHONY has a number of advanced capabilities, including the ability to
 solve multi-objective MILPs, the ability to warm start its solution procedure,
 and the ability to perform basic sensitivity analyses.
 .
 SYMPHONY is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the header files for developers.

Package: coinor-libsymphony-doc
Description-md5: dea6a1d402e1265962f70187d6595346
Description-en: COIN-OR solver for mixed-integer linear programs (documentation)
 SYMPHONY is an open-source generic mixed-integer linear programs (MILP) solver,
 callable library, and extensible framework for implementing customized solvers
 SYMPHONY has a number of advanced capabilities, including the ability to
 solve multi-objective MILPs, the ability to warm start its solution procedure,
 and the ability to perform basic sensitivity analyses.
 .
 SYMPHONY is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the documentation and examples.

Package: coinor-libsymphony3
Description-md5: 366d6d4a97ad87929e56360898d68ee9
Description-en: COIN-OR solver for mixed-integer linear programs (shared libraries)
 SYMPHONY is an open-source generic mixed-integer linear programs (MILP) solver,
 callable library, and extensible framework for implementing customized solvers
 SYMPHONY has a number of advanced capabilities, including the ability to
 solve multi-objective MILPs, the ability to warm start its solution procedure,
 and the ability to perform basic sensitivity analyses.
 .
 SYMPHONY is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the shared libraries.

Package: coinor-libvol-dev
Description-md5: bed8b364253a5fbb3d35d940a9d144ac
Description-en: Coin-or linear programming solver (development files)
 Vol (Volume Algorithm) is a linear programming solver based on the
 subgradient method.
 .
 Vol is part of COIN-OR (COmputational INfrastructure for Operations
 Research) project which is an initiative to spur the development of
 open-source software in operational research - mathematical optimization
 algorithms.
 .
 This package contains the header files for developers.

Package: coinor-libvol-doc
Description-md5: e4eec0b9e57c9df52df463313efccaf9
Description-en: Coin-or linear programming solver
 Vol (Volume Algorithm) is a linear programming solver based on the
 subgradient method.
 .
 Vol is part of COIN-OR (COmputational INfrastructure for Operations
 Research) project which is an initiative to spur the development of
 open-source software in operational research - mathematical optimization
 algorithms.
 .
 This package contains the documentation and examples.

Package: coinor-libvol1
Description-md5: 2b560a86ae62593341fb1d4c86370e92
Description-en: Coin-or linear programming solver (libraries)
 Vol (Volume Algorithm) is a linear programming solver based on the
 subgradient method.
 .
 Vol is part of COIN-OR (COmputational INfrastructure for Operations
 Research) project which is an initiative to spur the development of
 open-source software in operational research - mathematical optimization
 algorithms.
 .
 This package contains the binaries and libraries.

Package: coinor-symphony
Description-md5: 9a26044d97db10cc4810a52f7f0d3024
Description-en: COIN-OR solver for mixed-integer linear programs
 SYMPHONY is an open-source generic mixed-integer linear programs (MILP) solver,
 callable library, and extensible framework for implementing customized solvers
 SYMPHONY has a number of advanced capabilities, including the ability to
 solve multi-objective MILPs, the ability to warm start its solution procedure,
 and the ability to perform basic sensitivity analyses.
 .
 SYMPHONY is part of the larger COIN-OR initiative (Computational Infrastructure
 for Operations Research).
 .
 This package contains the symphony executable.

Package: collada-urdf-tools
Description-md5: 8e1f2c0f8164c6ac209d5c39a13121c6
Description-en: ROS collada_urdf tools
 This package contains tools to convert between Unified Robot Description
 Format (URDF) documents and COLLAborative Design Activity (COLLADA)
 documents.
 .
 It implements robot-specific COLLADA extensions as defined by
 http://openrave.programmingvision.com/index.php/Started:COLLADA
 .
 This package contains the tools.

Package: collatinus
Description-md5: 2b502b7be683c2b8175e8307263d0e4c
Description-en: lemmatisation of latin text
 Collatinus can be used to lemmatise latin texts, i.e. extract words and
 make a lexicon which indicates for each word its canonic form, and how
 the form actually found in the text was derived from it, for instance by
 declining it. Example : rosam gives : rosa-rosae -- acc. sing.
 Collatinus provides a nice graphic front-end to each operation.
 .
 Collatinus-nouus (stands for Collatinus, new generation) replaces every
 previous version of Collatinus.
 .
 This package provides a documentation in HTML format.

Package: collectd
Description-md5: 4cab05e1aaeb16dba768fed7b2d14922
Description-en: statistics collection and monitoring daemon
 collectd is a small daemon which collects system information periodically and
 provides mechanisms to monitor and store the values in a variety of ways.
 Since the daemon doesn't need to startup every time it wants to update the
 values it's very fast and easy on the system. Also, the statistics are very
 fine grained since the files are updated every 10 seconds by default.
 .
 The collected information can be used to find current performance bottlenecks
 (performance analysis) and predict future system load (capacity planning).
 .
 This package provides a full installation of the daemon, including the
 configuration. For the core system, see the "collectd-core" package, which
 allows sites to, e.g., provide customizations (like a custom default
 configuration) on top of it without having to modify the "collectd" package.

Package: collectd-core
Description-md5: bfa32cd12f2dbd65172d309db5add32f
Description-en: statistics collection and monitoring daemon (core system)
 collectd is a small daemon which collects system information periodically and
 provides mechanisms to monitor and store the values in a variety of ways.
 Since the daemon doesn't need to startup every time it wants to update the
 values it's very fast and easy on the system. Also, the statistics are very
 fine grained since the files are updated every 10 seconds by default.
 .
 The collected information can be used to find current performance bottlenecks
 (performance analysis) and predict future system load (capacity planning).
 .
 This package contains the main program file and the plugins listed below (some
 of those plugins require additional libraries - for more details see
 /usr/share/doc/collectd-core/README.Debian.plugins) but no configuration. For
 a full installation (including configuration), see the "collectd" package.
 This package allows sites to, e.g., provide customizations (like a custom
 default configuration) on top of it without having to modify the "collectd"
 package.
 .
   * AMQP output plugin: amqp
   * AMQP 1.0 output plugin: amqp1
   * Apache and lighttpd statistics provided by mod_status: apache
   * APC UPS's charge, load, input/output/battery voltage, etc.: apcups
   * Ascent server statistics: ascent
   * Bosch and Freescale barometers: barometer
   * battery status: battery
   * bind9 name-server and zone statistics: bind
   * Ceph distributed storage system statitics: ceph
   * CGroups resource usage: cgroups
   * Chrony daemon statistics: Local clock drift, offset to peers: chrony
   * connection tracking table size: conntrack
   * number of context switches done by the operating system: contextswitch
   * CPU utilization: cpu
   * CPU frequency: cpufreq
   * CPU sleep: Time spent in suspend : cpusleep
   * output to comma separated values (CSV) files: csv
   * parse statistics from websites: curl
   * parse JSON files: curl_json
   * parse XML data: curl_xml
   * query data from a relational database: dbi
   * disk space usage: df
   * disk and partition throughput: disk
   * DNS traffic information: dns
   * DPDK link status and keep alive events: dpdkevents
   * DPDK interface statistics: dpdkstat
   * Distributed Replicated Block Device status: drbd
   * E-Mail statistics (count, traffic, spam scores and checks): email
   * amount of available entropy: entropy
   * execution of external programs: exec
   * count the number of open file handles: fhcount
   * count the number of files in directories: filecount
   * Linux file-system based caching framework statistics: fscache
   * Monitor gps related data through gpsd: gps
   * Send or receive values over the network using the gRPC framework: grpc
   * query data from Java processes using JMX: GenericJMX (Java based plugin)
   * receive and interpret Ganglia multicast traffic: gmond
   * harddisk temperature: hddtemp
   * Report the number of used and free hugepages: hugepages
   * Intel Resource Director Technology statistics: intel_rdt
   * network traffic: interface
   * IPC statistics: ipc
   * IPMI sensors information: ipmi
   * iptables statistics: iptables
   * IPVS connection statistics: ipvs
   * IRQ counters: irq
   * embedded Java Virtual Machine: java
   * system load averages: load
   * logging to files, STDOUT and STDERR: logfile
   * logging in logstash's JSON event format: log_logstash
   * embedded Lua interpreter: lua
   * Machine Check Exceptions notifications: mcelog
   * Atheros wireless LAN chipset statistics: madwifi
   * motherboard monitor: mbmon
   * query and parse data from a memcache daemon: memcachec
   * statistics of the memcached distributed caching system: memcached
   * memory usage: memory
   * read values from Modbus/TCP enabled devices: modbus
   * statistics from mon.itor.us: Monitorus (Perl based plugin)
   * Publishes and subscribes to MQTT topics: mqtt
   * multimeter statistics: multimeter
   * MySQL statistics provided by MySQL's "show status" command: mysql
   * detailed Linux network interface and routing statistics: netlink
   * IO via the network: network
   * NFS utilization: nfs
   * Nginx (a HTTP and E-Mail server/proxy) statistics: nginx
   * send desktop notifications to a notification daemon: notify_desktop
   * send notification E-mails: notify_email
   * send notifications to nagios: notify_nagios
   * NTP daemon's local clock drift, offset to peers, etc.: ntpd
   * Optimized Link State Routing daemon statistics: olsrd
   * OpenLDAP's cn=Monitor statistics: openldap
   * OpenVPN traffic and compression statistics: openvpn
   * OpenVZ statistics: OpenVZ (Perl based plugin)
   * Open vSwitch link state events: ovs_events
   * Open vSwitch bridge / interface statistics: ovs_stats
   * embedded Perl interpreter: perl
   * timing values from Pinba: pinba
   * network latency statistics: ping
   * PostgreSQL database statistics: postgresql
   * PowerDNS name server statistics: powerdns
   * number of processes: processes
   * information about network protocols: protocols
   * embedded Python interpreter: python
   * Redis server statistics: redis
   * write data via the RRD accelerator daemon: rrdcached
   * output to RRD files: rrdtool
   * lm_sensors information (e.g. CPU temperature, fan speeds): sensors
   * serial port traffic: serial
   * values from SNMP enabled network devices: snmp
   * receive and handle queries from SNMP master agent: snmp_agent
   * aggregate values received with the StatsD protocol: statsd
   * SMART statistics: smart
   * swap usage: swap
   * Linux synproxy statistics: synproxy
   * logging to syslog: syslog
   * parse table-like structured files: table
   * incremental parsing of logfiles: tail
   * incremental parsing of CSV files: tail_csv
   * number of TCP connections to specific ports: tcpconns
   * TeamSpeak2 server statistics: teamspeak2
   * power consumption measurements from "The Energy Detective" (TED): ted
   * Linux ACPI thermal zone information: thermal
   * check thresholds and for missing values: threshold
   * statistics from Intel turbo-capable processors: turbostat
   * Tokyo Tyrant server statistics: tokyotyrant
   * external runtime interface: unixsock
   * system uptime: uptime
   * number of users logged into the system: users
   * set the hostname to an unique identifier: uuid
   * Varnish HTTP accelerator daemon statistics: varnish
   * CPU, disk, network statistics of guest systems: virt
   * detailed virtual memory statistics: vmem
   * system resources used by Linux-VServers: vserver
   * wireless network stats: wireless
   * send collected values to a graphite server: write_graphite
   * send collected values to a web-server: write_http
   * send collected values to an Apache Kafka message broker: write_kafka
   * send collected values to the logging subsystem: write_log
   * send collected values to MongoDB, a NoSQL database: write_mongodb
   * publish collected values as a Prometheus exporter: write_prometheus
   * send collected values to a Redis server: write_redis
   * send collected values to a Riemann server: write_riemann
   * send collected values to a Sensu client: write_sensu
   * send collected values to an OpenTSDB server: write_tsdb
   * XEN Hypervisor CPU stats: xencpu
   * ZFS's Adaptive Replacement Cache statistics: zfs_arc
   * data from Zookeeper's MNTR command: zookeeper

Package: collectd-dbg
Description-md5: a45e8b46ecec1490f78401e414f11ddc
Description-en: statistics collection and monitoring daemon (debugging symbols)
 collectd is a small daemon which collects system information periodically and
 provides mechanisms to monitor and store the values in a variety of ways.
 Since the daemon doesn't need to startup every time it wants to update the
 values it's very fast and easy on the system. Also, the statistics are very
 fine grained since the files are updated every 10 seconds by default.
 .
 This package contains the debugging symbols.

Package: collectd-dev
Description-md5: f3446ffaf6495cfe6eeded886300ad69
Description-en: statistics collection and monitoring daemon (development files)
 collectd is a small daemon which collects system information periodically and
 provides mechanisms to monitor and store the values in a variety of ways.
 Since the daemon doesn't need to startup every time it wants to update the
 values it's very fast and easy on the system. Also, the statistics are very
 fine grained since the files are updated every 10 seconds by default.
 .
 This package contains the development files needed to create your own
 plugins.

Package: collectd-utils
Description-md5: 9e642f2a954c69592f11d4db8ecd29e0
Description-en: statistics collection and monitoring daemon (utilities)
 collectd is a small daemon which collects system information periodically and
 provides mechanisms to monitor and store the values in a variety of ways.
 Since the daemon doesn't need to startup every time it wants to update the
 values it's very fast and easy on the system. Also, the statistics are very
 fine grained since the files are updated every 10 seconds by default.
 .
 This package contains the following utility:
 .
   * collectdctl: Control interface for collectd
   * collectd-nagios: Nagios plugin for querying collectd

Package: collectl
Description-md5: c95864ec9cb258d015d37c941fe80ca9
Description-en: Utility to collect Linux performance data
 Collectl is a performance monitoring and benchmark tool that tries to do it
 all.  You can choose to monitor any of a broad set of subsystems which
 currently include buddyinfo, cpu, disk, inodes, infiniband, lustre, memory,
 network, nfs, processes, quadrics, slabs, sockets and tcp.
 .
 Output can also be saved in a rolling set of logs for later playback or
 displayed interactively in a variety of formats. If all that isn't enough
 there are additional mechanisms for supplying data to external tools by
 generating output as s-expressions, a format of choice for some tools such as
 supermon or in another format called list-expressions. This output can be
 written to a file or sent over a socket. You can even create files in
 space-separated format for plotting with external packages like gnuplot.

Package: colmap
Description-md5: c33d96e1bd101c2e0247461ec235b8cf
Description-en: Structure-from-Motion and Multi-View Stereo
 This is a general-purpose Structure-from-Motion (SfM) and Multi-View
 Stereo (MVS) pipeline with a graphical and command-line interface. It
 offers a wide range of features for reconstruction of ordered and
 unordered image collections.

Package: colobot
Description-md5: c9db1c7ed68e79a4a808b29a1b6d9261
Description-en: educational programming strategy game
 Colobot (Colonize with Bots) is an educational game aiming to teach
 programming through entertainment. You are playing as an astronaut on a
 journey with robot helpers to find a planet for colonization. It features 3D
 real-time graphics and a C++ and Java-like, object-oriented language, CBOT,
 which can be used to program the robots available in the game.

Package: colobot-common
Description-md5: 799d4b0d27962105b97cdce3da986424
Description-en: educational programming strategy game - data
 Colobot (Colonize with Bots) is an educational game aiming to teach
 programming through entertainment. You are playing as an astronaut on a
 journey with robot helpers to find a planet for colonization. It features 3D
 real-time graphics and a C++ and Java-like, object-oriented language, CBOT,
 which can be used to program the robots available in the game.
 .
 This package contains the architecture-independent game data.

Package: colobot-common-sounds
Description-md5: 96bd44e1a5e6f3dd6a35cdfd98137149
Description-en: educational programming strategy game - sounds and music
 Colobot (Colonize with Bots) is an educational game aiming to teach
 programming through entertainment. You are playing as an astronaut on a
 journey with robot helpers to find a planet for colonization. It features 3D
 real-time graphics and a C++ and Java-like, object-oriented language, CBOT,
 which can be used to program the robots available in the game.
 .
 This package contains the sounds and music for the game.

Package: colobot-common-textures
Description-md5: 2b9130dc5ccab599c5201de79497ee8f
Description-en: educational programming strategy game - textures
 Colobot (Colonize with Bots) is an educational game aiming to teach
 programming through entertainment. You are playing as an astronaut on a
 journey with robot helpers to find a planet for colonization. It features 3D
 real-time graphics and a C++ and Java-like, object-oriented language, CBOT,
 which can be used to program the robots available in the game.
 .
 This package contains the textures for the game.

Package: colobot-dev-doc
Description-md5: ea5c276ad3da1fa529f6ff72c535e47b
Description-en: educational programming strategy game - source doc
 Colobot (Colonize with Bots) is an educational game aiming to teach
 programming through entertainment. You are playing as an astronaut on a
 journey with robot helpers to find a planet for colonization. It features 3D
 real-time graphics and a C++ and Java-like, object-oriented language, CBOT,
 which can be used to program the robots available in the game.
 .
 This package contains the doxygen documentation for the colobot source code.

Package: colorcode
Description-md5: b647012bcf3aafb6ff2c178fd5bdeb9b
Description-en: advanced clone of the MasterMind code-breaking game
 ColorCode is an advanced MasterMind code-breaking game clone. The
 program accepts setting the number of slots (from 2 to 5), the number
 of colors (from 2 to 10) and setting if colors might be repeated in
 the secret code (doubles allowed or not).  The game has 5 built-in
 levels, from level 1 (beginner: 2 slots and 2 colors, with doubles
 allowed) to level 5 (hard: 5 slots and 10 colors, with doubles
 allowed). ColorCode can make guesses in place of the user.

Package: colord-gtk-utils
Description-md5: 894223238fd2e0beb8fcf624b947fcbe
Description-en: miscellaneous GUI utilities interacting with colord
 This package contains graphical utilities for interacting with colord,
 including:
  * cd-convert: A tool for converting a pair of .ti3 files to a .ccmx file,
                or generating a .ti3 device sample file from a .ti1
                target file.

Package: colord-kde
Description-md5: 0d7565e3cd3c86cac320de5bfd665678
Description-en: Color management for KDE
 A System Settings module to do color management for monitors,
 printers, webcams and other devices.

Package: colord-sensor-argyll
Description-md5: fde301302d76d6d3f8ba41809688fbe2
Description-en: system service to manage device colour profiles -- argyll sensor plugin
 colord is a system service that makes it easy to manage, install and generate
 colour profiles to accurately colour manage input and output devices.
 .
 It provides a D-Bus API for system frameworks to query, a persistent data
 store, and a mechanism for session applications to set system policy.
 .
 This package contains a sensor plugin that uses the Argyll tools, allowing
 colord to support colourimeters that are supported by Argyll.

Package: colord-tests
Description-md5: cb0371a4f11d93cb9aef691a913c49a1
Description-en: system service to manage device colour profiles -- test suite
 colord is a system service that makes it easy to manage, install and generate
 colour profiles to accurately colour manage input and output devices.
 .
 It provides a D-Bus API for system frameworks to query, a persistent data
 store, and a mechanism for session applications to set system policy.
 .
 This package contains test programs, designed to be run as a part of a
 regression test suite.

Package: colordiff
Description-md5: 7ab030bb518683495a4da0bf1db21c18
Description-en: tool to colorize 'diff' output
 ColorDiff is a wrapper for the 'diff' command. It produces
 the same output as diff, but with colored highlighting to improve
 readability. The color schemes can be customized.
 .
 The output is similar to the syntax-highlighting mode of Vim or Emacs
 when editing a patch created by diff.

Package: colorhug-client
Description-md5: 98aef0cacf80d31a0414e43c405db913
Description-en: Tools for the Hughski Colorimeter
 The Hughski ColorHug colorimeter is a low cost open-source hardware
 sensor used to calibrate screens.
 .
 This package includes the client tools which allows the user to upgrade
 the firmware on the sensor, set the color calibration matrix or to
 access the sensor.
 .
 Please note that this package does not provide calibration, you
 should install gnome-color-manager or argyll for this purpose.

Package: colorize
Description-md5: 2ee3e5c084b17214bad34bdb11351715
Description-en: Colorizes text on terminal with ANSI escape sequences
 Colorizes text read from standard input stream or file by using ANSI
 escape sequences (and also vice versa, i.e. cleaning text from sequences)
 and prints resulting output to the terminal.

Package: colorized-logs
Description-md5: c2ca1e752a67b97d30757e6dd9b48346
Description-en: tools for consuming logs with ANSI color
 Some tools like gcc, dmesg, grep --color, colordiff, ccze, etc can enhance
 their output with color, making reading a lot more pleasant.  You can
 usually view that only on your terminal or with "less -R"; this package
 gives you:
  * ansi2html: convert logs to HTML
  * ansi2txt: drop ANSI control codes
  * ttyrec2ansi: drop timing data from ttyrec files
  * pipetty: makes a program think its stdout and stderr are connected to a
    terminal; use as a prefix: "pipetty dmesg|tee"
  * lesstty: pipe a program (as above) to "less -R"

Package: colormake
Description-md5: acd5f7222e70fd14cf544dbd5c7535c9
Description-en: simple wrapper around make to colorize output
 This package contains colormake.pl which parses the output of make to
 colorize it to make it easier read. In addition, there are two wrapper
 scripts included, colormake and clmake, which can be invoked instead of make
 with colorized output on-the-fly.

Package: colortail
Description-md5: babbecb2e78bbb9f8b73444cf05ce36f
Description-en: log colorizer that makes log checking easier
 colortail works like tail but can optionally read one or more config
 files where it's specified which patterns results in which colors.
 Colortail uses regular expressions (see regex(7)) to determine which
 lines and parts of lines to print in which colors.

Package: colortest
Description-md5: 647a898b4902a7de25527f16b9567465
Description-en: utilities to test color capabilities of terminal
 Most terminals are capable of displaying 16 colors; 8 colors and 2
 different brightness values, some (like xterm) can display more, even
 256 colors.
 .
 The colortest package includes set of utilities to help test how many
 colors a terminal can show. These color settings depend on the $TERM
 environment variable and termcap entry and by adjusting or selecting
 correct values, higher colors may be available. The following numbers
 of colors can be tested for: 8, 16 and 256 colors.

Package: colossal-cave-adventure
Description-md5: 7c8776f83e9a081991660bc516eabe49
Description-en: Colossal Cave Adventure game
 Explore Colossal Cave, where others have found fortunes in treasure and
 gold, though it is rumored that some who enter are never seen again.
 .
 Colossal Cave Adventure (originally named “ADVENT” or “Adventure”) is
 the seminal text adventure game, written by Will Crowther and Don
 Woods.
 .
 This is a re-implementation of the “350-point” version, using the
 same game content from the PDP-10 source code of the late 1970s.
 .
 It uses the original text exactly, and emits responses slow enough to
 read as the contemporary terminal interfaces did.

Package: colplot
Description-md5: 59bebd55e8af90f69db678e99a9da8c1
Description-en: Utility to plot performance data from collectl
 At its core, colplot is nothing more than a script that has intimate knowledge
 of collectl plot file and directory format. Based on the types of plots being
 requested and some basic formatting options, it will build the necessary
 gnuplot commands and automatically run them for you.
 .
 Colplot can be run either through a web-based interface or a command line.  As
 this introduction is not intended to be a User's Guide, see the colplot man
 page to identify which command line switches map to which web-based options.
 Also note that to produce plots on your terminal in command mode you must be
 running on a system that supports X terminals or windows. No, that is not a
 typo. Colplot does run on PCs. Also note if you direct colplot to write your
 plots to a file X is not required.

Package: comet-ms
Description-md5: 1b6a4577853a8d9f72b48ec4a50c2f72
Description-en: Tandem mass spectrometry (MS/MS) search engine
 Comet is an open source tandem mass spectrometry (MS/MS) sequence
 database search engine. It identifies peptides by searching MS/MS
 spectra against sequences present in protein sequence databases.
 .
 This package ships a binary that does MS/MS database
 searches. Supported input formats are mzXML, mzML, and ms2
 files. Supported output formats are .out, SQT, and pepXML.

Package: comgt
Description-md5: f964e8faba4818d02deaf27ab6ddcba3
Description-en: Option GlobeTrotter and Vodafone datacard control tool
 Comgt is a scripting language interpreter useful for establishing
 communications on serial lines and through PCMCIA modems as well as
 GPRS and 3G datacards. Works with Option GlobeTrotter
 GPRS/EDGE/3G/HSDPA and Vodafone 3G/GPRS datacards.

Package: comitup
Description-md5: d271e27fbd8912978840aa9dcddbb177
Description-en: bootstrap Wifi using Wifi
 Comitup will attempt to connect to wifi using an established connection. If
 that fails, it creates a hotspot and web service to aid in configuring a
 connection.

Package: comixcursors-lefthanded
Description-md5: e7f564fdde2e8078cd4b54ed85dece1c
Description-en: X11 mouse pointer themes with a comic art feeling (LH, translucent)
 ComixCursors is a set of mouse pointer themes for X11 in the style of
 comic-book art.
 .
 The package includes cursor themes in a wide combination of variants:
  * 6 different colors (black, blue, green, orange, red, white)
  * 4 different sizes (small, regular, large, huge)
  * 2 different weights (slim, normal)
 .
 This package installs the left-handed, translucent ComixCursors
 themes.

Package: comixcursors-lefthanded-opaque
Description-md5: 9d632f7c6163fe75a27f4f3139e8f2e8
Description-en: X11 mouse pointer themes with a comic art feeling (LH, opaque)
 ComixCursors is a set of mouse pointer themes for X11 in the style of
 comic-book art.
 .
 The package includes cursor themes in a wide combination of variants:
  * 6 different colors (black, blue, green, orange, red, white)
  * 4 different sizes (small, regular, large, huge)
  * 2 different weights (slim, normal)
 .
 This package installs the left-handed, opaque ComixCursors themes.

Package: comixcursors-righthanded
Description-md5: 16c3c830416b069a7930f5ff8c05a275
Description-en: X11 mouse pointer themes with a comic art feeling (RH, translucent)
 ComixCursors is a set of mouse pointer themes for X11 in the style of
 comic-book art.
 .
 The package includes cursor themes in a wide combination of variants:
  * 6 different colors (black, blue, green, orange, red, white)
  * 4 different sizes (small, regular, large, huge)
  * 2 different weights (slim, normal)
 .
 This package installs the “original” (right-handed, translucent)
 ComixCursors themes.

Package: comixcursors-righthanded-opaque
Description-md5: fa544f43067af34b93880a921026958a
Description-en: X11 mouse pointer themes with a comic art feeling (RH, opaque)
 ComixCursors is a set of mouse pointer themes for X11 in the style of
 comic-book art.
 .
 The package includes cursor themes in a wide combination of variants:
  * 6 different colors (black, blue, green, orange, red, white)
  * 4 different sizes (small, regular, large, huge)
  * 2 different weights (slim, normal)
 .
 This package installs the right-handed, opaque ComixCursors themes.

Package: commit-patch
Description-md5: d9329af36e0955d29b0ddb9c6275ba79
Description-en: utility to commit fine grained patches to source code control repositories
 Normally version control systems don't allow fine grained
 commits. commit-patch allows the user to control exactly what gets
 committed by letting the user supply a patch to be committed rather than
 using the files in the current working directory.
 .
 commit-patch supports Darcs, Git, Mercurial, Bazaar, Monotone, Subversion,
 or CVS repositories.
 .
 Also included is an Emacs interface to commit-patch. It allows you to just
 hit C-c C-c in any patch buffer to apply and commit only the changes
 indicated by the patch, regardless of the changes in your working
 directory.

Package: communitheme-snap-session
Description-md5: 15b1c6c2bab751df8e77e5b7b0760536
Description-en: Ubuntu communitheme snap session with GNOME Shell
 This package contains the required components for running a GNOME 3 session
 with default Ubuntu configuration, based on the GNOME Shell, using
 the communitheme snap.

Package: comparepdf
Description-md5: 8a23a13fcb9740bae8e872c7197bfb93
Description-en: command line tool for comparing two PDF files
 comparepdf is a command line tool for comparing two PDF files.
 .
 By default it compares their texts but it can also compare them
 visually (e.g., to detect changes in diagrams, images, fonts, and
 layout).
 .
 It should prove useful for automated testing.

Package: compartment
Description-md5: e1ced2b571e02b8132229d9438f183d2
Description-en: Confine services in a limited environment
 Compartment was designed to allow safe execution of privileged and/or
 untrusted executables and services. It can execute a process:
  - Setting specific Linux capabilities
  - Chrooting it to a certain location
  - setting the user or group it will run with
  - running a program before it is executed
 These features can be used to minimize the risk of a trojanized or vulnerable
 program/service.

Package: compass-blend-modes-plugin
Description-md5: 318c505c022c3ffc710407588a986441
Description-en: use standard color blending functions in Sass
 CSS doesn't natively support color blending the way that GIMP does.
 SCSS Blend Modes attempts to fake that by allowing you to blend a
 foreground color with a background color in order to approximate color
 blending.  The process is not dynamic; you can't use it to blend a
 color with an image.
 .
 Sass makes CSS fun again. Sass is an extension of CSS3, adding nested
 rules, variables, mixins, selector inheritance, and more.

Package: compass-blueprint-plugin
Description-md5: f0bba60bf608636ef7397daf4fff4303
Description-en: Compass extension for blueprint CSS framework
 Compass is a CSS authoring framework which uses the Sass stylesheet
 language to make writing stylesheets powerful and easy.
 .
 Compass-blueprint is a CSS Framework extension for Compass, included
 with early releases of Compass but now shipped as separate plugin.

Package: compass-bootstrap-sass-plugin
Description-md5: be4d1cc4be460d08fd1b883651f6c8c4
Description-en: Compass plugin implementing Twitter's Bootstrap
 Compass is a framework for compiling CSS from similar yet more flexible
 Sass (either .sass or .scss) source files.
 .
 This package contains an implementation of Twitter's Bootstrap,
 including CSS Reset, CSS Fonts, and CSS Grids resources, for Compass.

Package: compass-breakpoint-plugin
Description-md5: bd51595afad935d8ce7187b2989960b6
Description-en: really simple media queries with Sass
 Breakpoint makes writing media queries in Sass super simple.
 Create a variable using a simplified syntax
 based on most commonly used media queries,
 then call it using the "breakpoint" mixin.
 Breakpoint handles all of the heavy lifting,
 from writing the media query itself,
 to handling cross-browser compatibility issues,
 so you can focus on what's important:
 making sure your website looks its best.
 .
 Breakpoint also allows you
 to get the context of your media queries from your code,
 allowing you to write dynamic mixins
 based on their media query context.
 .
 Additionally, Breakpoint includes Respond-To syntax
 for the semantic awesomeness of string names
 to identify your queries as opposed to variables,
 and for dynamically generating media queries.
 .
 Sass is a pre-processing language for CSS.
 It allows you to write cleaner stylesheets
 and makes collaboration on your CSS a breeze.

Package: compass-color-schemer-plugin
Description-md5: fa7250cc131bde3f82a77117bb580bb5
Description-en: create color schemes with ease
 Color schemer is a robust color toolset for Sass. It expands on the
 existing Sass color functions and adds things like RYB manipulation,
 set-hue, set-lightness, tint, shade and more. It also leverages these
 tools adding a full-featured color scheming tool that allows you to set
 one primary color and create whole color schemes around it.
 .
 Sass makes CSS fun again. Sass is an extension of CSS3, adding nested
 rules, variables, mixins, selector inheritance, and more.

Package: compass-fancy-buttons-plugin
Description-md5: 4994288dc593f32d80a16f7bbefffc55
Description-en: Compass plugin implementing fancy CSS3 buttons
 Compass is a framework for compiling CSS from similar yet more flexible
 Sass (either .sass or .scss) source files.
 .
 Fancy Buttons helps you easily style buttons with beautiful CSS3
 features like gradients, rounded corners, etc. Don't worry the buttons
 also degrade nicely for browsers not supporting CSS3.

Package: compass-h5bp-plugin
Description-md5: 203c3a6cbf93ec1172aadbadb50f95cc
Description-en: Sass mixins for HTML5 Boilerplate
 This package contains a collection of Sass mixins
 extracted from HTML5 Boilerplate (H5BP).
 This includes Normalize -
 a modern, HTML5-ready alternative to CSS resets.
 .
 Sass is a pre-processing language for CSS.
 It allows you to write cleaner stylesheets
 and makes collaboration on your CSS a breeze.

Package: compass-layoutgala-plugin
Description-md5: a20dde77ead78125af24142a8661a593
Description-en: Sass mixins implementing the Layout-gala CSS styles
 This package contains a collection of Sass mixins
 implementing Layout-gala.
 .
 Layout-gala is a collection of 40 CSS layouts
 styling a single HTML markup,
 without hacks nor workarounds and a good cross-browser compatibility.
 The layouts uses techniques like negative margins,
 any order columns and opposite floats:
 <http://blog.html.it/layoutgala/>.
 .
 Sass is a pre-processing language for CSS.
 It allows you to write cleaner stylesheets
 and makes collaboration on your CSS a breeze.

Package: compass-normalize-plugin
Description-md5: 07e96e0faa1612dc52b9981e19685c6d
Description-en: Sass version of Normalize.css
 Normalize-scss is a Sass version of Normalize.css.
 .
 Normalize.css is a collection of HTML element and attribute rulesets
 to normalize styles across all browsers:
  * Preserves useful defaults, unlike many CSS resets.
  * Normalizes styles for a wide range of elements.
  * Corrects bugs and common browser inconsistencies.
  * Improves usability with subtle modifications.
  * Explains what code does using detailed comments.
 .
 Normalize-scss aims to use a light dusting of Sass
 to make Normalize even easier to integrate with your website.
 It currently adds:
  * Vertical rhythm mixins: Allowing you to alter the font-size,
    line-height and margins in Normalize's output
    without hacking the library.
  * Optional Eyeglass support.
  * Several ready-to-fork versions
    that integrate typography Sass modules like Typey,
    style guides built with KSS,
    or the legacy Compass module.
 .
 Sass is a pre-processing language for CSS.
 It allows you to write cleaner stylesheets
 and makes collaboration on your CSS a breeze.

Package: compass-sassy-maps-plugin
Description-md5: 9def068780142b44744d707314925e9a
Description-en: map helper functions for Sass maps
 Compass is a CSS authoring framework which uses the Sass stylesheet
 language to make writing stylesheets powerful and easy.
 .
 Sassy Maps adds a variety of functions aimed at helping you work with
 Sass maps much easier, including get-deep and set/set-deep.

Package: compass-singularitygs-plugin
Description-md5: a434efa63bdfd756cdc98688f38626a0
Description-en: advanced responsive grid system for Sass and Compass
 Singularity is a fluid grid system that can generate uniform columns as
 well as asymmetric and compound grids.  It is designed to be
 extensible, adding additional outputs or grid generators are easy, and
 the core syntax is simple to build upon for custom input syntaxes.
 .
 Compass is a CSS authoring framework which uses the Sass stylesheet
 language to make writing stylesheets powerful and easy.
 .
 Sass makes CSS fun again. Sass is an extension of CSS3, adding nested
 rules, variables, mixins, selector inheritance, and more.
 .
 NB! Upstream discourages use of this library for new projects, and
 recommends to instead use CSS Grid:
 <https://developer.mozilla.org/en-US/docs/Web/CSS/CSS_Grid_Layout>.

Package: compass-slickmap-plugin
Description-md5: 01883f46cdf4a8915e2b816325ed4857
Description-en: Compass plugin implementing SlickMapCSS sitemap
 Compass is a framework for compiling CSS from similar yet more flexible
 Sass (either .sass or .scss) source files.
 .
 SlickMapCSS is a technique to visualize a sitemap using only unnumbered
 lists and clever crafted CSS. More info: http://astuteo.com/slickmap/
 .
 This package contains an implementation of SlickmapCSS for Compass.

Package: compass-susy-plugin
Description-md5: f2c3015d6ffb552121f3a26787312aff
Description-en: Sass power-tools for web layout
 Compass is a CSS authoring framework which uses the Sass stylesheet
 language to make writing stylesheets powerful and easy.
 .
 Susy is a semantic CSS grid system with a responsive twist.
 .
 Susy grids are fluid on the inside, ready to respond at any moment, but
 contained in the candy shell of your choice, so they respond how and
 when and where you want them to.  They don't design your site or
 dictate your markup, just do the math and get out of your way.

Package: compass-toolkit-plugin
Description-md5: f2386f27a99bb5922a724b402bd5e7e6
Description-en: toolkit of awesome Sass stuff
 Think of Toolkit as your swiss army knife for Progressive Enhancement
 and Responsive Web Design. Those little bits and bobs that make your
 life easy and you want to reuse throughout projects but never really
 had a place to put? They're here, and they're designed to make your
 life happy. It's even got templates to get you rocking and rolling with
 some of the best Responsive Web Design tools Sass has to offer. Toolkit
 is broken out into individual pieces, so grab what you want, grab what
 you need, or grab the lot; the choice is yours.
 .
 Sass makes CSS fun again. Sass is an extension of CSS3, adding nested
 rules, variables, mixins, selector inheritance, and more.

Package: compass-yui-plugin
Description-md5: dcf27ac6eb83415aa6aa0127fe04a91b
Description-en: Compass plugin implementing the YUI CSS Foundation
 Compass is a framework for compiling CSS from similar yet more flexible
 Sass (either .sass or .scss) source files.
 .
 This package contains an implementation of the YUI CSS foundation,
 including CSS Reset, CSS Fonts, and CSS Grids resources, for Compass.

Package: compface
Description-md5: f784537e44f6a2803248ea62ab9acaa1
Description-en: Compress/decompress images for mailheaders, user tools
 Converts 48x48 .xbm format (X bitmap) files to a compressed format
 that can be placed in your X-Face: mail header. Some mailreaders,
 like exmh will then display this image when the user is reading your mail.

Package: compiz
Description-md5: f8949ff691855a5244d51aa54ee72f8f
Description-en: OpenGL window and compositing manager
 Compiz brings to life a variety of visual effects that make the Linux desktop
 easier to use, more powerful and intuitive, and more accessible for users
 with special needs.
 .
 This metapackage provides the components necessary for running compiz. It
 provides the compiz core, a set of standard plugins, a window decorator using
 the Gtk toolkit and the files necessary to integrate compiz with the GNOME
 desktop environment.

Package: compiz-bcop
Description-md5: 93b46469a453442da1a32629c4257207
Description-en: Compiz Reloaded option code generator
 Compiz Reloaded is the result of the re-unification of the Beryl-project
 and the community around the Compiz Window Manager. It seeks to provide
 an easy and fun-to-use windowing environment, allowing use of the
 graphics hardware to provide impressive effects, amazing speed and
 unrivalled usefulness
 .
 This tool is used to generate code for use with compiz plugins.

Package: compiz-boxmenu
Description-md5: 8247d99893db393748acbe6084643b7f
Description-en: Compiz Reloaded - daemon and clients providing pop-up menus
 Boxmenu provides a number of different menus to the compositing window
 manager Compiz and possibly to other window managers as well.
 .
 It is composed of a daemon that caches the different menus from various
 sources, following desktop standards and a number of clients to ask
 the daemon for a specific type of menu, clients that can be bound to
 different key-chords and mouse events using the Compizconfig Settings
 Manager.
 .
 The components are compiz-boxmenu, compiz-boxmenu-editor, a menu editor
 and compiz-boxmenu-{daemon,dlist,dplist,vplist,wlist}, where the
 specialized clients are respectively for recent documents, desktops,
 viewports and windows.

Package: compiz-core
Description-md5: 96330190075d58bc036c352e8a3a72fa
Description-en: OpenGL window and compositing manager
 Compiz brings to life a variety of visual effects that make the Linux desktop
 easier to use, more powerful and intuitive, and more accessible for users
 with special needs.
 .
 Compiz combines together a window manager and a composite manager using
 OpenGL for rendering. A "window manager" allows the manipulation of the
 multiple applications and dialog windows that are presented on the screen. A
 "composite manager" allows windows and other graphics to be combined together
 to create composite images. Compiz achieves its stunning effects by doing
 both of these functions.

Package: compiz-dev
Description-md5: 867507a9b714c75e96d3438264bda095
Description-en: OpenGL window and compositing manager - development files
 Compiz brings to life a variety of visual effects that make the Linux desktop
 easier to use, more powerful and intuitive, and more accessible for users
 with special needs.
 .
 This package contains the headers and libraries needed to compile compiz
 plugins.

Package: compiz-gnome
Description-md5: f487b7706c4305676403c9a70567359c
Description-en: OpenGL window and compositing manager - GNOME window decorator
 Compiz brings to life a variety of visual effects that make the Linux desktop
 easier to use, more powerful and intuitive, and more accessible for users
 with special needs.
 .
 This package contains files needed to integrate compiz with the GNOME desktop
 environment.

Package: compiz-mate
Description-md5: 09595fdf4d423fb538a04789c4e2fbf3
Description-en: OpenGL window and compositing manager - MATE integration
 Compiz brings to life a variety of visual effects that make the Linux desktop
 easier to use, more powerful and intuitive, and more accessible for users
 with special needs.
 .
 This package contains files needed to integrate compiz with the MATE desktop
 environment.

Package: compiz-plugins
Description-md5: 5f988b4273a894361f576aa14d53e19b
Description-en: OpenGL window and compositing manager - plugins
 Compiz brings to life a variety of visual effects that make the Linux desktop
 easier to use, more powerful and intuitive, and more accessible for users
 with special needs.
 .
 This package contains the plugins that come with compiz but not officially
 supported.

Package: compiz-plugins-default
Description-md5: 169e3bd9eb5bb71160bf028bbbfc8b44
Description-en: OpenGL window and compositing manager - default plugins
 Compiz brings to life a variety of visual effects that make the Linux desktop
 easier to use, more powerful and intuitive, and more accessible for users
 with special needs.
 .
 This package contains the default set of core Compiz plugins.

Package: compiz-plugins-extra
Description-md5: 3b7683fb9ca8062e8f26efe3a5e476f0
Description-en: transitional dummy package
 This package ensures a clean upgrade path, and can be safely removed
 after the upgrade.

Package: compiz-plugins-main
Description-md5: 3b7683fb9ca8062e8f26efe3a5e476f0
Description-en: transitional dummy package
 This package ensures a clean upgrade path, and can be safely removed
 after the upgrade.

Package: compiz-plugins-main-default
Description-md5: 3b7683fb9ca8062e8f26efe3a5e476f0
Description-en: transitional dummy package
 This package ensures a clean upgrade path, and can be safely removed
 after the upgrade.

Package: compiz-plugins-main-dev
Description-md5: 3b7683fb9ca8062e8f26efe3a5e476f0
Description-en: transitional dummy package
 This package ensures a clean upgrade path, and can be safely removed
 after the upgrade.

Package: compizconfig-settings-manager
Description-md5: 675304338d841d1ad811fa71af2f89f7
Description-en: Compiz configuration settings manager
 The OpenCompositing Project brings 3D desktop visual effects that improve
 usability of the X Window System and provide increased productivity.
 .
 This package contains the compizconfig settings manager.

Package: complexity
Description-md5: 4b3cc8dd6f6ddb337063927223b3bfa3
Description-en: tool for analyzing the complexity of C program functions
 Complexity measurement tool help to:
 .
  * locate suspicious areas in unfamiliar code
  * get an idea of how much effort may be required to understand that
    code
  * get an idea of the effort required to test a code base
  * provide a reminder to yourself. You may see what you've written
     as obvious, but others may not.
 .
 Comparing with existing tool McCabe, this program improves scoring
 of following language constructs:
 .
  * code length
  * switch statement
  * logic conditions

Package: complexity-doc
Description-md5: 070d3ffa13e9f068eb601f20efd1c06d
Description-en: tool for analyzing the complexity of C program (documentation)
 Complexity measurement tool help to:
 .
  * locate suspicious areas in unfamiliar code
  * get an idea of how much effort may be required to understand that
    code
  * get an idea of the effort required to test a code base
  * provide a reminder to yourself. You may see what you've written
     as obvious, but others may not.
 .
 Comparing with existing tool McCabe, this program improves scoring
 of following language constructs:
 .
  * code length
  * switch statement
  * logic conditions
 .
 This package contains documentation in TexInfo format.

Package: composer
Description-md5: e03f8a4cfea1bad44f653aea93886b7d
Description-en: dependency manager for PHP
 Composer helps you declare, manage and install dependencies of PHP projects.
 It ensures you have the right stack everywhere.
 .
 It behaves like Bundler (Ruby), npm (Node), etc.

Package: comprez
Description-md5: e3bd698b4901d2cbbdd32e7bbef96b7d
Description-en: frontend to many compression programs
 Comprez, aka 'z', is a simple, safe and convenient front-end for the
 compress(1), uncompress(1), gzip(1), bzip2(1), tar(1), zip(1) and
 unzip(1) utilities for compressing and uncompressing files and
 directories.
 .
 The basic idea is that you can just run "comprez SOMETHING" where
 SOMETHING is any file, directory, compressed file or compressed
 archive, and program will do the right thing in a standard way.
 Simple for novices and convenient for experts.

Package: comptext
Description-md5: deea75d71027cb4ccb14f7638d16433d
Description-en: Gui based tool to compare two text streams
 Comptext is used to compare two text streams.  It's comparison function uses a
 linear-programming technique. It computes total number of errors, the character
 error rate (CER) and the bit error rate (BER).

Package: compton
Description-md5: fa288ef1618c96c3b712753c01a39a37
Description-en: compositor for X11, based on xcompmgr
 compton is a compositor for X11, based on xcompmgr. In addition to shadows,
 fading and translucency, compton implements window frame opacity control,
 inactive window transparency, and shadows on argb windows.

Package: compton-conf
Description-md5: bc5d2531c0bd95b8f13fea199dc022f6
Description-en: Compton Configurator for Qt
 Qt configuration tool for X composite manager
 .
 This package contain Compton Configurator.

Package: comptty
Description-md5: 36ff193b0faaffc70fd5e1c0252984d4
Description-en: GUI based tool to compare two RTTY streams
 Comptty is used to compare two RTTY streams. It's comparison function uses a
 linear-programming technique.  It computes total number of errors, the
 character error rate (CER) and the bit error rate (BER).  Special attention is
 given to SHIFT and UNSHIFT to account for an error occurring in that character.

Package: comskip
Description-md5: 33413439bb405c85d113708257cfb1f9
Description-en: Commercial detector
 Comskip is a commercial detector. It is a console application that reads a
 mpeg or h.264 file and analyses the content based on a large amount of
 configurable parameters. A gui is also provided.
 .
 After analysis it generates a file in various possible formats containing the
 location of the commercials inside the video file.
 .
 The formats include input files for interactive video editors (VideoRedo,
 Cuttermaran,Mpeg2Schnitt...) command line video cutters (mpgtx, cuttermaran,
 mencoder) and video players (zoomplayer, mplayer, ...).
 .
 Comskip can read MPEG PS, TS, DVR-MS and WTV files up to UHD resolution (max
 4000x2400) at various framerates (PAL and NTSC). Comskip can NOT read copy
 protected recordings.

Package: comskip-gui
Description-md5: ed709c74831a80e5200f4747837500c2
Description-en: Commercial detector GUI
 Comskip is a commercial detector. It is a console application that reads a
 mpeg or h.264 file and analyses the content based on a large amount of
 configurable parameters. A gui is also provided.
 .
 After analysis it generates a file in various possible formats containing the
 location of the commercials inside the video file.
 .
 The formats include input files for interactive video editors (VideoRedo,
 Cuttermaran,Mpeg2Schnitt...) command line video cutters (mpgtx, cuttermaran,
 mencoder) and video players (zoomplayer, mplayer, ...).
 .
 Comskip can read MPEG PS, TS, DVR-MS and WTV files up to UHD resolution (max
 4000x2400) at various framerates (PAL and NTSC). Comskip can NOT read copy
 protected recordings.
 .
 This is the package providing the graphical user interface based on sdl.

Package: concalc
Description-md5: df93b17bc00857308f747905bb109a7e
Description-en: console calculator
 concalc is a calculator for the Linux console. It is just the
 parser-algorithm of extcalc packed into a simple console program. You
 can use it if you need a calculator in your shell. concalc is also able
 to run scripts written in a C-like programming language.

Package: concavity
Description-md5: 218f0855db86d2d89a15960056332c1e
Description-en: predictor of protein ligand binding sites from structure and conservation
 ConCavity predicts protein ligand binding sites by combining evolutionary
 sequence conservation and 3D structure.
 .
 ConCavity takes as input a PDB format protein structure and optionally
 files that characterize the evolutionary sequence conservation of the chains
 in the structure file.
 .
 The following result files are produced by default:
  * Residue ligand binding predictions for each chain (*.scores).
  * Residue ligand binding predictions in a PDB format file (residue
    scores placed in the temp. factor field, *_residue.pdb).
  * Pocket prediction locations in a DX format file (*.dx).
  * PyMOL script to visualize the predictions (*.pml).

Package: concordance
Description-md5: f458e378c4a66ed997744e3beb8d0b03
Description-en: configuration tool for Harmony remotes
 The Logitech Harmony is a highly configurable universal IR remote control
 compatible with most multimedia devices. The concordance software suite
 allows you to program such remotes using a configuration object retrieved
 from the harmonyremote.com website.
 .
 This package contains the binary utility to control and configure the Harmony
 remote.

Package: concordance-common
Description-md5: 8058cd87c9b971b2415a6d7705d6da46
Description-en: Harmony remote configuration tool - common files
 The Logitech Harmony is a highly configurable universal IR remote control
 compatible with most multimedia devices. The concordance software suite
 allows you to program such remotes using a configuration object retrieved
 from the harmonyremote.com website.
 .
 This package contains the common files for the concordance framework.

Package: conda-package-handling
Description-md5: a5bdc754e78c3925e981f636826137fa
Description-en: create and extract conda packages of various formats
 Cph is an abstraction of conda package handling and a tool for
 extracting, creating, and converting between formats.
 .
 At the time of writing, the standard conda package format is a .tar.bz2
 file. That will need to be maintained for quite a long time, thanks to
 the long tail of people using old conda versions. There is a new conda
 format, described at https://docs.google.com/document/d/1HGKsbg_j69rKXP-
 ihhpCb1kNQSE8Iy3yOsUU2x68x8uw/edit?usp=sharing. This new format is
 designed to have much faster metadata access and utilize more modern
 compression algorithms, while also facilitating package signing without
 adding sidecar files.

Package: confclerk
Description-md5: 52a7a34b36dd57b4112392978aa3e3ec
Description-en: offline conference schedule application
 ConfClerk is an application written in Qt, which makes conference schedules
 available offline. It displays the conference schedule from various views,
 support searches on various items (speaker, speech topic, location, etc.) and
 enables you to select favorite events and create your own schedule.
 .
 At the moment ConfClerk is able to import schedules in XML format created by
 the PentaBarf conference management system (or frab) used by e.g. FOSDEM,
 DebConf, FrOSCon, Grazer LinuxTage, and the CCC congresses.
 .
 ConfClerk is targeted at mobile devices but works on any system running Qt.

Package: confget
Description-md5: 2a189ce258a4c67c31f2da7b2f8157be
Description-en: read variables from INI-style configuration files
 The confget utility examines a INI-style configuration file and retrieves
 the value of the specified variables from the specified section.
 Its intended use is to let shell scripts use the same INI-style
 configuration files as other programs, to avoid duplication of data.
 .
 The confget utility may retrieve the values of one or more variables,
 list all the variables in a specified section, list only those whose names
 or values match a specified pattern (shell glob or regular expression), or
 check if a variable is present in the file at all.  It has a "shell-quoting"
 output mode that quotes the variable values in a way suitable for passing
 them directly to a Bourne-style shell.

Package: config-package-dev
Description-md5: f541c22453a6697f725a13e1ca22dd39
Description-en: Debhelper (and CDBS) modules for building configuration packages
 This package contains a system of modules for creating Debian
 configuration packages: packages that configure an existing Debian
 system by using dpkg-divert on configuration files.  These modules
 attempt to make the process of creating configuration packages
 efficient by checking the md5sums of upstream configuration files
 that are to be modified and automatically generating the relevant
 postinst code to perform the diversions using the standard debhelper
 mechanism.  In addition to a debhelper command and sequencer extension
 module, CDBS modules are also included, but future development will
 focus on the debhelper interface.
 .
 The config-package-dev modules are optimized for site defaults (i.e.
 configuration for a university or a company), though they are useful
 for other applications as well.

Package: configure-debian
Description-md5: aceb7acbaf91be22a031f1b75eec9751
Description-en: central configuration program for packages using debconf
 configure-debian is a program which presents a list of packages which
 use Debconf, Debian's configuration management system.
 .
 Debconf provides first-time installation wizards that run when a
 package is installed. You may reconfigure these packages at a later
 time, if you wish, and configure-debian makes that very easy.
 .
 configure-debian splits the package lists into the subsections which
 make up the Debian archive, such as x11, base, gnome, or kde.

Package: congress-common
Description-md5: 96c63867a2cfa9d0b70cdd054c8941c0
Description-en: OpenStack Policy as a Service - common files
 Congress is an OpenStack project to provide policy as a service across any
 collection of cloud services in order to offer governance and compliance for
 dynamic infrastructures.
 .
 This package contains common files and configuration that are
 needed by all the daemon packages of Cinder.

Package: congress-server
Description-md5: 53f6312808e2dd811299cff10723a8ac
Description-en: OpenStack Policy as a Service - API server
 Congress is an OpenStack project to provide policy as a service across any
 collection of cloud services in order to offer governance and compliance for
 dynamic infrastructures.
 .
 This package contains the API server on which clients will connect.

Package: congruity
Description-md5: c29a0ce2e03fcbeb6eb05515170938cc
Description-en: graphical utility to configure Logitech Harmony remotes
 Congruity is a graphical utility written in Python that handles writing
 the configuration of a Harmony Remote, using the concordance framework.
 .
 It provides a concise way to quickly configure a Logitech Harmony
 in a clean graphical interface, through the loading of EZHex files.

Package: conjugar
Description-md5: fd378e56d4e847f3e9d2c6efa644feb0
Description-en: text only program to conjugate Brazilian verbs
 Text only program to conjugate Portuguese verbs as spoken in Brazil.
 .
 If the entered expression is not a verb, conjugar tries to find it
 among the conjugated forms of known verbs. It also has the option of
 conjugating verbs as ordinary Brazilians do. In fact, Brazilians of
 different regions deviate from the normative grammar in different ways,
 but the conjugation displayed will already help foreigners to grasp
 what Brazilians are saying.
 .
 This program is console based. Alternatively, you can use GConjugue,
 the graphical (GTK+) version for conjugar.

Package: conky
Description-md5: 6ae2b0f9855afb8d0a149407cf95bd93
Description-en: highly configurable system monitor (transitional package)
 Conky is a system monitor that can display just about anything,
 either on your root desktop or in its own window.
 Conky has many built-in objects, as well as the ability to execute
 external programs or scripts (either external or through built-in
 Lua support).
 .
 This is a dummy package to ease transition to the new packaging scheme.
 It may be safely removed after upgrade/installation.

Package: conky-all
Description-md5: 04d5fa411250e086d62b088eb7b7ea54
Description-en: highly configurable system monitor (all features enabled)
 Conky is a system monitor that can display just about anything,
 either on your root desktop or in its own window.
 Conky has many built-in objects, as well as the ability to execute
 external programs or scripts (either external or through built-in
 Lua support).
 .
 This is a full conky with most compile options enabled:
 .
 X11, XDamage, XDBE, Xft, MPD, MOC, math, hddtemp, portmon, RSS,
 Weather, wireless, IBM, nvidia, eve-online, Imlib2,
 apcupsd, I/O stats, argb, Lua and the cairo and imlib2 Lua bindings,
 Audacious, XMMS2, and PulseAudio.

Package: conky-all-dbg
Description-md5: 2975b8e2399328dd1ee13c13fa6dc04f
Description-en: highly configurable system monitor (all features enabled - debug)
 Conky is a system monitor that can display just about anything,
 either on your root desktop or in its own window.
 Conky has many built-in objects, as well as the ability to execute
 external programs or scripts (either external or through built-in
 Lua support).
 .
 This is a full conky with most compile options enabled:
 .
 X11, XDamage, XDBE, Xft, MPD, MOC, math, hddtemp, portmon, RSS,
 Weather, wireless, IBM, nvidia, eve-online, Imlib2,
 apcupsd, I/O stats, argb, Lua and the cairo and imlib2 Lua bindings,
 Audacious, XMMS2, and PulseAudio.
 .
 This package contains debugging symbols only.

Package: conky-cli
Description-md5: c00e0b4a0278562b884599aa6b6db564
Description-en: highly configurable system monitor (basic version)
 Conky is a system monitor that can display just about anything,
 either on your root desktop or in its own window.
 Conky has many built-in objects, as well as the ability to execute
 external programs or scripts (either external or through built-in
 Lua support).
 .
 This is a basic package that can be useful in servers or piped with dzen2.
 It includes the following support:
 .
 MPD, MOC, math, apcupsd, ncurses and I/O stats.

Package: conky-cli-dbg
Description-md5: 4d6a12e4be7aba67c6a27bbcb2085485
Description-en: highly configurable system monitor (basic version - debug)
 Conky is a system monitor that can display just about anything,
 either on your root desktop or in its own window.
 Conky has many built-in objects, as well as the ability to execute
 external programs or scripts (either external or through built-in
 Lua support).
 .
 This is a basic package that can be useful in servers or piped with dzen2.
 It includes the following support:
 .
 MPD, MOC, math, apcupsd, ncurses and I/O stats.
 .
 This package contains debugging symbols only.

Package: conky-std
Description-md5: 70fd3a7866c04f4051dceb51fa4708e1
Description-en: highly configurable system monitor (default version)
 Conky is a system monitor that can display just about anything,
 either on your root desktop or in its own window.
 Conky has many built-in objects, as well as the ability to execute
 external programs or scripts (either external or through built-in
 Lua support).
 .
 This package should be a good compromise for most users that do not
 need special features.
 It includes the following support:
 .
 X11, XDamage, XDBE, Xft, MPD, MOC, math, hddtemp, portmon,
 wireless, ncurses, apcupsd, I/O stats, argb and Lua.

Package: conky-std-dbg
Description-md5: e1162b68aaa9277f8bb5f5685ae9f21c
Description-en: highly configurable system monitor (default version - debug)
 Conky is a system monitor that can display just about anything,
 either on your root desktop or in its own window.
 Conky has many built-in objects, as well as the ability to execute
 external programs or scripts (either external or through built-in
 Lua support).
 .
 This package should be a good compromise for most users that do not
 need special features.
 It includes the following support:
 .
 X11, XDamage, XDBE, Xft, MPD, MOC, math, hddtemp, portmon,
 wireless, ncurses, apcupsd, I/O stats, argb and Lua.
 .
 This package contains debugging symbols only.

Package: conman
Description-md5: cfc45b2af439c590556fae2aedd461f0
Description-en: serial console management program
 ConMan is a serial console management program designed to support a large
 number of console devices and simultaneous users.
 It supports:
     - local serial devices
     - remote terminal servers (via the telnet protocol)
     - IPMI Serial-Over-LAN (via FreeIPMI)
     - Unix domain sockets
     - external processes (e.g., using Expect for telnet / ssh / ipmi-sol
             connections)
 Its features include:
     - logging (and optionally timestamping) console device output to file
     - connecting to consoles in monitor (R/O) or interactive (R/W) mode
     - allowing clients to share or steal console write privileges
     - broadcasting client output to multiple consoles

Package: conmon
Description-md5: 786c9a3438be4723bf60d40dd2db71a6
Description-en: OCI container runtime monitor
 Conmon is a monitoring program and communication tool between a container
 manager (like podman or CRI-O) and an OCI runtime (like runc or crun) for a
 single container.
 .
 Upon being launched, it double-forks to daemonize and detach from the parent
 that launched it. It then launches the runtime as its child. This allows
 managing processes to die in the foreground, but still be able to watch over
 and connect to the child process (the container).
 .
 While the container runs, conmon does two things:
 .
 - Provides a socket for attaching to the container, holding open the
   container's standard streams and forwarding them over the socket.
 - Writes the contents of the container's streams to a log file (or to the
   systemd journal) so they can be read after the container's death.
 .
 Finally, upon the containers death, conmon will record its exit time and code
 to be read by the managing programs.
 .
 Written in C and designed to have a low memory footprint, conmon is intended to
 be run by a container managing library. Essentially, conmon is the smallest
 daemon a container can have.

Package: conmux
Description-md5: 796689f40c098a26cafc4bfacd9f8390
Description-en: console multiplexor
 CONMUX is a console abstractor.  Presenting any console with a
 consistent location, naming and semantic.  Access to the console, and
 hardreset of the machine is the same regardless of the underlying
 access methodology.

Package: connect-proxy
Description-md5: 5a477ca6036cb6750f09aa22c0e363db
Description-en: Establish TCP connection using SOCKS4/5 or HTTP tunnel
 connect-proxy is a simple relaying command to make tunnel TCP connection
 via SOCKS or HTTPS proxies.
 It is mainly intended to be used as proxy command of OpenSSH.

Package: connectagram
Description-md5: 29e6d48ff709fc0b61b0fcf2b9155786
Description-en: word unscrambling game
 The board consists of several scrambled words that are joined together.
 You can choose the length of the words, the amount of words, and the
 pattern that the words are arranged in. The game provides a hint option
 for times when you are stuck.

Package: connectagram-data
Description-md5: 40f94f50d39939778af305ec7c981ff6
Description-en: word unscrambling game - data files
 The board consists of several scrambled words that are joined together.
 You can choose the length of the words, the amount of words, and the
 pattern that the words are arranged in. The game provides a hint option
 for times when you are stuck.
 .
 This package provides data files required by connectagram.
 They include word lists and translations.

Package: connectome-workbench
Description-md5: 3afeae267223466e91970add767eb177
Description-en: brain visualization, analysis and discovery tool
 Connectome Workbench is a brain visualization, analysis and discovery
 tool for fMRI and dMRI brain imaging data, including functional and
 structural connectivity data generated by the Human Connectome
 Project.
 .
 Package includes wb_command, a command-line program for performing a
 variety of analytical tasks for volume, surface, and CIFTI
 grayordinates data.

Package: connectome-workbench-dbg
Description-md5: a5ce06eb5b0e8fc1673c9d4092f16999
Description-en: brain visualization, analysis and discovery tool -- debug symbols
 Connectome Workbench is a brain visualization, analysis and discovery
 tool for fMRI and dMRI brain imaging data, including functional and
 structural connectivity data generated by the Human Connectome
 Project.
 .
 Package includes wb_command, a command-line program for performing a
 variety of analytical tasks for volume, surface, and CIFTI
 grayordinates data.
 .
 This package contains debug symbols for the binaries.

Package: connman
Description-md5: d8355ea4ac1b5f83324dbab30d92c282
Description-en: Intel Connection Manager daemon
 The Linux Connection Manager project provides a daemon for managing
 Internet connections within embedded devices running the Linux
 operating system. The Connection Manager is designed to be slim and to
 use as few resources as possible. It is fully modular system that
 can be extended through plug-ins. The plug-in approach allows for
 easy adaption and modification for various use cases.
 .
 ConnMan provies IPv4 and IPv6 connectivity via:
  * ethernet
  * WiFi, using wpasupplicant
  * Cellular, using oFono
  * Bluetooth, using bluez
 .
 ConnMan implements DNS resolving and caching, DHCP clients for both IPv4 and
 IPv6, link-local IPv4 address handling and tethering (IP connection sharing)
 to clients via USB, ethernet, WiFi, cellular and Bluetooth.
 .
 This package contains the connman daemon and its plugins.

Package: connman-dev
Description-md5: 33a9c0f0d6250ee6a1293136570490fa
Description-en: Development files for connman
 The Linux Connection Manager project provides a daemon for managing
 Internet connections within embedded devices running the Linux
 operating system. The Connection Manager is designed to be slim and to
 use as few resources as possible. It is fully modular system that
 can be extended through plug-ins. The plug-in approach allows for
 easy adaption and modification for various use cases.
 .
 ConnMan provies IPv4 and IPv6 connectivity via:
  * ethernet
  * WiFi, using wpasupplicant
  * Cellular, using oFono
  * Bluetooth, using bluez
 .
 ConnMan implements DNS resolving and caching, DHCP clients for both IPv4 and
 IPv6, link-local IPv4 address handling and tethering (IP connection sharing)
 to clients via USB, ethernet, WiFi, cellular and Bluetooth.
 .
 This package contains headers, pkg-config support mainly useful for
 developing connman plugins.

Package: connman-doc
Description-md5: 638dda5db988107c5e5f68f2cb2738c6
Description-en: ConnMan documentation
 The Linux Connection Manager project provides a daemon for managing
 Internet connections within embedded devices running the Linux
 operating system.
 .
 This package contains the connman API documentation.
 .
 See the connman description for more information regarding connman.

Package: connman-gtk
Description-md5: 3641a5394bd5a0ea2b018e41391ad40b
Description-en: fully-featured GUI for ConnMan with systray support
 connman-gtk is a fully-featured GTK3 GUI for ConnMan with system tray
 support.
 .
 connman-gtk targets all WMs/DMs. It works on any Linux WM/DM which
 provides a freedesktop-compliant system tray. (GNOME, KDE, Xfce,
 i3, awesome...)
 .
 It supports the following features provided by the ConnMan API:
 .
  * enable/disable a technology (Wired, Wi-Fi, Bluetooth, Cellular, P2P)
  * connect to/disconnect from a service
  * configure a service (IPv4, IPv6, DNS, NTP, proxies)
  * share current connection (tethering)
 .
 connman-gtk is intended as the de-facto replacement for connman-ui.

Package: connman-ui
Description-md5: 817d917b8b7c084b3d83597f3fe5392f
Description-en: full-featured GTK-based tray icon UI for ConnMan
 ConnMan-UI targets all WM/DM users except those running Gnome3. It works
 on any Linux WM/DM which provides a freedesktop-compliant system tray.
 (KDE, awesome, i3, Xfce, ...)
 .
 It exposes almost all features provided by the ConnMan API. You can:
 .
  * enable/disable a technology (wired, wifi, cellular, bt, ...),
  * connect/disconnect a service
  * configure a service (IPv4, IPv6, DNS, timeservers, etc...)
  * share your current connection (tethering)
 .
 Everything is accessible through the mouse via the system tray icon using
 left/right click.

Package: connman-vpn
Description-md5: 22b15eb188a536d912595f4ff4e0d24e
Description-en: Intel Connection Manager daemon - VPN daemon
 The Linux Connection Manager project provides a daemon for managing
 Internet connections within embedded devices running the Linux
 operating system. The Connection Manager is designed to be slim and to
 use as few resources as possible. It is fully modular system that
 can be extended through plug-ins. The plug-in approach allows for
 easy adaption and modification for various use cases.
 .
 ConnMan provies IPv4 and IPv6 connectivity via:
  * ethernet
  * WiFi, using wpasupplicant
  * Cellular, using oFono
  * Bluetooth, using bluez
 .
 ConnMan implements DNS resolving and caching, DHCP clients for both IPv4 and
 IPv6, link-local IPv4 address handling and tethering (IP connection sharing)
 to clients via USB, ethernet, WiFi, cellular and Bluetooth.
 .
 This package contains the connman VPN daemon. ConnMan VPN daemon manages
 VPNs on behalf of connmand and start and stops various VPN client
 applications. The VPN daemon can also be run standalone without connmand.

Package: conntrackd
Description-md5: d4f93a8dd1ff0a1404310c418bca129e
Description-en: Connection tracking daemon
 Conntrackd can replicate the status of the connections that are
 currently being processed by your stateful firewall based on Linux.
 Conntrackd can also run as statistics daemon.

Package: cons
Description-md5: 8864740a1853140109f0656a997bb7c1
Description-en: a Perl replacement for Make
 Excerpted from the README file:
 .
 Cons is a system for constructing, primarily, software, but is quite
 different from previous software construction systems. Cons was
 designed from the ground up to deal easily with the construction of
 software spread over multiple source directories. Cons makes it easy
 to create build scripts that are simple, understandable and
 maintainable. Cons ensures that complex software is easily and
 accurately reproducible.
 .
 Cons uses a number of techniques to accomplish all of this.
 Construction scripts are just Perl scripts, making them both easy to
 comprehend and very flexible. Global scoping of variables is replaced
 with an import/export mechanism for sharing information between
 scripts, significantly improving the readability and maintainability
 of each script. Construction environments are introduced: these are
 Perl objects that capture the information required for controlling the
 build process. Multiple environments are used when different semantics
 are required for generating products in the build tree. Cons
 implements automatic dependency analysis and uses this to globally
 sequence the entire build. Variant builds are easily produced from a
 single source tree. Intelligent build subsetting is possible, when
 working on localized changes. Overrides can be setup to easily
 override build instructions without modifying any scripts. MD5
 cryptographic signatures are associated with derived files, and are
 used to accurately determine whether a given file needs to be rebuilt.

Package: conservation-code
Description-md5: 35f238c6a81ce482741e5d5359932062
Description-en: protein sequence conservation scoring tool
 This package provides score_conservation(1), a tool to score protein sequence
 conservation.
 .
 The following conservation scoring methods are implemented:
  * sum of pairs
  * weighted sum of pairs
  * Shannon entropy
  * Shannon entropy with property groupings (Mirny and Shakhnovich 1995,
    Valdar and Thornton 2001)
  * relative entropy with property groupings (Williamson 1995)
  * von Neumann entropy (Caffrey et al 2004)
  * relative entropy (Samudrala and Wang 2006)
  * Jensen-Shannon divergence (Capra and Singh 2007)
 .
 A window-based extension that incorporates the estimated conservation of
 sequentially adjacent residues into the score for each column is also given.
 This window approach can be applied to any of the conservation scoring
 methods.
 .
 The program accepts alignments in the CLUSTAL and FASTA formats.
 .
 The sequence-specific output can be used as the conservation input for
 concavity.
 .
 Conservation is highly predictive in identifying catalytic sites and
 residues near bound ligands.

Package: consolation
Description-md5: cf51e600e3e7f3225e8d568b98e6bf30
Description-en: linux console pointer support for copy-paste
 This package provides copy-paste and scrolling support to the Linux console.
 .
 It is based on the libinput library and supports all pointer devices and
 settings provided by this library.

Package: console-braille
Description-md5: 156e53c1fd029ceb4db2a91d4818a868
Description-en: Fonts and keymaps for reading/typing unicode braille
 This package includes
 .
  - fonts with various sizes to render braille on the Linux console
  - keymaps to type braille as unicode characters on the Linux console.

Package: console-common
Description-md5: 5701d23e42422d382f953b99bef48552
Description-en: basic infrastructure for text console configuration
 This package contains the install-keymap(8) utility, which is the
 recommended tool to specify a boot-time keymap to the system, as well
 as tools for internal use of keymap-providing packages.

Package: console-conf
Description-md5: 589537ebc4b56fd09f71953df0d73bb9
Description-en: Ubuntu Core Pre-Ownership Configurator
 SUbiquity is an installer system for servers, embedded devices and desktops
 or laptops meant to build systems as quickly as possible for users to reach
 a point where their system is usable.
 .
 By default, subiquity provides a "first boot" experience that allows users
 to do initial system configuration such as adding users and login settings,
 along with doing basic network configuration. It can also be used to build
 a new system from the ground up, partitioning and copying a disk image to
 the storage device selected by the user.
 .
 This package provides the setup routines for pre-ownership configuration of
 a system.

Package: console-cyrillic
Description-md5: 4e0e20b1fb56a3473aaf9b2fb89bc492
Description-en: Cyrillic fonts and keyboard layouts for Linux console
 This package provides Cyrillic support on the Linux console with great
 number of customizations:
 .
 1) Various supported encodings: utf-8, cp1251, ibm866, iso-8859-5, koi8-r,
 koi8-u, mac-cyrillic, mik, pt154 and rk1048.
 .
 2) Belarusian, two Bulgarian, two Kazakh, Macedonian, Mongolian, two Russian,
 Serbian and two Ukrainian keyboard mappings.
 .
 3) Many screen fonts.
 .
 4) Utilities: cyr, displayfont, dumppsf, makeacm, mkvgafont, raw2psf.
 .
 5) Two fonts for Dosemu.

Package: console-data
Description-md5: e4d7a244a5d35aaee203241f2680f695
Description-en: keymaps, fonts, charset maps, fallback tables for 'kbd'.
 This package provides the standard data files for the Linux console tools.
 .
 This includes keyboard definitions (keymaps), console fonts for various
 encodings, maps defining the standard charsets for use by text applications,
 and fallback tables allowing to approximate an unavailable character's glyph
 with the glyph of another character in the current font.

Package: console-log
Description-md5: cf71372fb4900a3e01f408a5ff130cb7
Description-en: Puts logfile pagers on virtual consoles
 console-log keeps logfile pagers open on virtual consoles. The
 default configuration puts exim's mainlog on tty8, and the syslog on
 tty9, in a less process. It can also make sure that this console is visible
 automatically after system boot so that a crashed system at least
 leaves the syslog readable on the console before reset. Using a pager
 makes searching, tagging and highlighting possible.

Package: console-setup-mini
Description-md5: ea2483d2cc1b85309cba9011538d041b
Description-en: console font and keymap setup program - reduced version for Linux
 This package provides the console with the same keyboard
 configuration scheme as the X Window System. As a result, there is no
 need to duplicate or change the keyboard files just to make simple
 customizations such as the use of dead keys, the key functioning as
 AltGr or Compose key, the key(s) to switch between Latin and
 non-Latin mode, etc.
 .
 This package can be useful for handhelds or other devices with small
 storage space.

Package: conspy
Description-md5: 4d006635ba9b97b07aecab22c2859756
Description-en: Remote control of Linux virtual consoles
 Conspy allows a (possibly remote) user to see what is displayed
 on a Linux virtual console, and send keystrokes to it.  It is
 rather like VNC, but where VNC takes control of a GUI conspy
 takes control of a text mode virtual console.  Unlike VNC,
 conspy does not require a server to be installed prior to being
 used.

Package: consul
Description-md5: c0e304cd76acda4eed1b6cbfa0ce44f8
Description-en: tool for service discovery, monitoring and configuration
 Consul is a tool for service discovery and configuration. Consul is
 distributed, highly available, and extremely scalable.
 .
 Consul provides several key features:
 .
  - Service Discovery - Consul makes it simple for services to register
    themselves and to discover other services via a DNS or HTTP interface.
    External services such as SaaS providers can be registered as well.
 .
  - Health Checking - Health Checking enables Consul to quickly alert operators
    about any issues in a cluster. The integration with service discovery
    prevents routing traffic to unhealthy hosts and enables service level
    circuit breakers.
 .
  - Key/Value Storage - A flexible key/value store enables storing dynamic
    configuration, feature flagging, coordination, leader election and more.
    The simple HTTP API makes it easy to use anywhere.
 .
  - Multi-Datacenter - Consul is built to be datacenter aware, and can support
    any number of regions without complex configuration.
 .
 Consul runs on Linux, Mac OS X, and Windows. It is recommended to run the
 Consul servers only on Linux, however.

Package: consulfs
Description-md5: b482c4f34aad5561e5884c8309a2e5b2
Description-en: distributed FUSE filesystem backed by a Consul Key-Value store
 ConsulFS implements a FUSE filesystem that is backed by a Consul Key-Value
 store. Each key in the key store is represented by a file. Read and write
 the file to get and put the key's value. "/" characters in a key name are
 used to break up the keys into different directories.

Package: containernetworking-plugins
Description-md5: 768679197f347a9dd05cda3547d22dd4
Description-en: standard networking plugins - binaries
 This package contains binaries of the Container Networking Initiative's
 official plugins:
 .
 ### Interfaces
  - `bridge`: Creates a bridge, adds the host and the container to it.
  - `ipvlan`: Adds an [ipvlan] interface in the container.
  - `loopback`: Set the state of loopback interface to up.
  - `macvlan`: Creates a new MAC address, forwards all traffic
               to that to the container.
  - `ptp`: Creates a veth pair.
  - `vlan`: Allocates a vlan device.
  - `host-device`: Move an already-existing device into a container.
 .
 ### IPAM: IP Address Management
  - `dhcp`: Runs a daemon to make DHCP requests on behalf of the container.
  - `host-local`: Maintains a local database of allocated IPs
  - `static`:  Allocates a static IPv4/IPv6 address.
 .
 ### Other
  - `flannel`: Generates an interface corresponding to a flannel config file
  - `tuning`: Tweaks sysctl parameters of an existing interface
  - `portmap`: An iptables-based portmapping plugin.
               Maps ports from the host's address space to the container.
  - `bandwidth`: Allows bandwidth-limiting through use of traffic control tbf.
  - `sbr`: Configures source based routing for an interface.
  - `firewall`: Uses iptables or firewalld to add rules to allow traffic
                to/from the container.
 .
 [ipvlan]: https://www.kernel.org/doc/Documentation/networking/ipvlan.txt

Package: containers-storage
Description-md5: 8636c564b0525a473282c66694950f4e
Description-en: CLI tools for handling how containers are stored on disk
 storage is a Go library which aims to provide methods for storing
 filesystem layers, container images, and containers.  A containers-storage
 CLI wrapper is also included for manual and scripting use.
 .
 This package contains the CLI tools.

Package: context
Description-md5: 41de4864f3fecb24d3907901e2a652a7
Description-en: powerful TeX format
 ConTeXt is a document-production system based, like LaTeX, on the TeX
 typesetting system.  Whereas LaTeX insulates the writer from
 typographical details, ConTeXt takes a complementary approach by
 providing structured interfaces for handling typography, including
 extensive support for colors, backgrounds, hyperlinks, presentations,
 figure-text integration, and conditional compilation.  It gives the
 user extensive control over formatting while making it easy to create
 new layouts and styles without learning the TeX macro language.
 ConTeXt's unified design averts the package clashes that can happen
 with LaTeX.
 .
 ConTeXt also integrates MetaFun, a superset of MetaPost and a powerful
 system for vector graphics.  MetaFun can be used as a stand-alone
 system to produce figures, but its strength lies in enhancing ConTeXt
 documents with accurate graphic elements.
 .
 ConTeXt allows the users to specify formatting commands in English,
 Dutch, German, French, or Italian, and to use different typesetting
 engines (PDFTeX, XeTeX, Aleph, and soon LuaTeX) without changing the
 user interface.  ConTeXt is developed rapidly, often in response to
 requests from the friendly user community.

Package: context-modules
Description-md5: e914b98505686833e0d5a4519b4215d3
Description-en: additional ConTeXt modules
 ConTeXt is a document-production system based, like LaTeX, on the TeX
 typesetting system.  Whereas LaTeX insulates the writer from
 typographical details, ConTeXt takes a complementary approach by
 providing structured interfaces for handling typography, including
 extensive support for colors, backgrounds, hyperlinks, presentations,
 figure-text integration, and conditional compilation.  It gives the
 user extensive control over formatting while making it easy to create
 new layouts and styles without learning the TeX macro language.
 ConTeXt's unified design averts the package clashes that can happen
 with LaTeX.
 .
 This package includes the following additional ConTeXt modules:
 account, algorithmic, animation, annotation, bnf, chromato, cmscbf,
 cmttbf, construction-plan, cyrillicnumbers, degrade, fancybreak,
 filter, french, fullpage, gantt, gnuplot, inifile, layout, letter,
 lettrine, mathsets, notes-zh-cn, rst, ruby, simplefonts, simpleslides,
 title, transliterator, typearea, typescripts, vim, visualcounter.

Package: contextfree
Description-md5: b58f1467e619d63202ce097ebd70af0d
Description-en: image generator based on context-free grammars
 Context Free is a program that produces images based on context-free grammars.
 These grammars are sets of non-deterministic rules that determine what an
 image should look like.  The resulting images often resemble a mix of
 spirograph drawings, fractals and abstract art.
 .
 Context Free can generate images with millions of shapes, in PNG (up to 100
 megapixels) or SVG format.  It can also produce animations.  Many example
 images are included.

Package: continuity
Description-md5: db204dbcead5b13ce18297940269e7a5
Description-en: Transport-agnostic, filesystem metadata manifest system
 This project is a staging area for experiments in providing transport
 agnostic metadata storage.
 .
 Please see https://github.com/opencontainers/specs/issues/11 for more
 details.

Package: conv-tools
Description-md5: 429ff076e8013d1e0806dfbafcbc4daa
Description-en: convert 8 bit character encoding in file names and text content to UTF-8
 The mixconv utility reads a mixed-encoding text file, analyzes each
 line to determine whether it is in 7-bit ASCII, an 8-bit encoding,
 UTF-8 or WTF-8, converts everything to UTF-8 and prints the result to
 standard output.
 .
 The dirconv utility scans a directory structure, analyzes each file
 and directory name to determine whether it is in 7-bit ASCII, an 8-bit
 encoding, UTF-8 or WTF-8, converts everything to UTF-8, and renames
 the files and directories accordingly.

Package: converseen
Description-md5: a7253e24353100a03ff56f7f998487a3
Description-en: batch image converter and resizer
 You can convert an unlimited number of images and / or create thumbnails
 to any of the most popular formats: DPX, EXR, GIF, JPEG, JPEG-2000, PDF,
 PhotoCD, PNG, Postscript, SVG, and TIFF.
 .
 Thanks to the Magick++ image libraries it supports more than 100 image
 formats.

Package: convertall
Description-md5: e91e95bbaa508185e73f6085c5add29d
Description-en: very flexible unit converter
 With ConvertAll, you can convert any unit in the large database to any other
 compatible unit. If you want to convert from inches per decade, that's fine.
 Or from meter-pounds. Or from cubic nautical miles. The units don't have to
 make sense to anyone else.

Package: convlit
Description-md5: fae1ebf3b0ed76581dd866b4dc7b881b
Description-en: convert Microsoft Reader .LIT files to HTML
 This program will extract "DRM1" format .LIT files into their original
 XML/HTML.  If you have the appropriate "keys.txt" file with your private
 EBook keys, you can also convert "DRM5" files to "DRM1".

Package: convmv
Description-md5: 44b9e37adf623ce957124fb3014849a1
Description-en: filename encoding conversion tool
 convmv can convert a single filename, a directory tree or all files
 on a filesystem to a different encoding. It only converts the
 encoding of filenames, not files contents. A special feature of
 convmv is that it also takes care of symlinks: the encoding of the
 symlink's target will be converted if the symlink itself is being
 converted.
 .
 It is also possible to convert directories to UTF-8 which are already
 partially UTF-8 encoded.
 .
 Keywords: rename, move

Package: cookietool
Description-md5: c1bbd2817fde0497f31d78b4b73274d1
Description-en: suite of programs to help maintain a fortune database
 The ''cookietool'' itself eliminates duplicate entries, sorts cookies
 alphabetically or by size if you wish. The ''cdbsplit'' tool extracts parts
 of the database to a separate file, by keyword, by size, by number, or
 as groups of 'similar' cookies.

Package: cool-retro-term
Description-md5: 1353cbf2554935b3380a15512b5b53a9
Description-en: terminal emulator which mimics old screens
 This is a terminal emulator which mimics the look and feel
 of the old cathode tube screens. It has been designed to be eye-candy,
 customizable, and reasonably lightweight.

Package: coolkey
Description-md5: 43a9268aeee5bdafc146420e3c8611fe
Description-en: Smart Card PKCS #11 cryptographic module
 Linux Driver support for the CoolKey and Common Access Card (CAC)
 smart card security keys used in a Public Key Infrastructure (PKI).
 The libpkcs11 module allows use of Smart Cards in applications
 that use mozilla Network Security Services (NSS).

Package: coolmail
Description-md5: fa8dec67e3d19522ae7039958714661a
Description-en: Mail notifier with 3d graphics
 Coolmail is like xbiff -- it watches your inbox mail file
 and lets you know when you have mail.  But unlike xbiff, it can launch
 your favorite mail utility when you click on it, and it has cool
 animated 3D graphics.

Package: coop-computing-tools
Description-md5: 2d3ed84eaaa64f9c805738d1175cd68b
Description-en: cooperative computing tools
 This is a collection of software that help users to share resources in a
 complex, heterogeneous, and unreliable computing environment. This includes:
 .
  * Chirp: A personal filesystem and I/O protocol that allows unprivileged users
    to share space securely, efficiently, and conveniently. When combined
    with Parrot, Chirp allows users to create custom wide-area
    distributed filesystems.
  * Parrot: A transparent user-level virtual filesystem that allows any ordinary
    program to be attached to a remote storage device such as an FTP
    server or a Chirp server.
  * Makeflow: A workflow system for parallel and distributed computing that uses
    a language very similar to Make.
  * Work Queue: A system and API for building master-worker style programs that
    scale up to thousands of processors.
  * All Pairs: A computational abstraction for running very large Cartesian
    products.
  * Wavefront: A computational abstraction for running very large dynamic
    programming problems.
  * The Fault Tolerant Shell: A high-level programming language that allows
    users to combine the ease of shell scripting, the power of distributed
    programming, and the precision of compiled languages. Basically,
    parallel programming and exception handling for scripts.

Package: coop-computing-tools-dev
Description-md5: 85393004387f17ed004a101c1f5ad86a
Description-en: libraries and header files for coop-computing-tools
 These tools are a collection of software that help users to share resources in
 a complex, heterogeneous, and unreliable computing environment.
 .
 This package provides static libraries and header files for development.

Package: coop-computing-tools-doc
Description-md5: 6d04dc0d21d45ff80d0205f2b9b366f6
Description-en: documentation for coop-computing-tools
 These tools are a collection of software that help users to share resources in
 a complex, heterogeneous, and unreliable computing environment.
 .
 This package provides the documentation (manual and API reference) in HTML
 format.

Package: copyq
Description-md5: 86d8394761684af55442679f02216f06
Description-en: Advanced clipboard manager with editing and scripting features
 CopyQ monitors system clipboard and saves its content in customized tabs.
 Saved clipboard can be later copied and pasted directly into any application.
 .
 Items can be:
  * edited with internal editor or with preferred text editor,
  * moved to other tabs,
  * drag'n'dropped to applications,
  * marked with tag or a note,
  * passed to or changed by custom commands,
  * or simply removed.
 .
 Features:
  * Support for Linux, Windows and OS X 10.9+
  * Store text, HTML, images or any other custom formats
  * Quickly browse and filter items in clipboard history
  * Sort, create, edit, remove, copy/paste, drag'n'drop items in tabs
  * Add notes or tags to items
  * System-wide shortcuts with customizable commands
  * Paste items with shortcut or from tray or main window
  * Fully customizable appearance
  * Advanced command-line interface and scripting
  * Ignore clipboard copied from some windows or containing some text
  * Support for simple Vim-like editor and shortcuts
  * Many more features

Package: copyq-doc
Description-md5: 72cc5e005e4044056189c5470d04be4d
Description-en: Documentation and examples for CopyQ - HTML format
 CopyQ monitors system clipboard and saves its content in customized tabs.
 Saved clipboard can be later copied and pasted directly into any application.
 .
 This contains the documentation in HTML format.

Package: copyq-plugins
Description-md5: c5301a7a250dc0396459db3bb2ac7122
Description-en: Plugins for CopyQ
 CopyQ monitors system clipboard and saves its content in customized tabs.
 Saved clipboard can be later copied and pasted directly into any application.
 .
 This package contains plugins that add various item types support and features
 to CopyQ, including:
  * Text with Highlighting
  * Images
  * Web Pages
  * Various Data
  * Notes
  * Encryption
  * FakeVim Editor
  * Synchronize Items to Disk
  * Item Tags
  * Pinned Items

Package: copyright-update
Description-md5: 295f004ac4f2cec4abebde7e9f23fed0
Description-en: update copyright information in files
 Update the copyright information in set of files, possibly recursively,
 matching content criteria. The updating affects copyright year, GPL address
 information etc.

Package: coq
Description-md5: 2c4259e8b83c839ff539d48b854ee31a
Description-en: proof assistant for higher-order logic (toplevel and compiler)
 Coq is a proof assistant for higher-order logic, which allows the
 development of computer programs consistent with their formal
 specification. It is developed using Objective Caml and Camlp5.
 .
 This package provides coqtop, a command line interface to Coq.
 .
 A graphical interface for Coq is provided in the coqide package.
 Coq can also be used with ProofGeneral, which allows proofs to be
 edited using emacs and xemacs. This requires the proofgeneral
 package to be installed.

Package: coq-theories
Description-md5: 9e601d42ac375ebc4cb75dff6e4c368e
Description-en: proof assistant for higher-order logic (theories)
 Coq is a proof assistant for higher-order logic, which allows the
 development of computer programs consistent with their formal
 specification. It is developed using Objective Caml and Camlp5.
 .
 This package provides existing theories that new proofs can be
 based upon, including theories of arithmetic and Boolean values.

Package: coqide
Description-md5: ed74063b20a63106a7031db76f847ec1
Description-en: proof assistant for higher-order logic (gtk interface)
 Coq is a proof assistant for higher-order logic, which allows the
 development of computer programs consistent with their formal
 specification. It is developed using Objective Caml and Camlp5.
 .
 This package provides CoqIde, a graphical user interface for
 developing proofs.

Package: corekeeper
Description-md5: 287acd254e78c838d144e6715f2ca91f
Description-en: enable core files and report crashes to the sysadmin
 corekeeper enables core files, reports crashes to the sysadmin and
 deletes old core files after 7 days.
 .
 Core file dumping is enabled for all users, to restrict that, please
 remove or edit the config file at /etc/security/limits.d/corekeeper.conf
 .
 On Linux core files are written to private per-userid dirs in /var/crash.
 Linux 3.6 and earlier have an issue that means all core files are written
 to the directory for root. If your system is running Linux 3.6 or earlier
 and is single-user (or you don't care about the privacy of core file names),
 you can avoid this issue by editing /etc/sysctl.d/corekeeper.conf.
 .
 On kFreeBSD files are written to /var/crash, kernel limitations prevent
 core files from being written to private per-userid dirs.
 .
 To fully remove this package it needs to be purged and the system rebooted.

Package: corkscrew
Description-md5: cab4c2ae5f916570c28d1dbc0cdf3eee
Description-en: tunnel TCP connections through HTTP proxies
 corkscrew is a simple tool to tunnel TCP connections through an HTTP
 proxy supporting the CONNECT method. It reads stdin and writes to
 stdout during the connection, just like netcat.
 .
 It can be used for instance to connect to an SSH server running on
 a remote 443 port through a strict HTTPS proxy.

Package: corosync-notifyd
Description-md5: 54aa992a2d99b457f3265c5f199abc77
Description-en: cluster engine notification daemon
 The Corosync Cluster Engine is a Group Communication
 System with additional features for implementing high availability within
 applications. The project provides four C Application Programming Interface
 features:
 .
  * A closed process group communication model with virtual synchrony
    guarantees for creating replicated state machines.
  * A simple availability manager that restarts the application process
    when it has failed.
  * A configuration and statistics in-memory database that provide the
    ability to set, retrieve, and receive change notifications of
    information.
  * A quorum system that notifies applications when quorum is achieved
    or lost.
 .
 This package contains the DBus/SNMP notification daemon for Corosync events.

Package: corosync-qdevice
Description-md5: 150774e5de578ae800ce6076100dbef2
Description-en: cluster engine quorum device daemon
 corosync-qdevice is a daemon running on each node of a cluster. It provides
 a configured number of votes to the quorum subsystem based on a third-party
 arbitrator's decision. Its primary use is to allow a cluster to sustain more
 node failures than standard quorum rules allow. It is recommended for clusters
 with an even number of nodes and highly recommended for 2 node clusters.

Package: corosync-qnetd
Description-md5: bff85f5a0e5ea885658c965bdf6463f8
Description-en: cluster engine quorum device network daemon
 Corosync-qnetd is a daemon running outside of the cluster with the purpose
 of providing a vote to the corosync-qdevice model net. It's designed to
 support multiple clusters and be almost configuration and state free.
 New clusters are handled dynamically and no configuration file exists.
 It's also able to run as non-root user - which is recommended.
 Connection between the corosync-qdevice model net client can be optionally
 configured with TLS client certificate checking. The communication protocol
 between server and client is designed to be very simple and allow
 backwards compatibility.

Package: corosync-vqsim
Description-md5: 8c4a6d2bdb4360cac388269711eedf08
Description-en: cluster engine votequorum simulator
 The Corosync Cluster Engine is a Group Communication
 System with additional features for implementing high availability within
 applications. The project provides four C Application Programming Interface
 features:
 .
  * A closed process group communication model with virtual synchrony
    guarantees for creating replicated state machines.
  * A simple availability manager that restarts the application process
    when it has failed.
  * A configuration and statistics in-memory database that provide the
    ability to set, retrieve, and receive change notifications of
    information.
  * A quorum system that notifies applications when quorum is achieved
    or lost.
 .
 This package contains the Corosync votequorum simulator.  It
 can simulate multiple nodes, network splits and a basic quorum device.

Package: cortado
Description-md5: f97f672ff460ddf06c9d03e4e7fc2ca2
Description-en: streaming applet for Ogg formats
 By embedding the cortado applet in your website, you can give viewers access
 to streams from either the Flumotion streaming server or any HTTP server
 providing multimedia files without the need for a locally installed media
 player supporting the correct formats on the visitor's computer.  Cortado
 currently include Java decoders for Ogg Theora, Ogg Vorbis (the JOrbis
 library), Mulaw audio, MJPEG and Flumotion's Smoke codec.
 .
 The package will automatically be configured for apache2 but can be used with
 any other HTTP server. Some HTML files are included as examples of how to use
 the applet.

Package: coturn
Description-md5: 3baf5e2f083e7f1c9c71ad4c68db3792
Description-en: TURN and STUN server for VoIP
 STUN (Session Traversal Utilities for NAT) and TURN (Traversal Using Relays
 around NAT) are protocols that can be used to provide NAT traversal for VoIP
 and WebRTC. This package provides a VoIP media traffic NAT traversal server
 and gateway.
 .
 Supported RFCs:
 TURN specs:
  * RFC 5766 - base TURN specs;
  * RFC 6062 - TCP relaying TURN extension;
  * RFC 6156 - IPv6 extension for TURN;
  * RFC 7635 - OAuth third-party TURN/STUN authorization;
  * DTLS support as client protocol
    http://tools.ietf.org/html/draft-petithuguenin-tram-turn-dtls-00
  * Mobile ICE (MICE) support
    http://tools.ietf.org/html/draft-wing-tram-turn-mobility-03
  * TURN ORIGIN specs for multi-tenant servers
    http://tools.ietf.org/html/draft-johnston-tram-stun-origin-02
  * TURN Bandwidth draft specs
    http://tools.ietf.org/html/draft-thomson-tram-turn-bandwidth-00
  * SSODA (dual allocation) draft specs
    http://tools.ietf.org/html/draft-martinsen-tram-ssoda-00
 .
 STUN specs:
  * RFC 3489 - obsolete "classic" STUN specs;
  * RFC 5389 - base "new" STUN specs;
  * RFC 5769 - test vectors for STUN protocol testing;
  * RFC 5780 - NAT behavior discovery support.
 .
 The implementation fully supports UDP, TCP, TLS, and DTLS as protocols between
 the TURN client and the TURN server. Both UDP and TCP relaying are supported.
 .
 SQLite, MySQL, PostgreSQL and Redis are supported for the user
 repository (if authentication is required).
 The long-term credentials mechanism is supported.
 For WebRTC applications,
 the TURN server REST API for time-limited
 secret-based authentication is implemented.
 The third-party authentication
 specs (OAuth-based) are supported, too.
 .
 Load balancing can be implemented either by DNS round-robin mechanism, or with
 the external networking tools, or by
 the built-in ALTERNATE-SERVER mechanism.
 .
 The implementation is intended to be simple to install and configure.
 The project focuses on performance, scalability, and simplicity.
 The aim is to provide an enterprise-grade TURN solution.

Package: courier-authdaemon
Description-md5: 48c71af6b56ddad7d969cb6a2ff8a060
Description-en: Courier authentication daemon
 This package contains the authentication daemon for the Courier
 applications.

Package: courier-authlib
Description-md5: df47cce316c565912b13c565476e8761
Description-en: Courier authentication library
 The Courier authentication library provides authentication services
 for other Courier applications.

Package: courier-authlib-dev
Description-md5: 8959e6186e43e1f0907ee705b7526166
Description-en: Development libraries for the Courier authentication library
 This package contains the development libraries and files needed to
 compile Courier packages that use the Courier authentication library.

Package: courier-authlib-ldap
Description-md5: 0f4f8f674c030d05f05042a3f255703a
Description-en: LDAP support for the Courier authentication library
 This package contains the LDAP support for the Courier authentication
 library.

Package: courier-authlib-mysql
Description-md5: f305ead8efa36cfda2d75a0673c57eec
Description-en: MySQL support for the Courier authentication library
 This package contains the MySQL support for the Courier
 authentication library.

Package: courier-authlib-pipe
Description-md5: b5e53c9b4126f288c7aa26ed257d2347
Description-en: External authentication support for the Courier authentication library
 This package contains external authentication support via pipes for
 the Courier authentication library. The authpipe module is a generic
 plugin that enables authentication requests to be serviced by an
 external program, then communicates through messages on stdin and
 stdout.

Package: courier-authlib-postgresql
Description-md5: 3bfd13f8d2b23d8f93c06ffcf8393b6f
Description-en: PostgreSQL support for the Courier authentication library
 This package contains the PostgreSQL support for the Courier
 authentication library.

Package: courier-authlib-sqlite
Description-md5: b61c6c8cf150a1e2b8a7b7c88ae8b2bb
Description-en: SQLite 3 support for the Courier authentication library
 This package contains the SQLite 3 support for the Courier
 authentication library.

Package: courier-authlib-userdb
Description-md5: e51045b8c13d9c35a109bca2e4293839
Description-en: userdb support for the Courier authentication library
 This package contains the userdb support for the Courier
 authentication library. Userdb is a simple way to manage virtual mail
 accounts using a GDBM-based database file.

Package: courier-base
Description-md5: 555818a698d6dfec6122cd45f5263ef2
Description-en: Courier mail server - base system
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3,
 LDAP, TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and
 mailing list services within a single, consistent, framework.
 .
 This package provides the functionality needed by all courier
 packages such as some configuration files, helper programs and the
 Courier TCP server daemon.
 .
 Courier uses the a TLS wrapper application named couriertls
 instead of embedding TLS support in the different applications.
 Additionally, this package contains a default set of trusted X.509
 root CA certs.

Package: courier-doc
Description-md5: 5dcceca21b36719b84d5685c5dd14e9c
Description-en: Courier mail server - additional documentation
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 This package contains additional documentation for Courier.

Package: courier-faxmail
Description-md5: 1235807fe94191d8d0d1e41e90ae7d93
Description-en: Courier mail server - Fax<->mail gateway
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 The courierfax module implements a faxmail gateway, which faxes a printed
 copy of an email message to the phone number specified in the email address
 (e.g. 5558888@fax).

Package: courier-filter-perl
Description-md5: 1a4387cae6869347af34ae6fcb8ddb81
Description-en: purely Perl-based mail filter framework for the Courier MTA
 Courier::Filter implements the Courier MTA's courierfilter interface as a
 framework for mail filter modules.  Authors of filter modules can concentrate
 on writing the actual filter logic without having to care about the usual
 low-level details of the courierfilter interface.  Logging to various
 facilities is also supported.
 .
 Courier::Filter allows multiple filter modules to be installed in stacked and
 hierarchically grouped configurations.  Also, modules' polarity can be
 reversed, so some modules can be used for explicitly accepting messages while
 others are used in the traditional way for rejecting messages.
 .
 The following filter modules are included:
   * BlankBody: matching of blank bodies (a stupid spammer symptom)
   * DNSBL:     matching of calling MTA's IP address against DNS black-lists
   * SPF:       authentication of sender addresses in inbound messages
   * SPFout:    authentication of sender addresses in outbound messages
   * Envelope:  matching of RFC 2821 message envelope fields
   * Header:    matching of RFC 2822 message header fields
   * FakeDate:  detection of implausible and malformed date header fields
   * ClamAVd:   malware detection using the ClamAV scanner
   * SpamAssassin:  spam detection using SpamAssassin
   * Parts:     matching of MIME parts and ZIP archive contents
   * SendCopy:  sending message copies to additional recipients

Package: courier-imap
Description-md5: aedad44242f18297b70663ef077f0e63
Description-en: Courier mail server - IMAP server
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 This package provides an IMAP server that accesses email stored in Maildirs
 format mailboxes. This server has an extremely small footprint and provides
 shared and virtual shared folders.
 .
 IMAP over TLS is handled by the regular IMAP daemon in conjunction
 with the TLS wrapper.

Package: courier-ldap
Description-md5: 59e644146a903a5cf765b1a1794c77bc
Description-en: Courier mail server - LDAP support
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 This package contains support for LDAP authentication and
 LDAP-based mail aliasing.

Package: courier-mlm
Description-md5: fb8213625648c3fbce12df9752b51d0f
Description-en: Courier mail server - mailing list manager
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3,
 LDAP, TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and
 mailing list services within a single, consistent, framework.
 .
 The couriermlm command sets up, maintains, and runs a mailing list.
 It automatically handles subscribe and unsubscribe requests, and
 removes undeliverable addresses from the subscription rolls.  Mailing
 lists managed by couriermlm require zero human administrative
 oversight. It supports digests, write-only posting aliases, and
 moderated mailing lists.

Package: courier-mta
Description-md5: 88c67d6433b0af4789d4e8a4fd1ecc42
Description-en: Courier mail server - ESMTP daemon
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3,
 LDAP, TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and
 mailing list services within a single, consistent, framework.
 .
 This package provides an ESMTP daemon which uses the Maildir format
 as native storage format, supports IPv6, implements SMTP extensions
 for mailing list management and features integrated mail filtering.
 It can function as an intermediate mail relay, relaying mail between
 an internal LAN and the Internet, or perform final delivery to
 mailboxes.
 .
 ESMTP over TLS is handled by the regular ESMTP daemon in conjunction
 with the TLS wrapper.

Package: courier-pcp
Description-md5: 2607844768fa146876c3a6247b36dad9
Description-en: Courier mail server - PCP server
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 This package contains the PCP (Personal Calendaring Protocol) server
 needed for the groupware calendaring mode of SqWebMail.

Package: courier-pop
Description-md5: 89ea9794c711647b9c31923297fd27c5
Description-en: Courier mail server - POP3 server
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 This package provide the POP3 daemon for Courier. It only supports email
 stored in the Maildir format.
 .
 POP3 over TLS is handled by the regular POP3 daemon from in
 conjunction with the TLS wrapper.

Package: courier-webadmin
Description-md5: 9d1561eee0fd4d2c370758c8fbebd3a8
Description-en: Courier mail server - web-based administration frontend
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 The web-based administration and configuration tool for the Courier
 mail server is capable of changing the settings of the MTA, IMAP, POP
 and Webmail servers and the LDAP, MySQL and PostgreSQL authentication
 modules. Only the installed parts of the Courier mail server show up
 in the administration frontend.

Package: couriergraph
Description-md5: 17d254159a685c52f8ff50b5b56a6ec1
Description-en: Mail statistics RRDtool frontend for Courier-{POP,IMAP}
 Couriergraph is a very simple mail statistics RRDtool frontend for
 Courier-POP & Courier-IMAP that produces daily, weekly, monthly and
 yearly graphs of mail server's activity (logins, traffic, etc).

Package: couriergrey
Description-md5: 09eccc00bd6147f81cd0e93aad57842e
Description-en: Mail filter interface of Courier-MTA to support greylisting
 Couriergrey implements the mail filter interface of Courier MTA to
 support the greylist filtering method.
 .
 The software supports the IPv6 protocol, and is easy to use.

Package: covered
Description-md5: 86b156bb1e738b3df7a11df6bbe5429e
Description-en: Verilog code coverage analysis tool
 Covered is a Verilog code coverage utility that reads in a Verilog design and
 a generated VCD/LXT dumpfile from that design and generates a coverage file
 that can be merged with other coverage files or used to create a coverage
 report. Covered also contains the GUI coverage report utility that reads in a
 coverage file to allow interactive coverage discovery. Areas of coverage
 measured by Covered are: line, toggle, memory, combinational logic, FSM
 state/state-transition and assertion coverage.

Package: covered-doc
Description-md5: 33a78d25d4b98a5abc59af174252bf4d
Description-en: Verilog code coverage analysis tool - documentation
 Covered is a Verilog code coverage utility that reads in a Verilog design and
 a generated VCD/LXT dumpfile from that design and generates a coverage file
 that can be merged with other coverage files or used to create a coverage
 report. Covered also contains the GUI coverage report utility that reads in a
 coverage file to allow interactive coverage discovery. Areas of coverage
 measured by Covered are: line, toggle, memory, combinational logic, FSM
 state/state-transition and assertion coverage.
 .
 This package contains the documentation.

Package: cowbell
Description-md5: 599c3915320aa6289c40e5b5a8de889a
Description-en: An easy-to-use tag editor for your music files
 Cowbell is an elegant music organizer intended to make keeping your
 collection tidy both fun and easy. It allows viewing and editing of the tags,
 guessing of tag information with the help of Amazon Web Services and has an
 easy-to-use interface.

Package: cowbuilder
Description-md5: 3f6a79a018c77ab19e6b7986aaa41b78
Description-en: pbuilder running on cowdancer
 'cowbuilder' command is a wrapper for pbuilder which allows using
 pbuilder-like interface over cowdancer environment.
 .
 pbuilder is a tool for building and testing Debian package inside a clean
 chroot, and cowbuilder allows chroot to be recreated using
 hard-linked copies with copy-on-write, which makes creation and
 destruction of chroots fast.

Package: cowdancer
Description-md5: c58bfc3ffe4032aabae52a79f682f365
Description-en: Copy-on-write directory tree utility
 Tries to make copy-on-write semantics upon hard-link copied
 directory trees generated with 'cp -la'
 .
 'cow-shell' command invokes a shell session. Within that session,
 under the directory cow-shell was invoked,
 cowdancer will create a new file when existing i-nodes are opened for
 write.
 Useful for quick scratch workspace and experimentation.
 .
 For a useful Debian tool, try cowbuilder.

Package: cowpatty
Description-md5: 3e82bcb92c2aa53c2419032e302ba973
Description-en: Brute-force WPA dictionary attack
 If you are auditing WPA-PSK or WPA2-PSK networks, you can use
 this tool to identify weak passphrases that were used to generate the
 PMK.  Supply a libpcap capture file that includes the 4-way handshake, a
 dictionary file of passphrases to guess with, and the SSID for the
 network.

Package: cowsay
Description-md5: c312f9ae79aed8150f991fcfa3df1a03
Description-en: configurable talking cow
 Cowsay (or cowthink) will turn text into happy ASCII cows, with
 speech (or thought) balloons. If you don't like cows, ASCII art is
 available to replace it with some other creatures (Tux, the BSD
 daemon, dragons, and a plethora of animals, from a turkey to
 an elephant in a snake).

Package: cowsay-off
Description-md5: 0231fc8cf59e81c9f9517177748c80d4
Description-en: configurable talking cow (offensive cows)
 Cowsay (or cowthink) will turn text into happy ASCII cows, with
 speech (or thought) balloons. If you don't like cows, ASCII art is
 available to replace it with some other creatures (Tux, the BSD
 daemon, dragons, and a plethora of animals, from a turkey to
 an elephant in a snake).
 .
 This package contains cows which some may consider to be offensive.
 Please do not install this package if you or your users are easily offended.

Package: coyim
Description-md5: a1aa812403b7246be602e0e623675ba9
Description-en: safe and secure XMPP chat client
 CoyIM is a chat client using the XMPP protocol. It is built upon
 https://github.com/agl/xmpp-client and https://github.com/twstrike/otr3.
 It adds a graphical user interface and tries to be safe and secure by default.
 The authors' ambition is that it should be possible for even the most
 high-risk people on the planet to safely use CoyIM, without having to make
 any configuration changes.
 .
 To do this, OTR is enabled by default, Tor is used by default, and the Tor
 Onion Service will be used for a server if it is known. Also, TLS and TLS
 certificates are used to verify the connection - no configuration necessary.
 The implementation is written in the Go language, to avoid many common types
 of vulnerabilities that come from using unsafe languages.

Package: coz-profiler
Description-md5: bd06ad3ef15124be956a5814dc6562a3
Description-en: Finding Code that Counts with Causal Profiling
 Coz is a code profiler that find optimization opportunities
 missed by traditional profilers. Coz employs a technique called
 causal profiling that measures optimization potential. This measurement
 matches developers' assumptions about profilers: that optimizing
 highly-ranked code will have the greatest impact on performance. Causal
 profiling measures optimization potential for serial, parallel, and
 asynchronous programs without instrumentation of special handling for
 library calls and concurrency primitives. Instead, a causal profiler
 uses performance experiments to predict the effect of
 optimizations. This allows the profiler to establish causality:
 "optimizing function X will have effect Y," exactly the measurement
 developers had assumed they were getting all along.

Package: cp2k
Description-md5: c05a99ab761320de8a5fdc859d6fb13b
Description-en: Ab Initio Molecular Dynamics
 CP2K is a program to perform simulations of solid state, liquid, molecular and
 biological systems. It is especially aimed at massively parallel and linear
 scaling electronic structure methods and state-of-the-art ab-initio molecular
 dynamics (AIMD) simulations.
 .
 CP2K is optimized for the mixed Gaussian and Plane-Waves (GPW) method based on
 pseudopotentials, but is able to run all-electron or pure plane-wave/Gaussian
 calculations as well. Features include:
 .
 Ab-initio Electronic Structure Theory Methods using the QUICKSTEP module:
 .
  * Density-Functional Theory (DFT) energies and forces
  * Hartree-Fock (HF) energies and forces
  * Moeller-Plesset 2nd order perturbation theory (MP2) energies and forces
  * Random Phase Approximation (RPA) energies
  * Gas phase or Periodic boundary conditions (PBC)
  * Basis sets include various standard Gaussian-Type Orbitals (GTOs), Pseudo-
    potential plane-waves (PW), and a mixed Gaussian and (augmented) plane wave
    approach (GPW/GAPW)
  * Norm-conserving, seperable Goedecker-Teter-Hutter (GTH) and non-linear core
    corrected (NLCC) pseudopotentials, or all-electron calculations
  * Local Density Approximation (LDA) XC functionals including SVWN3, SVWN5,
    PW92 and PADE
  * Gradient-corrected (GGA) XC functionals including BLYP, BP86, PW91, PBE and
    HCTH120 as well as the meta-GGA XC functional TPSS
  * Hybrid XC functionals with exact Hartree-Fock Exchange (HFX) including
    B3LYP, PBE0 and MCY3
  * Double-hybrid XC functionals including B2PLYP and B2GPPLYP
  * Additional XC functionals via LibXC
  * Dispersion corrections via DFT-D2 and DFT-D3 pair-potential models
  * Non-local van der Waals corrections for XC functionals including B88-vdW,
    PBE-vdW and B97X-D
  * DFT+U (Hubbard) correction
  * Density-Fitting for DFT via Bloechl or Density Derived Atomic Point Charges
    (DDAPC) charges, for HFX via Auxiliary Density Matrix Methods (ADMM) and
    for MP2/RPA via Resolution-of-identity (RI)
  * Sparse matrix and prescreening techniques for linear-scaling Kohn-Sham (KS)
    matrix computation
  * Orbital Transformation (OT) or Direct Inversion of the iterative subspace
    (DIIS) self-consistent field (SCF) minimizer
  * Local Resolution-of-Identity Projector Augmented Wave method (LRIGPW)
  * Absolutely Localized Molecular Orbitals SCF (ALMO-SCF) energies for linear
    scaling of molecular systems
  * Excited states via time-dependent density-functional perturbation theory
    (TDDFPT)
 .
 Ab-initio Molecular Dynamics:
 .
  * Born-Oppenheimer Molecular Dynamics (BOMD)
  * Ehrenfest Molecular Dynamics (EMD)
  * PS extrapolation of initial wavefunction
  * Time-reversible Always Stable Predictor-Corrector (ASPC) integrator
  * Approximate Car-Parrinello like Langevin Born-Oppenheimer Molecular Dynamics
    (Second-Generation Car-Parrinello Molecular Dynamics)
 .
 Mixed quantum-classical (QM/MM) simulations:
 .
  * Real-space multigrid approach for the evaluation of the Coulomb
    interactions between the QM and the MM part
  * Linear-scaling electrostatic coupling treating of periodic boundary
    conditions
  * Adaptive QM/MM
 .
 Further Features include:
 .
  * Single-point energies, geometry optimizations and frequency calculations
  * Several nudged-elastic band (NEB) algorithms (B-NEB, IT-NEB, CI-NEB, D-NEB)
    for minimum energy path (MEP) calculations
  * Global optimization of geometries
  * Solvation via the Self-Consistent Continuum Solvation (SCCS) model
  * Semi-Empirical calculations including the AM1, RM1, PM3, MNDO, MNDO-d, PNNL
    and PM6 parametrizations, density-functional tight-binding (DFTB) and
    self-consistent-polarization tight-binding (SCP-TB), with or without
    periodic boundary conditions
  * Classical Molecular Dynamics (MD) simulations in microcanonical ensemble
    (NVE) or canonical ensmble (NVT) with Nose-Hover and canonical sampling
    through velocity rescaling (CSVR) thermostats
  * Metadynamics including well-tempered Metadynamics for Free Energy
    calculations
  * Classical Force-Field (MM) simulations
  * Monte-Carlo (MC) KS-DFT simulations
  * Static (e.g. spectra) and dynamical (e.g. diffusion) properties
  * ATOM code for pseudopotential generation
  * Integrated molecular basis set optimization
 .
 CP2K does not implement conventional Car-Parrinello Molecular Dynamics (CPMD).

Package: cp2k-data
Description-md5: 110ad593344d732add92445afe270fbb
Description-en: Ab Initio Molecular Dynamics (data files)
 CP2K is a program to perform simulations of solid state, liquid, molecular and
 biological systems. It is especially aimed at massively parallel and linear
 scaling electronic structure methods and state-of-the-art ab-inito molecular
 dynamics (AIMD) simulations.
 .
 This package contains basis sets, pseudopotentials and force-field parameters.

Package: cpan-listchanges
Description-md5: 5af5afc20f23c4d43b9cb0f44f21885b
Description-en: package change history notification tool
 cpan-listchanges is a command-line application that compares the Changes file
 between arbitrary versions of a package. It is similar to apt-listchanges,
 and by default, it compares the currently installed version with the latest
 one available on CPAN.

Package: cpanminus
Description-md5: 6b2b64b977ad05f2016c894935b16179
Description-en: script to get, unpack, build and install modules from CPAN
 cpanminus provides a command-line (non interactive) interface to
 automatically download, build and install Perl modules from CPAN.
 .
 It requires zero configuration, and stands alone. It also has a very low memory
 footprint compared to similar software: when running, it requires only 10MB of
 RAM.
 .
 It installs to wherever ExtUtils::MakeMaker and Module::Build are
 configured to. So if you're using local::lib, then it installs to your
 local perl5 directory. Otherwise it installs to the siteperl directory.
 .
 cpanminus at a boot time checks whether you have configured local::lib,
 or have the permission to install modules to the sitelib directory. If
 neither, it automatically sets up local::lib compatible installation
 path in a perl5 directory under your home directory.

Package: cpanoutdated
Description-md5: a9da94ae906423308ca3ba90793724f3
Description-en: script to detect outdated CPAN modules in your environment
 cpanoutdated prints the list of outdated CPAN modules in your machine. It
 provides the same feature as 'CPAN::Shell->r', but cpan-outdated is much
 faster and uses less memory. This script can be integrated with the cpanm
 command.

Package: cpants-lint
Description-md5: f5abedd847f20c05b519dae98e92f1e4
Description-en: commandline frontend to Module::CPANTS::Analyse - tool
 cpants_lint checks the Kwalitee of a CPAN distribution.  More exact, it
 checks how a given tarball will be rated on <http://cpants.perl.org>,
 without needing to upload it first.
 .
 This package provides the cpants_lint commandline tool.

Package: cpdb-backend-cups
Description-md5: 25c77e0d4cdbef1159509747baf67f21
Description-en: Common Print Dialog Backends - CUPS/IPP Backend
 This is the CUPS/IPP backend for print dialogs using the Common Print
 Dialog Backends concept of OpenPrinting. It makes the dialog list CUPS
 print queues and driverless-capable IPP printers and allows printing
 on these using the dialog.

Package: cpdb-backend-file
Description-md5: 5e83b3d4c0414332b2b4aa27b7e37c61
Description-en: Common Print Dialog Backends - Print-to-File Backend
 This is the Print-to-File backend for print dialogs using the Common Print
 Dialog Backends concept of OpenPrinting. It makes the dialog list one
 single print queue and if you print to it, the data is put into a PDF file
 and not sent to a printer.

Package: cpdb-backend-gcp
Description-md5: b671e7502c332542fb48eae8fe5a9231
Description-en: Common Print Dialog Backends - Google Cloud Print Backend
 This is the Google Cloud Print backend for print dialogs using the
 Common Print Dialog Backends concept of OpenPrinting. It makes the
 dialog list the Google Cloud Print destinations set by the current
 user plus the option to drop the job as PDF on the Google Drive and
 allows printing on these using the dialog.
 .
 For a user to be able to use this facility he must set up his Google
 account in the "Online Accounts" section of GNOME Control Center.

Package: cpio-win32
Description-md5: ebbadd3ead55d624e521032f77be2333
Description-en: GNU cpio -- a program to manage archives of files (win32 build)
 GNU cpio is a tool for creating and extracting archives, or copying
 files from one place to another.  It handles a number of cpio formats
 as well as reading and writing tar files.
 .
 This is a win32 version of cpio.  It's meant to be used by the win32-loader
 component of Debian-Installer.

Package: cpipe
Description-md5: be9d68e8008c114fa2dde18bfb77e5ce
Description-en: data pipe forwarding utility for performance monitoring
 Cpipe copies its standard input to its standard output while measuring the
 time it takes to read an input buffer and write an output buffer. Statistics
 of average throughput and the total amount of bytes copied are printed to the
 standard error output.
 .
 Cpipe can also be used as alternative to the buffer utility for simple
 usecases.

Package: cpl-plugin-amber
Description-md5: c7741c558706bcf7bbc0c3a03f47d34f
Description-en: ESO data reduction pipeline for the AMBER instrument
 This is the data reduction pipeline for the AMBER instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 AMBER is a near-infrared, multi-beam interferometric instrument, combining
 simultaneously up to 3 telescopes. AMBER can be used in Period 82 and
 following with UTs or ATs. All possible triplets of UTs are available,
 and a number of selected AT combinations.

Package: cpl-plugin-amber-calib
Description-md5: 2aba0d4e84674a92e864f5b5b9298ada
Description-en: ESO data reduction pipeline calibration data downloader for AMBER
 This package downloads calibration data of the data reduction pipeline for the
 AMBER instrument of the Very Large Telescope (VLT) from the European Southern
 Observatory (ESO).

Package: cpl-plugin-amber-doc
Description-md5: bcdcdd5fc63304eb8cc1f04d0f29531d
Description-en: ESO data reduction pipeline documentation for AMBER
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the AMBER instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-fors
Description-md5: bc62d65505a7f0e2f157b1acbe092f8a
Description-en: ESO data reduction pipeline for the FORS1/2 instruments
 FORS pipeline recipes for the reduction of data obtained with the FORS1 and
 FORS2 instruments in the LSS, MOS, MXU, PMOS, and direct imaging instrument
 modes.
 .
 FORS is the visual and near UV FOcal Reducer and low dispersion Spectrograph
 for the Very Large Telescope (VLT) of the European Southern Observatory
 (ESO). Two versions of FORS have been built, upgraded and moved to the
 Cassegrain foci of different telescopes in the past years. In April 2009,
 FORS1 was dismounted to make room for X-shooter, so only FORS2 is in
 operation. FORS is designed as an all-dioptric instrument for the wavelength
 range from 330 nm to 1100 nm and provides an image scale of 0".25/pixel (or
 0".125/pixel with the high resolution collimator) in the standard readout
 mode (2x2 binning). FORS2 is installed on UT1 (Antu) and is by default
 equipped with a detector system that is optimised for the red with a very low
 level of fringes thanks to a mosaic of two 2k x 4k MIT CCDs (with 15 μm
 pixels). However, the blue-optimised detector system that was previously
 available on FORS1 has been commissioned on FORS2 and can be requested for
 Visitor Mode observation. The geometries of both detector systems are
 similar, with the optical axis falling ~30" above the gap and offsets of a
 few arc-seconds between the two chips. FORS2 has many modes, including
 multi-object spectroscopy with exchangeable masks, long-slit spectroscopy,
 imaging and spectro-polarimetry and high-time resolution imaging and
 spectroscopy.

Package: cpl-plugin-fors-calib
Description-md5: 64c93393c1728c70bf28a0b3aba7860d
Description-en: ESO data reduction pipeline calibration data downloader for FORS2
 This package downloads calibration and other static data of the
 data reduction pipeline for the FORS2 instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-fors-doc
Description-md5: bce6d78f1813975d211bf46b2e69340e
Description-en: ESO data reduction pipeline documentation for FORS
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the FORS instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-giraf
Description-md5: 128794464889c9e88c0524635b36d26a
Description-en: ESO data reduction pipeline for the GIRAFFE instrument
 This is the data reduction pipeline for the GIRAFFE instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 GIRAFFE is a medium-high resolution (R=7500-30000) spectrograph for the
 entire visible range 370-900 nm. GIRAFFE is aimed at carrying out
 intermediate and high resolution spectroscopy of galactic and extragalactic
 objects having a high spatial density. The name comes from the first design,
 where the spectrograph was standing vertically on a platform.

Package: cpl-plugin-giraf-calib
Description-md5: 1cbcf371415b1f9572c9c5e16fdd0346
Description-en: ESO data reduction pipeline calibration data downloader for GIRAFFE
 This package downloads calibration data of the data reduction pipeline for the
 GIRAFFE instrument of the Very Large Telescope (VLT) from the European
 Southern Observatory (ESO).

Package: cpl-plugin-giraf-doc
Description-md5: 8b595a858f1d8f778aa10aa2c40b0d30
Description-en: ESO data reduction pipeline documentation for GIRAFFE
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the GIRAFFE instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-hawki
Description-md5: 6b135fa76fba48b631abe8a4f6be9cba
Description-en: ESO data reduction pipeline for the HAWK-I instrument
 This is the data reduction pipeline for the HAWK-I instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 HAWK-I is a near-infrared (0.85-2.5 μm ) wide-field imager. It is being
 offered for the first time in Period 81. The instrument is cryogenic (120 K,
 detectors at 80 K) and has a full reflective design. The light passes four
 mirrors and two filter wheels before hitting a mosaic of four Hawaii 2RG 2048
 * 2048 pixels detectors. The final F-ratio is F/4.36 ( 1 arcsec on the sky
 corresponds to 169 μm on the detector).

Package: cpl-plugin-hawki-calib
Description-md5: cf8ea4eb6d2be0251c05ac2c877a0e96
Description-en: ESO data reduction pipeline calibration data downloader for HAWK-I
 This package downloads calibration data of the data reduction pipeline for
 the HAWK-I instrument of the Very Large Telescope (VLT) from the European
 Southern Observatory (ESO).

Package: cpl-plugin-hawki-doc
Description-md5: 1a3ce9fd5ba785ebb4cbc6028384090f
Description-en: ESO data reduction pipeline documentation for HAWK-I
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the HAWK-I instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-muse
Description-md5: b152a7b24acf2ae5bc2716c812f83b3b
Description-en: ESO data reduction pipeline for the MUSE instrument
 This is the data reduction pipeline for the Muse instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 MUSE, the Multi-Unit Spectroscopic Explorer, is an Integral Field
 Spectrograph located at the Nasmyth B focus of Yepun, the VLT UT4
 telescope. It has a modular structure composed of 24 identical IFU modules
 that together sample, in Wide Field Mode (WFM), a near-contiguous 1 squared
 arcmin field of view. Spectrally the instrument samples almost the full
 optical domain with a mean resolution of 3000. Spatially, the instrument
 samples the sky with 0.2 arcseconds spatial pixels in the currently offered
 Wide Field Mode with natural seeing (WFM-noAO).

Package: cpl-plugin-muse-calib
Description-md5: 9c007d000eb35d7a1da64bb454080c28
Description-en: ESO data reduction pipeline calibration data downloader for MUSE
 This package downloads calibration and other static data of the
 data reduction pipeline for the Muse instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 MUSE, the Multi-Unit Spectroscopic Explorer, is an Integral Field
 Spectrograph located at the Nasmyth B focus of Yepun, the VLT UT4
 telescope. It has a modular structure composed of 24 identical IFU modules
 that together sample, in Wide Field Mode (WFM), a near-contiguous 1 squared
 arcmin field of view. Spectrally the instrument samples almost the full
 optical domain with a mean resolution of 3000. Spatially, the instrument
 samples the sky with 0.2 arcseconds spatial pixels in the currently offered
 Wide Field Mode with natural seeing (WFM-noAO).

Package: cpl-plugin-muse-doc
Description-md5: 7baec3334b93c84071f4e1a25122c6aa
Description-en: ESO data reduction pipeline documentation for MUSE
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the Muse instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-naco
Description-md5: ee2dbeea2f450da80570539510d3cfc1
Description-en: ESO data reduction pipeline for the NaCo instrument
 This is the data reduction pipeline for the NaCo instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 NaCo is short for NAOS-CONICA.  It was installed at the Nasmyth B focus of
 UT4 from 2001 through 2013.  In 2014 it was reinstalled on UT1 at the
 Nasmyth A.  It provides adaptive optics assisted imaging, imaging
 polarimetry, coronography and spectroscopy, in the 1-5 micron range.

Package: cpl-plugin-naco-calib
Description-md5: b2373bd2de32963146710543dd2974e2
Description-en: ESO data reduction pipeline NaCo calibration data downloader
 This package downloads calibration and other static data of the
 data reduction pipeline for the NaCo instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 NaCo is short for NAOS-CONICA.  It was installed at the Nasmyth B focus of
 UT4 from 2001 through 2013.  In 2014 it was reinstalled on UT1 at the
 Nasmyth A.  It provides adaptive optics assisted imaging, imaging
 polarimetry, coronography and spectroscopy, in the 1-5 micron range.

Package: cpl-plugin-naco-doc
Description-md5: 99add10bf1a0b7d8a234ba886a076bb9
Description-en: ESO data reduction pipeline documentation for NaCo
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the NaCo instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-uves
Description-md5: 16a9c6a4730992bf3ca405af964f9be9
Description-en: ESO data reduction pipeline for the UVES instrument
 This is the data reduction pipeline for the UVES instrument of the Very
 Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 UVES is a cross-dispersed echelle spectrograph designed to operate with
 high efficiency from the atmospheric cut-off at 300 nm to the long
 wavelength limit of the CCD detectors (about 1100 nm). The light beam
 from the telescope is split in two arms (UV to Blue, and Visual to Red)
 within the instrument. The two arms can be operated separately, or in
 parallel via a dichroic beam splitter. The resolving power is about
 40,000 when a 1-arcsec slit is used. The maximum (two-pixel) resolution
 is 80,000 or 110,000 in the Blue- and the Red Arm, respectively.

Package: cpl-plugin-uves-calib
Description-md5: 682b95dfd00093316b93e76b0f473db5
Description-en: ESO data reduction pipeline calibration data downloader for UVES
 This package downloads calibration and other static data of the
 data reduction pipeline for the UVES instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-uves-doc
Description-md5: a96acfe8c49830acb2edbc928d06e1e7
Description-en: ESO data reduction pipeline documentation for UVES
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the UVES instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-vimos
Description-md5: a637efba936d7013f9b818ed6b9dc8d8
Description-en: ESO data reduction pipeline for the VIMOS instrument
 This is the data reduction pipeline for the Vimos instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 VIMOS is a multi-mode wide-field optical instrument mounted at the Nasmyth
 focus B of UT3. VIMOS allows imaging in UBVRIz and multi-object low (R ~ 200)
 to high (R ~ 2500) resolution spectroscopy. The wavelength range covered in
 the two spectroscopic modes goes from 360 to 1000 nm. VIMOS is made of 4
 quadrants of 7'x8' each separated by a gap of about 2'.

Package: cpl-plugin-vimos-calib
Description-md5: e576b75bd40e763eec34e513641b2cb2
Description-en: ESO data reduction pipeline calibration data downloader for VIMOS
 This package downloads calibration and other static data of the
 data reduction pipeline for the VIMOS instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-vimos-doc
Description-md5: 8ef8138b3f6bccafa3204abb0b04c593
Description-en: ESO data reduction pipeline documentation for VIMOS
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the VIMOS instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-visir
Description-md5: 336cd6b35449170af84b52cb432faf84
Description-en: ESO data reduction pipeline for the VISIR instrument
 This is the data reduction pipeline for the Visir instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 The VLT spectrometer and imager for the mid-infrared (VISIR) was built by
 CEA/DAPNIA/SAP and NFRA/ASTRON, and is  located at the Cassegrain focus of
 UT3. It provides diffraction-limited imaging at high sensitivity in the two
 mid infrared (MIR) atmospheric windows: the N band between 8 to 13μm and the Q
 band between 16.5 and 24.5μm, respectively. In addition, it features a
 long-slitspectrometer with a range of spectral resolutions between 150 and
 30000.

Package: cpl-plugin-visir-calib
Description-md5: 6ec01329d8a028e4c1ece26787e63ab2
Description-en: ESO data reduction pipeline calibration data downloader for VISIR
 This package downloads calibration and other static data of the
 data reduction pipeline for the Visir instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 The VLT spectrometer and imager for the mid-infrared (VISIR) was built by
 CEA/DAPNIA/SAP and NFRA/ASTRON, and is  located at the Cassegrain focus of
 UT3. It provides diffraction-limited imaging at high sensitivity in the two
 mid infrared (MIR) atmospheric windows: the N band between 8 to 13μm and the Q
 band between 16.5 and 24.5μm, respectively. In addition, it features a
 long-slitspectrometer with a range of spectral resolutions between 150 and
 30000.

Package: cpl-plugin-visir-doc
Description-md5: b4c57dfbfa36d4f1959ad1f0d7e644d9
Description-en: ESO data reduction pipeline documentation for the VISIR instrument
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the Visir instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-xshoo
Description-md5: 197b7c84827b189ce5840d094f2bf19e
Description-en: ESO data reduction pipeline for the XSHOOTER instrument
 This is the data reduction pipeline for the XSHOOTER instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).
 .
 XSHOOTER is a multi wavelength (300-2500nm) medium resolution spectrograph
 mounted at the UT2 Cassegrain focus. XSHOOTER consists of 3 arms, each with
 optimized optics, dispersive elements and detectors:
 .
  * UVB, covering the wavelength range 300-559.5 nm,
  * VIS, covering the wavelength range 559.5-1024 nm,
  * NIR, covering the wavelength range 1024-2480 nm.

Package: cpl-plugin-xshoo-calib
Description-md5: 0700f58460ed49c89de6a40671a97164
Description-en: ESO data reduction pipeline calibration data downloader for XSHOOTER
 This package downloads calibration and other static data of the
 data reduction pipeline for the XSHOOTER instrument of the
 Very Large Telescope (VLT) from the European Southern Observatory (ESO).

Package: cpl-plugin-xshoo-doc
Description-md5: 7b6676b9e6a45c419e40743a863b7af6
Description-en: ESO data reduction pipeline documentation for XSHOOTER
 This package contains the HTML documentation and manpages for the data
 reduction pipeline for the XSHOOTER instrument of the Very Large Telescope
 (VLT) from the European Southern Observatory (ESO).

Package: cpluff-loader
Description-md5: e0423b523983b47932ef99ebfd1801a9
Description-en: C-Pluff, a plug-in framework for C - plugin loader
 C-Pluff is a plug-in framework for C programs. It has been strongly
 inspired by the Java plug-in framework in Eclipse. C-Pluff focuses on
 providing core services for plug-in interaction and plug-in management.
 It aims to be platform neutral and supports dynamic changes to plug-in
 configuration without stopping the whole application or framework.
 .
 This package contains the C-Pluff Loader, a generic plug-in loader
 to be used with extensible applications based on C-Pluff.

Package: cpm
Description-md5: 5b62079bbc87970a10071a80d5a468de
Description-en: Curses based password manager using PGP-encryption
 This program is a ncurses based console tool to manage passwords
 and store them public key encrypted in a file - even for more than
 one person. The encryption is handled via GnuPG so the programs data
 can be accessed via gpg as well, in case you want to have a look
 inside. The data is stored as zlib compressed XML so it's even
 possible to reuse the data for some other purpose.
 .
 The software uses CDK (ncurses) to handle the user interface, libxml2
 to store the information, the zlib library to compress the data and
 the library GpgMe to encrypt and decrypt the data securely.

Package: cpmtools
Description-md5: 70c0b687a09c1765e9b23f33a35e4d42
Description-en: Tools to access CP/M file systems
 This package allows access to CP/M file systems similar to the well-known
 mtools package, which accesses MSDOS file systems.
 .
 All CP/M file system features are supported.

Package: cpp-10
Description-md5: 93a75b5e1f4b43c36eba0239b6fab6a3
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.

Package: cpp-10-aarch64-linux-gnu
Description-md5: 45f5a7d0ff0712ad443d07c2355c0a1a
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for arm64 architecture.

Package: cpp-10-alpha-linux-gnu
Description-md5: 076120de648561ca5beac0eef8858b16
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for alpha architecture.

Package: cpp-10-arm-linux-gnueabi
Description-md5: 338cdcd91aead7e7119b591e29dc4bc5
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for armel architecture.

Package: cpp-10-arm-linux-gnueabihf
Description-md5: 7f9493441e3cdf1166438a9959deefe1
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for armhf architecture.

Package: cpp-10-doc
Description-md5: 22a9ba311e91d8d744a9e4df2030d2bf
Description-en: Documentation for the GNU C preprocessor (cpp)
 Documentation for the GNU C preprocessor in info format.

Package: cpp-10-hppa-linux-gnu
Description-md5: cf43d9e869927f49e123729742e957d5
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for hppa architecture.

Package: cpp-10-i686-linux-gnu
Description-md5: cd50f31a75f6f3bffa6b4dd5b997c354
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for i386 architecture.

Package: cpp-10-m68k-linux-gnu
Description-md5: bdc9df6c23fbce734bc35f21a171839b
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for m68k architecture.

Package: cpp-10-mips-linux-gnu
Description-md5: 299c60fdd7647eab4ada137d47c25168
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips architecture.

Package: cpp-10-mips64-linux-gnuabi64
Description-md5: 64eeeaa44acc99c1667d4babb452b4db
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips64 architecture.

Package: cpp-10-mips64el-linux-gnuabi64
Description-md5: eb4de33ac76eea3494e88bf1c79c39c1
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips64el architecture.

Package: cpp-10-mipsel-linux-gnu
Description-md5: 6c95bb5adf90e96e990e2c593b4cab34
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mipsel architecture.

Package: cpp-10-mipsisa32r6-linux-gnu
Description-md5: 5a390525a8dae05ee8dd8e96785d0c8d
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mipsr6 architecture.

Package: cpp-10-mipsisa32r6el-linux-gnu
Description-md5: 6c7ecf2f0ff5ced90e45a8b7a0122f7f
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mipsr6el architecture.

Package: cpp-10-mipsisa64r6-linux-gnuabi64
Description-md5: 48cda71a731bc4e40d67521f4660a172
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips64r6 architecture.

Package: cpp-10-mipsisa64r6el-linux-gnuabi64
Description-md5: fdb23c158405fe981cbaa0853bda99b2
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips64r6el architecture.

Package: cpp-10-powerpc-linux-gnu
Description-md5: 4f91d407b8b7aa38dc64eff3ee27629c
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for powerpc architecture.

Package: cpp-10-powerpc64-linux-gnu
Description-md5: e7612f4f4bd7c93bf2a00e5be4834720
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for ppc64 architecture.

Package: cpp-10-powerpc64le-linux-gnu
Description-md5: 3c8dfbf0de7afc39cf0815e138362016
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for ppc64el architecture.

Package: cpp-10-riscv64-linux-gnu
Description-md5: 7c05d3f784017915130504df9d83f910
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for riscv64 architecture.

Package: cpp-10-s390x-linux-gnu
Description-md5: 2e6a70b0ab111b7a34a0b2e8733e266a
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for s390x architecture.

Package: cpp-10-sh4-linux-gnu
Description-md5: b55564492082f2f88360dd27ad158811
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for sh4 architecture.

Package: cpp-10-sparc64-linux-gnu
Description-md5: 07f289f252ed1a98465636e2f5006177
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for sparc64 architecture.

Package: cpp-10-x86-64-linux-gnux32
Description-md5: 437be6b3dee2182088475a4e923197f2
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for x32 architecture.

Package: cpp-7
Description-md5: 93a75b5e1f4b43c36eba0239b6fab6a3
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.

Package: cpp-7-doc
Description-md5: 22a9ba311e91d8d744a9e4df2030d2bf
Description-en: Documentation for the GNU C preprocessor (cpp)
 Documentation for the GNU C preprocessor in info format.

Package: cpp-8
Description-md5: 93a75b5e1f4b43c36eba0239b6fab6a3
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.

Package: cpp-8-aarch64-linux-gnu
Description-md5: 45f5a7d0ff0712ad443d07c2355c0a1a
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for arm64 architecture.

Package: cpp-8-alpha-linux-gnu
Description-md5: 076120de648561ca5beac0eef8858b16
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for alpha architecture.

Package: cpp-8-arm-linux-gnueabi
Description-md5: 338cdcd91aead7e7119b591e29dc4bc5
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for armel architecture.

Package: cpp-8-arm-linux-gnueabihf
Description-md5: 7f9493441e3cdf1166438a9959deefe1
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for armhf architecture.

Package: cpp-8-doc
Description-md5: 22a9ba311e91d8d744a9e4df2030d2bf
Description-en: Documentation for the GNU C preprocessor (cpp)
 Documentation for the GNU C preprocessor in info format.

Package: cpp-8-hppa-linux-gnu
Description-md5: cf43d9e869927f49e123729742e957d5
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for hppa architecture.

Package: cpp-8-i686-linux-gnu
Description-md5: cd50f31a75f6f3bffa6b4dd5b997c354
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for i386 architecture.

Package: cpp-8-m68k-linux-gnu
Description-md5: bdc9df6c23fbce734bc35f21a171839b
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for m68k architecture.

Package: cpp-8-powerpc-linux-gnu
Description-md5: 4f91d407b8b7aa38dc64eff3ee27629c
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for powerpc architecture.

Package: cpp-8-powerpc64-linux-gnu
Description-md5: e7612f4f4bd7c93bf2a00e5be4834720
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for ppc64 architecture.

Package: cpp-8-powerpc64le-linux-gnu
Description-md5: 3c8dfbf0de7afc39cf0815e138362016
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for ppc64el architecture.

Package: cpp-8-riscv64-linux-gnu
Description-md5: 7c05d3f784017915130504df9d83f910
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for riscv64 architecture.

Package: cpp-8-s390x-linux-gnu
Description-md5: 2e6a70b0ab111b7a34a0b2e8733e266a
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for s390x architecture.

Package: cpp-8-sh4-linux-gnu
Description-md5: b55564492082f2f88360dd27ad158811
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for sh4 architecture.

Package: cpp-8-sparc64-linux-gnu
Description-md5: 07f289f252ed1a98465636e2f5006177
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for sparc64 architecture.

Package: cpp-8-x86-64-linux-gnux32
Description-md5: 437be6b3dee2182088475a4e923197f2
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for x32 architecture.

Package: cpp-9-alpha-linux-gnu
Description-md5: 076120de648561ca5beac0eef8858b16
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for alpha architecture.

Package: cpp-9-arm-linux-gnueabi
Description-md5: 338cdcd91aead7e7119b591e29dc4bc5
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for armel architecture.

Package: cpp-9-hppa-linux-gnu
Description-md5: cf43d9e869927f49e123729742e957d5
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for hppa architecture.

Package: cpp-9-i686-linux-gnu
Description-md5: cd50f31a75f6f3bffa6b4dd5b997c354
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for i386 architecture.

Package: cpp-9-m68k-linux-gnu
Description-md5: bdc9df6c23fbce734bc35f21a171839b
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for m68k architecture.

Package: cpp-9-mips-linux-gnu
Description-md5: 299c60fdd7647eab4ada137d47c25168
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips architecture.

Package: cpp-9-mips64-linux-gnuabi64
Description-md5: 64eeeaa44acc99c1667d4babb452b4db
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips64 architecture.

Package: cpp-9-mips64el-linux-gnuabi64
Description-md5: eb4de33ac76eea3494e88bf1c79c39c1
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips64el architecture.

Package: cpp-9-mipsel-linux-gnu
Description-md5: 6c95bb5adf90e96e990e2c593b4cab34
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mipsel architecture.

Package: cpp-9-mipsisa32r6-linux-gnu
Description-md5: 5a390525a8dae05ee8dd8e96785d0c8d
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mipsr6 architecture.

Package: cpp-9-mipsisa32r6el-linux-gnu
Description-md5: 6c7ecf2f0ff5ced90e45a8b7a0122f7f
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mipsr6el architecture.

Package: cpp-9-mipsisa64r6-linux-gnuabi64
Description-md5: 48cda71a731bc4e40d67521f4660a172
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips64r6 architecture.

Package: cpp-9-mipsisa64r6el-linux-gnuabi64
Description-md5: fdb23c158405fe981cbaa0853bda99b2
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for mips64r6el architecture.

Package: cpp-9-powerpc-linux-gnu
Description-md5: 4f91d407b8b7aa38dc64eff3ee27629c
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for powerpc architecture.

Package: cpp-9-powerpc64-linux-gnu
Description-md5: e7612f4f4bd7c93bf2a00e5be4834720
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for ppc64 architecture.

Package: cpp-9-riscv64-linux-gnu
Description-md5: 7c05d3f784017915130504df9d83f910
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for riscv64 architecture.

Package: cpp-9-sh4-linux-gnu
Description-md5: b55564492082f2f88360dd27ad158811
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for sh4 architecture.

Package: cpp-9-sparc64-linux-gnu
Description-md5: 07f289f252ed1a98465636e2f5006177
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for sparc64 architecture.

Package: cpp-9-x86-64-linux-gnux32
Description-md5: 437be6b3dee2182088475a4e923197f2
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for x32 architecture.

Package: cpp-alpha-linux-gnu
Description-md5: b1e0d79884473a304689b790f8d18905
Description-en: GNU C preprocessor (cpp) for the alpha architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for alpha architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the alpha architecture.

Package: cpp-arm-linux-gnueabi
Description-md5: 1eeaed7113096b65dd4800343979bc94
Description-en: GNU C preprocessor (cpp) for the armel architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for armel architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the armel architecture.

Package: cpp-hppa-linux-gnu
Description-md5: e05d1f01a41ffd6121cd3790252e95d3
Description-en: GNU C preprocessor (cpp) for the hppa architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for hppa architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the hppa architecture.

Package: cpp-i686-linux-gnu
Description-md5: f0c7fc42e445847cc34131612b7ac74b
Description-en: GNU C preprocessor (cpp) for the i386 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for i386 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the i386 architecture.

Package: cpp-m68k-linux-gnu
Description-md5: 9a6c033026edd7f7cfd4fc0a6b41b293
Description-en: GNU C preprocessor (cpp) for the m68k architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for m68k architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the m68k architecture.

Package: cpp-mips-linux-gnu
Description-md5: 6bccb14fead5bb35b7f4f493f6d21b2b
Description-en: GNU C preprocessor (cpp) for the mips architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for mips architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the mips architecture.

Package: cpp-mips64-linux-gnuabi64
Description-md5: daf4abcce933c32725bf364c8a055291
Description-en: GNU C preprocessor (cpp) for the mips64 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for mips64 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the mips64 architecture.

Package: cpp-mips64el-linux-gnuabi64
Description-md5: 3bb7f875736ff328ac7e364d5993d1a1
Description-en: GNU C preprocessor (cpp) for the mips64el architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for mips64el architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the mips64el architecture.

Package: cpp-mipsel-linux-gnu
Description-md5: 388777f6ce7cb465f2ef955da77a8d82
Description-en: GNU C preprocessor (cpp) for the mipsel architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for mipsel architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the mipsel architecture.

Package: cpp-mipsisa32r6-linux-gnu
Description-md5: 61127b8e7dd3a3f9c93b0be0bbdfb0dd
Description-en: GNU C preprocessor (cpp) for the mipsr6 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for mipsr6 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the mipsr6 architecture.

Package: cpp-mipsisa32r6el-linux-gnu
Description-md5: abd448476dc43941e2c2da345209279b
Description-en: GNU C preprocessor (cpp) for the mipsr6el architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for mipsr6el architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the mipsr6el architecture.

Package: cpp-mipsisa64r6-linux-gnuabi64
Description-md5: 27386a6e057bf591a2dabe15baa5c76a
Description-en: GNU C preprocessor (cpp) for the mips64r6 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for mips64r6 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the mips64r6 architecture.

Package: cpp-mipsisa64r6el-linux-gnuabi64
Description-md5: c082d3d9aab0c7a1dabcbcc9e1ab3616
Description-en: GNU C preprocessor (cpp) for the mips64r6el architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for mips64r6el architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the mips64r6el architecture.

Package: cpp-powerpc-linux-gnu
Description-md5: 6106b50ec55c3c81e7cc3db3e1529935
Description-en: GNU C preprocessor (cpp) for the powerpc architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for powerpc architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the powerpc architecture.

Package: cpp-powerpc64-linux-gnu
Description-md5: b31b257ebe9e56f55bea606122367e76
Description-en: GNU C preprocessor (cpp) for the ppc64 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for ppc64 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the ppc64 architecture.

Package: cpp-riscv64-linux-gnu
Description-md5: 5a307b042c792c9410b683d2235294d2
Description-en: GNU C preprocessor (cpp) for the riscv64 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for riscv64 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the riscv64 architecture.

Package: cpp-sh4-linux-gnu
Description-md5: 7ea67db1b2bccda96155a6da95e9631b
Description-en: GNU C preprocessor (cpp) for the sh4 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for sh4 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the sh4 architecture.

Package: cpp-sparc64-linux-gnu
Description-md5: a6ba3d76dec0f1ed8274aa5782cb5314
Description-en: GNU C preprocessor (cpp) for the sparc64 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for sparc64 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the sparc64 architecture.

Package: cpp-x86-64-linux-gnux32
Description-md5: fe189100026ef673aa56b903d8f71083
Description-en: GNU C preprocessor (cpp) for the x32 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for x32 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the x32 architecture.

Package: cppad
Description-md5: d3876702c5d8bdd7829e3774ee449529
Description-en: Automatic Differentiation (AD) of C++ algorithms
 CppAD is a package for automatic differentiation of algorithms
 written in C++.  Given appropriately written C++ code that computes a
 function, CppAD augments it to also compute derivative values.  The
 system uses templates, supports forward and reverse accumulation
 modes, and allows nested derivatives.
 .
 This package contains C++ header files and documentation.

Package: cppcheck
Description-md5: 9998bc10c93cd21710a4b0829a0bcc92
Description-en: tool for static C/C++ code analysis (CLI)
 Cppcheck is a command-line tool that tries to detect bugs that your
 C/C++ compiler doesn't see. It is versatile, and can check non-standard
 code including various compiler extensions, inline assembly code, etc.
 Its internal preprocessor can handle includes, macros, and several
 preprocessor commands. While Cppcheck is highly configurable,
 you can start using it just by giving it a path to the source code.
 .
 It includes checks for:
  * pointers to out-of-scope auto variables;
  * assignment of auto variables to an effective parameter of a function;
  * out-of-bounds errors in arrays and STL;
  * missing class constructors;
  * variables not initialized by a constructor;
  * use of memset, memcpy, etcetera on a class;
  * non-virtual destructors for base classes;
  * operator= not returning a constant reference to itself;
  * use of deprecated functions (mktemp, gets, scanf);
  * exceptions thrown in destructors;
  * memory leaks in class or function variables;
  * C-style pointer cast in C++ code;
  * redundant if;
  * misuse of the strtol or sprintf functions;
  * unsigned division or division by zero;
  * unused functions and struct members;
  * passing parameters by value;
  * misuse of signed char variables;
  * unusual pointer arithmetic (such as "abc" + 'd');
  * dereferenced null pointers;
  * incomplete statements;
  * misuse of iterators when iterating through a container;
  * dereferencing of erased iterators;
  * use of invalidated vector iterators/pointers;
 .
 This package contains the command-line interface for cppcheck.

Package: cppcheck-gui
Description-md5: a5b195958a0dbd8a7eca7f99f946e638
Description-en: tool for static C/C++ code analysis (GUI)
 Cppcheck is a command-line tool that tries to detect bugs that your
 C/C++ compiler doesn't see. It is versatile, and can check non-standard
 code including various compiler extensions, inline assembly code, etc.
 Its internal preprocessor can handle includes, macros, and several
 preprocessor commands. While Cppcheck is highly configurable,
 you can start using it just by giving it a path to the source code.
 .
 It includes checks for:
  * pointers to out-of-scope auto variables;
  * assignment of auto variables to an effective parameter of a function;
  * out-of-bounds errors in arrays and STL;
  * missing class constructors;
  * variables not initialized by a constructor;
  * use of memset, memcpy, etcetera on a class;
  * non-virtual destructors for base classes;
  * operator= not returning a constant reference to itself;
  * use of deprecated functions (mktemp, gets, scanf);
  * exceptions thrown in destructors;
  * memory leaks in class or function variables;
  * C-style pointer cast in C++ code;
  * redundant if;
  * misuse of the strtol or sprintf functions;
  * unsigned division or division by zero;
  * unused functions and struct members;
  * passing parameters by value;
  * misuse of signed char variables;
  * unusual pointer arithmetic (such as "abc" + 'd');
  * dereferenced null pointers;
  * incomplete statements;
  * misuse of iterators when iterating through a container;
  * dereferencing of erased iterators;
  * use of invalidated vector iterators/pointers
 .
 This package contains the graphical user interface for cppcheck.

Package: cpphs
Description-md5: cc7897f4cc1a68d7c934e96a45a2a8b4
Description-en: Simplified cpp-a-like preprocessor for Haskell
 The C pre-processor has been widely used in Haskell source code. It
 enables conditional compilation for different compilers, different
 versions of the same compiler, and different OS platforms. It is also
 occasionally used for its macro language, which can enable certain
 forms of platform-specific detail-filling, such as the tedious
 boilerplate generation of instance definitions and FFI declarations.
 .
 However, gcc's cpp has recently been evolving to more strictly adhere
 to the C standard. This has had the effect of making it increasingly
 incompatible with Haskell's syntax. This is a cpp-a-like designed with
 Haskell's syntax in mind.

Package: cppman
Description-md5: 0caff99410493041ec3ed3cad4214018
Description-en: C++ 98/11 manual pages for Linux, with source from cplusplus.com
 cppman is a tool to download C++ 98/11 manual from cplusplus.com. It creates a
 similar interface to the man command, and provides syntax highlight, hyperlink
 features.

Package: cppo
Description-md5: 02e1a3f7b305b6b97292967ea9d582e0
Description-en: cpp for OCaml
 Cppo is an OCaml-friendly implementation of cpp, the C preprocessor.
 It can replace camlp4 for preprocessing OCaml files, using cpp style and
 syntax. It allows defining simple macros and file inclusion.

Package: cppreference-doc-en-html
Description-md5: ce2a74cd4401ff43c73c9f75bb7bde87
Description-en: C and C++ standard library reference (English, Devhelp variant)
 A version of online C and C++ standard library reference manual available at
 en.cppreference.com, suitable for viewing offline.
 .
 This package contains a Devhelp book.

Package: cppreference-doc-en-qch
Description-md5: b01cfee5cceb0570b9cad9ffa94c48ae
Description-en: C and C++ standard library reference (English, Qt Help variant)
 A version of online C and C++ standard library reference manual available at
 en.cppreference.com, suitable for viewing offline.
 .
 This package contains a Qt Help book.

Package: cpputest
Description-md5: 645778ac17580b4127d7e94747578fc6
Description-en: C/C++ based unit test framework — main package
 CppUTest is a C/C++ based unit xUnit test framework for unit testing and
 for test-driving your code. It is written in C++ but is used in C and C++
 projects and frequently used in embedded systems.
 .
 CppUTest has a couple design principles:
  * Simple to use and small
  * Portable to old and new platforms
 .
 CppUTest also has support for building mocks and can be used by
 practitioners of Test Driven Development.
 .
 This is the main package. It contains some examples, support scripts
 and depends on libcpputest-dev which provides the test framework
 itself.

Package: cpqarrayd
Description-md5: 0a8b332151cca6d1f97ab69f301fa30a
Description-en: monitoring tool for HP (Compaq) SmartArray controllers
 cpqarrayd is a userspace monitoring daemon for HP (Compaq) SmartArray
 hardware RAID controllers.  These controllers usually come built-in to
 ProLiant, or as a PCI card for ProLiant or Integrity systems. This
 daemon reports status changes in the disk array to syslog and,
 optionally, to a snmp trap host.
 .
 The default is to only log to the syslog. You can specify traphosts
 with the -t parameter at the commandline. Multiple traphosts are
 allowed.
 .
 This tool works with the SmartArray drivers found in Linux kernels
 (cciss and ida drivers).

Package: cproto
Description-md5: fe74472d82a7bc4da41968b3563d1a85
Description-en: utilities to generate C function prototypes from C source code
 Cproto is a program that generates function prototypes and variable
 declarations from C source code.  It can also convert function
 definitions between the old style and the ANSI C style.  This
 conversion overwrites the original files, so make a backup copy of
 your files in case something goes wrong.

Package: cpu
Description-md5: e000b5483416a819ec9fca233f515721
Description-en: console based LDAP user management tool
 CPU is a suitable replacement for the useradd/usermod/userdel utilities for
 administrators using an LDAP backend and wishing to have a suite of command
 line tools for doing the administration.

Package: cpu-x
Description-md5: 09606d774a82a0837bfd88d040afb28e
Description-en: Tool that gathers information on CPU, motherboard and more
 CPU-X is similar to CPU-Z (for MS Windows). It can be used in graphical
 mode by using GTK or in text-based mode by using NCurses. A dump mode is
 present from the command line.

Package: cpufreqd
Description-md5: 2e6607a4cd24cc140a7c1cd9613eaaab
Description-en: fully configurable daemon for dynamic frequency and voltage scaling
 cpufreqd is meant to be a replacement of the speedstep applet you can find on
 some other OS, it monitors the system status and selects the most appropriate
 CPU level.  It is fully configurable and easily extensible through the many
 available plug-ins (more to come).
 Despite its name it can be used to control also the NForce2-Atxp1 voltage
 regulator and the core and memory clock for NVidia cards (see README.Debian).
 .
 You need a CPUFreq driver and either APM, ACPI (a recent version) or PMU
 enabled in your kernel in order for this daemon to work.

Package: cpufrequtils
Description-md5: 52dad6bb1cd00cd7cfe3ebb7d3ae3f80
Description-en: utilities to deal with the cpufreq Linux kernel feature
 This package contains two utilities for inspecting and setting the
 CPU frequency through both the sysfs and procfs CPUFreq kernel
 interfaces.
 .
 By default, it also enables CPUFreq at boot time if the correct CPU
 driver is found.

Package: cpuid
Description-md5: 20e5c4ee6c8525987b94be6dbbd10cc4
Description-en: tool to dump x86 CPUID information about the CPU(s)
 cpuid dumps detailed information about the CPU(s) gathered from the
 CPUID instruction, and also determines the exact model of CPU(s). It
 supports Intel, AMD, and VIA CPUs, as well as older Transmeta, Cyrix,
 UMC, NexGen, Rise, and SiS CPUs.

Package: cpuidtool
Description-md5: b71ed21f16256b23bc7453cf322339e1
Description-en: C library for x86/x86_64 CPU detection and feature extraction (tool)
 libcpuid is a small C library for x86 CPU detection and feature
 extraction. Using it, you can:
 .
    - get the processor vendor, model, brand string, code name,
    - get information about CPU features such as: number of cores or logical
      CPUs, cache sizes, CPU clock,
    - check if the processor implements a specific instruction set such as
      the SSE2, 3DNow!,
    - execute the CPUID and RDTSC instructions (portably!)
 .
 This package ships the cpuidtool.

Package: cpuinfo
Description-md5: ab666464e290c05f055e53ee0e828d2c
Description-en: CPU INFOrmation library (binary utilities)
 cpuinfo is a library to detect essential for performance optimization
 information about host CPU.
 .
 Features
 .
   - **Cross-platform** availability:
     - Linux, Windows, macOS, Android, and iOS operating systems
     - x86, x86-64, ARM, and ARM64 architectures
   - Modern **C/C++ interface**
     - Thread-safe
     - No memory allocation after initialization
     - No exceptions thrown
   - Detection of **supported instruction sets**, up to AVX512 (x86) and
     ARMv8.3 extensions
   - Detection of SoC and core information:
     - **Processor (SoC) name**
     - Integrated **GPU name** (Android/iOS only)
     - Vendor, **microarchitecture**, and ID (**MIDR** on ARM, **CPUID**
       leaf 1 EAX value on x86) for each CPU core
   - Detection of **cache information**:
     - Cache type (instruction/data/unified), size and line size
     - Cache associativity
     - Cores and logical processors (hyper-threads) sharing the cache
   - Detection of **topology information** (relative between logical
     processors, cores, and processor packages)
   - Well-tested **production-quality** code:
     - 60+ mock tests based on data from real devices
     - Includes work-arounds for common bugs in hardware and OS kernels
     - Supports systems with heterogenous cores, such as **big.LITTLE**
       and Max.Med.Min
   - Permissive **open-source** license (Simplified BSD)
 .
 This package ships with several binary utilities.

Package: cpulimit
Description-md5: 0d65d775c76f4ab02a0ce878e5736fcc
Description-en: tool for limiting the CPU usage of a process
 cpulimit is a simple program that attempts to limit the CPU usage of a
 process (expressed in percentage, not in CPU time). This is useful to
 control batch jobs, when you don't want them to eat too much CPU. It does
 not act on the nice value or other priority stuff, but on the real CPU
 usage.  Besides it is able to adapt itself to the overall system load,
 dynamically and quickly.

Package: cpupower-gui
Description-md5: 63fd6dee1c9a22debee0ede094fd44b7
Description-en: GUI utility to change the CPU frequency
 This utility can change the operating frequency of the CPU for
 each core separately. Additionally, the cpu governor can be changed.
 The program can detect offline cores and only present the available ones.
 Furthermore, if a core doesn't support frequency scaling is not shown.
 There is also an option to apply the same settings to all cores simultaneously.
 To apply the settings, the user must be local and active,
 as well as in group sudo. Local and active users not in this group must
 authenticate themselves as admin in order to apply the settings.

Package: cpuset
Description-md5: 803e1d2a3801ed71edeca2d58ce08bde
Description-en: Allows manipluation of cpusets and provides higher level fun
 Cpuset is a Python application to make using the cpusets facilities in the
 Linux kernel easier. The actual included command is called cset and it allows
 manipulation of cpusets on the system and provides higher level functions such
 as implementation and control of a basic CPU shielding setup.
 .
 This package contains the cset command-line utility.

Package: cpustat
Description-md5: b0cd2bec220703ec5bf05583b8b5426f
Description-en: periodic cpu utilization statistics
 cpustat periodically reports the current CPU utilization of running tasks
 and can optionally report per CPU and per task utilization statistics at
 the end of a run.  cpustat has been designed and optimized to use a minimal
 amount of CPU cycles to monitor a system hence it is a light weight
 alternative to traditional process monitoring tools such as top.

Package: cputool
Description-md5: e9fa1076afa4033be29e0fd68210b9ff
Description-en: Utility which manages CPU usage and system load
 CPUTool allows the limiting of cpu usage of a process or a process group to a
 given limit and allows the suspensions of process execution if the system
 load exceeds a defined threshold.

Package: cqrlog
Description-md5: f7b339209c0e83157276c21068727e49
Description-en: Advanced logging program for hamradio operators
 CQRLOG is an advanced ham radio logger based on MySQL embedded database.
 Provides radio control based on hamlib libraries (currently support of 140+
 radio types and models), DX cluster connection, HamQTH/QRZ callbook
 (XML access), a grayliner, internal QSL manager database support and a most
 accurate country resolution algorithm based on country tables developed by
 OK1RR. CQRLOG is intended for daily general logging of HF, CW & SSB contacts
 and strongly focused on easy operation and maintenance.

Package: cqrlog-data
Description-md5: ac3d58426322c151501c108a55aeb7a9
Description-en: Advanced logging program for hamradio operators (data files)
 CQRLOG is an advanced ham radio logger based on MySQL embedded database.
 Provides radio control based on hamlib libraries (currently support of 140+
 radio types and models), DX cluster connection, HamQTH/QRZ callbook
 (XML access), a grayliner, internal QSL manager database support and a most
 accurate country resolution algorithm based on country tables developed by
 OK1RR. CQRLOG is intended for daily general logging of HF, CW & SSB contacts
 and strongly focused on easy operation and maintenance.
 .
 This package contains the architecture-independent data files.

Package: crac
Description-md5: ce43e78949db57abc79ce3a5a699ad82
Description-en: integrated RNA-Seq read analysis
 CRAC is a tool to analyze High Throughput Sequencing (HTS) data in
 comparison to a reference genome. It is intended for transcriptomic
 and genomic sequencing reads. More precisely, with transcriptomic
 reads as input, it predicts point mutations, indels, splice junction,
 and chimeric RNAs (ie, non colinear splice junctions). CRAC can also
 output positions and nature of sequence error that it detects in the
 reads. CRAC uses a genome index. This index must be computed before
 running the read analysis. For this sake, use the command "crac-index"
 on your genome files. You can then process the reads using the command
 crac. See the man page of CRAC (help file) by typing "man crac". CRAC
 requires large amount of main memory on your computer. For processing
 against the Human genome, say 50 million reads of 100 nucleotide each,
 CRAC requires about 40 gigabytes of main memory. Check whether the
 system of your computing server is equipped with sufficient amount of
 memory before launching an analysis.

Package: crack
Description-md5: 29788e610e458190a843f470c4c99c54
Description-en: Password guessing program (crypt() variant)
 Crack is program designed to quickly locate vulnerabilities
 in Unix (or other) password files by scanning the contents
 of a password file, looking for users who have misguidedly
 chosen a weak login password.
 .
 This package provides the runtime files for the crypt() version.

Package: crack-attack
Description-md5: 7fa8b5ef38342a30da901cc4d8776011
Description-en: multiplayer OpenGL puzzle game like "Tetris Attack"
 Crack Attack is an OpenGL puzzle game similar to the Super Nintendo
 game "Tetris Attack".  Slowly, your stack of colored blocks grows
 from the bottom, and you've got to make sure it never reaches the
 top. If it does, you lose. To eliminate blocks from the stack, line
 up at least three of one color, horizontally or vertically. Once you
 do, those blocks disappear, and put off slightly your inevitable
 demise.
 .
 Crack Attack is very slow without hardware acceleration.  For Xorg
 users, this means you want DRI.
 .
 More information can be found at http://www.nongnu.org/crack-attack/

Package: crack-common
Description-md5: 1c34ab8675faff584d577f8b22af2465
Description-en: Password guessing program (common files of all variants)
 Crack is program designed to quickly locate vulnerabilities
 in Unix (or other) password files by scanning the contents
 of a password file, looking for users who have misguidedly
 chosen a weak login password.
 .
 This package provides the common files for the crypt() and
 MD5 versions.

Package: crack-md5
Description-md5: 110e958d3492f2ca5600b83ba5fef48a
Description-en: Password guessing program (MD5 variant)
 Crack is program designed to quickly locate vulnerabilities
 in Unix (or other) password files by scanning the contents
 of a password file, looking for users who have misguidedly
 chosen a weak login password.
 .
 This package provides the runtime files for the MD5 version.

Package: cramfsswap
Description-md5: 796947001fae0a27e674ada4f59cd10d
Description-en: swap endianess of a cram filesystem (cramfs)
 cramfs is a highly compressed and size optimized linux filesystem which is
 mainly used for embedded applications. the problem with cramfs is that it
 is endianess sensitive, meaning you can't mount a cramfs for a big endian
 target on a little endian machine and vice versa. this is often especially
 a problem in the development phase.
 .
 cramfsswap solves that problem by allowing you to swap to endianess of a
 cramfs filesystem.

Package: crashmail
Description-md5: 3d0f22a35e48cb220699cea005f4e04d
Description-en: JAM and *.MSG capable Fidonet tosser
 CrashMail II is basically a more portable version of
 CrashMail, a tosser for Amiga computers. Users of the old
 Amiga version will probably find some things familiar
 while some features are gone such as the ARexx port (for
 obvious reasons!) and the GUI configuration editor. The
 only feature that CrashMail II has and the old CrashMail
 hasn't is support for JAM messagebases.

Package: crashme
Description-md5: 741ffe9c24d4a1be78e54e60fd6169aa
Description-en: tool to test kernel stability
 crashme generates strings of random bytes and then attempts to execute
 them. It is used to test stability of the kernel.
 .
 **WARNING** While the Linux kernel has been known to survive days and weeks
 of crashme, IT IS NOT GUARANTEED THAT YOUR SYSTEM WILL SURVIVE! DO NOT USE
 THIS PROGRAM UNLESS YOU REALLY WANT TO CRASH YOUR COMPUTER.

Package: crasm
Description-md5: 486a8606aac752250ef142b087b2899c
Description-en: Cross assembler for 6800/6801/6803/6502/65C02/Z80
 Assemble a microprocessor program and produce output file in Intel HEX
 or Motorola S Code from source for 6800/6801/6803/6502/65C02/Z80
 processors. A program listing and a symbol table are also produced
 on the standard output.

Package: crawl
Description-md5: 4fd14099b03a995474413a2ae642de0f
Description-en: Dungeon Crawl, a text-based roguelike game
 Crawl is a fun game in the grand tradition of games like Rogue, Hack, and
 Moria. Your objective is to travel deep into a subterranean cave complex and
 retrieve the Orb of Zot, which is guarded by many horrible and hideous
 creatures.
 .
 This is the console version. For tiles, use crawl-tiles instead.
 .
 This is the Stone Soup version of Dungeon Crawl.

Package: crawl-common
Description-md5: b7adfe0a582271387b7017ef72e4d268
Description-en: Dungeon Crawl, a text-based roguelike game (data files)
 Crawl is a fun game in the grand tradition of games like Rogue, Hack, and
 Moria. Your objective is to travel deep into a subterranean cave complex and
 retrieve the Orb of Zot, which is guarded by many horrible and hideous
 creatures.
 .
 These are the data and support files.
 .
 This is the Stone Soup version of Dungeon Crawl.

Package: crawl-tiles
Description-md5: 8f4db2642b39469e48996525fe90f8c6
Description-en: Dungeon Crawl, a roguelike game, tiles version
 Crawl is a fun game in the grand tradition of games like Rogue, Hack, and
 Moria. Your objective is to travel deep into a subterranean cave complex and
 retrieve the Orb of Zot, which is guarded by many horrible and hideous
 creatures.
 .
 This is the graphical (tiles) version.
 .
 This is the Stone Soup version of Dungeon Crawl.

Package: crawl-tiles-data
Description-md5: d4cd7e0e3054e6f301746246c374d3e2
Description-en: Dungeon Crawl, a text-based roguelike game (tile data files)
 Crawl is a fun game in the grand tradition of games like Rogue, Hack, and
 Moria. Your objective is to travel deep into a subterranean cave complex and
 retrieve the Orb of Zot, which is guarded by many horrible and hideous
 creatures.
 .
 These are the tile graphics.
 .
 This is the Stone Soup version of Dungeon Crawl.

Package: crazywa
Description-md5: db5fc2f381e476db7241e841d50fd29e
Description-en: AI engine for Wa Shogi and several other variants
 CrazyWa is an AI engine for several variants of Shogi (japanese chess), and
 some variants of Chess.
 .
 It currently plays the following games:
  * crazyhouse, a variant of Chess including Shogi-like drops
  * Standard Shogi
  * Mini Shogi
  * Judkin's Shogi
  * Tori Shogi
  * Euro Shogi
  * Wa Shogi
  * Kyoto Shogi

Package: cream
Description-md5: 772155f4e5fd9e2b72ed0c0c5c39c74d
Description-en: VIM macros that make the VIM easier to use for beginners
 Cream's motto is "Cream makes the powerful Vim text editor easy!". It brings
 a completely different look and feel to the VIM for those who are used to more
 intuitive editors while still preserving the more powerful features of VIM.
 It features pull-down menus, color themes, bookmarking, auto spellcheck and
 more. It leaves the default VIM untouched and is started by running 'cream'.

Package: create-resources
Description-md5: 494dca8c96bd1d41c84637d6b1f96d06
Description-en: shared resources for use by creative applications
 The Create Project provides shared resources for use by creative
 applications such as Blender, CinePaint, the GIMP, Inkscape, and Scribus.
 .
 The package includes brushes, color swatches files, gradients, etc. , so
 that the user doesn't need to install all of these resources separately
 for each and every application that can read such data.

Package: createfp
Description-md5: 123b7dd2c2d103ca6385f7caf6adcd34
Description-en: Language detection library - fingerprint generation utility
 Libexttextcat is a library with functions that implement the classification
 technique described in Cavnar & Trenkle, "N-Gram-Based Text Categorization".
 It was primarily developed for language guessing, a task on which it is known
 to perform with near-perfect accuracy.
 .
 This package contains 'createfp' for generating fingerprints

Package: credential-sheets
Description-md5: 6cc6efc48f059f6d375ee9739c45b6c3
Description-en: User account credential sheets tool
 After mass import of user accounts (e.g. into LDAP) most site
 administrators have to create information sheets (or snippets)
 containing those new  credentials (like username, password, policy of
 usage, etc.).
 .
 With this tiny tool, providing these pieces of information to multiple
 users, becomes really simple. Account data is taken from a CSV file and
 the sheets are output as PDF using easily configurable LaTeX template
 files.

Package: creduce
Description-md5: 13f2f6d719c0bda2b83a6e7a20474eab
Description-en: Test-Case Reduction for C Compiler Bugs
 C-Reduce is a tool which takes a large C or C++ program that has a
 property of interest (such as triggering a compiler bug) and
 automatically produces a much smaller C/C++ program that has the same
 property.  It is intended for use by people who discover and report
 bugs in compilers and other tools that process C/C++ code.

Package: cricket
Description-md5: 03e1e8a573de472a25aeaf1447321186
Description-en: Program for collection and display of time-series data
 This is Cricket. It is a configuration, polling, and data-display engine
 wrapped around the RRD tool by Tobias Oetiker. There are three user-visible
 pieces to Cricket: the collector, the grapher, and the config tree. The
 collector runs from cron and fetches data from a number of devices
 according to the info it finds in the config tree. The grapher is a CGI
 application that allows users to traverse the config tree from a web
 browser and see the data that the collector recorded.

Package: crimson
Description-md5: f410b14b9ceaa551194499556492dfb5
Description-en: hex-based tactical game
 Crimson Fields is a hex-based tactical war game in the tradition of
 Battle Isle (tm). Two players command their units on a map of hexagons,
 trying to accomplish mission objectives ranging from defending important
 locations to simply destroying all enemy forces.
 The game can either be played in 'hot seat' mode, via email, or against
 the computer. Tools are available to create custom maps and campaigns.
 You can also play the original Battle Isle maps if you have a copy of
 the game.

Package: crip
Description-md5: f7a527a76147d54006c2be017c1adf4f
Description-en: terminal-based ripper/encoder/tagger tool
 crip creates Ogg Vorbis/FLAC/MP3 files under UNIX/Linux. It is
 well-suited for anyone (especially the perfectionist) who seeks to
 make a lot of files from CDs and have them all properly labeled and
 professional-quality with a minimum of hassle and yet still have
 flexibility and full control over everything. Current versions of
 crip only support Ogg Vorbis and FLAC.
 .
 This script is special because it is capable of doing group
 vorbisgain/replaygain and/or normalization (adjust the volume to be
 as loud as possible without clipping/distortion) and group
 labelling/tagging, which makes it easy to allow a group of tracks to
 be treated as one piece. It can also trim off the silence at the
 beginning and end of these tracks/groups.

Package: crispy-doom
Description-md5: 6e58929a38409e88e85fdce095f19fd8
Description-en: Limit-raising medium-resolution Doom engine based on Chocolate Doom
 Crispy Doom is a friendly fork of Chocolate Doom that provides a higher display
 resolution, removes the static limits of the Doom engine and offers further
 optional visual, tactical and physical enhancements while remaining entirely
 config file, savegame, netplay and demo compatible with the original.

Package: critcl
Description-md5: af4cbf9c55010f37771c489b4330f8bd
Description-en: compiled runtime in Tcl
 Critcl takes a snippet of C, generates Tcl interface, sends it to the
 compiler, and then dynamically links the code. Checksums are used to only
 recompile when needed, so the build overhead really applies only once.

Package: criticalmass
Description-md5: b91041fdc8d5711348bf2d753f8d38f8
Description-en: Shoot-em-up a la galaxian
 criticalmass, aka critter, is a shoot-'em-up in the style of Galaxian with
 very colorful and smooth graphics (provided that you have 3d acceleration)
 .
 Note: criticalmass uses OpenGL, and will probably not run well if you do
 not have a 3d accelerator which is supported by X.

Package: criticalmass-data
Description-md5: 6dc0f60d00c5caaf75dfc650a0b7bfb9
Description-en: Shoot-em-up a la galaxian (data files)
 criticalmass, aka critter, is a shoot-'em-up in the style of Galaxian with
 very colorful and smooth graphics (provided that you have 3d acceleration)
 .
 This package provides architecture-independent data files for criticalmass.

Package: critterding
Description-md5: 314acba8b8dd3bdfb8adb939891ece5f
Description-en: Evolving Artificial Life
 Critterding is a "Petri dish" universe in 3D that demonstrates
 evolving artificial life. Critters start out with completely
 random brains and bodies, but will automatically start evolving
 into something with much better survival skills.

Package: crm114
Description-md5: a1b06491b5597f83f7e50b28f0b46c23
Description-en: versatile classifier for e-mail and other data
 CRM114, the Controllable Regex Mutilator, is a system to examine incoming
 e-mail, system log streams, data files, or other data streams, and to sort,
 filter, or alter the incoming files or data streams however the user
 desires. Criteria for categorization of data can be by satisfaction of
 regular expressions, by sparse binary polynomial matching with a Bayesian
 Chain Rule evaluator, or by other means.
 .
 CRM114 is not just another drop-in spam-filtering system; its Sparse
 Binary Polynomial Hashing methods give it the power to develop highly
 accurate Bayesian filters on very little training.
 .
 CRM114 is compatible with SpamAssassin or other spam-flagging software; it
 can also be pipelined in front of or behind procmail. CRM114 is also useful
 as a syslog or firewall log filter, to flag up important events but ignore
 the ones that aren't meaningful.
 .
 For mail filtering, installing metamail or mew-bin packages is
 recommended in order to have tools to decode MIME attachments.

Package: cron-apt
Description-md5: 2e64c2fc7f0c5ac0fd844be065a8a152
Description-en: automatic update of packages using apt-get
 Contains a tool that is run by a cron job at regular intervals. By default it
 just updates the package list and downloads new packages without installing.
 You can instruct it to run anything that you can do with apt-get
 (or aptitude).
 .
 It can optionally send mail to the system administrator on errors, log
 to syslog or a separate log file.
 .
 Observe that this tool may be a security risk, so you should not set it
 to do more than necessary. Automatic upgrade of all packages is NOT
 recommended unless you are in full control of the package repository.

Package: cronic
Description-md5: 97ec26deb2483f76c27820bf8ce242af
Description-en: Bash script for wrapping cron jobs to prevent excess email sending
 Cronic is a small shim shell script for wrapping cron jobs so that cron only
 sends email when an error has occurred. Cronic defines an error as any
 non-trace error output or a non-zero result code.

Package: cronolog
Description-md5: 4d5734e5e38bc768dcbffccd2547922f
Description-en: Logfile rotator for web servers
 A simple program that reads log messages from its input and writes
 them to a set of output files, the names of which are constructed
 using template and the current date and time.  The template uses the
 same format specifiers as the Unix date command (which are the same
 as the standard C strftime library function).
 .
 It intended to be used in conjunction with a Web server, such as
 Apache, to split the access log into daily or monthly logs:
 .
   TransferLog "|/usr/bin/cronolog /var/log/apache/%Y/access.%Y.%m.%d.log"
 .
 A cronosplit script is also included, to convert existing
 traditionally-rotated logs into this rotation format.

Package: cronometer
Description-md5: 5a4a48c647541df6f8f8458d0638819b
Description-en: CRON-o-Meter - Exercise and nutrient intake tracker
 Easy to use daily nutrient intake tracker that includes nutritional
 information on over 8000 foods. User can create, import and export custom
 foods and recipes as well as set personal targets for each nutrient.
 CRON-O-Meter can also track and chart your biometrics (weight, blood pressure,
 temperature, etc) and generate detailed reports on your nutritional intake.

Package: cronutils
Description-md5: 7380357037cd95e6e4d7f43ce71485ee
Description-en: Utilities to assist running batch processing jobs
 A set of utilities to complement batch processing jobs, such as those
 run from cron, by limiting concurrent execution of jobs, setting hard
 limits on the runtime of a job, and recording execution statistics of
 a completed job.

Package: cross-gcc-dev
Description-md5: 5500ba312e35028a893388ba72d53f20
Description-en: Tools for building cross-compilers and cross-compiler packages
 This package provides the rules and scripts for making cross-toolchain
 packages. It can also be used directly to make cross-toolchains that
 are not packaged for the archive.

Package: crossbuild-essential-amd64
Description-md5: 9b2629bcd07961cfcb27934f1371078a
Description-en: Informational list of cross-build-essential packages
 If you do not plan to cross build Debian packages, you don't need
 this package.  Starting with sbuild (>= 0.63.0) this package is
 required for cross building Debian packages in a chroot.
 .
 This package contains an informational list of packages which are
 considered essential for cross building Debian packages.  This
 package also depends on the packages on that list, to make it easy to
 have the cross-build-essential packages installed.
 .
 If you have this package installed, you only need to install whatever
 a package specifies as its build-time dependencies to cross build the
 package.  Conversely, if you are determining what your package needs
 to build-depend on, you can always leave out the packages this
 package depends on.

Package: crossbuild-essential-armel
Description-md5: 9b2629bcd07961cfcb27934f1371078a
Description-en: Informational list of cross-build-essential packages
 If you do not plan to cross build Debian packages, you don't need
 this package.  Starting with sbuild (>= 0.63.0) this package is
 required for cross building Debian packages in a chroot.
 .
 This package contains an informational list of packages which are
 considered essential for cross building Debian packages.  This
 package also depends on the packages on that list, to make it easy to
 have the cross-build-essential packages installed.
 .
 If you have this package installed, you only need to install whatever
 a package specifies as its build-time dependencies to cross build the
 package.  Conversely, if you are determining what your package needs
 to build-depend on, you can always leave out the packages this
 package depends on.

Package: crossbuild-essential-i386
Description-md5: 9b2629bcd07961cfcb27934f1371078a
Description-en: Informational list of cross-build-essential packages
 If you do not plan to cross build Debian packages, you don't need
 this package.  Starting with sbuild (>= 0.63.0) this package is
 required for cross building Debian packages in a chroot.
 .
 This package contains an informational list of packages which are
 considered essential for cross building Debian packages.  This
 package also depends on the packages on that list, to make it easy to
 have the cross-build-essential packages installed.
 .
 If you have this package installed, you only need to install whatever
 a package specifies as its build-time dependencies to cross build the
 package.  Conversely, if you are determining what your package needs
 to build-depend on, you can always leave out the packages this
 package depends on.

Package: crossbuild-essential-powerpc
Description-md5: 9b2629bcd07961cfcb27934f1371078a
Description-en: Informational list of cross-build-essential packages
 If you do not plan to cross build Debian packages, you don't need
 this package.  Starting with sbuild (>= 0.63.0) this package is
 required for cross building Debian packages in a chroot.
 .
 This package contains an informational list of packages which are
 considered essential for cross building Debian packages.  This
 package also depends on the packages on that list, to make it easy to
 have the cross-build-essential packages installed.
 .
 If you have this package installed, you only need to install whatever
 a package specifies as its build-time dependencies to cross build the
 package.  Conversely, if you are determining what your package needs
 to build-depend on, you can always leave out the packages this
 package depends on.

Package: crossfire-client
Description-md5: 4c623c7dd29759d076d0df509bf9ae1d
Description-en: Client for the multiplayer roguelike Crossfire
 Crossfire is a multiplayer graphical arcade and adventure game made for
 the X environment.
 .
 It has certain flavours from other games, especially Gauntlet (TM)
 and Nethack/Moria.
 .
 Any number of players can move around in their own window, finding
 and using items and battle monsters.  They can choose to cooperate
 or compete in the same 'world'.
 .
 To play the game you'll need to have access to a local or remote
 server.

Package: crossfire-client-images
Description-md5: f651b8df42fcc8a2915c422ce11e3a80
Description-en: Base crossfire-client images
 To decrease bandwidth used when actually playing the sounds,
 it is suggested you download the image archive and install it.

Package: crossfire-common
Description-md5: 0cefd0055d4ed776b3d9b62ca607ff4f
Description-en: Architecture independent common files for Crossfire server
 Crossfire is a  multiplayer graphical arcade and adventure game made for
 the X environment.
 It has certain flavors from other games, especially Gauntlet (TM)
 and Nethack/Moria.
 Any number of players can move around in their own window, finding
 and using items and battle monsters.  They can choose to cooperate
 or compete in the same "world".

Package: crossfire-doc
Description-md5: e81f11213bc753254df688aa69f327b2
Description-en: Documentation for Crossfire
 This is the document package for crossfire.  It has information for
 both the server and the client.

Package: crossfire-maps
Description-md5: 3eb333f074dd18c7ab7eedf6ccb24a0f
Description-en: Standard set of maps for crossfire
 Maps for use with crossfire-server or crossfire-edit.
 .
 You don't need this package if you are running only the client.
 .
 Note that installing maps will restart crossfire-server, if it is
 installed and running.

Package: crossfire-maps-small
Description-md5: b823b213c49cfa96c2a874c9d7ba422e
Description-en: Small set of maps for crossfire
 A smaller set of maps for use with crossfire-server or crossfire-edit.
 .
 You don't need this package if you are running only the client.
 .
 Note that installing maps will restart crossfire-server, if it is
 installed and running.

Package: crossfire-server
Description-md5: c4eafc147b7f9b5e8d80cee806b5be4a
Description-en: Server for Crossfire Games
 This is the server program for the crossfire client
 .
 Crossfire is a multiplayer graphical arcade and adventure game made for
 the X environment.
 It has certain flavours from other games, especially Gauntlet (TM)
 and Nethack/Moria.
 Any number of players can move around in their own window, finding
 and using items and battle monsters.  They can choose to cooperate
 or compete in the same "world".

Package: crosshurd
Description-md5: 2c2660127a31cc4dc25ddcc348acb79f
Description-en: Install a Debian system
 crosshurd uses apt and a bit of black magic to setup a functional
 Debian system. It supports the following target systems:
  - linux-gnu (GNU/Linux)
  - gnu (GNU/Hurd)
  - kfreebsd-gnu (GNU/kFreeBSD)

Package: crrcsim
Description-md5: 81c11328dbefe49009d5872019c51d46
Description-en: Model-Airplane Flight Simulator
 Is a model-airplane flight simulation program. Using it, you can learn how to
 fly model aircraft, test new aircraft designs, and improve your skills by
 practicing on your computer.

Package: crrcsim-data
Description-md5: 2574e2b585214b475ece2b3360fb95af
Description-en: Data files for crrcsim package
 Is a model-airplane flight simulation program. Using it, you can learn how to
 fly model aircraft, test new aircraft designs, and improve your skills by
 practicing on your computer.
 .
 This package contains data files (images, sounds, models, objects, sceneries
 and textures) for the crrcsim package.

Package: crrcsim-doc
Description-md5: 38787f5030df86b47a22705ad52b5643
Description-en: Documentation for crrcsim package
 Is a model-airplane flight simulation program. Using it, you can learn how to
 fly model aircraft, test new aircraft designs, and improve your skills by
 practicing on your computer.
 .
 This package provides the documentation for the crrcsim.

Package: crtmpserver
Description-md5: 9657af23259dc91ceceff6fa43b99ab9
Description-en: High performance RTMP/RTSP streaming server
 crtmpserver is a high performance streaming server able to stream
 (live or recorded) in the following technologies:
  - To and from Flash (RTMP,RTMPE, RTMPS, RTMPT, RTMPTE)
  - To and from embedded devices: iPhone, Android
  - From surveillance cameras
  - IP-TV using MPEG-TS and RTSP/RTCP/RTP protocols
 .
 Also, crtmpserver can be used as a high performance rendes-vous server.
 For example, it enables you to do:
  - Audio/Video conferencing
  - Online gaming
  - Online collaboration
  - Simple/complex chat applications

Package: crtmpserver-apps
Description-md5: 96f1f41489cb04d359a55fb0ebd36d1c
Description-en: base applications for the crtmpserver platform
 crtmpserver is a high performance streaming server able to stream
 (live or recorded) in the following technologies:
  - To and from Flash (RTMP,RTMPE, RTMPS, RTMPT, RTMPTE)
  - To and from embedded devices: iPhone, Android
  - From surveillance cameras
  - IP-TV using MPEG-TS and RTSP/RTCP/RTP protocols
 .
 This package contains the set of basic applications that provide
 most frequently used feature like VOD, live streaming and proxying
 rtmp/rtsp/mpeg-ts streams.

Package: crtmpserver-dev
Description-md5: 0bf6725e40119b91cb4bc82c57618c68
Description-en: Development files for the crtmpserver platform
 crtmpserver is a high performance streaming server able to stream
 (live or recorded) in the following technologies:
  - To and from Flash (RTMP,RTMPE, RTMPS, RTMPT, RTMPTE)
  - To and from embedded devices: iPhone, Android
  - From surveillance cameras
  - IP-TV using MPEG-TS and RTSP/RTCP/RTP protocols
 .
 This package contains header files for base crtmpserver libraries
 'common' and 'thelib'.

Package: crtmpserver-libs
Description-md5: a7ec3bbef1eda98ec385abe895f4d602
Description-en: shared libraries for the crtmpserver platform
 crtmpserver is a high performance streaming server able to stream
 (live or recorded) in the following technologies:
  - To and from Flash (RTMP,RTMPE, RTMPS, RTMPT, RTMPTE)
  - To and from embedded devices: iPhone, Android
  - From surveillance cameras
  - IP-TV using MPEG-TS and RTSP/RTCP/RTP protocols
 .
 This package contains shared libraries('thelib' and 'common')
 for the crtmpserver platform and its applications.

Package: crudini
Description-md5: 80575a72f4b8902a6460e10d867cba4e
Description-en: utility for manipulating ini files
 crudini is a utility to simplify reading and updating ini files
 from shell scripts, so named as it provides CRUD functionality.  It
 can handle most variants of ini.

Package: cruft
Description-md5: b82572c40bbf709315ad577d4eb16ed2
Description-en: program that finds any cruft built up on your system
 cruft is a program to look over your system for anything that shouldn't
 be there, but is; or for anything that should be there, but isn't.
 .
 It bases most of its results on dpkg's database, as well as a list of
 `extra files' that can appear during the lifetime of various packages.
 .
 cruft is still in pre-release; your assistance in improving its accuracy
 and performance is appreciated.

Package: cruft-common
Description-md5: bfa4dafcb88bca0982c9ac010422045d
Description-en: information database shared by cruft & cruft-ng
 cruft & cruft-ng are programs to look over your system for anything
 that shouldn't be there, but is; or for anything that should be there,
 but isn't.
 .
 This package contain extra information about packages
 that are not available in Debian standard packages information
 repositories like dpkg, alternatives, diversions, ...

Package: cruft-ng
Description-md5: a7d051d9782d9e3e3ac163bf87c26c89
Description-en: program that finds any cruft built up on your system
 cruft-ng is a program to look over your system for anything that shouldn't
 be there, but is; or for anything that should be there, but isn't.
 .
 It bases most of its results on dpkg's & mlocate's databases.

Package: crun
Description-md5: 190d3fbb5479005fbfa8f5f0f25584d3
Description-en: lightweight OCI runtime for running containers
 Fast and low-memory footprint OCI Container Runtime fully written in C.

Package: crunch
Description-md5: 764338ffe25304dca81453db54262c62
Description-en: tool for creating wordlist
 Crunch is a wordlist generator where you can specify a standard
 character set or any set of characters to be used in generating
 the wordlists. The wordlists are created through combination and
 permutation of a set of characters. You can determine the amount
 of characters and list size.
 .
 This program supports numbers and symbols, upper and lower case
 characters separately and Unicode.

Package: cryfs
Description-md5: 6a193616bfd007a57999d6d65d2c809f
Description-en: encrypt your files and store them in the cloud
 CryFS encrypts your files, so you can safely store them anywhere. It works
 well together with cloud services like Dropbox, iCloud, OneDrive and others.

Package: crypt++el
Description-md5: b21f4e48a56668f14d2a9089a273a0d4
Description-en: Emacs-Lisp Code for handling compressed and encrypted files
 Code for handling all sorts of compressed and encrypted files like:
  .gz, .tar.gz, .Z, .zip, PGP etc.

Package: cryptcat
Description-md5: 45083d6a688a15ccb3daef19218295fd
Description-en: A lightweight version netcat extended with twofish encryption
 Cryptcat is a simple Unix utility which reads and writes data across
 network connections, using TCP or UDP protocol while encrypting the
 data being transmitted.
 It is designed to be a reliable "back-end" tool that can be used directly
 or easily driven by other programs and scripts.  At the same time, it is a
 feature-rich network debugging and exploration tool, since it can create
 almost any kind of connection you would need and has several interesting
 built-in capabilities.

Package: cryptmount
Description-md5: eb067be68909b199cb728ac88e12f5b8
Description-en: Management of encrypted file systems
 cryptmount is a utility for creating encrypted filesystems & swap partitions
 and which allows an ordinary user to mount/unmount filesystems
 without requiring superuser privileges.
 .
 It offers the following features:
    * easy and safe on-demand access to filesystems without su/sudo;
    * access passwords can be changed easily without involving the sys-admin;
    * filesystems can reside on raw disk partitions or ordinary files;
    * supports LUKS encrypted filesystems created by cryptsetup;
    * encrypted access keys can be stored on removable media
      (e.g. USB flash disks);
    * includes support for encrypted swap partitions;
    * multiple filesystems can be stored in a single disk partition;
    * encrypted filesystems can be initialized at boot-up or on demand;
    * temporary filesystems can be setup via command-line,
      for use in shell-scripts;
    * transparent configuration of dm-crypt & loopback devices during mounting;
    * access keys can optionally be made compatible with OpenSSL.

Package: crypto-policies
Description-md5: 692b2d4a72ff9b7313085e7a7a0b6162
Description-en: unify the crypto policies used by different applications and libraries
 "crypto-policies" is a utility to unify the crypto policies used by different
 applications and libraries. The current implementations works by setting the
 desired policy in /etc/crypto-policies/config. After this file is changed
 the script 'update-crypto-policies' should be executed, and the new policies
 will activate.
 .
 The supported back ends are:
  - GnuTLS
  - OpenSSL
  - NSS
  - BIND9
  - libkrb5
  - OpenSSH
  - Java (OpenJDK)
  - libssh
  - libreswan

Package: cryptol
Description-md5: b2b888d8f3c9835f0667b440ba188f99
Description-en: domain-specific language of cryptography
 Cryptol is a domain-specific language for specifying cryptographic
 algorithms. A Cryptol implementation of an algorithm resembles its
 mathematical specification more closely than an implementation in
 a general purpose language.

Package: crystalcursors
Description-md5: cc76741a4ff47998c6a283f61d83fad4
Description-en: X11 mouse theme with the crystal look&feel
 This package contains "Crystal" style mouse cursor themes in four
 colours (blue, green, white, and grey) as well as green and blue
 "classic", wherein certain cursors (e.g. the "hand" cursor) are white
 instead of coloured.
 .
 For Each of the above colours there are both right and left handed
 versions as well as animated and non-animated ones (except for the
 "classic" themes, which are all animated), for a total of 20
 combinations.
 .
 Note: Please read /usr/share/doc/crystalcursors/README.Debian to learn how to
 change the default cursor theme system-wide.

Package: cs
Description-md5: 351769c515432dc3b738c7f75b81c6e4
Description-en: simple, yet powerful CloudStack API client
 cs a simple, yet powerful Apache CloudStack API client written in
 Python and available as a command-line tool. It is a thin wrapper on
 top of the CloudStack API and hence it is able to adapt to any future
 version.
 .
 Apache CloudStack is open source software designed to deploy and
 manage large networks of virtual machines.

Package: csb
Description-md5: bc9c2ef1e4c558ed2d20fe7c0a9a280b
Description-en: Computational Structural Biology Toolbox (CSB)
 Computational Structural Biology Toolbox (CSB) is a Python class
 library for reading, storing and analyzing biomolecular structures
 in a variety of formats with rich support for statistical analyses.
 .
 CSB is designed for reusability and extensibility and comes with a clean,
 well-documented API following good object-oriented engineering practice.
 .
 This package contains some user executable tools.

Package: cscope
Description-md5: ef5b9c52a61a044b9ca4cf31dd688314
Description-en: interactively examine a C program source
 Cscope is an interactive text screen based source browsing tool.
 Although it is primarily designed to search C code (including lex
 and yacc files), it can also be used for C++ code.
 .
 Using cscope, you can easily search for where symbols are used and
 defined. Cscope is designed to answer questions like:
 .
  - Where is this variable used?
  - What is the value of this preprocessor symbol?
  - Where is this function in the source files?
  - What functions call this function?
  - What functions are called by this function?
  - Where does the message "out of space" come from?
  - Where is this source file in the directory structure?
  - What files include this header file?

Package: csh
Description-md5: 2c5801ae50d85749f7c5723b77605b5d
Description-en: Shell with C-like syntax
 The C shell was originally written at UCB to overcome limitations in the
 Bourne shell.  Its flexibility and comfort (at that time) quickly made it
 the shell of choice until more advanced shells like ksh, bash, zsh or
 tcsh appeared.  Most of the latter incorporate features original to csh.
 .
 This package is based on current OpenBSD sources.

Package: csladspa
Description-md5: aeb5e34cf897c1b19253673a9a83be20
Description-en: LADSPA plugin for Csound
 This plugin enables the creation of LADSPA plugins with the Csound
 language.
 .
 For more information on Csound or LADSPA, see the csound or ladspa-sdk
 packages, respectively.

Package: csmash
Description-md5: 3e430035384b253a260a4d361102d35f
Description-en: CannonSmash, a table tennis simulation game
 CannonSmash is a funny 3D table tennis game. It takes a while to get
 your hand at ease with the mouse+keyboard manipulations. But once
 you're used to the technique, you can feel like playing a real game.
 It is playable against the computer or through a network.
 .
 Since csmash relies on OpenGL-compatible rendering, it is best
 experienced with a 3D accelerator card, although software rendering
 in wireframe mode should be sustainable.

Package: csmash-data
Description-md5: 9de53c23914ec191b08027d5f95529f6
Description-en: data files for the CannonSmash game
 CannonSmash is a funny 3D table tennis game. It takes a while to get
 your hand at ease with the mouse+keyboard manipulations. But once
 you're used to the technique, you can feel like playing a real game.
 It is playable against the computer or through a network.
 .
 This package contains data files for CannonSmash.

Package: csmash-demosong
Description-md5: 95b78333254a0bff2ec81059beeea72b
Description-en: Demo song for CannonSmash
 This is the demo song for CannonSmash. If csmash detects the presence of
 this song during its startup, it will present you a demonstration
 of the game with a nice musical background.
 .
 Composed by Hideaki Tanabe

Package: csmith
Description-md5: ea37ec64f0217f4d550fa6f24fa2ba13
Description-en: generator of random C programs
 Csmith is a tool that can generate random C programs that statically
 and dynamically conform to the C99 standard. Thus, it is useful for
 stress-testing compilers, static analyzers, and other tools that
 process C code.

Package: csound
Description-md5: 182da96d0b0fc26ba05910a1f53aecbd
Description-en: powerful and versatile sound synthesis software
 Csound is a sound and music synthesis system. Drawing from over 450
 signal processing modules, it can be used to model virtually any
 synthesizer or multi-effect processor. It can work either in real-time
 or as a compiler.
 .
 Csound is to sound synthesis as C is to programming.

Package: csound-data
Description-md5: 9bbc7cb6d18aaf39b59917cb1de97faa
Description-en: data files used by the csound library
 This package includes the architecture-independent data files used by
 the csound library or plugins.
 .
 For more information on Csound see the csound package.

Package: csound-utils
Description-md5: 1365c03a7b29c6abc9718d95b9c22884
Description-en: miscellaneous utilities for the Csound system
 This package contains several utilities which may be useful with
 Csound.
 These utilities aid in score creation, sound analysis and sound
 transformations.

Package: csoundqt
Description-md5: a0db1636ba5b18c4c290c777adf4f012
Description-en: frontend for the csound sound processor
 CsoundQT is a simple cross platform editor and front-end for Csound
 with syntax highlighting, interactive help and automatic launching of
 Csound.

Package: csoundqt-examples
Description-md5: e5cfb3543bc799dd92e93fff4ab20704
Description-en: example scores for csoundqt
 CsoundQT is a simple cross platform editor and front-end for Csound
 with syntax highlighting, interactive help and automatic launching of
 Csound.
 .
 This package contains several examples for use by csoundqt

Package: css2xslfo
Description-md5: 85478ded5242799c81bf15bfa5c157fb
Description-en: XML+CSS2 to XSL-FO converter
 CSSToXSLFO is a utility which can convert an XML document, together with a
 CSS2 style sheet, into an XSL-FO document, which can then be converted into
 PDF, PostScript, etc. with an XSL-FO-processor. It has special support for the
 XHTML vocabulary, because that is the most obvious language it would be used
 for. The tool has a number of page-related extensions. It also comes with an
 API in the form of an XML filter.

Package: cssc
Description-md5: 8fafd7279ef2491fe5e9d0eccda8a40a
Description-en: Clone of the Unix SCCS revision-control system
 SCCS is a per-file revision-control system. It is a de-facto standard
 on commercial Unices, being shipped with most of those.
 .
 GNU-based systems usually use RCS instead of SCCS - indeed it has been
 a choice to design RCS instead of implementing a free SCCS clone.  RCS
 was designed to address some problems with SCCS (eg. extraction time
 grows linearly with the size of the history file), but it has anyway
 problems of its own (eg. extraction time of branches grows with trunk
 length).
 .
 Some project-wide revision-control systems, like Aegis, can make use
 of CSSC instead of RCS.
 .
 This package also provides a web frontend to navigate the history of
 files under SCCS control, with optional support for formatting of
 manpages using groff.

Package: cssmin
Description-md5: 5132d11ff5b65cc0e1d2dea12d770260
Description-en: YUI CSS compression algorithm (command line tools)
 cssmin is a Python port of the YUI Cascading Style Sheet (CSS) compressor.
 The compressor can be used to reduce the size of CSS documents for deployment.
 .
 This package contains the command line tools for cssmin. See the python-cssmin
 and python3-cssmin packages for the Python modules.

Package: csstidy
Description-md5: 796992188c82a489c1f28afca63e4caa
Description-en: CSS parser and optimiser
 csstidy parses and optimises your CSS code, making it cleaner and more
 concise.  The end result is a smaller CSS file and better written code. It
 has a variety of option settings giving the user a significant amount of
 control over the level of file compression and readability. It is not meant
 to be a CSS validator.

Package: cstocs
Description-md5: 5ab9533cb4591f1f39b83560a7f370fc
Description-en: Recoding utility and Czech sorter
 This is a utility which allows you to re-encode files between various
 encodings and sort Czech data.  Some main features:
  - Written in Perl, providing appropriate Perl modules.
  - Supported encodings: ASCII, ISO-8859-1, ISO-8859-2, Microsoft cp1250 and
    cp1252, Mac, MacCE, PC Latin 2, Koi8-CS and TeX Cork (T1).
  - You can create your own encoding definition files and use them for recoding
    to any other defined encoding.
  - Single to single or single to many chars recodings are supported.
  - Sophisticated sorting algorithm for Czech.

Package: cstream
Description-md5: bc43b0bd3a844c8d9fa7da20286f9bb9
Description-en: general-purpose stream-handling tool similar to dd
 cstream is a general-purpose stream-handling tool like UNIX' dd,
 usually used in commandline-constructed pipes.
 It has a more traditional command line syntax,
 support for precise bandwidth limiting and reporting
 and support for FIFOs.
 Data limits and throughput rate calculation will work
 for files > 4 GB.
 .
 cstream reads from the standard input
 and writes to the standard output,
 if no filenames are given.
 It will also 'generate' or 'sink' data if desired.

Package: csv2latex
Description-md5: 473542f3ca35e22a83ddd230621df510
Description-en: command-line CSV to LaTeX file converter
 csv2latex is a simple command-line file converter
 that converts a comma-separated-values file into
 a LaTeX table. It can guess exotic csv formats.

Package: csvkit
Description-md5: 050c866bcedb6dea63c551547d9ad02e
Description-en: command-line tools for working with CSV
 csvkit is a suite of command-line tools for converting to and working with
 CSV, the king of tabular file formats.
 .
 It is inspired by pdftk, gdal and the original csvcut tool by Joe Germuska and
 Aaron Bycoffe.
 .
 If you need to do more complex data analysis than csvkit can handle, use
 agate.
 .
 This package provides the command-line tools.

Package: csvkit-doc
Description-md5: abfe35a5ec47fc6485144e6c5174aa36
Description-en: documentation for csvkit
 csvkit is a suite of command-line tools for converting to and working with
 CSV, the king of tabular file formats.
 .
 It is inspired by pdftk, gdal and the original csvcut tool by Joe Germuska and
 Aaron Bycoffe.
 .
 If you need to do more complex data analysis than csvkit can handle, use
 agate.
 .
 This package provides the documentation.

Package: csvtool
Description-md5: 1b264967a7da75aca841a27594b45043
Description-en: handy command line tool for handling CSV files
 OCaml CSV is a library to read and write CSV (comma-separated values)
 files.  It also supports all extensions used by Excel - eg. quotes,
 newlines, 8 bit characters in fields, etc.
 .
 This package contains csvtool, a handy command line tool for handling
 CSV files from shell scripts.

Package: csync2
Description-md5: d83ba32613c4fc651c0648fec5fda569
Description-en: cluster synchronization tool
 CSYNC2 synchronizes files in a cluster using the rsync-algorithm.
 It maintains a database of modified files so it is able to handle
 deletion of files and file modification conflicts.

Package: ctapi-dev
Description-md5: 92cfb3d1e1fa79d9aa82afef4006b787
Description-en: Card Terminal (CT) API definition
 This package contains the definition for the CT-API, a standard for
 interfacing ISO/IEC 7816 compatible smartcards.
 .
 Unless you are writing drivers for a smartcard terminal, it is unlikely
 that you will need this package.

Package: ctdb
Description-md5: 83dff66615250b53a0cd3df6fb3b9ea7
Description-en: clustered database to store temporary data
 CTDB is a cluster implementation of the TDB database used by Samba and other
 projects to store temporary data. If an application is already using TDB for
 temporary data it is very easy to convert that application to be cluster aware
 and use CTDB instead.
 .
 CTDB provides the same types of functions as TDB but in a clustered fashion,
 providing a TDB-style database that spans multiple physical hosts in a cluster.
 .
 Features include:
  * CTDB provides a TDB that has consistent data and consistent locking across
    all nodes in a cluster.
  * CTDB is very fast.
  * In case of node failures, CTDB will automatically recover and repair all TDB
    databases that it manages.
  * CTDB is the core component that provides pCIFS ("parallel CIFS") with
    Samba3/4.
  * CTDB provides HA features such as node monitoring, node failover, and IP
    takeover.
  * CTDB provides a reliable messaging transport to allow applications linked
    with CTDB to communicate to other instances of the application running on
    different nodes in the cluster.
  * CTDB has pluggable transport backends. Currently implemented backends are
    TCP and Infiniband.
  * CTDB supports a system of application specific management scripts, allowing
    applications that depend on network or filesystem resources to be managed in
    a highly available manner on a cluster.

Package: ctdconverter
Description-md5: a41c3a24cffca4e6cb072002c6032d9f
Description-en: Convert CTD files into Galaxy tool and CWL CommandLineTool files
 Common Tool Descriptors (CTDs) are XML documents that represent the inputs,
 outputs, parameters of command line tools in a platform-independent way.
 .
 CTDConverter, given one or more Common Tool Descriptors (CTD) XML files,
 generates Galaxy tool wrappers and Common Workflow Language (CWL) Command
 Line Tool v1.0 standard descriptions from CTD files.

Package: ctfutils
Description-md5: f3060f7b0518c5367ba6b5a1f8437638
Description-en: FreeBSD CTF utilities
 This package contains utilities to create, merge and dump contents of
 CTF files.
 .
 CTF (Compact C Type) format encapsulates a reduced form of debugging
 information similar to DWARF.

Package: cthreadpool-dev
Description-md5: e3cb822ab6efc06e4672d90ea0ead632
Description-en: minimal ANSI C thread pool - development files
 These are C development files for the C-Thread-Pool library.
 This is a minimal but advanced threadpool implementation.
  * ANCI C and POSIX compliant
  * Pause/resume/wait as you like
  * Simple easy-to-digest API
  * Well tested
 This software does not ship as a shared library since it is
 very small and there is a technical difficulty with this
 implementation.

Package: cthumb
Description-md5: 30eeb60fe1a209753b8686ccd945896e
Description-en: Program to generate themable Web picture albums
 cthumb allows you to create themable web picture albums, i.e.
 collections of digital pictures, with small thumbnails of your pictures
 and with captions. In addition, it optionally allows you to have
 several views of the collection of pictures. An album is composed of a
 series of pages, each composed of a collection of pictures. For each
 page (and each picture), you can have several annotations per picture.
 cthumb  will generate several versions of the page, for each annotation
 type.
 .
 You can customize almost everything in the way the albums look on the
 screen, from the size of the thumbnails to the background and foreground
 colors, the border colors, whether you want film-strips, etc.

Package: ctioga2
Description-md5: f1dd38b5fe781acde444a37ff9c51259
Description-en: polymorphic plotting program
 ctioga2 is a plotting program in the spirit of gnuplot. It can be
 used either directly on command-line or writing command files (or a
 mix of both). It produces publication-quality PDF files. It is based
 on the Tioga plotting library.
 .
 ctioga2 is a full rewrite of ctioga and is meant to replace it
 completely in a not-so-distant future.

Package: ctn
Description-md5: 6e2327293c963cbb7da54c95c2efcf20
Description-en: Central Test Node, a DICOM implementation for medical imaging
 DICOM is the standard for image storage, annotation, and networking.
 It is used widely for medical imaging. The Central Test Node software (CTN)
 provides an implementation of this standard.
 .
 This package includes the binary and run-time configuration files for CTN.

Package: ctn-dev
Description-md5: 80103a6ba13e86427f1bcd78c2b7ec21
Description-en: Development files for Central Test Node, a DICOM implementation
 DICOM is the standard for image storage, annotation, and networking.
 It is used widely for medical imaging.
 .
 This package includes the header files and static library used for
 creating programs that use the CTN library.

Package: ctop
Description-md5: 4daab9998e54481e116f13ad5920f517
Description-en: Command line / text based Linux Containers monitoring tool
 ctop will help you see what's going on at the container level.
 Basically, containers are a logical group of processes isolated using
 kernel's cgroups and namespaces. Recently, they have been made popular
 by Docker and they are also heavily used under the hood by systemd and a
 load of container tools like lxc, rocket, lmctfy and many others.
 .
 Under the hood, ctop will collect all metrics it can from cgroups in
 realtime and render them to instantly give you an overview of the global
 system health.
 .
 It currently collects metrics related to cpu, memory and block IO usage
 as well as metadata such as owning user (mostly for systemd based
 containers), uptime and attempts to guess the container managing
 technology behind.

Package: ctorrent
Description-md5: 8e0f9f90331a95d6c7e1c35bfaeaecef
Description-en: BitTorrent Client written in C++
 This application is written in the C++ language and doesn't
 require any graphical component, such as an X server. Original
 ctorrent's upstream has stopped its development and now it's kept
 updated with new releases/bug fixes by a new developer.
 It's built as a console program and it can be even used remotely
 in a machine that provides outside ssh access. Other main features are:
 .
  * Support for large files (>2GB) and large torrents (>255 files).
  * Strategic selection of pieces to request for download.
  * Continuous queueing of download requests, tuned based on
    latency and throughput for each peer.
  * Improved download performance, including parallel requests
    in initial and endgame modes.
  * Improved bandwidth regulation.
  * Improved compatibility with other peers.
  * Performance optimization and bug fixes.
  * An interface for monitoring and managing multiple clients.
  * Dynamic cache allocation and management, including prefetch.
 .
 More information about the original but discontinued ctorrent's project
 can be found by browsing the following website: http://ctorrent.sourceforge.net

Package: ctpl
Description-md5: 3fe6695437edb5775f7e48a08f0d2dcb
Description-en: command-line template parsing utility
 CTPL is a C template engine library allowing fast and easy computation of
 templates with precise control over the parsing environment.
 .
 CTPL supports a wide range of input types through GIO
 like in-memory, files, FTP, HTTP and more.
 .
 This package contains a standalone command-line utility to parse CTPL
 templates.

Package: ctpp2-doc
Description-md5: a64346ca410940dea7a666725e1aa05f
Description-en: HTML template engine for C++ - documentation
 CTPP is a suitable choice for projects where a programmer and an HTML
 designer are different people. The goal of CTPP development was to
 achieve maximum performance with minimal basic functionality that is
 easily expandable. In other words, any conceivable capabilities can be
 added by writing users functions.
 .
 This package contains API manual.

Package: ctpp2-utils
Description-md5: a2a9e2e88d53c8d7c359e1eff71d0a37
Description-en: Tools for ctpp template conversion
 CTPP is a suitable choice for projects where a programmer and an HTML
 designer are different people. The goal of CTPP development was to
 achieve maximum performance with minimal basic functionality that is
 easily expandable. In other words, any conceivable capabilities can
 be added by writing users functions.
 .
 This package provides following tools to deal with ctpp templates:
  * ctpp2c - CTPP template compiler
  * ctpp2i - CTPP template interpreter
  * ctpp2-config - CTPP configuration tool
  * ctpp2json - CTPP JSON file parser
  * ctpp2vm - CTPP virtual machine

Package: ctsim
Description-md5: 995cbd5291954c3060930315b4577e07
Description-en: Computed tomography simulator
 CTSim provides an interactive computed tomography simulator. Computed
 tomography is the technique of estimating the interior of an object
 by measuring x-ray absorption through that object.
 .
 CTSim has both command-line tools and a graphical user interface.
 CTSim has very educational trace modes for viewing the data
 collection simulation as well as the reconstruction.

Package: ctsim-doc
Description-md5: 9861e51a61e9826c2b3afe2bbf500c61
Description-en: Documentation for ctsim package
 This package provides HTML and PDF documentation files for the
 ctsim package. CTSim is a graphical computed tomography simulator.
 This documentation file
 is included in a separate package so it will not have to be include
 in the binary ctsim package for every architecture.

Package: ctsim-help
Description-md5: aceb6d9679a118b92276cba52f0edb63
Description-en: Online help file for CTSim
 This package provides the online help file for the ctsim package.
 CTSim is a graphical computed tomography simulator.
 This help file
 is included in a separate package so it will not have to be include
 in the binary ctsim package for every architecture.

Package: ctwm
Description-md5: 62bf740f4727b4e0f5d71dbd4398f7e0
Description-en: Claude's Tab window manager
 ctwm is Claude Lecommandeur's extension to twm; in addition to the
 features of twm, it supports multiple virtual screens, the pixmap file
 format, pinnable ("sticky") menus, and other enhancements.

Package: cu2qu
Description-md5: c549de34b6635fc093a1ca64d895c6f0
Description-en: Cubic-to-quadratic bezier curve conversion (Executable)
 cu2qu is a library that approximates cubic bezier curves with quadratic
 splines. This has general utility, but is especially useful for generating
 fonts.
 .
 This provides the command-line utility of cu2qu package

Package: cube2
Description-md5: b1e7fc8ca88983ce2b71d48fa0d5a48d
Description-en: 3D first-person shooter game engine
 Cube2 is a networked 3D first-person shooter game engine. It supports modern
 graphic effects and conveys a sense of fast-paced oldschool gameplay.
 .
 The game client also works as the map editor. It is even possible to create
 and edit a map together with other people over a network connection.
 .
 The effects of Cube2 might be considered unsuitable for children.
 .
 This package installs the game client and map editor of the Cube2 engine.
 You need to install a content package like cube2-data if you want to play a
 game.

Package: cube2-data
Description-md5: 7f72de9feb1a19f346d7f81ab6a5a688
Description-en: demo game and content for the Cube2 engine
 This data package based on the Bananabread game and provides textures, models,
 maps and sounds to demonstrate the capabilities of the Cube2 engine. You are
 able to join a bot match and play against computer controlled opponents of
 various skill levels. The integrated in-game editor is a good starting point
 to build upon this content and for creating your own mod or even a standalone
 game.
 .
 Please note that multiplayer gameplay over the internet is not supported.

Package: cube2-server
Description-md5: e0526894a9ed6fe2f6eb88dbd409c76d
Description-en: standalone server for Cube2 based games
 Cube2 is a networked 3D first-person shooter game engine. It supports modern
 graphic effects and conveys a sense of fast-paced oldschool gameplay.
 .
 This package installs the standalone server for Cube2 based games.

Package: cube2font
Description-md5: 32ba8d0b990f1cf7441a486b91eb0516
Description-en: utility program for creating font bitmaps for Cube Engine games
 cube2font is a utility program designed to create font bitmaps for Cube
 Engine games, it works by taking a Truetype font and building it into a
 set of coordinates in an image. cube2font is an improved version of the
 previous TTF2Font, supporting a much larger range of characters.

Package: cubemap
Description-md5: c980a00f70c622ac9a2d11b41f06c6e7
Description-en: scalable video reflector, designed to be used with VLC
 Cubemap is a high-performance, high-availability video reflector,
 specifically made for use with VLC.
 .
 Its features include high performance, high availability, per-stream
 TCP pacing support (with sch_fq), TLS support, fMP4/HLS support if used
 with Nageru, and reflection of all muxes VLC can offer over HTTP or UDP.

Package: cubicsdr
Description-md5: 64ef6ed6d268daa3cd79bb9ab1eb6a60
Description-en: Software Defined Radio receiver
 CubicSDR is a cross-platform Software-Defined Radio application which allows
 you to navigate the radio spectrum and demodulate any signals you might
 discover. It currently includes several common analog demodulation schemes such
 as AM and FM and will support digital modes in the future.
 .
 CubicSDR uses SoapySDR to access SDR hardware and thereby supports all hardware
 for which a SoapySDR module exists.

Package: cucumber
Description-md5: e1c0a343a46ae4831137954cfafb430c
Description-en: acceptance testing framework
 Cucumber lets software development teams describe how software should behave
 in plain text. The text is written in a business-readable domain-specific
 language and serves as documentation, automated tests and development-aid -
 all rolled into one format.

Package: cudf-tools
Description-md5: bb6f0b65f56928a33c5f03efc57afaac
Description-en: command line tools for package upgrade problem descriptions
 A CUDF document describe an upgrade problem, as faced by package
 managers in popular package-based GNU/Linux distributions.
 .
 A CUDF document consists of a package universe and of a user request.
 The package universe describes all packages known to the package
 manager, a subset of which (the package status) denotes the package
 being currently installed on the target machine.  The user request
 denotes a change to the package status as requested by the user.
 .
 A CUDF document is naturally complemented by a solution describing
 the resulting package status (if any) implementing the user request,
 as found by a package manager.
 .
 This package contains command line tools to manipulate CUDF and
 related documents. In particular it contains cudf-check, which
 enables checking of document properties such as installation
 consistency and matching of problems with their solutions.

Package: cue2toc
Description-md5: 9fcbe6c2c373a82beed78d47b82cd562
Description-en: converts CUE files to cdrdao's TOC format
 CUE files are text files describing the layout of a CD-ROM and typically carry
 the extension ".cue".  cdrdao and cdrecord, the two CD recording programs for
 Linux systems, cannot read these files.  This program converts CUE files into
 the TOC format that cdrdao can understand.
 .
 It also features automatic data format conversion (e.g., MP3 to WAV) before
 burning; the exact action taken is determined by the file extensions and the
 user's configuration.

Package: cuetools
Description-md5: 104b34f3945ab9357ebacfaed7341544
Description-en: tools for manipulating CUE/TOC files
 cuetools is a set of programs that are useful for manipulating CUE sheet
 (cue) files and Table of Contents (toc) files. CUE and TOC files are a way
 to represent the layout of a data or audio CD in a machine-readable ASCII
 format. The package includes these utilities:
 .
    - cueconvert: convert between CUE and TOC formats
    - cuebreakpoints: print the breakpoints from a CUE or TOC file
    - cueprint: print disc and track information for a CUE or TOC file
    - cuetag: tag files based on CUE/TOC file information
 .
 Probably the most popular use is to split a large audio file into many
 small files according to a CUE or TOC, for example:
 .
 cuebreakpoints disc.cue | shnsplit disc.wav

Package: culmus-fancy
Description-md5: 4f0a4815abb8e3273034f314a3274e9d
Description-en: Type1 Fancy Hebrew Fonts for X11
 Several Fancy Hebrew fonts: Anka, ComixNo2, Dorian, Gan, Gladia, Ktav Yad and
 Ozrad.

Package: cultivation
Description-md5: b5bf789eb4acb1d6e14e59f5fe154210
Description-en: game about the interactions within a gardening community
 Cultivation is a game about a community of gardeners growing food for
 themselves in a shared space.
 .
 Cultivation is quite different from most other games. It is a social
 simulation, and the primary form of conflict is over land and plant
 resources. There is no shooting, but there are plenty of angry looks. It is
 also an evolution simulation. Within the world of Cultivation, you can
 explore a virtually infinite spectrum of different plant and gardener
 varieties.

Package: cup
Description-md5: e17ebf4be9cb3dd50e987f7295bcd089
Description-en: LALR parser generator for Java(tm)
 CUP is the "Constructor of Useful Parsers", a system for generating
 parsers from simple LALR specifications.  It serves the same role as the
 widely used program YACC and in fact offers most of the features of YACC.
 However, CUP is written in Java, uses specifications including embedded
 Java code, and produces parsers which are implemented in Java.

Package: cupp
Description-md5: ac819b953a869e701bca2951108658c5
Description-en: generate dictionaries for attacks from personal data
 CUPP (Common User Passwords Profiler) is a wordlist generator tool that
 can generate wordlists from information such as a birthday, nickname,
 address, name of a pet or relative, or a common word such as God, love,
 money or password.
 .
 A weak password might be very short or only use alphanumeric characters,
 making decryption simple. A weak password can also be one that is easily
 guessed by someone profiling the user, such as a birthday, nickname,
 address, name of a pet or relative, or a common word such as God, love,
 money or password. From a social engineering you can obtain information
 to use with the CUPP, this way the tool can create a very effective
 dictionary for brute force attacks or dictionary attacks.
 .
 That is why CUPP has born, and it can be used in situations like security
 penetration tests or forensic crime investigations.

Package: cupp3
Description-md5: 15945cd3ceb943c44f84304bd76ebd4d
Description-en: transitional package
 CUPP (Common User Passwords Profiler) is a wordlist generator tool that
 can generate wordlists from information such as a birthday, nickname,
 address, name of a pet or relative, or a common word such as God, love,
 money or password.
 .
 A weak password might be very short or only use alphanumeric characters,
 making decryption simple. A weak password can also be one that is easily
 guessed by someone profiling the user, such as a birthday, nickname,
 address, name of a pet or relative, or a common word such as God, love,
 money or password. From a social engineering you can obtain information
 to use with the CUPP, this way the tool can create a very effective
 dictionary for brute force attacks or dictionary attacks.
 .
 That is why CUPP has born, and it can be used in situations like security
 penetration tests or forensic crime investigations.
 .
 This is a transitional package. It can safely be removed.

Package: cups-backend-bjnp
Description-md5: e63d5ccd39878a1a89595ab68571b8dc
Description-en: printer backend for Canon BJNP protocol
 This package provides a back-end for CUPS printing system to interface
 with Canon printers using the proprietary USB over IP BJNP protocol. This
 back-end allows Cups to print over the network to a Canon printer and was
 designed by reverse engineering the protocol.

Package: cups-tea4cups
Description-md5: 8f46e9a5c5a81c69e5abdc9ec966ebec
Description-en: Swiss Army's knife of advanced CUPS administrators
 Tea4CUPS is a CUPS backend wrapper which can capture print datas before they
 are sent to a printer and process, duplicate or dispatch them in a number of
 ways.
 .
 Tea4CUPS is the Swiss Army's knife of the advanced CUPS administrator, and
 can easily replace or extend most of the existing specialized CUPS backends
 (pdf, email, ftp, etc...).
 .
 You are greatly encouraged to use this software instead of writing your own
 CUPS backends: Tea4CUPS will let you plug your own scripts, filters, tools,
 or commands wherever you want, while giving them access to all the print
 job's characteristics in a consistent way.
 .
 Tea4CUPS makes all information about the current print job, in particular the
 job's datas and attributes, available to your own commands through environment
 variables.
 .
 The possibilities are endless:
 .
   - Your own commands can optionally decide to discard the current print job
     instead of printing it.
   - Send the same job to several printers at the same time, which is not
     possible with CUPS.
   - Automate the PDF archiving of all print jobs.
   - Forbid duplicate print jobs (a simple example is shown in the sample
     configuration file).
   - Easily create a print accounting solution.

Package: cups-x2go
Description-md5: ea18630abf466b515f6404c467eeabbc
Description-en: Virtual X2Go printer for CUPS
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - audio support
    - authentication by smartcard and USB stick
 .
 CUPS-X2Go provides a CUPS-backend for X2Go printing.

Package: cupt
Description-md5: df5fd046d66f68e5abe2b8d0b9ebe261
Description-en: flexible package manager -- console interface
 This package provides a console interface to Cupt library, which implements
 high-level package manager for Debian and derivatives. Cupt is written with
 flexibility and reliability in mind and uses dpkg as the back-end.
 .
 Cupt uses the same APT infrastructure, e.g. index files, deb cache archive
 files, configuration files. It understands some of widely used APT options.
 .
 Some features:
  - strict full-case resolver;
  - resolver reasons tracking;
  - command-line and 'cupt::*' option name checker;
  - case-sensitive search;
  - pinning by source package name;
  - pinning by package groups using shell-like patterns;
  - configurable 'depends' and 'rdepends' subcommands;
  - 'satisfy' subcommand;
  - support of LZMA-compressed indexes;
  - source versions synchronization;
  - 'shell' subcommand (to get command history, search etc. working, install
    the package 'libreadline7');
  - integration with debdelta (to get it, install the package 'debdelta');
  - system snapshots (needs packages 'dpkg-dev' and 'dpkg-repack').
 .
 Its interface is mostly like apt-get/aptitude console interface.
 .
 Cupt has built-in support for APT repositories using the file:// or copy://
 URL schemas. For access to remote repositories using HTTP or FTP, install a
 download method such as libcupt4-2-downloadmethod-curl.

Package: cupt-dbg
Description-md5: c8b5d9c5703a5dfabae200d9129a86d5
Description-en: flexible package manager -- debugging symbols
 This package contains gdb debugging symbols for the Cupt packages.

Package: cura
Description-md5: 4a708042456c5606cfa99f849175f58b
Description-en: GUI G-code generator for 3D printers
 Cura converts digital 3D models (.stl and other formats) into printing
 instructions (G-code) for your 3D printer. It cuts the model into horizontal
 slices (layers), generates toolpaths to fill them and calculates the amount of
 material to be extruded.
 .
 Cura is easy to use for novices and provides over 200 settings to adjust
 for the expert.  It can output G-Code for several series of 3D printers,
 most notably the Ultimaker.
 .
 It provides a graphical user interface.  For batch mode, check out
 cura-engine.

Package: cura-engine
Description-md5: ef567c432f6e60eea3b7db5e2bad5c5c
Description-en: command line slicer engine for 3d printing
 The CuraEngine is a C++ console application for 3D printing
 GCode generation. It has been made as a better and faster
 alternative to the old Skeinforge engine.

Package: curlftpfs
Description-md5: 10235bda8aa01cb187cf5d1968748333
Description-en: filesystem to access FTP hosts based on FUSE and cURL
 CurlFtpFS is a tool to mount FTP hosts as local directories. It connects
 to a FTP server and maps its directory structure to the local filesystem.
 .
 Based on FUSE (filesystem in userspace) and the cURL library, CurlFtpFS
 has some features that distinguish it over other FTP filesystems:
  * support for SSLv3 and TLSv1
  * connecting through tunneling HTTP proxies
  * automatic reconnection if the server times out
  * conversion of absolute symlinks to point back into the FTP filesystem

Package: curry-frontend
Description-md5: 0128881675d98029921b3d008c52d0ac
Description-en: Lexer, parser, type checker, etc. for Curry; executable
 The Curry Frontend consists of the executable program
 "curry-frontend". It is used by various backends to
 compile programs written in the functional logic language
 Curry to various intermediate representations.
 .
 For further information, please check
 http://curry-language.org

Package: curry-libs-source
Description-md5: 735b8e6e509b3c3123e5c6053e092d60
Description-en: Standard libraries of the Curry distributions PAKCS and KiCS2 (source files)
 PAKCS and KICS2 are two different implementations of the programming
 language Curry. Curry is a functional programming language being developed
 at Kiel, Aachen and Portland University.
 .
 Whereas PAKCS is a Curry to Prolog compiler, KICS2 compiles Curry code
 into Haskell. Both compilers require two source code trees at compiler
 build time: curry-libs-source and curry-tools-source.
 .
 This package provides the source files of the curry-libs.

Package: curry-tools-source
Description-md5: d39b33343729172b9ae4bb1e3dc77c9f
Description-en: Tools that are used by different Curry systems, like PAKCS or KiCS2
 PAKCS and KICS2 are two different implementations of the programming
 language Curry. Curry is a functional programming language being developed
 at Kiel, Aachen and Portland University.
 .
 Whereas PAKCS is a Curry to Prolog compiler, KICS2 compiles Curry code
 into Haskell. Both compilers require two source code trees at compiler
 build time: curry-tools-source and curry-tools-source.
 .
 This package provides the source files of the curry-tools.

Package: curseofwar
Description-md5: 4b9cea6915aa22400f40f4b28c69049a
Description-en: Fast-paced  action  strategy  game for Linux based on ncurses
 Unlike  most  RTS,  you  are  not  controlling units, but focus on high-level
 strategic  planning:  Building infrastructure, securing resources, and moving
 your  armies. The core game mechanics turns out to be quite close to WWI-WWII
 type   of   warfare,   however,   there  is  no  explicit  reference  to  any
 historical period.

Package: curtain
Description-md5: a823fbe872c8d837b573414cc3aa8023
Description-en: handy curtain for the desktop
 Curtain is a tool that shows a movable and resizable curtain
 on the desktop. This is especially useful when making
 presentations to hide and unhide things.
 .
 Curtain is XInput-Aware, so you can use it
 with a graphic tablet or a whiteboard.
 .
 This program has been implemented for educational purposes.

Package: curvedns
Description-md5: aeff0cc2f067b083c80e5798db9782fe
Description-en: DNS/DNSCurve forwarding name server - binaries
 The curvedns package provides software for DNS/DNSCurve.
 .
 CurveDNS is the first publicly released forwarding implementation
 that implements the DNSCurve protocol

Package: custodia
Description-md5: b5f5d1fe21edeb18b1bcbc1c6256718a
Description-en: Modular and pluggable Secrets Manager
 Custodia allows one to serve retrieve, manage and store secrets for other
 applications. It is useful for distributed, stateless applications that use
 an image file base approach for instantiation like container based images.
 But it is alaso useful to manage distribution of key material across a
 multiple machines over a network.

Package: customdeb
Description-md5: 99352f2ffc9811b0a2b8f9494cca9c6c
Description-en: Modfies binary Debian package
 customdeb will modify binary Debian packages based on
 information given in a simple file. It can
   * Add or replace files
   * Change permissions of files
 It can be used to distribute packages with local changes
 (configuration, fixes) locally, and is not meant to create
 packages for the official Debian archive.

Package: cutadapt
Description-md5: 46c01d9fd51f339f2bdd4fe86ff04caf
Description-en: Clean biological sequences from high-throughput sequencing reads
 Cutadapt helps with biological sequence clean tasks by finding the adapter
 or primer sequences in an error-tolerant way.
 It can also modify and filter reads in various ways.
 Adapter sequences can contain IUPAC wildcard characters.
 Also, paired-end reads and even colorspace data is supported.
 If you want, you can also just demultiplex your input data, without removing
 adapter sequences at all.
 .
 This package contains the user interface.

Package: cutecom
Description-md5: c874930f4b12019295a3bcaa99e74ea1
Description-en: Graphical serial terminal, like minicom
 Cutecom is a graphical serial terminal, like minicom.
 It is aimed mainly at hardware developers or other people who need a
 terminal to talk to their devices. It features lineoriented interface
 instead of character-oriented, xmodem, ymodem, zmodem support
 (requires the lrzsz package) and hexadecimal input and output among
 other things.
 It is written using the Qt library originally by Trolltech (www.trolltech.com).

Package: cutemaze
Description-md5: 37017b9a65608a1b387191c14039cf62
Description-en: single player maze game
 CuteMaze is a simple, top-down game in which mazes are randomly generated
 using one of a choice of several different algorithms. You move the character
 through the maze while hunting for targets (cheese, by default) -
 the game is won once all of these targets have been picked up.

Package: cutepaste
Description-md5: c810722d1d80ee710e824bfce87d5de1
Description-en: Paste application for KDE
 cutepaste is a paste utility for KDE. It can be invoked from the commandline to
 paste a file or content to http://paste.kde.org/

Package: cutesdr
Description-md5: 7f4067ce26fc682e2c1f399403ab8cbd
Description-en: simple demodulation and spectrum display program
 The CuteSDR application's primary purpose is for spawning custom
 applications or for educational use. It is NOT a full featured
 program as it is purposely kept simple in order for developers to
 more easily spin off their own applications. A further goal was to
 use only the stock functionality of Qt ie no libraries or special
 drivers. This limits CuteSDR to using only a network connection.
 The main gui and framework is written by Moe Wheatly, AE4JY.
 .
 CuteSDR itself has only a network interface and will directly support
 the RFSPACE NetSDR and SDR-IP radios. The siqs_ftdi and SDRxxServer
 applications (packaged separately) provide network interfaces to
 RFSPACE USB devices.

Package: cutils
Description-md5: 6004a494de2f9be4d6c2de8739225cfb
Description-en: C source code utilities
 This package contains several utilities which may be useful to
 developers of C source code utilities, namely:
  cdecl and cundecl - decode and encode C type declarations
  cobfusc - make a C source file unreadable but compilable
  chilight - highlight C source files
  cunloop - unloop C loops
  yyextract - extract grammar rules from yacc grammar
  yyref - yacc grammar reference program

Package: cutmp3
Description-md5: 3ef8bb4e0167bb081357344346be866f
Description-en: small and fast command line MP3 editor
 cutmp3 is a small and fast command line MP3 editor. It lets you select
 sections of an MP3 interactively or via a timetable and save them to
 separate files without quality loss. It uses mpg123 for playback and works
 with VBR files and even with files bigger than 2GB. Other features are
 configurable silence seeking and ID3 tag seeking, which are useful for
 concatenated mp3s.

Package: cutter
Description-md5: aae03e43980da883a8440df0944cd95c
Description-en: disconnect routed IP connections
 Cutter will send packets to both ends of a TCP/IP connection to close
 the connection. It is designed to be used on a Linux router to disconnect
 unwanted connections.
 .
 Cutter can close all connections to or from a specified ip-address or
 ip-address/port pair, allowing, as example, close the specific service of a
 computer. It also can close the connections between two ip-address that
 use a specific port to deny a certain service to client. Other possibility
 is close a specific connection from ip-address/port to ip-address/port.
 .
 Cutter is not a firewall, but is part of a larger Linux firewall project.
 .
 Cutter 1.04 should be considered EXPERIMENTAL.

Package: cutycapt
Description-md5: 15891b20f805d1a54706b8937c06e5d7
Description-en: utility to capture WebKit's rendering of a web page
 CutyCapt is a small cross-platform command-line utility to capture WebKit's
 rendering of a web page into a variety of vector and bitmap formats, including
 SVG, PDF, PS, PNG, JPEG, TIFF, GIF, and BMP.

Package: cuyo
Description-md5: 0105a698b0c2e6c2c854e1c1aaa02059
Description-en: Tetris-like game with very impressive effects
 Cuyo, named after a Spanish relative adjective, shares
 with tetris that things fall down and how to navigate them.
 When enough "of the same type" come "together", they explode.
 The goal of each level is to blow special "stones" away, you
 start with. But what "of the same type" and "together" means,
 varies with the levels.

Package: cuyo-data
Description-md5: 82f5235d5011736f29cceeb0b11a11bc
Description-en: data files for the game cuyo
 Cuyo, named after a Spanish relative adjective, shares
 with tetris that things fall down and how to navigate them.
 When enough "of the same type" come "together", they explode.
 The goal of each level is to blow special "stones" away, you
 start with. But what "of the same type" and "together" means,
 varies with the levels.
 .
 This package contains the graphics, sounds, level description
 and man pages for cuyo.

Package: cvc4
Description-md5: cfa2a5d59806f96ad49c7f5c046db97b
Description-en: automated theorem prover for SMT problems
 CVC4 is an efficient automatic theorem prover for satisfiability
 modulo theories (SMT) problems. It can be used to prove the validity
 (or, dually, the satisfiability) of first-order formulas in a large
 number of built-in logical theories and their combination.
 .
 CVC4 is intended to be an open and extensible SMT engine, and it can
 be used as a stand-alone tool or as a library. It is the fourth in
 the Cooperating Validity Checker family of tools (also including CVC,
 CVC Lite and CVC3). CVC4 has been designed to increase the
 performance and reduce the memory overhead of its predecessors.
 .
 This package contains binaries needed to use CVC4 as a stand-alone
 tool.

Package: cvm
Description-md5: 6e242e0074fb68d878a6d1a5059657c1
Description-en: Credential Validation Modules
 CVM is a framework for validating a set of credentials against a database
 using a filter program.  The modules act as a filter, taking a set of
 credentials as input and writing a set of facts as output if those
 credentials are valid.  Optional input is given to the module through
 environment variables.
 .
 Some of the ideas for CVM came from experience with PAM (pluggable
 authentication modules), the checkpassword interface used by qmail-pop3d,
 and the "authmod" interface used by Courier IMAP and POP3.  This framework
 places fewer restrictions on the invoking client than checkpassword does,
 and is much simpler to implement on both sides than PAM and the authmod
 framework.
 .
 See http://untroubled.org/cvm/cvm.html for more information.

Package: cvm-mysql
Description-md5: b19da2aba9378469365a5501c829b6eb
Description-en: Credential Validation Modules (MySQL)
 CVM is a framework for validating a set of credentials against a database
 using a filter program.  The modules act as a filter, taking a set of
 credentials as input and writing a set of facts as output if those
 credentials are valid.  Optional input is given to the module through
 environment variables.
 .
 Some of the ideas for CVM came from experience with PAM (pluggable
 authentication modules), the checkpassword interface used by qmail-pop3d,
 and the "authmod" interface used by Courier IMAP and POP3.  This framework
 places fewer restrictions on the invoking client than checkpassword does,
 and is much simpler to implement on both sides than PAM and the authmod
 framework.
 .
 See http://untroubled.org/cvm/cvm.html for more information.

Package: cvm-pgsql
Description-md5: 0b759f5b06ac61a0cde6553fa6dd62c0
Description-en: Credential Validation Modules (PostgreSQL)
 CVM is a framework for validating a set of credentials against a database
 using a filter program.  The modules act as a filter, taking a set of
 credentials as input and writing a set of facts as output if those
 credentials are valid.  Optional input is given to the module through
 environment variables.
 .
 Some of the ideas for CVM came from experience with PAM (pluggable
 authentication modules), the checkpassword interface used by qmail-pop3d,
 and the "authmod" interface used by Courier IMAP and POP3.  This framework
 places fewer restrictions on the invoking client than checkpassword does,
 and is much simpler to implement on both sides than PAM and the authmod
 framework.
 .
 See http://untroubled.org/cvm/cvm.html for more information.

Package: cvs
Description-md5: 62e6daa6a4968f3b8a09c5e8724afc0c
Description-en: Concurrent Versions System
 CVS is a version control system, which allows you to keep access
 to old versions  of files (usually source code), keep a log of
 who, when, and why changes occurred, etc., like RCS or SCCS.
 It handles multiple developers, multiple directories, triggers to
 enable/log/control various operations, and can work over a wide
 area network. The texinfo manual provides further information on
 more tasks that it can perform.
 .
 There are some tasks that are not covered by CVS. They can be done in
 conjunction with CVS but will tend to require some script-writing and
 software other than CVS. These tasks are bug-tracking, build management
 (that is, make and make-like tools), and automated testing. However,
 CVS makes these tasks easier.
 .
 This package contains a CVS binary which can act as both client and
 server, although there is no CVS dæmon; to access remote repositories,
 please use :extssh: not :pserver: any more.

Package: cvs-buildpackage
Description-md5: 91156391ecfea2ae1fa81271aac239e0
Description-en: set of Debian package scripts for CVS source trees
 This package provides the capability to inject or import Debian
 source packages into a CVS repository, build a Debian package from
 the CVS repository, and helps in integrating upstream changes into
 the repository.
 .
 The import or inject process takes a dsc (Debian source control)
 file, and imports it into CVS. The module path can maintains
 distribution and section information; for example, one may inject
 hemm/hemm/devel/make into the repository.
 .
 The build process works analogously to dpkg-buildpackage, and can be
 used from within the checked out source tree, or with additional
 information, can be used from anywhere. In the latter case, you can
 build any previous version of the package, as long as the
 corresponding orig.tar.gz file is still around. The build script
 checks out the relevant version from the CVS repository, and runs
 dpkg-buildpackage to create the Debian package.
 .
 Combined, these utilities provide an infrastructure to facilitate the
 use of CVS by Debian maintainers. This allows one to keep separate
 CVS branches of a package for stable, unstable, and possibly
 experimental distributions, along with the other benefits of a
 version control system.
 .
 This can be used to generate a unified CVS source tree, for example.

Package: cvs-fast-export
Description-md5: 581ff192024a36d8b296a850a56d09e6
Description-en: Export an RCS or CVS history as a fast-import stream
 cvs-fast-export, formerly "parsecvs", does what its new name implies:
 exports CVS repositories in a format suitable for git fast-import.
 .
 This program analyzes a collection of RCS files in a CVS repository
 (or outside of one) and, when possible, emits an equivalent history
 in the form of a fast-import stream. Not all possible histories can
 be rendered this way; the program tries to emit useful warnings when
 it can't. The program can also produce a visualization of the
 resulting commit DAG in the DOT format handled by the graphviz suite.
 .
 The distribution includes a tool, cvssync, for fetching masters from
 CVS remote repositories so cvs-fast-export can see them.  You will
 need rsync installed to use it.
 .
 A wrapper script called cvsconvert runs a conversion to git and
 looks for content mismatches with the original CVS.  You will need
 CVS and Git installed to use it.
 .
 Also included is a tool called cvsreduce that strips content out of
 trees of RCS/CVS masters, leaving only metadata structure in place.

Package: cvs-mailcommit
Description-md5: fa14c6bd9547d070cf2fdbc89ca2118a
Description-en: Send CVS commitments via mail
 The cvs-mailcommit program is hooked into the CVS system via the
 loginfo file and helps people keep track of CVS repositories by
 distributing changes in a repository via mail.  This package is
 written in Perl.

Package: cvsd
Description-md5: e7db47439c785f41c3ae167bc30095e8
Description-en: chroot wrapper to run 'cvs pserver' more securely
 cvsd is a wrapper program for cvs in pserver mode. it will run 'cvs pserver'
 under a special uid/gid in a chroot jail. cvsd is run as a daemon and is
 controlled through a configuration file. It is relatively easy to configure
 and provides tools for setting up a rootjail.
 .
 This server can be useful if you want to run a public cvs pserver. You
 should however be aware of the security limitations of running a cvs
 pserver. If you want any kind of authentication you should really consider
 using secure shell as a secure authentication mechanism and transport.
 Passwords used with cvs pserver are transmitted in plaintext.
 .
 You should probably disable the pserver from the cvs package.

Package: cvsdelta
Description-md5: 6b81034d2df8c98cccbf54913ce07db9
Description-en: Summarize differences in a CVS repository
 cvsdelta summarizes the difference between a CVS project and its
 local version. It detects files that have been added and removed, and
 of existing files it counts the number of lines that have been added,
 deleted, and changed. It filters project changes by using .cvsignore
 files, both system-wide and locally.

Package: cvsgraph
Description-md5: f3e12a3077b81094f9d950a90947819b
Description-en: Create a tree of revisions/branches from a CVS/RCS file
 CvsGraph was inspired by the graph option from WinCVS. It represents
 the revisions and branches in a CVS/RCS file in a graphical tree
 structure, also listing any tags associated with any given revision.

Package: cvsps
Description-md5: 4a043ea6c8515d03de2571bcffdceb1b
Description-en: Tool to generate CVS patch set information
 CVSps is a program for generating 'patch set' information from a CVS
 repository.  A patch set in this case is defined as a set of changes made
 to a collection of files, all committed at the same time (using a single
 'cvs commit' command).  This information is valuable for seeing the
 big picture of the evolution of a CVS project.  While CVS tracks revision
 information, it is often difficult to see what changes were committed
 'atomically' to the repository.

Package: cvsservice
Description-md5: 723db9ea6ebe6426ab2ec6ff91dc1c3e
Description-en: D-Bus service for accessing CVS repositories
 This package provides a D-Bus service for browsing and manipulating
 remote CVS repositories.  Applications may make use of it directly from
 C++, or access the service over D-Bus.
 .
 This package is part of the KDE Software Development Kit module.

Package: cvsutils
Description-md5: 0fc30109b459275c9a1a6c70be3c7b13
Description-en: CVS utilities for use in working directories
 Several utilities which are used to facilitate working with the
 files in the working directory of a developer using CVS.
 .
 The utilities included in this package are:
 .
  + cvsu:       Offline "cvs update" simulator. Lists the files found in
                the current directory (or in the directories which you
                specify).
  + cvsco:      "Cruel checkout". Removes results of compilation and
                discards local changes. Deletes all the files except
                listed unmodified ones and checks out everything which
                seems to be missing.
  + cvsdiscard: Discards local changes but keeps results of compilation.
                Works like "cvsco", but only deletes files which are
                likely to cause merge conflicts.
  + cvspurge:   CVS-based "make maintainer-clean". Removes results of
                compilation but keeps local changes intact. Removes
                unknown files, but keeps changes in files known to CVS.
  + cvstrim:    Removes files and directories unknown to CVS.
  + cvschroot:  Makes it possible to change CVS/Root in all subdirectories
                to the given value.
  + cvsdo:      Simulates some of the CVS commands (currently add, remove
                and diff) without any access to the CVS server.
  + cvsnotag:   Reports untagged files.

Package: cvsweb
Description-md5: a1de50af23045f23fe567826179544e7
Description-en: CGI interface to your CVS repository
 cvsweb is a WWW CGI script that provides remote access to your CVS
 repository. It allows browsing of the full tree, with configurable
 access controls. It will display the revision history of a file, as
 well as produce diffs between revisions and allow downloading any
 revision of the whole file.

Package: cw
Description-md5: 8f536bdd645e28d097d2f03ed14c2656
Description-en: Morse code tutor - command line user interface
 The unixcw project provides support for learning to use Morse.
 .
 This package provides two executables:
  * cw - a simple command line application that converts key-presses
    to Morse code that can be heard through the console buzzer or a
    sound card;
  * cwgen - a program that generates groups of random characters for
    Morse code practice, which can be piped to the cw program.
 .
 It also includes example files (with the extension "cw") containing
 commands which can be used to change properties such as the speed,
 tone, and spacing of characters.

Package: cwcp
Description-md5: d38e821bb94edf7bc08e5f358eee037b
Description-en: Morse code tutor - text user interface
 The unixcw project provides support for learning to use Morse code.
 .
 This package provides an interactive Morse code tutor with a
 full-screen text-based (ncurses) user interface. It offers menu
 selection of sending modes, and keyboard control of character
 sounding options such as the sending speed and pitch.

Package: cwdaemon
Description-md5: 83babfe35ac6c695008de32a16460ff8
Description-en: morse daemon for the parallel or serial port
 Cwdaemon is a small daemon which uses the pc parallel or serial port
 and a simple transistor switch to output morse code to a transmitter
 from a text message sent to it via the udp internet protocol.

Package: cwebx
Description-md5: a0944bd4c1577dbc5a5c1ddca235756f
Description-en: C/C++ literate programming system (Marc van Leeuwen's version)
 This version is a complete rewrite of Levy & Knuth's version of CWEB.
 It uses a slightly different syntax from the L&K version, but provides a
 compatibility mode allowing L&K CWEB sources to be processed, producing
 similar (though not necessarily identical) output.
 .
 CWEB allows you to write documents which can be used simultaneously as
 C/C++ programs and as TeX documentation for them.
 .
 The philosophy behind CWEB is that programmers who want to provide the best
 possible documentation for their programs need two things simultaneously: a
 language like TeX for formatting, and a language like C for programming.
 Neither type of language can provide the best documentation by itself.  But
 when both are appropriately combined, we obtain a system that is much more
 useful than either language separately.

Package: cwiid-dbg
Description-md5: 999f957c56a4753cc79d56541f4f8f22
Description-en: library to interface with the wiimote -- debug files
 CWiid is a working userspace driver along with various
 applications implementing event drivers, multiple wiimote
 connectivity, gesture recognition, and other Wiimote-based
 functionality.
 .
 This package provides the debug symbols needed to debug programs
 using cwiid.

Package: cwltool
Description-md5: 764364acbad5f207e5f6438f00c333c4
Description-en: Common Workflow Language reference implementation
 This is the reference implementation of the Common Workflow Language
 standards.
 .
 The CWL open standards are for describing analysis workflows and tools in a
 way that makes them portable and scalable across a variety of software and
 hardware environments, from workstations to cluster, cloud, and high
 performance computing (HPC) environments. CWL is designed to meet the needs of
 data-intensive science, such as Bioinformatics, Medical Imaging, Astronomy,
 Physics, and Chemistry.
 .
 The CWL reference implementation (cwltool) is intended to be feature complete
 and to provide comprehensive validation of CWL files as well as provide other
 tools related to working with CWL descriptions.

Package: cwm
Description-md5: 24ca182d6a7a795394d6fcbaf5a16038
Description-en: lightweight and efficient window manager for X11
 cwm is a minimalist stacking window manager ported from OpenBSD.
 Originally inspired by evilwm, it was rewritten from scratch due
 to limitations in the evilwm codebase.
 .
 cwm contains many features that concentrate on the efficiency and
 transparency of window management. cwm also aims to maintain the simplest
 and most pleasant aesthetic. It provides minimal decoration and screen
 clutter, instead having powerful controls to manage windows, launch
 programs etc. via key and mouse bindings. A "virtual desktop" can be
 simulated by the ability to group windows, and to show and hide these
 groups.
 .
 cwm is very configurable via a simple, clearly documented .cwmrc file.
 .
 If you are looking for simple menus and pretty icons, cwm is probably
 not going to be your cup of tea. If you don't mind a little learning
 curve and want something powerful and flexible, try it out.

Package: cxref
Description-md5: 6fab128468c906b3fbd4d7132c0ce7da
Description-en: Generates LaTeX and HTML documentation for C programs
 A program that takes as input a series of C source files
 and produces a LaTeX or HTML document containing a cross
 reference of the files/functions/variables in the program,
 including documentation taken from suitably formatted
 source code comments.
 The documentation is stored in the C source file in
 specially formatted comments, making it simple to maintain.
 The cross referencing includes lists of functions called,
 callers of each function, usage of global variables, header
 file inclusion, macro definitions and type definitions.
 Works for ANSI C, including many gcc extensions.

Package: cxref-doc
Description-md5: 5b2136ad76ceec964c8598f1bd37f579
Description-en: Generates LaTeX and HTML documentation for C programs
 This package provides sample documentation produced by cxref from
 some of the cxref source itself.

Package: cxref-emacs
Description-md5: 6fd8c85ae6cd29835c0496350c0863cb
Description-en: Generates LaTeX and HTML documentation for C programs
 This package provides an emacs mode facilitating the use of cxref,
 and enabling the display of a hierarchical flow graph of cxref
 commented source files.

Package: cxxtest
Description-md5: a8d8c084885aebca9119a29aba2ce71b
Description-en: lightweight xUnit-like framework for C/C++ applications
 CxxTest is a unit testing framework for C++ that is similar in spirit to
 JUnit, CppUnit, and xUnit. It provides assertions for checking conditions
 and classes for defining unit-test cases.
 .
 CxxTest is easy to use because it does not require precompiling a CxxTest
 testing library, it employs no advanced features of C++ (e.g. RTTI) and it
 supports a very flexible form of test discovery, which simplifies the creation
 of test drivers. It includes a script that parses C++ files that define unit
 tests and then generates a C++ test runner. When compiled, the test runner
 manages the execution of all unit tests in a flexible manner.

Package: cycfx2prog
Description-md5: 64125870eae6f233635edf9a4f34ad6f
Description-en: Cypress EZ-USB FX2 (LP) programmer
 CycFX2Prog is a tool for programming the EZ-USB FX2 controller (i.e.
 downloading 8051 firmware into the RAM of the device) and doing basic
 endpoint communication for testing purposes.

Package: cyclades-serial-client
Description-md5: ce3d63ae461f6fcbcc692766ba80ce7e
Description-en: Network Serial port client software for Cyclades terminal servers
 This is the client for network serial port emulation via the RFC 2217
 protocol as used by Cyclades terminal servers and other products.  It
 consists of a daemon that manages a pseudo-tty and a shared object to take
 over the tcsetattr() and tcsendbreak() library calls to redirect their
 functionality over the network.

Package: cyclist
Description-md5: 2de0d29d0b8b149a592a30de9cd1cc66
Description-en: Utility for converting Max/MSP binary patches to text
 cyclist is a command line utility for converting the .mxb binary format for
 Max/MSP patches into the .mxt/.pat text format.  It is part of the Cyclone
 library for Pure Data, which aims to bring some level of compatibility
 between the Max/MSP and Pd environments. Although being itself in the early
 stage of development, it is meant to eventually become part of a much larger
 project, aiming at unification and standardization of computer musician's
 tools.
 .
 In its current form, cyclone is mainly for people using both Max and Pd, and
 thus wanting to develop cross-platform patches. In this respect, cyclone has
 much in common with Thomas Grill's flext, and flext-based externals. While
 flext enables developing new cross-platform classes, cyclone makes existing
 classes cross-platform.
 .
 Cyclone also comes handy, somewhat, in the task of importing Max/MSP patches
 into Pd. Do not expect miracles, though, it is usually not an easy task.

Package: cyclograph
Description-md5: 733a79ea5ebfeb963b975457506d120b
Description-en: route altimetry plotting application
 CycloGraph is an application for plotting the elevation profile of routes.
 Its main value is in the graphical visualization of the difficulty of a
 road, in term of slope, difference in height, etc. Plots like these are
 often used in cycling competitions, but are also useful in other sports,
 such as hiking or running.
 .
 This package provides the command-line interface of CycloGraph.

Package: cyclograph-gtk3
Description-md5: e1ca02f36cd5735cf5bf6aa84171853f
Description-en: route altimetry plotting application - GTK3 interface
 CycloGraph is an application for plotting the elevation profile of routes.
 Its main value is in the graphical visualization of the difficulty of a
 road, in term of slope, difference in height, etc. Plots like these are
 often used in cycling competitions, but are also useful in other sports,
 such as hiking or running.
 .
 This package provides the GTK3 interface of CycloGraph.

Package: cyclograph-qt5
Description-md5: eae151a6733ee5dcccc9c6899886a642
Description-en: route altimetry plotting application - Qt interface
 CycloGraph is an application for plotting the elevation profile of routes.
 Its main value is in the graphical visualization of the difficulty of a
 road, in term of slope, difference in height, etc. Plots like these are
 often used in cycling competitions, but are also useful in other sports,
 such as hiking or running.
 .
 This package provides the Qt 5 interface of CycloGraph.

Package: cylc-flow
Description-md5: 96881922690ed128a6bbb8b4b860ae22
Description-en: Workflow scheduler
 Cylc ("silk") is a suite engine and meta-scheduler that specializes
 in suites of cycling tasks for weather forecasting, climate modeling,
 and related processing (it can also be used for one-off workflows
 of non-cycling tasks, which is a simpler problem).

Package: cynthiune.app
Description-md5: aec6c47cd19093d18f9f07c8b2b6bbd2
Description-en: Music player for GNUstep
 Cynthiune is a free software, romantic and extensible music player for
 GNUstep and Mac OS X. Similar in look and feel to XMMS, it can read the
 most-known sound file formats: MP3, Ogg, FLAC, Mod, XM, AIFF, WAV and many
 more...
 .
 Very easy to use, it integrates well with the GNUstep desktop environment and
 shows a nice example of a cross-platform OpenStep application.

Package: cypher-lint
Description-md5: bab89fb7e400178a08fefbdeb0f5a80d
Description-en: Lint tool for the Cypher query language
 Cypher is a graph query language that allows for expressive and efficient
 querying of graph data.
 .
 This tool provides correctness checking of Cypher language input, and can
 be used to output the Abstract Syntax Tree (AST) of the parsed input.

Package: cyphesis-cpp
Description-md5: f2b2252d47efb8b385a9647141b1411f
Description-en: WorldForge game server (transitional package)
 Cyphesis-C++ is a game server from the WorldForge project. Multiple
 users/clients can connect to it to play roleplaying games online. This server
 provides world data and computes the interaction between the players and the
 world.
 .
 This is a transitional package that installs the Cyphesis snap package.

Package: cyphesis-cpp-clients
Description-md5: 9ebe12d12f45476d9dd52eeea125ad4a
Description-en: WorldForge game server - clients to control the server (transitional package)
 Cyphesis-C++ is a game server from the WorldForge project. Multiple
 users/clients can connect to it to play roleplaying games online. This server
 provides world data and computes the interaction between the players and the
 world.
 .
 This package contains the client programs to administer the cyphesis server.
 .
 This is a transitional package that installs the Cyphesis snap package.

Package: cyphesis-cpp-mason
Description-md5: e8d6ce5565ad580cad70d640cfbd1ea5
Description-en: WorldForge game server - game data for Mason (transitional package)
 Cyphesis-C++ is a game server from the WorldForge project. Multiple
 users/clients can connect to it to play roleplaying games online. This server
 provides world data and computes the interaction between the players and the
 world.
 .
 This package contains game data for the WorldForge game "Mason".
 .
 This is a transitional package that installs the Cyphesis snap package.

Package: cyrus-admin
Description-md5: 30d4f431154e19f3badd2b37661f7170
Description-en: Cyrus mail system - administration tools
 This package provides the administrative tools for the Cyrus IMAPd suite.
 It contains the cyradm tool which can be used to administer
 both local and remote Cyrus mail systems.  It also contains sieveshell
 and its deprecated cousin installsieve, which can be used to manage
 sieve scripts.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-caldav
Description-md5: 4a2a6a95eea3e87b63bd5ba8d5020b3d
Description-en: Cyrus mail system - CalDAV and CardDAV support
 This package contains the CalDAV and CardDAV portion of the Cyrus
 IMAPd suite.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-clients
Description-md5: 992ed3dec2e38f35f5825dbbb87631db
Description-en: Cyrus mail system - test clients
 This package contains client tools to test the Cyrus IMAPd suite.
 It contains the mupdatetest, sivtest, smtptest, lmtptest,
 imtest and pop3test tools which can be used to interactively talk to
 a mupdate, Sieve, SMTP, LMTP, IMAP or POP3 server.
 The clients are fully SASL-enabled for authentication.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-common
Description-md5: ce477374fcdec4eb4b04c5e339e4a360
Description-en: Cyrus mail system - common files
 Cyrus is an IMAP server designed to handle massive quantities of mail,
 with a number of features not found in other IMAP implementations,
 including support for:
  - running the daemon without root privileges;
  - POP3 and NNTP in addition to plain IMAP;
  - CalDAV and CardDAV;
  - secure IMAP using SSL;
  - server-side filtering with Sieve;
  - mail users without login accounts;
  - simple mail quotas;
  - virtual domains;
  - IPv6.
 .
 Cyrus doesn't support reading from and storing mail in the
 standard mail spool. It stores mail in a separate directory in its
 own MH-like format.
 .
 This package contains the common files needed by the other Cyrus
 components. The cyrus-imapd and/or cyrus-pop3d packages are needed
 to enable IMAP and POP3 support respectively. cyrus-murder can be
 used to enable IMAP, POP3 and LMTP proxying. cyrus-replication
 can be used to provide server replication between two imap servers.

Package: cyrus-dev
Description-md5: 16eb6f696ea714cc04c10da3bf1af891
Description-en: Cyrus mail system - developer files
 This package contains header files and the static library needed
 to develop IMAP applications that interface with the Cyrus IMAPd suite.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-doc
Description-md5: dc4db33c7f92d614299003a2faaa98f8
Description-en: Cyrus mail system - documentation files
 Cyrus is an IMAP server designed to handle massive quantities of mail,
 with a number of features not found in other IMAP implementations,
 including support for:
  - running the daemon without root privileges;
  - POP3 and NNTP in addition to plain IMAP;
  - CalDAV and CardDAV;
  - secure IMAP using SSL;
  - server-side filtering with Sieve;
  - mail users without login accounts;
  - simple mail quotas;
  - virtual domains;
  - IPv6.
 .
 This package contains the documentation for the Cyrus IMAPd suite.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-imapd
Description-md5: 2013b72b2b05fdd34a3b3dd1c1ba084e
Description-en: Cyrus mail system - IMAP support
 Cyrus is an IMAP server designed to handle massive quantities of mail,
 with a number of features not found in other IMAP implementations,
 including support for:
  - running the daemon without root privileges;
  - POP3 and NNTP in addition to plain IMAP;
  - CalDAV and CardDAV;
  - secure IMAP using SSL;
  - server-side filtering with Sieve;
  - mail users without login accounts;
  - simple mail quotas;
  - virtual domains;
  - IPv6.
 .
 This package contains the IMAP (Internet Mail Access Protocol) portion
 of the Cyrus IMAPd suite.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-imspd
Description-md5: 55045937a565b5bb36433cc8bdc6c8a5
Description-en: Internet Message Support Protocol daemon
 This package contains the cyrus-imspd daemon for the Internet Message Support
 Protocol (imsp), providing central storage for addressbooks and application
 config.

Package: cyrus-murder
Description-md5: ab90b0c9d4129b972ab15fe6e9c32d5d
Description-en: Cyrus mail system - proxies and aggregator
 This package contains the Cyrus IMAPd suite murder aggregator system,
 i.e. IMAP, POP3  and LMTP proxies, and the mupdate mailbox master daemon.
 It allows for cluster setups where there are many backend Cyrus spools and
 frontend proxy servers.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-nntpd
Description-md5: bcca7012a9781e9e61073187c18156df
Description-en: Cyrus mail system - NNTP support
 This package contains the NNTP (Network News Transfer Protocol) portion of
 the Cyrus IMAPd suite.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-pop3d
Description-md5: 70c2ae7050e6313a16eb7cb9d9341a7f
Description-en: Cyrus mail system - POP3 support
 Cyrus is an IMAP server designed to handle massive quantities of mail,
 with a number of features not found in other IMAP implementations,
 including support for:
  - running the daemon without root privileges;
  - POP3 and NNTP in addition to plain IMAP;
  - CalDAV and CardDAV;
  - secure IMAP using SSL;
  - server-side filtering with Sieve;
  - mail users without login accounts;
  - simple mail quotas;
  - virtual domains;
  - IPv6.
 .
 This package contains the POP3 (Post Office Protocol) portion of the Cyrus
 IMAPd suite.
 .
 For more information, please see the cyrus-common package.

Package: cyrus-replication
Description-md5: b418abba8bf22d6e89141717800813af
Description-en: Cyrus mail system - replication
 This package contains the Cyrus IMAPd suite replication system.
 It allows the replication of the mail spool between two imap or pop servers.
 .
 For more information, please see the cyrus-common package.

Package: cysignals-tools
Description-md5: a2e99e540644003c7ad2c2f1cf81de20
Description-en: interrupt and signal handling for Cython -- tools
 Since Cython optimizes for speed, Cython normally does not check
 for interrupts. The cysignals package provides mechanisms to handle
 interrupts (and other signals and errors) in Cython code.
 .
 This package provides debugging tools.

Package: cython
Description-md5: 8c2f4ce3deabcb6941dffa8d5f424759
Description-en: C-Extensions for Python
 Cython is a language that makes writing C extensions for the Python language as
 easy as Python itself. Cython is based on the well-known Pyrex, but supports
 more cutting edge functionality and optimizations.
 .
 The Cython language is very close to the Python language, but Cython
 additionally supports calling C functions and declaring C types on variables
 and class attributes. This allows the compiler to generate very efficient C
 code from Cython code.
 .
 This makes Cython the ideal language for wrapping external C libraries, and
 for fast C modules that speed up the execution of Python code.

Package: cython-dbg
Description-md5: 831a0dd08543e9409273899064e59998
Description-en: C-Extensions for Python - debug build
 This package contains Cython libraries built against versions of
 Python configured with --pydebug.

Package: cython-doc
Description-md5: b98326e90960af4cacb6e6e5f189b186
Description-en: C-Extensions for Python - documentation
 This package contains documentation for Cython.

Package: cython3
Description-md5: b3e5d225e2a7bc6b2e277f244314026c
Description-en: C-Extensions for Python 3
 Cython is a language that makes writing C extensions for the Python language as
 easy as Python itself. Cython is based on the well-known Pyrex, but supports
 more cutting edge functionality and optimizations.
 .
 The Cython language is very close to the Python language, but Cython
 additionally supports calling C functions and declaring C types on variables
 and class attributes. This allows the compiler to generate very efficient C
 code from Cython code.
 .
 This makes Cython the ideal language for wrapping external C libraries, and
 for fast C modules that speed up the execution of Python code.

Package: cython3-dbg
Description-md5: 520b1a6dd2231aab1f97775233a2363a
Description-en: C-Extensions for Python 3 - debug build
 This package contains Cython libraries built against versions of
 Python configured with --pydebug.

Package: d-feet
Description-md5: 0229f8b6d6e70b3ecb56893e27dfb02b
Description-en: D-Bus object browser, viewer and debugger
 d-feet is a D-Bus debugger that allow you to:
  * View names on the session and system bus
  * View exported objects, interfaces, methods and signals
  * View the full command line of services on the bus
  * Execute methods with parameters on the bus and see their return values

Package: d-itg
Description-md5: ed42b5c6d687bd35b6615e173c61f569
Description-en: Distributed Internet Traffic Generator
 D-ITG (Distributed Internet Traffic Generator) is a platform capable to
 produce traffic at packet level accurately replicating appropriate stochastic
 processes for both IDT (Inter Departure Time) and PS (Packet Size) random
 variables (exponential, uniform, cauchy, normal, pareto, ...). D-ITG supports
 both IPv4 and IPv6 traffic generation and it is capable to generate traffic at
 network, transport, and application layer. We believe that D-ITG shows
 interesting properties when compared to other traffic generators.

Package: d-push
Description-md5: 059d95e9f8f5a4c074fc2c83d53a4a85
Description-en: open source implementation of the ActiveSync protocol
 D-push is debian's rebranded version of zarafa's z-push.
 It is an implementation of the ActiveSync protocol which is used
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With D-push any
 groupware can be connected and synced with these devices.
 .
 This is a transitional package. It can be safely removed.

Package: d-shlibs
Description-md5: 03d5eed8e17b8a6bf25829c48af9f065
Description-en: Debian shared library package building helper scripts
 Shared library packages in Debian need some special catering to do
 with regards to which files go where.
 This package contains programs which try to help automate the process
 and catch simple errors
 .
 "d-shlibmove" moves the shared library binary around
 from temporary installation directory
 to the  destination package directory.
 .
 "d-devlibdeps" tries to list the development library dependencies
 required for using the -dev package.

Package: d52
Description-md5: 0d826c987151f46e1e73b76928882a59
Description-en: Disassembler for 8052, 8048/8041, and Z80/8080/8085 code
 Disassembler for microcontroller code which supports various targets.
 .
 This package contains:
  - d52: a disassembler for 8052 code,
  - d48: a disassembler for 8048/8041 code,
  - dz80: a disassembler for Z80/8080/8085 code.

Package: daa2iso
Description-md5: 94c8e450eb7cd5c370352ce11aa65320
Description-en: DAA files (Direct Access Archive) to ISO converting tool
 DAA2ISO is an open source command-line tool for converting
 single and multipart DAA file images to the original ISO format.
 .
 The DAA image (Direct Access Archive) in fact is just a compressed ISO
 which can be created through the commercial program PowerISO.

Package: dablin
Description-md5: d0d29bac3bea68b76930d7aadf016f6f
Description-en: CLI and GTK+ GUI DAB & DAB+ receiver client
 This plays a DAB and DAB+ audio service – either from a received live
 transmission or from a stored ensemble recording (frame-aligned ETI-
 NI). Both DAB (MP2) and DAB+ (AAC-LC, HE-AAC, HE-AAC v2) services are
 supported by DABlin.

Package: dacco-common
Description-md5: 9dad9ab0fd2d330375c52e797f3b5d31
Description-en: Catalan/English dictionary (xml files)
 The acronym DACCO stands for 'Diccionari Anglès-Català de Codi Obert',
 or 'Open Source English Catalan Dictionary'. In fact, the project hosts two
 dictionaries: an English Catalan dictionary and a Catalan English dictionary.
 .
 This package contains the xml files used for other projects, as Qdacco.

Package: dacs
Description-md5: d03fa5ebcb31807b49bdb832788db0bb
Description-en: Distributed Access Control System (DACS)
 DACS is a light-weight single sign-on and role-based access control system
 for web servers and server-based software. It is also an authentication and
 authorization toolkit for programmers. DACS makes secure resource sharing
 and remote access via the web easier, safer, and more efficient.
 .
 DACS provides:
 .
   * universal, extensible, modular, and efficient authentication methods,
     including two-factor authentication and hardware tokens
   * powerful, comprehensive, rule-based authorization checking that can
     be applied selectively and transparently to any resource or activity
     (such as web services, web content, and program features) by
     Apache-based web services, CGI programs, servlets, or virtually any
     program or script
   * secure, web-based single sign-on (SSO)
   * flexible, programmable configuration
   * an Apache 2.0/2.2 module, suite of CGI-based programs, and collection
     of command line tools

Package: dacs-examples
Description-md5: 093fbe2250301330b4b5d9aef8714f2e
Description-en: Distributed Access Control System (DACS) - example web root
 DACS is a light-weight single sign-on and role-based access control system
 for web servers and server-based software. It is also an authentication and
 authorization toolkit for programmers. DACS makes secure resource sharing
 and remote access via the web easier, safer, and more efficient.
 .
 This package contains an example web root directory to use with dacs.

Package: dact
Description-md5: ad132ae8c0ac9facc5ba8f6fbc8322f8
Description-en: multi-algorithm compression
 DACT compresses each block within the file with all its known algorithms and
 uses the block with the best compression ratio.
 .
 DACT can encrypt the compressed data with one of two algorithms.
 .
 Compression time for DACT is slow as each block is compressed multiple times.
 .
 Current supported compression algorithms include RLE, Delta, Text, Zlib,
 Modified Zlib, Bzip2 and Seminibble Encoding.

Package: dadadodo
Description-md5: 42a88311f53a1aa470eb58832d333e3c
Description-en: exterminates all rational thought
 DadaDodo is a program that analyses texts for Markov chains of word
 probabilities and then generates random sentences based on that.
 Sometimes these sentences are nonsense; but sometimes they cut right
 through to the heart of the matter and reveal hidden meanings.

Package: daemon
Description-md5: a8b0de2efb2f9f4ea34fed416b448777
Description-en: turns other processes into daemons
 There are many tasks that need to be performed to correctly set up a
 daemon process. This can be tedious. Daemon performs these tasks for
 other processes. This is useful for writing daemons in languages other
 than C, C++ or Perl (e.g. /bin/sh, Java).
 .
 If you want to write daemons in languages that can link against C functions
 (e.g. C, C++), see libslack which contains the core functionality of daemon.
 .
 Upstream URL: http://www.libslack.org/daemon/

Package: daemonize
Description-md5: 2b262e892ec3da37335d254c46b27199
Description-en: tool to run a command as a daemon
 As defined in W. Richard Stevens’ 1990 book, UNIX Network Programming
 (Addison-Wesley, 1990), a daemon is “a process that executes ‘in the
 background’ i.e., without an associated terminal or login shell) either
 waiting for some event to occur, or waiting to perform some specified task on a
 periodic basis.” Upon startup, a typical daemon program will:
 .
  * Close all open file descriptors (especially standard input, standard output
    and standard error)
  * Change its working directory to the root filesystem, to ensure that it
    doesn’t tie up another filesystem and prevent it from being unmounted
  * Reset its umask value
  * Run in the background (i.e., fork)
  * Disassociate from its process group (usually a shell), to insulate itself
    from signals (such as HUP) sent to the process group
  * Ignore all terminal I/O signals
  * Disassociate from the control terminal (and take steps not to reacquire one)
  * Handle any SIGCLD signals
 .
 Most programs that are designed to be run as daemons do that work for
 themselves. However, you’ll occasionally run across one that does not. When
 you must run a daemon program that does not properly make itself into a true
 Unix daemon, you can use daemonize to force it to run as a true daemon.

Package: daemonlogger
Description-md5: ad3ae8c67ca2d73f89729f8e084778d9
Description-en: simple network packet logger and soft tap daemon
 DaemonLogger is a simple packet logger and soft tap daemon that is
 incredibly useful for network security analysts.
 .
 It is capable of operating in two modes: sniffing packets and
 logging them directly to disk (files are automatically rolled over
 after a set size), or in a "soft-tap" mode where it mirrors packets
 on another interface.
 .
 Daemonlogger can be run either in the foreground or in the background
 as a daemon.

Package: daemontools
Description-md5: e3a2597df223fd85ad4e36dea5a8a777
Description-en: collection of tools for managing UNIX services
 supervise monitors a service. It starts the service and restarts the
 service if it dies. Setting up a new service is easy: all supervise
 needs is a directory with a run script that runs the service.
 .
 multilog saves error messages to one or more logs. It optionally timestamps
 each line and, for each log, includes or excludes lines matching specified
 patterns. It automatically rotates logs to limit the amount of disk space
 used. If the disk fills up, it pauses and tries again, without losing any
 data.

Package: daemontools-run
Description-md5: f5516980fc0d550aab9df706d83da11b
Description-en: daemontools service supervision
 Starts svscanboot from inittab, and provides the directory /etc/service/
 for services to be supervised by daemontools.

Package: dafny
Description-md5: 35c7eb3147639d72fda0fe0e7d5dd94e
Description-en: programming language with program correctness verifier
 Dafny is a programming language with a program verifier.  The verifier
 processes function preconditions, postconditions, and assertions, and sends
 them to an SMT solver for checking.  In this way, assertion failures become
 compiler errors, rather than runtime ones.

Package: dahdi
Description-md5: 33ccc70eabf5e5767b3b33f5b4fad746
Description-en: utilities for using the DAHDI kernel modules
 DAHDI (formly Zaptel) is an interface for telephony devices used by e.g.
 the Asterisk PBX software. The dahdi-* packages provide the kernel
 DAHDI kernel modules and their required setup environment.
 .
 This package includes userspace utilities required to configure DAHDI
 modules.

Package: dahdi-dkms
Description-md5: 4007cf991f28d703e2eb4f8efca6497b
Description-en: DAHDI telephony interface (dkms kernel driver)
 DAHDI (formly Zaptel) is an interface for telephony devices used by e.g.
 the Asterisk PBX software. The dahdi-* packages provide the kernel
 DAHDI kernel modules and their required setup environment.
 .
 The dkms package will automatically compile the driver for your current
 kernel version.

Package: dahdi-linux
Description-md5: e1e054877d910931f4986c97c5409ce3
Description-en: DAHDI telephony interface - Linux userspace parts
 DAHDI (formly Zaptel) is an interface for telephony devices used by e.g.
 the Asterisk PBX software. The dahdi-* packages provide the kernel
 DAHDI kernel modules and their required setup environment.
 .
 This package includes the minimal Linux-specific userspace parts: udev
 configuration, xpp initialization scripts.

Package: dahdi-source
Description-md5: d2e5ee14624a73fdf11e1da1de44def0
Description-en: DAHDI telephony interface - source code for kernel driver
 DAHDI (formly Zaptel) is an interface for telephony devices used by e.g.
 the Asterisk PBX software. The dahdi-* packages provide the kernel
 DAHDI kernel modules and their required setup environment, as well as
 basic headers for building DAHDI modules and utilities.
 .
 It is normally used to build kernel modules package: m-a a-i dahdi

Package: dailystrips
Description-md5: b2daec290dc588c8d0e03fa19dc39809
Description-en: view web comic strips more conveniently
 A perl script that gathers online comic strips for more convenient viewing.
 When in normal mode, it creates an HTML page that references the strips
 directly, and when in local mode, it also downloads the images to your local
 disk.

Package: daisy-player
Description-md5: 9abe85c5fc207a1397ee6cbd27b0d675
Description-en: player for DAISY Digital Talking Books
 Daisy-player is a command-line player for talking books based on the
 Digital Accessible Information System protocol. It is comparable in
 functionality, features, and ease of use with commercial players, and
 has a simple user interface appropriate for Braille terminals.

Package: daligner
Description-md5: 8ece9b19f612442b1f40a01d4ab8e236
Description-en: local alignment discovery between long nucleotide sequencing reads
 These tools permit one to find all significant local alignments between
 reads encoded in a Dazzler database. The assumption is that the reads are
 from a Pacific Biosciences RS II long read sequencer. That is, the reads
 are long and noisy, up to 15% on average.

Package: dalvik-exchange
Description-md5: 09759f5ddaff7a9fc16c0f6211b88531
Description-en: Manipulate Dalvik eXchange format and produce dex files
 Dalvik is a process virtual machine in Google's Android operating system that
 executes applications written for Android.
 .
 dalvik-exchange is the tool that takes in class
 files and reformulates them for consumption in the VM. It also does a few other
 things.
 .
 This program is officially named "dx" but in order to avoid naming conflict
 with OpenDX in Debian it is renamed to "dalvik-exchange".
 .
 This package also provides the "mainDexClasses" program.

Package: dangen
Description-md5: 1742c9330f58035332b3f195bc74471e
Description-en: shoot 'em up game where accurate shooting matters
 dangen is a shoot 'em up game that attach importance to accuracy of shooting.
 With the combination of the cursor key and the shot button, you can shoot
 at your side or even at your back.  Get the bonus point by hitting the
 enemy without a miss.  You can choose stages of different difficulty.

Package: danmaq
Description-md5: 54b4bdcf7f1aa27c959de2f431b759cb
Description-en: Small client side Qt program to play danmaku on any screen
 DanmaQ is a desktop danmaku client. It is used to display danmaku strings.
 .
 "danmaku", originally a Japanese word, is a certain method of instant
 commenting over the Internet. It originated in Japan and became
 popular in East Asian cultural circle. In this case, People write comments
 and send them to a danmaku server. The server will push comments to
 danmaku clients connected to it, where comments are displayed on a real-time
 basis. Comment strings will fly over the screen with artistic effects.
 .
 This package provides a danmaku client program implemented in Qt5.

Package: dante-client
Description-md5: 3dda083094c90b44bebc6ecc9da32d8c
Description-en: SOCKS wrapper for users behind a firewall
 Dante is a circuit-level firewall/proxy that can be used to provide
 convenient and secure network connectivity to a wide range of hosts
 while requiring only the server Dante runs on to have external network
 connectivity.
 .
 This package provides the "socksify" client program - a tool which
 forwards network requests from any program to a SOCKS server (v4 or v5)
 which then performs them on your behalf.

Package: dante-server
Description-md5: 00072cb7e925ba8110ef47af279dbfff
Description-en: SOCKS (v4 and v5) proxy daemon (danted)
 Dante is a circuit-level firewall/proxy that can be used to provide
 convenient and secure network connectivity to a wide range of hosts
 while requiring only the server Dante runs on to have external network
 connectivity.
 .
 This package provides the socks proxy daemon (danted). The server part
 of Dante allows socks clients to connect through it to the network.

Package: daphne
Description-md5: 422e32547e94066b2d7270b692d2b49f
Description-en: Django Channels HTTP/WebSocket server
 Daphne is a HTTP, HTTP2 and WebSocket protocol server for ASGI, and developed
 to power Django Channels.
 .
 It supports automatic negotiation of protocols; there's no need for URL
 prefixing to determine WebSocket endpoints versus HTTP endpoints.

Package: dapl2-utils
Description-md5: 1001db4d106665ebf40971bc1d6648d8
Description-en: utilities for use with the DAPL libraries
 The Direct Access Programming Library (DAPL) is a transport-independent,
 platform-independent API that supports Remote Direct Memory Access (RDMA)
 devices such as Infiniband and iWARP .
 .
 This package contains example utilities that use the DAPL API.

Package: daps
Description-md5: a38606f9c12525fefd873bc33cacb539
Description-en: DocBook Authoring and Publishing Suite (DAPS)
 DAPS contains a set of stylesheets, scripts and makefiles that enable
 you to create HTML, PDF, EPUB and other formats from DocBook XML with a
 single command. It also contains tools to generate profiled source
 tarballs for distributing your XML sources for translation or review.
 .
 DAPS also includes tools that assist you when writing DocBook XML:
 linkchecker, validator, spellchecker, editor macros and stylesheets for
 converting DocBook XML.

Package: daptup
Description-md5: a82fbdf1fb9d1664cd8cfa16e32b3ba4
Description-en: reporter of changes in list of available packages from repositories
 Daptup is the apt hook which runs automatically within 'apt-get update' or
 'cupt update' and outputs four lists:
  - packages came to archive with this update;
  - new upgradeable packages;
  - changes in "watched" packages (not installed, such packages have to be
   specified in configuration file);
  - outdated packages that have a new install candidate (optionally).

Package: dar
Description-md5: 74b68e90f5a8f668ae2c9a24ac851fee
Description-en: Disk ARchive: Backup directory tree and files
 Full featured archiver with support for differential backups, slices,
 compression, ATTR/ACL support. DAR also supports Pipes for remote
 operations, including with ssh.

Package: dar-docs
Description-md5: b5d4791c3676c92ae8c96df2ca147b31
Description-en: Disk ARchive: Backup directory tree and files
 Full featured archiver with support for differential backups, slices,
 compression, ATTR/ACL support. DAR also supports Pipes for remote
 operations, including with ssh.
 .
 This package contains the documentation and example files.

Package: dar-static
Description-md5: 1b4cf9af9fd7b6926fdd96e85eea6976
Description-en: Disk ARchive: Backup directory tree and files
 Full featured archiver with support for differential backups, slices,
 compression, ATTR/ACL support. DAR also supports Pipes for remote
 operations, including with ssh.
 .
 This package contains the static binary, dar_static.

Package: darcs
Description-md5: 24a364fac4d8efc1ce41c5114a257f49
Description-en: distributed, interactive, smart revision control system
 Darcs is a free, open source revision control system.  It is:
 .
  * Distributed: Every user has access to the full command set,
    removing boundaries between server and client or committer and
    non-committers.
  * Interactive: Darcs is easy to learn and efficient to use because
    it asks you questions in response to simple commands, giving you
    choices in your work flow. You can choose to record one change in
    a file, while ignoring another. As you update from upstream, you
    can review each patch name, even the full "diff" for interesting
    patches.
  * Smart: Originally developed by physicist David Roundy, darcs is
    based on a unique algebra of patches. This smartness lets you
    respond to changing demands in ways that would otherwise not be
    possible.

Package: darcs-monitor
Description-md5: 970b3a790489d627f81e2fec227856dd
Description-en: Darcs add-on that sends mail about newly pushed changes
 It is often desirable to send mail about new changes to software to
 a mailing list as soon as they are committed to a version control
 repository.  Darcs-monitor adds this functionality to Darcs, an
 advanced revision control system.
 .
 Darcs-monitor is most commonly used as a Darcs apply post-hook, so that
 email is sent as soon as changes are pushed to the repository under
 monitoring.
 .
 Mails sent by darcs-monitor are configurable, and they can contain
 the diff of the changes, as well as change metadata.
 .
 You will need a mail transport agent (MTA) such as Exim in all but
 the most unusual situations.

Package: darcsum
Description-md5: ea72c7076700ec6b54229db7d60101a0
Description-en: Transition package, darcsum to elpa-darcsum
 The darcsum emacs addon has been elpafied.  This dummy package
 helps ease transition from darcsum to elpa-darcsum.

Package: darcula
Description-md5: 0bd949e93085705ff1c611ded9ef9be2
Description-en: Dark Look and Feel for Java desktop applications
 Darcula is a Look-n-Feel for Java desktop applications and programming
 environments by Konstantin Bulenkov. This package was only tested with
 the Look and Feel.

Package: dares
Description-md5: ab87b2ba204772cfb096d317261a09bd
Description-en: rescue files from damaged CDs and DVDs (ncurses-interface)
 Dares scans a CD/DVD image or a CD/DVD for files. This also works when
 the filesystem (ISO-9660 or UDF) on the disc is damaged and cannot be mounted
 anymore.

Package: darkblood-gtk-theme
Description-md5: b40025b5424ab7f139930b96a34159b2
Description-en: dark GTK2/GTK3/Metacity theme
 A recolour of a night-style window theme by OriginalSeed.  It is mostly
 black, with carmine elements, easy on the eyes of those who hack when
 there's dark outside.

Package: darkcold-gtk-theme
Description-md5: 3faff484183ecfe1736c1e95879b2277
Description-en: dark GTK2/GTK3/Metacity theme
 A night-style window theme by OriginalSeed.  It is mostly black, with
 dark blue elements.

Package: darkfire-gtk-theme
Description-md5: 483d99f6d9267389f4cb1be9c0415b42
Description-en: dark GTK2/GTK3/Metacity theme
 A recolour of a night-style window theme by OriginalSeed.  It is mostly
 black, with bright red elements.

Package: darkmint-gtk-theme
Description-md5: 1eefd4e161b5c7a4f197dae7125e4c49
Description-en: dark GTK2/GTK3/Metacity theme
 A night-style window theme by OriginalSeed.  It is mostly black, with
 dark greenish elements.  Comes with a minty flavour; if you prefer colds
 over mints you might want DarkCold instead.

Package: darknet
Description-md5: ca02cc401e27e140a3286c576fa481ed
Description-en: Open Source Neural Networks in C
 Darknet is an open source neural network framework written in C and CUDA.
 It is fast, easy to install, and supports CPU and GPU computation.

Package: darkplaces
Description-md5: 7bb08f2c09ff98a4ef6d497212d9c190
Description-en: Game engine for Quake and similar 3D first person shooter games
 DarkPlaces is a graphically-intensive engine for Quake and related games, with
 improved visual effects and lighting and many features for mods.
 It can be used to play various games based on the Quake engine, such as
 Xonotic, Nexuiz Classic, OpenQuartz, and Quake itself.
 .
 This is the client version of the engine, with a SDL GUI. It requires
 a graphics driver supporting at least OpenGL 3.2 or OpenGL ES 2.0.
 .
 This engine isn't useful without game data: to get a playable game, install
 nexuiz or another suitable set of game data. It is typically used via a
 script that launches it with a particular data set, such as the ones
 found in the "quake" and "nexuiz" packages.

Package: darkplaces-server
Description-md5: 5b754e266d206f235475cf2666a0bfe6
Description-en: Standalone server for Quake-based games
 DarkPlaces is a graphically-intensive engine for Quake and related games, with
 improved visual effects and lighting and many features for mods.
 It can be used to play various games based on the Quake engine, such as
 Xonotic, Nexuiz Classic, OpenQuartz, and Quake itself.
 .
 This is the dedicated server version, with no GUI.
 .
 This engine isn't useful without game data: to get a usable server, install
 nexuiz or another suitable set of game data. It is typically used via a
 script that launches it with a particular data set, such as the ones
 found in the "quake-server" and "nexuiz-server" packages.

Package: darkslide
Description-md5: 8952cc2e5f9bb83e097e0eb602603bbd
Description-en: HTML5 slideshow generator
 Darkslide is a tool which can generates an HTML5 slideshow using lightweight
 markup as input.
 .
 You can write your slide contents easily using these syntaxes:
 .
   * Markdown
   * ReStructuredText
   * Textile
 .
 This tool support CSS/JS theming, PDF export (using PrinceXML Python library),
 embed images with Base64 (for stand-alone document) and fancy transitions.
 .
 Darkslide is a fork of Landslide.
 .
 A sample presentation is available here: <http://ionelmc.github.io/python-darkslide/>.

Package: darkstat
Description-md5: e9b7531a9de659a7aa562b3efdd154ef
Description-en: network traffic analyzer
 It is a packet sniffer which runs as a background process and serves its
 statistics to a web browser:
  - input and output IP traffic by machines, ports and protocols,
  - last minute, hourly, daily and monthly global input and output graphics.
 .
 It is known to be smaller (in terms of memory footprint) and stabler than ntop.

Package: darktable
Description-md5: 9bb162e0cc542877672e43a15da10cd8
Description-en: virtual lighttable and darkroom for photographers
 Darktable manages your digital negatives in a database and lets you view
 them  through a zoomable lighttable. it also enables you to develop raw
 images and enhance them.
 .
 It tries to fill the gap between the many excellent existing free
 raw converters and image management tools (such as ufraw or f-spot).
 The user interface is built around efficient caching of image metadata and
 mipmaps, all stored in a database. the user will always be able to interact,
 even if the full resolution image is not yet loaded.
 .
 All editing is fully non-destructive and only operates on cached image
 buffers for display. the full image is only converted during export. The
 frontend is written in gtk+/cairo, the database uses sqlite3, raw image
 loading is done using rawspeed, high-dynamic range, and standard image formats
 such as jpeg are also supported. The core operates completely on floating
 point values, so darktable can not only be used for photography but also for
 scientifically acquired images or output of renderers (high dynamic range).

Package: darnwdl
Description-md5: 20ec9412d0a98f0669d542b7c68b0eb8
Description-en: WDL document format viewer
 WDL is a document format used by Taiwan government. darnwdl is a viewer for
 WDL files. Due to the format spec is not open, darnwdl is based on reverse
 engineering.

Package: dart-doc
Description-md5: 6571a82676b50fad5d5e3f73244f3fc8
Description-en: Dynamic Animation and Robotics Toolkit - Documentation
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 .
 This package contains documentation, tutorials and examples

Package: darts
Description-md5: 9433321c91dd175d4c57024b883cc68e
Description-en: C++ Template Library for implementation of Double-Array
 Darts is simple C++ Template Library for implementation of Double-Array
 Structure.
 .
 A double-array structure is a kind of Trie structure, digital search tree,
 an efficient data structure combining fast access of a matrix form with the
 compactness of a list form. It is faster than other implementations like Hash
 tree, Patricia tree, Suffix Array and so.
 .
 For more detail about double-array structure, see "Aoe, J. An Efficient
 Digital Search Algorithm by Using a Double-Array Structure. IEEE Transactions
 on Software Engineering. Vol. 15, 9 (Sep 1989). pp. 1066-1077."
 .
 This software provides indexing operations for Common Prefix Search.
 Darts is used for MeCab and ChaSen, Japanese Morphological Analysis System.

Package: das-watchdog
Description-md5: 0b53f7cba23f0b20cccd68a070ecc1d9
Description-en: solves system lock-ups by making all processes non-realtime
 Das_Watchdog is a general watchdog for the Linux operating system that
 should be run in the background at all times to ensure a realtime process
 won't hang the machine.
 .
 Das_Watchdog is inspired by the rt_watchdog program from Florian Schmidt,
 but has some improvements over it:
  * It works with 2.4 kernels as well as 2.6.
  * Instead of permanently setting all realtime processes to run
    non-realtime, das_watchdog only sets them temporary.
  * When the watchdog kicks in, an X window should pop up that tells you
    whats happening (just close it after reading the message).

Package: dascrubber
Description-md5: 1cf5e69497508e24b281ab1411482109
Description-en: alignment-based scrubbing pipeline for DNA sequencing reads
 The Dazzler Scrubbing Suite produces a set of edited reads that are guaranteed
 to
  * be continuous stretches of the underlying genome (i.e. no unremoved
    adapters and not chimers)
  * have no very low quality stretches (i.e. the error rate never exceeds some
    reasonable maximum, 20% or so in the case of Pacbio data).
 Its secondary goal is to do so with the minimum removal of data and splitting
 of reads.

Package: dash-el
Description-md5: c5676604b238b0a14e1cfba0d1e48bfc
Description-en: transitional dummy package for elpa-dash
 This transitional package is safe to remove.

Package: dasher
Description-md5: 146f78c1eeda21f7706f6e7b39a393a1
Description-en: graphical predictive text input system
 Dasher is an information-efficient text-entry interface, driven by natural
 continuous pointing gestures. Dasher is a competitive text-entry system
 wherever a full-size keyboard cannot be used - for example,
 .
  * on a palmtop computer
  * on a wearable computer
  * when operating a computer one-handed, by joystick, touchscreen, trackball,
  or mouse
  * when operating a computer with zero hands (i.e., by head-mouse or by
  eyetracker).
 .
 The eyetracking version of Dasher allows an experienced user to write text
 as fast as normal handwriting - 25 words per minute; using a mouse,
 experienced users can write at 39 words per minute.
 .
 Dasher uses a more advanced prediction algorithm than the T9(tm) system
 often used in mobile phones, making it sensitive to surrounding context.

Package: dasher-data
Description-md5: c372ff79531577f601a701956975e3fb
Description-en: Data files for dasher
 Dasher is an information-efficient text-entry interface, driven by natural
 continuous pointing gestures. Dasher is a competitive text-entry system
 wherever a full-size keyboard cannot be used .
 .
 This package contains various arch-independent data files for dasher:
  * alphabet descriptions for all languages
  * letter colours settings
  * training files in all languages

Package: datalad
Description-md5: bc521b5f2f59112cf94748b9113030e5
Description-en: data files management and distribution platform
 DataLad is a data management and distribution platform providing
 access to a wide range of data resources already available online.
 Using git-annex as its backend for data logistics it provides following
 facilities built-in or available through additional extensions
 .
  - command line and Python interfaces for manipulation of collections of
    datasets (install, uninstall, update, publish, save, etc.) and
 separate files/directories (add, get)
  - extract, aggregate, and search through various sources of metadata
    (xmp, EXIF, etc; install datalad-neuroimaging for DICOM, BIDS, NIfTI
    support)
  - crawl web sites to automatically prepare and update git-annex
    repositories with content from online websites, S3, etc (install
    datalad-crawler)
 .
 This package provides the command line tools.  Install without
 Recommends if you need only core functionality.

Package: datalad-container
Description-md5: 78d8e7c14478ed0bfde4296ff9cf87d1
Description-en: DataLad extension for working with containerized environments
 This extension enhances DataLad (http://datalad.org) for working with
 computational containers.

Package: datamash
Description-md5: 3b1bb4c83fc4a049b4035de80b6ada0f
Description-en: statistics tool for command-line interface
 GNU Datamash is a command-line program which performs basic numeric,
 textual and statistical operations on input textual data files. It is
 designed to be portable and reliable, and aid researchers to easily
 automate analysis pipelines, without writing code or even short scripts.

Package: datapacker
Description-md5: 9a535298306cbbfccd06280d2a56baee
Description-en: Tool to pack files into minimum number of CDs/DVDs/etc
 datapacker is a tool to group files by size. It is
 designed to group files such that they fill fixed-size containers
 (called "bins") using the minimum number of containers. This is
 useful, for instance, if you want to archive a number of files to CD
 or DVD, and want to organize them such that you use the minimum
 possible number of CDs or DVDs.
 .
 In many cases, datapacker executes almost instantaneously. Of
 particular note, the hardlink action can be used
 to effectively copy data into bins without having to actually copy
 the data at all.
 .
 datapacker is a tool in the traditional Unix style; it can be used in
 pipes and call other tools.

Package: dataset-fashion-mnist
Description-md5: bf10f384c51016bca6b70bf611c84458
Description-en: MNIST-like fashion product database
 Fashion-MNIST is a dataset of Zalando's article images -- consisting of a
 training set of 60,000 examples and a test set of 10,000 examples. Each
 example is a 28x28 grayscale image, associated with a label from 10 classes.
 The author intends Fashion-MNIST to serve as a direct **drop-in replacement**
 for the original MNIST dataset for benchmarking machine learning algorithms.
 It shares the same image size and structure of training and testing splits.

Package: datefudge
Description-md5: 90d216207ae2ffe441dfbc9f8a57f5df
Description-en: Fake the system date
 This program (and preload library) fakes the system date so that
 programs think the wall clock is ... different.
 .
 The faking is not complete; timestamp on files are not affected in any
 way.
 .
 This package is useful if you want to test the date handling of your
 programs without changing the system clock. Examples: Does it handle
 expired certificates correctly? Does it work on a leap day?

Package: dateutils
Description-md5: 03fcffc5621e21a84566bae40f1039b4
Description-en: nifty command line date and time utilities
 Dateutils are a bunch of tools that revolve around fiddling
 with dates and times in the command line with a strong focus
 on use cases that arise when dealing with large amounts of
 financial data.

Package: datovka
Description-md5: 0f0898faa850cbbdcfe7674a0481c8fc
Description-en: Czech Data Boxes client
 Datovka is a rich desktop client to access messages stored in the
 Czech Data Boxes system (databox)
 .
 The client provides access to multiple databoxes from one interface
 and also can be used to store sent and received messages indefinitely
 on a local system.
 .
 It's mostly useful only to a Czech citizens.

Package: dav-text
Description-md5: 0837c39deb43ed957a13015d75917062
Description-en: minimalist ncurses-based text editor
 Dav is meant to provide a stable text editor that is efficient
 in both memory and processor usage. It is simple to use, making it ideal for
 novice users. It is licensed under the GPL and is still
 in development.
 .
 Features include:
     * Memory and cpu efficiency
     * Small filesize and footprint
     * Freely licensed under the GPL
     * Useful feature set
     * Intuitive and easy to use

Package: davfs2
Description-md5: f8eed21b7f3e0ce6b263a0f4db368796
Description-en: mount a WebDAV resource as a regular file system
 Web Distributed Authoring and Versioning (WebDAV), an extension to the
 HTTP-protocol, allows authoring of resources on a remote
 web server. davfs2 provides the ability to access such resources like
 a typical filesystem, allowing for use by standard applications with no
 built-in support for WebDAV.
 .
 davfs2 is designed to fully integrate into the filesystem semantics of
 Unix-like systems (mount, umount, et c.). davfs2 makes
 mounting by unprivileged users as easy and secure as possible.
 .
 davfs2 does extensive caching to make the file system responsive,
 to avoid unnecessary network traffic and to prevent data loss, and to cope
 for slow or unreliable connections.
 .
 davfs2 will work with most WebDAV servers needing little or no configuration.

Package: davical
Description-md5: 0ba62411c9e2ac58db7899c80a834734
Description-en: PHP CalDAV and CardDAV Server
 The DAViCal CalDAV Server is designed to store CalDAV calendars and
 CardDAV addressbooks, such as those from Evolution, Sunbird/Lightning,
 Mulberry, iCal, iPhone or SOHO Organizer, in a central location,
 providing shared calendars, free/busy publication and a
 basic administration interface.

Package: davical-doc
Description-md5: ffcf6b90911c2a5fef8a3fff3b48d66e
Description-en: PHP CalDAV and CardDAV Server - technical documentation
 The DAViCal CalDAV Server is designed to store CalDAV calendars and
 CardDAV addressbooks, such as those from Evolution, Sunbird/Lightning,
 Mulberry, iCal, iPhone or SOHO Organizer, in a central location,
 providing shared calendars, free/busy publication and a
 basic administration interface.
 .
 This package contains detailed technical documentation for the
 classes and methods in the DAViCal programs. Some user-centric
 configuration documentation is also included.

Package: davix
Description-md5: a06cdc79b4947c565d70747181775631
Description-en: Toolkit for http based file management
 Davix is a toolkit designed for file operations with http based protocols
 (WebDav, Amazon S3, ...).

Package: davix-dev
Description-md5: ba79efcf7d121f8cb37b10928c017bc8
Description-en: Development files for davix
 Development files for davix. Davix is a toolkit designed for file operations
 with http based protocols (WebDav, Amazon S3, ...).

Package: davix-doc
Description-md5: 28b33b43281ec79c15e94afa2baa3f4c
Description-en: Documentation for davix
 Documentation and examples for davix. Davix is a toolkit designed for file
 operations with Http based protocols (WebDav, Amazon S3, ...).

Package: davix-tests
Description-md5: 28aed46b36764ba2d827a89bc3129d13
Description-en: Test suite for davix
 Test suite for davix. Davix is a toolkit designed for file operations
 with http based protocols (WebDav, Amazon S3, ...).

Package: davmail
Description-md5: 2dad766cd6911c06be6126c724de423d
Description-en: POP/IMAP/SMTP/CalDav/LDAP to Microsoft Exchange gateway
 Ever wanted to get rid of Outlook ? DavMail is
 a POP/IMAP/SMTP/Caldav/Carddav/LDAP exchange gateway allowing users to use any
 mail/calendar client (e.g. Thunderbird with Lightning or Apple iCal) with an
 Exchange server, even from the internet or behind a firewall through Outlook
 Web Access. DavMail now includes an LDAP gateway to Exchange global address
 book and user personal contacts to allow recipient address completion in mail
 compose window and full calendar support with attendees free/busy display.
 .
 The main goal of DavMail is to provide standard compliant protocols in front
 of proprietary Exchange. This means LDAP for global address book, SMTP to send
 messages, IMAP to browse messages on the server in any folder, POP to retrieve
 inbox messages only, Caldav for calendar support and Carddav for personal
 contacts sync. Thus any standard compliant client can be used with Microsoft
 Exchange.
 .
 DavMail gateway is implemented in java and should run on any platform.
 Releases are tested on Windows, Linux (Ubuntu) and Mac OSX. Tested
 successfully with the Iphone (gateway running on a server).

Package: dawg
Description-md5: cb9e725a92571609eec86bc390641775
Description-en: simulate the evolution of recombinant DNA sequences
 DNA Assembly with Gaps (Dawg) is an application designed to simulate the
 evolution of recombinant DNA sequences in continuous time based on the robust
 general time reversible model with gamma and invariant rate heterogeneity and
 a novel length-dependent model of gap formation. The application accepts
 phylogenies in Newick format and can return the sequence of any node,
 allowing for the exact evolutionary history to be recorded at the discretion
 of users. Dawg records the gap history of every lineage to produce the true
 alignment in the output. Many options are available to allow users to
 customize their simulations and results.

Package: dawgdic-tools
Description-md5: 43f2dc9f814187639b74db6ebdb5fb1a
Description-en: command line tools for DAWG dictionaries
 dawgdic is a library for building and accessing dictionaries implemented with
 directed acyclic word graphs (DAWGs).
 .
 This package contains the command-line tools.

Package: daxctl
Description-md5: d1205f51d074ab830c2dc5bd5c71a069
Description-en: Utility for managing the device DAX subsystem
 "DAX" are file system extensions to bypass the page cache and block layer to
 mmap persistent memory, from a PMEM block device, directly into a process
 address space.
 .
 This package contains a utility for managing the device DAX subsystem in the
 Linux kernel.

Package: dazzdb
Description-md5: 7e00727db0845d5aaf47b78dbb71d5bc
Description-en: manage nucleotide sequencing read data
 To facilitate the multiple phases of the dazzler assembler, all the read data
 is organized into what is effectively a database of the
 reads and their meta-information. The design goals for this data base
 are as follows:
  * The database stores the source Pacbio read information in such a
    way that it can re-create the original input data, thus permitting
    a user to remove the (effectively redundant) source files. This
    avoids duplicating the same data, once in the source file and once
    in the database.
  * The data base can be built up incrementally, that is new sequence
    data can be added to the data base over time.
  * The data base flexibly allows one to store any meta-data desired for
    reads. This is accomplished with the concept of *tracks* that
    implementors can add as they need them.
  * The data is held in a compressed form equivalent to the .dexta and
    .dexqv files of the data extraction module. Both the .fasta and
    .quiva information for each read is held in the data base and can be
    recreated from it. The .quiva information can be added separately and
    later on if desired.
  * To facilitate job parallel, cluster operation of the phases of the
    assembler, the database has a concept of a *current partitioning* in
    which all the reads that are over a given length and optionally
    unique to a well, are divided up into *blocks* containing roughly a
    given number of bases, except possibly the last block which may have
    a short count. Often programs can be run on blocks or pairs of blocks
    and each such job is reasonably well balanced as the blocks are all
    the same size. One must be careful about changing the partition
    during an assembly as doing so can void the structural validity of
    any interim block-based results.

Package: db-upgrade-util
Description-md5: 01101af047006920c642d09e46f55342
Description-en: Berkeley Database Utilities (old versions)
 This empty package depends on the old (from previous stable release)
 utilities package containing different tools for manipulating
 databases and installs unversioned links to current database
 utilities.
 .
 This package exists to help package maintainers which need to upgrade
 Berkeley DB database file.  The package which needs to perform an
 database upgrade will need to depend on db-util and db-upgrade-util
 and call commands as described in:
 http://docs.oracle.com/cd/E17076_02/html/upgrading/upgrade_process.html

Package: db2twitter
Description-md5: 8842954d1acaf47ce193e49ee5ce0bd4
Description-en: Simple Twitter bot using a database to build and send tweets
 db2twitter fetches data from a database using the SQLAlchemy library,
 filling a user-defined template to build a tweet and send it.
 db2twitter keeps track of already sent tweets and only sends a new
 tweet if it was not sent before. db2twitter information are stored in
 a sqlite database.

Package: db4otool
Description-md5: 1976f08bbc18cf71c47535681b440ff4
Description-en: native OODBMS for CLI (tools)
 db4o is the open source object database that enables Java and .NET developers
 to store and retrieve any application object with only one line of code,
 eliminating the need to predefine or maintain a separate, rigid data model.
 .
 This package contains the Db4oTool utility, used to manipulate Db4o databases.

Package: db5.3-sql-util
Description-md5: 349c24cfe3663fabfe92a44786475ac7
Description-en: Berkeley v5.3 SQL Database Utilities
 This package provides different tools for manipulating databases
 in the Berkeley v5.3 SQL database format, and includes:
  - db5.3_sql: sqlite3 compatible command-line utility

Package: dbab
Description-md5: 5ffc3e07daf90f788aff1b487ffa8245
Description-en: dnsmasq-based ad-blocking using pixelserv
 Block advertising sites at the DNS level. dbab is feather weight,
 both in terms of package size and CPU usage, because no pattern matching or
 string substitution is involved. Thus it is super efficient and extremely
 fast.  All ads are be replaced by a 1x1 pixel gif image served locally by
 the tiny pixelserv server. The ad-block list can be periodically downloaded
 from pgl.yoyo.org, and can be tweaked locally. Speed up mobile device
 browsing without installing any apps to them.

Package: dbacl
Description-md5: e3c986ec27cfd8a90eb1b2a5e9e738e5
Description-en: digramic Bayesian text classifier
 dbacl can distill text documents into categories, and then
 compare other text documents to the learned categories.
 .
 It can be used to recognize spam, and more generally
 sort incoming email into any number of categories such as
 work, play, and so on.
 .
 As a noise filter, it can be useful during the indexing of
 personal document collections.

Package: dballe
Description-md5: 7472d808fe369585b329358d8a66263c
Description-en: Database for point-based meteorological data (Command line tools)
 DB-All.e is a fast on-disk database where meteorological observed and
 forecast data can be stored, searched, retrieved and updated.
 .
 This framework allows one to manage large amounts of data using its simple
 Application Program Interface, and provides tools to visualise, import
 and export in the standard formats BUFR, and CREX.
 .
 The main characteristics of DB-ALL.e are:
 .
  * Fortran, C, C++ and Python APIs are provided.
  * To make computation easier, data is stored as physical quantities,
    that is, as measures of a variable in a specific point of space and
    time, rather than as a sequence of report.
  * Internal representation is similar to BUFR and CREX WMO standard
    (table code driven) and utility for import and export are included
    (generic and ECMWF template).
  * Representation is in 7 dimensions: latitude and longitude geographic
    coordinates, table driven vertical coordinate, reference time,
    table driven observation and forecast specification, table driven
    data type.
  * It allows one to store extra information linked to the data, such as
    confidence intervals for quality control.
  * It allows one to store extra information linked to the stations.
  * Variables can be represented as real, integer and characters, with
    appropriate precision for the type of measured value.
  * It is based on physical principles, that is, the data it contains are
    defined in terms of homogeneous and consistent physical data. For
    example, it is impossible for two incompatible values to exist in the
    same point in space and time.
  * It can manage fixed stations and moving stations such as airplanes or
    ships.
  * It can manage both observational and forecast data.
  * It can manage data along all three dimensions in space, such as data
    from soundings and airplanes.
  * Report information is preserved. It can work based on physical
    parameters or on report types.

Package: dballe-common
Description-md5: 1f9682bf11b382d11bf46499a42b831d
Description-en: Common data files for all DB-All.e modules
 DB-All.e is a fast on-disk database where meteorological observed and
 forecast data can be stored, searched, retrieved and updated.
 .
 This package contains common DB-All.e data files, including variable metadata,
 BUFR and CREX decoding tables, report metadata, level and time range
 descriptions.

Package: dbar
Description-md5: 98b956d9ac40f06911e31773af032a5b
Description-en: general purpose ASCII graphic percentage meter/progressbar
 dbar lets you define static 0% and 100% marks or you can define these
 marks dynamically at runtime. Static and dynamic marks can be mixed,
 in this case the value specified at runtime will have a higher priority.
 .
 You can specify ranges of numbers, negative, positive or ranges with a
 negative min value and positive max value.
 .
 Features:
 .
   - Simple interface, perfect to use within your scripts
   - Trivial to intergrate with dzen

Package: dbconfig-common
Description-md5: 3fa1997ed54b15c65dd46db7b40eb2f0
Description-en: framework that helps packages to manage databases
 This package contains the core of the dbconfig-common framework. This
 framework presents a policy and implementation for managing various databases
 used by applications included in Debian packages.
 .
 It can:
  - support MySQL/MariaDB, PostgreSQL, and SQLite based applications;
  - create or remove databases and database users;
  - access local or remote databases;
  - upgrade/modify databases when upstream changes database structure;
  - generate config files in many formats with the database info;
  - import configs from packages previously managing databases on their own;
  - prompt users with a set of normalized, pre-translated questions;
  - handle failures gracefully, with an option to retry;
  - do all the hard work automatically;
  - work for package maintainers with little effort on their part;
  - work for local admins with little effort on their part;
  - comply with an agreed upon set of standards for behavior;
  - do absolutely nothing if that is the whim of the local admin;
  - perform all operations from within the standard flow of
    package management (no additional skill is required of the local
    admin).

Package: dbconfig-mysql
Description-md5: 4248687ebe9cb0e9aeec4708e9f12e41
Description-en: dbconfig-common MySQL/MariaDB support
 This package ensures MySQL/MariaDB support in the dbconfig-common framework,
 and should be in the depends list of packages that require MySQL support from
 dbconfig-common.
 .
 System administrators that don't want dbconfig-common to handle the database
 management should install dbconfig-no-thanks instead.

Package: dbconfig-no-thanks
Description-md5: 7ded10652661a4b00392e2394031026e
Description-en: dbconfig-common bypass
 If a package relies on the dbconfig-common framework for database setup and
 maintenance, installing dbconfig-no-thanks instead of one of dbconfig's
 database-specific packages will block this function. It is intended for cases
 where the system administrator desires or requires full control of the
 database or where dbconfig-common makes bad choices, and typically leaves
 the depending packages non-functional until manually configured.

Package: dbconfig-pgsql
Description-md5: 8ab237833cee3357a80a6dbde600134a
Description-en: dbconfig-common PostgreSQL support
 This package ensures PostgreSQL support in the dbconfig-common framework, and
 should be in the depends list of packages that require PostgreSQL support from
 dbconfig-common.
 .
 System administrators that don't want dbconfig-common to handle the database
 management should install dbconfig-no-thanks instead.

Package: dbconfig-sqlite
Description-md5: e85500995459ee42b8c4ee828cb7c31b
Description-en: dbconfig-common SQLite support
 This package ensures SQLite support in the dbconfig-common framework, and
 should be in the depends list of packages that require SQLite support from
 dbconfig-common.
 .
 System administrators that don't want dbconfig-common to handle the database
 management should install dbconfig-no-thanks instead.

Package: dbconfig-sqlite3
Description-md5: 9fd00b2b98b63eae1a9f5e79fc0fa23d
Description-en: dbconfig-common SQLite3 support
 This package ensures SQLite3 support in the dbconfig-common framework, and
 should be in the depends list of packages that require SQLite3 support from
 dbconfig-common.
 .
 System administrators that don't want dbconfig-common to handle the database
 management should install dbconfig-no-thanks instead.

Package: dbeacon
Description-md5: 715c2427af3745b4f6fca2f21ae05b30
Description-en: Multicast beacon
 dbeacon is a multicast beacon: its main purpose is to monitor other beacons'
 reachability and collect statistics such as loss, delay and jitter between
 them.
 .
 dbeacon supports both IPv4 and IPv6 multicast, collecting information using
 both Any Source Multicast (ASM) and Source-Specific Multicast (SSM).
 .
 This package also includes dbeacon matrix, a Perl script to generate beacon
 reachability matrices in HTML.

Package: dbench
Description-md5: 9cff6e5b49528806756769fba7a10ef9
Description-en: The dbench (disk) and tbench (TCP) benchmarks
 dbench and tbench simulate the load of the netbench "industry
 standard" benchmark used to rate (windows) file servers.  Unlike netbench,
 they do not require a lab of Microsoft Windows PCs: dbench produces
 the filesystem load on a netbench run, and tbench produces the
 network load, allowing simpler bottleneck isolation.
 .
 Note that this benchmark is *not* a realistic reflection of normal
 server load.

Package: dbf2mysql
Description-md5: d7957e8343e93322f065e669ed3b7f90
Description-en: xBase to MySQL or vice versa
 This program takes an xBase file and sends queries to an MySQL server to
 insert it into an MySQL table and vice versa.
 .
 This package now consists of two programs: the dbf2mysql, and mysql2dbf,
 which makes it possible to dump an mySQL-table to a dbf-file.

Package: dblatex
Description-md5: 15cf88c884f1b5720fc344f3f77ffa0a
Description-en: Produces DVI, PostScript, PDF documents from DocBook sources
 DocBook to LaTeX Publishing that transforms your SGML/XML DocBook documents to
 DVI, PostScript or PDF by translating them in pure LaTeX as a first process.
 MathML 2.0 markups are supported, too.  It originally started as a clone of
 DB2LaTeX, but has been enhanced extensively since then and is actively
 maintained by the upstream author.

Package: dblatex-doc
Description-md5: 8d86a982559f2e9d2eaa5418cb75b15a
Description-en: Documentation for dblatex
 Additional documentation for dblatex in HTML and PDF.  Includes:
  • User Manual
  • Release Notes
  • DocBook Examples

Package: dbmix
Description-md5: cdc77c47cae881452ae56e61e0f91640
Description-en: DJ mixer for digital audio streams
 The DBMix software collection mimicks a mixing desk as used by disc jockeys.
 Using only a single sound card, it allows one to mix audio streams from a variety
 of sources, cross-fade between them, adjust the pitches, or punch in short
 effects.  Optionally, a second sound card (or output channel) can be used to
 cue in songs.

Package: dbskkd-cdb
Description-md5: a09b80e13403eaaaffad71ca2f62bad2
Description-en: SKK dictionary server using cdb for faster access
 dbskkd-cdb is a dictionary server for SKK Japanese input systems,
 using D. J. Bernstein's cdb database for faster dictionary access.
 .
 dbskkd-cdb is compatible with skkserv on the protocol behavior.
 It is called from an internet super-server.
 .
 This package uses the SKK dictionary cdb file `SKK-JISYO.cdb' that
 is provided by the skkdic-cdb package.
 .
 If you want to convert your own dictionary to cdb, use the
 `makeskkcdbdic' command.  This command requires the tinycdb package.

Package: dbtoepub
Description-md5: a26aa8e911b3482348b80594ac01069a
Description-en: DocBook XML to .epub converter
 dbtoepub is an alpha-quality reference implementation to convert DocBook
 documents into the .epub format, an open standard for reflowable digital
 books and publications (e-books).
 .
 The .epub format is defined by the IDPF at http://www.idpf.org.

Package: dbus-cpp-bin
Description-md5: 1f692b6fb03f341cbe1df4e085c14071
Description-en: header-only dbus-binding leveraging C++-11 (tools)
 Protocol compiler and generator to automatically generate protocol headers
 from introspection XML.
 .
 D-Bus is a message bus used for sending messages between applications.
 .
 This package contains runtime binaries.

Package: dbus-cpp-dev-examples
Description-md5: 6c264b967b244227d03e3bafa733507d
Description-en: header-only dbus-binding leveraging C++-11 (examples)
 A header-only dbus-binding leveraging C++-11, relying on compile-time
 polymorphism to integrate with arbitrary type systems.
 .
 D-Bus is a message bus used for sending messages between applications.
 .
 This package provides examples.

Package: dbus-java-bin
Description-md5: c27439e7d4d315aff584eb995533f07e
Description-en: simple interprocess messaging system (Java Binaries)
 D-Bus is a message bus, used for sending messages between applications.
 Conceptually, it fits somewhere in between raw sockets and CORBA in
 terms of complexity.
 .
 This package provides several programs using the Java implementation
 of D-Bus. This includes a simple D-Bus daemon for use in session buses,
 A tool for generating Java stubs from D-Bus introspection data and
 a graphical browser for D-Bus connections.
 .
 See the dbus description for more information about D-Bus in general.

Package: dbus-test-runner
Description-md5: 88e7da912a798eb55139c316ccca5b7b
Description-en: Runs tests under a new DBus session
 A simple little executable for running a couple of programs under a
 new DBus session.

Package: dbus-tests
Description-md5: 21d8962af3ce2eb690155b3d6c1a7f1b
Description-en: simple interprocess messaging system (test infrastructure)
 D-Bus is a message bus, used for sending messages between applications.
 Conceptually, it fits somewhere in between raw sockets and CORBA in
 terms of complexity.
 .
 This package provides automated and manual tests for D-Bus, and the
 dbus-test-tool utility. It also provides copies of the D-Bus libraries and
 executables compiled with extra debug information and logging.
 .
 See the dbus package description for more information about D-Bus in general.

Package: dbview
Description-md5: d61e727fcd6480d1917168bcd1d03d18
Description-en: View dBase III files
 Dbview is a little tool that will display dBase III and IV
 files. You can also use it to convert your old .dbf files
 for further use with Unix.
 .
 It wasn't the intention to write a freaking viewer and
 reinvent the wheel again. Instead dbview is intend to be
 used in conjunction with your favourite unix text utilities
 like cut, recode and more.

Package: dc3dd
Description-md5: 49d99c8b21b9b25732ad04d2a8a3643f
Description-en: patched version of GNU dd with forensic features
 dc3dd is a patched version of GNU dd with added features for computer
 forensics:
 .
  * on the fly hashing (md5, sha-1, sha-256, and sha-512);
  * possibility to write errors to a file;
  * group errors in the error log;
  * pattern wiping;
  * progress report;
  * possibility to split output.

Package: dcap
Description-md5: 4ad1a3f0716f5eeb286d81e5ee3c44dd
Description-en: Client Tools for dCache
 dCache is a distributed mass storage system.
 This package contains the client tools.

Package: dcap-dev
Description-md5: 4afd0afe2512d4ccfbf99e55b6d1e4f8
Description-en: Client Development Files for dCache
 dCache is a distributed mass storage system.
 This package contains the client development files.

Package: dcap-tunnel-gsi
Description-md5: 0452274dc97a78b8a8c961100329e87d
Description-en: GSI tunnel for dCache
 This package contains the gsi tunnel plugin library used by dcap.
 This library is dynamically loaded at runtime.

Package: dcap-tunnel-krb
Description-md5: 334c0d27ea8b4505d8a83e49c502b44d
Description-en: Kerberos tunnel for dCache
 This package contains the kerberos tunnel plugin library used by dcap.
 This library is dynamically loaded at runtime.

Package: dcap-tunnel-ssl
Description-md5: 4e93e421e1d6ba0111afaa213bc8a714
Description-en: SSL tunnel for dCache
 This package contains the ssl tunnel plugin library used by dcap.
 This library is dynamically loaded at runtime.

Package: dcap-tunnel-telnet
Description-md5: af6b3d95c44ba764f101852e98b402fb
Description-en: Telnet tunnel for dCache
 This package contains the telnet tunnel plugin library used by dcap.
 This library is dynamically loaded at runtime.

Package: dcfldd
Description-md5: 5bc0ea184493944a867f4a5e09429f11
Description-en: enhanced version of dd for forensics and security
 dcfldd was initially developed at Department of Defense Computer Forensics
 Lab (DCFL). This tool is based on the dd program with the following additional
 features:
 .
  - Hashing on-the-fly: dcfldd can hash the input data as it is being
    transferred, helping to ensure data integrity.
  - Status output: dcfldd can update the user of its progress in terms of the
    amount of data transferred and how much longer operation will take.
  - Flexible disk wipes: dcfldd can be used to wipe disks quickly and with a
    known pattern if desired.
  - Image/wipe verify: dcfldd can verify that a target drive is a bit-for-bit
    match of the specified input file or pattern.
  - Multiple outputs: dcfldd can output to multiple files or disks at the same
    time.
  - Split output: dcfldd can split output to multiple files with more
    configurability than the split command.
  - Piped output and logs: dcfldd can send all its log data and output to
    commands as well as files natively.
  - When dd uses a default block size (bs, ibs, obs) of 512 bytes, dcfldd uses
    32768 bytes (32 KiB) which is HUGELY more efficient.
  - The following options are present in dcfldd but not in dd: ALGORITHMlog:,
    errlog, hash, hashconv, hashformat, hashlog, hashlog:, hashwindow, limit,
    of:, pattern, sizeprobe, split, splitformat, statusinterval, textpattern,
    totalhashformat, verifylog, verifylog:, vf.

Package: dclock
Description-md5: 3b9526bc88a2c10c911cf9414bb21cc4
Description-en: Digital clock for the X Window System with flexible display
 Dclock main feature is its great flexibility in how it can draw. You can
 even display the output from "date" in it such as "Wednesday, 3rd Jan".
 Dclock also supports setting an alarm.
 .
 You need the package sox if you want to enable sound for alarm and
 hourly bells (rather than beeps).

Package: dcm2niix
Description-md5: 87adc72fb839d25eb3a7bdde4a778ff8
Description-en: next generation DICOM to NIfTI converter
 dcm2niix is the successor of dcm2nii, a popular tool for converting images
 from the complicated formats used by scanner manufacturers (DICOM, PAR/REC)
 to the simpler NIfTI format used by many scientific tools. It works for all
 modalities (CT, MRI, PET, SPECT) and sequence types.

Package: dcmtk
Description-md5: 4e2bb09aacd082ae853c1a73e701f503
Description-en: OFFIS DICOM toolkit command line utilities
 DCMTK includes a collection of libraries and applications for examining,
 constructing and converting DICOM image files, handling offline media,
 sending and receiving images over a network connection, as well as
 demonstrative image storage and worklist servers.
 .
 This package contains the DCMTK utility applications.
 .
 Note: This version was compiled with libssl support.

Package: dcmtk-doc
Description-md5: 2ba99821a14957a0c94b52ddaf004741
Description-en: OFFIS DICOM toolkit documentation
 DCMTK includes a collection of libraries and applications for examining,
 constructing and converting DICOM image files, handling offline media,
 sending and receiving images over a network connection, as well as
 demonstrative image storage and worklist servers.
 .
 This package contains the on-line documentation for the DCMTK libraries
 and utilities in HTML format.

Package: dconf-editor
Description-md5: 8594999c9f75808f25b87fd50f2307f1
Description-en: simple configuration storage system - graphical editor
 DConf is a low-level key/value database designed for storing desktop
 environment settings.
 .
 This package contains a graphical editor for settings stored in dconf.

Package: dcraw
Description-md5: 47f6a6ac20afa2912e8b8cdc7b42d1bd
Description-en: decode raw digital camera images
 This utility converts the native (RAW), format of various digital
 cameras into netpbm portable pixmap (.ppm) or TIFF images. Supports
 the following models: Canon, Kodak, Olympus, Nikon, Fuji, Minolta
 and Sigma (see http://www.cybercom.net/~dcoffin/dcraw/ for full list)
 Note: This utility does not read directly from the cameras, only
 the files after they have been downloaded, use gphoto2 for that.

Package: ddate
Description-md5: 1d40921f66aeb0a50a761c063d6dad86
Description-en: convert Gregorian dates to Discordian dates
 Displays the Discordian date of a given date. The Discordian calendar
 was made popular by the "Illuminatus!" trilogy by Robert Shea and Robert
 Anton Wilson.

Package: ddcci-dkms
Description-md5: 394917cff687f0556534ade69ee9e94a
Description-en: DDC/CI driver
 This set of kernel modules provides a DDC/CI driver for compatible
 monitors, and integration into the backlight system class. With the
 latter, many desktop environments can control the backlight on
 external monitors.

Package: ddccontrol
Description-md5: 73e807fcbf61795d84a52bb8883e6296
Description-en: program to control monitor parameters
 DDCcontrol is a tool used to control monitor parameters, like brightness
 and contrast, without using the OSD (On Screen Display) and the buttons
 in front of the monitor.

Package: ddccontrol-db
Description-md5: f3bac31fa85e2022d45914c1d8edc996
Description-en: monitor database for ddccontrol
 Database of monitors used by ddccontrol.  This is mostly useless without
 ddccontrol.

Package: ddclient
Description-md5: c061b70ebef6e12030d0e94015405c7a
Description-en: address updating utility for dynamic DNS services
 This package provides a client to update dynamic IP addresses with
 several dynamic DNS service providers, such as DynDNS.com.
 .
 This makes it possible to use a fixed hostname (such as
 myhost.dyndns.org) to access a machine with a dynamic IP address.
 .
 This client supports both dynamic and (near) static services, as  well as
 MX record and alternative name management. It caches the address, and
 only attempts the update when it has changed.

Package: ddcutil
Description-md5: d42b070379f738a6f64b765e7ce04518
Description-en: Control monitor settings
 ddcutil is used to query and change monitor settings.
 .
 ddcutil communicates with monitors implementing MCCS (Monitor Control Command
 Set). Normally, communication is performed using the DDC/CI protocol on the
 I2C bus.  Alternatively, communication can be performed over USB as per the
 USB Monitor Control Class Specification.
 .
 A particular use case for ddcutil is as part of color profile management.
 Monitor calibration is relative to the monitor color settings currently in
 effect,  e.g. red  gain.  ddcutil allows color related settings to be saved
 at the time a monitor is calibrated, and then restored when the calibration
 is applied.

Package: ddd
Description-md5: 99412659cdd3cea2e73b7607c5694cdf
Description-en: Data Display Debugger, a graphical debugger frontend
 The Data Display Debugger (DDD) is a popular graphical user interface to
 UNIX debuggers such as GDB, DBX, XDB, JDB and others. Besides typical
 front-end features such as viewing source texts and breakpoints, DDD
 provides an interactive graphical data display, where data structures are
 displayed as graphs. Using DDD, you can reason about your application by
 watching its data, not just by viewing it execute lines of source code.
 .
 Other DDD features include: debugging of programs written in Ada, Bash, C,
 C++, Chill, Fortran, Java, Modula, Pascal, Perl and Python; machine-level
 debugging; hypertext source navigation and lookup; breakpoint, backtrace,
 and history editors; preferences and settings editors; program execution
 in terminal emulator window; debugging on remote host; on-line manual;
 interactive help on the Motif user interface; GDB/DBX/XDB command-line
 interface with full editing, history, and completion capabilities.
 .
 This version is linked against Lesstif, an LGPL-ed implementation of Motif.

Package: ddd-doc
Description-md5: 08b8858f7886099255e2260d9b5bbb8a
Description-en: Additional documentation for the Data Display Debugger
 The "Debugging with DDD" and "Writing DDD Themes" User's Guide and
 Reference Manual in PDF format ("info" versions are included in the "ddd"
 package itself).
 .
 The technical report "DDD---A Free Graphical Front-End for UNIX Debuggers"
 in PostScript format.

Package: dde-calendar
Description-md5: 34c7b025c0ad09863b43637e3f76e77d
Description-en: Deepin Calendar
 Deepin Calendar is an easy calendar tool developed by Deepin Technology, main
 features current date, solar terms, lunar calendar and world holidays.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: dde-qt5integration
Description-md5: 5828468500f3e19d7d74912d8070b2b2
Description-en: Qt5 theme integration for Deepin application
 Deepin's qt5integration provides library plugins used by Deepin
 application and Deepin Desktop Environment. It implements many extra
 features on top of stock Qt, include hijacking window decoration /
 shadow painting, better support for cursors under HiDPI, retrieval of
 full list of windows under current workspace, support for window of
 arbitrary shape with anti-aliasing and so on, to make Qt5 application
 and Deepin Desktop Environment more user-friendly.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: ddgr
Description-md5: fbf97be4352d256c7db3959fdb002831
Description-en: DuckDuckGo from the terminal
 Features
 .
  - Fast and clean (no ads, stray URLs or clutter), custom color
  - Navigate result pages from omniprompt, open URLs in browser
  - Search and option completion scripts for Bash, Zsh and Fish
  - DuckDuckGo Bang support (along with completion)
  - Open the first result directly in browser (as in I'm Feeling Ducky)
  - Non-stop searches: fire new searches at omniprompt without exiting
  - Keywords (e.g. filetype:mime, site:somesite.com) support
  - Specify region, disable safe search
  - HTTPS proxy support, Do Not Track set, optionally disable User Agent
  - Support custom url handler script or cmdline utility
  - Comprehensive documentation, man page with handy usage examples
  - Minimal dependencies

Package: ddir
Description-md5: a75fa4369027964a6819f41a94624b41
Description-en: display hierarchical directory tree
 Display an indented directory tree using ASCII graphical characters to
 represent the hierarchical structure. The directories to include or
 exclude can be specified with command line options. Ddir is a Perl
 implementation of the tree(1) program.

Package: ddnet
Description-md5: 738aea6833196277d4437c2ed5f9b268
Description-en: Teeworlds modification with a unique cooperative gameplay
 DDraceNetwork (DDNet) is an actively maintained version of DDRace, a Teeworlds
 modification with a unique cooperative gameplay. Help each other play through
 custom maps with up to 64 players, compete against the best in international
 tournaments, design your own maps, or run your own server.
 .
 This package contains the client binary for DDNet.

Package: ddnet-data
Description-md5: 23a0f36fd0c0e2d9e25774110b34a7c2
Description-en: Data for DDNet
 DDraceNetwork (DDNet) is an actively maintained version of DDRace, a Teeworlds
 modification with a unique cooperative gameplay. Help each other play through
 custom maps with up to 64 players, compete against the best in international
 tournaments, design your own maps, or run your own server.
 .
 This package contains the static data for DDNet.

Package: ddnet-server
Description-md5: f297b523cbbbba92b61e46a75ae317ac
Description-en: Server for DDNet
 DDraceNetwork (DDNet) is an actively maintained version of DDRace, a Teeworlds
 modification with a unique cooperative gameplay. Help each other play through
 custom maps with up to 64 players, compete against the best in international
 tournaments, design your own maps, or run your own server.
 .
 This package contains the server binary for DDNet.

Package: ddnet-tools
Description-md5: 1d95fcd677974d67f68fa44a4f8cba66
Description-en: Tools for DDNet
 DDraceNetwork (DDNet) is an actively maintained version of DDRace, a Teeworlds
 modification with a unique cooperative gameplay. Help each other play through
 custom maps with up to 64 players, compete against the best in international
 tournaments, design your own maps, or run your own server.
 .
 This package contains tools for DDNet maps and configs.

Package: ddns3-client
Description-md5: d8b2b17724268fdfff2041bd0616cbd2
Description-en: Issues dynamic DNS v3 requests
 Dynamic DNS fixes your domain name when your IP address varies with
 permanent connections like cable modems. Your ISP may charge extra
 for DNS. Free dynamic DNS with a range of domain names is available
 from sites like dyndns.org, hn.org and ddns.nu. You set up an account
 and domain name with your browser at the dynamic DNS site then update
 the IP periodically with an appropriate client program.
 .
 This package installs a DNS update client, ddns3, for Dynamic DNS
 version 3 used by server ddns.nu in Sydney, Australia. After server
 account creation edit /etc/default/ddns3-client to activate ddns3.

Package: ddpt
Description-md5: 72b84173b54270eabdb8e4af5c27d8e5
Description-en: Copies disks, partitions and files.
 Copies files. Is specialized for cases where the source and/or
 destination is a storage device. Optionally can use a
 pass-through interface to issue SCSI READ and WRITE commands.
 Various sparse file and device handling options. Similar to
 and an extension of the Unix dd command. Also supports two
 variants of SCSI copy offload: xcopy(LID1) and the disk->disk
 subset of xcopy(LID4) known as ODX.

Package: ddrescueview
Description-md5: 88a69df38af6ee525e91605fc597cf9f
Description-en: graphical viewer for GNU ddrescue map files
 This small tool allows the user to graphically examine ddrescue's map files
 in a user friendly GUI application. The Main window displays a block grid
 with each block's color representing the block types it contains. Many people
 know this type of view from defragmentation programs.
 .
 Features:
  * Display ddrescue map in a colored block graphic
  * Examine each block in the image, see a detailed list of
    map entries contained
  * To keep track of the rescue process, ddrescueview can automatically
    re-read the mapfile
  * Units can be displayed with decimal (KB, MB...) or
    binary (KiB, MiB...) prefixes

Package: ddrutility
Description-md5: d94e35637821f14aae35a7ccd68c3382
Description-en: set of data recovery utilities for use with GNU ddrescue
 Meant to be a compliment to gnuddrescue. It is a LINUX based set of utilities
 to help with data rescue. Find what files are related to the bad sectors using
 a ddrescue logfile, special NTFS utility to find files related to bad sectors,
 create a domain logfile to use with ddrescue to only recover the used portion
 of an NTFS partition, create a domain logfile to use with ddrescue to focus on
 recovering the MFT of an NTFS partition and perform some advanced disk read
 functions.

Package: dds2tar
Description-md5: a60b666861cb2fe913afe031945d9dd8
Description-en: Tools for using DDS features of DAT drives with GNU tar
 This tool makes use of the fast seek command of DAT devices.
 Files from a selected file archive can be extracted within one minute.
 .
 A script scsi_vendor is provided which may help in learning more about
 an unknown SCSI device.  It is used by the mt-dds tool.

Package: ddskk
Description-md5: 1b1873a80de407d319f2e24b567a23ca
Description-en: efficient Japanese input system for emacsen
 Daredevil SKK (DDSKK) is a fast and efficient Japanese input system
 written in Emacs Lisp.
 .
 DDSKK is an expand version of SKK (Simple Kana to Kanji conversion
 program, originated by Masahiko Sato).  The way of Kana to Kanji
 conversion is characteristic of SKK.  To learn the usage of DDSKK,
 the tutorial program `skk-tutorial' is available.
 .
 By default, DDSKK tries to connect an skkserv compatible dictionary
 server such as dbskkd-cdb, skksearch or yaskkserv on localhost.
 Directly use of a dictionary file without skkserv is also supported.
 .
 To make a local dictionary from the skkdic package and the skkdic-extra
 package, use the update-skkdic command of the skktools package.  To use
 optional features, install required packages such as lookup-el, kakasi,
 and so on.

Package: ddtc
Description-md5: dbdc12c29ed91a0bbfa970884984759b
Description-en: Deal with ddts mails
 ddtc stands for Debian Description Translation Client. It's a Perl script to
 help both translators and reviewers to deal with ddts mails. It parses mails
 from the ddts, splits them into individual package files, sends translations,
 reviews and patches buggy translations.
 .
 Supports only package description (pdesc) for the moment.

Package: ddtp-translations
Description-md5: 48636982f04ea13cf1e5717f4d1eb7c1
Description-en: Empty dummy
 Empty dummy to allow building the special ddtp tarballs

Package: ddupdate
Description-md5: 47ab18c33d52a1db8f63ae8ce2f6b5b7
Description-en: Tool updating DNS data for dynamic IP addresses
 Updates dynamic IP addresses typically obtained using DHCP with dynamic
 DNS services such as changeip.com, duckdns.org or no-ip.com. This makes
 it possible to access a machine with a fixed name like myhost.duckdns.org
 even if the ip address changes. It is a linux-centric, user-friendly and
 secure alternative to the ubiquitous ddclient(8)
 .
 ddupdate has a plugin structure with plugins for obtaining the actual
 address (typically hardware-dependent) and to update it (service dependent).
 It is distributed with systemd support to run at regular intervals
 and with NetworkManager templates to run when interfaces goes up or down.
 .
 The package sports a simple interactive setup script which should be
 suitable in most cases.

Package: deal
Description-md5: badbe098a18bf4b52b30482dca327a72
Description-en: bridge hand generator
 This program generates bridge hands. It can be told to generate only hands
 satisfying conditions like being balanced, having a range of HCPs, controls,
 or other user-definable properties. Hands can be output in various formats,
 like pbn for feeding to other bridge programs, deal itself, or split up into a
 file per player for practise. Extensible via Tcl.

Package: dealer
Description-md5: c2f7262143c0af7889de00f2578ca394
Description-en: bridge hand generator
 This program generates bridge hands for partnerships bidding training or
 for generating statistics that can be used to design conventions, or win
 postmortems. Dealer has been used in many bridge publications.
 .
 Bridge is an intellectually challenging card game for four players.
 The "deal" package provides a similar generator programmable in Tcl.

Package: deap-doc
Description-md5: cbddfb9f7d0fc6401d7751e176f1b3d9
Description-en: Distributed Evolutionary Algorithms in Python (docs)
 DEAP is a novel evolutionary computation framework for rapid prototyping and
 testing of ideas. It seeks to make algorithms explicit and data structures
 transparent. It works in perfect harmony with parallelisation mechanism such as
 multiprocessing and SCOOP.
 .
 This package contains the documentation.

Package: deb-gview
Description-md5: a52c7bc242af6e9fd23f3c2a0bc80497
Description-en: GNOME viewer for .deb package files and contents
 Displays Debian control information, devscript details and
 details of the files that would be installed (names,
 sizes and locations). Files within the package can be
 viewed within the package or externally.
 .
 Accepts package locations on the command line to support
 the 'open' command in various file managers, one window
 for each package. Packages do not need to be installed
 to be viewed. Opening a changes file opens a new window
 for each package specified in the changes file.
 .
 Individual package files or packages referenced in a
 changes file can be viewed from local or remote
 filesystems.

Package: debarchiver
Description-md5: 4ec32a117196c6958a780ce67d609629
Description-en: tool to handle Debian package archives
 This tool can sort packages into a directory structure that apt-get
 and similar tools can use as an installation source (arranged in an
 old-style simple repository layout without package pools). It is meant
 to be used by administrators who need special packages, or
 tweaked versions to ease administration.
 .
 Package files placed in debarchiver's incoming directory along with the
 corresponding generated ".changes" file will be sorted by a cronjob
 into the appropriate directory of the repository.

Package: debaux
Description-md5: fee7fd0fa25d42a9151a2e3b88577a50
Description-en: Debian Auxiliary Programs
 This package contains Perl programs and modules to build
 and publish Debian packages.
 .
 debaux-build automatically downloads APT sources before
 building, applies patches and additional sources. It
 has options to build the packages in an existing chroot
 environment, check the generated packages with lintian, install
 the created packages on your local system or turn them
 into RPM packages.
 .
 debaux-build has experimental support for downloading
 Perl modules from CPAN and creating the necessary Debian
 packaging files.
 .
 debaux-publish uploads packages and runs the scripts
 to create the APT sources and packages files on the
 remote system. debaux-publish doesn't support the pool
 structure yet.

Package: debaux-debconf
Description-md5: ad9ae346b301c9a2103970a1f75d5edf
Description-en: Perl Interface to Debconf
 This package contains the DebAux::Debconf module, which
 provides an easy-to-use interface for some of the
 Debconf::Client::ConfModule functions.
 .
 It has been separated from the debaux package to allow
 packages utilizing this package during configuration and/or
 installation without drawing the whole list of debaux's
 dependencies.

Package: debbugs
Description-md5: 7f15b615e37b7d1fe889d7940de17799
Description-en: bug tracking system based on the active Debian BTS
 Debian has a bug tracking system which files details of bugs reported by
 users and developers. Each bug is given a number, and is kept on file until
 it is marked as having been dealt with. The system is mainly controlled by
 e-mail, but the bug reports can be viewed using the WWW.
 .
 This version is fully functional, but it does not automatically configure.
 See /usr/share/doc/debbugs/README.Debian after installation.
 .
 Note: there might be various issues with this package, caveat emptor.

Package: debbugs-local
Description-md5: 4f02885cb2914cfcb7590ed362ad1e1c
Description-en: Run and maintains a local mirror of the Debian BTS
 Debian has a bug tracking system which files details of bugs reported
 by users and developers. Each bug is given a number, and is kept on
 file until it is marked as having been dealt with. The system is
 mainly controlled by e-mail, but the bug reports can be viewed using
 the WWW.
 .
 This package contains extra scripts necessary to create a local
 mirror of the Debian BTS and keep a local mirror up to date.

Package: debbugs-web
Description-md5: d8b5fc50cac8441948e1a103bc9b11e6
Description-en: web scripts for the active Debian BTS
 Debian has a bug tracking system which files details of bugs reported by
 users and developers. Each bug is given a number, and is kept on file until
 it is marked as having been dealt with. The system is mainly controlled by
 e-mail, but the bug reports can be viewed using the WWW.
 .
 This package contains the cgi scripts necessary to view bugreports
 using the web.

Package: debci
Description-md5: 8a0d373a3345132e5d56ea807bd19f4d
Description-en: continuous integration system for Debian
 debci will scan the Debian archive for packages that contain DEP-8 compliant
 test suites, and run those test suites whenever a new version of the package,
 or of any package in its dependency chain (modulo the base system), is
 available.
 .
 The requests are distributed to worker machines through AMQP queues. You need
 rabbitmq-server for this; but it is also possible to run RabbitMQ on a
 different server than debci, in which case you do not need to install that
 recommendation.
 .
 This package contains the debci core.

Package: debci-collector
Description-md5: 8c3977a7b69680497852f25220104f1f
Description-en: continuous integration system for Debian (collector daemon)
 debci will scan the Debian archive for packages that contain DEP-8 compliant
 test suites, and run those test suites whenever a new version of the package,
 or of any package in its dependency chain (modulo the base system), is
 available.
 .
 The requests are distributed to worker machines through AMQP queues. You need
 rabbitmq-server for this; but it is also possible to run RabbitMQ on a
 different server than debci, in which case you do not need to install that
 recommendation.
 .
 This package provides the collector daemon, which will receive test results
 published by debci worker hosts, store them centrally, and generate the static
 HTML files for the debci user interface.

Package: debci-worker
Description-md5: 6ea2307dc1e2980b7e8904c22f5f015d
Description-en: continuous integration system for Debian (worker daemon)
 debci will scan the Debian archive for packages that contain DEP-8 compliant
 test suites, and run those test suites whenever a new version of the package,
 or of any package in its dependency chain (modulo the base system), is
 available.
 .
 The requests are distributed to worker machines through AMQP queues. You need
 rabbitmq-server for this; but it is also possible to run RabbitMQ on a
 different server than debci, in which case you do not need to install that
 recommendation.
 .
 This package provides cron and init integration for running debci worker
 daemons that will listen to AMQP queues and run test jobs.

Package: debconf-kde-data
Description-md5: 82edb4baee2615f86a4dbcbbefebab5c
Description-en: Debconf KDE data files
 Debconf KDE is a GUI library for including Debconf frontends in Qt-based
 applications.
 Debconf is a configuration management system for Debian packages, which
 is used to ask questions when they are installed.
 This library is written in C++ and used by Apper and Muon to
 embed Debconf dialogs.
 .
 This package contains the data files needed by the Debconf KDE library

Package: debconf-kde-helper
Description-md5: 3a4ff114eecf9feaf76f8d75f57d810b
Description-en: Debconf KDE GUI frontend tool
 Debconf KDE is a GUI library for including Debconf frontends in Qt-based
 applications.
 Debconf is a configuration management system for Debian packages, which
 is used to ask questions when they are installed.
 This library is written in C++ and used by Apper and Muon to
 embed Debconf dialogs.
 .
 This package contains a small standalone tool to display a KDE Debconf
 dialog without linking against the Debconf KDE library.

Package: debconf-utils
Description-md5: 6ee047164ccc84b6b919790585947b39
Description-en: debconf utilities
 This package contains some small utilities for debconf developers.

Package: debdate
Description-md5: fce86b439b2a1b96a1cbbc91cd5c9d1c
Description-en: Convert Gregorian dates to Debian Regnal dates
 Displays the Debian Regnal date of a given date, where the years are named
 after the current stable release.

Package: debdelta
Description-md5: 39db20e76cac04cd6f63ebcbcc0c028d
Description-en: diff and patch utilities which work with Debian packages
 debdelta is a program suite designed to compute changes between
 Debian packages. These changes (deltas) are similar to the output of the
 "diff" program in that they may be used to store and transmit only the
 changes between Debian packages.
 .
 This suite contains 'debdelta-upgrade', that downloads deltas and use them
 to create all Debian packages needed for an 'apt-get upgrade'.
 It may be used in a Debian/unstable host (to speed up download of new
 packages), or in a Debian/stable host (for security updates).
 .
 Similarly, when this package is installed, the 'cupt' package manager will
 use deltas to upgrade the host.

Package: debdelta-doc
Description-md5: 333fa783d1208537a95f365e214f3f43
Description-en: diff and patch utilities which work with Debian packages
 debdelta is a program suite designed to compute changes between
 Debian packages. These changes (deltas) are similar to the output of the
 "diff" program in that they may be used to store and transmit only the
 changes between Debian packages.
 .
 This package contains the documentation.

Package: debdry
Description-md5: 5df92a437462dcd6581e059ecb2db772
Description-en: Semi-assisted automatic Debian packaging
 debdry is for debian/ directories what debhelper7 is for debian/rules.
 .
 It applies the Don't Repeat Yourself idea to packaging, attempting to reuse as
 much as possible of upstream's metadata and standard packaging practices.
 .
 debdry runs an appropriate auto-debianisation tool for a given source
 directory, then applies manual overrides from a debian.in directory.
 .
 debdry supports the following types of packages (in brackets you will find
 extra packages that need to be installed for each type of package):
 .
 - Perl [dh-make-perl]
 - Python [python-stdeb, python3-stdeb]
 - Ruby [gem2deb]
 - Haskell [cabal-debian]

Package: debfoster
Description-md5: 9bf8b8d8c4c96717b0e5896dcb391641
Description-en: Install only wanted Debian packages
 debfoster is a wrapper program for apt and dpkg.  When first run, it
 will ask you which of the installed packages you want to keep
 installed.
 .
 After that, it maintains a list of packages that you want to have
 installed on your system.  It uses this list to detect packages that
 have been installed only because other packages depended on them.  If
 one of these dependencies changes, debfoster will take notice, and
 ask if you want to remove the old package.
 .
 This helps you to maintain a clean Debian install, without old
 (mainly library) packages lying around that aren't used any more.

Package: debget
Description-md5: 9398bebdde9c7a6959820e531a6d100b
Description-en: download/compile source and binary Debian packages
 debget downloads source and binary Debian packages by name.  It doesn't
 require a local copy of the Packages files, instead it queries
 packages.debian.org to find out what versions are available.
 .
 debget can also optionally unpack and compile source packages, and even
 install the generated binary packages.  If you intend to use these
 features you should install the devscripts package for the dscverify
 script it contains.

Package: debian-archive-keyring
Description-md5: 4ee78d6fd2292b9893b8eb4f5d5dd91d
Description-en: GnuPG archive keys of the Debian archive
 The Debian project digitally signs its Release files. This package
 contains the archive keys used for that.

Package: debian-astro-logo
Description-md5: ead3da93c216e52ad01df0205353b474
Description-en: Debian Astronomy Pure Blends Logo
 Debian Astro is a "Debian Pure Blend" with the aim to develop a Debian based
 operating system that fits the requirements of both professional and hobby
 astronomers. It integrates a large number of software packages covering
 telescope control, data reduction, presentation and other fields.
 .
 This package contains the Logo of the Debian Astronomy Pure Blend in different
 formats and resolutions.

Package: debian-builder
Description-md5: 252fd2b79a999abdb5d2b0e325b136af
Description-en: Rebuild Debian packages from source code
 This is a simple tool which is designed to allow a local
 administrator to rebuild individual Debian packages from
 their source code.
 .
 With the aid of a few included wrapper scripts this allows
 automatically rebuilding a package and all its dependencies.
 .
 Note: This software is not designed to enhance your installation
 by producing optimized binaries, however this may be achieved
 with the aid of companion packages such as 'pentium-builder',
 or 'athlon-builder'.
 .
 The prime purpose of this package is to ease the testing of
 compiler patches such as the Stack Smashing Protection patch
 available from IBM.

Package: debian-cd
Description-md5: b59e667c433931c5a543938040ae0cb0
Description-en: Tools for building (Official) Debian CD set
 Debian-cd is the official tool for building Debian CD set since the potato
 release. It was formerly called YACS (for Yet Another CD Script).
 .
 Its goal is to facilitate the creation of customized Debian CD sets.

Package: debian-cloud-images
Description-md5: 21e4c0c6be131e9b3d6bf1d413ef5e31
Description-en: tool used by the Debian Cloud Team to create official cloud images
 This package contains a script which is used by the Debian Cloud Team to
 generate the official Debian Cloud images for different providers. Currently
 supported clouds are:
  * AWS (amd64)
  * Azure (amd64)
  * GCE (amd64)
  * OpenStack (amd64, arm64)
  * helpful for creating images to be used

Package: debian-dad
Description-md5: f1349ac327074e35be4e44c8c8905724
Description-en: automated source package updater assistant
 Debian's Automated Developer (Dad) is a program preparing updates
 for Debian and derivatives' packages automating repetitive tasks of
 human Developers.
 .
 The automated tasks include:
 .
 * updating packages to new upstream versions refreshing patches
 * updating symbols files using Debian buildd logs

Package: debian-el
Description-md5: 5f14f32d57202336d4a391a21108e758
Description-en: Transition package, debian-el to elpa-debian-el
 The debian-el emacs addon has been elpafied.  This dummy package
 helps ease transition from debian-el to elpa-debian-el.

Package: debian-faq
Description-md5: a72402ead5344f31157c839591abcfda
Description-en: Debian Frequently Asked Questions
 In this package you will find the Debian GNU/Linux FAQ, which gives
 frequently asked questions (with their answers!) about the Debian distribution
 (Debian GNU/Linux and others) and about the Debian project.
 Some answers assume some knowledge of Unix-like operating systems.
 However, as little prior knowledge as possible is assumed: answers to general
 beginners questions will be kept simple.
 .
 This document is available at https://www.debian.org/doc/manuals/debian-faq/
 as well as from the Debian file server at https://deb.debian.org/debian/doc/FAQ
 and mirrors thereof.
 .
 The document is supplied in HTML, PDF, and plain text.
 .
 If you're new to Debian, and like to read documentation from your local system,
 without using the network, install this package.

Package: debian-faq-de
Description-md5: 8cee729d3f80b18e704a8cf6085e0367
Description-en: Debian Frequently Asked Questions, in German
 In this package you will find the Debian GNU/Linux FAQ, which gives
 frequently asked questions (with their answers!) about the Debian distribution
 (Debian GNU/Linux and others) and about the Debian project.
 Some answers assume some knowledge of Unix-like operating systems.
 However, as little prior knowledge as possible is assumed: answers to general
 beginners questions will be kept simple.
 .
 The document is supplied in HTML, PDF and plain text.
 .
 This is the translation in German of the original English FAQ
 (available in the package debian-faq.)

Package: debian-faq-fr
Description-md5: a4a6915dc94227ba5391c5ab60ad7cd7
Description-en: Debian Frequently Asked Questions, in French
 In this package you will find the Debian GNU/Linux FAQ, which gives
 frequently asked questions (with their answers!) about the Debian distribution
 (Debian GNU/Linux and others) and about the Debian project.
 Some answers assume some knowledge of Unix-like operating systems.
 However, as little prior knowledge as possible is assumed: answers to general
 beginners questions will be kept simple.
 .
 The document is supplied in HTML, PDF and plain text.
 .
 This is the translation in French of the original English FAQ
 (available in the package debian-faq.)

Package: debian-faq-it
Description-md5: b3cd35f40e62c18002ab21ff069465bb
Description-en: Debian Frequently Asked Questions, in Italian
 In this package you will find the Debian GNU/Linux FAQ, which gives
 frequently asked questions (with their answers!) about the Debian distribution
 (Debian GNU/Linux and others) and about the Debian project.
 Some answers assume some knowledge of Unix-like operating systems.
 However, as little prior knowledge as possible is assumed: answers to general
 beginners questions will be kept simple.
 .
 The document is supplied in HTML, PDF and plain text.
 .
 This is the translation in Italian of the original English FAQ
 (available in the package debian-faq.)

Package: debian-faq-ja
Description-md5: 21e3cdcb98d64b2b811d7ca4b05213ff
Description-en: Debian Frequently Asked Questions, in Japanese
 In this package you will find the Debian GNU/Linux FAQ, which gives
 frequently asked questions (with their answers!) about the Debian distribution
 (Debian GNU/Linux and others) and about the Debian project.
 Some answers assume some knowledge of Unix-like operating systems.
 However, as little prior knowledge as possible is assumed: answers to general
 beginners questions will be kept simple.
 .
 The document is supplied in HTML, PDF and plain text.
 .
 This is the translation in Japanese of the original English FAQ
 (available in the package debian-faq.)

Package: debian-faq-nl
Description-md5: 07c211662367a3833e74d5e9ae0efaae
Description-en: Debian Frequently Asked Questions, in Dutch
 In this package you will find the Debian GNU/Linux FAQ, which gives
 frequently asked questions (with their answers!) about the Debian distribution
 (Debian GNU/Linux and others) and about the Debian project.
 Some answers assume some knowledge of Unix-like operating systems.
 However, as little prior knowledge as possible is assumed: answers to general
 beginners questions will be kept simple.
 .
 The document is supplied in HTML, PDF and plain text.
 .
 This is the translation in Dutch of the original English FAQ
 (available in the package debian-faq.)

Package: debian-faq-ru
Description-md5: afc5d4b81a21bb8bf53949f85c943cd9
Description-en: Debian Frequently Asked Questions, in Russian
 In this package you will find the Debian GNU/Linux FAQ, which gives
 frequently asked questions (with their answers!) about the Debian distribution
 (Debian GNU/Linux and others) and about the Debian project.
 Some answers assume some knowledge of Unix-like operating systems.
 However, as little prior knowledge as possible is assumed: answers to general
 beginners questions will be kept simple.
 .
 The document is supplied in HTML, PDF and plain text.
 .
 This is the translation in Russian of the original English FAQ
 (available in the package debian-faq.)

Package: debian-faq-zh-cn
Description-md5: 87cc9406cf7311dc6bc68e7f2ddc5e3b
Description-en: Debian Frequently Asked Questions, in Simplified Chinese
 In this package you will find the Debian GNU/Linux FAQ, which gives
 frequently asked questions (with their answers!) about the Debian distribution
 (Debian GNU/Linux and others) and about the Debian project.
 Some answers assume some knowledge of Unix-like operating systems.
 However, as little prior knowledge as possible is assumed: answers to general
 beginners questions will be kept simple.
 .
 The document is supplied in HTML, PDF and plain text.
 .
 This is the translation in Simplified Chinese of the original English FAQ
 (available in the package debian-faq.)

Package: debian-handbook
Description-md5: 05c3fa172230cd08887b93743b660504
Description-en: reference book for Debian users and system administrators
 Accessible to all, the Debian Administrator's Handbook teaches the essentials
 to anyone who wants to become an effective and independent Debian GNU/Linux
 administrator.
 .
 It covers all the topics that a competent Linux administrator should master,
 from the installation and the update of the system, up to the creation of
 packages and the compilation of the kernel, but also monitoring, backup and
 migration, without forgetting advanced topics like SELinux/AppArmor setup to
 secure services, automated installations, or virtualization with Xen, KVM or
 LXC.
 .
 The Debian Administrator's Handbook has been written by two Debian
 developers — Raphaël Hertzog and Roland Mas.
 .
 This package contains the English book covering Debian 8 “Jessie”. All
 the files are available in /usr/share/doc/debian-handbook/.

Package: debian-history
Description-md5: 6b1fcbf71f28c215acac48e031efba54
Description-en: Short History of the Debian Project
 As the Debian community continues to grow, and "old timers" become fewer
 and farther between, it seems appropriate to document where the project
 came from, and what it is about.
 .
 In English, French, German, Italian, Japanese, Korean, Portuguese, Russian,
 and Lithuanian.

Package: debian-installer-launcher
Description-md5: c92003f4c389c9cdd9f5024d1317af19
Description-en: Debian Installer desktop launcher
 This is a desktop launcher for Debian Installer images. It is intended to be
 used on Debian Live systems.
 .
 You probably do not want to install this package onto a non-live system,
 although it will do no harm.

Package: debian-kernel-handbook
Description-md5: d2ace2401cdb0f2dd8472494b68d4750
Description-en: reference to Debian Linux kernel packages and development
 A reference manual for:
 .
  * Working on the linux package
  * Building custom kernels and modules
  * Working with initramfs images
  * Kernel team policies

Package: debian-kernel-handbook-ja
Description-md5: 351ea603f5af3c15a74bd7c92efc2a31
Description-en: reference to Debian Linux kernel packages and development (Japanese)
 A reference manual for:
 .
  * Working on the linux package
  * Building custom kernels and modules
  * Working with initramfs images
  * Kernel team policies
 .
 This is Japanese translation.

Package: debian-keyring
Description-md5: 1e1072221bc0fe22d182506b88b6513a
Description-en: GnuPG keys of Debian Developers and Maintainers
 The Debian project wants developers to digitally sign the
 announcements of their packages with GnuPG, to protect against
 forgeries.  This package contains keyrings of GnuPG and keys of
 Debian Developers (uploading and non-uploading), as well as of Debian
 Maintainers.
 .
 Do note that, although this package is provided for convenience, it
 is not necessarily kept updated with the latest changes; the authoritative
 source for keyring information is publicly accessible via rsync at:
 .
 keyring.debian.org::keyrings/keyrings/
 .
 Finally, the following Git repository is usually kept up to date with the
 public authoritative data:
 .
 https://salsa.debian.org/debian-keyring/keyring.git

Package: debian-lan-config
Description-md5: 9867849aaa2469068b24df3326ad3b26
Description-en: FAI config space for the Debian-LAN system
 The Debian-LAN (Debian Local Area Network) project makes running
 Debian in a local area network easy without losing flexibility.
 It may be used by schools, work groups, associations and small
 enterprises or to install complex test environments.
 .
 Debian-LAN uses FAI (Fully Automatic Installation) to install and
 configure all machines in the network.
 .
 This package contains the FAI config space.

Package: debian-mate-default-settings
Description-md5: 152eb0142abbab57029c38b58f82291b
Description-en: Default settings for MATE on Debian
 This package comes together with mate-session-manager as provided by upstream
 but does a little tweaking here and there to provide best experience of the
 MATE desktop environment on Debian systems.

Package: debian-paketmanagement-buch
Description-md5: f958f2579c638a97b434bf60dd850a01
Description-en: book about Debian package management written in German
 This package contains the book "Debian Paketmanagement" (aka DPMB,
 German edition) by Axel Beckert and Frank Hofmann as a single HTML
 page, as a PDF document and as an e-book in EPUB format.
 .
 The book is not yet finished, so some chapters are incomplete or not
 yet proof-read.

Package: debian-policy
Description-md5: 3df00b7b3fdbeabad6fda68d649a0b04
Description-en: Debian Policy Manual and related documents
 This package contains:
    - Debian Policy Manual
    - Filesystem Hierarchy Standard (FHS)
    - Debian Menu sub-policy
    - Debian Perl sub-policy
    - Debian configuration management specification
    - Machine-readable debian/copyright specification
    - Autopkgtest - automatic as-installed package testing
    - Authoritative list of virtual package names
    - Policy checklist for upgrading your packages

Package: debian-policy-ja
Description-md5: bd79d36a6e265b4701b47c31da9da437
Description-en: Debian Policy Manual and related documents (Japanese)
 This package contains translations into Japanese of some of the
 documents distributed in the debian-policy package.  Currently only
 the HTML output format is available.

Package: debian-ports-archive-keyring
Description-md5: a755bdfd3c6227f3d781c8d591032adf
Description-en: GnuPG archive keys of the debian-ports archive
 The debian-ports archive digitally signs its Release files. This package
 contains the archive keys used for that.

Package: debian-refcard
Description-md5: f31c953afd0f5d6d0eef1311597b1822
Description-en: printable reference card for the Debian system
 The Debian reference card provides new users help with
 the most important commands. Basic knowledge of computers, files,
 directories and the command line is required, however. The
 package contains printable PDF files in multiple languages.

Package: debian-reference
Description-md5: e702bcb166c66b0e2476c35f9dfd9742
Description-en: metapackage to install (all) translations of Debian Reference
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 This installs all translations when "Recommends:" are installed.

Package: debian-reference-common
Description-md5: f927f0185f736318f2eba7adbf079cd2
Description-en: Debian system administration guide, common files
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 This provides menu functionality and other common files.

Package: debian-reference-de
Description-md5: c404f71b822e4ebc58fd1b14ea5be949
Description-en: Debian system administration guide, German translation
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The German translation.

Package: debian-reference-en
Description-md5: ad8881a4fef7535e97da05ae79b9f222
Description-en: Debian system administration guide, English original
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The English original version.

Package: debian-reference-es
Description-md5: 6e8b3f941b8cff99339c1443e19d653e
Description-en: Debian system administration guide, Spanish translation
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The Spanish translation.

Package: debian-reference-fr
Description-md5: 08983b3cdddba1406ac5a0d4c9cb3ad1
Description-en: Debian system administration guide, French translation
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The French translation.

Package: debian-reference-it
Description-md5: 0fe220973d4cb3f1ecabf2b3d2441ab9
Description-en: Debian system administration guide, Italian translation
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The Italian translation.

Package: debian-reference-ja
Description-md5: fe8633b39047eddb22a5b5fff3017d54
Description-en: Debian system administration guide, Japanese translation
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The Japanese translation.

Package: debian-reference-pt
Description-md5: 0402b1c626a39acab30d8f63f9e98d07
Description-en: Debian system administration guide, Portuguese translation
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The Portuguese (Portugal) translation.

Package: debian-reference-zh-cn
Description-md5: 8454b784a1946f42b82b827ef0011337
Description-en: Debian system administration guide, Chinese (Simplified) translation
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The Chinese (Simplified) translation.

Package: debian-reference-zh-tw
Description-md5: 3b7febd8bb227cee50df8c1331326d3b
Description-en: Debian system administration guide, Chinese (Traditional) translation
 This Debian Reference is intended to provide a broad overview of the Debian
 system as a post-installation user's guide. It covers many aspects of system
 administration through shell-command examples for non-developers.
 .
 The Chinese (Traditional) translation.

Package: debian-security-support
Description-md5: 12ffce3ff2b1f544b0063de409234b9b
Description-en: Debian security support coverage checker
 For some Debian packages, it is not feasible to maintain full security
 support for all use cases through the full distribution release
 cycle.
 .
 This package provides a program to identify installed packages for
 which support has had to be limited or prematurely ended, and to
 alert the administrator.
 .
 New versions of this package with updated checklists will be provided
 via standard and/or extended security support.

Package: debian-timeline
Description-md5: 7df56738f52130442d2c9ab828b60c58
Description-en: Web-based timeline of the Debian Project
 The Debian Project timeline is a HTML and Javascript-based interactive
 timeline of the Debian Project. It includes the dates of:
 .
  * All Debian releases, including point releases and freeze windows
  * Infrastructure changes
  * Conferences and bug-squashing parties
  * General resolution and DPL votes
  * Important releases of Debian-specific and third-party software
  * Curiosa items such as anniversaries and bug number milestones
  * (and more)

Package: debiandoc-sgml
Description-md5: 0da31969986c39eaecea1ef07f8b2c10
Description-en: DebianDoc SGML DTD and formatting tools
 This is an SGML-based documentation formatting package used for the
 Debian manuals.  It reads markup files and produces HTML, LaTeX, DVI
 (via LaTeX), PostScript (via LaTeX/DVI), PDF (via LaTeX), Texinfo,
 Info (via Texinfo), DebianDoc SGML, DocBook XML, plain text (with
 overstrikes a la troff as well as without) files.
 .
 The LaTeX based output needs the texlive, texlive-latex-extra and
 texlive-lang-all packages.  In addition to this, Chinese and Japanese need
 latex-cjk-all and corresponding font packages.
 .
 The Texinfo based output needs the texinfo package.

Package: debiandoc-sgml-doc
Description-md5: b0a51691786644fb9747b126e546bf1a
Description-en: Documentation for DebianDoc-SGML
 This package contains the documentation for DebianDoc-SGML in HTML,
 and plain ASCII format.

Package: debiandoc-sgml-doc-pt-br
Description-md5: e1d4ec62da02ce5328c3154539596fb5
Description-en: Documentation for DebianDoc-SGML in Brazilian Portuguese
 This package contains the documentation for DebianDoc-SGML translated
 in Brazilian Portuguese in HTML and plain ASCII format.

Package: debichem-analytical-biochemistry
Description-md5: 35e29f22f146225e02a4e1424997f768
Description-en: DebiChem Analytical BioChemistry
 This metapackage will install packages which enable you to:
 .
  - load and convert mass spectrometric data files;
  - edit biopolymer sequences;
  - elaborate complex mass spectrometry workflows;
  - perform protein database searches using tandem-ms data;
  - view and mine mass spectrometric data;

Package: debichem-cheminformatics
Description-md5: 0720789cc130e146276125ea7247a7ee
Description-en: DebiChem Cheminformatics
 This metapackage will install cheminformatics packages
 useful for chemists.

Package: debichem-crystallography
Description-md5: 38f2bc7cf656471182f5a91c92b74308
Description-en: DebiChem crystallography
 This metapackage will install packages for crystallography which might be
 useful for chemists.

Package: debichem-development
Description-md5: 8d21657efef77ab68b01a7736fbca632
Description-en: DebiChem C/C++/Fortran Development
 This metapackage will install development packages
 useful for chemists.

Package: debichem-input-generation-output-processing
Description-md5: 481deb5b9ca4948ecb4f2d3f161c841f
Description-en: DebiChem input preparation and output processing
 This metapackage will install graphical frontends and input generators/output
 processors for computational chemistry packages which might be useful for
 chemists.

Package: debichem-molecular-abinitio
Description-md5: 0110fd81fff2ee9c49502b34005216c8
Description-en: DebiChem Molecular Ab Initio Calculations
 This metapackage will install packages doing molecular ab initio calculations
 which might be useful for chemists.

Package: debichem-molecular-dynamics
Description-md5: d81e92bf0a50287febc5485be27e92a3
Description-en: DebiChem Molecular Dynamics
 This metapackage will install Molecular Dynamics packages
 which might be useful for chemists.

Package: debichem-molecular-modelling
Description-md5: 6763c82132c0fefe0c85d527de4a3b77
Description-en: DebiChem 3D Molecular Modelling and Visualization
 This metapackage will install 3D Molecular Modelling and Visualization
 which might be useful for chemists.

Package: debichem-periodic-abinitio
Description-md5: 32cd68e2df9ecc5ca74f910de8f78e6c
Description-en: DebiChem Periodic Ab Initio Calculations
 This metapackage will install packages doing periodic ab initio calculations
 which might be useful for chemists.

Package: debichem-semiempirical
Description-md5: b473f8004b2b869d9b1b889b05caf483
Description-en: DebiChem Semi Empirical
 This metapackage will install Semi Empirical
 which might be useful for chemists.

Package: debichem-tasks
Description-md5: ea3aaabad5772ff6b2ee0bc49b559d15
Description-en: DebiChem tasks for tasksel
 This package provides DebiChem tasks in tasksel.
 .
 These tasks are described in detail at
 http://blends.alioth.debian.org/debichem/tasks/

Package: debichem-view-edit-2d
Description-md5: abfeeb599c160516329aae13155940d9
Description-en: DebiChem chemical formular/structure editors
 This metapackage will install drawers for chemical structures which might be
 useful for chemists.

Package: debichem-visualisation
Description-md5: 25d5f47f51d7e5b7dd15882ca18199f1
Description-en: DebiChem 3D Viewers
 This metapackage will install 3D Viewers which might be useful for chemists.

Package: debiman
Description-md5: 72b2e3c817b4082d188629ce4de6ad20
Description-en: generate a static manpage HTML repository out of a Debian archive
 debiman makes (Debian) manpages accessible in a web browser. Its
 goals are, in order:
 .
 completeness: all manpages in Debian should be available.
 .
 visually appealing and convenient: reading manpages should be fun, convenience
 features (e.g. permalinks, URL redirects, easy navigation) should be available
 .
 speed: manpages should be quick to load, new manpages should be quickly
 ingested, the program should run quickly for pleasant development

Package: debirf
Description-md5: dcabbebb3b50be8f5bfcefd7c72556d2
Description-en: build a kernel and initrd to run Debian from RAM
 debirf (DEBian on Initial Ram Filesystem) is a set of tools designed
 to create and prepare a kernel and initial ram filesystem that can
 run a full-blown Debian environment entirely from RAM.
 .
 The kernel and initramfs pair created by debirf can be used for a
 myriad of purposes, from quick-and-easy system repair to diskless
 thin clients.  The kernel and initrd can be placed in your system
 boot partition, burnt to read-only media, or supplied by a netboot
 server.
 .
 The debirf tools use a module architecture which allows you to
 customize debirf for any possible purpose by specifying what
 components are included in the generated image.

Package: debmake
Description-md5: 0c082ab9feedf81e1d99554c20a0d22d
Description-en: helper script to make the Debian source package
 This package helps you to convert an upstream source package (or VCS contents)
 into the Debian package by adding files required for the Debian source
 package.  The generated debian/rules file uses the new dh command syntax from
 the debhelper (>=11~) package.
 .
 The debmake command invoked in the upstream source tree without any option can
 generate files which is good enough to create a single arch=any Debian binary
 package for local use without touching them.  The generated files should be
 edited to make it conform to the Debian policy if the package is to be
 uploaded to the Debian archive.  By adding few options, this command can
 generate template files for the arbitrary combination of the multi-binary and
 multi-arch package, etc.  This includes making of the rules file for the -dbg
 package and the control file for the multiarch library package.
 .
 This debmake command also scans copyright and license texts in the source
 files to help crafting the proper DEP-5 compatible debian/copyright file.
 It does more than what licensecheck(1) offers.

Package: debmake-doc
Description-md5: 674e1ef45cfea2d730c618fd79a95532
Description-en: Guide for Debian Maintainers
 This tutorial document describes the building of the Debian
 package to ordinary Debian users and prospective developers using
 the debmake command.
 .
 It is focused on the modern packaging style and comes with many
 simple examples.
  * POSIX shell script packaging
  * Python3 script packaging
  * C with Makefile/Autotools/CMake
  * multiple binary package with shared library etc.
 .
 This package can be considered as the successor to the
 maint-guide package.

Package: debmirror
Description-md5: 2b7436d4f5fcda5c9ebcf3fc42599f49
Description-en: Debian partial mirror script, with ftp and package pool support
 This program downloads and maintains a partial local Debian mirror.
 It can mirror any combination of architectures, distributions and
 sections. Files are transferred by ftp, http, hftp or rsync, and package
 pools are fully supported. It also does locking and updates trace files.

Package: debocker
Description-md5: 6588384694c985e89bdd66fe6265169d
Description-en: docker-powered package builder for Debian
 debocker builds Debian packages using docker. It is also capable to
 create bundles that can be shared to build the same package on
 a different machine.

Package: debomatic
Description-md5: 79f67124cc6327d4a58ffd311de9cc7f
Description-en: automatic build machine for Debian source packages
 Deb-o-Matic is an easy to use build machine for Debian source packages
 based on sbuild and schroot, written in Python.
 .
 It provides a simple tool to automate build of source packages with limited
 user interaction and a simple configuration. It has some useful features such
 as automatic update of chroots, automatic scan and selection of source
 packages to build and modules support.
 .
 It is meant to help developers to build their packages without worrying too
 much of compilation, since it will run in background and no user feedback
 is required during the whole process.

Package: debootstick
Description-md5: f852a5f9065ae66ae3d8bce1d6499741
Description-en: Turn a chroot environment into a bootable image
 debootstick is used to generate a bootable image from a Debian
 or Ubuntu chroot environment (such as one generated with
 debootstrap, docker export, etc.).
 This image should then be copied to a USB stick or disk and
 used to boot any amd64 machine (BIOS- or UEFI-based).
 debootstick can also generate an SD card image for a
 raspberry pi board.
 The embedded system is ready to be started live (no
 installation procedure needed), and is fully upgradeable
 (kernel and bootloader included).

Package: deborphan
Description-md5: 36cfed21b6bf14b4c52cff0f9adcc8f2
Description-en: program that can find unused packages, e.g. libraries
 deborphan finds "orphaned" packages on your system. It determines which
 packages have no other packages depending on their installation and shows
 you a list of these packages. It is most useful when finding libraries,
 but it can be used on packages in all sections.
 .
 This package also includes orphaner, a text menu frontend to deborphan.
 Please install the recommended packages dialog, gettext-base and apt when
 you want a working and fully featured orphaner.

Package: debos
Description-md5: b2c65accc19f0449a47742bada403809
Description-en: Debian OS builder
 debos is a tool to make creation of various Debian based OS "images"
 simpler. While most other tools focus on specific use-case, debos is
 more meant as a toolchain to make comon actions trivial while providing
 enough rope to do whatever tweaking that might be required behind
 the scenes.

Package: debpear
Description-md5: bc333b2cc3ce343839f75fc584ee2ad7
Description-en: automatically builds and installs PEAR package as Debian packages
 With debpear, you can install PEAR packages out of only the name of a PHP PEAR
 module. Debpear will download, create, and build a Debian package out of the
 pear package that you selected, and eventually install it in your system.
 .
 The goal of debpear isn't to replace the real work of a Debian maintainer, but
 rather to allow its users to quickly build and install a PEAR package in a
 clean, Debian way, when this PEAR package doesn't exist in Debian yet.

Package: debram
Description-md5: b97b5ccd9aaab52b0007aa5ecb167d12
Description-en: ramified catalog of available commands
 Debian GNU/Linux provides thousands upon daunting thousands of commands.
 Sorting them into broad classes then dividing and redividing them into finer,
 more specific branches, the Debram ramifies Debian's commands in much the same
 manner as a university library ramifies its books.  If you know what you want
 your computer to do but do not yet know the command to do it, you can find the
 command here.
 .
 (The Debram package used also -- indeed mainly -- to include a much larger and
 more important ramification of Debian *packages,* along with a browsing tool;
 but Debtags has superseded Debram in those respects.  What the Debram package
 still includes however is the Command Selection Guide, which though somewhat
 outdated may still be of use.)

Package: debram-data
Description-md5: cc37b7b92b0053c432f096884ba4e157
Description-en: debram's architecture-independent data
 This data package installs the Debian Ramification's documentation and
 architecture-independent data.  It also provides the Command Selection
 Guide, ramifying several hundred basic GNU/Linux commands.  See debram.

Package: debroster
Description-md5: b3cd4099f8973857e4ca6365c40e529b
Description-en: A package for use at expos.
 Debroster starts up an eterm with the Debian logo as the background,
 with a randomly-ordered list of the current developers scrolling up
 the screen. Use it to show just how many people contribute to the
 Debian project - and so why we are so damn good :-)

Package: debsecan
Description-md5: 02c585842f4cdb670bd20e06b66ecc85
Description-en: Debian Security Analyzer
 debsecan is a tool to generate a list of vulnerabilities which affect
 a particular Debian installation.  debsecan runs on the host which is
 to be checked, and downloads vulnerability information over the
 Internet.  It can send mail to interested parties when new
 vulnerabilities are discovered or when security updates become
 available.

Package: debsig-verify
Description-md5: 17721b51f633c58de657ee56060e3c57
Description-en: Debian package signature verification tool
 This tool inspects and verifies binary package digital signatures based
 on predetermined policies, complementing repository signatures or allowing
 to verify the authenticity of a package even after download when detached
 from a repository.

Package: debsigs
Description-md5: 8f96a6aad49c0ea26b8f1f71de461a6d
Description-en: toolset for cryptographically signing Debian packages
 debsigs is a package that allows GPG signatures to be embedded inside Debian
 packages.  These signatures can later be verified by package retrieval and
 installation tools to ensure the authenticity of the contents of the
 package.

Package: debspawn
Description-md5: 3c044031bc28da6fff2e488b20ea165c
Description-en: Build in nspawn containers
 Debspawn is a tool to build Debian packages in an isolated environment,
 using systemd-nspawn containers.
 By using containers, Debspawn can isolate builds from the host system
 much better than traditional chroot-based build environments could.
 .
 Debspawn is designed to be both easy to use by humans, as well as easy
 to integrate into an automated package build workflow.

Package: debsums
Description-md5: 3f8408683d3013b2e3df83e24b0d5d81
Description-en: tool for verification of installed package files against MD5 checksums
 debsums can verify the integrity of installed package files against
 MD5 checksums installed by the package, or generated from a .deb
 archive.

Package: debtags
Description-md5: 9d44065e035605d0745f19d5b4c94971
Description-en: Debian Package Tags support tools
 debtags extracts tag information from the apt database and makes it available
 to the system, either in /var/lib/debtags/debtags or via apt-xapian-index.
 .
 Package tags are categories for Debian packages.
 .
 debtags also provides some handy command to query tag information.

Package: debtree
Description-md5: 90db0e8762ea7d64dfab48b0abbe23db
Description-en: package dependency graphs on steroids
 Very powerful and versatile tool for generating dependency graphs showing the
 relationships between .deb packages.
 .
 The graph is generated in the form of a .dot file that can be used as input
 for the utility 'dot' from the graphviz  package. What information is included
 in a graph can be varied using command line options.
 .
 Supported are: regular (forward) dependencies, reverse dependencies, showing
 installed packages, virtual packages, alternatives, and much more.

Package: debuerreotype
Description-md5: efe5be5e8f1ef2e6341ee6b52c7fbc34
Description-en: reproducible, snapshot-based Debian rootfs builder
 A set of scripts for building reproducible Debian rootfs tarballs based on
 snapshot.debian.org, especially for the purposes of Docker base images.
 .
 The goal is to create an auditable, reproducible process for creating rootfs
 tarballs (especially for use in Docker) of Debian releases, based on
 point-in-time snapshots from snapshot.debian.org.
 .
 The only strictly Docker-specific script is debuerreotype-minimizing-config,
 which applies many configuration tweaks which are useful for Docker users and
 may or may not be useful outside of that context.

Package: debug-me
Description-md5: 089c5fb55071b60e38dd881ff2474639
Description-en: secure remote debugging
 Debugging a problem over email/irc/BTS is slow, tedious, and
 hard. The developer needs to see the your problem to understand
 it. Debug-me aims to make debugging fast, fun, and easy, by letting
 the developer access your computer remotely, so they can immediately
 see and interact with the problem. Making your problem their problem
 gets it fixed fast.
 .
 A debug-me session is logged and signed with the developer's GnuPG
 key, producing a chain of evidence of what they saw and what they
 did. So the developer's good reputation is leveraged to make debug-me
 secure.  If you trust a developer to ship software to your computer,
 you can trust them to debug-me.
 .
 This package should be installed by desktop users -- both developers
 who want to debug, and users who want their problems debugged.  To
 set up a debug-me server, install the debug-me-server package.

Package: debug-me-server
Description-md5: 67819d8365ab60d3ced35b520c3304e1
Description-en: run a debug-me server for secure remote debugging
 Debugging a problem over email/irc/BTS is slow, tedious, and
 hard. The developer needs to see the your problem to understand
 it. Debug-me aims to make debugging fast, fun, and easy, by letting
 the developer access your computer remotely, so they can immediately
 see and interact with the problem. Making your problem their problem
 gets it fixed fast.
 .
 A debug-me session is logged and signed with the developer's GnuPG
 key, producing a chain of evidence of what they saw and what they
 did. So the developer's good reputation is leveraged to make debug-me
 secure.  If you trust a developer to ship software to your computer,
 you can trust them to debug-me.
 .
 This package sets up a debug-me server.  If you don't want to do
 that, install only the debug-me package.

Package: debugedit
Description-md5: ed96261dd092de973eb3cefecd2b42e2
Description-en: tool to mangle source locations in .debug files
 This tool allows rewriting of source code locations in .debug files
 to point to /usr/src (or anywhere else). It has been split out of the
 rpm package to be useful on its own.

Package: decopy
Description-md5: 1bf67f81f2e253f08ac26ba87c96dd10
Description-en: Automatic debian/copyright Generator
 Decopy automates writing and updating the debian/copyright file.
 .
 It reads all files in the source tree, analyzes the licenses and copyright
 messages included and generates the corresponding debian/copyright file.
 When the file already exists, decopy parses it to generate a more complete
 output.

Package: dee-tools
Description-md5: de38c622ccab0eefbeba050be1de276c
Description-en: Model to synchronize multiple instances over DBus - tooling
 libdee is a shared library that provides objects that help  having multiple
 instances communicating over DBus.
 .
 This package contains the tooling for introspecting dee data.

Package: deepin-calculator
Description-md5: 73c6be31938bd29aeedca1fcc064ee85
Description-en: Calculator for DDE (Deepin Desktop Environment)
 An easy to use calculator for ordinary users.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-deb-installer
Description-md5: 1e09b0821abe3ddb876df1d24b2b4cc6
Description-en: Deepin Package Manager
 Deepin Package Manager is a management tool for deb package, and is developed
 for users to easily install customized applications that are not categorized
 in Deepin Store. With an easy-to-use interface as well as functions like batch
 installation, version detection and auto-completion of dependencies, you can
 quickly get the software installed on deepin once you get the right deb
 package.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-gettext-tools
Description-md5: 602c444e58921c0bee709ebd3ed1b228
Description-en: Deepin Internationalization utilities
 This package provides various tools used by Deepin software to extract
 translatable string and manage i18n works as a supplement to regular gettext
 tools.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-icon-theme
Description-md5: 61bb3039dd3e1139991b39bb4af5d649
Description-en: Icon Theme for Deepin software and Deepin Desktop Environment
 Deepin Icon Theme is the default icon theme for DDE, based on Papirus icon
 theme. This package contains:
  * Deepin Icon Theme
  * Sea Icon Theme
  * Deepin Cursor Theme
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-image-viewer
Description-md5: a87cb90fecf4e422c2ee29d7e5323375
Description-en: Image Viewer for Deepin Desktop Environment
 Deepin Image Viewer is an image viewer and manager elaborately produced by
 Deepin Technology. It is fashion and smooth, supports multiple image formats,
 user can view any kind of image. The image management in Deepin Image Viewer,
 allows user to rank images in Timeline by date, add image to "My favorites" or
 different albums. All images can be arranged in perfect order by Deepin Image
 Viewer.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-menu
Description-md5: a4e07ac060d7068a873af10d821c2022
Description-en: Deepin menu service
 Deepin Menu is the unified menu service for Deepin Desktop Environment.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-movie
Description-md5: 3c8252ec4159903bc555877ad967a892
Description-en: Deepin movie player
 Deepin Movie provides an intuitive easy to use operation interface and rich
 complete shortcuts. You can complete all play operations by keyboard, which
 will make you thoroughly get rid of the constraint of mouse click. Video files
 in various formats can be played through Deepin Movie, and you can use the
 streaming function to easily enjoy online video resources.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-music
Description-md5: 5fee6fa6af563ff53693175b376b3e05
Description-en: music player with brilliant and tweakful UI
 Deepin-UI based, GStreamer front-end, with features likes search music
 by pinyin,quanpin, colorful lyrics supports, and more powerful
 functions you will found.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-notifications
Description-md5: d21f3b4e463ba3dca5ae9cfeaf72c133
Description-en: System notifications for Deepin Desktop Environment
 Deepin notification is a notfiy app for Deepin Desktop Environment.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-picker
Description-md5: 1f028734b67f6b44733e1100a6fef61f
Description-en: Color picker tool for deepin
 Deepin Picker is a fast screen color picking tool developed by Deepin
 Technology. The RGB, RGBA, HEX, CMYK and HSV code can be obtained according
 color picked and auto saved to the clipboard.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-screen-recorder
Description-md5: 2c631f6cf32bf3082c952e1efc3e962a
Description-en: Simple recorder tools for deepin
 Deepin Screen Recorder is a screen recorder tool, it supports to save the
 recorded screens as gif or mp4 format. It can select the recorded window
 automatically or manually by selecting the area. Users just need to start and
 stop the recording process, and the file will auto save to the desktop.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-screenshot
Description-md5: 50d04fb712c22859eaec8d472e14b07f
Description-en: Advanced screen shoting tool
 Deepin screenshot is an andvanced tool to make screenshots on Linux
 desktop environment. It has a user-friendly UI which eases cropping
 and saving of screenshot.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-shortcut-viewer
Description-md5: 95bb167dbb9b841326b7998267c9962a
Description-en: Pop-up shortcut viewer for Deepin applications
 Deepin-shortcut-viewer is a standalone binary that helps Deepin applications
 pop up their shortcut information on screen in a unified appearance.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-terminal
Description-md5: dc1cdb3d8e6282c0fa5574ba7fbaf351
Description-en: Deepin terminal emulator application
 Deepin Terminal is an advanced terminal emulator with workspace, multiple
 windows, remote management, quake mode and other features. It sharpens your
 focus in the world of command line.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepin-voice-recorder
Description-md5: 0df4e61d01c022af82397236fc365207
Description-en: Deepin's Voice recorder
 Deepin-voice-recorder is the default voice recorder for DDE (Deepin
 Desktop Environment). It has a beautiful and easy-to-use voice recording
 user interface with simple design. It supports voice recording, record
 playback, record list management and other functions.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: deepnano
Description-md5: d358dccd88045f95ebbdb0f8d5580893
Description-en: alternative basecaller for MinION reads of genomic sequences
 DeepNano is alternative basecaller for Oxford Nanopore MinION reads
 based on deep recurrent neural networks.
 .
 Currently it works with SQK-MAP-006 and SQK-MAP-005 chemistry and as a
 postprocessor for Metrichor.

Package: deepnano-data
Description-md5: 2e36bafe46522b0886f010d4f9ed7d56
Description-en: alternative basecaller for MinION reads of genomic sequences (data)
 DeepNano is alternative basecaller for Oxford Nanopore MinION reads
 based on deep recurrent neural networks.
 .
 This package contains deepnanos test data.

Package: deets
Description-md5: d94d4dc2e389af1a03bee88ea0bd1972
Description-en: decentralized model-based administration tool
 Deets provides a way to manage Debian packages and files
 on your systems using Lua-based configuration files.  A
 specialized Lua interpreter called luau is capable of
 validating your specifications and optionally enforcing
 them.
 .
 In addition, it can scan your system and generate a
 crude model configuration based on the current state
 of the Debian package database and all conffiles.

Package: default-d-compiler
Description-md5: bbbd93771830b7fd3186d8c877db5ddf
Description-en: Default D compiler (metapackage)
 This is a metapackage installing the default D compiler in Debian
 for the respective architecture.
 .
 Packages building D libraries or using them should depend on this.

Package: default-mysql-client
Description-md5: 0d975e58d81d31c2c1965d43804779c1
Description-en: MySQL database client binaries (metapackage)
 MySQL is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MySQL are speed, robustness and
 ease of use.
 .
 This package depends on the default implementation of the client binaries and
 the additional tools innotop and mysqlreport.

Package: default-mysql-client-core
Description-md5: 31e5e3f44a80bbfd7cf687e936f69d0e
Description-en: MySQL database core client binaries (metapackage)
 MySQL is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MySQL are speed, robustness and
 ease of use.
 .
 This package depends on the default implementation of the core client files,
 as used by Akonadi.

Package: default-mysql-server
Description-md5: 9550a987565ff8657fc9f699871795c3
Description-en: MySQL database server binaries and system database setup (metapackage)
 MySQL is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MySQL are speed, robustness and
 ease of use.
 .
 This package depends on the default implementation of all the infrastructure
 needed to setup system databases.

Package: default-mysql-server-core
Description-md5: 2af54bce58dc0885998d90e5a67f4233
Description-en: MySQL database server binaries (metapackage)
 MySQL is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MySQL are speed, robustness and
 ease of use.
 .
 This package depends on the default implementation of the server binaries but
 doesn't depend on all the infrastructure needed to setup system databases.

Package: deheader
Description-md5: 01dcd7f337119de0bce134084933aadc
Description-en: Find and remove unnecessary includes in C or C++ source files
 deheader analyzes C and C++ files to determine which header inclusions can be
 removed while still allowing them to compile.  This may result in substantial
 improvements in compilation time, especially on large C++ projects; it also
 sometimes exposes dependencies and cohesions of which developers were unaware.

Package: dehydrated
Description-md5: c09902462a0a5f656bb0a6b7c2847ea9
Description-en: ACME client implemented in Bash
 The dehydrated ACME client allows signing certificates with an
 ACME server, like the one provided by the Let’s Encrypt certificate
 authority (letsencrypt.org).  It is implemented as a relatively simple
 Bash script, which uses curl to communicate with the ACME server and
 OpenSSL to deal with keys, sign requests and certificates.
 .
 The ACME (Automated Certificate Management Environment) protocol makes
 it possible to automatically obtain browser-trusted certificate.

Package: dehydrated-apache2
Description-md5: 2abf97dfda95b9574d67e1247ae21509
Description-en: dehydrated challenge response support for Apache2
 This package provides an Apache2 config snippet to serve the http-01 challenge
 responses for dehydrated.
 .
 Installing this package together with dehydrated is enough to have a fully
 functional ACME client, including replying to the HTTP challenge.

Package: dehydrated-hook-ddns-tsig
Description-md5: 8418fa8d1d3d7c0777249b3697f18836
Description-en: dehydrated dns-01 challenge response support
 This package provides a hook script to serve dns-01 challenge responses for
 dehydrated.
 .
 It uses the dnspython API to perform dynamic DNS updates, creating a temporary
 TXT record for the given domain, thereby proving ownership of the domain.
 It requires a DNS-server capable of performing dynamic DNS updates, like bind9.
 There is no need for the DNS-server to run on the local machine.
 .
 This is useful if you want to create ACME certificates for servers that do not
 serve HTTP and/or are not exposed to the public internet.
 Another important use case are wildcard certificates.

Package: deja-dup-caja
Description-md5: 93c30554e284dbd172661f06933c4a22
Description-en: Caja extension for Déjà Dup
 Déjà Dup is a simple backup tool. It hides the complexity of backing up the
 Right Way (encrypted, off-site, and regular) and uses duplicity as the
 backend.
 .
 This package provides a contextual menu in the Caja file manager to backup
 and restore your files.

Package: dejagnu
Description-md5: 641b5a9db687ec0ef1d5efaa06180677
Description-en: framework for running test suites on software tools
 DejaGnu is a framework for testing other programs.  Its purpose is to
 provide a single front end for all tests.
 .
 DejaGnu provides a layer of abstraction which allows you to write
 tests that are portable to any host or target where a program must
 be tested.  All tests have the same output format.
 .
 DejaGnu is written in `expect', which in turn uses "Tcl"--Tool
 command language.

Package: deken
Description-md5: 613b085629314b423c1c10e0dd8ba7fc
Description-en: Externals wrangler for Pure Data - upload utility
 deken is a simple and easy to use package manager for Pure Data (Pd).
 .
 This package provides a command line tool that facilitates the creation
 and upload of your own packages to the official package repository
 puredata.info.

Package: delay
Description-md5: 54eb93bff2d9cba301d2f7d72c25ba9a
Description-en: Constant delay generator
 delay introduces a constant delay between its standard input
 and its standard output. The data from its stdin will be
 stored until it has been written to stdout.

Package: dell-recovery
Description-md5: 42be76afc331cd3cc7ef90ec310f8dad
Description-en: Dell Recovery Media Creation Package
 This package is used to produce a Dell recovery media image.
 It then uses known open source tools to write the image to
 a USB flash drive or DVD writer.

Package: dell-recovery-bootloader
Description-md5: 125b3ef8c629ab6a92bfe98a795de674
Description-en: Bootloader configuration for Dell's factory process
 This package is used to generate GRUB2 configuration that is
 used in the Dell Linux factory installation process.
 .
 This package doesn't have much use outside of the Dell environment
 but is safe to install on other systems.

Package: dell-recovery-casper
Description-md5: 66dfe55ea7aaebfe89ae8c089aca15cd
Description-en: Dell Recovery Casper Hooks
 This package provides hooks to allow the dell-recovery
 bootstrap to run directly from casper without any other
 modifications to the media.

Package: delly
Description-md5: 324b9ce9ec7a8528db433798b37e6910
Description-en: Structural variant discovery by read analysis
 Delly performs Structural variant discovery by integrated paired-end and
 split-read analysis. It discovers, genotypes and visualizes deletions,
 tandem duplications, inversions and translocations at single-nucleotide
 resolution in short-read massively parallel sequencing data. It uses
 paired-ends, split-reads and read-depth to sensitively and accurately
 delineate genomic rearrangements throughout the genome.

Package: delta
Description-md5: f3620c181fda3593c8b8341f9b760f04
Description-en: heuristic minimizer of interesting files
 Delta assists you in minimizing "interesting" files subject to a test of
 their "interestingness". A common such situation is when attempting to
 isolate a small failure-inducing substring of a large input that causes
 your program to exhibit a bug.

Package: deluge
Description-md5: 1c006fa7b74be6be008fe5ca6d13b9c7
Description-en: bittorrent client written in Python/PyGTK
 Deluge is a full-featured, multi-platform, multi-interface BitTorrent client
 using libtorrent-rasterbar in it's backend and featuring multiple
 user-interfaces: GTK+, web and console.
 .
 It has been designed using the client-server model with a daemon process that
 handles all the bittorrent activity. The Deluge daemon is able to run on
 headless machines with the user-interfaces being able to connect remotely
 from any platform.
 .
 You may want to install this package to use Deluge in classic mode,
 which means the daemon and the GTK+ user-interface are linked together.

Package: deluge-common
Description-md5: fda95b1a3c5d8042a9fcefb1948c4537
Description-en: bittorrent client written in Python/PyGTK (common files)
 Deluge is a full-featured, multi-platform, multi-interface BitTorrent client
 using libtorrent-rasterbar in it's backend and featuring multiple
 user-interfaces: GTK+, web and console.
 .
 It has been designed using the client-server model with a daemon process that
 handles all the bittorrent activity. The Deluge daemon is able to run on
 headless machines with the user-interfaces being able to connect remotely
 from any platform.
 .
 This package contains data files commons to both the daemon and the
 various user-interfaces.

Package: deluge-console
Description-md5: f29faf70c4de4cae8ed6f4b36e13fccd
Description-en: bittorrent client written in Python/PyGTK (console ui)
 Deluge is a full-featured, multi-platform, multi-interface BitTorrent client
 using libtorrent-rasterbar in it's backend and featuring multiple
 user-interfaces: GTK+, web and console.
 .
 It has been designed using the client-server model with a daemon process that
 handles all the bittorrent activity. The Deluge daemon is able to run on
 headless machines with the user-interfaces being able to connect remotely
 from any platform.
 .
 This package contains the console user-interface.

Package: deluge-gtk
Description-md5: 8bad079f801a9d9c4028bd44e4e40d57
Description-en: bittorrent client written in Python/PyGTK (GTK+ ui)
 Deluge is a full-featured, multi-platform, multi-interface BitTorrent client
 using libtorrent-rasterbar in it's backend and featuring multiple
 user-interfaces: GTK+, web and console.
 .
 It has been designed using the client-server model with a daemon process that
 handles all the bittorrent activity. The Deluge daemon is able to run on
 headless machines with the user-interfaces being able to connect remotely
 from any platform.
 .
 You may want to install this package to use the Deluge GTK+ user-interface
 as a thin client and connect to a remote daemon.
 See: <URL:http://dev.deluge-torrent.org/wiki/UserGuide/ThinClient>

Package: deluge-web
Description-md5: fa1d60f7a87b553737143e3950d3ee03
Description-en: bittorrent client written in Python/PyGTK (web ui)
 Deluge is a full-featured, multi-platform, multi-interface BitTorrent client
 using libtorrent-rasterbar in it's backend and featuring multiple
 user-interfaces: GTK+, web and console.
 .
 It has been designed using the client-server model with a daemon process that
 handles all the bittorrent activity. The Deluge daemon is able to run on
 headless machines with the user-interfaces being able to connect remotely
 from any platform.
 .
 You may want to install this package on a remote server running the deluge
 daemon (package deluged).
 See: <URL:http://dev.deluge-torrent.org/wiki/UserGuide/ThinClient>

Package: deluged
Description-md5: 42dbe276cb3cf20229499e4d8a598faf
Description-en: bittorrent client written in Python/PyGTK (daemon)
 Deluge is a full-featured, multi-platform, multi-interface BitTorrent client
 using libtorrent-rasterbar in it's backend and featuring multiple
 user-interfaces: GTK+, web and console.
 .
 It has been designed using the client-server model with a daemon process that
 handles all the bittorrent activity. The Deluge daemon is able to run on
 headless machines with the user-interfaces being able to connect remotely
 from any platform.
 .
 You may want to install this package to run the daemon application on a remote
 server and connect to it from any user-interface.
 See: <URL:http://dev.deluge-torrent.org/wiki/UserGuide/ThinClient>

Package: denef
Description-md5: 6c1eee2d629b664c9ef9791d683f6f4a
Description-en: Decode Nikon D1 NEF image files
 DeNEF is a simple decoder for Nikon D1 NEF RAW image files.

Package: denemo
Description-md5: bab93b6020541c01602f73807d24a6cd
Description-en: GTK+ front end to GNU Lilypond
 GNU Denemo is a GUI musical score editor written in C/GTK+. It is
 intended primarily as a front end to GNU Lilypond, but is adaptable to
 other computer-music-related purposes as well.

Package: denemo-data
Description-md5: 03f6a0bfd1c3a5ad0285d1e57dbe9718
Description-en: data for denemo
 GNU Denemo is a GUI musical score editor written in C/GTK+. It is
 intended primarily as a front end to GNU Lilypond, but is adaptable to
 other computer-music-related purposes as well.
 .
 This package contains the arch-independent files.

Package: denemo-doc
Description-md5: ecbbeb6299bfca3a153f7750adf2aa3c
Description-en: documentation and examples for denemo
 GNU Denemo is a GUI musical score editor written in C/GTK+. It is
 intended primarily as a front end to GNU Lilypond, but is adaptable to
 other computer-music-related purposes as well.
 .
 This package contains the HTML documentation and examples.

Package: depqbf
Description-md5: 800bcc7a2bdea9545c4c8eb5c65df5f0
Description-en: solver for quantified boolean formulae
 DepQBF is a search-based solver for quantified boolean formulae (QBF)
 in prenex conjunctive normal form. It is based on the DPLL algorithm
 for QBF, called QDPLL, with conflict-driven clause and solution-driven
 cube learning. By analyzing the syntactic structure of a formula,
 DepQBF tries to identify independent variables. In general, information
 on independent variables can be represented in the formal framework of
 dependency schemes. DepQBF computes the so-called "standard dependency
 scheme" of a given formula. In addition to other benefits, information
 on independent variables often increases the freedom for decision
 making and clause learning.

Package: deps-tools-cli
Description-md5: e2dd47ad2c30a91c659d5d47afcd6139
Description-en: DEPS command-line tools
 Currently only contains the limited "graph-includes" tool.
 .
 Graph-includes creates a graph of dependencies between source-files
 and/or groups of source-files, with an emphasis on getting readable
 and usable graphs even for large projects.
 .
 Usability of the dependency graphs are currently improved by:
  - customizable grouping of several source files into a single node
  - transitive reduction of the graph
 .
 It currently supports graphing the C/C++ #include relationship, using
 graphviz by default.

Package: derby-doc
Description-md5: ebe75d4873c5b11aa52dec74ee263a4c
Description-en: Apache Derby API documentation and examples
 Apache Derby is an open source relational database implemented entirely
 in Java.
 .
 This package contains a Javadoc documenting the API and demo software
 for Apache Derby.

Package: derby-tools
Description-md5: 294a46c2c9b97271cce6cf08af585760
Description-en: Apache Derby Tools
 The Derby tools and utilities are a set of routines supplied with Derby
 that are typically used to create, inspect, and update a Derby database.
 .
 These tools and utilities include:
  * NetworkServerControl, provides the ability to start a Network Server or
    connect to a running Network Server to shutdown, configure or retrieve
    diagnostic information.
  * ij, the Derby's interactive JDBC scripting tool. It is a simple utility
    for running scripts against a Derby database. You can also use it
    interactively to run ad hoc queries. ij provides several commands for ease
    in accessing a variety of JDBC features.
    ij can be used in an embedded or a client/server environment.
  * sysinfo, provides information about your version of Derby and your
    environment.
  * dblook, the Derby's Data Definition Language (DDL) Generation Utility,
    more informally called a schema dump tool. It is a simple utility that
    dumps the DDL of a user-specified database to either a console or a file.
    The generated DDL can then be used for such things as recreating all or
    parts of a database, viewing a subset of a database's objects (for example,
    those which pertain to specific tables and schemas), or documenting
    a database's schema.
  * SignatureChecker, a tool that identifies any SQL functions and procedures
    in a database that do not follow the SQL Standard argument matching rules.
  * PlanExporter, a tool to export query plan data for further analysis.

Package: derivations
Description-md5: 1010caa3a4f67923bd5bfd9663842c47
Description-en: book: Derivations of Applied Mathematics
 For various valid reasons, open-source program sources rarely derive
 the mathematical formulas they use.  A user, nevertheless -- not
 wishing to take such formulas on faith -- might wish to see such
 formulas *somewhere* derived.
 .
 Derivations of Applied Mathematics is a book that derives, and
 documents, many of the mathematical formulas and methods open-source
 programs use, and indeed many of the formulas and methods used in
 science and engineering generally.  For example, it derives and
 documents the Taylor series (used to calculate trigonometrics), the
 Newton-Raphson method (used to calculate square roots), the Pythagorean
 theorem (used to calculate distances) and many others.
 .
 The book's format is PDF.

Package: desklaunch
Description-md5: a3b24a13f166baeb5d28e2f255edf1de
Description-en: A small utility for creating desktop icons
 DeskLaunch is a small utility for creating desktop icons using pixmaps.
 A simple click will launch the desired application.

Package: deskmenu
Description-md5: fa5f6e4fdaeb1adfa5c422bc1e186bf3
Description-en: A root menu for X11 window managers
 DeskMenu is a root menu program which is activated by clicking the root
 window. It is configured from a .deskmenurc file in your home directory.
 DeskMenu is useful for window managers which do not provide a menu such as
 Oroborus.

Package: desktop-autoloader
Description-md5: a485f8a6defee58be90623dcf264cbdc
Description-en: Accelerate Diskless Workstation systems by pre-loading a dummy Desktop Session
 This package is for diskless Linux clients as you often find them in
 school class rooms running an LTSP based fat client environment.
 .
 The logon into and the initial session startup on such diskless
 workstations can be considerably slow, because all applications need to
 be fetched from one server hosting the fat clients' filesystem.
 .
 The situation gets worse, if 24 computers in the same class room get
 switched on simultaneously or the teacher tells the students to open the
 same application (e.g. Libreoffice) at the same time.
 .
 However, this issue only occurs to the first student using a diskless
 workstation that day. If the diskless machine is equipped with enough
 RAM, other students see all applications being launched within a couple
 of seconds.
 .
 Reason: once an application has been launched, it ends up in the
 diskless machine's filesystem cache in RAM.
 .
 So, this package pre-loads a desktop session of the admin's choice plus
 some extra popular applications. It does this before 7:30 in the
 morning, only. So, combine this package with Wake-On-LAN or an RTC Timer
 to make sure systems are booted before 7:30am. With such a setup in
 place, the diskless machines will have been prepared for work before the
 first students enter the class room.

Package: desktop-base
Description-md5: 803a1cfa10c4fe42fa50462e9b011bfb
Description-en: common files for the Debian Desktop
 This package contains various miscellaneous files which are used by
 Debian Desktop installations.  Currently, it provides some
 Debian-related artwork and themes, .desktop files containing links to
 Debian related material (suitable for placement on a user's desktop),
 and other common files between the available desktop environments
 such as GNOME and KDE.

Package: desktop-profiles
Description-md5: 109d9c057047ab7b39de3afe38c54b3d
Description-en: framework for setting up desktop profiles
 This package offers a standard cross-desktop way of managing the conditional
 activation of available profiles. As such it is useful to both administrators
 (allowing different configurations for different sets of users) and Debian
 Blends (who want to customize configuration for a certain target group).
 .
 The different Desktop environments in Debian all offer the possibility of
 customizing them through the use of profiles (sets of configuration and/or
 data files). Usually it's also possible to stack configuration sets,
 combining the customizations provided by a number of profiles.
 .
 This package currently supports profiles for KDE, GNOME, Xfce, MATE and
 Freedesktop. Freedesktop profiles allow you to do a (growing amount of)
 cross-desktop customization, while the other profile kinds allow you to
 customize the respective desktop environments to various degrees.

Package: desktopfolder
Description-md5: 3d30ecabec06f8d26969e24cbdce4cc2
Description-en: Organize your desktop with panels, notes and photos
 Bring your desktop back to life
 Organize your desktop with panels that hold your things.
 .
 DesktopFolder overlays a hidden desktop overlay allowing
 desktop icons, file shortcuts, folders, photographs, notes
 and panels to be arranged in a freeform or grid format.
 Each desktop component has a number of attributes that
 can be customized - colors and size.
 .
 DesktopFolder has been developed for GNOME based desktops such as
 GNOME-Shell and Budgie Desktop.

Package: desmume
Description-md5: ef4a36c5ca9df8114e68a7129c6e83a7
Description-en: Nintendo DS emulator
 DeSmuME is a Nintendo DS emulator running homebrew demos and commercial games.
 .
 This package includes all three binaries:
   * desmume: gtk user interface;
   * desmume-glade: gtk-glade user interface;
   * desmume-cli: command line user interface.

Package: desproxy
Description-md5: 6468badc373779f64c0558099fbe4d24
Description-en: tunnel TCP traffic through a HTTP proxy
 Browsers (in general HTTP clients) use HTTP proxies to request web pages. The
 proxy forwards those request to the destination server. All
 the negotiation is done via the HTTP protocol, which is designed just
 to carry HTTP requests and no generic (TCP/IP) traffic. That is why you
 can't (normally) use Internet applications beside your browser if
 you are behind a HTTP proxy.
 .
 That is what desproxy is good for. Desproxy is a TCP tunnel, which
 means desproxy can forward TCP/IP traffic via a HTTP proxy. Desproxy
 uses a HTTP/1.1 method (CONNECT) to establish TCP/IP connections on
 demand. CONNECT is used for SSL connections when accessing to secure
 sites. So if you can access sites that support SSL (www.hotmail.com for
 example) you can use desproxy.

Package: detachtty
Description-md5: 500d07a91682311579e0c79fbe40291a
Description-en: Utility to connect to detached interactive programs
 Detachtty lets you run interactive programs non-interactively, and
 connect to them over the network when you do need to interact with
 them. It is somewhat similar to screen, but it is less feature-rich,
 therefore lighter and with less dependencies. It allows one to
 connect to programs running on remote hosts by mean of secure SSH
 connections.

Package: detox
Description-md5: 57a56ea30e81ef29e23165136cbfe243
Description-en: replace problematic characters in filenames
 detox is a utility designed to clean up filenames. It replaces difficult to
 work with characters, such as spaces, with standard equivalents. It will also
 clean up filenames with UTF-8 or Latin-1 (or CP-1252) characters in them.
 .
 Features:
  * Removal or replacement of upper ASCII Latin-1 (ISO 8859-1) characters;
  * Removal or replacement of UTF-8 encoded Unicode characters;
  * Removal or replacement of spaces and other potentially tricky characters;
  * Trimming of excessive "_" and "-"s;
  * Directory recursion, dry runs, verbose listings.
 .
 It is designed with safety in mind. It won't overwrite a file that already
 exists, and it doesn't touch special files if not requested.
 .
 detox is useful to mass rename files automatically. As just one example,
 you can use detox to easily standardize lots of files, as MP3 or movies,
 downloaded or stored inside a directory.
 .
 This package provides detox and inline-detox commands. The inline-detox can
 be used in command lines, as a filter in shell procedures.

Package: deutex
Description-md5: 58c5c1de8c82ee979eb07aefb90ec370
Description-en: composition tool for doom-style WAD files
 DEU's Texture Companion (DeuTex) is a resource editor that
 can extract and insert graphics, sounds, levels and other
 resources in doom-format WAD (where's all the data?) files.
 .
 DeuTex is command-line oriented and is most useful for
 assembling WAD files as part of a build procedure, such as
 via Makefile.

Package: develock-el
Description-md5: 78dba00d1568bb0d6af73016b930322c
Description-en: additional font-lock keywords for the developers on Emacs
 Develock is a minor mode which provides the ability to make font-
 lock highlight leading and trailing whitespace, long lines and
 oddities in the file buffer for Lisp modes, ChangeLog mode, Texinfo
 mode, C modes, OCaml modes, Coq mode, LaTeX mode, Java mode, Jde-mode,
 CPerl mode, Perl mode, Python mode, Groovy mode, HTML modes and some
 Mail modes.

Package: developers-reference
Description-md5: 1172002fb3ecf1563b1d6f84a58ab443
Description-en: guidelines and information for Debian developers
 This package contains the Debian Developer's Reference, a set of
 guidelines and best practices which has been established by and for
 the community of Debian developers and maintainers. If you are not
 maintaining Debian packages, you probably do not need this package.
 .
 Table of Contents:
 .
    * 1. Scope of This Document
    * 2. Applying to Become a Member
    * 3. Debian Developer's Duties
    * 4. Resources for Debian Members
    * 5. Managing Packages
    * 6. Best Packaging Practices
    * 7. Beyond Packaging
    * 8. Internationalization and Translations
    * 1. Overview of Debian Maintainer Tools
 .
 This package contains the English version of the Developer's
 Reference.  The French, German, Italy, Russian and Japanese translations are
 available in developers-reference-fr, developers-reference-de,
 developers-reference-it, developers-reference-ru and developers-reference-ja.

Package: developers-reference-de
Description-md5: 66806ea801580b6928117cba18bfc9f8
Description-en: guidelines and information for Debian developers, in German
 This package contains the German translation of Debian Developer's
 Reference (package: developers-reference), a set of guidelines and
 best practices which has been established by and for the community of
 Debian developers and maintainers. If you are not maintaining Debian
 packages, you probably do not need this package.
 .
 Table of Contents (in English):
 .
    * 1. Scope of This Document
    * 2. Applying to Become a Member
    * 3. Debian Developer's Duties
    * 4. Resources for Debian Members
    * 5. Managing Packages
    * 6. Best Packaging Practices
    * 7. Beyond Packaging
    * 8. Internationalization and Translations
    * 1. Overview of Debian Maintainer Tools

Package: developers-reference-fr
Description-md5: 2c7d17c0f8451e318dcf3ca767fafc26
Description-en: guidelines and information for Debian developers, in French
 This package contains the French translation of Debian Developer's
 Reference (package: developers-reference), a set of guidelines and
 best practices which has been established by and for the community of
 Debian developers and maintainers. If you are not maintaining Debian
 packages, you probably do not need this package.
 .
 Table of Contents (in English):
 .
    * 1. Scope of This Document
    * 2. Applying to Become a Member
    * 3. Debian Developer's Duties
    * 4. Resources for Debian Members
    * 5. Managing Packages
    * 6. Best Packaging Practices
    * 7. Beyond Packaging
    * 8. Internationalization and Translations
    * 1. Overview of Debian Maintainer Tools

Package: developers-reference-it
Description-md5: e6588f4749e7d8ae4657e7d9b10d589b
Description-en: guidelines and information for Debian developers, in Italian
 This package contains the Italian translation of Debian Developer's
 Reference (package: developers-reference), a set of guidelines and
 best practices which has been established by and for the community of
 Debian developers and maintainers. If you are not maintaining Debian
 packages, you probably do not need this package.
 .
 Table of Contents (in English):
 .
    * 1. Scope of This Document
    * 2. Applying to Become a Member
    * 3. Debian Developer's Duties
    * 4. Resources for Debian Members
    * 5. Managing Packages
    * 6. Best Packaging Practices
    * 7. Beyond Packaging
    * 8. Internationalization and Translations
    * 1. Overview of Debian Maintainer Tools

Package: developers-reference-ja
Description-md5: 9a896365e3b4db1da8af7b6494999b42
Description-en: guidelines and information for Debian developers, in Japanese
 This package contains the Japanese translation of Debian Developer's
 Reference (package: developers-reference), a set of guidelines and
 best practices which has been established by and for the community of
 Debian developers and maintainers. If you are not maintaining Debian
 packages, you probably do not need this package.
 .
 Table of Contents (in English):
 .
    * 1. Scope of This Document
    * 2. Applying to Become a Member
    * 3. Debian Developer's Duties
    * 4. Resources for Debian Members
    * 5. Managing Packages
    * 6. Best Packaging Practices
    * 7. Beyond Packaging
    * 8. Internationalization and Translations
    * 1. Overview of Debian Maintainer Tools

Package: developers-reference-ru
Description-md5: 3321571e0449092a9f195c62ac901600
Description-en: guidelines and information for Debian developers, in Russian
 This package contains the Russian translation of Debian Developer's
 Reference (package: developers-reference), a set of guidelines and
 best practices which has been established by and for the community of
 Debian developers and maintainers. If you are not maintaining Debian
 packages, you probably do not need this package.
 .
 Table of Contents (in English):
 .
    * 1. Scope of This Document
    * 2. Applying to Become a Member
    * 3. Debian Developer's Duties
    * 4. Resources for Debian Members
    * 5. Managing Packages
    * 6. Best Packaging Practices
    * 7. Beyond Packaging
    * 8. Internationalization and Translations
    * 1. Overview of Debian Maintainer Tools

Package: devhelp
Description-md5: ae983a3767d2a0a40ed35bb280300912
Description-en: GNOME developers help program
 Devhelp's primary goal is to be an API documentation browser for GNOME.
 It is able to scan for .devhelp files generated automatically for
 packages that use gtk-doc-tools to generate documentation for libraries
 and programs.
 .
 Devhelp provides some integration capabilities, which is used to allow
 command-line searches, emacs integration and embedding in applications like
 the Anjuta IDE.

Package: devhelp-common
Description-md5: e9992163624d84f7f8c418de494edb0b
Description-en: Common files for devhelp and its library
 Devhelp's primary goal is to be an API documentation browser for GNOME.
 It is able to scan for .devhelp files generated automatically for
 packages that use gtk-doc-tools to generate documentation for libraries
 and programs.
 .
 This package provides internationalization files, mainly.

Package: devicetype-detect
Description-md5: 4b33099b6b8079a97a5c2494c620d40d
Description-en: attempt to detect the type of the current device
 devicetype-detect attempts to determine device class of the running
 system based on the display size, display resolution, and presence or
 absence of a battery.
 .
 devicename-detect attempts to determine the name of the device, to the
 best knowledge of the device itself, for use as a sensible default model
 name.

Package: devilspie
Description-md5: ef120dc1150051b3caef09e2141f1565
Description-en: find windows and perform actions on them
 This tool will find windows as they are created and perform actions
 on them, such as resizing, moving to another workspace, or pinning
 them to all workspaces.

Package: devilspie2
Description-md5: 1919eb878eee34f90e46dd477bb734b9
Description-en: Lua-based window matching utility
 Devilspie2 is a window matching utility, allowing the user to perform
 scripted actions on windows as they are created. For example, you can
 script a terminal program to always be positioned at a specific screen
 position, or automatically position a window on a specific workspace.
 .
 It is a continuation of Ross Burton's project Devilspie, with the most
 significant change that the symbolic expressions of that project are
 replaced with a Lua interpreter.

Package: devmem2
Description-md5: a0d6374dd2286c6b6dd055e9a1c2acbf
Description-en: simple program to read/write from/to any location in memory
 Simple program to read/write from/to any location in memory. Useful when
 debugging embedded boards, like beagleboard.

Package: devrplay3
Description-md5: a724e4059b12b3d05e4e9695624c4cc7
Description-en: rplay network audio system - basic library
 This package contains the basic rplay library (devrplay).
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: devscripts-el
Description-md5: 271a83a63b81012cf338463cf80be0d2
Description-en: Transition package, devscripts-el to elpa-devscripts
 The devscripts-el emacs addon has been elpafied.  This dummy package
 helps ease transition from devscripts-el to elpa-devscripts-el.

Package: devtodo
Description-md5: b5bd3b5a682cb72a4d68662abccb43e1
Description-en: hierarchical, prioritised todo list manager
 Manipulate and display a hierarchical (ie "subtasks") and prioritised
 list of things with a coloured command line interface.
 .
 Using devtodos output formatting features, it is possible to convert
 the todo list into almost any text format.
 .
 Devtodo comes with XSLT stylesheets to convert XML .todo files to HTML
 and PDF, have a look at /usr/share/devtodo/.

Package: dex
Description-md5: ea11d96b4add213d8c07200f28cb7a02
Description-en: generate and execute Application type .desktop files
 DesktopEntry eXecution implements the Freedesktop.org autostart
 specification, independent of any desktop or window manager environment.
 Applications may be filtered based on the Desktop Environment advertised
 in the .desktop file.
 .
 dex can also create a minimal .desktop file for a specified program.

Package: dexdump
Description-md5: ee7ea497e8ce1a11d6430bab52b5560f
Description-en: Displays information about Android DEX files
 The `dexdump` tool is intended to mimic `objdump`. When possible, use similar
 command-line arguments.
 .
 This is a re-implementation of the original `dexdump` utility that was
 based on Dalvik functions in `libdex` into a new `dexdump` that is now
 based on ART functions in `libart` instead. The output is very similar to
 to the original for correct DEX files. Error messages may differ, however.
 Also, ODEX files are no longer supported.

Package: dexlist
Description-md5: 0a40a03c659a257c2d7a8d99b4a0e42b
Description-en: Lists all methods in all concrete classes in Android DEX files
 This is a re-implementation of the original `dexlist` utility that was
 based on Dalvik functions in `libdex` into a new `dexlist` that is now
 based on ART functions in `libart` instead. The output is very similar to
 to the original for correct DEX files. Error messages may differ, however.
 Also, ODEX files are no longer supported.

Package: dfc
Description-md5: 1d73add36432a7e3931bcebc9f7542d1
Description-en: display file system usage using graph and colors
 dfc displays file system space usage using graphs and colors. In some ways, it
 is a modernized version of df as it is able to use colors, draw graphs and
 export its output to different formats such as CSV or HTML.

Package: dfcgen-gtk
Description-md5: 4b42f626b76b71514090f3739efbe253
Description-en: Digital Filter Coefficients Generator (DFCGen) GTK+
 DFCGen, the Digital Filter Coefficients Generator, assists the engineer
 in the design of digital filters. It supports the engineer in analysis
 and synthesis of linear time-invariant time-discrete (LTI) systems from
 the theoretical point of view. It performs generation of system transfer
 function coefficients in the Z-domain, based on the type and specific
 parameters of a chosen system.

Package: dfu-programmer
Description-md5: 1bfe4bfd67724121586a1a2711a8af46
Description-en: device firmware update (DFU) based USB programmer for Atmel chips
 A Linux based command-line programmer for Atmel chips with a USB
 bootloader supporting in-system programming.
 .
 This is a mostly Device Firmware Update (DFU)-1.0-compliant
 user-space application. This program was created because the Atmel
 FLIP program for flashing devices does not run on Linux and because
 standard DFU loaders do not work for Atmel chips.

Package: dfu-util
Description-md5: a743310e082b47ed7a4003799b5431f9
Description-en: Device firmware update (DFU) USB programmer
 dfu-util is a program that implements the host (PC) side of the USB
 DFU 1.0 and 1.1 (Universal Serial Bus Device Firmware Upgrade) protocol.
 .
 DFU is intended to download and upload firmware to devices connected over
 USB. It ranges from small devices like micro-controller boards up to mobile
 phones. With dfu-util you are able to download firmware to your device or
 upload firmware from it.

Package: dgedit
Description-md5: ffa572948e8ee1b6b3aa067cb48bcaad
Description-en: drum kit editor for DrumGizmo
 DGEdit allows one to create drum kits for use in DrumGizmo from recordings of
 individual instruments (snare, kickdrum, toms, crashes, and so on). It
 supports the entire process of taking a group of WAV files and converting them
 into working instruments with hit energy levels.

Package: dgit
Description-md5: 559081434127c75cd5fadde790e2eb02
Description-en: git interoperability with the Debian archive
 dgit (with the associated infrastructure) makes it possible to
 treat the Debian archive as a git repository.
 .
 dgit push constructs uploads from git commits
 .
 dgit clone and dgit fetch construct git commits from uploads.

Package: dgit-infrastructure
Description-md5: 2b3caec6ca4cc54a4c6e213a025b062c
Description-en: dgit server backend infrastructure
 This package contains tools which are useful for setting up a dgit
 git repository server.  You probably want dgit, the client package,
 instead of dgit-infrastructure.

Package: dh-acc
Description-md5: 67bf5743ba48724fc6a78e5293422eed
Description-en: debhelper addon to compare ABI compatibility of shared C/C++ library versions
 dh-acc is an addon to facilitate generating ABI compatibility reports
 by comparing known ABI dumps with newly build ABI at Debian package
 build time. Thus providing monitoring and early detection of ABI
 breaks in shared libraries.
 .
 This package provides dh sequence addon and cdbs integration snippet.

Package: dh-ada-library
Description-md5: e99abe8ad88f1230db57fb3899843553
Description-en: Debian helper for Ada libraries
 Debhelper tools to ease common tasks in packaging libraries written
 in the Ada programming language, following the Debian Policy for Ada
 (http://people.debian.org/~lbrenta/debian-ada-policy.html).
 .
 A specific gnat-X is recommended via the gnat package, but any
 version should be supported.

Package: dh-apparmor
Description-md5: c7bc3728b9d5d471c45d2a640c36d87f
Description-en: AppArmor debhelper routines
 dh-apparmor provides the debhelper tools used to install and migrate
 AppArmor profiles. This is normally used from package maintainer scripts
 during install and removal.

Package: dh-apport
Description-md5: a5c779df9e0b356100c76151d7cef527
Description-en: debhelper extension for the apport crash report system
 apport automatically collects data from crashed processes and
 compiles a problem report in /var/crash/. This utilizes the crashdump
 helper hook provided by the Ubuntu kernel.
 .
 This package provides a debhelper extension to make it easier for other
 packages to include apport hooks.

Package: dh-buildinfo
Description-md5: 78727bb9b900caa5668c494ce4bf26b9
Description-en: Debhelper addon to track package versions used to build a package
 This script is designed to be run at build-time, and registers in a
 file the list of packages declared as build-time dependencies, as
 well as build-essential packages, together with their versions, as
 installed in the build machine.
 .
 This will hopefully help to track packages (auto-)built with package
 versions which are known to be buggy, and, more generally, to find
 out whether a package needs to be rebuilt because of a significant
 change in a package it has a build-time dependency on.

Package: dh-cargo
Description-md5: d99c4af9603905ae591976d80e8da0eb
Description-en: debhelper buildsystem for Rust crates using Cargo
 dh-cargo provides a debhelper buildsystem to build Rust crates using Cargo.
 .
 Debian packages can use this buildsystem by passing "--buildsystem cargo" to
 dh; doing so allows commands like dh_auto_install and dh_auto_clean to work on
 a Cargo package.
 .
 Debian packages generated by debcargo use this buildsystem.

Package: dh-consoledata
Description-md5: 0bc0fcc75b7a9ca401ee6d320768ab05
Description-en: debhelper-based script to help packaging console data files
 This package assists in building consistent packages of data-files
 for the Linux text console.

Package: dh-dist-zilla
Description-md5: b703da6339cf972a39e3ae765d34f628
Description-en: debhelper add-on to call dzil build and clean up after the build
 dh-dist-zilla provides a debhelper sequence addon named 'dist_zilla',
 i.e. you can use it in your project's debian/rules as "dh $@ --with
 dist-zilla".
 .
 The intention is to be able to build Debian Perl packages directly
 from a Dist::Zilla based Perl distributions without generating the
 CPAN distribution tar-ball manually first.  It is analogous to using
 autoreconf to generate the configure script.
 .
 The orig.tar.gz/.xz file must only contain the dist.ini and source
 files but not the generated files like META.yml, README, etc. If you
 use dh-dist-zilla, you can use "debian/rules get-orig-source" to
 generate a conforming upstream tar ball if none is available yet.

Package: dh-dlang
Description-md5: b6ef8d67151656ec919d17b29de6dd62
Description-en: Packaging helpers for building D code
 This package contains common code for building D software for
 the Debian (and derivatives) archive.
 .
 It currently contains a debian/rules snippet for selecting the
 appropriate D build flags based on the default D compiler set
 for the current architecture.

Package: dh-elpa
Description-md5: 33114fdf50c8559c3ce451f17cb4c4a3
Description-en: Debian helper tools for packaging emacs lisp extensions
 This package provides a helper for packaging emacs lisp extensions
 in a way compatible with the GNU Emacs 'elpa' package repository.

Package: dh-elpa-helper
Description-md5: f15c3557d71fbb9ae8bb650684ca6a80
Description-en: helper package for emacs lisp extensions
 This package provides the helper scripts needed by packages built
 with dh-elpa (>= 2.0). This allows dh-elpa using binary packages to
 receive bug fixes without rebuilding.

Package: dh-fortran-mod
Description-md5: f335ff14f9816884de1d80c04e27c4ac
Description-en: debhelper add-on to handle Fortran '.mod' files
 Modules were introduced in the 1990 revision of the Fortran standard. When the
 Fortran compiler processes a source file containing a module, it produces both
 an object file and a '.mod' file. The latter plays a role similar to header
 files in C, since it is needed when compiling other source files which make
 use of the module.
 .
 The '.mod' files are however platform dependent, and their format changes with
 the gfortran version.
 .
 This package provides the dh_fortran_mod command, which simplifies the
 inclusion of '.mod' files in binary packages. First, it places the '.mod'
 files in the correct platform- and gfortran-dependent location. Second, it
 adds the right dependency information on gfortran version(s).
 .
 Inclusion of dh_fortran_mod in dh sequence is also provided under the name
 'fortran_mod'.

Package: dh-linktree
Description-md5: 7ef44f371b55fb620fe2ec953830eab4
Description-en: Create symlink trees within a Debian package
 Many developers bundle PHP or JavaScript libraries in the software that
 they provide. The Debian packager must then replace those "embedded copies"
 with symlinks to the packaged copy of those files. This operation is not
 without risks. A version mismatch can be the source of bugs that are hard to
 track.
 .
 dh_linktree is a tool that can assist you in this task. It can either
 unconditionally install a symlink tree of a given directory at the place
 of your choice, or it can only replace existing files with symlinks, or it
 can only replace existing identical files with symlinks.

Package: dh-lisp
Description-md5: 763690cb3ab0445034a94405681fad1c
Description-en: Debhelper to support Common Lisp related packages
 This debhelper addon targets Debian package maintainers of packages
 related to Common Lisp. It automates the processes of making a
 package conform to the Common Lisp in Debian Manual:
 .
 It adds dependencies to required Common Lisp implementations if
 precompiled object files for them are included in the package.

Package: dh-lua
Description-md5: 522cf1372f1b783a87017a2a95e03d34
Description-en: helper tools for maintaining Lua-related packages
 This package contains the Debian policy for the Debian packages relative to
 the Lua scripting language, as well as some tools to help build them.

Package: dh-make-elpa
Description-md5: d6e46009cf580ba5763c53a7179bd76c
Description-en: helper for creating Debian packages from ELPA packages
 dh-make-elpa will try to create the files required to build a Debian
 source package from an unpacked GNU Emacs ELPA package.  The source
 packages produced are suitable for building with the dh_elpa tool.

Package: dh-make-golang
Description-md5: 1200e09fe33be41068af3d2aaa2fb779
Description-en: tool that converts Go packages into Debian package source
 dh-make-golang is a tool to automatically create Debian packaging for Go
 packages. Its goal is to automate away as much of the work as possible when
 creating a Debian package for a Go library package.

Package: dh-make-perl
Description-md5: bf65adb281fde2fa40c2355d8456c9e4
Description-en: helper for creating Debian packages from perl modules
 dh-make-perl will create the files required to build a Debian source
 package out of a perl package.  This works for most simple packages
 and is also useful for getting started with packaging perl modules.
 Given a perl package name, it can also automatically download it from
 CPAN.
 .
 dh-make-perl can also help keeping the debian/ content current when upstream
 dependencies or Debian practices change. It can also help improve existing
 Perl module packages.
 .
 Includes the cpan2deb and cpan2dsc flavours.

Package: dh-metainit
Description-md5: d7afb9f7ca40b164a573ee26d30c5878
Description-en: Debhelper addon to install and register a metainit file
 This debhelper script should be used by package that ship an
 metainit file. It installs the metainit file in the right spot and add
 the required actions to the maintainer scripts.

Package: dh-migrations
Description-md5: 49976db00f3ad48e99ad9d3d35a67fa3
Description-en: debhelper extension for session-migration support
 This package provides a debhelper extension to perform session migration
 operations on the installed packages.

Package: dh-modaliases
Description-md5: 1a811f1261ebf8d94f48be93de246b96
Description-en: debhelper extension for scanning kernel module aliases
 dh_modaliases is useful for packages that ship third-party kernel modules,
 either in binary form, or as sources (with e. g. DKMS). It extracts the
 modules' modaliases from either the compile .ko files themselves (for packages
 which ship them in compiled form, using modinfo), or from a package file
 debian/packagename.modaliases.
 .
 This enables software which is looking for missing driver packages (such as
 Jockey or the operating system installer) to identify which package(s) will
 provide a driver for a piece of hardware, identified by its modalias.

Package: dh-ocaml
Description-md5: a2d96f5703de2b33380537be59e6a4c6
Description-en: helper tools for maintaining OCaml-related Debian packages
 dh-ocaml is a set of tools and documents to help maintaining Debian
 packages related to the Objective Caml (OCaml) programming language.
 .
 dh-ocaml notably contains the following components:
  * Makefile helpers to write debian/rules files implementing OCaml
    packaging best-practices (both for CDBS lovers and haters)
  * the Debian OCaml Packaging Policy
  * dh_ocaml debhelper to automatically computes dependencies among
    binary OCaml packages
  * ocaml-md5sums, the tool used to maintain the system registry of
    OCaml module interface checksums

Package: dh-octave
Description-md5: d7a3f4307607a5035aed4036a728e25b
Description-en: Debhelper-based infrastructure for building Octave add-on packages
 Since version 3.0 of Octave (a numerical computation software),
 add-ons can be installed through the pkg.m system.  This package
 provides the infrastructure for packaging such add-ons for Debian,
 based on debhelper.  It replaces the deprecated octave-pkg-dev
 package. This package contains debhelper-like scripts for building,
 checking and cleaning the add-on package as well as for generating
 the substitution variables in debian/control.
 .
 This package is intended to be used by the Debian Octave Group
 and should be of little interest to general users.

Package: dh-octave-autopkgtest
Description-md5: dafc9fc8227e34d50fddefbfa6f83570
Description-en: script for the automatic testing of Octave add-on packages
 This package contains the dh_octave_check script that runs the unit tests
 contained in all *.m and *.cc files available in the source tree from
 which it is launched.  It is intended to be used by the support for
 Octave-Forge add-on packages, which is implemented in autodep8.

Package: dh-perl6
Description-md5: 028273085ef3644431c772f859ccbe1d
Description-en: debhelper add-on to simplify Perl 6 package building
 dh-perl6 provides a debhelper add-on called 'perl6'. This simplifies common
 steps of Perl 6 package building, like postinst and postrm scripts and
 communicating module dependencies to the rakudo install helpers.

Package: dh-php
Description-md5: 0d9587e964a759486074ebbfd28cbb16
Description-en: debhelper add-on to handle PHP PECL extensions
 dh-php provides a debhelper sequence add-on named 'php' and the
 dh_php command.
 .
 The dh_php command adds the appropriate code to the postinst, prerm
 and postrm maint scripts to properly enable/disable PHP PECL
 extensions for all available PHP SAPIs.

Package: dh-python
Description-md5: 9f24690d2f6e9b70048dc4079a2dfca7
Description-en: Debian helper tools for packaging Python libraries and applications
 This package contains:
  * pybuild - invokes various build systems for requested Python versions in
    order to build modules and extensions
  * dh_python2 - calculates Python 2.X dependencies for Debian packages,
    adds maintainer scripts to byte compile files, etc.
  * dh_python3 - calculates Python 3.X dependencies for Debian packages,
    adds maintainer scripts to byte compile files, etc.
  * dh_pypy - calculates PyPy dependencies for Debian packages,
    adds maintainer scripts to byte compile files, etc.

Package: dh-r
Description-md5: 81bd581531fe5dd7a84becaee2ecb98f
Description-en: Debian helper tools for packaging R libraries
 Provides the R package buildsystem for debhelper.
 .
 Additional tools:
 .
  convert-to-dh-r: convert the dependencies of an existing R package
                   from using CDBS to use dh-r
  dh-make-R:       generate packaging skeletons for R packages
  dh-update-R:     update (versioned) dependencies of R packages,
                   Vcs-fields and Maintainer field

Package: dh-rebar
Description-md5: 9f8360e12c6e275b40d28d8caa3b92ff
Description-en: helper tools for maintaining Erlang package which is using rebar
 This package contains helper tools for maintaining Erlang Debian package
 which is using rebar.

Package: dh-runit
Description-md5: c049bc044ad3e26d6e262ebd36527b60
Description-en: debhelper add-on to handle runit runscripts
 dh-runit provides a debhelper sequence addon named 'runit' and the
 dh_runit command.
 .
 The dh_runit command installs runscripts and adds the appropriate code to
 the postinst, prerm and postrm maint scripts to properly enable/disable
 runscripts.

Package: dh-systemd
Description-md5: 67a68071a0cbbaa9df2bcd81ec5a29b1
Description-en: debhelper add-on to handle systemd unit files - transitional package
 This package is for transitional purposes and can be removed safely.

Package: dh-sysuser
Description-md5: 6ed2956c96b2b0b240fdffd6e66cba4d
Description-en: debhelper addon to handle creation of system users
 dh-sysuser provides a debhelper sequence addon named 'sysuser'
 and command 'dh_sysuser', which provide declarating way to
 ensure, that required users are present after package installation
 and correctly handled after package removal.

Package: dh-translations
Description-md5: 7c1312ea3fd1cf79858c39fdb547739f
Description-en: debhelper extension for translation support
 This package provides a debhelper extension to perform common translation
 related operations during package build:
 .
  * Try to build a current PO template.
 .
  * Remove inline translations from *.desktop, *.server, *.schemas, and
    *.policy files and replace them with a link to the gettext domain, so that
    strings in them will get translated at runtime from *.mo files. This allows
    language packs to ship updated translations.

Package: dh-vim-addon
Description-md5: 69b10f94311c80c003e613044881c646
Description-en: debhelper addon to help package Vim/Neovim addons
 This package provides a helper for packaging Vim/Neovim addons in a standard
 way, compatible with Vim's "package" feature.  dh_vim-addon sets links to the
 respective "packpath" for the supported editors as well as building help tags
 for the addon's documentation, if any exists.
 .
 A debhelper sequencer, vim_addon, is also provided for use with dh rules
 files.

Package: dh-xsp
Description-md5: 228c1bfe1a779be20859b0fbd2da6dc3
Description-en: debhelper add-on to handle ASP.NET sites
 dh-xsp provides a debhelper sequence addon named 'xsp' and the dh_installxsp
 command, which allows ASP.NET sites to be automatically configured on
 installation.

Package: dhall
Description-md5: c57c005ec08beb181b3c8709238c2663
Description-en: configuration language guaranteed to terminate
 Dhall is an explicitly-typed configuration language that is not Turing
 complete.  Despite being Turing-incomplete, Dhall is a real programming
 language with a type-checker and evaluator.
 .
 Use this library to parse, type-check, evaluate, and pretty-print the Dhall
 configuration language.
 .
 This package contains an executable which type-checks a Dhall file
 and reduces the file to a fully evaluated normal form.

Package: dhcp-helper
Description-md5: 3f0d81b105ba1a53061098aecf217692
Description-en: A DHCP relay agent
 dhcp-helper is a DHCP and BOOTP relay agent. It listens for DHCP
 and BOOTP broadcasts on directly connected subnets and relays
 them to DHCP or BOOTP servers elsewhere. dhcp-helper is much smaller
 than dhcp3-relay package and it does not require the Packet Filter
 kernel facility to be present. This makes it a good choice for use
 on small or embedded systems. Unlike dhcp3-relay, dhcp-helper can be
 configured to forward DHCP requests as broadcasts, and therefore does
 not need to be given the IP address of the DHCP server, only the
 network in which it resides.

Package: dhcp-probe
Description-md5: 0581c7deb21f21e5c19cd818bef2b97b
Description-en: network DHCP or BootP server discover
 dhcp_probe attempts to discover DHCP and BootP servers on a directly-attached
 Ethernet network. A network administrator can use this tool to locate un-
 authorized DHCP and BootP servers.
 Essentially, dhcp_probe mimics a BootP or DHCP client in a variety of
 possible states, attempting to provoke responses from servers.

Package: dhcpcanon
Description-md5: 5765973035e8c39dde981fc18b29d888
Description-en: DHCP client disclosing less identifying information
 Python implementation of the DHCP Anonymity Profiles
 (RFC7844) designed for users that
 wish to remain anonymous to the visited network
 minimizing disclosure of identifying information.

Package: dhcpcd-common
Description-md5: ed3350b4c91394a4279ead96d92eaa9d
Description-en: Common files for dhcpcd frontends
 dhcpcd-common are common files for dhcpcd-qt and dhcpcd-gtk.

Package: dhcpcd-dbus
Description-md5: 883477391995c8a044a11b10a57a8a32
Description-en: DBus bindings for dhcpcd
 DBus binding for dhcpcd, for use by dhcpcd frontends such as dhcpcd-gtk.

Package: dhcpcd-gtk
Description-md5: b7ee5a89ba3cb85415dae76259d42723
Description-en: GTK+ frontend for dhcpcd and wpa_supplicant
 dhcpcd-gtk sits in the notification area and notifies you of changes to your
 IPv4 network configuration from dhcpcd and wpa_supplicant.

Package: dhcpcd5
Description-md5: 22786f802d0dde4624bfd0a6161f1b74
Description-en: DHCPv4, IPv6RA and DHCPv6 client with IPv4LL support
 dhcpcd is a one stop network management daemon which includes
  * RFC compliant DHCPv4 and DHCPv6 clients
  * DHCPv6 Prefix Delegation support
  * IPv4LL (aka ZeroConf) support
  * ARP address conflict resolution
  * Link carrier detection
  * Wireless SSID profiles
  * ARP ping profiles

Package: dhcpd-pools
Description-md5: 485670c587be92ec9591bd2e01962c7b
Description-en: ISC dhcpd lease analysis and reporting tool
 This is dhcpd-pools ISC dhcp shared network and pool range usage analysis.
 Purpose of command is to count usage ratio of each IP range and shared network
 pool which ISC dhcpd is in control of. Users of the command are most likely
 ISPs and other organizations that have large IP space.
 .
 Program is written C. Design goal is to get analysis done quickly where there
 is lots of data. On cheap laptop the speed of analysis is roughly 100k leases
 per second. Number of ranges, or shared networks, does not make any significant
 difference in getting analysis done.

Package: dhcpdump
Description-md5: b4ad9f140ebb9a313823d1234c692b63
Description-en: Parse DHCP packets from tcpdump
 This package provides a tool for visualization of DHCP packets as
 recorded and output by tcpdump to analyze DHCP server responses.

Package: dhcpig
Description-md5: b1e43f18c1f8684ec1c2226e091b4644
Description-en: DHCP exhaustion script using scapy network library
 DHCPig initiates an advanced DHCP exhaustion attack. It will consume all IPs
 on the LAN, stop new users from obtaining IPs, release any IPs in use, then
 for good measure send gratuitous ARP and knock all windows hosts offline.
 .
 It is based on the scapy library and requests admin privileges to execute.
 It has been tested on multiple Linux distributions and multiple DHCP servers
 (ISC, Windows 2k3/2k8,..).

Package: dhcping
Description-md5: c1656353f4bd68e86cd8d21688eaf5ac
Description-en: DHCP Daemon Ping Program
 This small tool provides an opportunity for a system administrator to
 perform a DHCP request to find out if a DHCP server is still running.

Package: dhcpoptinj
Description-md5: a991876c02d62a7f19cf6c0ab97bfc06
Description-en: DHCP option injector
 dhcpoptinj is a simple utility for injecting DHCP options into DHCP packets.
 It is implemented using netfilter-queue and mangles packets, either by
 inserting new options or replacing existing options.

Package: dhcpstarv
Description-md5: 68fb344cbee2b81f06f5ba6bf8ecd268
Description-en: DHCP starvation utility
 Dhcpstarv is tool that implements DHCP starvation attack.
 It requests DHCP leases on a specified interface,
 save them and renew on regular basis.

Package: dhcpy6d
Description-md5: 7d9e22e80d134efacee19ed0bb1d057d
Description-en: MAC address aware DHCPv6 server written in Python
 Dhcpy6d delivers IPv6 addresses for DHCPv6 clients, which can be
 identified by DUID, hostname or MAC address as in the good old IPv4
 days. It allows easy dualstack transition, addresses may be
 generated randomly, by range, by arbitrary ID or MAC address. Clients
 can get more than one address, leases and client configuration can be
 stored in databases and DNS can be updated dynamically.

Package: dhelp
Description-md5: 4184dc280d7c83dc51fcec8efe70bc76
Description-en: online help system
 Read all documentation with a WWW browser. dhelp builds an index of
 all installed HTML documentation. You don't need a WWW server to read
 the documentation. dhelp offers a very fast search in the HTML documents.
 .
 You can access the online help system with the dhelp program or with
 your browser. The URL to point your browser at is (if you have a WWW
 server installed) http://localhost/doc/HTML/index.html , else (if you
 do not) file://localhost/usr/share/doc/HTML/index.html.

Package: dhex
Description-md5: eeb2f932a3a457dadbdbce4de7103655
Description-en: ncurses based hex editor with diff mode
 This is more than just another hex editor: It includes a diff mode, which
 can be used to easily and conveniently compare two binary files. Since it is
 based on ncurses and is themeable, it can run on any number of systems and
 scenarios. With its utilization of search logs, it is possible to track
 changes in different iterations of files easily.

Package: dhis-client
Description-md5: 2f7383bcfc42f0c60f1fcaf0d1baa02d
Description-en: Dynamic Host Information System - client
 dhid is the DHIS client daemon. After setting up with a DHIS provider,
 each machine may run a dhid daemon (in background) in order to
 update its dynamic IP address within the server.

Package: dhis-dns-engine
Description-md5: d0077a66d81c342ff999f3c31f57120f
Description-en: Dynamic Host Information System - DNS engine
 This package contains a dynamic DNS service module to be used
 with dhisd release 5 or above.
 .
 While the DHIS server dhisd retrieves dynamic IP addresses
 from clients, this module allows the server to update a
 dynamic DNS zone based on those retrieved IP addresses.

Package: dhis-mx-sendmail-engine
Description-md5: 87f22afcf8ac29ae4285f6584d39fecd
Description-en: Dynamic Host Information System - sendmail MX engine
 This package contains a mail relaying service module to be used
 with dhisd release 5 or above and the dynamic DNS module.
 .
 While the DHIS server dhisd retrieves dynamic IP addresses
 from clients, this module allows the server to deliver messages
 that were previously queued for the newly online host.

Package: dhis-server
Description-md5: c48d722cbf3d202d449b50d61ade7d66
Description-en: Dynamic Host Information System - server
 DHIS is a client-server architecture meant to update databases
 for systems which are assigned a dynamic IP[v4] address.
 .
 By the means of a DHIS client a host which is assigned a dynamic
 IP address (either from its ISP or from DHCP) is able to
 communicate with a DHIS server in order to advertise its newly
 acquired IP address.

Package: dhis-tools-dns
Description-md5: 6f7aa8def4a2af1cb4a72783dc7b771f
Description-en: Dynamic Host Information System - DNS configuration tools
 This package includes a set of tools that may be used to manually
 create DHIS records on a dynamic DNS server.

Package: dhis-tools-genkeys
Description-md5: 895aa6ba1a8e8183d1a5256a74c48068
Description-en: Dynamic Host Information System - key generation tools
 This package includes a set of tools that may be used to manually
 create shared or public/private keys for DHIS client/server authentication.

Package: dhtnode
Description-md5: 9cca358aae9684d1a8943ddaed07c4ef
Description-en: OpenDHT node binary
 OpenDHT is a C++11 distributed hash table implementation.
 Feature list:
  - Distributed shared key->value data-store
  - Clean and powerful distributed map API with storage of
    arbitrary binary values of up to 56 KB.
  - Optional public key cryptography layer providing data
    signature and encryption (using GnuTLS).
  - IPv4 and IPv6 support.
 .
 This package contains the OpenDHT node binary.

Package: di
Description-md5: 529ec132f28e1ac331af48044eda799d
Description-en: advanced df like disk information utility
 `di' is a disk information utility, displaying everything
 (and more) that your `df' command does. It features the
 ability to display your disk usage in whatever format you
 desire/prefer/are used to. It is designed to be portable
 across many platforms.

Package: di-netboot-assistant
Description-md5: 597c083dacb9d7dd13744c852f32ffa9
Description-en: Debian-Installer netboot assistant
 di-netboot-assistant is a tool to simplify the preparation of files
 for TFTP net booting. It downloads and extracts the files needed and
 takes care of organizing them. In addition, it prepares a top level
 menu for PXElinux and grub-efi, which allows one to choose from all
 installed netboot images at boot time.
 .
 di-netboot-assistant supports preseeding the installer in order to
 set up systems completely automatically.
 .
 Install grub-efi-amd64-bin to build EFI boot images, and for secure
 boot support install grub-efi-amd64-signed and shim-signed.

Package: dia
Description-md5: 018aa331acc6ffa4e440b38dd58114c1
Description-en: Diagram editor
 Dia is an editor for diagrams, graphs, charts etc. There is support for UML
 static structure diagrams (class diagrams), Entity-Relationship diagrams,
 network diagrams and much more. Diagrams can be exported to postscript and
 many other formats.

Package: dia-common
Description-md5: 9d12e6044f35c45f65fe82a12fc94b0c
Description-en: Diagram editor (common files)
 Dia is an editor for diagrams, graphs, charts etc. There is support for UML
 static structure diagrams (class diagrams), Entity-Relationship diagrams,
 network diagrams and much more. Diagrams can be exported to postscript and
 many other formats.
 .
 This package contains the architecture independent files.

Package: dia-rib-network
Description-md5: 231d72d7e2223ede3eb3e84367546fb1
Description-en: network icons scheme for Dia
 gnomeDIAicons is a package with a network icons scheme based on Gnome
 Gorilla's theme.
 .
 The purpose of this project is generate beauty icons to Dia program and
 provide a raise in its utilization against MS Visio.
 .
 I hope it can be useful for many people. I'll provide others schemes too, but
 at first only network scheme was made.

Package: dia-shapes
Description-md5: e4ff2c34ed790b4779293eedadc78bc3
Description-en: Diagram editor (additional shapes)
 Dia is an editor for diagrams, graphs, charts etc. There is support for UML
 static structure diagrams (class diagrams), Entity-Relationship diagrams,
 network diagrams and much more. Diagrams can be exported to postscript and
 many other formats.
 .
 This package provides additional shapes for Dia. Included shapes are
  - Automata
  - AUTOSAR
  - Building site
  - Central data processing
  - CH-1 notation
  - Chemistry lab
  - Circuit 2
  - CMOS
  - Digital
  - EPC
  - Electric 2
  - Electrical
  - Electronic
  - Gradient
  - Living Systems Theory
  - Optics
  - Racks
  - Renewable Energy
  - Scenegraph
  - Value Stream Mapping

Package: dia2code
Description-md5: f70fe5b04da27c9d36ff50d537c13f0d
Description-en: a dia-UML code generator
 dia2code is a code generator which uses UML diagrams produced by dia,
 and turns them into C, C++, Java, Ada, PHP, Python, Shapefile, SQL,
 and C# files.

Package: dialign
Description-md5: c167148e4fcfc2b9286f16796115d6a0
Description-en: Segment-based multiple sequence alignment
 DIALIGN2 is a command line tool to perform multiple alignment of
 protein or DNA sequences. It constructs alignments from gapfree pairs
 of similar segments of the sequences. This scoring scheme for
 alignments is the basic difference between DIALIGN and other global or
 local alignment methods. Note that DIALIGN does not employ any kind of
 gap penalty.

Package: dialign-tx
Description-md5: e9901419309c237beb8746a4cbe9d039
Description-en: Segment-based multiple sequence alignment
 DIALIGN-TX is a command line tool to perform multiple alignment of protein or
 DNA sequences. It is a complete reimplementation of the segment-base approach
 including several new improvements and heuristics that significantly enhance
 the quality of the output alignments compared to DIALIGN 2.2 and DIALIGN-T.
 For pairwise alignment, DIALIGN-TX uses a fragment-chaining algorithm that
 favours chains of low-scoring local alignments over isolated high-scoring
 fragments. For multiple alignment, DIALIGN-TX uses an improved greedy
 procedure that is less sensitive to spurious local sequence similarities.

Package: dialign-tx-data
Description-md5: 7e5bfd0edbeafcc2ff7b0a43edd48468
Description-en: Segment-based multiple sequence alignment (data files)
 DIALIGN-TX is a command line tool to perform multiple alignment of protein or
 DNA sequences. It is a complete reimplementation of the segment-base approach
 including several new improvements and heuristics that significantly enhance
 the quality of the output alignments compared to DIALIGN 2.2 and DIALIGN-T.
 For pairwise alignment, DIALIGN-TX uses a fragment-chaining algorithm that
 favours chains of low-scoring local alignments over isolated high-scoring
 fragments. For multiple alignment, DIALIGN-TX uses an improved greedy
 procedure that is less sensitive to spurious local sequence similarities.
 .
 This package contain the score matrices and probability distribution files
 that DIALIGN-TX needs to align peptidic and nucleic sequences.

Package: dialog
Description-md5: bcacb3d599cbbb23a47a1141ae12a28b
Description-en: Displays user-friendly dialog boxes from shell scripts
 This application provides a method of displaying several different types
 of dialog boxes from shell scripts.  This allows a developer of a script
 to interact with the user in a much friendlier manner.
 .
 The following types of boxes are at your disposal:
  yes/no           Typical query style box with "Yes" and "No" answer buttons
  menu             A scrolling list of menu choices with single entry selection
  input            Query style box with text entry field
  message          Similar to the yes/no box, but with only an "Ok" button
  text             A scrollable text box that works like a simple file viewer
  info             A message display that allows asynchronous script execution
  checklist        Similar to the menu box, but allowing multiple selections
  radiolist        Checklist style box allowing single selections
  gauge            Typical "progress report" style box
  tail             Allows viewing the end of files (tail) that auto updates
  background tail  Similar to tail but runs in the background.
  editbox          Allows editing an existing file

Package: diamond-aligner
Description-md5: 61d25e105442131bbed8226a4c37df31
Description-en: accelerated BLAST compatible local sequence aligner
 DIAMOND is a sequence aligner for protein and translated DNA searches
 and functions as a drop-in replacement for the NCBI BLAST software
 tools. It is suitable for protein-protein search as well as DNA-protein
 search on short reads and longer sequences including contigs and
 assemblies, providing a speedup of BLAST ranging up to x20,000.

Package: dianara
Description-md5: 914df52829dfd22183e16056619a05d7
Description-en: client for the pump.io federated social network
 Dianara is a pump.io client, a desktop application for GNU/linux that allows
 users to manage their Pump.io social networking accounts without the need to
 use a web browser.

Package: diaspora-common
Description-md5: fca0adb2b58e8d8531627141d1d4c892
Description-en: distributed social networking service - common files
 Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free
 personal web server that implements a distributed social networking service.
 Installations of the software form nodes (termed "pods") which make up the
 distributed Diaspora social network.
 .
 Diaspora is intended to address privacy concerns related to centralized
 social networks by allowing users to set up their own server (or "pod") to
 host content; pods can then interact to share status updates, photographs,
 and other social data. It allows its users to host their data with a
 traditional web host, a cloud-based host, an ISP, or a friend. The framework,
 which is being built on Ruby on Rails, is free software and can be
 experimented with by external developers.
 .
 Learn more about Diaspora at http://diasporafoundation.org
 .
 It provides files common for the diaspora and diaspora-installer packages.

Package: diaspora-installer
Description-md5: 120c7fb469648e6eb3e942926e8b1ea0
Description-en: distributed social networking service - installer
 Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free
 personal web server that implements a distributed social networking service.
 Installations of the software form nodes (termed "pods") which make up the
 distributed Diaspora social network.
 .
 Diaspora is intended to address privacy concerns related to centralized
 social networks by allowing users to set up their own server (or "pod") to
 host content; pods can then interact to share status updates, photographs,
 and other social data. It allows its users to host their data with a
 traditional web host, a cloud-based host, an ISP, or a friend. The framework,
 which is being built on Ruby on Rails, is free software and can be
 experimented with by external developers.
 .
 Learn more about Diaspora at http://diasporafoundation.org
 .
 This dummy package downloads diaspora (also pulling in runtime
 dependencies as rubygems) and configures it to use PostgreSQL and
 Nginx.
 .
 Unlike the normal Debian package, this package installs exact versions of the
 dependencies supported by upstream.

Package: diaspora-installer-mysql
Description-md5: c18a155ca94ebb0900ab3ea2aa77e4b9
Description-en: distributed social networking service - installer (with MySQL)
 Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free
 personal web server that implements a distributed social networking service.
 Installations of the software form nodes (termed "pods") which make up the
 distributed Diaspora social network.
 .
 Diaspora is intended to address privacy concerns related to centralized
 social networks by allowing users to set up their own server (or "pod") to
 host content; pods can then interact to share status updates, photographs,
 and other social data. It allows its users to host their data with a
 traditional web host, a cloud-based host, an ISP, or a friend. The framework,
 which is being built on Ruby on Rails, is free software and can be
 experimented with by external developers.
 .
 Learn more about Diaspora at http://diasporafoundation.org
 .
 This dummy package downloads diaspora (also pulling in runtime
 dependencies as rubygems) and configures it to use MySQL and  Nginx.
 .
 Unlike the normal Debian package, this package installs exact versions of the
 dependencies supported by upstream.

Package: diatheke
Description-md5: ff36e5e7d80e12c769fe3ae475c039a3
Description-en: command line bible browsing and search tool
 The SWORD Project is an open source, cross-platform (Linux, Windows, Solaris,
 MacOSX etc.) API/library for Bible software with a constantly growing list
 of front-ends (GUI, textmode, web-based, etc.) and a library of over 200
 text modules.
 .
 This package contains a command line utility for SWORD, allowing
 bible browsing and searching. This tool is used mostly only for
 library testing. Nicer front-ends are Xiphos (Gtk), Bibletime (Qt)
 and others.

Package: dibbler-client
Description-md5: 68897480e8be904a09500fca300cc21b
Description-en: portable DHCPv6 client
 The Dibbler client supports both stateful (i.e. IPv6 address
 granting) as well as stateless (i.e. option granting)
 auto-configuration modes of the DHCPv6 protocol. Besides basic
 capabilities, it supports various DHCPv6 extensions, for instance
 option renewal. Installing Dibbler allows obtaining IPv6 addresses and
 options from a DHCPv6 server.

Package: dibbler-client-dbg
Description-md5: a15add595ebdae3bfc2eda087278a22e
Description-en: portable DHCPv6 client
 The Dibbler client supports both stateful (i.e. IPv6 address
 granting) as well as stateless (i.e. option granting)
 auto-configuration modes of the DHCPv6 protocol. Besides basic
 capabilities, it supports various DHCPv6 extensions, for instance
 option renewal. Installing Dibbler allows obtaining IPv6 addresses and
 options from a DHCPv6 server.
 .
 This package contains the debugging symbols for dibbler-client.

Package: dibbler-doc
Description-md5: 3af3537031d5775dd4a5e6b99a5bb932
Description-en: documentation for Dibbler
 This package includes both user and developer documentation, covering all
 aspects of the Dibbler DHCPv6 implementation:
  - server, client and relay usage and configuration;
  - various tips and frequently asked questions;
  - internal structure/code description and hints for developers.

Package: dibbler-relay
Description-md5: f88188c3457bc9cab23d11c08a90915b
Description-en: portable DHCPv6 relay
 Relays are used as proxies between servers and clients. Their main
 advantage is the ability to support multiple remote links using only
 one server. Installing Dibbler relay allows serving multiple, not
 physically connected, links with one DHCPv6 server.

Package: dibbler-relay-dbg
Description-md5: cfb8eba35548b9316c88886e117b45d6
Description-en: portable DHCPv6 relay
 Relays are used as proxies between servers and clients. Their main
 advantage is the ability to support multiple remote links using only
 one server. Installing Dibbler relay allows serving multiple, not
 physically connected, links with one DHCPv6 server.
 .
 This package contains the debugging symbols for dibbler-relay.

Package: dibbler-server
Description-md5: 7aeb807bbf66da4d5cd87e3aa687cd12
Description-en: portable DHCPv6 server
 The Dibbler server supports both stateful (i.e. IPv6 address
 granting) as well as stateless (i.e. options granting)
 auto-configuration modes of the DHCPv6 protocol. Relays are also
 supported. This package allows IPv6 hosts to be automatically
 configured on the network.

Package: dibbler-server-dbg
Description-md5: 4cf668038d463d69fda868adfd8dccf2
Description-en: portable DHCPv6 server
 The Dibbler server supports both stateful (i.e. IPv6 address
 granting) as well as stateless (i.e. options granting)
 auto-configuration modes of the DHCPv6 protocol. Relays are also
 supported. This package allows IPv6 hosts to be automatically
 configured on the network.
 .
 This package contains the debugging symbols for dibbler-server.

Package: dicelab
Description-md5: 7cc5b39da546f32062a11b203d98dfb7
Description-en: evaluate the statistical distribution of dice rolls
 With dicelab you can express most dice rolls (and similar things) in a
 functional language, and then either roll the expression, or evaluate the
 statistical distribution. In the latter case you can choose whether you want to
 simply roll and tally many times, or actually compute the distribution (which
 is more precise, but takes a long time in some obscure cases).

Package: diceware
Description-md5: 4f2bced39eed30920a234363395280de
Description-en: Create memorizable passphrases from wordlists and various sources of randomness
 Passphrase generator following the proposals of Arnold G.
 Reinhold on http://diceware.com . It generates passphrases by concatenating
 words randomly picked from wordlists.

Package: diceware-doc
Description-md5: a21e46cd62d03b77c56161be029f62c2
Description-en: Create memorizable passphrases from wordlists and various sources of randomness
 Passphrase generator following the proposals of Arnold G.
 Reinhold on http://diceware.com . It generates passphrases by concatenating
 words randomly picked from wordlists.
 .
 This package ships the Sphinx documentation built with diceware.

Package: dico
Description-md5: 13351509d30fca7bfbce1ebfb40dafec
Description-en: RFC 2229 compliant dictionary client
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package contains the dico console client.

Package: dico-dev
Description-md5: 16e1bb2a6ba815217fba949b97e64a68
Description-en: RFC 2229 compliant modular dictionary server (development files)
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package contains the header files, static libraries, and symbolic links
 needed for development.

Package: dico-doc
Description-md5: 17ba737c119a2c7c1da487b29883c36c
Description-en: RFC 2229 compliant modular dictionary server (documentation)
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package contains the complete documentation for GNU Dico.

Package: dico-module-guile
Description-md5: 66523e3cc8ec7aaa5245271578006b86
Description-en: RFC 2229 compliant modular dictionary server (Guile module)
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package provides an abstract layer for interfacing with database modules
 written in Guile.

Package: dico-module-mediawiki
Description-md5: 6d62157d3239bb297f3bc3f3014fc280
Description-en: RFC 2229 compliant modular dictionary server (mediawiki module)
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package contains the module that handles the use of Wiktionary or
 Wikipedia as a dictionary database.

Package: dico-module-python
Description-md5: 328809bb25555818a70089c7b9bac544
Description-en: RFC 2229 compliant modular dictionary server (Python module)
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package provides an abstract layer for interfacing with database modules
 written in Python.

Package: dico-module-wordnet
Description-md5: d2928f88165a5fd8534fc0276732250d
Description-en: RFC 2229 compliant modular dictionary server (WordNet module)
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package provides an abstract layer for reading WordNet lexical database
 files.

Package: dicod
Description-md5: ec9ad191eacf23c9cb9849b4c27dc108
Description-en: RFC 2229 compliant modular dictionary server
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package contains the dicod server, in addition to the following plugins:
  * ldap: provides LDAP support
  * substr: provides a substring search strategy
  * stratall: provides the 'all' search strategy

Package: dicom3tools
Description-md5: f44bff98c1ce14f1c05e5ce8105fe944
Description-en: DICOM medical image files manipulation and conversion tools
 Command line utilities for creating, modifying, dumping and validating
 files of DICOM attributes. Support conversion of some proprietary medical
 image formats to DICOM. Can handle older ACR/NEMA format data, and some
 proprietary versions of that such as SPI.

Package: dicomnifti
Description-md5: 742649276fe53f5828fd5384c437b2af
Description-en: converts DICOM files into the NIfTI format
 The dinifti program converts MRI images stored in DICOM format to NIfTI
 format. The NIfTI format is thought to be the new standard image format for
 medical imaging and can be used with for example with FSL, AFNI, SPM, Caret
 or Freesurfer.
 .
 dinifti converts single files, but also supports fully automatic batch
 conversions of complete dicomdirs. Additionally, converted NIfTI files can
 be properly named, using image series information from the DICOM files.

Package: dicomscope
Description-md5: 36e87fe3b90ce3dcd455f7663a90c3c8
Description-en: OFFIS DICOM Viewer
 DICOMscope is a free DICOM viewer which can display uncompressed, monochrome
 DICOM images from all modalities and which supports monitor calibration
 according to DICOM part 14 as well as presentation states.
 .
 DICOMscope offers a print client (DICOM Basic Grayscale Print Management)
 which also implements the optional Presentation LUT SOP Class.
 .
 The development of this prototype was commissioned by the "Committee for the
 Advancement of DICOM" and demonstrated at the European Congress of Radiology
 ECR 1999. An enhanced version was developed for the "DICOM Display Consistency
 Demonstration" at RSNA InfoRAD 1999.
 .
 The current release has been demonstrated at ECR 2001 and contains numerous
 extensions, including a print server, support for encrypted DICOM
 communication, digital signatures and structured reporting.

Package: dicomscope-doc
Description-md5: d272e2d3f6451a4b57251e439bd60c18
Description-en: OFFIS DICOM Viewer - documentation
 DICOMscope is a free DICOM viewer which can display uncompressed, monochrome
 DICOM images from all modalities and which supports monitor calibration
 according to DICOM part 14 as well as presentation states.
 .
 DICOMscope offers a print client (DICOM Basic Grayscale Print Management)
 which also implements the optional Presentation LUT SOP Class.
 .
 The development of this prototype was commissioned by the "Committee for the
 Advancement of DICOM" and demonstrated at the European Congress of Radiology
 ECR 1999. An enhanced version was developed for the "DICOM Display Consistency
 Demonstration" at RSNA InfoRAD 1999.
 .
 The current release has been demonstrated at ECR 2001 and contains numerous
 extensions, including a print server, support for encrypted DICOM
 communication, digital signatures and structured reporting.
 .
 This is the documentation package for DICOMscope

Package: dicoweb
Description-md5: aea960854256df0c1d2a77cc4a99f90a
Description-en: RFC 2229 compliant modular dictionary server (web interface)
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package provides a web interface for database searches.

Package: dict-de-en
Description-md5: 0be1c1f4b7dc2ec4b5183b7e7e2adac0
Description-en: German-English translation dictionary for dictd
 German-English and  English-Deutsch translation dictionary for
 the dictd server.  It contains approximately 345,000 entries.
 .
 The source of the database is available from
 https://dict.tu-chemnitz.de/

Package: dict-devil
Description-md5: ece6a3dcf12c28b50a1557427750581a
Description-en: "The Devil's Dictionary" by Ambrose Bierce
 This package contains The Devil's Dictionary, a satirical, cynical
 and irreverent dictionary of common words, formatted for use by the
 dictionary server in the dictd package.

Package: dict-elements
Description-md5: 61d63144083451c8db53fd19aba33b38
Description-en: Data regarding the Elements
 This package contains Jay Kominek's <jkominek@miranda.org> compilation of
 physical and chemical data about the elements, updated 11/07/00
 formatted for use by the dictionary server in the dictd package.
 .
 This package will be of limited use without the client and server
 found in the dict and dictd packages.

Package: dict-freedict-afr-deu
Description-md5: f695a883c4ad9ac8a48321758e62b164
Description-en: Afrikaans-German dictionary for the dict server/client
 This is the Afrikaans-German dictionary from the FreeDict project, version
 0.3.2. It contains 3800 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-afr-eng
Description-md5: be0e9e2efd22dae658de23af87489a60
Description-en: Afrikaans-English dictionary for the dict server/client
 This is the Afrikaans-English dictionary from the FreeDict project, version
 0.2.2. It contains 5129 headwords (FreeDict status: small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ara-eng
Description-md5: 5b38694b7313c5279ac48cdb6ec96c32
Description-en: Arabic-English dictionary for the dict server/client
 This is the Arabic-English dictionary from the FreeDict project, version
 0.6.3. It contains 52996 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-bre-fra
Description-md5: 70076e12f47945821ee65bea3aeed9db
Description-en: Breton-French dictionary for the dict server/client
 This is the Breton-French dictionary from the FreeDict project, version
 0.8.3. It contains 27034 headwords (FreeDict status: Big enough to be useful).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-ces-eng
Description-md5: 79f934df94f62f6cae1b8a1f95397789
Description-en: Czech-English dictionary for the dict server/client
 This is the Czech-English dictionary from the FreeDict project, version
 0.2.3. It contains 488 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ckb-kmr
Description-md5: 1f9f96ebbc6eb32c3671cec5f0ce2392
Description-en: Central Kurdish-Northern Kurdish dictionary for the dict server/client
 This is the Central Kurdish-Northern Kurdish dictionary from the FreeDict
 project, version 0.2. It contains 7845 headwords (FreeDict status: stable). It
 can be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-cym-eng
Description-md5: c7afe63a93d6ec3e7fe34d36c5753c61
Description-en: Welsh-English dictionary for the dict server/client
 This is the Welsh-English dictionary from the FreeDict project, version
 0.2.3. It contains 12630 headwords (FreeDict status: big enough). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-dan-eng
Description-md5: 0c8bbe3383ee63dd28e0b6813363e409
Description-en: Danish-English dictionary for the dict server/client
 This is the Danish-English dictionary from the FreeDict project, version
 0.2.2. It contains 3997 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-bul
Description-md5: 8f1d6fac7ad4dcb583445db755603552
Description-en: German-Bulgarian dictionary for the dict server/client
 This is the German-Bulgarian dictionary from the FreeDict project. It
 contains 8854 headwords (FreeDict status: unknown). It can be either used with
 the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-eng
Description-md5: 648571f8213b51b5dd2e7c048196c521
Description-en: German-English dictionary for the dict server/client
 This is the German-English dictionary from the FreeDict project, version
 0.3.5. It contains 81621 headwords (FreeDict status: old upstream version). It
 can be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-fra
Description-md5: dae384e872a4684778e04a2116b75149
Description-en: German-French dictionary for the dict server/client
 This is the German-French dictionary from the FreeDict project. It contains
 34507 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-ita
Description-md5: dd596cb89fb234f2c4bb2ef758d1df4e
Description-en: German-Italian dictionary for the dict server/client
 This is the German-Italian dictionary from the FreeDict project, version 0.2.
 It contains 4454 headwords (FreeDict status: small). It can be either used with
 the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-kur
Description-md5: 831849d20a40c70cdf0c7ad892132b61
Description-en: German-Kurdish dictionary for the dict server/client
 This is the German-Kurdish dictionary from the FreeDict project, version
 0.2.2. It contains 22567 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-nld
Description-md5: 0cd9d1a86f690b57b6bcb63bef024691
Description-en: German-Dutch dictionary for the dict server/client
 This is the German-Dutch dictionary from the FreeDict project, version 0.1.4.
 It contains 12812 headwords (FreeDict status: small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-pol
Description-md5: cbc69be911bfb6ea1e6fa6abda12ac2f
Description-en: German-Polish dictionary for the dict server/client
 This is the German-Polish dictionary from the FreeDict project. It contains
 16257 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-por
Description-md5: a8e892daa7e996b3da60840946cd396e
Description-en: German-Portuguese dictionary for the dict server/client
 This is the German-Portuguese dictionary from the FreeDict project, version
 0.2.2. It contains 8742 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-rus
Description-md5: 44863ff47049b73ce6668b30bfad292c
Description-en: German-Russian dictionary for the dict server/client
 This is the German-Russian dictionary from the FreeDict project. It contains
 17742 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-spa
Description-md5: 16a1d1ef42fb7158d784ae9ff7c240d3
Description-en: German-Spanish dictionary for the dict server/client
 This is the German-Spanish dictionary from the FreeDict project. It contains
 27552 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-swe
Description-md5: bce6f9b57d7bdcd26ad434816ffb6025
Description-en: German-Swedish dictionary for the dict server/client
 This is the German-Swedish dictionary from the FreeDict project. It contains
 38951 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-deu-tur
Description-md5: 6fcef5e77a5b140bf5ff1d9c1d0b2e39
Description-en: German-Turkish dictionary for the dict server/client
 This is the German-Turkish dictionary from the FreeDict project, version
 0.2.2. It contains 36219 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-afr
Description-md5: 5093dd604ad21c73f5d664bf89cf8cbd
Description-en: English-Afrikaans dictionary for the dict server/client
 This is the English-Afrikaans dictionary from the FreeDict project, version
 0.1.3. It contains 6397 headwords (FreeDict status: small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-ara
Description-md5: 38bb5e17d7e0c4d4d07f81d4826ed8af
Description-en: English-Arabic dictionary for the dict server/client
 This is the English-Arabic dictionary from the FreeDict project, version
 0.6.3. It contains 87424 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-bul
Description-md5: 882cdf01390df90b1d7eb88952c8eda1
Description-en: English-Bulgarian dictionary for the dict server/client
 This is the English-Bulgarian dictionary from the FreeDict project. It
 contains 16761 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-ces
Description-md5: 73a4e5d1ee9f80580d7aa6fc1f85d18b
Description-en: English-Czech dictionary for the dict server/client
 This is the English-Czech dictionary from the FreeDict project, version
 0.1.3. It contains 150004 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-cym
Description-md5: 10eb72e05cfd5c27afc5f9794869a95f
Description-en: English-Welsh dictionary for the dict server/client
 This is the English-Welsh dictionary from the FreeDict project, version
 0.2.3. It contains 12630 headwords (FreeDict status: big enough). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-deu
Description-md5: 4799485aa7d535c2e4ed92b1dd4e0c3f
Description-en: English-German dictionary for the dict server/client
 This is the English-German dictionary from the FreeDict project, version
 0.3.7. It contains 93275 headwords (FreeDict status: old upstream version). It
 can be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-ell
Description-md5: bf5ac1948ab046ff26fe1150aec87f21
Description-en: English-Modern Greek (1453-) dictionary for the dict server/client
 This is the English-Modern Greek (1453-) dictionary from the FreeDict
 project, version 0.1.1. It contains 20973 headwords (FreeDict status: big
 enough to be useful). It can be either used with the dictd server and a dict
 client or with GoldenDict.

Package: dict-freedict-eng-fin
Description-md5: 91891cc12ace36a6bd2957c364cd01f5
Description-en: English-Finnish dictionary for the dict server/client
 This is the English-Finnish dictionary from the FreeDict project. It contains
 55733 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-fra
Description-md5: 1b08252e9de6da3eb45f4bd147bf1c69
Description-en: English-French dictionary for the dict server/client
 This is the English-French dictionary from the FreeDict project, version
 0.1.6. It contains 8799 headwords (FreeDict status: small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-gle
Description-md5: 8bc8b4674032d0adc3f3b507284f03af
Description-en: English-Irish dictionary for the dict server/client
 This is the English-Irish dictionary from the FreeDict project, version
 0.3.2. It contains 1359 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-hin
Description-md5: b8013ef505615c554d0bd6e68da3874c
Description-en: English-Hindi dictionary for the dict server/client
 This is the English-Hindi dictionary from the FreeDict project, version 1.6.
 It contains 25642 headwords (FreeDict status: low quality). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-hrv
Description-md5: bbbe87d9f93dc9d895565d5a97be7d33
Description-en: English-Croatian dictionary for the dict server/client
 This is the English-Croatian dictionary from the FreeDict project, version
 0.2.2. It contains 59194 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-hun
Description-md5: 87160dce8b16a561ea85e10198356229
Description-en: English-Hungarian dictionary for the dict server/client
 This is the English-Hungarian dictionary from the FreeDict project, version
 0.2.1. It contains 89679 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-ita
Description-md5: d17117e7bceb4d5ee3480cf7ad4f7cab
Description-en: English-Italian dictionary for the dict server/client
 This is the English-Italian dictionary from the FreeDict project, version
 0.1.2. It contains 4519 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-jpn
Description-md5: f66b154f2b190bdaac1737de67fab7d4
Description-en: English-Japanese dictionary for the dict server/client
 This is the English-Japanese dictionary from the FreeDict project. It
 contains 28674 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-lat
Description-md5: 2108be608e0b9a127361e07580904180
Description-en: English-Latin dictionary for the dict server/client
 This is the English-Latin dictionary from the FreeDict project, version
 0.1.2. It contains 3026 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-lit
Description-md5: 4e11e3a049e584322fb023325eb04189
Description-en: English-Lithuanian dictionary for the dict server/client
 This is the English-Lithuanian dictionary from the FreeDict project, version
 0.7.2. It contains 6255 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-nld
Description-md5: 0ecc2507b54161966226e171d2224653
Description-en: English-Dutch dictionary for the dict server/client
 This is the English-Dutch dictionary from the FreeDict project, version 0.2.
 It contains 7714 headwords (FreeDict status: small). It can be either used with
 the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-pol
Description-md5: f6df0882a098ef4b7c87c3d09e042bc5
Description-en: English-Polish dictionary for the dict server/client
 This is the English-Polish dictionary from the FreeDict project, version 0.2.
 It contains 16362 headwords (FreeDict status: big enough to be useful). It can
 be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-por
Description-md5: 936c65ff978a20a3271899f9c3a0b2f6
Description-en: English-Portuguese dictionary for the dict server/client
 This is the English-Portuguese dictionary from the FreeDict project, version
 0.3. It contains 15766 headwords (FreeDict status: big enough). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-rom
Description-md5: 44ac50db1849df2be2caa03b3cfa5b01
Description-en: English-Romany dictionary for the dict server/client
 This is the English-Romany dictionary from the FreeDict project, version
 0.6.3. It contains 990 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-rus
Description-md5: 56fcfbc4dae4c2f563be94be3b784bb6
Description-en: English-Russian dictionary for the dict server/client
 This is the English-Russian dictionary from the FreeDict project, version
 0.3.1. It contains 1693 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-spa
Description-md5: 2be17817bd8172c01d646b980cbb17eb
Description-en: English-Spanish dictionary for the dict server/client
 This is the English-Spanish dictionary from the FreeDict project, version
 0.3. It contains 5907 headwords (FreeDict status: small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-srp
Description-md5: b7407aa3750c804ef71d3e988ff53f10
Description-en: English-Serbian dictionary for the dict server/client
 This is the English-Serbian dictionary from the FreeDict project, version
 0.1.3. It contains 590 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-swe
Description-md5: 3528c9e00180da7e5e89eb3d8bf57251
Description-en: English-Swedish dictionary for the dict server/client
 This is the English-Swedish dictionary from the FreeDict project, version
 0.2. It contains 5479 headwords (FreeDict status: small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-eng-swh
Description-md5: 40c6744295f477d00aac59d921dfa2c3
Description-en: English-Swahili (individual language) dictionary for the dict server/client
 This is the English-Swahili (individual language) dictionary from the
 FreeDict project, version 0.2.2. It contains 1450 headwords (FreeDict status:
 too small). It can be either used with the dictd server and a dict client or
 with GoldenDict.

Package: dict-freedict-eng-tur
Description-md5: 6994e60534b05be63d78ef2dcf85cd17
Description-en: English-Turkish dictionary for the dict server/client
 This is the English-Turkish dictionary from the FreeDict project, version
 0.3. It contains 36589 headwords (FreeDict status: unstable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-epo-eng
Description-md5: 28f55718b58188eff3aa2ba4c80eb05b
Description-en: Esperanto-English dictionary for the dict server/client
 This is the Esperanto-English dictionary from the FreeDict project, version
 1.0.1. It contains 63477 headwords (FreeDict status: big enough to be useful).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-fin-bul
Description-md5: 6c2971446d62d1258b411e6c8bcc4905
Description-en: Finnish-Bulgarian dictionary for the dict server/client
 This is the Finnish-Bulgarian dictionary from the FreeDict project. It
 contains 10783 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fin-ell
Description-md5: cac1649cb36b59a10d6b1e280a173ce1
Description-en: Finnish-Modern Greek (1453-) dictionary for the dict server/client
 This is the Finnish-Modern Greek (1453-) dictionary from the FreeDict
 project. It contains 13246 headwords (FreeDict status: big enough to be
 useful). It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-fin-eng
Description-md5: ceaa458aa187e5fd5f68836087595cce
Description-en: Finnish-English dictionary for the dict server/client
 This is the Finnish-English dictionary from the FreeDict project. It contains
 32373 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fin-ita
Description-md5: c7dfdd91fba2829eaae1f496aec49888
Description-en: Finnish-Italian dictionary for the dict server/client
 This is the Finnish-Italian dictionary from the FreeDict project. It contains
 10320 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fin-jpn
Description-md5: 1a68628b97054f2f78ab86724435533f
Description-en: Finnish-Japanese dictionary for the dict server/client
 This is the Finnish-Japanese dictionary from the FreeDict project. It
 contains 14964 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fin-nor
Description-md5: 6dd0bb6fe328c7bf3874aa82636973e1
Description-en: Finnish-Norwegian dictionary for the dict server/client
 This is the Finnish-Norwegian dictionary from the FreeDict project. It
 contains 10134 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fin-por
Description-md5: 5040cb501b3efcccae4a3bafa286faf8
Description-en: Finnish-Portuguese dictionary for the dict server/client
 This is the Finnish-Portuguese dictionary from the FreeDict project. It
 contains 10749 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fin-swe
Description-md5: 640199aa6c4d682627fb71170a1c20d6
Description-en: Finnish-Swedish dictionary for the dict server/client
 This is the Finnish-Swedish dictionary from the FreeDict project. It contains
 12303 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-bre
Description-md5: 59dd2041dfa10187b7e6de6b3a385fd9
Description-en: French-Breton dictionary for the dict server/client
 This is the French-Breton dictionary from the FreeDict project, version
 0.2.7. It contains 36017 headwords (FreeDict status: Big enough to be useful).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-fra-bul
Description-md5: eea6ebff3a7a45f353c0b8471359c119
Description-en: French-Bulgarian dictionary for the dict server/client
 This is the French-Bulgarian dictionary from the FreeDict project. It
 contains 11003 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-deu
Description-md5: 2174402cc7094d19dd247e4befdfc618
Description-en: French-German dictionary for the dict server/client
 This is the French-German dictionary from the FreeDict project. It contains
 31217 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-ell
Description-md5: 4def87164a453f3657206aae42cbd236
Description-en: French-Modern Greek (1453-) dictionary for the dict server/client
 This is the French-Modern Greek (1453-) dictionary from the FreeDict project.
 It contains 11133 headwords (FreeDict status: big enough to be useful). It can
 be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-eng
Description-md5: 04d31e6b56fab8d722969b7c30eb477a
Description-en: French-English dictionary for the dict server/client
 This is the French-English dictionary from the FreeDict project, version
 0.4.1. It contains 8505 headwords (FreeDict status: big enough to be useful).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-fra-fin
Description-md5: e5f264f4c2e1dc7b837ddc0403f5014a
Description-en: French-Finnish dictionary for the dict server/client
 This is the French-Finnish dictionary from the FreeDict project. It contains
 12290 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-ita
Description-md5: 01c787acd72e1af5d4ef5caf5a3597a0
Description-en: French-Italian dictionary for the dict server/client
 This is the French-Italian dictionary from the FreeDict project. It contains
 38378 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-jpn
Description-md5: a7e0c1fb1c17457b30bd8c0d77d453fc
Description-en: French-Japanese dictionary for the dict server/client
 This is the French-Japanese dictionary from the FreeDict project. It contains
 13057 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-nld
Description-md5: 08e8018de261322c1c3da3208461646f
Description-en: French-Dutch dictionary for the dict server/client
 This is the French-Dutch dictionary from the FreeDict project, version 0.2.
 It contains 9604 headwords (FreeDict status: small). It can be either used with
 the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-pol
Description-md5: 721a831f9ed3aa5b1ed18beebb1c6676
Description-en: French-Polish dictionary for the dict server/client
 This is the French-Polish dictionary from the FreeDict project. It contains
 13692 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-por
Description-md5: 90c82f885372fcc9dc0333bd021440f3
Description-en: French-Portuguese dictionary for the dict server/client
 This is the French-Portuguese dictionary from the FreeDict project. It
 contains 16534 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-rus
Description-md5: c7145cbf8fbefabd931f6d2ae9588c0a
Description-en: French-Russian dictionary for the dict server/client
 This is the French-Russian dictionary from the FreeDict project. It contains
 12598 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-spa
Description-md5: db44e63185404dd92244d119d2d268b4
Description-en: French-Spanish dictionary for the dict server/client
 This is the French-Spanish dictionary from the FreeDict project. It contains
 35930 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-swe
Description-md5: 476086080558cf6e0952fe1711d31435
Description-en: French-Swedish dictionary for the dict server/client
 This is the French-Swedish dictionary from the FreeDict project. It contains
 14947 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-fra-tur
Description-md5: cd1c112eb3ccc2af058e61d51d509c1a
Description-en: French-Turkish dictionary for the dict server/client
 This is the French-Turkish dictionary from the FreeDict project. It contains
 7720 headwords (FreeDict status: unknown). It can be either used with the dictd
 server and a dict client or with GoldenDict.

Package: dict-freedict-gla-deu
Description-md5: 965c8028ed4fa3f7b404f6f14828c733
Description-en: Scottish Gaelic-German dictionary for the dict server/client
 This is the Scottish Gaelic-German dictionary from the FreeDict project,
 version 0.2. It contains 257 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-gle-eng
Description-md5: 9628cab651d49f2a4127104f71f4d23d
Description-en: Irish-English dictionary for the dict server/client
 This is the Irish-English dictionary from the FreeDict project, version 0.2.
 It contains 1185 headwords (FreeDict status: too small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-gle-pol
Description-md5: 169a0516ee4f7c7d035991d1c60cefaf
Description-en: Irish-Polish dictionary for the dict server/client
 This is the Irish-Polish dictionary from the FreeDict project, version 0.1.2.
 It contains 280 headwords (FreeDict status: too small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-hrv-eng
Description-md5: 82c291e1b7f6266c669b5376140e0913
Description-en: Croatian-English dictionary for the dict server/client
 This is the Croatian-English dictionary from the FreeDict project, version
 0.1.2. It contains 79808 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-hun-eng
Description-md5: 167620c1d8ee8b2017cfffebaf7420b8
Description-en: Hungarian-English dictionary for the dict server/client
 This is the Hungarian-English dictionary from the FreeDict project, version
 0.4.1. It contains 139935 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-isl-eng
Description-md5: d118a8bb5d02424bbfc2c094f2e5255c
Description-en: Icelandic-English dictionary for the dict server/client
 This is the Icelandic-English dictionary from the FreeDict project, version
 0.1.1. It contains 11219 headwords (FreeDict status: big enough to be useful).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-ita-deu
Description-md5: 720ba7d6dfdd76b43741e6a4c3c6f3b5
Description-en: Italian-German dictionary for the dict server/client
 This is the Italian-German dictionary from the FreeDict project, version 0.2.
 It contains 2923 headwords (FreeDict status: too small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ita-ell
Description-md5: 3474bd3a223cda5db9440184214ea7b9
Description-en: Italian-Modern Greek (1453-) dictionary for the dict server/client
 This is the Italian-Modern Greek (1453-) dictionary from the FreeDict
 project. It contains 10517 headwords (FreeDict status: big enough to be
 useful). It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-ita-eng
Description-md5: d6fc57ca48178afe9a05023bdefc471f
Description-en: Italian-English dictionary for the dict server/client
 This is the Italian-English dictionary from the FreeDict project, version
 0.2. It contains 3429 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ita-fin
Description-md5: be258ecea861d25eb58e2ece160f37f1
Description-en: Italian-Finnish dictionary for the dict server/client
 This is the Italian-Finnish dictionary from the FreeDict project. It contains
 13307 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ita-jpn
Description-md5: 076fe3aab72e8bc40129930b43a47ad4
Description-en: Italian-Japanese dictionary for the dict server/client
 This is the Italian-Japanese dictionary from the FreeDict project. It
 contains 11050 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ita-pol
Description-md5: 615d5b33001c4b8c479c35a5ae55b67a
Description-en: Italian-Polish dictionary for the dict server/client
 This is the Italian-Polish dictionary from the FreeDict project. It contains
 11129 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ita-por
Description-md5: 8385ff86988a1c853630a2cb70c34b04
Description-en: Italian-Portuguese dictionary for the dict server/client
 This is the Italian-Portuguese dictionary from the FreeDict project. It
 contains 11606 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ita-rus
Description-md5: cd9d0eff1284628698c9e44354cc9561
Description-en: Italian-Russian dictionary for the dict server/client
 This is the Italian-Russian dictionary from the FreeDict project. It contains
 12035 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-ita-swe
Description-md5: 8f07a53b04f6acb46a48954c8cd0c628
Description-en: Italian-Swedish dictionary for the dict server/client
 This is the Italian-Swedish dictionary from the FreeDict project. It contains
 11676 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-jpn-deu
Description-md5: 215e1321208e45be667848419e2528e9
Description-en: Japanese-German dictionary for the dict server/client
 This is the Japanese-German dictionary from the FreeDict project, version
 0.2.0. It contains 109546 headwords (FreeDict status: Big enough to be useful).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-jpn-eng
Description-md5: 755fa9d7e5dc6387f51ef2a23674c25d
Description-en: Japanese-English dictionary for the dict server/client
 This is the Japanese-English dictionary from the FreeDict project, version
 0.1. It contains 173747 headwords (FreeDict status: Big enough to be useful).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-jpn-fra
Description-md5: b51223b8d4da62d19d4426a020da9cb4
Description-en: Japanese-French dictionary for the dict server/client
 This is the Japanese-French dictionary from the FreeDict project, version
 0.1. It contains 14891 headwords (FreeDict status: Big enough to be useful). It
 can be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-jpn-rus
Description-md5: 9e3629e53a757088f3f63294636cc20b
Description-en: Japanese-Russian dictionary for the dict server/client
 This is the Japanese-Russian dictionary from the FreeDict project, version
 0.1. It contains 6742 headwords (FreeDict status: Too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-kha-deu
Description-md5: 5ce87aa2fa93b32792656431e52a3548
Description-en: Khasi-German dictionary for the dict server/client
 This is the Khasi-German dictionary from the FreeDict project, version 0.1.3.
 It contains 995 headwords (FreeDict status: too small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-kha-eng
Description-md5: e6fcdd6e8b3b6f4f7146d6876e9c6d9d
Description-en: Khasi-English dictionary for the dict server/client
 This is the Khasi-English dictionary from the FreeDict project, version
 0.2.2. It contains 2280 headwords (FreeDict status: growth stalled (Nov 05)).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-kur-deu
Description-md5: 2055fe07295f7e3b09ab5d86db736990
Description-en: Kurdish-German dictionary for the dict server/client
 This is the Kurdish-German dictionary from the FreeDict project, version
 0.1.2. It contains 22035 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-kur-eng
Description-md5: f15edab319c5dd565fb8960f64aa357c
Description-en: Kurdish-English dictionary for the dict server/client
 This is the Kurdish-English dictionary from the FreeDict project, version
 1.2. It contains 5208 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-kur-tur
Description-md5: 3640b6682bbfb39704c7304ea9a9c200
Description-en: Kurdish-Turkish dictionary for the dict server/client
 This is the Kurdish-Turkish dictionary from the FreeDict project, version
 0.1.2. It contains 24377 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-lat-deu
Description-md5: c81126b9a69fc7d95dc3e24192ad12e2
Description-en: Latin-German dictionary for the dict server/client
 This is the Latin-German dictionary from the FreeDict project, version 1.0.3.
 It contains 5500 headwords (FreeDict status: small). It can be either used with
 the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-lat-eng
Description-md5: 00c56055e1cb9b86e49c3bc5bdd58070
Description-en: Latin-English dictionary for the dict server/client
 This is the Latin-English dictionary from the FreeDict project, version
 0.1.2. It contains 2305 headwords (FreeDict status: too small). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-lit-eng
Description-md5: f7fd21fc955c26e37a137c37ec0dcb29
Description-en: Lithuanian-English dictionary for the dict server/client
 This is the Lithuanian-English dictionary from the FreeDict project, version
 0.7.2. It contains 7031 headwords (FreeDict status: stable). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-mkd-bul
Description-md5: 369a0aad9d9986eaf0724dc7e7bb5e59
Description-en: Macedonian-Bulgarian dictionary for the dict server/client
 This is the Macedonian-Bulgarian dictionary from the FreeDict project,
 version 0.1.1. It contains 4546 headwords (FreeDict status: too small). It can
 be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-nld-deu
Description-md5: 3a4849b754845da81a9a9ef384fd2f54
Description-en: Dutch-German dictionary for the dict server/client
 This is the Dutch-German dictionary from the FreeDict project, version 0.2.
 It contains 17224 headwords (FreeDict status: big enough). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-nld-eng
Description-md5: 299dc0f618d77231a5e0522f3364155b
Description-en: Dutch-English dictionary for the dict server/client
 This is the Dutch-English dictionary from the FreeDict project, version 0.2.
 It contains 22747 headwords (FreeDict status: big enough). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-nld-fra
Description-md5: 3567dc53d528d8e77eaca46ae04611c1
Description-en: Dutch-French dictionary for the dict server/client
 This is the Dutch-French dictionary from the FreeDict project, version 0.2.
 It contains 16770 headwords (FreeDict status: big enough). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-nld-ita
Description-md5: b4a3b2530144cfb4fc62b0ab6fe6def7
Description-en: Dutch-Italian dictionary for the dict server/client
 This is the Dutch-Italian dictionary from the FreeDict project. It contains
 10595 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-nld-spa
Description-md5: 7b68206225166b2dba21d2f912174b77
Description-en: Dutch-Spanish dictionary for the dict server/client
 This is the Dutch-Spanish dictionary from the FreeDict project. It contains
 24983 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-nld-swe
Description-md5: bfa485c1dddb3ae707b12be40e0162a0
Description-en: Dutch-Swedish dictionary for the dict server/client
 This is the Dutch-Swedish dictionary from the FreeDict project. It contains
 10035 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-nno-nob
Description-md5: 3b5b5ba937bfe997b6d20df289530a62
Description-en: Norwegian Nynorsk-Norwegian Bokmål dictionary for the dict server/client
 This is the Norwegian Nynorsk-Norwegian Bokmål dictionary from the FreeDict
 project, version 0.1.1. It contains 67987 headwords (FreeDict status: big). It
 can be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-oci-cat
Description-md5: 0ef4313227d7272cef955e508fb43f3c
Description-en: Occitan (post 1500)-Catalan dictionary for the dict server/client
 This is the Occitan (post 1500)-Catalan dictionary from the FreeDict project,
 version 0.1.1. It contains 16679 headwords (FreeDict status: big enough to be
 useful). It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-pol-deu
Description-md5: c14171c25b9a1704bc25e5fdcee4cb36
Description-en: Polish-German dictionary for the dict server/client
 This is the Polish-German dictionary from the FreeDict project. It contains
 18373 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-ell
Description-md5: cdc7892276e77e9eebbe4542d7c67e9c
Description-en: Polish-Modern Greek (1453-) dictionary for the dict server/client
 This is the Polish-Modern Greek (1453-) dictionary from the FreeDict project.
 It contains 10787 headwords (FreeDict status: big enough to be useful). It can
 be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-eng
Description-md5: 65a513f495cc303bc4da3231c41f2ee8
Description-en: Polish-English dictionary for the dict server/client
 This is the Polish-English dictionary from the FreeDict project. It contains
 28603 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-fin
Description-md5: 5b649bdbdd92fb1b01488843c9b2a14d
Description-en: Polish-Finnish dictionary for the dict server/client
 This is the Polish-Finnish dictionary from the FreeDict project. It contains
 11644 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-fra
Description-md5: ac7e5c8174392bbc5ef47545527ff104
Description-en: Polish-French dictionary for the dict server/client
 This is the Polish-French dictionary from the FreeDict project. It contains
 16341 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-gle
Description-md5: 935656b846b997774392ccbb98fad7c6
Description-en: Polish-Irish dictionary for the dict server/client
 This is the Polish-Irish dictionary from the FreeDict project, version 0.1.2.
 It contains 272 headwords (FreeDict status: too small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-ita
Description-md5: 687d42a4dffd606626a9e964d885425f
Description-en: Polish-Italian dictionary for the dict server/client
 This is the Polish-Italian dictionary from the FreeDict project. It contains
 14980 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-nld
Description-md5: dd70d94f35188b47113b520cd8c46549
Description-en: Polish-Dutch dictionary for the dict server/client
 This is the Polish-Dutch dictionary from the FreeDict project. It contains
 10505 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-nor
Description-md5: 33ea8358187b6c78b300190b7f91141f
Description-en: Polish-Norwegian dictionary for the dict server/client
 This is the Polish-Norwegian dictionary from the FreeDict project. It
 contains 12262 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-por
Description-md5: 068419340bf3dd09d80dd9e5ec58e83b
Description-en: Polish-Portuguese dictionary for the dict server/client
 This is the Polish-Portuguese dictionary from the FreeDict project. It
 contains 12279 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-rus
Description-md5: 68fff65e51202f0fed028194703979bb
Description-en: Polish-Russian dictionary for the dict server/client
 This is the Polish-Russian dictionary from the FreeDict project. It contains
 21398 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-spa
Description-md5: 5fb0afee426049883f1d5bb8c45738ce
Description-en: Polish-Spanish dictionary for the dict server/client
 This is the Polish-Spanish dictionary from the FreeDict project. It contains
 17137 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-pol-swe
Description-md5: 8829a8badab1378bae11cc1f29d927c3
Description-en: Polish-Swedish dictionary for the dict server/client
 This is the Polish-Swedish dictionary from the FreeDict project. It contains
 10395 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-por-deu
Description-md5: 4303ad0c07dccfba3c14e934f7519ecd
Description-en: Portuguese-German dictionary for the dict server/client
 This is the Portuguese-German dictionary from the FreeDict project, version
 0.2. It contains 8294 headwords (FreeDict status: small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-por-eng
Description-md5: 110c94961751669298168fdeb694db84
Description-en: Portuguese-English dictionary for the dict server/client
 This is the Portuguese-English dictionary from the FreeDict project, version
 0.2. It contains 10661 headwords (FreeDict status: small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-por-spa
Description-md5: 00b754d67ea10a370bd468ad80e64d0a
Description-en: Portuguese-Spanish dictionary for the dict server/client
 This is the Portuguese-Spanish dictionary from the FreeDict project. It
 contains 10581 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-san-deu
Description-md5: ae14d5638435c33806b4b8ef52f8425e
Description-en: Sanskrit-German dictionary for the dict server/client
 This is the Sanskrit-German dictionary from the FreeDict project, version
 0.2.2. It contains 107 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-slk-eng
Description-md5: 2b45444bf91981033adfd85c50df0f56
Description-en: Slovak-English dictionary for the dict server/client
 This is the Slovak-English dictionary from the FreeDict project, version
 0.2.1. It contains 827 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-spa-ast
Description-md5: 5032d9a2a237c781d3bef0e00b9aade9
Description-en: Spanish-Asturian dictionary for the dict server/client
 This is the Spanish-Asturian dictionary from the FreeDict project, version
 0.1.1. It contains 49252 headwords (FreeDict status: big enough to be useful).
 It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-spa-deu
Description-md5: c879eb0b1fbebd2250ec881fa32d6a41
Description-en: Spanish-German dictionary for the dict server/client
 This is the Spanish-German dictionary from the FreeDict project, version 0.1.
 It contains 21353 headwords (FreeDict status: big enough to be useful). It can
 be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-spa-eng
Description-md5: 5354fe6af6abad886a73624da5af0626
Description-en: Spanish-English dictionary for the dict server/client
 This is the Spanish-English dictionary from the FreeDict project, version
 0.3. It contains 4502 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-spa-por
Description-md5: e1c639a73d78246eb018321bbba7634f
Description-en: Spanish-Portuguese dictionary for the dict server/client
 This is the Spanish-Portuguese dictionary from the FreeDict project, version
 0.2.1. It contains 357 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-srp-eng
Description-md5: 626f1aa38dc0e8836187d8a6c2fed816
Description-en: Serbian-English dictionary for the dict server/client
 This is the Serbian-English dictionary from the FreeDict project, version
 0.2. It contains 395 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-bul
Description-md5: 85af5c2ec779a95f08f3967885817f86
Description-en: Swedish-Bulgarian dictionary for the dict server/client
 This is the Swedish-Bulgarian dictionary from the FreeDict project. It
 contains 12528 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-deu
Description-md5: b609d47807350af9f73a4de6e91dc99c
Description-en: Swedish-German dictionary for the dict server/client
 This is the Swedish-German dictionary from the FreeDict project. It contains
 26578 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-ell
Description-md5: d61b111f0e863b37c34dc634dcb9217c
Description-en: Swedish-Modern Greek (1453-) dictionary for the dict server/client
 This is the Swedish-Modern Greek (1453-) dictionary from the FreeDict
 project. It contains 14189 headwords (FreeDict status: big enough to be
 useful). It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-swe-eng
Description-md5: 0ea2223eb234151e2c399a612a159546
Description-en: Swedish-English dictionary for the dict server/client
 This is the Swedish-English dictionary from the FreeDict project, version
 0.2. It contains 5220 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-fin
Description-md5: 144407838bc0e38d118fc07aa995ce81
Description-en: Swedish-Finnish dictionary for the dict server/client
 This is the Swedish-Finnish dictionary from the FreeDict project. It contains
 10525 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-fra
Description-md5: bdf025f56453d7cc14c5ab53f9599666
Description-en: Swedish-French dictionary for the dict server/client
 This is the Swedish-French dictionary from the FreeDict project. It contains
 13440 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-ita
Description-md5: 0b78089e32c988f8b7a0bf5ae3e94b3c
Description-en: Swedish-Italian dictionary for the dict server/client
 This is the Swedish-Italian dictionary from the FreeDict project. It contains
 13502 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-lat
Description-md5: 3dbb608d36e5d7640669719d199f1934
Description-en: Swedish-Latin dictionary for the dict server/client
 This is the Swedish-Latin dictionary from the FreeDict project. It contains
 8112 headwords (FreeDict status: unknown). It can be either used with the dictd
 server and a dict client or with GoldenDict.

Package: dict-freedict-swe-pol
Description-md5: 582d0e3137d930c9c3b1b66d170924b6
Description-en: Swedish-Polish dictionary for the dict server/client
 This is the Swedish-Polish dictionary from the FreeDict project. It contains
 10799 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-por
Description-md5: 17aeea78a22c95413c4862a09121fc8e
Description-en: Swedish-Portuguese dictionary for the dict server/client
 This is the Swedish-Portuguese dictionary from the FreeDict project. It
 contains 11886 headwords (FreeDict status: big enough to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-rus
Description-md5: 7c040b935fd81c1557123e0c5644ab29
Description-en: Swedish-Russian dictionary for the dict server/client
 This is the Swedish-Russian dictionary from the FreeDict project. It contains
 13602 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-spa
Description-md5: 6fd811a4ef83bf07445c97cbe2a64565
Description-en: Swedish-Spanish dictionary for the dict server/client
 This is the Swedish-Spanish dictionary from the FreeDict project. It contains
 14685 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swe-tur
Description-md5: b1df4f32df5072e92176efe1edf81dbb
Description-en: Swedish-Turkish dictionary for the dict server/client
 This is the Swedish-Turkish dictionary from the FreeDict project. It contains
 11795 headwords (FreeDict status: big enough to be useful). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-swh-eng
Description-md5: 45d9b152cbbb0cf970cf79edae7a9e08
Description-en: Swahili (individual language)-English dictionary for the dict server/client
 This is the Swahili (individual language)-English dictionary from the
 FreeDict project, version 0.4.4. It contains 2675 headwords (FreeDict status:
 small). It can be either used with the dictd server and a dict client or with
 GoldenDict.

Package: dict-freedict-swh-pol
Description-md5: dcfb677b4a10747294bf527af33cfb26
Description-en: Swahili (individual language)-Polish dictionary for the dict server/client
 This is the Swahili (individual language)-Polish dictionary from the FreeDict
 project, version 0.2.3. It contains 1319 headwords (FreeDict status: small). It
 can be either used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-tur-deu
Description-md5: 238b3d93f4dab40f5a55667aa36b0297
Description-en: Turkish-German dictionary for the dict server/client
 This is the Turkish-German dictionary from the FreeDict project, version 0.2.
 It contains 941 headwords (FreeDict status: too small). It can be either used
 with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-tur-eng
Description-md5: b8e383b280f4b7fd1c80698428ce9f97
Description-en: Turkish-English dictionary for the dict server/client
 This is the Turkish-English dictionary from the FreeDict project, version
 0.3. It contains 1026 headwords (FreeDict status: too small). It can be either
 used with the dictd server and a dict client or with GoldenDict.

Package: dict-freedict-wol-fra
Description-md5: f3da4088aa9b4f458f060cf8074bf075
Description-en: Wolof-French dictionary for the dict server/client
 This is the Wolof-French dictionary from the FreeDict project, version 0.1.
 It contains 595 headwords (FreeDict status: Too small to be useful). It can be
 either used with the dictd server and a dict client or with GoldenDict.

Package: dict-wn
Description-md5: 6f0bb13548fc3e09edb1f19285e99ae8
Description-en: electronic lexical database of English language for dict
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory at Princeton
 University under the direction of Professor George A. Miller (Principal
 Investigator).
 .
 This package will be of limited use without the server found in the
 dictd package.

Package: dictconv
Description-md5: 15ac8ee764919050b8db6832d1dd823c
Description-en: convert a dictionary file type in another dictionary file type
 Dictconv is a small program to convert a dictionay file type in another
 dictionary file type. Currently, it supports converting from Babylon
 glossaries, Freedict dictionaries, Sdictionary dictionaries and Stardict
 dictionaries to DICT dictionaries, plain text dictionaries and StarDict
 dictionaries. More file types will be added in new versions.

Package: dictem
Description-md5: 2651fb5f7b53a77f6b1fb2293759f6b0
Description-en: Dict client for emacs
 DictEm implements all functions of the client part of Dictionary
 Server Protocol(DICT) protocol (RFC-2229, www.dict.org), i.e looking
 up words and definitions, obtaining information about available
 strategies, provided databases, information about DICT server etc.
 .
 It uses autocompletion that is used for selecting dictionary and
 search strategy.  Moreover, DictEm provides several hooks which
 may be used for buffer postprocessing.

Package: dictfmt
Description-md5: 2f01edba47d44fe481f5b4f832bc34ec
Description-en: utility to format a file for use by a dictd server
 This package provides dictfmt. This utility can convert an input file
 to a dictionary database that conforms to the DICT protocol, and create
 a corresponding index file.
 .
 The package also provides dictunformat, which creates a raw database
 from a dictionary index and a dictionary database.

Package: diction
Description-md5: f553fe24a1a473a59ad69fe5677adc5c
Description-en: Utilities to help with style and diction (English and German)
 This is a free implementation of two Unix commands, style and diction.
 They may help you improve your writing.  English and German rules are
 included.
 .
 Home Page: http://www.gnu.org/software/diction/diction.html

Package: dictionary-el
Description-md5: 69c14a0a676618adbd07a86f2bbf6557
Description-en: transitional dummy package, dictionary-el to elpa-dictionary
 This dummy package may be safely removed.

Package: dictionaryreader.app
Description-md5: bf1dba8fd40f5c06be459ec24b2e8050
Description-en: Dict client for GNUstep
 DictionaryReader is a dictionary application that queries Dict servers in
 the internet to let you look up words. It's aimed to be lightweight and
 easy to use.

Package: didiwiki
Description-md5: 87e1d30a879c29eb3a614f1d1483f329
Description-en: simple wiki implementation with built-in webserver
 DidiWiki is aimed at those who need a quick and lightweight wiki for
 personal use, such as notes, "to do" lists, etc. It is written in C,
 and has very low system requirements (binary size of ~25k
 stripped). This makes it particularly useful for handhelds. DidiWiki
 does not require installation of a separate webserver, scripting
 language, or database.

Package: dieharder
Description-md5: 67db5e32329d09541c3d1ea8b4ab838d
Description-en: Random-number generator test front-end
 dieharder is a fairly involved random number/uniform deviate generator
 tester.  It can either test any of its many prebuilt and linked
 generators (basically all of those in the GNU Scientific Library plus
 any others I've been able to find) or a potentially random dataset in a
 file.  With file input, it can test either N-bit bitstrings (with N user
 specifiable) or (double precision) floating point numbers in the range
 [0.0,1.0) (uniform deviates).  It is thus suitable for use in testing
 both software RNG's and hardware RNG's.
 .
 This package provides the command-line frontend.

Package: dietlibc-dev
Description-md5: 74aeece92ce7c21f933262ccba1692f7
Description-en: diet libc - a libc optimized for small size
 The diet libc is a C library that is optimized for small size. It
 can be used to create small statically linked binaries for Linux
 on alpha, arm, ia64, mips, mipsel, ppc, parisc, s390, sparc and x86.
 .
 This package is used to compile programs with the diet libc.
 .
 The diet libc also supports dynamic linking, but this has been
 temporarily disabled because of problems with it.

Package: dietlibc-doc
Description-md5: 91b2e833ab3fd06926dca4c910fdbcf3
Description-en: diet libc documentation - a libc optimized for small size
 The diet libc is a C library that is optimized for small size. It
 can be used to create small statically linked binaries for Linux
 on alpha, arm, ia64, mips, mipsel, ppc, parisc, s390, sparc and x86.
 .
 This package contains the documentation for the diet libc.

Package: difference
Description-md5: b683712a764f262c0769b0c910d34a54
Description-en: text diffing tool
 difference compares two strings and prints a colorful visual representation of
 the diff.
 .
 This package contains the following binaries built from the Rust crate
 "difference":
  - difference

Package: diffmon
Description-md5: cd0d45613de3fe966574f804703905dd
Description-en: Tool for reporting changes in system configuration
 This tool is run by a nightly cron job, and takes a `diff' of specified system
 configuration files and emails them to a specified email address.  Options
 to diff can be specified.  This is useful in friendly environments where there
 are multiple sysadmins working on configuration files/setups and everyone's
 changes are reported.  CVS is a better answer, but most of us don't want to
 have the hassle of putting system files in a repository.

Package: diffoscope
Description-md5: 4421ffce907e2e9f83ba162e9e59aefe
Description-en: in-depth comparison of files, archives, and directories
 diffoscope will try to get to the bottom of what makes files or directories
 different. It will recursively unpack archives of many kinds and transform
 various binary formats into more human readable form to compare them. It can
 compare two tarballs, ISO images, or PDF just as easily. The differences can
 be shown in a text or HTML report.
 .
 diffoscope is developed as part of the “reproducible builds” project.

Package: diffpdf
Description-md5: 1ae07bf3d536852dd5ae20b7e5bbab0d
Description-en: compare two PDF files textually or visually
 DiffPDF is used to compare two PDF files.
 By default the comparison is of the text on each pair of pages, but
 comparing the appearance of pages is also supported (for example, if
 a diagram is changed or a paragraph reformatted). It is also possible
 to compare particular pages or page ranges. For example, if there are
 two versions of a PDF file, one with pages 1-12 and the other with
 pages 1-13 because of an extra page having been added as page 4, they
 can be compared by specifying two page ranges, 1-12 for the first and 1-3,
 5-13 for the second. This will make DiffPDF compare pages in the pairs
 (1, 1), (2, 2), (3, 3), (4, 5), (5, 6), and so on, to (12, 13).

Package: digikam
Description-md5: 1dd6f83ea15e9366fba2298afd075680
Description-en: digital photo management application for KDE
 Digital photo management program designed to import, organize, enhance, search
 and export your digital images to and from your computer.
 .
 It provides a simple interface which makes importing and organizing digital
 photographs a "snap". digiKam enables you to manage large numbers of
 digital photographs in albums and to organize these photographs for easy
 retrieval using tags (keywords), captions, collections, dates, geolocation
 and searches. It has many features for viewing, organizing, processing and
 sharing your images. Thus, digiKam is a formidable digital asset management
 (DAM) software including powerful image editing functions.
 .
 An easy-to-use camera interface is provided, that will connect to your
 digital camera and download photographs directly into digiKam albums.
 More than 1000 digital cameras are supported by the gphoto2 library.
 Of course, any media or card reader supported by your operating system
 will interface with digiKam.
 .
 digiKam incorporates a fast Image Editor with many image editing tools.
 You can use the Image Editor to view your photographs, comment and rate
 them, correct, enhance and alter them. The editing power can be easily
 extended by a set of plugins, the KDE Image Plugins Interface (manual)
 .
 While digiKam remains easy to use, it provides professional level features
 by the dozens. It is fully 16 bit enabled including all available plugins,
 supports RAW format conversion through libraw, DNG export and ICC color
 management work flow.

Package: digikam-data
Description-md5: 38a69ea9596c5db123f17fd23e868ee5
Description-en: digiKam architecture-independant data
 Contains the significant amount of architecture-independent data that is
 shipped with digiKam.
 .
 It has been placed in an architecture all file to reduce space on the
 archives, rather than one copy for each architecture.

Package: digikam-private-libs
Description-md5: a3dde16136e91fd75502d7ff84b09ebd
Description-en: private libraries for digiKam plugins
 This package contains private libraries to be used by digikam.
 .
 This has no use for anything else than digikam, since the libraries are
 installed in a private library path.

Package: digitemp
Description-md5: e0bd5bd423196fd60bd34ca579c0da01
Description-en: read temperature sensors in a 1-Wire net
 Digitemp is a program that reads data coming from a 1-Wire network using
 a passive adapter (DS9097) or the newer active adapter (DS9097U),
 connected to a serial port. It also supports reading from USB adaptors
 like the DS2490. Basically it reads temperature sensors, but others
 are supported, like a humidity sensor.
 .
 Digitemp also supports branched networks using DS2409 couplers.

Package: dillo
Description-md5: af5fdb5069c81f6b48bbc2e9bcc95d45
Description-en: Small and fast web browser
 Dillo 3 is a graphical multi-platform web browser known for its speed
 and small footprint. It is based on version 1.3 of the Fast and Light
 Toolkit (FLTK) in version 1.3
 .
 It aims to be small in resources, stable, developer-friendly, usable,
 very fast, and extensible.
 .
 To run the included dillo-install-hyphenation script the recommended
 perl packages need to be installed.

Package: dimbl
Description-md5: 06587733ff2a291321d9c79bb2c5e523
Description-en: Distributed Memory Based Learner
 Dimbl is a wrapper around the k-nearest neighbor classifier in TiMBL, offering
 parallel classification on multi-CPU machines.  Dimbl splits the original
 training set, builds separate TiMBL classifiers per training subset, and
 merges their nearest-neighbor sets per classified instance
 .
 Dimbl's features are:
  * Wraps neatly around TiMBL, retaining all command line options;
  * Knows what to do with your multiple, duo, or quad cores;
  * Makes use of the OpenMP specification for parallel programming;
  * Can attain superlinear speed gains compared to standard TiMBL.
 .
 Dimbl is a product of the ILK Research Group (Tilburg University, The
 Netherlands).
 .
 If you do scientific research in Natural Language Processing using the
 Memory-Based Learning technique, Dimbl will likely be of use to you.

Package: dime
Description-md5: 082cf1b6d73af2fe63eb9744c9e04b34
Description-en: DXF Import, Manipulation, and Export programs
 Dime is a C++ class library for reading, constructing, manipulating,
 and writing DXF file data.
 .
 This package comes with the programs dxf2vrml, which converts
 dxf to VRML files and dxfsphere, which makes triangle mesh approximations
 of spheres.

Package: din
Description-md5: 90c6c0d150cfb15112e5172231fe4f7b
Description-en: digital audio synthesizer
 din is a musical instrument and audio synthesizer. It allows one to use
 Bezier curves to draw and sculpt waveforms, create gating and modulation
 patterns and create delay feedback and volume patterns. It's possible to
 create and edit an unlimited number of drones, sculpt their waveform and
 visually modulate them.
 .
 din uses JACK to output audio, it supports MIDI, OSC and an IRC bot for
 input. din can be extended and customised with Tcl scripts too.

Package: dindel
Description-md5: 0dc5a418bf794b531b75553abaea6408
Description-en: determines indel calls from short-read data
 Dindel is a program for calling small indels from short-read sequence
 data ('next generation sequence data'). It currently is designed to
 handle only Illumina data.
 .
 Dindel requires a BAM file containing the read-alignments as input. It
 then extracts candidate indels from the BAM file, and realigns the reads
 to candidate haplotypes consisting of these candidate indels. If there
 is sufficient evidence for an alternative haplotype to the reference,
 it will call an indel.
 .
 It is possible to test indels discovered with other methods using Dindel,
 for instance longer indels obtained through assembly methods. Dindel
 will then realign both mapped and unmapped reads to see if the candidate
 indel is supported by the reads.
 .
 Dindel outputs both genotype likelihoods and includes a script to
 convert these to a VCF file with indel and SNP calls.
 .
 There is basic support for outputting realigned BAM files for each
 realignment-window. These realigned BAM files can be used to call SNPs
 near (candidate) indels.

Package: ding
Description-md5: 734dd76ffe73e797e20644d666b63bbc
Description-en: Graphical dictionary lookup program for Unix (Tk)
 This is "Ding"
  * a dictionary lookup program for Unix,
  * DIctionary Nice Grep,
  * a Tk based Front-End to [ae]grep, (hun|a|i)spell, dict, ...
  * Ding {n} :: thing
 .
 This package needs tre-agrep(1), agrep(1) or egrep(1) as a back end.
 (tre-)agrep is preferable, because it supports fault tolerant
 searching.
 .
 You have to install some translation dictionary word list with a
 word/phrase in two languages in one line with some kind of separator
 between them.  The default configuration of ding uses the
 German-English dictionary which can be found in the trans-de-en
 package, but you can use every other translation word lists with one
 entry per line.

Package: dino-im
Description-md5: 2be006f68a33d677073bc2d607d2fc05
Description-en: modern XMPP client
 Dino is a modern XMPP client with a nice and clean look.
 It does support OMEMO and OpenPGP for end-to-end encryption.
 If you are looking for a Conversations look-alike, this
 program might be for you.

Package: dino-im-common
Description-md5: 3baf001b075b379ef6f7841ce784c0fb
Description-en: modern XMPP client - common files
 Dino is a modern XMPP client with a nice and clean look.
 It does support OMEMO and OpenPGP for end-to-end encryption.
 If you are looking for a Conversations look-alike, this
 program might be for you.
 .
 This package contains platform independent files.

Package: diod
Description-md5: b821635e99434220ba86a2309a35ed49
Description-en: I/O forwarding server for 9P
 diod is an I/O forwarding server that implements a variant of the 9P protocol
 from (9P2000.L) the Plan 9 operating system. When paired with a modern version
 of the v9fs Linux 9P client, diod allows a file system to be exported over a
 TCP/IP network in a manner similar to NFS.
 .
 The file system that is exported can itself be NFS or a parallel file system
 like Lustre or GPFS. This can be done with minimal loss of distributed
 semantics because the v9fs client (when used with appropriate mount options)
 has no page or directory cache - all I/O operations trigger a network request.
 The page cache effectively moves to the server system, with diod appearing as
 a multi-threaded user application accessing  the file system in the usual way
 through the VFS.

Package: diodon
Description-md5: 3ee92ae58ab4bec0ce24d0d9dfa99cc9
Description-en: GTK+ Clipboard manager
 Diodon is a lightweight clipboard manager for Linux written in Vala which
 "aims to be the best integrated clipboard manager for the Gnome/Unity desktop".
 .
 Diodon features include Ubuntu indicator, clipboard sync (primary selection
 and Ctrl+C / Ctrl+V clipboard) and a zeitgeist integration for an infinite
 clipboard history.

Package: diodon-dev
Description-md5: debaacad5c51f32cb4ebf5dc5d1d6ef4
Description-en: GTK+ Clipboard manager (development files)
 Diodon is a lightweight clipboard manager for Linux written in Vala which
 "aims to be the best integrated clipboard manager for the Gnome/Unity desktop".
 .
 Diodon features include Ubuntu indicator, clipboard sync (primary selection
 and Ctrl+C / Ctrl+V clipboard) and a zeitgeist integration for an infinite
 clipboard history.
 .
 Diodon is also extensible through its plugin system.
 .
 This package is required to build plugins for Diodon.

Package: diploma
Description-md5: 81ac7fb287ee55efbe659258131220cc
Description-en: Write scientific papers with Debian
 Debian GNU/Linux is widely used at universities to do research and
 to write papers with LaTeX. The package diploma contains examples which
 illustrate the possible ways to do this effectively with Debian GNU/Linux.
 Each example consists of a source tree where you can do "make" in the top
 level directory and then the source code is compiled, the measurement
 data are processed, and the results are converted into nice figures.
 Then the text is processed and combined with the figures to a print file.

Package: dir2ogg
Description-md5: 116d91647589bfb046794b902b45ebd7
Description-en: audio file converter into ogg-vorbis format
 dir2ogg converts MP3, M4A, WMA, FLAC, and WAV files to the
 open-source OGG format.
 .
 It is a Python script that simply binds together the various decoders and
 oggenc making it easier for the user to convert his/her music files. It also
 supports ID3 tags.

Package: dirb
Description-md5: 71fab897ebe43a4c1ceb6065358e1f36
Description-en: URL bruteforcing tool
 DIRB is a Web Content Scanner. It looks for existing (and/or hidden) Web
 Objects. It basically works by launching a dictionary based attack against
 a web server and analyzing the responses.
 .
 DIRB comes with a set of preconfigured attack wordlists for easy usage but
 you can use your custom wordlists. Also DIRB sometimes can be used as a
 classic CGI scanner, but remember that it is a content scanner not a
 vulnerability scanner.
 .
 DIRB's main purpose is to help in professional web application auditing.
 Specially in security related testing. It covers some holes not covered by
 classic web vulnerability scanners. DIRB looks for specific web objects that
 other generic CGI scanners can't look for. It doesn't search vulnerabilities
 nor does it look for web contents that can be vulnerable.

Package: dircproxy
Description-md5: 99e2ee7084f944d20c1556a581047931
Description-en: IRC proxy for people who use IRC from different workstations
 dircproxy is an IRC proxy server designed for people who use
 IRC from lots of different workstations or clients, but wish to
 remain connected and see what they missed while they were away.
 You connect to IRC through dircproxy, and it keeps you connected
 to the server, even after you detach your client from it.
 While you're detached, it logs channel and private messages
 as well as important events, and when you re-attach it'll let
 you know what you missed.
 .
 This can be used to give you roughly the same functionality as
 using ircII and screen together, except you can use whatever
 IRC client you like, including X ones!

Package: dirdiff
Description-md5: c0933a6d1da4a11e0262feb9791b55c7
Description-en: Display and merge changes between two directory trees
 Dirdiff can handle up to 5 trees.  It displays a main window with a
 list of the files which are different between the trees, with colored
 squares to indicate the relative ages of the versions.  A menu allows
 you to display the differences between any two of the versions in
 another window.  Another menu allows you to copy the file from one
 tree to another.

Package: directvnc
Description-md5: eb3547c790dc511e7f518eb9d8ab106b
Description-en: VNC client using the framebuffer as display
 DirectVNC is a client implementing the remote framebuffer protocol (rfb)
 which is used by VNC servers. If a VNC server is running on a machine you
 can connect to it using this client and have the contents of its display
 shown on your screen. Keyboard and mouse events are sent to the server, so
 you can basically control a VNC server remotely.

Package: direnv
Description-md5: eb622bfa54c9d46458c730287447bda5
Description-en: Utility to set directory specific environment variables
 direnv is an environment variable manager for your shell. It knows
 how to hook into bash, zsh and fish shell to load or unload
 environment variables depending on your current directory. This
 allows one to have project-specific environment variables and not
 clutter the "~/.profile" file.
 .
 Before each prompt it checks for the existence of an ".envrc" file in
 the current and parent directories. If the file exists, it is loaded
 into a bash sub-shell and all exported variables are then captured by
 direnv and then made available to your shell.
 .
 Because direnv is compiled into a single static executable it is fast
 enough to be unnoticeable on each prompt. It is also language
 agnostic and can be used to build solutions similar to rbenv, pyenv,
 phpenv, ...

Package: direvent
Description-md5: d25555fd5c1b4a80297d8179e7afb9ca
Description-en: monitors events in the file system directories
 For each event that occurs in a set of pre-configured directories, the
 program calls an external program associated with it, supplying it with
 the information about the event and the location within the file system
 where it occurred. This may be helpful, for example, to track changes in
 the important configuration files.

Package: direwolf
Description-md5: 9442317f19450765ef2af3b9ac313b8c
Description-en: Soundcard TNC for APRS
 Dire Wolf is a software "soundcard" modem/TNC and APRS encoder/decoder. It can
 be used stand-alone to receive APRS messages, as a digipeater, APRStt gateway,
 or Internet Gateway (IGate). It can also be used as a virtual TNC for other
 applications such as APRSIS32, UI-View32, Xastir, APRS-TW, YAAC, UISS, Linux
 AX25, SARTrack, and many others.

Package: dirtbike
Description-md5: 9a101edce9c6d0896b82281b9c01a9c4
Description-en: turn system-installed Python packages into wheels
 The purpose of this package is to make it easier to devendorize other
 packages which bundle various upstream packages.  An example of this
 is pip, which bundles a half-dozen or so other upstream packages.  In
 Debian and other distros, such vendoring is frowned upon.  To make it
 easier to devendorize, dirtbike turns installed system packages into
 wheels, and these wheels can then be used instead of the vendored
 packages.

Package: dirvish
Description-md5: 78f4d61bbffbea4fe5d13f72469e5d74
Description-en: Filesystem based backup system using rsync
 A utility to maintain multiple backups on online storage, each backup is
 available as a sort of snapshot directory, where common files are shared
 between the different backup generations. It uses rsync to do the actual
 copying.
 .
 Backups can be made locally or over the network (using ssh).

Package: dis51
Description-md5: 7c5144e420efdee65dd0cf85f827b244
Description-en: Disassembler for 8051 code in Intel Hex format
 Dis51 is a simple 8051 disassembler. It takes an object file in
 Intel Hex (*.ihx or *.hex) format as input, and outputs an assembly
 language file.

Package: disc-cover
Description-md5: e79f8d9e1cb98f2481f588080c1ba039
Description-en: produces covers for audio CDs
 Disc-cover queries FreeDB for track information for a given CD and
 creates a jewel-case insert in one of many available formats.
 PostScript, PDF, Dvi, LaTeX, Plain Text, HTML, CDlabelgen and CDDB
 are available.

Package: discount
Description-md5: 113ff05c2bb8424745ac26a1294cbf0d
Description-en: implementation of the Markdown markup language in C
 Discount is an implementation of John Gruber's Markdown markup language. It
 implements all of the language described in the Markdown syntax document and
 passes the Markdown 1.0 test suite.
 .
 This package provides the discount executables.

Package: discover
Description-md5: a5dea31ea54f4b79eeb359717cce264b
Description-en: hardware identification system
 Discover is a hardware identification system based on the libdiscover2
 library.  Discover provides a flexible interface that programs can
 use to report a wide range of information about the hardware that is
 installed on a Linux system.  In addition to reporting information,
 Discover includes support for doing hardware detection at boot time.

Package: discover-data
Description-md5: 750b5c04772f89878117c5044a45568e
Description-en: Data lists for Discover hardware detection system
 The Discover hardware detection library uses XML data files to describe
 software interfaces to various ATA, PCI, PMCMIA, SCSI, and USB devices.
 While the Discover library can retrieve data from anywhere on the net, it is
 often convenient to have a set of Discover XML data files on one's system;
 thus, this package.

Package: dish
Description-md5: d61edd024d9ce6b0bacdd666851cb7c5
Description-en: diligence/distributed shell for parallel sysadmin
 Dish executes commands simultaneously on several systems via 'ssh', 'rsh',
 'telnet', 'mysql', or any user-defined command-line connection client.
 It makes easy the distribution of files by 'scp' and 'rcp', but also a remote
 password change or operations requiring authentication by multiple passwords.
 Dish can be used as a flexible tool for managing large number of various types
 of hosts such as (clustered) servers, switches, databases, etc.

Package: diskimage-builder-doc
Description-md5: 39832beec88bd0fe6e7f2b4d2a3cb9f0
Description-en: image building tools for Openstack - doc
 Diskimage-builder tools are the components of TripleO that are responsible for
 building disk images. It has the core functionality for building disk images,
 filesystem images and ramdisk images for use with OpenStack (both virtual and
 bare metal). The core functionality includes the various operating system
 specific modules for disk/filesystem images, and deployment and hardware
 inventory ramdisks.
 .
 The TripleO project also develops elements that can be used to deploy
 OpenStack itself. While users and operators can manually script or put
 together ram disks and disk images, mature automation makes customisation and
 testing easier.
 .
 This package provides the documentation.

Package: diskscan
Description-md5: a7612c6515780c86d4fd97aa2f761ebd
Description-en: scan storage media for bad or near failure sectors
 diskscan is intended to find sectors of a storage medium
 (hard disk, flash drive or pendrive, etc.) which are bad
 or in the process of going bad.
 .
 The operation is entirely read-only and does not cause
 damage to the data on filesystems. As the program reads
 block device sectors, it will work whether there is a
 filesystem or not.
 .
 diskscan reads the entire block device and notes the time
 it took to read a block. When there is an error it is
 immediately noted and also when there is a higher latency
 to read a block. A histogram of the block latency times is
 also given to assess the health of the medium.
 .
 diskscan can also be used to test the speed and quality of
 the medium. All sectors of a perfect medium could be read
 at the same speed.

Package: disktype
Description-md5: 5639866afdc7d68930e85a225f0d8c7a
Description-en: detection of content format of a disk or disk image
 The purpose of disktype is to detect the content format of a disk or
 disk image. It knows about common file systems, partition tables and
 boot codes. This software can be used for forensics analysis.
 .
 As of version 9, disktype knows about the following formats:
 .
 File systems:
    FAT12/FAT16/FAT32
    NTFS
    HPFS
    MFS, HFS, HFS Plus
    ISO9660 (incl. Joliet, El Torito)
    UDF
    ext2/ext3
    ext4 (patch from upstream CVS)
    btrfs (patch from upstream CVS)
    Minix
    ReiserFS
    Reiser4
    Linux romfs
    Linux cramfs
    Linux squashfs
    UFS (some variations)
    SysV FS (some variations)
    JFS
    XFS
    Amiga FS/FFS
    Amiga SFS
    Amiga PFS
    BeOS BFS
    QNX4 FS
    3DO CD-ROM FS
    Veritas VxFS
    Xbox DVD file system
 Partitioning:
    DOS/PC style
    EFI GPT
    Apple
    Amiga "Rigid Disk"
    ATARI ST (AHDI3)
    BSD disklabel
    Linux RAID physical disks
    Linux LVM1 physical volumes
    Linux LVM2 physical volumes
    Solaris SPARC disklabel
    Solaris x86 disklabel (vtoc)
 Other structures:
    Debian split floppy header
    Linux swap
 Disk images:
    Raw CD image (.bin)
    Virtual PC hard disk image
    Apple UDIF disk image (limited)
 Boot loaders:
    LILO
    GRUB
    SYSLINUX
    ISOLINUX
    Linux kernel
    FreeBSD loader
    Windows/MS-DOS loader
    BeOS loader, Haiku loader
    Sega Dreamcast
 Compression formats:
    gzip
    compress
    bzip2
 Archive formats:
    tar
    cpio
    bar
    dump/restore

Package: dislocker
Description-md5: 348b5b954f37210e256dda4ea591c1f6
Description-en: read/write encrypted BitLocker volumes
 Dislocker has been designed to read BitLocker encrypted partitions under
 a Linux system. The driver used to read volumes encrypted in Windows system
 versions of the Vista to 10 and BitLocker-To-Go encrypted partitions,that's
 USB/FAT32 partitions.
 .
 The software works with driver composed of a library, with multiple binaries
 using this library. Decrypting the partition, you have to give it a mount
 point where, once keys are decrypted, a file named dislocker-file appears.
 This file is a virtual NTFS partition, so you can mount it as any NTFS
 partition and then read from or write to it. Writing to the NTFS virtual
 file will change the underlying BitLocker partition content.
 .
 This tool is useful in cryptography managing and forensics investigations.

Package: disorderfs
Description-md5: dd4d99744c2fc2ef81a3058a88603390
Description-en: FUSE filesystem that introduces non-determinism
 disorderfs is an overlay FUSE filesystem that introduces non-determinism
 into filesystem metadata.  For example, it can randomize the order
 in which directory entries are read.  This is useful for detecting
 non-determinism in the build process.

Package: display-dhammapada
Description-md5: fd1f9506275dce4ab7ccd9faea5c61e3
Description-en: fortune-like collection of Buddhist sayings
 This program displays a random verse from the English or Polish translations of
 the Dhammapada, a "versified Buddhist scripture traditionally ascribed to the
 Buddha himself" (from http://en.wikipedia.org/wiki/Dhammapada).
 .
 As this program works similarly to fortune, one may use it in shell profiles
 or .sig generators, among others.

Package: dist
Description-md5: 5f28860f0dcfbb834e3b056d01d91d83
Description-en: Tools for developing, maintaining and distributing software
 The dist package is a set of tools meant to ease the construction
 and maintenance of portable software.
 .
 The first component is the Configure script generator, metaconfig, which
 automatically builds the Configure script from your sources. Ideally,
 the end-user receiving your source code will simply have to read
 your README file, run the Configure script (which is self-documented),
 and then run make.
 .
 The second component is the Makefile.SH generator, which is a generic
 configured Makefile, reusing some of the information figured out
 by Configure, and a generic Jmakefile description.
 .
 The third component is the RCS aware package generator, which is
 used when it's time to build up the shell archives used to distribute
 your program.
 .
 The fourth and latest component is the patch generator, used to
 make updates of your sources, which can later be applied on the
 original  distribution by using the patch program.

Package: distcc
Description-md5: a14025a1551cf285c7c07c7ab0eaf8e0
Description-en: simple distributed compiler client and server
 distcc is a program to distribute compilation of C or C++ code across
 several machines on a network. distcc should always generate the same
 results as a local compile, is simple to install and use, and is often
 significantly faster than a local compile. distcc does not require all
 machines to share a filesystem, have synchronized clocks, or to have
 the same libraries or header files installed.

Package: distcc-pump
Description-md5: 9652a5ccaa28c389958014887b0a9327
Description-en: pump mode for distcc a distributed compiler client and server
 distcc is a program to distribute compilation of C or C++ code across
 several machines on a network. distcc should always generate the same
 results as a local compile, is simple to install and use, and is often
 significantly faster than a local compile. distcc does not require all
 machines to share a filesystem, have synchronized clocks, or to have
 the same libraries or header files installed.
 .
 this package contains the necessary additions for distcc's "pump" mode,
 which improves on plain distcc by distributing not only compilation but
 also preprocessing to distcc servers.
 .
 If you have more than 10 CPUs involved in your distcc setup, you should
 consider using this package on your distcc client machine.

Package: distccmon-gnome
Description-md5: 3c92f4065d734516dd0192b9a48f64ee
Description-en: GTK+ monitor for distcc a distributed client and server
 distcc is a program to distribute compilation of C or C++ code across
 several machines on a network. distcc should always generate the same
 results as a local compile, is simple to install and use, and is often
 significantly faster than a local compile. distcc does not require all
 machines to share a filesystem, have synchronized clocks, or to have
 the same libraries or header files installed.
 .
 this is the GTK+ monitor program.

Package: disulfinder
Description-md5: 5f70380c76687c70f279559ee87b7d0e
Description-en: cysteines disulfide bonding state and connectivity predictor
 'disulfinder' is for predicting the disulfide bonding state of cysteines
 and their disulfide connectivity starting from sequence alone. Disulfide
 bridges play a major role in the stabilization of the folding process for
 several proteins. Prediction of disulfide bridges from sequence alone is
 therefore useful for the study of structural and functional properties
 of specific proteins. In addition, knowledge about the disulfide bonding
 state of cysteines may help the experimental structure determination
 process and may be useful in other genomic annotation tasks.
 .
 'disulfinder' predicts disulfide patterns in two computational stages:
 (1) the disulfide bonding state of each cysteine is predicted by a
 BRNN-SVM binary classifier; (2) cysteines that are known to participate
 in the formation of bridges are paired by a Recursive Neural Network
 to obtain a connectivity pattern.

Package: disulfinder-data
Description-md5: 3695c32af6d8dcf41d20f889947fbad2
Description-en: data files for predictor of disulfide bonds in proteins
 'disulfinder' is for predicting the disulfide bonding state of cysteines
 and their disulfide connectivity starting from sequence alone.
 .
 This package contains all data files to reduce the redundancy between
 architectures in Debian.

Package: dita-ot
Description-md5: edb439edfcd4e43d55cc5ee895ce4067
Description-en: transforms DITA content (maps and topics) into deliverable formats
 DITA Open Toolkit, or DITA-OT for short, is an independent, open source
 implementation of the OASIS owned and developed Darwin Information Typing
 Architecture (DITA) standard XML data model for authoring and publishing.

Package: dita-ot-doc
Description-md5: 8291eb75e2abe4e36edaded6b90c7f2d
Description-en: DITA Open Toolkit (documentation)
 DITA Open Toolkit, or DITA-OT for short, is an independent, open source
 implementation of the OASIS owned and developed Darwin Information Typing
 Architecture (DITA) standard XML data model for authoring and publishing.
 .
 This package contains the documentation for dita-ot.

Package: ditaa
Description-md5: 2ced4cd3b88843865750d8e3c29ebc2e
Description-en: convert ASCII diagrams into proper bitmap graphics
 DiTAA is a small command-line utility that can convert diagrams drawn using
 ASCII art ("drawings" that contain characters that resemble lines, like | /
 and -), into proper bitmap graphics.
 .
 DiTAA also uses special markup syntax to increase the possibilities of shapes
 and symbols that can be rendered.

Package: divxcomp
Description-md5: 5304d2c9a83704de24f81cb242f67f2c
Description-en: bitrate calculator for DivX;-) movies written in perl
 This is a bitrate calculator for DivX;-) movies.
 it helps you to calculate (given the preferred size of
 the movie the sound bitrate and the length of the movie)
 the correct encoding video bitrate for a given movie.

Package: dizzy
Description-md5: 8c373c4199a814233726d360b361120f
Description-en: Graphics demo that makes you dizzy using rotating textures
 dizzy is a graphics demo that rotates planes of patterns on a colored
 background to make you dizzy. Textures can be cross-faded and there is a mode
 that automatically changes textures, allowing dizzy to be run as a
 screensaver.

Package: django-sortedm2m-data
Description-md5: 0969a3fd34db37dbe9d4f70bdaa7b9d5
Description-en: Replacement for Django's many to many field with sorted relations (data files)
 sortedm2m is a drop-in replacement for Django's own ManyToManyField. The
 provided SortedManyToManyField behaves like the original one, but remembers
 the order of added relations.
 .
 This package contains the static data files and templates.

Package: djinn
Description-md5: 0860cae12883fa7696c7f600a4fa5fb4
Description-en: generate Haskell expressions from types
 Djinn uses a theorem prover for intuitionistic propositional logic to
 generate a Haskell expression when given a type.
 .
 Given a type the program will deduce an expression of this type, if one
 exists.  If the Djinn says the type is not realizable it is because there
 is no (total) expression of the given type.

Package: djtools
Description-md5: 3756683724c7e212dbc71f678a826d5e
Description-en: tools for HP DeskJet printer
 These tools allow the user to make better use of HP's DeskJet line of
 printers. They permit the user to send commands to the printer as well as
 make better use of the HP DeskJet's text modes.
 .
 These programs were written for the HP DeskJet 500 series but should work
 with all printers that understand HP PCL.

Package: djview
Description-md5: 70f803a157a01cd392d199dbc03dfe1f
Description-en: Transition package, djview3 to djview4
 Ease transition from djview or djview3 to djview4 with this dummy package.

Package: djview-plugin
Description-md5: ce7cb4988d1f07a9481dc68f865a16c1
Description-en: Browser plugin for the DjVu image format
 DjVu browser plugin.

Package: djview3
Description-md5: 723d08e2761fe57a16db0f1a5d75091f
Description-en: Transition package, djview3 to djview4
 Ease transition from djview3 to djview4 with this dummy package.

Package: djview4
Description-md5: 33c31b22cd218d1227ab0c6f0bf9e963
Description-en: Viewer for the DjVu image format
 DjVu viewer djview.

Package: djvubind
Description-md5: eaaf3bd41b5e69d7cb9a1185ccd062d2
Description-en: simple creation of djvu files
 Djvubind facilitates creating high-quality djvu files, especially
 digital versions of scanned books. It functions as a wrapper that
 combines the djvulibre tools, minidjvu, and various ocr engines to
 provide a simple, single command creation of a djvu file.

Package: djvulibre-bin
Description-md5: c7421d25ec9e74924d5b7540e469b4bd
Description-en: Utilities for the DjVu image format
 Executables including utilities for conversion between DjVu and other
 formats.

Package: djvulibre-desktop
Description-md5: e5da3aea9677bafe75a1aaa48a76c12e
Description-en: Desktop support for the DjVu image format
 Miscellaneous files to support the DjVu image format on the desktop.

Package: djvulibre-plugin
Description-md5: c20f29c4bf4ac3d9e69a45bc76a270c3
Description-en: Transition package, djvulibre-plugin to djview-plugin
 Dummy dependency package to transition from djvulibre-plugin to djview-plugin.

Package: djvuserve
Description-md5: 1440f01db6ede771bb8636f76fcccfda
Description-en: CGI program for unbundling DjVu files on the fly
 CGI program to convert a bundled multi-page DjVu document into an
 indirect DjVu document on the fly.  This provides for efficiently
 browsing large DjVu documents without transferring unnecessary pages.

Package: dkimproxy
Description-md5: 14c4400b67fb5e044c73c3e3cb425f70
Description-en: an SMTP-proxy that signs and/or verifies emails, using the Mail::DKIM module
 DKIMproxy is an SMTP-proxy that signs and/or verifies emails, using the
 Mail::DKIM module. It is designed for Postfix, but should work with any mail
 server. It comprises two separate proxies, an "outbound" proxy for signing
 outgoing email, and an "inbound" proxy for verifying signatures of incoming
 email (administrators can decide if they want to run both, or only one of
 them). With Postfix, the proxies can operate as either Before-Queue or
 After-Queue content filters, and they also can be chained with content
 filter proxies like Amavis, which is the current default configuration of
 the package.

Package: dkimpy-milter
Description-md5: 1d25a611d39c4d24586f7913bc1cf202
Description-en: DomainKeys Identified Mail (DKIM) milter in Python
 The dkimpy-milter is a Sendmail/Postfix Milter application that signs
 and verifies DKIM (DomainKeys Identified Mail).  It supports both traditional
 RSA (RFC 6376) signatures and the new ed25519 based signatures defined in
 RFC 8463.
 .
 DKIM provides a way for senders to confirm their identity when sending email
 by adding a cryptographic signature to the headers of the message.
 .
 It uses the OpenDKIM configuration option naming and definitions, for the
 options it implements, to make it easy for OpenDKIM users to experiment with
 this alternative.

Package: dkopp
Description-md5: 36b075c2cf65b2800085f34054cd6f22
Description-en: Full and incremental backup to DVD
 Dkopp is a program used to copy or back-up disk files to DVD or
 BD (Blue-ray) media. Full or incremental backups can be done,
 with full or incremental media verification. A GUI is used to
 navigate through directories to select or de-select files or
 directories at any level. Backup jobs can be saved for later re-use.
 New, deleted, and updated disk files are handled automatically,
 without re-editing the backup job. An incremental backup updates
 the same DVD/BD media used for a prior full backup. Files can be
 restored to the same or another location on disk. Large backup
 jobs can be done using multiple DVD media.

Package: dl10n
Description-md5: b25168cf04b356daabb350437d558b0e
Description-en: Debian infrastructure and tools for localization
 dl10n is the debian localization project. This package contains all the
 relevant infrastructure and tools. Of course, most people won't really need
 the server side part on their machine, but given the size of the package,
 there is no need for a split.
 .
 This package contains the main libraries of po4a, and the following tools:
 .
   - dl10n-check: dig into the source packages looking for stuff to translate
   - dl10n-bot: reads the translator mailing lists seeking for status update
   - dl10n-txt: generate textual statistic views
   - dl10n-html: generates the debian web pages

Package: dlang-libevent
Description-md5: 2173a61eac7bad456c25a6bbe11ec7c6
Description-en: D version of the C headers for libevent
 libevent D interface

Package: dlang-openssl
Description-md5: ceae68e070606daaea7150c57cbb6b09
Description-en: D version of the C headers for openssl
 D bindings for openssl. This is just a set of D source files to be used
 with 'import'. When linking the user still has to link to libssl manually.

Package: dleyna-renderer
Description-md5: 57084604ea33ce37fda5adc3602c4846
Description-en: DBus service to interact with DLNA Digital Media Renderers
 dleyna-renderer is a DBus user session service that allows clients to
 discover and manipulate DLNA Digital Media Renderers (DMR).
 .
 dLeyna is an umbrella project hosting a number of middleware components
 designed to make it easy for developers to integrate DLNA functionality into
 their applications.

Package: dleyna-server
Description-md5: 1ae67794082d85ab26df416c58e3a42f
Description-en: DBus service to interact with DLNA Digital Media Servers
 dleyna-server is a DBus user session service that allows clients to
 discover and manipulate DLNA Digital Media servers (DMS).
 .
 dLeyna is an umbrella project hosting a number of middleware components
 designed to make it easy for developers to integrate DLNA functionality into
 their applications.

Package: dlint
Description-md5: 09e63d2e8283584aa55ecc1c97ab87bb
Description-en: Checks dns zone information using nameserver lookups
 This program analyzes any DNS zone you specify, and reports any problems it
 finds by displaying errors and warnings.  Then it descends recursively to
 examine all zones below the given one (this can be disabled with a command-
 line option).
 .
 You don't have to feed any BIND conffiles to Dlint. Dlint uses
 nameserver calls to gather information.
 .
 Designed for Unix, dlint is written in Bourne Shell and Perl.

Package: dlm-controld
Description-md5: dd409c7bccaa2f38aca2408fd22c80b3
Description-en: Distributed Lock Manager control daemon
 DLM is a symmetric general-purpose distributed lock manager.
 The lock manager itself is a kernel module.
 .
 This package contains the control daemon and utility.

Package: dlmodelbox
Description-md5: ed1abd14c3db75a125427ffa07d6013b
Description-en: Swiss Army Knife of Deep Learning Models
 DLModelBox provides utilities to help create and manage DL model
 packages.
 .
 There is not an unified specification for the format of deep learning
 model package format currently. DLModelBox defines a specification, and
 helps user to create model packages and manage models easier.

Package: dlocate
Description-md5: c871b6b90f7a8ceb0f704fb90fe4db2a
Description-en: fast alternative to dpkg -L and dpkg -S
 Uses GNU grep and text dumps of dpkg's data to greatly speed up finding out which
 package a file belongs to (i.e. a very fast dpkg -S). Many other uses,
 including options to view all files in a package, calculate disk space
 used, view and check md5sums, list man pages, etc.

Package: dlt-daemon
Description-md5: 15fd83b1fa60acc99b55161d8f999b70
Description-en: Diagnostic Log and Trace logging daemon
 This component provides a log and trace interface, based on the standardised
 protocol specified in the AUTOSAR standard 4.0 DLT. This software can be used
 by GENIVI components and other applications as logging facility.
 .
 The DLT daemon is the central component in GENIVI, which gathers all logs and
 traces from the DLT user applications. The logs and traces can optionally
 be directly written to a file in the ECU. The DLT daemon forwards all logs
 and traces to a connected DLT client. The DLT client can send control
 messages to the daemon, e.g. to set individual log levels of applications
 and contexts or get the list of applications and contexts registered in the
 DLT daemon.

Package: dlt-tools
Description-md5: 43eca9ced8eb2c6842904228abcd0629
Description-en: Diagnostic Log and Trace (DLT) (documentation)
 This component provides a log and trace interface, based on the standardised
 protocol specified in the AUTOSAR standard 4.0 DLT. This software can be used
 by GENIVI components and other applications as logging facility.
 .
 This package includes tools to connect to and experiment with DLT daemon.
 Most usefully this includes 'dlt-receive' to connect to a (remote) DLT server
 and save all logs to a DLT file and 'dlt-system' that injects system logs
 into the DLT server

Package: dlt-viewer
Description-md5: a1214ef9f62fbb887234f71a42d17003
Description-en: Viewer for GENIVI DLT traces
 The Diagnostic Log and Trace Viewer is an application that can send and
 receive control messages to the DLT daemon, e.g., to set individual log
 levels of applications and contexts or get the list of applications
 and contexts registered in the DLT daemon.

Package: dlt-viewer-dev
Description-md5: d379c12e8dc522f5dcd83bca3f1b6d57
Description-en: Viewer for GENIVI DLT traces - development files
 The Diagnostic Log and Trace Viewer is an application that can send and
 receive control messages to the DLT daemon, e.g., to set individual log
 levels of applications and contexts or get the list of applications
 and contexts registered in the DLT daemon.
 .
 This package contains the development files for plugin development.

Package: dlume
Description-md5: 8cca1292cb05282bd7f54e3bca4cd469
Description-en: simple and easy to use addressbook (GTK+)
 Dlume is a simple, gtk2-based addressbook. You can easily add, edit
 and delete records to/from an XML-format database. The Quick-search
 feature allows you find required entry in comfortable way. Export to
 CSV and HTML formats is also available. Interface design was borrowed
 and improved from Paddress <https://paddress.sourceforge.net>.

Package: dlz-ldap-enum
Description-md5: c66e8923ad37c718d8845abe9a3a486b
Description-en: Plug-in for bind9 that uses LDAP data to fulfill ENUM requests
 New versions of bind9 provide a dynamically loaded module interface
 dubbed dlz_dlopen.  This module is linked to bind9 at runtime using the
 dlz_dlopen.  The module accepts ENUM query strings and consults the
 LDAP database to map the phone number to an email address.  It then
 returns NAPTR records providing SIP and Jabber/XMPP routes based
 on the email address in LDAP.

Package: dm-writeboost-dkms
Description-md5: f31e3a9e5e9bd1dcfc6fc960a5d44843
Description-en: log-structured caching for Linux
 dm-writeboost is an OS-level IO controller that builds logs from in-coming
 writes (data and metadata) and then writes the logs sequentially similar
 to log-structured filesystem. As a further extension, dm-writeboost
 supports read-caching which also writes data sequentially.
 .
 This package provides DKMS kernel module for Linux Kernel 3.10+.

Package: dma
Description-md5: cf12c1952090646f2131dbbee7733f30
Description-en: lightweight mail transport agent
 The DragonFly Mail Agent is a small Mail Transport Agent (MTA),
 designed for home and office use.  It accepts mails from local Mail
 User Agents (MUA) and delivers them either to local mailboxes or
 remote SMTP servers.  Remote delivery includes support for features
 such as TLS/SSL and SMTP authentication.
 .
 dma is not intended as a replacement for full-featured MTAs like
 Sendmail, Postfix, or Exim.  Consequently, dma does not listen on
 port 25 for incoming connections.

Package: dmagnetic
Description-md5: 29c52cd31cfb16fc927ca8e5862be9e1
Description-en: Interpreter to play textadventures from Magnetic Scrolls in glorious ANSI Art
 dMagnetic is a Magnetic Scrolls Interpreter. It allows one to play classic
 text adventures such as "The Pawn", "The Guild of Thieves", "Fish!", "Myth",
 "Jinxter", "Corruption" and "Wonderland" in a terminal window. The beautiful
 graphics are being rendered in glorious ANSI art.

Package: dmarc-cat
Description-md5: d9c96d25e1be67f1569e56b3a3736613
Description-en: decode DMARC reports
 This utility decodes the standard XML reports sent by providers to the
 `rua` record configured in DMARC. It is useful to make sense of
 reports that are otherwise very difficult to read.

Package: dmg2img
Description-md5: 3f67de0b44977a91b503adcd971d07a9
Description-en: Tool for converting compressed dmg files to hfsplus images
 DMG2IMG is a tool which allows converting Apple compressed dmg
 archives to standard (hfsplus) image disk files.
 .
 This tool handles zlib and bzip2 compressed dmg images.

Package: dmitry
Description-md5: 43d418d2adf0b4a97f6364e7e7503f11
Description-en: Deepmagic Information Gathering Tool
 DMitry is a UNIX/(GNU)Linux command line application written in C.
 DMitry can find possible subdomains, email addresses, uptime information,
 perform tcp port scan, whois lookups, and more.

Package: dmktools
Description-md5: 126ffbf091eff14862d8d2bf4cb24bfb
Description-en: tools for manipulating floppy disk images in the dmk format
 Emulators such as OpenMSX attempt to emulate a real machine as best as
 possible. Some copy protection schemes rely on unformatted or bad sectors on
 floppy disks. The dmk format allows disk images to contain such unusual
 configurations. This package contains tools for generating and manipulating
 dmk image files.

Package: dmrconfig
Description-md5: dbe31a63b47d07843ade35b3b1db2415
Description-en: Configuration utility for DMR radios
 DMRconfig is a utility for programming digital radios via USB programming
 cable. It can read and write codeplug, configuration and contacts from and to
 the radio. Various TYT, Baofeng, Radioddity, Anytone, BTECH, Zastone and Radtel
 radios are supported.

Package: dms
Description-md5: a0c36bd2cbc2a965e926c9d012e57265
Description-en: bind9 DNS Management System, master server meta-package
 This is the bind9 DNS Management System, the master server meta-package.
 .
 This package installs all the components needed for a DMS master server/DR
 replica pair.
 .
 For setup, see the README.Debian in /usr/share/doc/dms-core.

Package: dms-core
Description-md5: 3603e2d5959d29d5051a87e21e4e1566
Description-en: bind9 DNS Management System, core system
 This is the bind9 DNS Management System, core backend.
 .
 Install this by itself if you are just after a single Master server, no DR.
 .
 This package include the dms python3 modules, zone_tool and dmsdmd.
 It creates the dms system users.
 .
 For setup, see README.Debian in /usr/share/doc/dms-core

Package: dms-doc
Description-md5: 51918b59d3c965de01a354e12038a075
Description-en: bind9 DNS Management System, HTML documentation
 This is the bind9 DNS Management System, documentation package.
 .
 This package contains the DMS manual as HTML. It is generated by sphinx.

Package: dms-dr
Description-md5: 18abf73c7b5f3f0c6edb00c7e58c6306
Description-en: bind9 DNS Management System, DR scripts and setup.
 This is the bind9 DNS Management System, DR recovery scripts for running a
 replica.
 .
 This package contains the management scripts required to set up a DR
 replica of a DMS Master Server.
 .
 For setup, see the README.Debian in /usr/share/doc/dms-core.

Package: dms-wsgi
Description-md5: 776dd3dea1bea6a1669070463fa40a94
Description-en: bind9 DNS Management System, WSGI JSON http RPC backend.
 This is the bind9 DNS Management system, WSGI JSON RPC layer.
 .
 This installs the WSGI layer needed to access the DMS backend via JSON
 RPC over http.  It is split from the core package to allow the DMS to be set
 up on a small scale, with command line only editing.
 .
 For setup, see the README.Debian in /usr/share/doc/dms-core

Package: dmtracedump
Description-md5: 3d072592d6edc8c13130e73a24e1c654
Description-en: Generates graphical call-stack diagrams from Android trace logs
 `dmtracedump` generates the call stack data as a tree diagram, where each node
 represents a method call. It shows call flow (from parent node to child nodes)
 using arrows.

Package: dmtx-utils
Description-md5: b76d6312785a58a476a5eb7b6624d98b
Description-en: Utilities for reading and writing Data Matrix 2D barcodes
 Command-line utilities for reading and writing Data Matrix 2D barcodes,
 two-dimensional symbols that hold a dense pattern of data with built-in
 error correction.

Package: dmucs
Description-md5: ad9c89357422cbee4fadbd47dc523d25
Description-en: distributed compilation system for use with distcc
 DMUCS is a system that allows a group of users to share a compilation farm.
 Each compilation request from each user will be sent to the fastest available
 machine, every time.  The system has these fine qualities:
  * Works with distcc, which need not be altered in any way.
  * Supports multiple operating systems in the compilation farm.
  * Uses all processors of a multi-processor compilation host.
  * Makes best use of compilation hosts with widely differing CPU speeds.
  * Takes into account the load on a host caused by non-compilation tasks.
  * Supports the dynamic addition and removal of hosts to the compilation farm.

Package: dnaclust
Description-md5: f09f4c2cdae8b3abdcd9f1c868d093e3
Description-en: tool for clustering millions of short DNA sequences
 dnaclust is a tool for clustering large number of short DNA sequences.
 The clusters are created in such a way that the "radius" of each
 clusters is no more than the specified threshold.
 .
 The input sequences to be clustered should be in Fasta format. The id
 of each sequence is based on the first word of the seqeunce in the Fasta
 format. The first word is the prefix of the header up to the first
 occurrence of white space characters in the header.

Package: dnet-common
Description-md5: 227d8efd75e923663ab3c6db116801b8
Description-en: Base package for Linux DECnet
 This is the base package for Linux DECnet. It contains the necessary
 configuration files and a script to set up the MAC address of your
 Ethernet card(s) at boot-up.
 .
 You will also need to be running a 2.4+ kernel and have DECnet either
 built as a module or compiled into the kernel.
 .
 To do useful work with DECnet you will need the libdnet package and
 probably also dnet-progs.

Package: dnet-progs
Description-md5: 91992f38864de1f838a04bb59fccdaa6
Description-en: DECnet user programs and daemons
 These tools are the application layer interface for DECnet on Linux systems.
 They provide file/terminal access facilities between OpenVMS and Linux and
 remote execution of commands.
 Also included is a Linux version of the VMS "Phone" utility and a VMSMail
 to SMTP gateway.

Package: dns-browse
Description-md5: 4f9023eeedccdfbb8927a91f424f76f6
Description-en: Front-ends to DNS search
 This package provides two programs to make user lookups on DNS
 servers: dns_tree and dns_browse.
 .
 dns_tree is a command-line-based front-end to dig.  It replaces the
 several dig invocations necessary to fetch a zone, and it formats the
 output in a somewhat sensible hierarchical style (a tree).
 .
 dns_browse is a GUI front-end to dns_tree.  It allows point-and-click
 DNS browsing and makes it easy to expand/compress hierarchies in one
 or more DNS zones.

Package: dns-flood-detector
Description-md5: c9037957f94596c4ef075b80e4191ca3
Description-en: detect abusive usage levels on high traffic nameservers
 This package provides the dns-flood-detector daemon.
 .
 It was developed to detect abusive usage levels on high traffic nameservers
 and to enable quick response in halting the use of one's nameserver to
 facilitate spam.
 It uses libpcap (in non-promiscuous mode) to monitor incoming dns queries to a
 nameserver. The tool may be run in one of two modes, either daemon mode or
 "bindsnap" mode. In daemon mode, the tool will alarm via syslog. In bindsnap
 mode, the user is able to get near-real-time stats on usage to aid in more
 detailed troubleshooting.

Package: dns2tcp
Description-md5: b6f006bd6b7256e3d2a8a3ea301a7617
Description-en: TCP over DNS tunnel client and server
 dns2tcp is a set of tools allowing to encapsulate a TCP session in DNS
 packets. This type of encapsulation generates smaller packets compeared to
 ip-over-DNS tools, thus allows a better throughput. The client does not need
 root priledges.

Package: dns323-firmware-tools
Description-md5: eebffe9d77ca0c7158280ef2706075f0
Description-en: build and manipulate firmware images for a range of NAS devices
 This package contains programs for manipulating the firmware images used by
 a range of small consumer-grade NAS devices, such as the D-Link DNS-323.
 .
 These firmware images are what are used to "bundle" the kernel, initrd, and
 other data when uploading new firmware images using the "stock" interface.
 If you are already running a custom firmware, it is likely that these tools
 will be of no use to you, and you will need to use whatever update
 mechanism is provided by your firmware.

Package: dnscrypt-proxy
Description-md5: 710b26a7b7218befaf287cbdd2ca016d
Description-en: Flexible DNS proxy, with support for encrypted DNS protocols
 dnscrypt-proxy is a flexible DNS proxy, with support for modern encrypted DNS
 protocols such as DNSCrypt v2 and DNS-over-HTTPS.

Package: dnsdiag
Description-md5: 6dc9295d9d515d4401926afdd6ab4113
Description-en: DNS request auditing toolset
 Set of tools to perform basic audits on your DNS requests and responses to
 make sure your DNS is working as you expect.  Dnsping can be used to measure
 the response time of a given DNS server for arbitrary requests. Just like a
 traditional ping utility, it provides similar functionality for DNS requests.
 .
 Dnstraceroute can be used to trace the path a DNS request takes to
 destination. Its purpose is to detect whether a request is redirected or
 hijacked. This can be done by comparing different DNS queries being sent to the
 same DNS server using dnstraceroute and observe if there is any difference
 between the path.
 .
 dnseval evaluates multiple DNS resolvers and helps you choose the best DNS
 server for your network. It is highly recommended to use your own DNS resolver
 as opposed to a third-party DNS server, but in case you need to choose the best
 DNS forwarder for your network, dnseval lets you compare different DNS servers
 from performance (latency) and reliability (loss) point of view.

Package: dnsdist
Description-md5: a513b049e15ad7501b5fce6d42694c08
Description-en: DNS loadbalancer
 Highly DoS- and abuse-aware load balancing tool for DNS traffic,
 with Lua scripting and configuration capability.
 Can be configured to use various sets of rules to classify, route
 and reject traffic.

Package: dnsenum
Description-md5: 1071761bb941cae109c75e1dae6ca4f6
Description-en: tool to enumerate domain DNS information
 Dnsenum is a multithreaded perl script to enumerate DNS information of a
 domain and to discover non-contiguous ip blocks. The main purpose of Dnsenum
 is to gather as much information as possible about a domain. The program
 currently performs the following operations:
 .
   1) Get the host's addresses (A record).
   2) Get the namservers (threaded).
   3) Get the MX record (threaded).
   4) Perform axfr queries on nameservers and get BIND versions(threaded).
   5) Get extra names and subdomains via google scraping (google query =
      "allinurl: -www site:domain").
   6) Brute force subdomains from file, can also perform recursion on subdomain
      that have NS records (all threaded).
   7) Calculate C class domain network ranges and perform whois queries on them
      (threaded).
   8) Perform reverse lookups on netranges (C class or/and whois netranges)
      (threaded).
   9) Write to domain_ips.txt file ip-blocks.
 .
 This program is useful for pentesters, ethical hackers and forensics experts.
 It also can be used for security tests.

Package: dnshistory
Description-md5: 36aa6bedd0a8000dbab6a4809168eb8d
Description-en: Translating and storing of IP addresses from log files
 Provide a means for storing a history of DNS/Name changes for the IP Addresses
 extracted from web log files. The major target being that multiple analyses of
 older log files do not require re-lookups of IP Address to FQDNs, and
 additionally maintain the accuracy of the lookup as it was then and not as it
 is now.

Package: dnsmap
Description-md5: 8da238b788e263a0deb14cbebae67043
Description-en: DNS domain name brute forcing tool
 dnsmap scans a domain for common subdomains using a built-in or an external
 wordlist (if specified using -w option). The internal wordlist has around 1000
 words in English and Spanish as ns1, firewall servicios and smtp. So will be
 possible search for smtp.example.com inside example.com automatically. Results
 can be saved in CSV and human-readable format for further processing. dnsmap
 does NOT require root privileges to be run, and should NOT be run with such
 privileges for security reasons.
 .
 dnsmap was originally released back in 2006 and was inspired by the fictional
 story "The Thief No One Saw" by Paul Craig, which can be found in the book
 "Stealing the Network - How to 0wn the Box".
 .
 dnsmap is mainly meant to be used by pentesters during the information
 gathering/enumeration phase of infrastructure security assessments. During the
 enumeration stage, the security consultant would typically discover the target
 company's IP netblocks, domain names, phone numbers, etc.
 .
 Subdomain brute-forcing is another technique that should be used in the
 enumeration stage, as it's especially useful when other domain enumeration
 techniques such as zone transfers don't work (I rarely see zone transfers being
 publicly allowed these days by the way).
 .
 Fun things that can happen:
 .
   1) Finding interesting remote access servers
      (e.g.: https:://extranet.example.com).
   2) Finding badly configured and/or unpatched servers
      (e.g.: test.example.com).
   3) Finding new domain names which will allow you to map
      non-obvious/hard-to-find netblocks of your target organization
      (registry lookups - aka whois is your friend).
   4) Sometimes you find that some bruteforced subdomains resolve to internal IP
      addresses (RFC 1918). This is great as sometimes they are real up-to-date
      "A" records which means that it is possible to enumerate internal servers
      of a target organization from the Internet by only using standard DNS
      resolving (as opposed to zone transfers for instance).
   5) Discover embedded devices configured using Dynamic DNS services
      (e.g.: IP Cameras). This method is an alternative to finding devices via
      Google hacking techniques.
 .
 This package provides two possible commands: dnsmap and dnsmap-bulk.
 .
 This program is useful for pentesters, ethical hackers and forensics experts.
 It also can be used for security tests.

Package: dnsmasq
Description-md5: d4d0c88134cc703150a59b83cb68304c
Description-en: Small caching DNS proxy and DHCP/TFTP server
 Dnsmasq is a lightweight, easy to configure, DNS forwarder and DHCP
 server. It is designed to provide DNS and optionally, DHCP, to a
 small network. It can serve the names of local machines which are
 not in the global DNS. The DHCP server integrates with the DNS
 server and allows machines with DHCP-allocated addresses
 to appear in the DNS with names configured either in each host or
 in a central configuration file. Dnsmasq supports static and dynamic
 DHCP leases and BOOTP/TFTP for network booting of diskless machines.

Package: dnsmasq-base-lua
Description-md5: 50a4d5794976e19c43acd5677364d255
Description-en: Small caching DNS proxy and DHCP/TFTP server
 This package contains the dnsmasq executable and documentation, but
 not the infrastructure required to run it as a system daemon. For
 that, install the dnsmasq package. This package is an alternative
 to dnsmasq-base which includes the LUA interpreter.

Package: dnsproxy
Description-md5: 6da369a1a9ebbedee375a89b1253ceb5
Description-en: proxy for DNS queries
 dnsproxy forwards DNS queries to two previously configured nameservers:
 one for authoritative queries and another for recursive queries.
 The received answers are sent back to the client unchanged.
 No local caching is done.
 .
 Primary motivation for this project was the need to replace Bind servers with
 djbdns in an ISP environment. These servers get recursive queries from
 customers and authoritative queries from outside at the same IP address.
 Now it is possible to run dnscache and tinydns on the same machine with
 queries dispatched by dnsproxy.
 .
 Another possible scenario is a firewall where proxy queries should be
 forwarded to the real server in a DMZ.

Package: dnsrecon
Description-md5: 5eee248ebcc53a8c58ab5a6c2c6e88ef
Description-en: Powerful DNS enumeration script
 DNSRecon is a Python script that provides the ability to perform:
 .
  * Check all NS Records for Zone Transfers.
  * Enumerate General DNS Records for a given Domain
    (MX, SOA, NS, A, AAAA, SPF and TXT).
  * Perform common SRV Record Enumeration.
  * Top Level Domain (TLD) Expansion.
  * Check for Wildcard Resolution.
  * Brute Force subdomain and host A and AAAA records
    given a domain and a wordlist.
  * Perform a PTR Record lookup for a given IP Range or CIDR.
  * Check a DNS Server Cached records for A, AAAA and CNAME
  * Records provided a list of host records in a text file to check.
  * Enumerate Hosts and Subdomains using Google

Package: dnss
Description-md5: c07a2de9ba3faccc276b8e796a731eb3
Description-en: Daemon for encapsulating DNS over HTTPS
 dnss is a daemon for encapsulating DNS over HTTPS.
 .
 It can act as a DNS-to-HTTPS proxy, using https://dns.google.com as a server
 or anything implementing the same API.
 .
 It can also act as an HTTPS-to-DNS proxy, implementing the same HTTP API; you
 can use this instead of https://dns.google.com if you want more control over
 the servers and the final DNS server used (for example if you are in an
 isolated environment, such as a test lab or a private network).

Package: dnssec-trigger
Description-md5: 2549d17a1e7e105c2edcb50dd3e9466f
Description-en: reconfiguration tool to make DNSSEC work
 Dnssec-trigger reconfigures the local unbound DNS server. This unbound
 DNS server performs DNSSEC validation, but dnssec-trigger will signal
 it to use the DHCP obtained forwarders if possible, and fallback to
 doing its own AUTH queries if that fails, and if that fails prompt the
 user via dnssec-trigger-applet the option to go with insecure DNS
 only.

Package: dnstap-ldns
Description-md5: 9e8457adfc634e9db7f04e6674f48c58
Description-en: Utility that can decode dnstap encoded files
 dnstap is a flexible, structured binary log format for DNS software.
 dnstap-ldns is the reference utility that can decode dnstap encoded files.

Package: dnstop
Description-md5: 3bb1fa97f4ba66ddeedd9c17115d475d
Description-en: console tool to analyze DNS traffic
 dnstop is a console tool to analyze and display various tables of DNS traffic.
 Currently dnstop displays tables of (among others):
  * Source IP addresses
  * Destination IP addresses
  * Query types
  * Top level domains
  * Second level domains

Package: dnsutils
Description-md5: 021458b353ece6dd7b5cbef232419073
Description-en: Transitional package for bind9-dnsutils
 This is a transitional package. It can safely be removed.

Package: dnsvi
Description-md5: fb670f81b98f3daef5aca4b1c54c992e
Description-en: edit dynamic DNS zones using vi
 dnsvi is a frontend for nsupdate. Given a DNS zone name, it uses dig -t AXFR
 to get all the records in a zone. It then spawns your favorite editor, and
 upon completion, builds a list of "update add" and "update delete" statements
 to feed to nsupdate

Package: dnsviz
Description-md5: 4f2e5db401d3a48757f46cd4365530b7
Description-en: Tools for analyzing and visualizing DNS and DNSSEC behavior
 DNSViz is a tool suite for analysis and visualization of Domain Name System
 (DNS) behavior, including its security extensions (DNSSEC). This tool suite
 powers the Web-based analysis available at <http://dnsviz.net/>.

Package: dnswalk
Description-md5: 58b7f88543c3424a70b5fe9696ca840d
Description-en: Checks dns zone information using nameserver lookups
 dnswalk is a DNS debugger.  It performs zone transfers of specified
 domains, and checks the database in numerous ways for internal
 consistency, as well as accuracy.

Package: doc-central
Description-md5: aa17eb85e17f6cce3fd0513b026af9b0
Description-en: web-based documentation browser
 Doc-Central is a tool to browse the documentation that has been
 installed on your system that have registered with the doc-base
 subsystem.

Package: doc-debian
Description-md5: b8e783f05fac5525fc8017f9961859fb
Description-en: Debian Project documentation and other documents
 The Debian Project is an association of individuals who have made
 common cause to create a free operating system.
 .
 In this package, you will find:
   * Debian Linux Manifesto,
   * Constitution for the Debian Project,
   * Debian Social Contract,
   * Debian Free Software Guidelines.
 .
 Additionally provided are:
   * Debian Bug Tracking System documentation, and
   * Introduction to the Debian mailing lists.
 .
 All of these files are available at ftp://ftp.debian.org/debian/doc/ and
 mirrors thereof.

Package: doc-linux-fr-html
Description-md5: bd3249fb8f5eecd8acabf09c9f1857ac
Description-en: Linux docs in French: HOWTOs, MetaFAQs in HTML format
 This package installs the French versions of the current Linux
 HOWTOs and mini-HOWTOs as well as the "info-sheet", "metafaq",
 "fcol-faq" and "intro" French documents in HTML format.
 .
 The version number reflects the month in which doc-linux-fr-html
 was created.

Package: doc-linux-fr-pdf
Description-md5: c6978e71c6e176608f16c042531b8d60
Description-en: Linux docs in French: HOWTOs, MetaFAQs in PDF format
 This package installs the French versions of the current Linux
 HOWTOs, mini-HOWTOs as well as the "fcol-faq" French document in
 PDF format.
 Alternatively, HTML versions are provided in the
 doc-linux-fr-html package.
 .
 The version number reflects the month in which doc-linux-fr-pdf
 was created.

Package: doc-linux-fr-ps
Description-md5: be4d3bd7c4638d451fba894066d33cbe
Description-en: Linux docs in French: HOWTOs, MetaFAQs in PostScript format
 This package installs the French versions of the current Linux
 HOWTOs, mini-HOWTOs and the "fhs" as well as the "fcol-faq" French
 document in PostScript format.
 Alternatively, HTML versions are provided in the
 doc-linux-fr-html package.
 .
 The version number reflects the month in which doc-linux-fr-ps
 was created.

Package: doc-linux-fr-text
Description-md5: 1c698cb4e040af8fc112cce034099676
Description-en: Linux docs in French: HOWTOs, MetaFAQs in text format
 This package installs the French versions of the current Linux
 HOWTOs, mini-HOWTOs and the "fhs" as well as the "fcol-faq" French
 document in text format.
 Alternatively, HTML versions are provided in the
 doc-linux-fr-html package.
 .
 The version number reflects the month in which doc-linux-fr-text
 was created.

Package: docbook
Description-md5: ead20f911a71259289f56248053bcdf9
Description-en: standard SGML representation system for technical documents
 DocBook is an SGML document type definition (DTD) that is well-suited
 to books, articles, or reference documentation about technical
 matters, systems, or software (although it is by no means limited to
 these applications).  It has emerged as an open and standard DTD in the
 software industry, and is used as the documentation system of choice
 for many free software projects.
 .
 This package contains the SGML DTD for DocBook, which describes
 the formal structure for documents following this format.  If you
 wish to author DocBook documents using XML rather than SGML, use the
 'docbook-xml' package.  You will need other packages in order to edit
 (psgml), validate (opensp) or format (docbook-xsl, docbook-dsssl)
 DocBook documents.
 .
 This package includes the 2.4.1, 3.0, 3.1, 4.0, 4.1, 4.2, 4.3, 4.4 and
 4.5 versions of the DocBook SGML DTD.

Package: docbook-defguide
Description-md5: d4fbaddeabb45685606eb737e90b92bd
Description-en: DocBook: The Definitive Guide - HTML version
 The official reference manual for the DocBook 4.x SGML and XML DTD,
 by Norman Walsh, Leonard Muellner, and Bob Stayton.  This version is
 an evolution of the book of the same name published by O'Reilly
 (which documented DocBook 3.1).
 .
 This is a work in progress, which attempts to fully document DocBook
 4.5, but may be inconsistent in some places.

Package: docbook-dsssl
Description-md5: 2439fde54a201fcecb45678b3e4f0fbc
Description-en: modular DocBook DSSSL stylesheets, for print and HTML
 This package provides DSSSL stylesheets which let you convert DocBook
 SGML or XML files to various formats.
 .
 This package contains two DocBook DSSSL stylesheets, one for "print"
 output and one for HTML.  The print stylesheet can be used in
 conjunction with the RTF and the TeX back-ends that OpenJade
 provides to produce output suitable for printing.  The HTML
 stylesheet can be used to convert DocBook documents into HTML.
 .
 The stylesheets are modular in design so that you can extend and
 customize them.
 .
 Note that you can also perform DocBook XML styling using the
 "docbook-xsl" package, which provides the XSL stylesheets.  The XSL
 stylesheets are more actively maintained and contain more new
 features than these DSSSL stylesheets.

Package: docbook-dsssl-doc
Description-md5: ad691100bb7f6e4223a6b6a10cb26a10
Description-en: documentation for the DocBook DSSSL stylesheets
 This package contains documentation for the Modular DocBook DSSSL
 stylesheets, found in the docbook-dsssl package.
 .
 The docbook-dsssl package provides DSSSL stylesheets which let you
 convert DocBook SGML or XML files to various formats.

Package: docbook-ebnf
Description-md5: d3afb56384eeff6ec924355f92b1016d
Description-en: EBNF module for the XML version of the DocBook DTD
 DocBook is a general-purpose and modular SGML and XML Document-Type
 Definition. This is a module that adds elements for the markup of
 EBNF grammar rules to DocBook.
 .
 It is fully documented in "DocBook - The Definitive Guide", available
 in the docbook-defguide package.

Package: docbook-html-forms
Description-md5: b4837f7ae89b504719143f95de1382d7
Description-en: Extension to DocBook XML for generating html forms
 The DocBook HTML Forms module adds six new markup elements to
 DocBook XML for easy generation of HTML forms from XML source
 documents.
 .
 The HTML Forms module is a customization of the full DocBook
 XML V4.1.2 DTD.

Package: docbook-mathml
Description-md5: b363930e4d1c88efeb92bf8a8b9d396c
Description-en: Extension to DocBook XML for using MathML markup
 The DocBook MathML module adds support for including MathML
 markup in DocBook XML source documents.
 .
 The MathML module is a customization of the full DocBook
 XML V4.1.2 DTD. The module requires access to the MathML V2.0 DTD
 provided by the w3-dtd-mathml package.

Package: docbook-simple
Description-md5: 6b51b911a78b8894a9c78dfef8134d72
Description-en: simplified DocBook XML Doctype and css stylesheets
 The Simplified DocBook is a small subset of the DocBook DTD.
 It has ~100 elements, rather than the >350 elements in the
 full DocBook DTD.  A good choice when full DocBook is overkill.

Package: docbook-slides
Description-md5: 63a27a575427cd213b9b2a8fa64f5902
Description-en: XML Slides DTD and XSL Stylesheets
 A docbook-derived XML DTD for building presentation
 slides. This package includes the xsl stylesheets for this DTD.
 This version can be customized with the Simplified DocBook XML
 DTD or with the full DocBook XML DTD.

Package: docbook-slides-demo
Description-md5: a0bb9c33263a5c02698a10e226a7eecd
Description-en: Demo presentation slides for the docbook-slides package
 This package provides 12 formatting and display options available for
 the docbook-slides package.
 .
 If you plan to use docbook-slides for developing presentations, these demos
 will provide you with examples of the many different output options that are
 available with the docbook-slides package.

Package: docbook-to-man
Description-md5: 89ca45b83253672c9f11dcdec7e8c0ae
Description-en: converter from DocBook SGML into roff man macros
 docbook-to-man is a batch converter that transforms UNIX-style manpages from
 the DocBook SGML format into nroff/troff man macros.
 .
 This is not the original version by Fred Dalrymple, but one with the
 modifications by David Bolen.
 .
 docbook-to-man lacks support for internationalization and utf-8. Other
 tools like docbook2man or docbook-xml with xsltproc are better suited for
 new projects.

Package: docbook-utils
Description-md5: cd7e3cec0a19dc4f52d33b1b531aacb1
Description-en: Convert DocBook files to other formats (HTML, RTF, PS, man, PDF)
 The docbook-utils is a set of a few small programs intended to ease
 everyday use of technical documentation software and more generally use of
 SGML and XML.
 .
 Tasks they currently accomplish are:
  * jw: convert DocBook files to other formats (HTML, RTF, Postscript, PDF).
  * sgmldiff: detect the differences in markup between two SGML files.
  * docbook2man: converts a DocBook refentry to a man page.

Package: docbook-website
Description-md5: dd95f49684b8466b68e2ba5d7ae077a2
Description-en: XML Website DTD and XSL Stylesheets
 A docbook-derived XML DTD for building web sites.
 This package includes the xsl stylesheets for this DTD.
 This version is a customization of the DocBook XML V4.2 DTD.
 .
 Author:   Norman Walsh <ndw@nwalsh.com>

Package: docbook-xsl
Description-md5: 4798e7d834fe4007ed35a5da76675e12
Description-en: stylesheets for processing DocBook XML to various output formats
 These are modular XSL stylesheets for processing documents composed
 with the DocBook XML DTD and its derivatives ("Simplified" DocBook
 XML, JRefEntry DTD, etc.).
 .
 The stylesheets provide XSLT transformations for (X)HTML, WordML, HTML Help,
 JavaHelp, Man page (nroff), Website, Eclipse Platform Help file and XSL
 Formatting Object (XSL-FO) output. The latter can be further processed to
 a number of print formats using FOP or TeX-based tools.
 .
 The stylesheets are modular in the sense that you can extend and, to some
 extent, customize them. The documentation is included in a separate package.

Package: docbook-xsl-doc-html
Description-md5: 920d09e79d9992d5da5cb6d4916b555e
Description-en: stylesheets for processing DocBook XML files (HTML documentation)
 DocBook XSL stylesheets are modular XSL stylesheets for processing documents
 composed with the DocBook XML DTD and its derivatives.
 .
 This package contains the documentation for the DocBook XSL stylesheets and
 explanations for the parameters you can use in HTML format.

Package: docbook-xsl-doc-pdf
Description-md5: 6ba889b7885a819dc6117815fc1045a3
Description-en: stylesheets for processing DocBook XML files (PDF documentation)
 DocBook XSL stylesheets are modular XSL stylesheets for processing documents
 composed with the DocBook XML DTD and its derivatives.
 .
 This package contains the documentation for the DocBook XSL stylesheets and
 explanations for the parameters you can use in PDF format.

Package: docbook-xsl-doc-text
Description-md5: c70dc5e3d88f55fd882117cddb631d17
Description-en: stylesheets for processing DocBook XML files (ASCII documentation)
 DocBook XSL stylesheets are modular XSL stylesheets for processing documents
 composed with the DocBook XML DTD and its derivatives.
 .
 This package contains the documentation for the DocBook XSL stylesheets and
 explanations for the parameters you can use in simple ASCII text format.

Package: docbook-xsl-ns
Description-md5: feaf34e3e39a21ba45c997abe92b57b7
Description-en: stylesheets for processing DocBook 5 XML to various output formats
 These are modular XSL stylesheets for processing documents composed
 with DocBook 5 XML and its derivatives. Unlike in docbook-xsl the DocBook
 namespace prefix has been added to element names in pattern matches and
 expressions. However processing of DocBook 4 documents is also possible
 with these stylesheets. For a more detailed explanation read
 http://www.sagehill.net/docbookxsl/ProcesingDb5.html.
 .
 The stylesheets provide XSLT transformations for (X)HTML, WordML, HTML Help,
 JavaHelp, Man page (nroff), Website, Eclipse Platform Help file and XSL
 Formatting Object (XSL-FO) output. The latter can be further processed to
 a number of print formats using FOP or TeX-based tools.
 .
 The stylesheets are modular in the sense that you can extend and, to some
 extent, customize them. The documentation is included in a separate package.

Package: docbook-xsl-saxon
Description-md5: 0627ad81d9f94f201983b681ecbde392
Description-en: Java extensions for use with DocBook XML stylesheets (Saxon)
 These are Java extensions for use with the DocBook XML stylesheets
 and the Saxon XSLT engine. You need these extensions to enable e.g.
 the callouts and linenumbering extensions of the docbook-xsl suite.
 .
 In the past these extensions were shipped with the docbook-xsl tarball
 distribution directly.

Package: docbook2x
Description-md5: 0460a03df0bea65e00317c6f10f31272
Description-en: Converts DocBook/XML documents into man pages and TeXinfo
 The main tools are:
  * docbook2x-man: converts a DocBook/XML refentry into a manual page,
    with table support
  * docbook2x-texi: converts a DocBook/XML refentry into an info page,
    most usable for Emacs users

Package: docbook5-xml
Description-md5: 01c2468021dec31e79a7135346319d9e
Description-en: standard XML documentation system for software and systems
 DocBook 5 is a general purpose XML schema.  That is, it
 contains the "DocBook" document structure.  This is used by authors
 or editors writing documents in the DocBook XML format.  DocBook was
 designed for books, articles, or reference documentation about
 technical matters or software (though it is by no means limited to
 these applications).  It has emerged as an open standard in the
 software industry, and is the documentation system of choice for
 many free software projects.
 .
 DocBook 5.0 is a complete rewrite of the well-known DocBook 4 XML
 schema/DTD, introducing a quite large number of backwards-incompatible
 changes. But the basic idea behind DocBook is still the same, and
 almost all element names are unchanged.
 .
 This package contains the XML RelaxNG schema (with some additional
 Schematron assertions), W3C XML Schema (XSD) as well as the
 Document Type Definition (DTD) for DocBook, which all describe the
 formal structure for documents following this format.
 .
 You will need other packages in order to edit, validate (libxml2-utils)
 or format (docbook-xsl-ns) DocBook 5 documents.

Package: docdiff
Description-md5: f8870d6281e64ed9cff16b682323dd9a
Description-en: Compares two files word by word / char by char
 DocDiff compares two files and shows the difference.  It can compare files
 word by word, char by char, or line by line.
 .
 It has several output formats such as HTML/XHTML, tty, Manued, or
 user-defined markup.  It supports several encodings and end-of-line
 characters, including ASCII, UTF-8, EUC-JP, Shift_JIS, CR, LF, and CRLF.

Package: dochelp
Description-md5: 0f427b0abde3fa534138b2e7b9030e3f
Description-en: Utility to browse system documentation
 This package contains an utility to browse documentation installed on
 a Debian system. The utility has a command-line interface and can be
 used to search, open and display information of doc-base registered
 documents. It also generates an HTML catalog of the available documents
 each time a package registers a new one.

Package: docker
Description-md5: 5cdc3158ed8e5a469b1bf5e77deb35c1
Description-en: transitional package
 This is a transitional package for system tray docking application.
 It can safely be removed.

Package: docker-compose
Description-md5: 14723d053f7883eda41b6bfcc0b9046a
Description-en: Punctual, lightweight development environments using Docker
 docker-compose is a service management software built on top of docker. Define
 your services and their relationships in a simple YAML file, and let compose
 handle the rest.

Package: docker-doc
Description-md5: 4f86326741c09d05fe80222b21fce476
Description-en: Linux container runtime -- documentation
 Docker complements kernel namespacing with a high-level API which operates at
 the process level. It runs unix processes with strong guarantees of isolation
 and repeatability across servers.
 .
 Docker is a great building block for automating distributed systems:
 large-scale web deployments, database clusters, continuous deployment systems,
 private PaaS, service-oriented architectures, etc.
 .
 This package contains the daemon and client. Using docker.io on non-amd64 hosts
 is not supported at this time. Please be careful when using it on anything
 besides amd64.
 .
 Also, note that kernel version 3.8 or above is required for proper operation of
 the daemon process, and that any lower versions may have subtle and/or glaring
 issues.
 .
 This package provides documentation for Docker.

Package: docker-registry
Description-md5: dec26d26103381d10d2cb2fbed09ab63
Description-en: Docker toolset to pack, ship, store, and deliver content
 The Docker toolset to pack, ship, store, and deliver content.
 .
 The Docker Registry 2.0 implementation contained in this package is for storing
 and distributing Docker images. It supersedes the docker/docker-registry
 project with a new API design, focused around security and performance.
 .
 This project should provide an implementation to a V2 API for use in the Docker
 core project. The API should be embeddable and simplify the process of securely
 pulling and pushing content from docker daemons.
 .
 The new registry implementation provides the following benefits:
 .
  * faster push and pull
  * new, more efficient implementation
  * simplified deployment
  * pluggable storage backend
  * webhook notifications

Package: docker.io
Description-md5: 05dc9eba68f3bf418e6a0cf29d555878
Description-en: Linux container runtime
 Docker complements kernel namespacing with a high-level API which operates at
 the process level. It runs unix processes with strong guarantees of isolation
 and repeatability across servers.
 .
 Docker is a great building block for automating distributed systems:
 large-scale web deployments, database clusters, continuous deployment systems,
 private PaaS, service-oriented architectures, etc.
 .
 This package contains the daemon and client. Using docker.io on non-amd64 hosts
 is not supported at this time. Please be careful when using it on anything
 besides amd64.
 .
 Also, note that kernel version 3.8 or above is required for proper operation of
 the daemon process, and that any lower versions may have subtle and/or glaring
 issues.

Package: docker2aci
Description-md5: 02029ffd1640924c3782b43aa9a96528
Description-en: CLI tool to convert Docker images to ACIs
 docker2aci is a CLI binary that converts Docker images to ACI
 (https://github.com/appc/spec/blob/master/SPEC.md#app-container-image).
 It takes as input either a file generated by "docker save" or a Docker
 registry URL. It gets all the layers of a Docker image and squashes them
 into an ACI image. Optionally, it can generate one ACI for each layer,
 setting the correct dependencies.

Package: doclava-aosp
Description-md5: 5f2565a617fa1c6571fba6586e5e7dae
Description-en: Custom Javadoc doclet by Google
 Key differences between Doclava and the standard doclet include:
   * Refreshed look and feel, including search capabilities
   * Embeds versioning information in the documentation
   * Uses a templating engine for user customizations
   * Throw build errors for things that can easily be caught, like @param tags
     that don't match the parameter names.
   * Ability to include snippets of code from real source code
   * Federate documentation between multiple sites
   * Ability to embed javadocs in a larger web page.
 .
 This package is a fork of Doclava by The Android Open Source Project with
 special features for generating the source files of the Android platform
 framework API stubs "android.jar".

Package: doclifter
Description-md5: e6c344e2a112ca4b9b159bb91f99662a
Description-en: Convert troff to DocBook
 Lifting documents from presentation level to semantic level is hard,
 and a really good job requires human polishing.  This tool aims to do
 everything that can be mechanized, and to preserve any troff-level
 information that might have structural implications in XML comments.

Package: doctest
Description-md5: 404f6e768fb995bf2ee3802142a923b2
Description-en: test interactive Haskell examples; executable
 The doctest program checks examples in source code comments.
 It is modeled after doctest for Python
 (<http://docs.python.org/library/doctest.html>).
 .
 Documentation is at
 <https://github.com/sol/doctest-haskell#readme>.
 .
 This package contains the doctest executable.

Package: doctest-dev
Description-md5: 568f0110fe3436368309387b9008526a
Description-en: Light and feature-rich C++ testing framework
 doctest is a light and feature-rich C++98 / C++11 single-header testing
 framework for unit tests and TDD.
 .
 It is inspired by the unittest {} functionality of the D programming
 language and Python's docstrings - tests can be considered a form of
 documentation and should be able to reside near the production code
 which they test. This isn't possible (or at least practical) with any
 other testing framework for C++.

Package: doctorj
Description-md5: f6071599f84e9cde98649d75df3e754b
Description-en: Tool to analyze Javadoc comments
 doctorj is an application to analyze Javadoc comments against Java code,
 verifying its integrity and format. It also spell-checks Javadoc comments.

Package: docx2txt
Description-md5: e7b84e6818519d58953b8a8e9f87e99a
Description-en: Convert Microsoft OOXML files to plain text
 This tool attempts to generate equivalent plain text files from
 Microsoft .docx documents, preserving some formatting and document
 information (which MS text conversion drops) along with appropriate
 character conversions for a good (ascii or utf-8) text experience.
 It is a platform independent solution consisting of (core) Perl and
 (wrapper) Unix/Windows shell scripts and a configuration file to
 control the output text appearance to a fair extent.  It can very
 conveniently be used to build a Web-based docx document conversion
 service.  Some Makefiles and Windows batch files are provided for
 easy installation of the scripts.  With unzippers like CakeCmd that
 can deal with corrupt Zip archives, this tool can extract text from
 corrupt docx documents in many cases, where MS Word fails to even
 open them.

Package: dodgindiamond2
Description-md5: b141616614e1b0da06142076c0791f92
Description-en: Little shoot-'em-up arcade game for one or two players
 This aims to be an old school arcade game with low resolution graphics,
 top-down scrolling action, energy based gameplay, and different weapons
 with several levels of power.

Package: dodgy
Description-md5: 9a8eb912c1090dca7af9670ca5ad6738
Description-en: searches for dodgy looking lines in Python code
 Dodgy is a very basic tool to run against your codebase to search for "dodgy"
 looking values. It is a series of simple regular expressions designed to detect
 things such as accidental SCM diff checkins, or passwords or secret keys hard
 coded into files.

Package: dogtag-pki
Description-md5: cbcce3cc1e382e0f87104897d26570d5
Description-en: Dogtag Public Key Infrastructure (PKI) Suite
 The Dogtag Public Key Infrastructure (PKI) Suite is comprised of the following
 five subsystems and a client (for use by a Token Management System):
 .
   * Certificate Authority (CA)
   * Data Recovery Manager (DRM)
   * Online Certificate Status Protocol (OCSP) Manager
   * Token Key Service (TKS)
   * Token Processing System (TPS)
   * Enterprise Security Client (ESC)
 .
 Additionally, it provides a console GUI application used for server and
 user/group administration of CA, DRM, OCSP, and TKS, javadocs on portions
 of the Dogtag API, as well as various command-line tools used to assist with
 a PKI deployment.
 .
 This metapackage installs every PKI subsystem.

Package: dogtag-pki-console-theme
Description-md5: bb9a873d28ba131560d420dea72b61ad
Description-en: Certificate System - PKI Console User Interface
 This PKI Console User Interface contains the Dogtag textual
 and graphical user interface for the PKI Console.
 .
 This package is used by the Dogtag Certificate System.

Package: dogtag-pki-server-theme
Description-md5: f72645563c4a4214c4282716fdcca6c4
Description-en: Certificate System - PKI Server User Interface
 This PKI Common Framework User Interface contains the Dogtag
 textual and graphical user interface for the PKI Common Framework.
 .
 This package is used by the Dogtag Certificate System.

Package: dokujclient
Description-md5: afc5ad447683d6a5bb7f92b5988ec79d
Description-en: Command line tool to interact with an instance of Dokuwiki
 Command line tool which can be used interactively or in scripts, to
 interact (read, write, ...) with an instance of Dokuwiki.
 Nearly all the xmlrpc interface is mirrored, and all recent versions of
 Dokuwiki are supported.

Package: dokuwiki
Description-md5: 9be110fceaa730acc784dd3b3fd4abdb
Description-en: standards compliant simple to use wiki
 DokuWiki is a wiki mainly aimed at creating documentation of any kind.
 It is targeted at developer teams, workgroups and small companies. It
 has a simple but powerful syntax which makes sure the datafiles remain
 readable outside the wiki and eases the creation of structured texts.
 All data is stored in plain text files -- no database is required.

Package: dolfin-bin
Description-md5: 8319156332b1455d0d2d298062600604
Description-en: Executable scripts for DOLFIN
 DOLFIN is the Python and C++ interface of the FEniCS project for the
 automated solution of differential equations, providing a consistent
 PSE (Problem Solving Environment) for solving ordinary and partial
 differential equations. Key features include a simple, consistent and
 intuitive object-oriented API; automatic and efficient evaluation of
 variational forms; automatic and efficient assembly of linear
 systems; and support for general families of finite elements.
 .
 This package contains executable scripts for DOLFIN.

Package: dolfin-doc
Description-md5: 6d80f35b15cb807f29f485694db24fae
Description-en: Documentation and demo programs for DOLFIN
 DOLFIN is the Python and C++ interface of the FEniCS project for the
 automated solution of differential equations, providing a consistent
 PSE (Problem Solving Environment) for solving ordinary and partial
 differential equations. Key features include a simple, consistent and
 intuitive object-oriented API; automatic and efficient evaluation of
 variational forms; automatic and efficient assembly of linear
 systems; and support for general families of finite elements.
 .
 This package contains documentation and demo programs for DOLFIN.

Package: dolphin
Description-md5: 5639ca651f29a0317186a35d903400af
Description-en: file manager
 Dolphin is the default file manager in the Plasma, intended
 to be both powerful and easy to use.
 .
 Features include:
   Customisable sidebars
   "Breadcrumb" navigation
   View properties remembered for each folder
   Split views
   Network transparency
   Undo/redo functionality
   Ratings, comments, and tags

Package: dolphin-dev
Description-md5: 9823ee4d18f2ebfc7ca29c6ae2d81179
Description-en: file manager - development files
 Contains DBus interface XML files to access dolphin via the DBus
 org.freedesktop.FileManager1 interface.

Package: dolphin-emu
Description-md5: 3a44a2d181ababa7e9a5d6deca0fe4b3
Description-en: Gamecube and Wii emulator
 Dolphin is an emulator for two recent Nintendo video game consoles: the
 GameCube and the Wii. It allows PC gamers to enjoy games for these two
 consoles in full HD (1080p) with several enhancements: compatibility with all
 PC controllers, turbo speed, networked multiplayer, and even more.

Package: dolphin-emu-data
Description-md5: 8727f82dada3878530c00a00381d0555
Description-en: Gamecube and Wii emulator - data files
 Dolphin is an emulator for two recent Nintendo video game consoles: the
 GameCube and the Wii. It allows PC gamers to enjoy games for these two
 consoles in full HD (1080p) with several enhancements: compatibility with all
 PC controllers, turbo speed, networked multiplayer, and even more.
 .
 This package contains the platform independent data files for Dolphin.

Package: dolphin-nextcloud
Description-md5: dfaf233805cd565efb312a3460a9ade5
Description-en: Nextcloud integration for Dolphin
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 Dolphin Nextcloud is an extension that integrates the Nextcloud web service
 with your Plasma Desktop (KDE).

Package: dolphin-owncloud
Description-md5: bbf14fc171201eecc8bc013dde23745d
Description-en: ownCloud integration for Dolphin
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 Dolphin ownCloud is an extension that integrates the ownCloud web service with
 your Plasma Desktop (KDE).

Package: dolphin-plugins
Description-md5: 0e49872b95391fa0d4809def4c83e17d
Description-en: plugins for Dolphin
 This package contains plugins for Dolphin that enhance its functionalities.
 .
 There are plugins that offer integration with the following version control
 systems:
 .
  * Bzr
  * Git
  * Mercurial
  * Subversion
 .
 Also, there are plugins to offer integration with the following services:
 .
  * Dropbox
 .
 This package is part of the KDE Software Development Kit module.

Package: donkey
Description-md5: f8c66e1943ef8169f9166a9faf4e69eb
Description-en: One Time Password calculator
 Donkey is an alternative for S/KEY's "key" command.  This means that donkey
 is also an alternative for "keyinit".  Since the entry is printed to stdout
 (not to /etc/skeykeys), you can easily send it to a remote operator by e-mail
 (with a PGP signature or something). So, it is possible to initiate S/KEY
 without logging onto the console of the host.

Package: doodle
Description-md5: 30624950c0e5d7f340e226e30cc0815e
Description-en: Desktop Search Engine (client)
 It searches your hard drive for files using pattern matching on meta-data. It
 extracts file-format specific meta-data using libextractor and builds a suffix
 tree to index the files. The index can then be searched rapidly. It is similar
 to locate, but can take advantage of information such as ID3 tags. It is
 possible to do full-text indexing using the appropriate libextractor plugins.
 It also supports using FAM to keep the database up-to-date.
 .
 You can use doodled (separate package) to keep the doodle database
 automagically updated.

Package: doodle-dbg
Description-md5: f5d2ed10bca794fc6d25fa39f9e5cfe5
Description-en: Desktop Search Engine (debug)
 It searches your hard drive for files using pattern matching on meta-data. It
 extracts file-format specific meta-data using libextractor and builds a suffix
 tree to index the files. The index can then be searched rapidly. It is similar
 to locate, but can take advantage of information such as ID3 tags. It is
 possible to do full-text indexing using the appropriate libextractor plugins.
 It also supports using FAM to keep the database up-to-date.
 .
 This package contains the debugging symbols.

Package: doodled
Description-md5: 09cff5cee372c8867a9149e9b3b51c3d
Description-en: Desktop Search Engine (daemon)
 It searches your hard drive for files using pattern matching on meta-data. It
 extracts file-format specific meta-data using libextractor and builds a suffix
 tree to index the files. The index can then be searched rapidly. It is similar
 to locate, but can take advantage of information such as ID3 tags. It is
 possible to do full-text indexing using the appropriate libextractor plugins.
 It also supports using FAM to keep the database up-to-date.
 .
 This is the daemon to keep the doodle database automagically up-to-date.

Package: doomsday
Description-md5: 00fdae866631bd888d704cc248368a0a
Description-en: enhanced version of the legendary DOOM game
 The purpose of the Doomsday Engine project is to create versions of DOOM,
 Heretic and Hexen that feel the same as the original games but are implemented
 using modern techniques such as 3D graphics and client/server networking. A
 lot of emphasis is placed on good-looking graphics.
 .
 Doomsday Engine (or deng for short) requires an IWAD to play. You can install
 your commercial IWADs using game-data-packager.

Package: doomsday-common
Description-md5: b1cac4e87f385a7cf3141c4fc785b92b
Description-en: enhanced version of the legendary DOOM game - common files
 The purpose of the Doomsday Engine project is to create versions of DOOM,
 Heretic and Hexen that feel the same as the original games but are implemented
 using modern techniques such as 3D graphics and client/server networking. A
 lot of emphasis is placed on good-looking graphics.
 .
 This package includes files common to both server and client packages.

Package: doomsday-data
Description-md5: ae4d4961976d5cdf7f2f0603e6677b88
Description-en: enhanced version of the legendary DOOM game - data files
 The purpose of the Doomsday Engine project is to create versions of DOOM,
 Heretic and Hexen that feel the same as the original games but are implemented
 using modern techniques such as 3D graphics and client/server networking. A
 lot of emphasis is placed on good-looking graphics.
 .
 This package includes architecture-independent data files.

Package: doomsday-server
Description-md5: 2265d4f5d3c80e23592c6f3f87693ff9
Description-en: enhanced version of the legendary DOOM game - server
 The purpose of the Doomsday Engine project is to create versions of DOOM,
 Heretic and Hexen that feel the same as the original games but are implemented
 using modern techniques such as 3D graphics and client/server networking. A
 lot of emphasis is placed on good-looking graphics.
 .
 This package contains the dedicated server.

Package: doona
Description-md5: fd903e2675fc007677179e677c63d857
Description-en: Network fuzzer forked from bed
 Doona is a fork of the Bruteforce Exploit Detector Tool (BED).
 BED is a program which is designed to check daemons for
 potential buffer overflows, format string bugs etc.

Package: dopewars
Description-md5: c610963512bb61dda22f7834bac0f290
Description-en: drug-dealing game set in streets of New York City
 UNIX rewrite of the MS-DOS program of the same name, which in turn was
 inspired by John E. Dell's "Drug Wars" game. You have one month to buy and
 sell drugs on the streets of New York, the aim being first to pay off your
 debt to the loan shark and then to make a fortune. And if you have to shoot
 a few cops in the process, well... The game includes TCP networking allowing
 you to meet (and shoot) other human drug dealers.

Package: dopewars-data
Description-md5: 7f37ebdd23637d987ce1321cf97907e7
Description-en: drug-dealing game set in streets of New York City - data files
 UNIX rewrite of the MS-DOS program of the same name, which in turn was
 inspired by John E. Dell's "Drug Wars" game. You have one month to buy and
 sell drugs on the streets of New York, the aim being first to pay off your
 debt to the loan shark and then to make a fortune. And if you have to shoot
 a few cops in the process, well... The game includes TCP networking allowing
 you to meet (and shoot) other human drug dealers.
 .
 This package contains the required data files common to all architectures.

Package: dos2unix
Description-md5: de26f1b3d43636b1499a335199109277
Description-en: convert text file line endings between CRLF and LF
 This package contains utilities dos2unix, unix2dos, mac2unix,
 unix2mac to convert the line endings of text files between UNIX (LF),
 DOS (CRLF) and Mac (CR) formats.
 .
 Text files under Windows and DOS typically have two ASCII characters
 at the end of each line: CR (carriage return) followed by LF (line
 feed). Older Macs used just CR, while UNIX uses just LF. While most
 modern editors can read all these formats, there may still be a need
 to convert files between them.
 .
 This is the classic utility developed in 1989.

Package: dosage
Description-md5: bb08aa349a9c9684c9bea85df31c3990
Description-en: comic strip downloader and archiver
 Dosage downloads comic strips. It can retrieve just the latest
 strip in a comic, catch-up to the last strip downloaded, or download a
 strip for a particular date/index if the website layout allows it.

Package: dosbox
Description-md5: bf24db8d2283b937c9ed1ed4eb441903
Description-en: x86 emulator with Tandy/Herc/CGA/EGA/VGA/SVGA graphics, sound and DOS
 DOSBox is a x86 emulator with Tandy/Hercules/CGA/EGA/VGA/SVGA graphics,
 sound and DOS. It's been designed to run old DOS games on platforms that
 don't support it.
 .
 The following legacy sound devices are emulated: PC Speaker, Creative
 CMS/Gameblaster, Tandy 3 voice, Adlib, Sound Blaster Pro/16,
 Disney Soundsource and a Gravis Ultrasound. MPU-401 is forwarded to
 the host.

Package: dosbox-debug
Description-md5: a94697946a3df15cea4a3d53c7c7e046
Description-en: x86 emulator with DOS - debugger
 DOSBox is a x86 emulator with Tandy/Hercules/CGA/EGA/VGA/SVGA graphics,
 sound and DOS. It's been designed to run old DOS games on platforms that
 don't support it.
 .
 The following legacy sound devices are emulated: PC Speaker, Creative
 CMS/Gameblaster, Tandy 3 voice, Adlib, Sound Blaster Pro/16,
 Disney Soundsource and a Gravis Ultrasound. MPU-401 is forwarded to
 the host.
 .
 This package contains the DOSBox debugger.

Package: doscan
Description-md5: 29dff9404994cad52150f00347e6e8c3
Description-en: port scanner for discovering services on large networks
 doscan is a tool to discover TCP services on your network. It is
 designed for scanning a single ports on a large network.  doscan
 contacts many hosts in parallel, using standard TCP sockets provided
 by the operating system.  It is possible to send strings to remote
 hosts, and collect the banners they return.
 .
 There are better tools for scanning many ports on a small set of
 hosts, for example nmap.

Package: doschk
Description-md5: a0bc6c87988c2b63e4637f36be8038e7
Description-en: SYSV and DOS filename conflicts check
 Checks filenames for conflicts under 14-character SYSV and
 8.3 DOS limitations.

Package: dose-builddebcheck
Description-md5: a0e3c3577dea8696e672646bb139ac3e
Description-en: Checks whether build-dependencies can be satisfied
 This software checks, given a collection of source package stanzas
 and a collection of binary package stanzas of Debian packages, whether
 the build-dependencies of each source package can be satisfied by the
 binary packages.

Package: dose-distcheck
Description-md5: 2715c55e164fd097abdc6437f82f0f66
Description-en: Checks whether dependencies of packages can be satisfied
 This software checks for every package of a distribution whether it
 is possible to satisfy its dependencies and conflicts within this
 distribution. It comes in several versions:
  - dose-debcheck for Debian packages
  - dose-rpmcheck for rpm packages
  - dose-eclipsecheck for OSGi plugins

Package: dose-doc
Description-md5: e8e279d4e57cc7ab991d1b7b7b79d285
Description-en: Documentation for dose tools and libraries.
 The dose suite provides libraries for handling package meta-data, and various
 tools for analyzing package relationships in a large package repository.
 This package contains the documentation of the dose API, and tutorials of
 various dose tools.

Package: dose-extra
Description-md5: 21c020291e5e0bcc86762063c9ace0c9
Description-en: Extra QA tools from the Dose3-library
 This package contains extra tools for analyzing meta-data of software
 packages:
  - ceve, a general metadata parser supporting different input formats
    (Debian, rpm, and others) and different output formats.
  - dose-outdated, a Debian-specific tool for finding packages that are not
    installable with respect to a package repository, and that can only be
    made installable again by fixing the package itself.
  - dose-challenged, a Debian-specific tool for checking which packages
    will certainly become uninstallable when some existing package is upgraded
    to a newer version.
  - dose-deb-coinstall, a Debian-specific tool for checking whether a set of
    packages can be installed all together.
 The tools dose-distcheck and dose-builddebcheck are packaged in their
 own respective packages.

Package: dossizola
Description-md5: ce2d8c9c0286b079c93bd2d5c05953d6
Description-en: Isola board game with nice graphics
 Do'SSi Zo'la is an SDL implementation of the Isola board game,
 featuring nice animations, which makes it appealing to children.
 .
 The goal of the basic Isola game is to block the opponent by
 destroying the squares which surround him. In each turn, each player
 must first move to one of the squares adjacent to his current
 position, and then destroy a square of his choice. The first player
 who is unable to move loses.
 .
 Do'SSi Zo'la provides a number of variations of the standard rules.

Package: dossizola-data
Description-md5: 30077eeffcc7b89bc449ec64b4e4f159
Description-en: Data files for Do'SSi Zo'la game
 Do'SSi Zo'la is an SDL implementation of the Isola board game,
 featuring nice animations, which makes it appealing to children.
 .
 The goal of the basic Isola game is to block the opponent by
 destroying the squares which surround him. In each turn, each player
 must first move to one of the squares adjacent to his current
 position, and then destroy a square of his choice. The first player
 who is unable to move loses.
 .
 This package holds the images used by the Do'SSi Zo'la board game.

Package: dot-forward
Description-md5: 7198c1e718fcb600893613d2e2d36092
Description-en: reads sendmail's .forward files under qmail
 It runs in the qmail startup script to support all your existing .forward
 files automatically.  Individual users can switch to the .qmail mechanism
 at their leisure.
 .
 dot-forward supports forwarding, program deliveries, and comments.  It
 does not support file deliveries or :include: (However, it recognizes
 file delivery attempts, and defers delivery to give you a chance to set up
 a .qmail file).

Package: dot2tex
Description-md5: ed8cebc8844f9f26ab1b3ee36557dcfc
Description-en: Graphviz to LaTeX converter
 The purpose of dot2tex is to give graphs generated by the graph layout tool
 Graphviz a more LaTeX friendly look and feel. This is accomplished by:
 .
  - Using native PSTricks and PGF/TikZ commands for drawing arrows,
    edges and nodes.
  - Typesetting labels with LaTeX, allowing mathematical notation.
  - Using backend specific styles to customize the output.

Package: dotdee
Description-md5: 5ae19f14a2074fd7487a117bfb1f56a5
Description-en: convert a flat file to a file concatenated from a .d-style directory
 dotdee is a handy utility for converting a single flat text file to a
 symlink to a file constructed by concatenating a set of files in .d-style
 directory.

Package: dothost
Description-md5: 739075a3eb2da9fc12bf94f39e45cad8
Description-en: simple DNS lookup network utility, produces output in Graphviz format
 Dothost is a Domain Name System (DNS) lookup network utility
 that produces output in Graphviz format. Supported output
 formats are: ascii, boxart, html, svg, dot, txt, vcg, gdl,
 graphml, gif, jpg, pdf, png and ps. It's a tool that display
 DNS records in the terminal or export to a file.
 .
 This program can help you to create DNS lookup diagrams in some
 formats for large networks.
 .
 Target name or IP can be used for DNS outputs. Dothost supports
 IPv4 and IPv6 network protocols.

Package: dotmcp
Description-md5: bc34e1c4a2888b3075a97e517f3fc35a
Description-en: mcp for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a mcp for s3d.

Package: dotter
Description-md5: 1d7608760070557080119ec03dfbc075
Description-en: detailed comparison of two genomic sequences
 Dotter is a graphical dot-matrix program for detailed comparison of two
 sequences.
 .
  * Every residue in one sequence is compared to every residue in the
    other, and a matrix of scores is calculated.
  * One sequence is plotted on the x-axis and the other on the y-axis.
  * Noise is filtered out so that alignments appear as diagonal lines.
  * Pairwise scores are averaged over a sliding window to make the score
    matrix more intelligible.
  * The averaged score matrix forms a three-dimensional landscape,
    with the two sequences in two dimensions and the height of the
    peaks in the third. This landscape is projected onto two
    dimensions using a grey-scale image - the darker grey of a peak,
    the higher the score is.
  * The contrast and threshold of the grey-scale image can be adjusted
    interactively, without having to recalculate the score matrix.
  * An Alignment Tool is provided to examine the sequence alignment that
    the grey-scale image represents.
  * Known high-scoring pairs can be loaded from a GFF file and overlaid
    onto the plot.
  * Gene models can be loaded from GFF and displayed alongside the
    relevant axis.
  * Compare a sequence against itself to find internal repeats.
  * Find overlaps between multiple sequences by making a dot-plot of all
    sequences versus themselves.
  * Run Dotter in batch mode to create large, time-consuming dot-plots as
    a background process.

Package: doublecmd-common
Description-md5: 3983530331d9a44c0bd4afe6b7a027f2
Description-en: twin-panel (commander-style) file manager
 Double Commander is a cross platform open source file manager
 with two panels side by side. It is inspired by Total Commander
 and features some new ideas.
 .
 Support for RAR archives can be enabled by installing the
 libunrar-dev package from non-free.
 .
 This package contains common files.

Package: doublecmd-gtk
Description-md5: dac311435f0a23d1d33f03dfc5018aa6
Description-en: twin-panel (commander-style) file manager (GTK2)
 Double Commander is a cross platform open source file manager
 with two panels side by side. It is inspired by Total Commander
 and features some new ideas.
 .
 Support for RAR archives can be enabled by installing the
 libunrar5 package from non-free.
 .
 This package contains the GTK2 user interface.

Package: doublecmd-help-en
Description-md5: 07d53afa3f1ae3954fa5b5d37ba9a03e
Description-en: Documentation for Double Commander (English)
 This package contains the documentation files for the Double Commander
 designed to be viewed in an external web browser.
 .
 This package contains the English documentation.

Package: doublecmd-help-ru
Description-md5: db3a6087bc078a8d7ed943d70a90cf84
Description-en: Documentation for Double Commander (Russian)
 This package contains the documentation files for the Double Commander
 designed to be viewed in an external web browser.
 .
 This package contains the Russian documentation.

Package: doublecmd-help-uk
Description-md5: b2ef3c6add7abeb9487cb590ab7e2b61
Description-en: Documentation for Double Commander (Ukrainian)
 This package contains the documentation files for the Double Commander
 designed to be viewed in an external web browser.
 .
 This package contains the Ukranian documentation.

Package: doublecmd-plugins
Description-md5: 45f8341baf8d6c697ddc043a0920f3c7
Description-en: twin-panel (commander-style) file manager (plugins)
 Double Commander is a cross platform open source file manager
 with two panels side by side. It is inspired by Total Commander
 and features some new ideas.
 .
 Support for RAR archives can be enabled by installing the
 libunrar5 package from non-free.
 .
 This package contains plugins.

Package: doublecmd-qt
Description-md5: 8eebd2ddbadd05cb9e24e56a2c9d6b8c
Description-en: twin-panel (commander-style) file manager (Qt5)
 Double Commander is a cross platform open source file manager
 with two panels side by side. It is inspired by Total Commander
 and features some new ideas.
 .
 Support for RAR archives can be enabled by installing the
 libunrar5 package from non-free.
 .
 This package contains the Qt5 user interface.

Package: dov4l
Description-md5: f9d094d1aa76eaa443a10817c5a6bbaa
Description-en: program to set and query settings of video4linux devices
 The dov4l program can set properties such as frequency, tuner,
 inputchannel, mode, brightness, hue, color, contrast, whiteness,
 palette, width, and height of a video4linux device.  It can also
 query current settings.

Package: dovecot-antispam
Description-md5: 2af6fbf67cd5fa9db11896b40caa1a05
Description-en: Dovecot plugins for training spam filters
 dovecot-antispam watches designated spam folders and trains mail as spam or
 not-spam when it moves in or out of those folders respectively.
 .
 dovecot-antispam supports dspam, crm114, and generic training by mailing spam
 and non-spam to training addresses.  For crm114, it supports folders for the
 additional "unsure" classification, and trains when moving from there to spam
 or normal folders.

Package: dovecot-auth-lua
Description-md5: 800c615fe5c4774792fcc59581863459
Description-en: secure POP3/IMAP server - Lua authentication plugin
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package contains an authentication plugin allowing password and user
 databases to be implemented in Lua.

Package: dovecot-gssapi
Description-md5: 9f4b2fcb5aebf7d757c3b91ec594fd37
Description-en: secure POP3/IMAP server - GSSAPI support
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package provides GSSAPI authentication support for Dovecot.

Package: dovecot-ldap
Description-md5: cf9a560992c979e66145b609891e191d
Description-en: secure POP3/IMAP server - LDAP support
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package provides LDAP support for Dovecot.

Package: dovecot-lmtpd
Description-md5: 1f512867746b7f058518f80e369c13d8
Description-en: secure POP3/IMAP server - LMTP server
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package contains the Dovecot LMTP server.

Package: dovecot-lucene
Description-md5: 9e0fe0de118fadcbc542bda8dec379fe
Description-en: secure POP3/IMAP server - Lucene support
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package provides Lucene full text search support for Dovecot.

Package: dovecot-managesieved
Description-md5: 9c22507acd609a92f0a6377258e2d338
Description-en: secure POP3/IMAP server - ManageSieve server
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package contains the Dovecot ManageSieve server.

Package: dovecot-mysql
Description-md5: a603c5fc8628574f9890bfe63a43615d
Description-en: secure POP3/IMAP server - MySQL support
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package provides MySQL support for Dovecot.

Package: dovecot-pgsql
Description-md5: e8aa6d8fe1c38481139bebe08f38c035
Description-en: secure POP3/IMAP server - PostgreSQL support
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package provides PostgreSQL support for Dovecot.

Package: dovecot-sieve
Description-md5: 70234206edc75d829fdb3617a653da24
Description-en: secure POP3/IMAP server - Sieve filters support
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package provides Sieve filters support for Dovecot.

Package: dovecot-solr
Description-md5: 26b94d4d2bce4be7a79f1a90218de82c
Description-en: secure POP3/IMAP server - Solr support
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package provides Solr full text search support for Dovecot.

Package: dovecot-sqlite
Description-md5: 84d239fb9502af48d31d27798fb5a82b
Description-en: secure POP3/IMAP server - SQLite support
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package provides SQLite support for Dovecot.

Package: dovecot-submissiond
Description-md5: b7dc853a1d902701365098db008dcd75
Description-en: secure POP3/IMAP server - mail submission agent
 Dovecot is a mail server whose major goals are security and extreme
 reliability. It tries very hard to handle all error conditions and verify
 that all data is valid, making it nearly impossible to crash. It supports
 mbox/Maildir and its own dbox/mdbox formats, and should also be pretty
 fast, extensible, and portable.
 .
 This package contains the Dovecot Mail Submission Agent which implements a
 basic SMTP submission service with BURL support.

Package: downtimed
Description-md5: 7877e707ca5a56a75af1f3a264a60459
Description-en: monitor of downtime, shutdown, and crashes
 Downtimed is a daemon intended to monitor and record the times
 an operating system is non-functional.  It does this by keeping
 a simple database file and by regularly recording time stamps.
 A client executable reads the database to account for the lengths
 of periods when the system was down.

Package: doxygen
Description-md5: 492da4d72df8e1b313e0a62be4fae0e9
Description-en: Documentation system for C, C++, Java, Python and other languages
 Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL
 and to some extent PHP, C#, and D.  It can generate an on-line class browser
 (in HTML) and/or an off-line reference manual (in LaTeX) from a set of
 documented source files. There is also support for generating man pages
 and for converting the generated output into Postscript, hyperlinked PDF
 or compressed HTML.  The documentation is extracted directly from the sources.
 .
 Install the doxygen-latex package to build LaTeX based documents.

Package: doxygen-doc
Description-md5: 1a0ed9c778e08000a16012fcc38a3def
Description-en: Documentation for doxygen
 Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL
 and to some extent PHP, C#, and D.  It can generate an on-line class browser
 (in HTML) and/or an off-line reference manual (in LaTeX) from a set of
 documented source files. There is also support for generating man pages
 and for converting the generated output into Postscript, hyperlinked PDF
 or compressed HTML. The documentation is extracted directly from the sources.
 .
 This package contains the documentation for doxygen.

Package: doxygen-doxyparse
Description-md5: ef1e4d6e0f071109ab336234e2e144ab
Description-en: multi-language source code parser based on Doxygen
 Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL
 and to some extent PHP, C#, and D. Doxyparse builds on doxygen's great source
 code parsing infrastructure and provides a command-line tool that can be used
 to obtain information from source code, such as:
 .
  * which functions/methods and variables/attributes a module/class contains
  * which functions/methods calls/uses which functions/methods/variables
  * etc
 .
 doxyparses's main goal is to be used by higher-level source code analysis
 tools.
 .
 This package contains doxyparse binary, a source code parser based on Doxygen

Package: doxygen-gui
Description-md5: e08544000e9ffded7326f004c0d29510
Description-en: GUI configuration tool for doxygen
 Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL
 and to some extent PHP, C#, and D.  It can generate an on-line class browser
 (in HTML) and/or an off-line reference manual (in LaTeX) from a set of
 documented source files. There is also support for generating man pages
 and for converting the generated output into Postscript, hyperlinked PDF
 or compressed HTML. The documentation is extracted directly from the sources.
 .
 This package contains doxywizard, a GUI configuration tool for doxygen.

Package: doxygen-latex
Description-md5: 0ef72aac65162cbb800870e839494cf1
Description-en: Documentation system for C, C++, Java, Python and other languages
 Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL
 and to some extent PHP, C#, and D.  It can generate an on-line class browser
 (in HTML) and/or an off-line reference manual (in LaTeX) from a set of
 documented source files.
 .
 This dependency package adds dependencies for all LaTeX packages required
 to build documents using the default stylesheet.

Package: doxyqml
Description-md5: c62e4bf60cad7aad89050b69acdc84c3
Description-en: QML filter for Doxygen
 Doxyqml is an input filter for Doxygen, a documentation system for C++
 and a few other languages.
 .
 Doxyqml makes it possible to use Doxygen to document QML files.

Package: dozzaqueux
Description-md5: c4f3b12f5734277fdc89af50432906de
Description-en: simulator for chemical mixtures
 just choose reactants to put in the beaker, those to put in the burette,
 dozzaqueux plots the concentrations vs. the mixed volume, and many more.

Package: dozzaqueux-data
Description-md5: 14fe1819413dfef634354d182aabd068
Description-en: databases for chemical mixtures
 These databases are used by dozzaqueux, which is an interactive chemical
 simulator for aqueous solutions. They can be used for other purposes too.

Package: dpatch
Description-md5: 286f2861a86b05464a69997c4054a622
Description-en: patch maintenance system for Debian source packages
 dpatch is an easy to use patch system for Debian packages, somewhat
 similar to the dbs package, but much simpler to use.
 .
 It lets you store patches and other simple customization templates in
 debian/patches and otherwise does not require much reorganization of
 your source tree. To get the patches applied at build time you simply
 need to include a makefile snippet and then depend on the
 patch/unpatch target in the build or clean stage of debian/rules - or
 you can use the dpatch patching script directly.
 .
 It can easily apply patches only on specific architectures if needed.

Package: dpdk-dev
Description-md5: 2bac84865b00597889092d76d90f8114
Description-en: Data Plane Development Kit (dev tools)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains tools useful to a developer and for dpdk self tests.

Package: dpdk-doc
Description-md5: 8460e56e632f9ddf05320944330e906b
Description-en: Data Plane Development Kit (documentation)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the complete set of documentation and guides.

Package: dpdk-igb-uio-dkms
Description-md5: 9dabcdfb5c92f78c3bf352576e670063
Description-en: Data Plane Development Kit (igb uio dkms)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the source code for the igb_uio kernel module.

Package: dpf-plugins
Description-md5: 9033cff0c7dfdd88a116af6de91cdcf0
Description-en: Audio plugin collection from DISTRHO (metapackage)
 Metapackage pulling-in collection of DPF-based plugins in
 LADSPA, DSSI, LV2 and VST2 formats.
 .
 The list of plugins/packs are:
  - glBars
  - Kars
  - Mini-Series (3BandEQ, 3BandSplitter, PingPongPan)
  - ndc-Plugs (Amplitude Imposer, Cycle Shifter, Soul Force)
  - MVerb
  - Nekobi
  - ProM

Package: dpf-plugins-common
Description-md5: 637bcba5b29b48e7d60a3cc033c5d834
Description-en: Audio plugin collection from DISTRHO (common files)
 Common files for collection of DPF-based plugins in
 LADSPA, DSSI, LV2 and VST2 formats.
 .
 The list of plugins/packs are:
  - glBars
  - Kars
  - Mini-Series (3BandEQ, 3BandSplitter, PingPongPan)
  - ndc-Plugs (Amplitude Imposer, Cycle Shifter, Soul Force)
  - MVerb
  - Nekobi
  - ProM

Package: dpf-plugins-dssi
Description-md5: da1452b4b366812b36128ba23736407d
Description-en: Audio plugin collection from DISTRHO (DSSI plugins)
 Collection of DPF-based plugins in DSSI format.
 .
 The list of plugins/packs are:
  - glBars
  - Kars
  - Mini-Series (3BandEQ, 3BandSplitter, PingPongPan)
  - ndc-Plugs (Amplitude Imposer, Cycle Shifter, Soul Force)
  - MVerb
  - Nekobi
  - ProM

Package: dpf-plugins-ladspa
Description-md5: 9e79808e0ef36a8c231d1a2e9114d617
Description-en: Audio plugin collection from DISTRHO (LADSPA plugins)
 Collection of DPF-based plugins in LADSPA format.
 .
 The list of plugins/packs are:
  - glBars
  - Kars
  - Mini-Series (3BandEQ, 3BandSplitter, PingPongPan)
  - ndc-Plugs (Amplitude Imposer, Cycle Shifter, Soul Force)
  - MVerb
  - Nekobi
  - ProM

Package: dpf-plugins-lv2
Description-md5: 2d8cadaba6b81807d68e4ffd9da19f17
Description-en: Audio plugin collection from DISTRHO (LV2 plugins)
 Collection of DPF-based plugins in LV2 format.
 .
 The list of plugins/packs are:
  - glBars
  - Kars
  - Mini-Series (3BandEQ, 3BandSplitter, PingPongPan)
  - ndc-Plugs (Amplitude Imposer, Cycle Shifter, Soul Force)
  - MVerb
  - Nekobi
  - ProM

Package: dpf-plugins-vst
Description-md5: eac1d8da177b47fb28c179a10a9428d7
Description-en: Audio plugin collection from DISTRHO (VST2 plugins)
 Collection of DPF-based plugins in LV2 format.
 .
 The list of plugins/packs are:
  - glBars
  - Kars
  - Mini-Series (3BandEQ, 3BandSplitter, PingPongPan)
  - ndc-Plugs (Amplitude Imposer, Cycle Shifter, Soul Force)
  - MVerb
  - Nekobi
  - ProM

Package: dphys-config
Description-md5: 142adbea4771ecfbea45277968fc7160
Description-en: Tool to distribute config files by fetching them
 This project is aimed at automatically installing (and keeping
 update) site specific config files on many hosts, after preprocessing
 them (conditional content and include files and include sections).
 .
 It's installed on the client receiving the configuration files and
 fetches them regularly via cron-jobs or on demand from the
 commandline.
 .
 It also triggers postinstall scripts whenever their associated config
 file has been changed. It can also remove config files, including
 running an preremove script before doing so. All this is driven by an
 simple config file list.
 .
 dphys-config is capable of reporting update failure or success to a
 Xymon (formerly Hobbit) monitoring server.
 .
 dphys-config features an interactive mode and a non-interactive diff
 mode to check what would be updated.

Package: dphys-swapfile
Description-md5: b00608070510dc33cb909eeb3fb6aa5f
Description-en: Autogenerate and use a swap file
 This init.d script exists so one does not need to have a fixed size
 swap partition. Instead install without swap partition and then run this,
 with file size (re-)computed automatically to fit the current RAM size.
 .
 By default (and hence on installation) it creates swapfile twice as
 big as the present RAM amount with an upper limit of 2 GB.
 .
 It's also very helpful when included in SD card, USB stick or disk
 images which are distributed and run on many machines with different
 amount of RAM, e.g. different models of the Raspberry Pi or cloud
 images.

Package: dpic
Description-md5: 99d9b2ed0b020b2d8db26ac7309e11d1
Description-en: pic-language converter to LaTeX-compatible and other formats
 dpic accepts a tight subset of the pic drawing language accepted
 by GNU pic (sometimes named gpic) or AT&T pic, and emits lower-level
 drawing commands for insertion into LaTeX documents, for processing
 by the xfig or Inkscape drawing tools, or for direct display as
 encapsulated Postscript, PDF, or SVG. LaTeX-compatible output can
 contain arbitrary text for formatting. Commands to be passed through
 to the postprocessor (PSTricks, Tikz-pgf, etc.) can be included.

Package: dpkg-awk
Description-md5: b4a65e4a0692c63b001734a66a369c21
Description-en: Gawk script to parse /var/lib/dpkg/{status,available} and Packages
 This script can parse the dpkg database files. It can do regular
 expressions on the fields, and only get what you want. It can also be
 made to output certain fields. As an added bonus, there is an option
 to sort the output.
 .
 'dpkg-awk "Status: .* installed$"  -- Package Version Status' will
 output all installed packages, with only the listed fields.
 'dpkg-awk -f=/var/lib/dpkg/available "Package:^[aA].*" -- Package Version'
 will output all available packages that start with the letter 'A.'

Package: dpkg-dev-el
Description-md5: db15fe9904157b5d34de9b9a4f860027
Description-en: Transition package, dpkg-dev-el to elpa-dpkg-dev-el
 The dpkg-dev-el emacs addon has been elpafied.  This dummy package
 helps ease transition from dpkg-dev-el to elpa-dpkg-dev-el.

Package: dpkg-sig
Description-md5: edb714179503f52a07c4eb9b4660e848
Description-en: create and verify signatures on .deb-files
 dpkg-sig is a low-level tool for creation and verification of
 signature on Debian binary packages (.deb-files).
 .
 The created signed packages are strict compatible with dpkg and the
 apt-utils.

Package: dpkg-source-gitarchive
Description-md5: f57af2d95e3b3f5d090316112d9adb55
Description-en: dpkg source format for git repositories
 Defines an additional dpkg source format for use with plain git
 repositories.
 .
 Install this package if you want to use the external "3.0 (gitarchive)"
 source format.

Package: dpkg-www
Description-md5: 421691801418401754f1cd59b864533a
Description-en: Debian package management web interface
 With the dpkg cgi-bin you can browse Debian packages on a local or
 remote host using a normal web browser.
 .
 You can list packages, show package information and installed files,
 browse documentation and navigate through the packages dependencies.
 You can also find all the packages owners of a file or directory or
 those providing a virtual package.
 .
 If you are the system administrator you can also install or remove
 packages by clicking on the buttons found in the package info page,
 provided that this feature has been enabled and you have properly
 configured your web browser.

Package: dpo-tools
Description-md5: 12316651cc86f3a7cd628378419298fc
Description-en: set of scripts that can aid in the translation of podebconf files
 dpo-tools is a set of shell scripts that can aid in the translation of
 Debian's podebconf files done by Brazilian Portuguese Team.
 .
 dpo-tools does not dictate any rule of how translations should be done,
 it just tries to reflect the conventions used in the mailing list
 debian-l10n-portuguese@lists.debian.org list.

Package: dpt-i2o-raidutils
Description-md5: ab7d769d4a74db298349843ebb174010
Description-en: Adaptec I2O hardware RAID management utilities
 Suite of programs to manage some I2O-compliant RAID controllers; in
 particular some Adaptec hardware; to be very specific those using the
 dpt_i2o kernel module or CONFIG_SCSI_DPT_I2O driver, or (for more
 recent kernels) the i2o_block and i2o_config modules, or
 CONFIG_I2O_BLOCK and CONFIG_I2O_CONFIG drivers.  One can
 create/delete a RAID array, add/remove a hot spare drive to/from an
 array, activate/silence the alarm, and query array/disk status.

Package: dpuser
Description-md5: e2acadf5cacb3cfe6038b85fe38efeed
Description-en: Interactive language for handling numbers, strings, and matrices
 DPUSER is an interactive language capable of handling numbers (both real and
 complex), strings, and matrices. Its main aim is to do astronomical image
 analysis, for which it provides a comprehensive set of functions, but it can
 also be used for many other applications.
 .
 Note that this package was compiled with the giza library instead of PGPLOT,
 since the latter is non-free software.

Package: dpuser-doc
Description-md5: cbaa7061a7587bbfe981782536bf923f
Description-en: Documentation for DPUSER and QFitsView
 DPUSER is an interactive language capable of handling numbers (both real and
 complex), strings, and matrices. Its main aim is to do astronomical image
 analysis, for which it provides a comprehensive set of functions, but it can
 also be used for many other applications.
 .
 This package contains the documentation for DPUSER and QFitsView.

Package: dput-ng
Description-md5: 4ead401f9d1d7fdfcc1c998a89cce39e
Description-en: next generation Debian package upload tool
 dput-ng is a Debian package upload tool which provides an easy to use
 interface to Debian (like) package archive hosting facilities. It allows
 anyone who works with Debian packages to upload their work to a remote
 service, including Debian's ftp-master, mentors.debian.net, Launchpad or other
 package hosting facilities for Debian package maintainers.
 .
 dput-ng features many enhancements over dput, such as more comprehensive
 checks, an easy to use plugin system, and code designed to handle the numerous
 archives that any Debian package hacker will interact with.
 .
 dput-ng aims to be backwards compatible with dput in command-line flags,
 configuration files, and expected behavior.

Package: dput-ng-doc
Description-md5: b16bc63855d07e3d0a57aaeb8f03653e
Description-en: next generation Debian package upload tool (documentation)
 dput-ng is a Debian package upload tool which provides an easy to use
 interface to Debian (like) package archive hosting facilities. It allows
 anyone who works with Debian packages to upload their work to a remote
 service, including Debian's ftp-master, mentors.debian.net, Launchpad or other
 package hosting facilities for Debian package maintainers.
 .
 This package provides exhaustive user documentation, developer API docu-
 mentation and command interface documentation.

Package: dq
Description-md5: cdd3ddc3227f6a30ff128627ad568bd1
Description-en: DNS/DNSCurve query tool
 The dq package provides software for DNS/DNSCurve.
 This software is derived from djbdns, adds DNSCurve protection and
 support for IPv6.
 .
 dq is commandline tool similar to dnsq, dnsqr from djbdns.
 Is used to query DNS/DNSCurve server for specific
 type of records about a given domain name.

Package: dqcache
Description-md5: c1afa7dace16fe17324cbfc06ea838cc
Description-en: DNS/DNSCurve recursive server
 The dq package provides software for DNS/DNSCurve.
 This software is derived from djbdns, adds DNSCurve protection and
 support for IPv6.
 .
 dqcache is recursive DNS/DNSCurve server derived from dnscache.

Package: draai
Description-md5: 5db7a1059c52b148d9f5fdfb4d5c0324
Description-en: Command-line music player for MPD
 Draai is a wrapper around mpc, making playing music from the commandline more
 enjoyable.  mpc is a client for MPD, the Music Player Daemon.  Using draai,
 one can play an audiofile (ogg, mp3, whatever your MPD offers), play all
 files in a predefined playlist, view details on current, past and future
 playing songs, skip to the next song, etc.
 .
 The interface is purely command-line.  No fancy gui's whatsoever.  Draai
 consists of one zsh shell script, calling mpc.
 .
 Main differences with mpc: draai supports smooth fadeouts, draai can be used
 as an alarmclock, draai supports rescheduling a track as the upcoming track,
 draai offers fancy status windows.  When draai starts playing the next song
 it informs syslog about it, including all details about the song; a "tail -F"
 on syslog yields a nice playlist history.  Like mpc, draai comes with full
 zsh tabcompletion support.  The author uses draai for doing DJ sets in clubs.
 If you, like the author, are a Unix sysadmin who likes to play music, you'll
 like draai.
 .
 Next to draai itself, the package installs some extra utilities for dealing
 with audio files.

Package: drac
Description-md5: 449e78303144af46f902093d99c0837b
Description-en: Dynamic Relay Authorization Control (pop-before-smtp)
 A daemon that dynamically updates a relay authorization map for some
 MTA (postfix, sendmail). It provides a way to allow legitimate users
 to relay mail through an SMTP server, while still preventing others
 from using it as a spam relay. User's IP addresses are added to the
 map immediately after they have authenticated to the POP or IMAP
 server. By default, map entries expire after 30 minutes, but can be
 renewed by additional authentication. Periodically checking mail on
 a POP server is sufficient to do this. The POP and SMTP servers can
 be on different hosts.

Package: dracut
Description-md5: 2591035ec0b583faf4801d2cb379cd54
Description-en: dracut is an event driven initramfs infrastructure
 This package builds a bootable initramfs for Linux kernel packages.  The
 initramfs is loaded along with the kernel and is responsible for
 mounting the root filesystem and starting the main init system.

Package: dracut-config-generic
Description-md5: c252faef47b4ae50105187b4ff9ac26e
Description-en: dracut is an event driven initramfs infrastructure
 This is the dracut configuration to turn off hostonly image generation

Package: dracut-config-rescue
Description-md5: cdbd0e866f1b2aa69a08ff629c17bcc4
Description-en: dracut is an event driven initramfs infrastructure
 This is the dracut configuration to turn on rescue image generation

Package: dracut-core
Description-md5: 5df7edfd996e6db7e65cd0435ed243c3
Description-en: dracut is an event driven initramfs infrastructure (core tools)
 Unlike existing initramfs's, this is an attempt at having as little as
 possible hard-coded into the initramfs as possible.  The initramfs has
 (basically) one purpose in life -- getting the rootfs mounted so that
 we can transition to the real rootfs.  This is all driven off of
 device availability.  Therefore, instead of scripts hard-coded to do
 various things, we depend on udev to create device nodes for us and
 then when we have the rootfs's device node, we mount and carry on.
 Having the root on MD, LVM2, LUKS is supported as well as NFS, iSCSI,
 NBD and FCOE with dracut-network.

Package: dracut-network
Description-md5: 700dca71cf66b077b28642db050ab1ee
Description-en: dracut is an event driven initramfs infrastructure (network modules)
 Unlike existing initramfs's, this is an attempt at having as little as
 possible hard-coded into the initramfs as possible.  The initramfs has
 (basically) one purpose in life -- getting the rootfs mounted so that
 we can transition to the real rootfs.  This is all driven off of
 device availability.  Therefore, instead of scripts hard-coded to do
 various things, we depend on udev to create device nodes for us and
 then when we have the rootfs's device node, we mount and carry on.
 Having the root on MD, LVM2, LUKS is supported as well as NFS, iSCSI,
 NBD and FCOE with dracut-network.

Package: dradio
Description-md5: f32782bd8bec367207323c1e73bf55a3
Description-en: danmarks Radio netradio, podcast, and TV player
 Dradio is a terminal based frontend to MPlayer that collects the
 available channels/podcasts for convenient browsing.

Package: dragonplayer
Description-md5: 8e6e9720a749b1da382423928372bacc
Description-en: simple video player
 A video player with a different philosophy: Simple, uncluttered interface.
 .
 Features:
  - Plays DVDs, VCDs, all video formats supported by the Phonon backend in use.
  - Bundled with a simple web-page KPart.
  - Starts quickly.
 .
 This package is part of the KDE multimedia module.

Package: drascula
Description-md5: 0a20a720c5ae6aaca55c139cf7270a9b
Description-en: classic 2D point and click adventure game -- English version
 Drascula: The Vampire Strikes Back is a classic humorous 2D point and click
 adventure game, first released by Alcachofa Soft S.L. in 1996.
 .
 In Drascula you play the role of John Hacker, a British estate agent, that
 gets to meet a gorgeous blond girl who is kidnapped by the notorious vampire
 Count Drascula and embark on a fun yet dangerous quest to rescue her.
 .
 Unfortunately Hacker is not aware of Drascula's real ambitions:
 .
 DOMINATING the World and demonstrating that he is even more evil than his
 brother Vlad.
 .
 This is the English version of Drascula: The Vampire Strikes Back. It also
 features voice output and subtitles.
 .
 Please install the drascula-music package to enjoy the background music of the
 game. There are furthermore additional language packages available with
 subtitles in Spanish, German, French and Italian.
 .
 Note that this package only contains game-data. The game engine is provided by
 ScummVM.

Package: drascula-french
Description-md5: 0098909ddc1a8d5b9ad61763d96e951e
Description-en: classic 2D point and click adventure game -- French version
 Drascula: The Vampire Strikes Back is a classic humorous 2D point and click
 adventure game, first released by Alcachofa Soft S.L. in 1996.
 .
 In Drascula you play the role of John Hacker, a British estate agent, that
 gets to meet a gorgeous blond girl who is kidnapped by the notorious vampire
 Count Drascula and embark on a fun yet dangerous quest to rescue her.
 .
 Unfortunately Hacker is not aware of Drascula's real ambitions:
 .
 DOMINATING the World and demonstrating that he is even more evil than his
 brother Vlad.
 .
 This is the French version of Drascula: The Vampire Strikes Back. It features
 subtitles in French and requires the English drascula package.
 .
 Please install the drascula-music package to enjoy the background music of the
 game. There are furthermore additional language packages available with
 subtitles in Spanish, German and Italian.
 .
 Note that this package only contains game-data. The game engine is provided by
 ScummVM.

Package: drascula-german
Description-md5: 5738409febbf696e96ca9e293eb5b5bd
Description-en: classic 2D point and click adventure game -- German version
 Drascula: The Vampire Strikes Back is a classic humorous 2D point and click
 adventure game, first released by Alcachofa Soft S.L. in 1996.
 .
 In Drascula you play the role of John Hacker, a British estate agent, that
 gets to meet a gorgeous blond girl who is kidnapped by the notorious vampire
 Count Drascula and embark on a fun yet dangerous quest to rescue her.
 .
 Unfortunately Hacker is not aware of Drascula's real ambitions:
 .
 DOMINATING the World and demonstrating that he is even more evil than his
 brother Vlad.
 .
 This is the German version of Drascula: The Vampire Strikes Back. It features
 subtitles in German and requires the English drascula package.
 .
 Please install the drascula-music package to enjoy the background music of the
 game. There are furthermore additional language packages available with
 subtitles in Spanish, French and Italian.
 .
 Note that this package only contains game-data. The game engine is provided by
 ScummVM.

Package: drascula-italian
Description-md5: f6c3c97176c249bba3ab0e28bc6c7044
Description-en: classic 2D point and click adventure game -- Italian version
 Drascula: The Vampire Strikes Back is a classic humorous 2D point and click
 adventure game, first released by Alcachofa Soft S.L. in 1996.
 .
 In Drascula you play the role of John Hacker, a British estate agent, that
 gets to meet a gorgeous blond girl who is kidnapped by the notorious vampire
 Count Drascula and embark on a fun yet dangerous quest to rescue her.
 .
 Unfortunately Hacker is not aware of Drascula's real ambitions:
 .
 DOMINATING the World and demonstrating that he is even more evil than his
 brother Vlad.
 .
 This is the Italian version of Drascula: The Vampire Strikes Back. It features
 subtitles in Italian and requires the English drascula package.
 .
 Please install the drascula-music package to enjoy the background music of the
 game. There are furthermore additional language packages available with
 subtitles in Spanish, German and French.
 .
 Note that this package only contains game-data. The game engine is provided by
 ScummVM.

Package: drascula-music
Description-md5: 18458ad39a36dd23f9f274b7e913e44b
Description-en: classic 2D point and click adventure game -- music files
 Drascula: The Vampire Strikes Back is a classic humorous 2D point and click
 adventure game, first released by Alcachofa Soft S.L. in 1996.
 .
 This package contains the background music for Drascula and includes 31 music
 tracks. You also need to install drascula or one of the international language
 versions to play the game.
 .
 Note that this package only contains game-data. The game engine is provided by
 ScummVM.

Package: drascula-spanish
Description-md5: cae3ab02e3a8292f5396a8b7efbc40dc
Description-en: classic 2D point and click adventure game -- Spanish version
 Drascula: The Vampire Strikes Back is a classic humorous 2D point and click
 adventure game, first released by Alcachofa Soft S.L. in 1996.
 .
 In Drascula you play the role of John Hacker, a British estate agent, that
 gets to meet a gorgeous blond girl who is kidnapped by the notorious vampire
 Count Drascula and embark on a fun yet dangerous quest to rescue her.
 .
 Unfortunately Hacker is not aware of Drascula's real ambitions:
 .
 DOMINATING the World and demonstrating that he is even more evil than his
 brother Vlad.
 .
 This is the Spanish version of Drascula: The Vampire Strikes Back. It also
 features voice output and subtitles in Spanish.
 .
 Please install the drascula-music package to enjoy the background music of the
 game. There are furthermore additional language packages available with
 subtitles in German, French and Italian.
 .
 Note that this package only contains game-data. The game engine is provided by
 ScummVM.

Package: drawing
Description-md5: 9f18d771febe544a86df900ca505bc7f
Description-en: simple drawing application for the GNOME desktop
 Drawing is a simple image editor similar to MS Paint and designed
 for the GNOME desktop environment. It includes a set of image
 manipulation tools for every day basic image editing needs.

Package: drawterm
Description-md5: 6a22c69fcd289ef1c6bd8ae89cba245c
Description-en: graphical client for Plan 9 CPU servers
 Drawterm is a X11 application that allows one to connect to a remote Plan 9
 server, usually a CPU server, but a terminal can also be tweaked to receive
 drawterm clients.

Package: drawtiming
Description-md5: 298416542ae06942859731a9ed0585d7
Description-en: tool for documenting hardware designs through timing diagrams
 Drawtiming is a command-line tool for documenting hardware designs through
 timing diagrams. In inputs textual signal descriptions and outputs image
 timing diagrams in many possible formats.

Package: drawxtl
Description-md5: 3d6c55626cce1d5d2f0bf3c58d3f705e
Description-en: crystal structure viewer
 DRAWxtl reads a basic description of the crystal structure, which includes
 unit-cell parameters, space group, atomic coordinates, thermal parameters or
 a Fourier map, and outputs a geometry object that contains polyhedra, planes,
 lone-pair cones, spheres or ellipsoids, bonds, iso-surface Fourier contours
 and the unit-cell boundary.
 .
 Four forms of graphics are produced:
 .
  * an OpenGL window for immediate viewing
  * the Persistence of Vision Ray Tracer (POV-RAY) scene language for
    publication-quality drawings
  * the Virtual Reality Modeling Language (VRML) for dissemination
    across the Internet
  * a Postscript rendering of the OpenGL window for those who want
    high-quality output but do not have POV-RAY installed.
 .
 File formats DRAWxtl can read include CIF, FDAT, FullProf (pcr), GSAS, SCHAKAL,
 SHELX, DISCUS and WIEN2k.

Package: drbd-doc
Description-md5: 64def0281170a2ec03459103f573c6a6
Description-en: RAID 1 over TCP/IP for Linux (user documentation)
 Drbd is a block device which is designed to build high availability
 clusters by providing a virtual shared device which keeps disks in
 nodes synchronised using TCP/IP. This simulates RAID 1 but avoiding
 the use of uncommon hardware (shared SCSI buses or Fibre Channel).
 It is currently limited to fail-over HA clusters.
 .
 This package contains the DRBD User's Guide in HTML and PDF format.

Package: drbl
Description-md5: 4c7ea2c04a4826fc2240c239793f3bdf
Description-en: diskless remote boot, and a disk cloning utility
 DRBL (Diskless Remote Boot in Linux) provides a diskless or
 systemless environment for client machines. It works on Debian,
 Ubuntu, Mandriva, Red Hat, Fedora, CentOS and OpenSuSE. DRBL uses
 distributed hardware resources and makes it possible for clients to
 fully access local hardware. It also includes Clonezilla, a partition
 and disk cloning utility similar to Symantec Ghost(TM) or True
 Image(TM).
 .
 For more details, check
  1. http://drbl.sourceforge.net (English)
  2. http://drbl.nchc.org.tw (Chinese Big5 - Taiwan)

Package: drc
Description-md5: 9900bb60949e0c9da785c1a5061ce277
Description-en: digital room correction
 DRC is program used to generate correction filters for acoustic compensation
 of HiFi and audio systems in general, including listening room compensation.
 Program generates just the FIR correction filters, which can be used with
 a real time or offline convolver to provide real time or offline correction.
 .
 DRC doesn't provide convolution features, and provides only some simplified,
 although really accurate, measuring tools.

Package: dreamchess
Description-md5: 0f6230fd0edd305113ae4299a48f390a
Description-en: 3D chess game
 DreamChess features 3D OpenGL graphics and provides various chess board sets,
 ranging from classic wooden to flat.
 .
 A moderately strong chess engine is included: Dreamer. However, should this
 engine be too weak for you, then you can use any other XBoard-compatible chess
 engine, including the popular Crafty and GNU Chess.
 .
 Other features include on-screen move lists using SAN notation, undo
 functionality, and savegames in PGN format.

Package: dreamchess-data
Description-md5: f8e18dc1ad93e7b88549edb89c3658bf
Description-en: data files for dreamchess
 This package provides the data files for DreamChess including various chess
 board sets ranging from classic wooden to flat, 3D models of the chess
 pieces, graphics and audio.

Package: drgeo-doc
Description-md5: 41244abe7f4ab40f6557c4781a9d7190
Description-en: Dr. Geo online user manual
 Install this package if you want the on-line help
 for the Dr. Geo software. The on-line help can be used directly
 from Dr. Geo. However you may need to instruct Dr. Geo about
 your preferred browser from the Edit->Preferences menu.
 .
 The documentation comes in Dutch, English, French, Italian & Spanish.
 .
 Dr. Geo is an interactive geometry software.
 More information about Dr. Geo can be found at
 its web site http://www.gnu.org/software/dr_geo/dr_geo.html

Package: driftnet
Description-md5: af3a10ab850a6594ef182a9ac5e6e31d
Description-en: picks out and displays images from network traffic
 Inspired by EtherPEG, Driftnet is a program which listens to network
 traffic and picks out images from TCP streams it observes. It is
 interesting to run it on a host which sees a lot of web traffic.
 .
 (Obviously, this is an invasion of privacy of a fairly blatant sort.
 Also, if you are possessed of Victorian sensibilities, and share an
 unswitched network with others who are not, you should probably not
 use it.)

Package: driverctl
Description-md5: 678c88cccbe6b9b83f574bceee4c4530
Description-en: Device driver control utility for Linux
 Driverctl is a tool for manipulating and inspecting the system
 device driver choices.
 .
 Devices are normally assigned to their sole designated kernel driver
 by default. However in some situations it may be desirable to
 override that default, for example to try an older driver to
 work around a regression in a driver or to try an experimental alternative
 driver. Another common use-case is pass-through drivers and driver
 stubs to allow userspace to drive the device, such as in case of
 virtualization.
 .
 driverctl integrates with udev to support overriding
 driver selection for both cold- and hotplugged devices from the
 moment of discovery, but can also change already assigned drivers,
 assuming they are not in use by the system. The driver overrides
 created by driverctl are persistent across system reboots
 by default.

Package: drkonqi
Description-md5: 62285fde8f307362552a3dd45b880b37
Description-en: Crash handler for Qt applications
 Drkonqi shows a dialog for dealing with application crashes.

Package: drmips
Description-md5: dd09bcd6d4424bca0f2e254764149df4
Description-en: Educational MIPS simulator
 DrMIPS is a graphical simulator of the MIPS processor to support computer
 architecture teaching and learning. It is intuitive, versatile and
 configurable.
 .
 The simulator is available not only for personal computers but also for
 Android devices, especially tablets.
 .
 DrMIPS was created under the Master's dissertation entitled
 'Tool to Support Computer Architecture Teaching and Learning' at the
 Faculty of Engineering of the University of Porto, in Portugal.
 .
 This package installs the PC version of the simulator.

Package: droopy
Description-md5: 3aa03b700a1feaf561a41e8bed4a0382
Description-en: mini web server to let others upload files to your computer
 Droopy is a mini Web server whose sole purpose is to let others upload files to
 your computer.
 .
 Say you are chatting with friends on MSN Messenger (perhaps with the excellent
 Pidgin). They want to send you amazing photos they took last week-end, so they
 use Messenger file transfer. Unfortunately, the zip file is over 50 MB and it
 is painfully slow. Now relax, droopy comes to rescue.

Package: dropbear
Description-md5: 8da3a46384d10ad523017c208ecce61a
Description-en: lightweight SSH2 server and client - startup scripts
 dropbear is a SSH 2 server and client designed to be small enough to
 be used in small memory environments, while still being functional and
 secure enough for general use.
 .
 It implements most required features of the SSH 2 protocol, and other
 features such as X11 and authentication agent forwarding.

Package: dropbear-bin
Description-md5: ba1af3b8c1ac10bc39a1993bc01bc261
Description-en: lightweight SSH2 server and client - command line tools
 dropbear is a SSH 2 server and client designed to be small enough to
 be used in small memory environments, while still being functional and
 secure enough for general use.
 .
 It implements most required features of the SSH 2 protocol, and other
 features such as X11 and authentication agent forwarding.
 .
 This package provides dropbear, dbclient, dropbearkey and dropbearconvert.

Package: dropbear-initramfs
Description-md5: 902d7f1aa2c363f563200e06f44cb17b
Description-en: lightweight SSH2 server and client - initramfs integration
 dropbear is a SSH 2 server and client designed to be small enough to
 be used in small memory environments, while still being functional and
 secure enough for general use.
 .
 It implements most required features of the SSH 2 protocol, and other
 features such as X11 and authentication agent forwarding.
 .
 This package provides initramfs integration.

Package: dropbear-run
Description-md5: aa233599466f91006e93414accaf4d92
Description-en: transitional dummy package for dropbear
 This is a transitional dummy package to get upgrading systems to install the
 dropbear package. It can safely be removed once no other package depends on
 it.

Package: drraw
Description-md5: 7761454feb339fca4fb18b1cc8900fd0
Description-en: simple web based presentation front-end for RRDtool
 drraw is a simple web based presentation front-end for RRDtool that allows you
 to interactively build graphs of your own design. A graph definition can be
 turned into a template which may be applied to many Round Robin Database files.
 .
 drraw specializes in providing an easy mean of displaying data stored with
 RRDtool and does not care about how the data is collected, making it a great
 complement to other RRDtool front-ends.

Package: drslib
Description-md5: ee798078611dc5a06f1fb8d037283f74
Description-en: Command-line tools for the Data Reference Syntax library
 This is a set of command-line tools for using the DRSLIB python API.

Package: drumgizmo
Description-md5: e63932bd81a97922902e421f99d66942
Description-en: Audio sampler plugin and stand-alone app that simulates a real drum kit
 DrumGizmo's main aim is to simulate a real drum kit. Features:
  - Stand-alone application, Lv2 plugin version available
  - Drum multilayer velocities, allowing for several different hit
    velocities for each drum
  - Multichannel output, making it possible to mix it just the way you
    would a real drumkit
  - Optional built-in humanizer, analyzing the MIDI notes, adjusting
    velocities on-the-fly
  - Mic bleed simulation
  - Open drumkit file format, allowing to create your own drumkits
  - Stand-alone MIDI renderer, generating .wav files, 1 for each channel
  - Stand-alone MIDI input, making it possible to use DrumGizmo as a
    software sampler for an electronic drumkit
 .
 Please note that for using DrumGizmo you will need to download some
 compatible drum kit audio data made by the community (such as those
 available at www.drumgizmo.org) or create one yourself with DGEdit
 (provided in the dgedit package).

Package: drumkv1
Description-md5: 259a2fd840ef3d042bca6fc0e8dd5357
Description-en: old-school drum-kit sampler - standalone
 drumkv1 is an old-school all-digital drum-kit sampler
 synthesizer with stereo effects. It is provided in both
 forms of a LV2 plugin and a pure stand-alone JACK
 client with JACK-session and both JACK MIDI and ALSA
 MIDI input support.
 .
 This package provides the standalone app.

Package: drumkv1-common
Description-md5: 1e86ef9529f61535178b97432598a575
Description-en: old-school drum-kit sampler - common files
 drumkv1 is an old-school all-digital drum-kit sampler
 synthesizer with stereo effects. It is provided in both
 forms of a LV2 plugin and a pure stand-alone JACK
 client with JACK-session and both JACK MIDI and ALSA
 MIDI input support.
 .
 This package provides files shared by both the LV2 plugin and the standalone
 application.

Package: drumkv1-lv2
Description-md5: 42ca7df6cefc1950048074270293e507
Description-en: old-school drum-kit sampler - lv2-plugin
 drumkv1 is an old-school all-digital drum-kit sampler
 synthesizer with stereo effects. It is provided in both
 forms of a LV2 plugin and a pure stand-alone JACK
 client with JACK-session and both JACK MIDI and ALSA
 MIDI input support.
 .
 This package provides the LV2 plugin.

Package: drumstick-tools
Description-md5: bdd86dd9d1a4b680aeb4d79e982a3fa2
Description-en: Qt5/C++ wrapper for ALSA Sequencer (utilities)
 This library is a C++ wrapper around the ALSA library sequencer interface,
 using Qt5 objects, idioms and style. ALSA sequencer provides software support
 for MIDI technology on Linux. Complementary classes for OVE and WRK file
 processing are also included.
 .
 This package provides utilities shipped with the library:
  - drumstick-buildsmf: Standard MIDI File creation from scratch.
  - drumstick-dumpgrid: Simple Qt drum sequencer.
  - drumstick-dumpmid: Write ALSA MIDI events to STDOUT.
  - drumstick-dumpsmf: Write MIDI events from a MIDI file to STDOUT.
  - drumstick-dumpwrk: Write MIDI events from a Cakewalk WRK file to STDOUT.
  - drumstick-guiplayer: Simple Qt MIDI file player.
  - drumstick-metronome: Headless MIDI metronome.
  - drumstick-playsmf: Headless MIDI file player.
  - drumstick-sysinfo: Display information about ALSA MIDI.
  - drumstick-timertest: Test performance of the ALSA timer.
  - drumstick-vpiano: Virtual Piano Keyboard GUI application.

Package: dsdp
Description-md5: f23b1ccc0454351abde108cef0799570
Description-en: Software for Semidefinite Programming
 The DSDP software is a free open source implementation of an interior-point
 method for semidefinite programming. It provides primal and dual solutions,
 exploits low-rank structure and sparsity in the data, and has relatively
 low memory requirements for an interior-point method. It allows feasible
 and infeasible starting points and provides approximate certificates of
 infeasibility when no feasible solution exists. The dual-scaling
 algorithm implemented in this package has a convergence proof and
 worst-case polynomial complexity under mild assumptions on the
 data. Furthermore, the solver offers scalable parallel performance for
 large problems and a well documented interface. Some of the most popular
 applications of semidefinite programming and linear matrix inequalities
 (LMI) are model control, truss topology design, and semidefinite
 relaxations of combinatorial and global optimization problems.
 .
 This package contains the binaries.

Package: dsdp-doc
Description-md5: 26082894d8d34e85cfb5511f23cc16cd
Description-en: Software for Semidefinite Programming
 The DSDP software is a free open source implementation of an interior-point
 method for semidefinite programming. It provides primal and dual solutions,
 exploits low-rank structure and sparsity in the data, and has relatively
 low memory requirements for an interior-point method. It allows feasible
 and infeasible starting points and provides approximate certificates of
 infeasibility when no feasible solution exists. The dual-scaling
 algorithm implemented in this package has a convergence proof and
 worst-case polynomial complexity under mild assumptions on the
 data. Furthermore, the solver offers scalable parallel performance for
 large problems and a well documented interface. Some of the most popular
 applications of semidefinite programming and linear matrix inequalities
 (LMI) are model control, truss topology design, and semidefinite
 relaxations of combinatorial and global optimization problems.
 .
 This package contains the documentation and examples.

Package: dsh
Description-md5: 1473981f6aac6363419eeb647be581e3
Description-en: dancer's shell, or distributed shell
 Executes specified command on a group of computers using remote shell
 methods such as rsh or ssh.
 .
 dsh can parallelise job submission using several algorithms, such as using
 fan-out method or opening as much connections as possible, or
 using a window of connections at one time.
 It also supports "interactive mode" for interactive maintenance of
 remote hosts.
 .
 This tool is handy for administration of PC clusters, and multiple hosts.
 .
 http://www.netfort.gr.jp/~dancer/software/dsh.html

Package: dsmidiwifi
Description-md5: c0915b4891340da3ed118b95edaa00c0
Description-en: DSMI over WLAN server
 Server component for a DSMI (Nintendo® DS MIDI) over WLAN connection,
 also often used by Android and iPhone applications. Bridges between
 UDP broadcast packets and an offered ALSA MIDI port.

Package: dsniff
Description-md5: f59711cd7b27b929d9435bf6750d88a7
Description-en: Various tools to sniff network traffic for cleartext insecurities
 This package contains several tools to listen to and create network traffic:
 .
  * arpspoof  - Send out unrequested (and possibly forged) arp replies.
  * dnsspoof  - forge replies to arbitrary DNS address / pointer queries
              on the Local Area Network.
  * dsniff    - password sniffer for several protocols.
  * filesnarf - saves selected files sniffed from NFS traffic.
  * macof     - flood the local network with random MAC addresses.
  * mailsnarf - sniffs mail on the LAN and stores it in mbox format.
  * msgsnarf  - record selected messages from different Instant Messengers.
  * sshmitm   - SSH monkey-in-the-middle. proxies and sniffs SSH traffic.
  * sshow     - SSH traffic analyser.
  * tcpkill   - kills specified in-progress TCP connections.
  * tcpnice   - slow down specified TCP connections via "active"
              traffic shaping.
  * urlsnarf  - output selected URLs sniffed from HTTP traffic in CLF.
  * webmitm   - HTTP / HTTPS monkey-in-the-middle. transparently proxies.
  * webspy    - sends URLs sniffed from a client to your local browser
              (requires libx11-6 installed).
 .
 Please do not abuse this software.

Package: dspdfviewer
Description-md5: 4b6fe235cea51db1e76e8b2b30a0f53a
Description-en: Dual-Screen PDF Viewer for LaTeX-beamer
 This is a specialized PDF Viewing application custom-made for
 the LaTeX class beamer, specifically the
 "show notes on second screen=right" option.
 .
 To make use of this program, you will need a document created
 by latex-beamer, and you will need two monitors connected to
 your computer.
 They do not need to have the same resolution, not even the same
 aspect ratio.
 .
 This program will split your PDF page in half, and display the
 left half (intended for the audience) on one monitor (think:
 a notebook's VGA output connected to your university's projector)
 and it will display the right half (intended for you) on the
 second screen.
 Also, on the second screen, you get page thumbnails and status
 information, like the time since you started the presentation
 and a "wall clock".

Package: dssi-dev
Description-md5: 78671c3daf895b6fd44e30b1970f0299
Description-en: Header file for compiling DSSI plugins and hosts
 DSSI is an API for audio plugins, with particular application for software
 synthesis plugins with native user interfaces.
 .
 DSSI is an open specification developed for use in Linux audio applications,
 although it is portable to other platforms. It may be thought of as
 LADSPA-for-instruments, or something comparable to VSTi.
 .
 This package contains the header file required for compiling hosts and
 plugins.

Package: dssi-example-plugins
Description-md5: 8308c83fcec6da76bfcc490b6f6f2e8d
Description-en: Examples of DSSI plugin
 DSSI is an API for audio plugins, with particular application for software
 synthesis plugins with native user interfaces.
 .
 DSSI is an open specification developed for use in Linux audio applications,
 although it is portable to other platforms. It may be thought of as
 LADSPA-for-instruments, or something comparable to VSTi.
 .
 This is a set containing three example DSSI plugins.

Package: dssi-host-jack
Description-md5: cb390895095ec3af90f6b1f1df3e12c5
Description-en: Example of DSSI host
 DSSI is an API for audio plugins, with particular application for software
 synthesis plugins with native user interfaces.
 .
 DSSI is an open specification developed for use in Linux audio applications,
 although it is portable to other platforms. It may be thought of as
 LADSPA-for-instruments, or something comparable to VSTi.
 .
 This package contains an example DSSI host which is useful for testing new
 plugins. It listens for MIDI events on an ALSA sequencer port, delivers them
 to DSSI synths and outputs the result via JACK.  It does not currently support
 audio input (e.g. for DSSI effects plugins).

Package: dssi-utils
Description-md5: a29c22af4da921fdc3f7262d1a29dc97
Description-en: Command-line utilities for sending commands to DSSI plugins
 DSSI is an API for audio plugins, with particular application for software
 synthesis plugins with native user interfaces.
 .
 DSSI is an open specification developed for use in Linux audio applications,
 although it is portable to other platforms. It may be thought of as
 LADSPA-for-instruments, or something comparable to VSTi.
 .
 This package contains the dssi_osc_send and dssi_osc_update utilities which
 can, for instance, be used to send commands to the DSSI trivial synth
 plugin.

Package: dssp
Description-md5: 57a41b893507973bf2abd8295df96294
Description-en: protein secondary structure assignment based on 3D structure
 DSSP is an application you use to assign the secondary structure of a protein
 based on its solved three dimensional (3D) structure.
 .
 This version (2) of DSSP is a rewrite that produces the same output as the
 original DSSP, but deals better with exceptions in PDB files and is much
 faster.

Package: dstat
Description-md5: bab58f0c8cbe2a053e06b9dfdde746ef
Description-en: versatile resource statistics tool
 Dstat is a versatile replacement for vmstat, iostat and ifstat. Dstat
 overcomes some of the limitations of these programs and adds some
 extra features.
 .
 Dstat allows you to view all of your network resources instantly, you
 can for example, compare disk usage in combination with interrupts
 from your IDE controller, or compare the network bandwidth numbers
 directly with the disk throughput (in the same interval).
 .
 Dstat also cleverly gives you the most detailed information in columns
 and clearly indicates in what magnitude and unit the output is displayed.
 .
 Dstat is also unique in letting you aggregate block device throughput for
 a certain diskset or network bandwidth for a group of interfaces, i.e. you
 can see the throughput for all the block devices that make up a single
 filesystem or storage system.
 .
 Dstat's output, in its current form, is not suited for post-processing by
 other tools, it's mostly meant for humans to interpret real-time data
 as easy as possible.

Package: dtach
Description-md5: 4e883cf96c29b604bbef9999bb6833ef
Description-en: emulates the detach/attach feature of screen
 dtach is a tiny program that emulates the detach feature of screen,
 allowing you to run a program in an environment that is protected from
 the controlling terminal and attach to it later. dtach does not keep
 track of the contents of the screen, and thus works best with programs
 that know how to redraw themselves.
 .
 dtach does not, however, have the other features of screen, such as its
 support of multiple terminals or its terminal emulation support. This
 makes dtach extremely tiny compared to screen, making it more easily
 audited for bugs and security holes, and also allows it to fit in
 environments where space is limited, such as on rescue disks.

Package: dtaus
Description-md5: d7b43a6feac22917426cb7b11eb7fe2a
Description-en: Paperless money transfer with German banks on floppies
 This package contains a library that can read and write German DTAUS
 files.  DTAUS is an acronym for DatenTraegerAUStausch.  It is used by
 German credit institutes in order to transfer commands for money
 exchanges between accounts.  This format is used both between banks
 and between banks and their customers.  One mainly wants to use it to
 be able to do automatic "Bankeinzuege".
 .
 This package probably will only be useful in Germany.

Package: dtdinst
Description-md5: 1ef81ecf8073527234b5d1fe6b36a24f
Description-en: XML DTD to XML instance format converter
 dtdinst converts XML DTDs into XML instance format. The XML instance can be in
 either a format specific to DTDinst or RELAX NG format.

Package: dte
Description-md5: 5f625975c5abf05766602b872be612d9
Description-en: small and easy to use console text editor
 A very small console text editor that supports Unicode, multiple tabs and
 buffers, syntax highlighting, regex search and replace, encodings, ctags and
 various other features.

Package: dtmf2num
Description-md5: 879efd9495139aa0d3f026c54610a5ac
Description-en: tool for decoding the DTMF and MF tones from PCM wave files
 This supports any type of wave file (frequencies, channels and
 8, 16, 24 and 32 bits), automatic optimizations (DC bias adjust and
 normalization) and both WAV and raw PCM data.
 .
 The program has been successfully tested with many audio files and
 moreover with those highly dirt and damaged, for example recorded
 with a microphone in a room or at a very low volumes or with some
 noise.

Package: dtv-scan-tables
Description-md5: cc216566b3638a4484bb5b9fb7602e64
Description-en: Digital Video Broadcasting (DVB) initial scan files
 Initial setup tables for use with DVB devices supporting the DVB-C, DVB-T,
 DVB-S, and ATSC standards.
 .
 These scan tables were once provided as part of the dvb-apps package, however
 upstream has since decided to split the scan tables out into their own
 separate dtv-scan-tables repository to ease maintenance of both packages.
 .
 Contains DVB-C scan tables for the following countries:
  Austria
  Belgium
  Brazil
  Switzerland
  Czech Republic
  Germany
  Denmark
  Spain
  Finland
  France
  Hungary
  Iceland
  Luxembourg
  Netherlands
  Norway
  Sweden
 .
 Contains DVB-S scan tables for the following providers:
  ABS
  Agila
  Amazonas
  AMC
  Amos
  Anik F1
  Apstar
  AsiaSat
  Astra
  Atlantic Bird
  BrasilSAT
  ChinaSat
  Estrela do Sul
  Eurobird
  Eutelsat
  Express
  Galaxy
  Hispasat
  Hotbird
  IA-7
  Insat
  Intelsat
  JCSat
  Measat
  Nahuel
  Nilesat
  NSS
  Optus
  Palapa
  PAS
  Satmex
  SBS 6
  SES 2
  Sirius
  ST 1
  Telecom2
  Telkom1
  Telstar
  Thaicom
  Thor
  Turkstat
  Vinasat 1
  Yamal 201
 .
 Contains DVB-T scan tables for the following countries:
  Andorra
  Argentina
  Austria
  Australia
  Åland Islands
  Belgium
  Brazil
  Switzerland
  Czech Republic
  Germany
  Denmark
  Spain
  Finland
  France
  Greece
  Hong Kong
  Croatia
  Hungary
  Ireland
  Israel
  Iran, Islamic Republic of
  Iceland
  Italy
  Lithuania
  Luxembourg
  Latvia
  Netherlands
  Norway
  New Zealand
  Poland
  Portugal
  Romania
  Sweden
  Slovenia
  Slovakia
  United Kingdom
  Taiwan, Province of China
  Vietnam
 .
 Contains ATSC scan tables for the following countries:
  United States

Package: dub
Description-md5: 0440199a3a4483ede497fdb26489f474
Description-en: Package and build management system for D
 DUB is a build tool for D projects with support for automatically
 retrieving dependencies and integrating them in the build process.
 .
 Its design emphasis is on maximum simplicity for simple projects, while
 providing the opportunity to customize things when needed.

Package: dublin-traceroute
Description-md5: 24dc71594338de5dbfa55c33277c88f7
Description-en: NAT-aware multipath tracerouting tool
 Dublin Traceroute uses the techniques invented by the authors of
 Paris-traceroute to enumerate the paths of ECMP flow-based load balancing,
 but also introduces a new technique for NAT detection. It forges the IP ID
 in the probe packet and analyzes the responses in order to detect all the
 encountered NATs.

Package: duc
Description-md5: 0a0216f6b0967da5e6fcb2d05b8e20c4
Description-en: high-performance disk usage analyzer
 Duc maintains a database of accumulated sizes of directories of the file
 system, and allows you to query this database with some tools, or create
 fancy graphs showing you where your bytes are.
 .
 Duc comes with console utilities, ncursesw and X11 user interfaces and a
 CGI wrapper for disk usage querying and visualisation.
 .
 Duc is designed to scale to huge filesystems: it will index and display
 hundreds of millions of files on petabytes of storage without problems.

Package: duc-nox
Description-md5: ed97d0447e5b0ec2437844e1e19e5196
Description-en: high-performance disk usage analyzer (without X support)
 Duc maintains a database of accumulated sizes of directories of the file
 system, and allows you to query this database with some tools.
 .
 Duc comes with console utilities, ncursesw and a CGI wrapper for disk
 usage querying and visualisation.
 .
 Duc is designed to scale to huge filesystems: it will index and display
 hundreds of millions of files on petabytes of storage without problems.
 .
 This package provides the console version of the duc.

Package: duck
Description-md5: acc3095ebf6763c0fb2b1f525dda4b00
Description-en: checks URLs in debian/control and debian/upstream files
 duck, the Debian Url ChecKer, processes several fields in the
 debian/control, debian/upstream, debian/copyright,
 debian/patches/* and systemd.unit files and checks if URLs, VCS links and
 email address domains found therein are valid.

Package: ducktype
Description-md5: 8b9f2d65f1aa504b8ec317bec47ab85f
Description-en: Command-line tool for Ducktype, a lightweight documentation syntax
 Ducktype is a lightweight non-XML syntax for Mallard, a topic-oriented
 markup language for help files. Mallard is primarily used in GNOME help.
 .
 This package contains the ducktype command-line tool, which can be used to
 convert Ducktype documents into the Mallard XML format for further
 processing by the tools and stylesheets in the yelp-tools and yelp-xsl
 packages.

Package: duende
Description-md5: bd4f7c68a0e8e4894cb2c48f52c71329
Description-en: logging daemonizer
 The duende program starts a child process as specified on the command
 line and handles some aspects of maintaining it as a daemon process.
 In particular it passes the standard output and error from the child
 process to syslog. If the child process exits with a configurable exit
 status it will be restarted. Optionally duende will provide a pid file,
 and other features are configurable.

Package: duff
Description-md5: a9b76b767f2cf4ec3e772aee2a911452
Description-en: Duplicate file finder
 Duff is a command-line utility for identifying duplicates in a given set of
 files.  It attempts to be usably fast and uses the SHA family of message
 digests as a part of the comparisons.

Package: duktape
Description-md5: 92a374760d722cfc6b8b5bae97f4d710
Description-en: embeddable Javascript engine
 Duktape is an embeddable Javascript engine, with a focus on portability
 and compact footprint.
 .
 Duktape is easy to integrate into a C/C++ project: add duktape.c, duktape.h,
 and duk_config.h to your build, and use the Duktape API to call Ecmascript
 functions from C code and vice versa.
 .
 The main features are
    - Embeddable, portable, compact: can run on platforms with
      256kB flash and 64kB system RAM
    - Ecmascript E5/E5.1 compliant, some features borrowed from
      Ecmascript E6
    - Khronos/ES6 TypedArray and Node.js Buffer bindings
    - Built-in debugger
    - Built-in regular expression engine
    - Built-in Unicode support
    - Minimal platform dependencies
    - Combined reference counting and mark-and-sweep garbage
      collection with finalization
    - Custom features like coroutines, built-in logging framework,
      and built-in CommonJS-based module loading framework
    - Property virtualization using a subset of Ecmascript E6 Proxy
      object
    - Bytecode dump/load for caching compiled functions
 .
 This package contains a commandline duk interpreter

Package: duktape-dev
Description-md5: 54ab02f3150dde1dc600c716a5779b97
Description-en: embeddable Javascript engine, development files
 Duktape is an embeddable Javascript engine, with a focus on portability
 and compact footprint.
 .
 Duktape is easy to integrate into a C/C++ project: add duktape.c, duktape.h,
 and duk_config.h to your build, and use the Duktape API to call Ecmascript
 functions from C code and vice versa.
 .
 This package contains all source files to use in own projects.

Package: duma
Description-md5: 9933ed7014c8b166d4e2e4b7ca1c5ff0
Description-en: library to detect buffer overruns and under-runs in C and C++ programs
 Open-source library to detect buffer overruns and under-runs
 in C and C++ programs.
 .
 This library is a fork of Bruce Perens Electric Fence library and adds
 some new features to it. Features of the DUMA library:
   * "overloads" all standard memory allocation functions like
     malloc(), calloc(), memalign(), strdup(), operator new, operator
     new[] and also their counterpart deallocation functions like free(),
     operator delete and operator delete[]
   * utilizes the MMU (memory management unit) of the CPU:
     allocates and protects an extra memory page to detect any illegal
     access beyond the top of the buffer (or bottom, at the user's option)
   * stops the program at exactly that instruction, which does the
     erroneous access to the protected memory page,
     allowing location of the defective source code in a debugger
     detects erroneous writes at the non-protected end of the memory
     block at deallocation of the memory block
   * detects mismatch of allocation/deallocation functions: f.e.
     allocation with malloc() but deallocation with operator delete
   * leak detection: detect memory blocks which were not deallocated
     until program exit
   * preloading of the library
   * allowing tests without necessity of changing source code or recompilation

Package: dumb-init
Description-md5: d6ce0e9df3158136b248ddf33a0d6468
Description-en: wrapper script which proxies signals to a child
 dumb-init is a simple process supervisor and init system designed to run
 as PID 1 inside minimal container environments (such as Docker).
 .
 Lightweight containers have popularized the idea of running a single
 process or service without normal init systems like systemd or sysvinit.
 However, omitting an init system often leads to incorrect handling of
 processes and signals, and can result in problems such as containers
 which can't be gracefully stopped, or leaking containers which should
 have been destroyed.
 .
 dumb-init acts as PID 1 and immediately spawns your command as a child
 process, taking care to properly handle and forward signals as they are
 received.

Package: dummydroid
Description-md5: 32456ae6e39d2370f1ff43c141cf8dea
Description-en: Register a GSF ID for mock-up Android devices
 Dummy Droid allows you to create hardware profiles for arbitrary
 Android devices and upload them into your Google Play account,
 thereby creating a "Google Services Framework ID".
 .
 Mocks can either be generated from the /system/build.prop file of
 existing hardware or be completely made up from scratch. You (may)
 need this tool if Google Play won't give you access to an app because
 of device incompatibilities, country or carrier restrictions. You
 (may) also need this tool if you are an app developer yourself and
 want to test compatibility filter settings without actually owning
 the target device.
 .
 Word of warning: Dummy Droid grants you absolute freedom, including
 the freedom to specify absolute nonsense (such as a smart-tv with a
 screensize of 1 pixel). Please pay attention to the instructions and
 use a throw-away account for testing. Otherwise you might end up with
 dozens of mocks in your main account you cannot get rid of again!

Package: dump
Description-md5: 196383f2c586a24c32968a6f3eceeff1
Description-en: backup and restore for ext2/3/4 filesystems
 This is a port of the 4.4BSD filesystem backup suite.
 Dump examines files on a filesystem and determines which files need to be
 backed up. These files are copied to the given disk, tape or other storage
 medium for safe keeping.
 .
 The restore command performs the inverse function of dump. A full backup of
 a filesystem may be restored and subsequent incremental backups layered on
 top of it. Single files and directory subtrees may be restored from full or
 partial backups.

Package: dump1090-mutability
Description-md5: ee337c03dee1406b1d04c1e172d68401
Description-en: ADS-B Ground Station System for RTL-SDR
 Networked Aviation Mode S / ADS-B decoder/translator with RTL-SDR software
 defined radio USB device support.
 .
 This is a packaging of the "mutability" fork of dump1090 that includes
 sampling at 2.4MHz and other improvements.

Package: dumpasn1
Description-md5: a8b34032ca822e6f07d2d1940cacf872
Description-en: ASN.1 object dump program
 An ASN.1 object dump program which will dump data encoded using any of the
 ASN.1 encoding rules in a variety of user-specified formats.
 .
 dumpasn1 employs a user-editable configuration file dumpasn1.cfg which
 provides information on ASN.1 object identifiers. Currently it covers all known
 security-related OIDs.

Package: dumpet
Description-md5: bbd6e39b435b8f52daf310e68daf4283
Description-en: dump information about bootable CDs and other similar formats
 A tool for debugging El Torito boot images.  This can dump the El Torito
 structure in various readable output formats.

Package: dumphd
Description-md5: f25f6042625f3b04bd7152b467c1cd5b
Description-en: HD-DVD/Blu-Ray decrypter
 Decrypt HD DVD and Blu-ray movies and store on your HDD.
  o Dual-Core supported decryption of EVO / M2TS files (for harddisk to
  o harddisk speed records ;o))
  o Support for every pack type of an EVO (including in-place decryption of
  o ADV_PCKs, excluding Sequence Key Sections)
  o Decryption of every ARF protection type
  o Multiple files (currently CLI only) or complete disc mode
  o Usage of a key database to get the decryption keys or direct retrieval of
  o the keys off the source disc
  o Supports HD-DVDs for Standard / Advanced Content (but not both on the same
  o disc), Blu-Ray ROM BDMV
  o Experimental Blu-Ray Recordable support (with multiple CPS Units, BDMV,
  o BDAV with Aux Directories and Thumbnails)
  o Automatic BD+ removal using the BDVM Debugger or manually by supplying a
  o correct Conversion Table (currently CLI only)
  o Streaming output of EVO / M2TS files to stdout
  o Very much console output for free ;o)
  o GUI

Package: dune
Description-md5: 25d5edd4dd89fbf78620ece549b2eaf9
Description-en: composable build system for OCaml projects -- transitional package
 Dune is a build system designed for OCaml/Reason projects
 only. It focuses on providing the user with a consistent experience
 and takes care of most of the low-level details of OCaml
 compilation. All you have to do is provide a description of your
 project and Dune will do the rest.
 .
 ocaml-dune was previously called dune; this is a transitional package,
 which can be safely removed.

Package: dunst
Description-md5: fd5c72dc12c62428f8975bdf673ca958
Description-en: dmenu-ish notification-daemon
 Dunst is a highly configurable and lightweight notification-daemon: The
 only thing it displays is a colored box with unformatted text. The whole
 notification specification (non-optional parts and the "body" capability) is
 supported as long as it fits into this look & feel.
 .
 Dunst is designed to fit nicely into minimalistic windowmanagers like dwm, but
 it should work on any Linux desktop.

Package: duperemove
Description-md5: 72bba30b3018bc5f707cad43ab080a24
Description-en: extent-based deduplicator for file systems
 Duperemove is a tool for finding duplicated extents and submitting them for
 deduplication.  When given a list of files it will hash their contents on a
 block by block basis and compare those hashes to each other, finding and
 categorizing extents that match each other.
 .
 On BTRFS and, experimentally, XFS, it can then reflink such extents in a
 race-free way.  Unlike hardlink-based solutions, affected files appear
 independent in any way other than reduced disk space used.

Package: duply
Description-md5: d2443380a9b348cf418e3f88d3f5bd28
Description-en: easy to use frontend to the duplicity backup system
 duply is a shell front end to duplicity that simplifies the usage by managing
 settings for each backup job in profiles. It supports executing multiple
 commands in a batch mode to enable single line cron entries and allows the
 user to use pre/post backup scripts. All duplicity backends are supported.
 The previous name of duply was ftplicity.

Package: durep
Description-md5: 60cbd463e68601698ba54732976e3106
Description-en: create disk usage reports
 durep is a perl script used for disk usage reports. It can generate text
 output with bar graphs to allow easy comparisons of disk usage between
 directories. It can also generate web pages which can be navigated through the
 directory structure. This allows easy visual monitoring of disk usage.

Package: dustmite
Description-md5: 121083074aa3bad03459fd14ec51eea7
Description-en: Tool for minimizing D source code
 DustMite is a tool for minimizing D source code.
 It was inspired by Tigris Delta and a thread on digitalmars.D.learn.
 Reducing C++ code also works quite well via --split *.{c,cpp,h,hpp}:d.
 .
 DustMite will parse the source code into a simple hierarchy, and attempt
 to shrink it by deleting fragments iteratively, as long as the result
 satisfies a user-specified condition.
 .
 Its use-cases include:
  * Reducing compiler bug test cases.
  * Finding the source of ambiguous or misleading compiler error messages
    (e.g. errors with the file/line information pointing inside Phobos)
  * Alternative unit test code coverage (DustMite can remove all code that
    does not affect the execution of your unit tests).
  * Similarly, if you have complete test coverage, it can be used for
    reducing the source tree to a minimal tree which includes support for
    only enabled unittests.
    This can be used to create a version of a program or library with a
    test-defined subset of features.
  * The --obfuscate option can obfuscate your code's identifiers.

Package: dustracing2d
Description-md5: 95462a5e839b362c04768e0736593a2c
Description-en: tile-based 2D racing game
 Dust Racing (Dustrac) is a tile-based, cross-platform 2D racing game.
 .
 Dust Racing comes with a level editor for easy level creation.
 .
 Features:
  * 1-2 human players against 11 challenging computer players
  * 3 difficulty settings: Easy, Medium, Senna
  * Split-screen two player game (vertical or horizontal)
  * Game modes: Race, Time Trial, Duel
  * 2D graphics with some 3D objects
  * Smooth game play and physics
  * Multiple race tracks
  * Finishing in TOP-6 will unlock the next race track
  * Star ratings based on the best positions on each race track
  * Easy to create new race tracks with the level editor
  * Engine and collision sounds
  * Pit stops
  * Runs windowed or fullscreen

Package: dustracing2d-data
Description-md5: 6c218021ca1161d18a53499ca56b0b1c
Description-en: data files for Dust Racing 2D
 Dust Racing (Dustrac) is a tile-based, cross-platform 2D racing game.
 .
 This package contains the data files for Dust Racing 2D.

Package: dv4l
Description-md5: 09a323034cddcd8bf82043f57ac695dd
Description-en: Redirect V4L API to access a camcorder from a V4L program
 dv4l enables programs to access a dv1394 (Firewire) camcorder by using
 the video4linux (V4L) API.  dv4lstart uses the LD_PRELOAD facility to
 intercept calls to the V4L API and make the camcorder's videostream available.

Package: dvb-apps
Description-md5: e8ba88ef5f32a52a6cafaa7a02e4bfb9
Description-en: Digital Video Broadcasting (DVB) applications
 Applications and utilities geared towards the initial setup, testing
 and operation of an DVB device supporting the DVB-S, DVB-C, DVB-T,
 and ATSC standards.
 .
 Main User Applications:
  . scan               - Scan for channels on your digital TV device
  . dvbscan            - Another frequency scanning tool
  . czap, szap, tzap   - Tuning utilities for DVB-C, DVB-S, DVB-T
  . azap               - Tuning utility for ATSC
  . gnutv              - Tune, watch and stream your TV
 .
 General Utilities:
  . dvbdate    - Set your clock from digital TV
  . dvbnet     - Control digital data network interfaces
  . dvbtraffic - Monitor traffic on a digital device
  . femon      - Monitor the tuning on a digital TV device
  . zap        - *Just* tunes a digital device
  . atsc_epg   - Display ATSC Electronic Program Guide (next programs)
  . alevt      - Teletext browser
 .
 Hardware Specific Utilities:
  . util/av7110_loadkeys    - Load remote keys into an av7110 based card
  . util/dib3000-watch      - Monitor DIB3000 demodulators
  . util/dst-utils          - Utilities for DST based cards
  . util/ttusb_dec_reset    - Reset a TechnoTrends TTUSB DEC device
 .
 Libraries:
  . lib/libdvbapi   - Interface library to digital TV devices
  . lib/libdvbcfg   - Library to parse/create digital TV channel
  . lib/libdvbsec   - Library for Satellite Equipment Control operations
  . lib/libucsi     - Fast MPEG2 Transport Stream SI table parsing library
  . lib/libdvben50221- Implementation of a Cenelec EN 50221 CAM stack
  . lib/libdvbmisc  - Miscellaneous utilities used by the other libraries

Package: dvb-tools
Description-md5: a54cfaa4944c8dc2a147a912f1a0a388
Description-en: Collection of command line DVB utilities
 This is a series of tools written to help testing and working with DVB,
 using its latest V5 API. The tools can also work with the DVBv3 API.
 .
 The current tools are:
 .
 dvb-fe-tool: a simple test application, that reads from the frontend.
 it also allows one to change the default delivery system.
 In the future, it may be used to change any property via command line.
 .
 dvb-format-convert: converts from zap and scan initial-tuning-data-file
 into the new format defined to work with DVBv5.
 .
 dvbv5-scan: a DVBv5 scan tool.
 .
 dvbv5-zap: a DVBv5 zap tool. It allows one to tune into a DVB channel,
 and to watch to a DVB service (e. g. receiving the video and audio
 streams, via another application using the dvr device).

Package: dvbackup
Description-md5: a8c1856eff9332d996f090db6bfa7bd9
Description-en: backup tool using MiniDV camcorders
 Dvbackup hides the data it receives on standard input in a perfectly
 legal DV (digital video) stream. This allows you to use your DV camcorder
 and your DV cartridges as a potent mass storage system. Obviously, your
 system and your camcorder have to be connected via IEEE1394 (aka Firewire,
 iLink).
 .
 Current digital camcorders can save approximately 13 GB of data on those
 tiny DV cartridges at a speed of 3.6 MB/sec. That's faster than most
 DAT streamers which only work at 1 MB/sec or less. dvbackup can not use all of
 the data, but 10 GB should be good enough for everyone.
 .
 To bring the data on tape, you have to use an additional utility, called
 dvconnect, which is included in libdv-bin.

Package: dvbcut
Description-md5: 1962513073dfac978dc8e5e8592c9bbb
Description-en: Qt application for cutting parts out of DVB streams
 DVBcut is a Qt application that allows you to select certain parts of an
 MPEG transport stream (as received via Digital Video Broadcasting, DVB) and
 save these parts into a single MPEG output file. It follows a `keyhole
 surgery'' approach where the input video and audio data is mostly kept
 unchanged, and only very few frames at the beginning and/or end of the
 selected range are re-encoded in order to obtain a valid MPEG file.

Package: dvblast
Description-md5: 3dfb83d4f9116578511b84bc2a09590e
Description-en: Simple and powerful dvb-streaming application
 DVBlast is a simple and powerful streaming application based on the linux-dvb
 API.
 It opens a DVB device, tunes it, places PID filters, configures a CAM module,
 and demultiplexes the packets to several RTP outputs.
 .
 DVBlast is designed to be the core of a custom IRD or CID, based on a PC with
 Linux-supported DVB cards.
 .
 DVBlast does not do any kind of processing on the elementary streams, such as
 transcoding, PID remapping or remultiplexing. it does not stream from plain
 files, only DVB devices. If you were looking for these features, switch to VLC.

Package: dvbpsi-utils
Description-md5: 083e18d15fc67ca8bca22ddd6037eea4
Description-en: utilities and example programs for libdvbpsi
 libdvbpsi is a simple library designed for MPEG TS and DVB PSI tables
 decoding and generating.
 .
 This package contains the dvbinfo utility as well as other example
 programs.

Package: dvbsnoop
Description-md5: e3b50c499127ed5c3c6bbfd1355e78ff
Description-en: DVB / MPEG stream analyzer
 This sniffer program can monitor, analyze, debug, dump or view DVB / MPEG /
 DSM-CC / MHP stream information:
  * ISO/DVB basic sections: BAT, PAT, SDT, NIT, ...
  * DSM-CC: INT, MPE, MPE FEC, Datagram, ...
  * TS (Transport Stream), PS (Program Stream),
    PES (Packetized Elementary Stream)
 .
 Input can be a live stream from a DVB card, or a recorded stream.
 .
 For DVB cards, it can also dump frontend information and status, and make a
 PID scan.

Package: dvbstream
Description-md5: a221b1b5f74e354c506ff9080834de45
Description-en: Broadcast a DVB Transport stream over a LAN
 DVBstream is based on the ts-rtp package available at
 http://www.linuxtv.org.  It broadcasts a (subset of a) DVB transport
 stream over a LAN using the rtp protocol.

Package: dvbstreamer
Description-md5: 2ad06bfd8dd5f67b1eb50259b1077a3e
Description-en: a console based streamer for DVB/ATSC service(s)
 DVBStreamer is a console based application to stream DVB/ATSC service(s)
 over UDP or to a file. It is more that just an app to stream AV
 though and feature a simple plugin architecture to allow
 more features to be added.

Package: dvbtune
Description-md5: b3f2ea30f25db416f7aa95a4f1cee71b
Description-en: Simple tuning application for DVB cards
 DVBtune is a simple application to allow the tuning of DVB cards
 supported by the Linux DVB driver.
 .
 It is still very experimental, especially the XML output.

Package: dvd+rw-tools
Description-md5: 63f942222e20cd33a7d6646892c22266
Description-en: DVD+-RW/R tools
 The dvd+rw-tools suite makes it possible to burn DVD images created by
 dvdauthor or genisoimage to DVD+R, DVD+RW, DVD-R, and DVD-RW disks,
 replacing cdrecord-proDVD in many cases.
 .
 This package contains dvd+rw-mediainfo (to give details about DVD
 disks), and some programs to control the write speed and obtain
 information from DVD-RAM.
 .
 Additionally, it depends on the growisofs package to provide the main
 front-end for burning DVD-like media.

Package: dvdauthor
Description-md5: 8e5be0dd159d3017c5a4194ef8339bd3
Description-en: create DVD-Video file system
 dvdauthor is a program that will generate a DVD movie from a valid
 mpeg2 stream that should play when you put it in a DVD player.

Package: dvdbackup
Description-md5: b4879cb8d0f49e81b7b69c9613032cb1
Description-en: tool to rip DVD's from the command line
 dvdbackup will extract all (or optionally only selected) titles as found
 on the dvd.  It will structure the extracted files in a format suitable for
 burning at a later time with genisoimage and dvdrecord.  Has the advantage of
 being very easy to use, small, and fast.

Package: dvdbackup-dbg
Description-md5: 491f3ef60b97db56d593abdca1aafe89
Description-en: debug files for dvdbackup
 dvdbackup will extract all (or optionally only selected) titles as found
 on the dvd.  It will structure the extracted files in a format suitable for
 burning at a later time with genisoimage and dvdrecord.  Has the advantage of
 being very easy to use, small, and fast.
 .
 This package contains the stripped debugging symbols from dvdbackup.

Package: dvdisaster
Description-md5: 1c9a2632539347f46a8493e12ea69c19
Description-en: data loss/scratch/aging protection for CD/DVD media
 dvdisaster provides a margin of safety against data loss on CD and DVD media
 caused by scratches or aging media. It creates error correction data which is
 used to recover unreadable sectors if the disc becomes damaged at a later time.

Package: dvdisaster-doc
Description-md5: 559fd90a21ef8c80b77c726fc625617a
Description-en: data loss/scratch/aging protection for CD/DVD media (documentation)
 dvdisaster provides a margin of safety against data loss on CD and DVD media
 caused by scratches or aging media. It creates error correction data which is
 used to recover unreadable sectors if the disc becomes damaged at a later time.
 .
 This package contains the documentation.

Package: dvdtape
Description-md5: a59ade0a5e58d93e91f68961dfe4c022
Description-en: Create DVD master filesystems on DLT media
 This tool will create the control files needed when mastering DVDs for
 manufacturing (DDP information, DDPMS information, "lead in") and
 output them to DLT tape(s) or disk files as desired.

Package: dvgrab
Description-md5: 412c523a49f1311a15db4dfe32b2087c
Description-en: grab digital video data via IEEE1394 and USB links
 dvgrab receives audio and video data from a digital camcorder via an
 IEEE1394 (widely known as FireWire) or USB link and stores them into
 one of several file formats. It features autosplit of long video
 sequences, and supports saving the data as raw frames, AVI type 1,
 AVI type 2, Quicktime DV, a series of JPEG stills or MPEG2-TS.

Package: dvhtool
Description-md5: 9170eccbc3bc4240a3c27a7734180d60
Description-en: Manipulate the volume header on sgi partition layouts
 This tool is used to manipulate volume headers of devices using sgi disk
 labels, like moving files into and out of the volume header.

Package: dvi2dvi
Description-md5: 77c6462057dd951d2b13c40c0ea619d8
Description-en: Tweak DVI files
 dvi2dvi can:
  - expand virtual fonts in given DVI files,
  - change font names in given DVI files,
  - decompose 2-byte fonts into 1-byte subfonts.
 With dvi2dvi, you can convert DVI files generated by NTT jTeX to
 those of ASCII pTeX, and vice versa.

Package: dvi2ps
Description-md5: 99abab39fd1818e7a4cbd076142bec0f
Description-en: TeX DVI-driver for NTT JTeX, MulTeX and ASCII pTeX
 dvi2ps is another converter of DVI file to PostScript file.
 dvi2ps can handle dvi files of NTT JTeX, MulTeX and ASCII pTeX.

Package: dvi2ps-fontdata-a2n
Description-md5: 3de62120ff6ab0ed7ed16834c56f6a65
Description-en: Font data to convert pTeX's dvi file to jTeX's dvi file
 Virtual font for converting dvi files of ASCII pTeX to dvi files to NTT jTeX.
 .
 If you want to convert pTeX dvi to jTeX dvi, install this package and
 use dvi2dvi.

Package: dvi2ps-fontdata-ja
Description-md5: 2c1dd60b872b002689a3fe3645276f0b
Description-en: Font data for dvi2ps-j and dvi2dvi
 Virtual fonts and TFM files for Morisawa fonts, and VFlib.
 .
 If you want to convert pTeX dvi and/or jTeX dvi to Postscript using
 dvi2ps, install this package.

Package: dvi2ps-fontdata-n2a
Description-md5: 031bdc9b166ed97e49deaaaaca62515a
Description-en: Font data to convert jTeX dvi file to pTeX dvi file
 Virtual font for converting dvi files of NTT jTeX to dvi files of ASCII pTeX.
 .
 If you want to convert jTeX dvi to pTeX dvi, install this package and
 use dvi2dvi.

Package: dvi2ps-fontdata-ptexfake
Description-md5: 90fba73e1fcb364018f8b0e7e471a523
Description-en: Fake pTeX TFM files
 Monometric TFM files for min and goth fonts of ASCII pTeX.
 .
 If you want only to convert pTeX dvi to Postscript and/or to preview
 pTeX dvi with xdvik-ja, install this package instead of ptex-base.

Package: dvi2ps-fontdata-rsp
Description-md5: 300a54db5b6ed204bd3ff5f315ca1702
Description-en: Font data of RICOH SP10 printer
 Virtual font and TFM files for RICOH SP-10 printer.
 .
 If you want to print out to RICOH PC LASER SP-10PS, install this
 package.

Package: dvi2ps-fontdata-tbank
Description-md5: aaa1a7f040738c1db5f3c7c3a7ceb3d0
Description-en: Font data of Typebank font
 Virtual font and TFM files for Typebank font.
 .
 If you want to print out to QMS printer, install this package.

Package: dvi2ps-fontdata-three
Description-md5: a82410e500a4ba6af86f0a5b4c691539
Description-en: Font data of Adobe Japanese fonts (futomin, futogo, jun101)
 Virtual font and TFM files for Adobe postscript fonts:
 FutoMinA101-Bold-H, FutoGoB101-Bold-H, Jun101-Light-H
 Also style file and fontdesc file for these fonts.

Package: dvi2ps-fontdesc-morisawa5
Description-md5: 1cae89f7f47c5c7100766849d7aa1955
Description-en: fontdesc files of dvi2ps for Morisawa Basic-5 type faces
 You can convert DVI file with Morisawa Basic-5 type faces of vfdata-morisawa5
 to PS file by dvi2ps with this package.
 .
 You should run 'dvi2ps -F morisawa' for Morisawa Basic-5 type faces.

Package: dvidvi
Description-md5: a08360ba1c455f3184c02f5ee02f547a
Description-en: Manipulate .dvi files
 Allows you to select, change the order, and/or shift the pages in
 a .dvi file.
 .
 This can for example be used to print an A5 booklet on A4 paper, in
 such a way that you can put a staple through the bundle. A shell
 script that does just that is provided.

Package: dvipng
Description-md5: 5a07c90ad09a037b4cdf0fc0a7aa18bb
Description-en: convert DVI files to PNG graphics
 dvipng makes PNG graphics from DVI files as obtained from TeX
 and its relatives.  It is intended to produce anti-aliased
 screen-resolution images as fast as is possible.  This makes
 dvipng suitable for generating large amounts of images
 on-the-fly.
 .
 dvipng does not read the postamble, so it can be started before TeX
 finishes.  It can read options interactively through stdin,
 and all options are usable. One can even change the input file through
 this interface.
 .
 dvipng supports PK, VF, PostScript Type1, and TrueType fonts, color
 specials and simple PostScript inclusion specials.

Package: dvisvgm
Description-md5: 6ea005ee1802e37799f2dafb8ae4159d
Description-en: DVI to SVG converter
 dvisvgm is a utility for TeX/LaTeX users. It converts DVI, EPS, and
 PDF files to the XML-based scalable vector graphics format SVG.

Package: dvorak7min
Description-md5: 7c45a3ec9a39d327386ecbe2d8b3c244
Description-en: typing tutor for Dvorak keyboards
 dvorak7min is a typing tutor to help you learn the Dvorak keyboard layout.
 .
 It consists of 29 short lessons and will gives immediate feedback on typing
 speed and accuracy.

Package: dvtm
Description-md5: 288a3e5bd82553f174257c57ad071bc4
Description-en: Tiling window management for the console
 dvtm (dynamic virtual terminal manager) brings dwm and its concept of tiling
 window management to the console. As a console window manager it tries to make
 it easy to work with multiple console based programs.

Package: dwarfdump
Description-md5: a4f78a6974965942c7992279042bc94b
Description-en: utility to dump DWARF debug information from ELF objects
 Dwarfdump is an application that can print the DWARF debugging
 information of an ELF object file in a human-readable form. It can
 also be used to check and validate manipulated DWARF sections.
 .
 This utility is part of dwarfutils.

Package: dwarves
Description-md5: f56916834da42533de4999daa9a31a25
Description-en: set of advanced DWARF utilities
 This package contains tools that use the DWARF debugging information
 inserted in ELF binaries by the compiler. This information is used by
 debuggers (e.g. GDB), and other tools such as systemtap.
 .
 Utilities in the dwarves suite include:
 .
  - pahole: finds alignment holes in structs and classes in languages
    such as C/C++, CPU cacheline alignment. Helps repack those structures
    to achieve more cache hits.
  - codiff: a diff like tool to compare the effects changes in source
    code generate on the resulting binaries
  - pfunct: displays all sorts of information about functions, inlines, etc.
  - pdwtags: pretty-prints DWARF information
  - pglobal: lists global symbols
  - prefcnt: counts DWARF tags usage
  - syscse: system call sign extender
  - dtagnames: lists tag names

Package: dwdiff
Description-md5: 66edb2f54f6b6dc08d3a45a2a4fb784e
Description-en: diff program that operates word by word
 dwdiff is a diff program that operates at the word level
 instead of the line level. It is different from wdiff in that it allows the
 user to specify what should be considered whitespace, and in that it takes an
 optional list of characters that should be considered delimiters. Delimiters
 are single characters that are treated as if they are words, even when there
 is no whitespace separating them from preceding words or delimiters. dwdiff
 is mostly commandline compatible with wdiff. Only the --autopager, --terminal
 and --avoid-wraps options are not supported.
 .
 The default output from dwdiff is the new text, with the deleted and inserted
 parts annotated with markers. Command line options are available to change
 both what is printed, and the markers.

Package: dwgsim
Description-md5: 381bb17b7e47eb669c7e3682c12b3b8d
Description-en: short sequencing read simulator
 DWGSIM simulates short sequencing reads from modern sequencing platforms.
 DWGSIM generates base error rates using a parametric model, allowing a more
 realisic error profile. It was originally developed for use in evaluating
 short read aligners.

Package: dwm
Description-md5: 8cb85e50ac4878fe7f313053f30e9329
Description-en: dynamic window manager
 dwm is a minimalistic window manager. It manages windows in tiling and floating
 modes. Either mode can be applied dynamically, depending on the application in
 use and the task performed.
 .
 In tiling mode windows are managed in a master and stacking column. The master
 column contains the window which needs most attention at a time, whereas the
 stacking column contains all other windows in a stack. Dialog windows are
 managed floating, however.
 .
 In floating mode windows can be resized and moved freely. Windows are grouped
 by tags. All windows with a specific tag can be viewed at a time. But each
 window may contain more than one tag, which makes it visible in several views.
 .
 Please notice that dwm is currently customized through editing its source code,
 so you probably want to build your own dwm packages. This package is compiled
 with the default configuration and should just give you an idea about what dwm
 brings to your desktop.

Package: dwww
Description-md5: 3803e0ba06877c17a22ae6d69bdc4ad9
Description-en: Read all on-line documentation with a WWW browser
 All installed on-line documentation will be served via a local HTTP
 server at http://localhost/dwww/. This package runs cron scripts to
 convert available resources to the HTML pages.  Executing the dwww
 command starts a sensible WWW browser locally to access them.
 .
 You need to install a CGI-capable HTTP server and enable its CGI script
 capability manually (CGI may be disabled by default for the security
 consideration).  For apache2, do something along the following:
 .
  $ sudo a2enmod cgid
  $ sudo systemctl restart apache2
 .
 The default for the HTTP/CGI access is limited to the local user for
 the security consideration.

Package: dx
Description-md5: 34a6ddd6f579d7141ce02e825983147b
Description-en: OpenDX (IBM Visualization Data Explorer) - main package
 Data Explorer is a system of tools and user interfaces for visualizing data.
 In general terms the visualization of data can be considered a 3-stage
 process:
    1. Describing and importing data
    2. Processing the data through a visualization program
    3. Presenting the resulting image.
 This is the main package.

Package: dx-doc
Description-md5: 21a052d970f7f13762976dc909974243
Description-en: OpenDX (IBM Visualization Data Explorer) - documentation
 Data Explorer is a system of tools and user interfaces for visualizing data.
 In general terms the visualization of data can be considered a 3-stage
 process:
    1. Describing and importing data
    2. Processing the data through a visualization program
    3. Presenting the resulting image.
 This is the documentation package. It includes online help and html
 documentation.

Package: dxf2gcode
Description-md5: 709c7b64af0ee6323e01ef38ef53d0f8
Description-en: prepares drawings of parts for automatic machine tools
 This program reads 2D mechanical drawings of parts to be fabricated
 and produces G-code tool movement instructions for running on automatic
 machine tools (CNC machines) such as milling machines and lathes.
 .
 This is a graphical CAM (Computer Aided Manufacturing) program.
 It accepts input in DXF, PDF, or Postscript format.  It supports milling,
 drilling, and turning operations, as well as work-holding tabs.

Package: dxsamples
Description-md5: 0522675c80279676e956fe090bd0eb7f
Description-en: Sample programs for the OpenDX Data Explorer
 This package contains examples of scripts and networks for the OpenDX
 Data Explorer. They are referenced in the OpenDX tutorial, but can also
 be used stand-alone to browse and investigate.

Package: dxtool
Description-md5: f340cc2504203f439f8eba0538c47403
Description-en: DistoX data download utility
 dxtool is a minimal implementation of the DistoX protocol
 which will download measurements from the device over a
 bluetooth serial (SPP) connection.
 .
 The DistoX is a cave survey instrument designed by Beat
 Heeb.

Package: dxvk
Description-md5: 641cec5e8f161c516cc053e07a818d42
Description-en: Vulkan-based translation layer for Direct3D 10/11
 DXVK is a Vulkan-based translation layer for Direct3D 10/11 which
 allows running 3D applications on Linux using Wine.
 .
 DXVK aims to provide support for D3D11, feature level 11_1, and
 D3D10, feature level 10_1.
 .
 This package contains the dxvk-setup script that configures
 or removes DXVK from a Wine prefix.

Package: dxvk-wine64-development
Description-md5: 73e972de5c088f1c4633f5cb1a233732
Description-en: Vulkan-based translation layer for Direct3D 10/11 - wine64 build
 DXVK is a Vulkan-based translation layer for Direct3D 10/11 which
 allows running 3D applications on Linux using Wine.
 .
 DXVK aims to provide support for D3D11, feature level 11_1, and
 D3D10, feature level 10_1.
 .
 This package contains the wine64-development build.

Package: dynamite
Description-md5: 00b801fc1af91c4a40194fcf0a479d48
Description-en: PKWARE Data Compression decompressor
 Dynamite is a tool and library for decompressing data compressed with PKWARE
 Data Compression Library and it was created from the specification provided
 by a post in the comp.compression newsgroup.

Package: dynare
Description-md5: df6e3d537dc85b8645aaabade6024bc5
Description-en: platform for handling a wide class of economic models
 Dynare is a software platform for handling a wide class of economic models, in
 particular dynamic stochastic general equilibrium (DSGE) and overlapping
 generations (OLG) models. The models solved by Dynare include those relying on
 the rational expectations hypothesis, wherein agents form their expectations
 about the future in a way consistent with the model. But Dynare is also able
 to handle models where expectations are formed differently: on one extreme,
 models where agents perfectly anticipate the future; on the other extreme,
 models where agents have limited rationality or imperfect knowledge of the
 state of the economy and, hence, form their expectations through a learning
 process. In terms of types of agents, models solved by Dynare can incorporate
 consumers, productive firms, governments, monetary authorities, investors and
 financial intermediaries. Some degree of heterogeneity can be achieved by
 including several distinct classes of agents in each of the aforementioned
 agent categories.
 .
 Dynare offers a user-friendly and intuitive way of describing these models. It
 is able to perform simulations of the model given a calibration of the model
 parameters and is also able to estimate these parameters given a dataset. In
 practice, the user will write a text file containing the list of model
 variables, the dynamic equations linking these variables together, the
 computing tasks to be performed and the desired graphical or numerical
 outputs.
 .
 This package provides a full installation of Dynare, to be run on top of GNU
 Octave.

Package: dynare-doc
Description-md5: ec4af546f1e67c4f934d48a22acd98eb
Description-en: documentation for Dynare
 Dynare is a software platform for handling a wide class of economic models, in
 particular dynamic stochastic general equilibrium (DSGE) and overlapping
 generations (OLG) models. The models solved by Dynare include those relying on
 the rational expectations hypothesis, wherein agents form their expectations
 about the future in a way consistent with the model. But Dynare is also able
 to handle models where expectations are formed differently: on one extreme,
 models where agents perfectly anticipate the future; on the other extreme,
 models where agents have limited rationality or imperfect knowledge of the
 state of the economy and, hence, form their expectations through a learning
 process. In terms of types of agents, models solved by Dynare can incorporate
 consumers, productive firms, governments, monetary authorities, investors and
 financial intermediaries. Some degree of heterogeneity can be achieved by
 including several distinct classes of agents in each of the aforementioned
 agent categories.
 .
 Dynare offers a user-friendly and intuitive way of describing these models. It
 is able to perform simulations of the model given a calibration of the model
 parameters and is also able to estimate these parameters given a dataset. In
 practice, the user will write a text file containing the list of model
 variables, the dynamic equations linking these variables together, the
 computing tasks to be performed and the desired graphical or numerical
 outputs.
 .
 This package contains full Dynare documentation, including the reference
 manual.

Package: dyndns
Description-md5: 036f7c014a7d8c63c234a7215173922c
Description-en: dynamic DNS (DDNS) update client implemented in Perl
 Map dynamic IP address into your.hostname.example.org. A
 cross-platform solution for DHCP ISP-connected users to obtain
 permanent DNS, MX, and Web hosting service from a DDNS provider (e.g.
 dyndns.org). Works anywhere where Perl is installed.

Package: dzedit
Description-md5: 0f738b6678f325ddc1365b270c8ed614
Description-en: CERNLIB data analysis suite - ZEBRA documentation editor
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 DZedit is an interactive interface to the DZDOC (ZEBRA documentation) system
 developed at CERN. ZEBRA, part of the Packlib library, allows the creation
 of complex data structures in the FORTRAN 77 language; the DZDOC system
 helps to generate and maintain documentation for ZEBRA data structures.

Package: dzen2
Description-md5: ad3731d926486924dab0bcc6a64d3569
Description-en: General-purpose messaging and notification program for X11
 Dzen was designed to be scriptable in any language and integrate well
 with window managers like dwm, wmii and xmonad though it will work
 with any window manger.

Package: e-mem
Description-md5: a8d314b23e03422aaffd210350781251
Description-en: Efficient computation of Maximal Exact Matches for very large genomes
 E-MEM enables efficient computation of Maximal Exact Matches (MEMs) that
 does not use full text indexes. The algorithm uses much less space and
 is highly amenable to parallelization. It can compute all MEMs of
 minimum length 100 between the whole human and mouse genomes on a 12
 core machine in 10 min and 2 GB of memory; the required memory can be as
 low as 600 MB. It can run efficiently genomes of any size. Extensive
 testing and comparison with currently best algorithms is provided.
 .
 Mummer has many different scripts where one of the key program is MEM
 computation. In all the scripts, the MEM computation program can be
 replaced with e-mem with ease for better performance.

Package: e-wrapper
Description-md5: ff6315cad586dc16fd63fe71b27a52bc
Description-en: invoke your editor, with optional file:lineno handling
 All or almost all Unix editors support the syntax of "editor +42 file", to
 open the given file and start with the cursor at line 42.  Alas, the syntax
 programs that output such data use is different: either "file:42: Something"
 or "file:42:1: Something", :1 being a column number.
 .
 This wrapper will recognize such references and call your $EDITOR using the
 + notation.  Thus, once you see an error message, you can copy&paste the
 first word of it without having to manually adjust.  Especially if your
 mouse selection is configured to allow ':', it's a single triple-click
 (L+L+M), and still pretty handy if not.
 .
 If your editor allows multi-open, "e" can also handle pipelines such as:
 * git grep MEOW|e -:
 * make 2>&1 >/dev/null|grep error:|e -::
 .
 Also, because of its short name, it reduces the typing needed to start the
 editor by half if you use vi, by 80% if emacs or jstar -- and that's by far
 the most frequent command an Unix user does.

Package: e00compr
Description-md5: ca038b6faf08faf200fd56d457069811
Description-en: Program to read/write Arcinfo compressed E00 files
 E00compr is an ANSI C library that reads and writes Arcinfo compressed E00
 files. Both "PARTIAL" and "FULL" compression levels are supported. E00 files
 are the vector import/export format for Arcinfo. It is plain ASCII and is
 meant as an interchange format. ESRI considers the format to be proprietary,
 so this package may not read all E00 files as ESRI may change the format.
 .
 This package is useful for importing E00 files into the grass GIS system.
 .
 It contains the e00conv command-line program, which takes a E00 file as
 input (compressed or not) and copies it to a new file with the requested
 compression level (NONE, PARTIAL or FULL). The library is not included
 at this stage.

Package: e2fsck-static
Description-md5: 0f5fb4f2ba168adf31e7b0a640de0d89
Description-en: statically-linked version of the ext2/ext3/ext4 filesystem checker
 This may be of some help to you if your filesystem gets corrupted enough
 to break the shared libraries used by the dynamically linked checker.
 .
 This binary takes much more space than its dynamic counterpart located
 in e2fsprogs, though.
 .
 You may want to install a statically-linked shell as well, to be able
 to run this program if something like your C library gets corrupted.

Package: e2fsprogs-l10n
Description-md5: 807b6cb4fcea4e66152bf7951fcc5515
Description-en: ext2/ext3/ext4 file system utilities - translations
 The ext2, ext3 and ext4 file systems are successors of the original ext
 ("extended") file system. They are the main file system types used for
 hard disks on Debian and other Linux systems.
 .
 This package provides translations for messages for programs found in
 the 'e2fsprogs' package.

Package: e2guardian
Description-md5: b0e8394254d849c17a166b819a3ab35f
Description-en: Web content filtering (Dansguardian fork)
 e2guardian filters the content of pages based on many methods
 including phrase matching, PICS filtering and URL filtering. It does
 not purely filter based on a banned list of sites.
 .
 It provides real-time virus scanning capabilities for content access.
 .
 e2guardian is designed to be completely flexible and allows you to tailor
 the filtering to your exact needs. It can be as draconian or as
 unobstructive as you want. The default settings are geared towards what a
 primary school might want but e2guardian puts you in control of what you
 want to block.
 .
 e2guardian requires squid or another similar caching proxy server
 on your local network.

Package: e2ps
Description-md5: ae51488ac3eaa3bf880a956f5c87a1f7
Description-en: Convert plain text into PostScript
 e2ps is a tool for processing and printing japanese EUC code, mainly
 EUC files.
 .
 This program provides a plain text to PostScript conversion with
 automatic detection of EUC, JIS and SJIS but may be weak with SJIS.

Package: e2tools
Description-md5: fa4bd219f2f78fd4d8ec7ea1b7d20ac9
Description-en: utilities for manipulating files in an ext2/ext3 filesystem
 E2tools is a simple set of utilities to read, write, and manipulate
 files in an ext2/ext3 filesystem.
 .
 The purpose of these utilities is manipulating ext2/ext3 filesystems
 from userspace without the need for mounting them, so that they can be
 used directly by normal users.
 .
 Included utilities are e2cp, e2mv, e2rm, e2mkdir, e2ln, e2ls and e2tail.

Package: e2wm
Description-md5: 649fbd5160b79be103512c9f9da98c20
Description-en: simple window manager for emacs
 This is an implementation of introducing window management to Emacs.
  * Management of list of editable buffers
  * Assignment of windows for pop-up buffers
  * Switching window layout like the perspective in eclipse
  * Plug-in extension
 .
 The current implementation has following perspectives:
  * code : main coding layout
  * two : side by side layout
  * doc : reading documentation layout
  * dashboard : showing plug-ins like dashboard in Mac OSX
  * array : selecting buffers like expose in Mac OSX

Package: e3
Description-md5: 732734d5cea032f64fa472377e5c81ec
Description-en: very small text editor
 This package contains an editor you can call via the following links:
 .
  * e3em: Emacs-like key bindings
  * e3vi: Vi-like key bindings
  * e3pi: Pico-like key bindings
  * e3ne: Nedit-like key bindings
  * e3ws: Wordstar-like key bindings
 .
 e3 has the following advantages over other editors:
   - it has no library dependencies
   - one very small binary (only 10 kB) that gives you 5 editors

Package: ea-utils
Description-md5: 68e944c2e2cbc6ff1efe839c3a4b9947
Description-en: command-line tools for processing biological sequencing data
 Ea-utils provides a set of command-line tools for processing biological
 sequencing data, barcode demultiplexing, adapter trimming, etc.
 .
 Primarily written to support an Illumina based pipeline - but should work with
 any FASTQs.
 .
 Main Tools are:
 .
  * fastq-mcf
 Scans a sequence file for adapters, and, based on a log-scaled threshold,
 determines a set of clipping parameters and performs clipping. Also does
 skewing detection and quality filtering.
  * fastq-multx
 Demultiplexes a fastq. Capable of auto-determining barcode id's based on a
 master set fields. Keeps multiple reads in-sync during demultiplexing. Can
 verify that the reads are in-sync as well, and fail if they're not.
  * fastq-join
 Similar to audy's stitch program, but in C, more efficient and supports some
 automatic benchmarking and tuning. It uses the same "squared distance for
 anchored alignment" as other tools.
  * varcall
 Takes a pileup and calculates variants in a more easily parameterized manner
 than some other tools.

Package: eag-healpix-java
Description-md5: dbf100b3936d462ce08f9bc215e3be2f
Description-en: Handling of HEALPix sky pixellization
 The package creates sky pixelization to a specified resolution.
 .
 These tools permit creation of HEALPix maps as well as use of
 existing maps. There are tools to translate sky coordinates (Ra, Dec)
 to a pixel number, and to generate a list of neighboring pixels
 within some specified radius. More complicated queries are also
 supported.

Package: eag-healpix-java-doc
Description-md5: c37b80e0b174213ce5c7622d0ab61dd9
Description-en: Handling of HEALPix sky pixellization (API docs)
 The package creates sky pixelization to a specified resolution.
 .
 These tools permit creation of HEALPix maps as well as use of
 existing maps. There are tools to translate sky coordinates (Ra, Dec)
 to a pixel number, and to generate a list of neighboring pixels
 within some specified radius. More complicated queries are also
 supported.
 .
 This package contains the JavaDoc documentation of the package.

Package: eancheck
Description-md5: 82469e14c5d6a5b9247e5e63c176eacc
Description-en: Check digit validator for EAN/PLU/UPC barcode numbers
 Eancheck is a simple program for checking EAN, PLU and UPC check
 digits. It also includes a header file for incorporating such
 functions into your own programs.

Package: earlyoom
Description-md5: af2528669e45f838534ad3de7a75b3d4
Description-en: Early OOM Daemon
 Earlyoom is an userspace OOM-killer which can avoid the system to get into
 unresponsive state caused by swapping, which is most likely to occur when a
 large swap is present and memory is tight. It checks the amount of available
 memory and swap periodically, and when both are below a preconfigured value,
 it kills the largest process.

Package: easy-rsa
Description-md5: 30ef8db774064b75fc32b3b7baedeb03
Description-en: Simple shell based CA utility
 This package eases the creation of certificates, for example for
 openvpn clients.
 .
 This was formerly part of the openvpn package.

Package: easychem
Description-md5: 976da91a146f701051618bed9cd3da69
Description-en: Draw high-quality molecules and 2D chemical formulas
 EasyChem is a program that helps you creating high quality diagrams of
 molecules and 2D chemical formulas that can be exported to PDF, PS, LaTeX
 and fig.
 .
 EasyChem was originally developed to create diagrams for chemistry books and
 is now frequently used for this purpose in commercial and non-commercial
 chemistry-related books.

Package: easygen
Description-md5: bed5437a64e2d0648b9c82b8e0692209
Description-en: Easy to use universal code/text generator
 Command easygen is an easy to use universal code/text generator.
 .
 It can be used as a text, html or a code generator for arbitrary purposes with
 arbitrary data and templates. It can be used as a GSL replacement, as it
 .
  - is more easy to define driving data, in form of YML instead of XML
  - has more powerful template engine that based on Go template.
    You can even write your own function in Go to customize your template.
 .
 You can even use easygen as a generic Go template testing tool.

Package: easygit
Description-md5: 4fbe4fa4ff41ce332b98696066b59618
Description-en: git for mere mortals
 In short, Easy GIT is a single-file wrapper script for git, designed
 to make git easy to learn and use.
 .
 Features:
     * eg focuses on documentation and examples
     * eg removes many principle-of-least-surprise violations that
       catch git newbies unaware
     * eg provides subcommands that are a natural extension of
       capabilities users know from cvs/svn (eg also takes care to
       make sure the modifications to its subcommands are easily
       discoverable and error-avoiding for existing git users as
       well!)

Package: easyh10
Description-md5: ab4db705b7683de09df9d5c3ecc5de92
Description-en: Utility to manage the iRiver H10 music player
 Easyh10 is a command-line utility to generate a media database
 and playlist for an iRiver H10 digital audio player. Actually
 transferring the music files must be done manually.

Package: easystroke
Description-md5: 601e7fba11193f5755e7c4ba033f87a1
Description-en: gesture recognition program
 Easystroke is a gesture-recognition application for X11. It aims to be highly
 configurable while at the same time providing an intuitive user interface. It
 was designed with a Tablet PC in mind, but it also works well with a mouse.

Package: easytag
Description-md5: b0f5409db8a8cb54a9a0bf5e4a0fc8ed
Description-en: GTK+ editor for audio file tags
 EasyTAG is an utility for viewing, editing and writing
 the tags of different audio files, using a GTK+ interface.
 .
 Currently EasyTAG supports the following:
  - View, edit, write tags of MP3, MP2 files (ID3 tag), FLAC files (FLAC Vorbis
    tag), Ogg Opus, Ogg Speex and Ogg Vorbis files (Ogg Vorbis tag),
    MP4/M4A/AAC files (MPEG-4 Part 10 tag), and MusePack, Monkey's Audio files
    (APE tag);
  - Auto tagging: parse file and directory names using masks to automatically
    fill in tag fields;
  - Cover art support for all formats;
  - Rename files from the tag fields (using masks) or by loading a text file;
  - Process selected files of the selected directory;
  - Ability to browse subdirectories;
  - Recursion for tagging, removing, renaming, saving, etc;
  - Can set a field (artist, title, ...) on all other selected files;
  - Read file header information (bitrate, time, ...) and display it;
  - Undo and redo last changes;
  - Ability to process tag fields and file names (convert letters into
    uppercase, lowercase, etc);
  - Ability to open a directory or a file with an external program;
  - CDDB support (from http protocol);
  - A tree based browser;
  - A list to select files;
  - A playlist generator window;
  - A file searching window;
  - Simple and explicit interface.

Package: easytag-nautilus
Description-md5: 65345c7f727b2e5ffcb19b9799a351af
Description-en: Nautilus extension for easytag
 EasyTAG is an utility for viewing, editing and writing
 the tags of different audio files, using a GTK+ interface.
 .
 This is the extension for the Nautilus file manager.

Package: eb-doc
Description-md5: 08b2c79efc620e87f5d2a330f5b8a11d
Description-en: C library for accessing electronic books (documents)
 EB Library is a C library for accessing CD-ROM books.
 .
 EB Library supports to access CD-ROM books of EB, EBG, EBXA, EBXA-C,
 S-EBXA and EPWING formats.  CD-ROM books of those formats are popular
 in Japan.  Since CD-ROM books themseves are stands on the ISO 9660
 format, you can mount the discs by the same way as other ISO 9660 discs.
 .
 This package provides document files of EB Library.

Package: eb-utils
Description-md5: 3566d842a3d77331f8414438b1c6079a
Description-en: C library for accessing electronic books (utilities)
 EB Library is a C library for accessing CD-ROM books.
 .
 EB Library supports to access CD-ROM books of EB, EBG, EBXA, EBXA-C,
 S-EBXA and EPWING formats.  CD-ROM books of those formats are popular
 in Japan.  Since CD-ROM books themseves are stands on the ISO 9660
 format, you can mount the discs by the same way as other ISO 9660 discs.
 .
 This package provides utility commands of EB Library.

Package: eblook
Description-md5: f73a22a5618210ba4577fafc26432480
Description-en: electronic dictionary search command using EB Library
 eblook is a command for accessing electronic dictionaries using EB
 Library.  By this command, you can easily use CD-ROM books of EPWING
 format (EPWING is popular in Japan).
 .
 For emacsen, please install the lookup-el package.  It works as an
 interface to eblook on emacsen.

Package: ebnflint
Description-md5: 23e1e9ca1faf8c2e5912f7035c979b5c
Description-en: verifies EBNF productions are consistent and grammatically correct
 Ebnflint verifies that EBNF productions are consistent and grammatically
 correct. It reads them from an HTML document such as the Go specification.
 .
 Grammar productions are grouped in boxes demarcated by the HTML elements
 .
   <pre class="ebnf">
   </pre>
 .
 Usage:
 .
   ebnflint [--start production] [file]
 .
 The --start flag specifies the name of the start production for the grammar; it
 defaults to "Start".

Package: eboard
Description-md5: da87b5cfec376e95a426e5d27dc2b564
Description-en: GTK+ chessboard program
 eboard is a graphical chess program which can function as an interface to
 Internet chess servers such as FICS and to chess engines such as Crafty.
 .
 eboard has a themeable and freely resizable board, a tabbed or multi-window
 display, and supports multiple simultaneous boards.
 .
 This package contains a chess interface, which means that you can play against
 another human on the same computer, or play on an Internet server.  If you
 would like to play against a computer, you should install a chess engine such
 as gnuchess in addition.

Package: ebook-speaker
Description-md5: e38ac2066ae8956b8f617f3dabf43e0e
Description-en: eBook reader that reads aloud in a synthetic voice
 This package provides a command-line e-reader that reads out
 electronic text using speech synthesis. It has a simple user
 interface appropriate for Braille terminals.
 .
 Currently the following formats are supported (some formats need
 additional packages as suggested by this package):
  AportisDoc
  ASCII mail text
  ASCII text
  Broadband eBooks (BBeB)
  Composite Document File (Microsoft Office Word)
  DAISY3 DTBook
  EPUB ebook data
  GIF image data
  GutenPalm zTXT
  GNU gettext message catalogue
  HTML document
  ISO-8859 text
  JPEG image data
  Microsoft Reader eBook Data
  Microsoft Windows HtmlHelp Data
  Microsoft Word 2007+
  Mobipocket E-book
  MS Windows HtmlHelp Data
  Netpbm PPM data
  OpenDocument Text
  PDF document
  PeanutPress PalmOS
  PNG image data
  POSIX shell script text
  PostScript document
  Rich Text Format
  troff or preprocessor text (e.g. Linux man-pages)
  UTF-8 Unicode mail text
  UTF-8 Unicode text
  WordPerfect
  XML document text

Package: ebook-tools-dbg
Description-md5: 432322b6fdd12c8916aa8029c42e0b52
Description-en: library and tools to work with the EPUB file format - debug symbols
 The ebook-tools provide ways for accessing and converting different
 ebook file formats.
 .
 This C library is free and portable. It includes methods to parse
 EPUB files and extract their contents.
 .
 This package provides the debug symbols for the epub library and the
 utilities.

Package: ebook2cw
Description-md5: ab07c6b1bc202fe0833bda2387070f2c
Description-en: convert ebooks to Morse MP3s/OGGs
 ebook2cw is a command line program which converts a plain text (ISO 8859-1 or
 UTF-8) file (ebook) to Morse code MP3 or OGG audio files.
 .
 It automatically splits and numbers the output files by chapters.
 .
 For a detailed description, please refer to the man-page or the project
 website.

Package: ebook2cwgui
Description-md5: 6071ce85f8c4c4bc2a8ef713af3d954a
Description-en: GUI for ebook2cw
 ebook2cwgui is a graphical user interface (GUI) for the command-line program
 ebook2cw, which converts ebooks to Morse MP3s/OGGs.
 .
 The GUI uses the same configuration file as ebook2cw, called ebook2cw.conf.
 .
 For a detailed description, please refer to the man-page or the project
 website.

Package: ebook2epub
Description-md5: 832eb36b43642abd254330eeb7899267
Description-en: other E-Book formats to EPUB converter
 This package contains a utility for converting E-Books into EPUB documents.

Package: ebook2odt
Description-md5: 5a7fba0ed7947c12c7f303ea3d0fa2f1
Description-en: E-Book formats to OpenDocument converter
 This package contains a utility for converting E-Books into OpenDocument
 text documents (see libe-book for supported formats)

Package: ebumeter
Description-md5: 99d690bac5774160b8b48a8b03ff60a9
Description-en: loudness measurement according to EBU-R128
 These tools help controlling loudness of audio material aimed
 primarily for broadcasting, but they can be useful in other
 situation.
 .
 ebumeter and ebur128 allow users to measure Momentary (400 ms),
 Short term (3s) and Integrated Loudness (from start to stop).
 They can be used on audio files and signals through JACK.
 .
 This package contains two programs:
   * ebumeter - interactive meter working via jackd
   * ebur128 - the command line app to measure sound files

Package: ebumeter-doc
Description-md5: ef1bfbf519d03503c64cdcfad4ffa3ae
Description-en: loudness measurement EBU-R128 - documentation
 These tools help controlling loudness of audio material aimed
 primarily for broadcasting, but they can be useful in other
 situation.
 .
 ebumeter and ebur128 allow users to measure Momentary (400 ms),
 Short term (3s) and Integrated Loudness (from start to stop).
 They can be used on audio files and signals through JACK.
 .
 This package contains html documentation

Package: ebview
Description-md5: bb06c08b8258733df4516dee96c006b3
Description-en: EPWING dictionary viewer
 This tool can read multiple dictionaries at the same time and
 provides a search facility supporting the X11 cut-and-paste buffer.

Package: ecaccess
Description-md5: 53bc991767fb5a1f579a11faffd87133
Description-en: clients to access ECMWF facilities
 ecaccess is a suite of client tools to enable access to the computing
 and data archive facilities of the European Centre for Medium-Range
 Forecasts (ECMWF).
 .
 Strict authentication is performed in a uniform way using SecurID cards
 and standard (X509) certificates.
 SSL is used to guarantee the integrity of the application data,
 the transferred jobs and the monitoring information.

Package: ecasound
Description-md5: 435aa13e3b987172c45850cd92118f87
Description-en: multitrack-capable audio recorder and effect processor
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides the ecasound executable.

Package: ecasound-doc
Description-md5: 64ee71e8984194ce36b6857d81e6e07e
Description-en: documentation files for Ecasound
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides the documentation files for ecasound.

Package: ecasound-el
Description-md5: 59904cd2ebd965b923759325c1012042
Description-en: multitrack-capable audio recorder and effect processor (emacs)
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides an interactive mode for running ecasound sessions from
 within Emacs as well as Emacs Lisp bindings to the Ecasound Control Interface.

Package: ecatools
Description-md5: fccea6a86be0b968315bb20ed3b21cda
Description-en: multitrack-capable audio recorder and effect processor (tools)
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides the following tools:
  * ecaconvert: tool for converting a set of files to a common target format
  * ecafixdc: command-line tool for fixing DC-offset
  * ecalength: tool for printing audio file length and other information
  * ecamonitor: tool for monitoring an active ecasound session
  * ecanormalize: command-line tool for normalizing audio files
  * ecaplay: command-line tool for playing audio files

Package: ecb
Description-md5: eb01e05dbb8fd69368b80ec471810c0c
Description-en: code browser for Emacs supporting several languages
 ECB is source code browser for Emacs. It is a global
 minor-mode which displays a couple of windows that can
 be used to browse directories, files and methods.
 It supports method parsing for Java, C, C++, Elisp etc.

Package: ecbuild
Description-md5: 02afb967de99ff505471e08f585ce930
Description-en: Build system for ECMWF software
 This is a toolkit from ECMWF extend CMAKE with macros used at ECMWF.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: ecdsautils
Description-md5: 02097782f31afd0a347aac0fa63b9778
Description-en: ECDSA elliptic curve cryptography command line tools
 The package provides a series of tools to support, all
 on the basis of the uecc library the
  * generation
  * signing
  * verifification
 of keys for elliptic key cryptography.
 -
 The package became popular in the Freifunk wireless
 networks communities.

Package: ecere-dev
Description-md5: 6b757274e63db85f681bb8e103a801b7
Description-en: Ecere SDK Development Tools
 This package provides the compiling tools
 for the eC language, the Ecere IDE,
 as well as the symlinks for the Ecere
 libraries.

Package: ecere-extras
Description-md5: f288750dbf61ed6e84197e86000977c3
Description-en: Extras for the Ecere SDK
 This package provides miscellaneous utilities
 not yet part of any library in the form of
 source code.

Package: ecere-samples
Description-md5: 055b80351d2d72aef95eb0b068a2df65
Description-en: Project samples for the Ecere SDK
 This package contains samples for
 multimedia, database, graphics,
 communication, and other useful
 software that can be created with
 the Ecere SDK.

Package: ecere-sdk
Description-md5: 69a623821ff184ebdbed9bf9f55eb2fe
Description-en: Ecere cross-platform SDK
 This package is a metapackage providing
 the entire Ecere cross-platform SDK
 (ecere-dev, ecere-samples, ecere-extras,
 libeda0, libedasqlite0).

Package: ecflow-client
Description-md5: 4465c7db96b428b1574b9117dddef6a2
Description-en: Client tools for Meteorological workflow
 ecFlow is a work flow package that enables users to run
 a large number of programs ( with dependencies on each other
 and on time) in a controlled environment.
 It provides reasonable tolerance for hardware and software failures,
 combined with good restart capabilities.
 .
 ecFlow submits tasks(jobs) and receives acknowledgements from tasks
 when they change status and when they send events, using child commands
 embedded in the scripts. ecflow stores the relationship between tasks,
 and is able to submit tasks dependent on triggers.
 .
 This package contains the client tools

Package: ecflow-server
Description-md5: 7e89b304c0e7747b0f79b63729eb8133
Description-en: Meteorological workflow controller - server
 ecFlow is a work flow package that enables users to run
 a large number of programs ( with dependencies on each other
 and on time) in a controlled environment.
 It provides reasonable tolerance for hardware and software failures,
 combined with good restart capabilities.
 .
 ecFlow submits tasks(jobs) and receives acknowledgements from tasks
 when they change status and when they send events, using child commands
 embedded in the scripts. ecflow stores the relationship between tasks,
 and is able to submit tasks dependent on triggers.

Package: echoping
Description-md5: df8002dcabf5f141a01f7751c018b23c
Description-en: Small test tool for TCP servers
 echoping is a small program to test (approximatively) performances of a
 remote host by sending it requests such as HTTP requests.
 .
 In any case, be polite: don't bother the remote host with many repeated
 requests, especially with large size. Ask for permission if you often
 test hosts which aren't yours.
 .
 Current features:
    * plugins, so you can extend echoping with any protocol you like and/or use,
    * Supports IPv6 as well as IPv4,
    * Supports IDN (Unicode domain names),
    * uses the protocols echo, discard, chargen or HTTP,
    * can use cryptographic connections with HTTP,
    * uses UDP instead of TCP for the protocols which accept it (like echo),
    * can repeat the test and display various measures about it,

Package: ecj
Description-md5: ba0a97001b627dcefb46737dc340ede6
Description-en: standalone version of the Eclipse Java compiler
 This package provides a standalone version of the Eclipse JDT compiler which
 is distributed as part of Eclipse. It passes the JCK (Java Compatibility Kit)
 and is compatible with Java 1.3, 1.4, 5, 6, 7, 8 and 9.

Package: ecl
Description-md5: 8146a3c1932f3cd206981e116a32bbf7
Description-en: Embeddable Common-Lisp: has an interpreter and can compile to C
 ECL stands for Embeddable Common-Lisp. The ECL project is an
 effort to modernize Giuseppe Attardi's ECL environment to
 produce an implementation of the Common-Lisp language
 which complies to the ANSI X3J13 definition of the language.
 .
 The current ECL implementation features:
  * A bytecodes compiler and interpreter.
  * A translator to C.
  * A UFFI-compatible interface to C code.
  * A dynamic loader.
  * The possibility to build standalone executables and DLLs.
  * The Common-Lisp Object System (CLOS).
  * Conditions and restarts for handling errors.
  * Sockets as ordinary streams.
  * The GNU Multiprecision library for fast bignum operations.
  * A simple conservative mark & sweep garbage collector.
  * The Boehm-Weiser garbage collector.

Package: eclib-tools
Description-md5: 0eb561b8bbb6cb2cb47894e7198e0b99
Description-en: Programs for modular symbols and elliptic curves over Q
 This package includes several programs to compute with elliptic curves
 over Q ; most notably  mwrank (for 2-descent on elliptic curves over Q)
 and the modular symbol tools used to create the elliptic curve database.

Package: eclipse-debian-helper
Description-md5: 5da57dddf06df56e64eb96a97d7d2a9a
Description-en: Helper tools for building Eclipse related packages
 eclipse-debian-helper is a set of tools used to build Eclipse related package.
 It provides a debhelper buildsystem to compile and package projects
 consisting in a collection of Eclipse bundles.

Package: eclipse-titan
Description-md5: 345a9cf8698420200714137527172bec
Description-en: TITAN TTCN-3 toolset from the Eclipse project
 TTCN-3 is a standardized, modular language specifically designed for testing.
 Eclipse Titan offers a free and open source (FOSS) compiler both for TTCN-3
 and for ASN.1

Package: ecopcr
Description-md5: c0320fc15ab6306cb3db0d0c77c4a5a3
Description-en: estimate PCR barcode primers quality
 DNA barcoding is a tool for characterizing the species origin using a
 short sequence from a standard position and agreed upon position in the
 genome. To be used as a DNA barcode, a genome locus should vary among
 individuals of the same species only to a minor degree and it should
 vary among species very quickly. From a practical point of view, a
 barcode locus should be flanked by two conserved regions to design PCR
 primers. Several manually discovered barcode loci like COI, rbcL, 18S,
 16S and 23S rDNA, or trnH-ps are routinely used today, but no objective
 function has been described to measure their quality in terms of
 universality (barcode coverage, Bc ) or in terms of taxonomical
 discrimination capacity (barcode specificity, Bs ).
 .
 ecoPCR is an electronic PCR software developed by LECA and
 Helix-Project. It helps to estimate Barcode primers quality. In
 conjunction with OBITools you can postprocess ecoPCR output to compute
 barcode coverage and barcode specificity. New barcode primers can be
 developed using the ecoPrimers software

Package: ecosconfig-imx
Description-md5: fa51fb27e4890d41262580aa1143d580
Description-en: eCos configuration tool for imx SoC's RedBoot
 ecosconfig is part of the eCos and RedBoot build systems. This
 package is used to build the redboot-imx package and is
 out of date with the mainstream eCos repository. Unless you intend
 to build redboot-imx, you do not need this package, and if you
 intend to do eCos development, youshould grab a newer version of eCos and
 ecosconfig from eCos homepage.

Package: ecryptfs-utils
Description-md5: 86edf09a53857e8032a0fe1204a813d9
Description-en: ecryptfs cryptographic filesystem (utilities)
 eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem
 for Linux.
 .
 It provides advanced key management and policy features. eCryptfs stores
 cryptographic metadata in the header of each file written, so that encrypted
 files can be copied between hosts; the file will be decryptable with the proper
 key, and there is no need to keep track of any additional information aside
 from what is already in the encrypted file itself. Think of eCryptfs as a sort
 of "gnupgfs".
 .
 eCryptfs is a native Linux filesystem. The kernel module component of eCryptfs
 is part of the Linux kernel since 2.6.19.
 .
 This package contains the userland utilities.

Package: ecryptfs-utils-dbg
Description-md5: 6b21be33a53e819cceba44f8b3206783
Description-en: ecryptfs cryptographic filesystem (utilities; debug)
 eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem
 for Linux.
 .
 This package contains the debugging symbols.

Package: ed2k-hash
Description-md5: f471f0b7e185836f13d7709eda354399
Description-en: tool for generating ed2k-links
 This tool is useful for people who want to know the ed2k-links of files they
 are not currently sharing, or who want the ed2k-links for all files in certain
 directories etc. You can hash single files, multiple files, whole directories,
 or whole directory trees.
 .
 ed2k-hash can be used for several activities, as forensics investigations.

Package: edac-utils
Description-md5: cad75191b26a9862f1cc644be4327cad
Description-en: report kernel-detected PCI and ECC RAM errors
 This package contains the user-space utilities for use with the EDAC
 kernel subsystem.  EDAC (Error Detection and Correction) is a set of
 Linux kernel modules for handling hardware-related errors.  Currently
 its major focus is ECC memory error handling. However it also detects
 and reports PCI bus parity errors.
 .
 PCI parity errors are supported on all architectures (and are a
 mandatory part of the PCI specification).
 .
 Main memory ECC drivers are memory controller specific.  At the time
 of writing, drivers exist for many x86-specific chipsets and CPUs,
 and some PowerPC, and MIPS systems.
 .
 This package provides command lines tools

Package: edb-debugger
Description-md5: 17ce7885467f84f0ba348dc89fc8f3eb
Description-en: cross platform x86/x86-64 debugger
 edb is a graphical cross platform x86/x86-64 debugger.
 It was inspired by Ollydbg, but aims to function on x86
 and x86-64 as well as multiple OS's. Linux is the only
 officially supported platform at the moment, but FreeBSD,
 OpenBSD, OSX and Windows ports are underway with varying
 degrees of functionality.

Package: edb-debugger-plugins
Description-md5: 5f10c44ecbb916fee14214d5d9169b46
Description-en: edb plugins
 edb is a graphical cross platform x86/x86-64 debugger.
 It was inspired by Ollydbg, but aims to function on x86
 and x86-64 as well as multiple OS's. Linux is the only
 officially supported platform at the moment, but FreeBSD,
 OpenBSD, OSX and Windows ports are underway with varying
 degrees of functionality.
 .
 This package provides the plugins that used by edb.

Package: edbrowse
Description-md5: ecc4b1917bfcb0d22e6940aa458b95a5
Description-en: /bin/ed-alike webbrowser written in C
 edbrowse is a reimplementation of /bin/ed, with some basic
 differences (it uses Perl regular expressions) with the ability to
 visit webpages and ftp sites. edbrowse performs basic transformations
 on the html source to produce a readable representation. edbrowse
 supports Forms, Frames, Netscape-style cookies, HTTPS
 connections and JavaScript.

Package: edenmath.app
Description-md5: befc794984eb58dba6a068dd7e4b9494
Description-en: Scientific calculator for GNUstep
 EdenMath is a scientific calculator. It does standard arithmetic,
 probability, and trigonometric functions.
 .
 This is a GNUstep port of the original MacOS X application:
 http://www.edenwaith.com/products/edenmath/

Package: edfbrowser
Description-md5: db4db2a6f571361754353ce4845b5f9a
Description-en: viewer for biosignal storage files such as bdf and edf
 EDFbrowser is a viewer for medical timeseries storage files containing data
 such as EEG, EMG, and ECG signals.  It supports EDF(+) and BDF(+) file
 formats.
 Apart from viewing the files, it also supports some editing operations and
 can convert the biomedical files to other formats.

Package: edgar
Description-md5: dbf77924c169477cc956b5a1d2a26d83
Description-en: 2D platform game with a persistent world
 The Legend of Edgar is a platform game, not unlike those found on the Amiga
 and SNES. Edgar must battle his way across the world, solving puzzles and
 defeating powerful enemies to achieve his quest.
 .
 When Edgar's father fails to return home after venturing out one dark and
 stormy night, Edgar fears the worst: he has been captured by the evil
 sorcerer who lives in a fortress beyond the forbidden swamp.
 .
 Donning his armour, Edgar sets off to rescue him, but his quest will not be
 easy...

Package: edgar-data
Description-md5: bd342f5c8cb02540f7e5be0c93ff47c7
Description-en: 2D platform game with a persistent world (data files)
 The Legend of Edgar is a platform game, not unlike those found on the Amiga
 and SNES. Edgar must battle his way across the world, solving puzzles and
 defeating powerful enemies to achieve his quest.
 .
 When Edgar's father fails to return home after venturing out one dark and
 stormy night, Edgar fears the worst: he has been captured by the evil
 sorcerer who lives in a fortress beyond the forbidden swamp.
 .
 Donning his armour, Edgar sets off to rescue him, but his quest will not be
 easy...
 .
 This package contains the maps, graphics, music and sound for edgar.

Package: edgy-community-wallpapers
Description-md5: f11265bf18704104486c528927188a47
Description-en: Edgy Community Wallpapers
 The ubuntu-art Community Wallpapers for Edgy. At the moment the package
 contains:
  * Dawn Of Ubuntu

Package: edgy-session-splashes
Description-md5: 75f25095fbb91484bb41293053eb8325
Description-en: Edgy Session Splashes
 The default Session Splashes for Edgy. At the moment the package contains:
  * Ubuntu Wave
  * Ubuntu Smooth

Package: edgy-wallpapers
Description-md5: 33d8522ddda173a8e1536eab0817df54
Description-en: Edgy Wallpapers
 The default Wallpapers for Edgy. At the moment the package contains:
  * Simple Ubuntu,
  * Ubuntu Smooth Chocolate.

Package: edict
Description-md5: 5ff629d66bbe71a6690890bdd67a8cd1
Description-en: English / Japanese dictionary
 The EDICT file is the outcome of a voluntary project to produce a freely
 available Japanese / English dictionary in machine-readable form.
 This package also contains the compdic, computing and communications
 dictionary file.

Package: edict-el
Description-md5: e3092706d17ebbc7a075dd311f90f92d
Description-en: Emacs interface to Edict
 This package provides edict.el and associated files, thus allowing
 to query the Edict Japanese-English dictionary from within Emacs.
 .
 Using the region and couple of keystrokes, edict.el will look up the
 Japanese key and return all the Edict entries containing that key, in
 a pop-up buffer.  English is even easier, you just put point anywhere
 in the word you want to look up.

Package: edid-decode
Description-md5: 938630f978cfdb2eeba2cc4da41ea013
Description-en: decode the binary EDID information from monitors
 EDID is binary data encoded in the monitor firmware, which the kernel
 exposes via /sys/devices/.../drm/card*/card*/edid.  edid-decode renders
 this binary data into a human-readable text form.

Package: editorconfig
Description-md5: 50f8a1a5b59deb32abfd0a2ea08f5887
Description-en: coding style indenter for all editors - commandline tools
 This package helps developers define and maintain consistent coding
 styles between different editors and IDEs. The EditorConfig project
 consists of a file format for defining coding styles and a collection
 of text editor plugins that enable editors to read the file format
 and adhere to defined styles.
 .
 EditorConfig files are easily readable and they work nicely
 with version control systems.
 .
 This package provides editorconfig command which can be used to
 reformat and indent code files using editorconfig configuration file.

Package: editorconfig-doc
Description-md5: 26e4c8add3f6143144713a209cfd8d8f
Description-en: coding style indenter across editors - documentation
 EditorConfig helps developers define and maintain consistent coding
 styles between different editors and IDEs. The EditorConfig project
 consists of a file format for defining coding styles and a collection
 of text editor plugins that enable editors to read the file format
 and adhere to defined styles.
 .
 EditorConfig files are easily readable and they work nicely
 with version control systems.
 .
 This package provides API documentation for editorconfig-core library.

Package: edlib-aligner
Description-md5: e9837a11b3f558a9827bd1f70164a326
Description-en: edlib sequence alignment tool using edit distance
 Edlib is a lightweight and super fast C/C++ library for sequence
 alignment using edit distance.  This package provides an aligner
 using this library.
 .
 Features of libedlib
 .
  * Calculates edit distance (Levehnstein distance).
  * It can find optimal alignment path (instructions how to transform
    first sequence into the second sequence).
  * It can find just the start and/or end locations of alignment path -
    can be useful when speed is more important than having exact
    alignment path.
  * Supports multiple alignment methods: global(NW), prefix(SHW) and
    infix(HW), each of them useful for different scenarios.
  * You can extend character equality definition, enabling you to e.g.
    have wildcard characters, to have case insensitive alignment or to
    work with degenerate nucleotides.
  * It can easily handle small or very large sequences, even when finding
    alignment path, while consuming very little memory.
  * Super fast thanks to Myers's bit-vector algorithm.

Package: edtsurf
Description-md5: 95fedda12f5611c4d929a24b9e3154a1
Description-en: triangulated mesh surfaces for protein structures
 EDTSurf is a open source program to construct triangulated surfaces
 for macromolecules. It generates three major macromolecular surfaces:
 van der Waals surface, solvent-accessible surface and molecular surface
 (solvent-excluded surface). EDTsurf also identifies cavities which are
 inside of macromolecules.

Package: edubuntu-artwork
Description-md5: ce0d4bffb6df1950f1151d239c638fb4
Description-en: edubuntu themes and artwork
 Edubuntu themes and artwork provided that includes
 a GDM theme, Plymouth theme, wallpapers as well as
 the default Edubuntu firefox homepage.

Package: edubuntu-docs
Description-md5: b0594b4f4462e16faf2c22d94ea2406d
Description-en: The Ubuntu Documentation Project - Edubuntu Documentation
 This package holds the official Edubuntu-specific documentation, maintained
 by the Ubuntu Documentation Team. It includes the Edubuntu Handbook,
 About Edubuntu, and the School Advocacy Guide.
 .
 The guides can be viewed using Yelp, the GNOME help browser.

Package: edubuntu-live
Description-md5: 9d37d398ab07bf86ec56ae178990ef13
Description-en: Edubuntu live media support
 Provides helper scripts and plugins required
 for any Edubuntu live media.

Package: edubuntu-menueditor
Description-md5: aff23371a0a2dbcfd3a650b37d0a6325
Description-en: Simple ui to manage different menu profiles on a system
 This program can be used to apply different profile to user on a system. First,
 create a menu archive using menueditor, then apply it with profilemanager.
 Those applications can be used to apply menu profiles to groups so the
 administrator can manages them for the system instead of managing files in user
 home directory.

Package: edubuntu-wallpapers
Description-md5: 1d48c9b40e4248f89c4e09e6616e6ef8
Description-en: wallpapers included in edubuntu
 A collection of wallpapers sourced from various contributors
 and art sites across the Internet. These are the wallpapers
 shipped with Edubuntu by default.

Package: eegdev-plugins-free
Description-md5: b6b623a469f12e9e96f7df6f22c5fafb
Description-en: Biosignal acquisition device library (free plugins)
 eegdev is a library that provides a unified interface for accessing various
 EEG (and other biosignals) acquisition systems. This interface has been
 designed to be both flexible and efficient. The device specific part is
 implemented by the mean of plugins which makes adding new device backend
 fairly easy even if the library does not support them yet officially.
 .
 The core library not only provides to users a unified and consistent
 interfaces to the acquisition device but it also provides many
 functionalities to the device backends (plugins) ranging from configuration
 to data casting and scaling making writing new device backend an easy task.
 .
 This library is particularly useful to handle the acquisition part of a
 Brain Computer Interface (BCI) or any realtime multi-electrode acquisition
 in neurophysiological research.
 .
 This package contains the devices plugins that depends only on free
 components.

Package: eekboek
Description-md5: 303569365492944d334ecdbdc6484cba
Description-en: Bookkeeping software for small and medium-size businesses
 EekBoek is a bookkeeping package for Dutch users; it creates reports such as
 a balance sheet ("Balans"), a general journal ("Journaal"), a general
 ledger ("Grootboek") and a VAT report ("BTW-aangifte").
 .
 Unlike other accounting software, EekBoek has a command-line interface: The
 EekBoek Shell (with tab completion).  It uses a database as back end: either
 PostgreSQL (using the eekboek-db-postgresql package) or SQLite.
 Interoperability is a key feature of EekBoek: editable text dumps of all your
 data are possible at any time.  EekBoek can generate an "XML Auditfile
 Financieel" (XAF) as used by Dutch tax office.  Furthermore, it has a
 complete Perl API to create your own custom applications.
 .
 The EekBoek documentation is available in Dutch only.
 .
 If you'd like to use EekBoek with a PostgreSQL back end (instead of the
 default SQLite), install the package eekboek-db-postgresql.
 If you'd like to use the Eekboek GUI, install the suggested package
 eekboek-gui.

Package: eekboek-db-postgresql
Description-md5: 6a1f6e1f137d6e3c1e2262c7f438150f
Description-en: PostgreSQL database driver for EekBoek
 This package includes EB::DB::Postgres, the PostgreSQL database driver for
 EekBoek.  It also makes sure a PostgreSQL database back end is available for
 EekBoek.  Install it if you'd like to use EekBoek with PostgreSQL
 (instead of the default SQLite).

Package: eekboek-gui
Description-md5: fec67544aeaa61ac5e8e7ea720bd2928
Description-en: Graphical User Interface for EekBoek
 This package includes ebwxshell, the EekBoek GUI.  It offers
 an EekBoek browser, useful for viewing the administrative data.  It's
 especially useful for generating, printing and pinpointing reports.
 Furthermore, it offers a raw interface to the EekBoek Shell.  Finally,
 it offers a Wizard to help configuring new bookkeeping administrations.
 .
 Install it if you need a friendly interface to the EekBoek reporting
 facilities, or if you would like help in configuring EekBoek.  However,
 if you prefer working in pure text mode, or don't like to install the WX
 graphical packages, you should not install this package.

Package: efax
Description-md5: e8947035a1bd200375135394f41ceb86
Description-en: programs to send and receive fax messages
 efax is a small ANSI C/POSIX program that provides the data transport
 function for fax applications using any Class 1 or Class 2 fax modem.
 Another program, efix, converts between fax, text, bitmap and grayscale
 formats. fax, a shell script, provides a simple user interface to the efax
 and efix programs. It allows you to send text or Postscript files as faxes
 and receive, print or preview received faxes.
 .
 The ghostscript package is needed to fax Postscript files, and an image
 viewing program like xloadimage is needed to view incoming faxes.
 .
 Note that you probably have to adapt /etc/efax.rc to your needs.

Package: efax-gtk
Description-md5: 3e8e46e6d9f883f4796bf543081e2038
Description-en: front end in GTK+ for the efax program
 efax-gtk is a GTK+ front end for the efax program. It can
 be used to send and receive faxes with a fax modem, and to
 view, print and manage faxes received.
 .
 It also has a socket interface to provide a "virtual
 printer" for sending faxes from word processors and
 similar programs, and can automatically e-mail a received
 fax to a designated user, and automatically print a
 received fax.

Package: efingerd
Description-md5: 36a5d023b91be88b5c23236905526fa3
Description-en: Another finger daemon for unix capable of fine-tuning your output.
 efingerd is a finger daemon, which executes programs and displays their
 output. This gives you complete control over what to display and to who,
 and an extreme configurability.

Package: efitools
Description-md5: aa543ad11489e73ea4e7b1c53571d77e
Description-en: useful tools for manipulating UEFI secure boot platforms
 The package provides useful tools for manipulating UEFI secure boot platforms.

Package: efl-doc
Description-md5: 0b2171302a1a51e7a1a55639c5595687
Description-en: Documentation for the Enlightenment Foundation Libraries
 The Enlightenment Foundation Libraries (EFL) is a set of libraries
 providing building blocks used in the Enlightenment window manager.
 This package contains the Doxygen-generated HTML documentation and
 examples for the API of the EFL.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: eflite
Description-md5: e845a2eb6f119fe11f3e3b4633fdbac3
Description-en: Festival-Lite based emacspeak speech server
 EFlite is a speech server for Emacspeak and other screen readers that
 allows them to interface with Festival Lite, a free text-to-speech
 engine developed at the CMU Speech Center as an off-shoot of Festival.
 .
 Due to limitations inherited from its backend, EFlite does only provide
 support for the English language at the moment.

Package: efp
Description-md5: c128dbccfe2cb210daa8cfbfa88343d6
Description-en: Escape from Pong NES game
 Escape from Pong is a very small NES game which introduces the concept
 of playing Pong from the ball's point of view. You mission is to escape
 from 13 difficult levels, despite the paddle trying to beat you at
 every turn.
 .
 This game is distributed as an NES ROM.  You will need an NES emulator
 in order to play it.  A list of tested emulators is in README.Debian.
 The provided desktop launcher requires the fceux emulator.

Package: efte
Description-md5: 35d816f7c62701edb651fd7fd514a924
Description-en: Advanced lightweight configurable editor
 eFTE is an advanced programmers editor with goals of being lightweight, yet
 totally configurable.  Support for user defined programming languages, menu
 systems and key bindings are provided with many common defaults already
 defined.
 .
 eFTE is still a new project, however, extended from the FTE editor which was
 first released in 1995, so eFTE is tried and true with many features for the
 programmer/text editor.

Package: egctl
Description-md5: 628cb4e1087a3b8ea1272eb676af6d9c
Description-en: control state of EnerGenie Programmable surge protector
 program to control the state of EnerGenie Programmable surge protector with
 LAN interface. It uses native EG-PMS-LAN data exchange protocol version 2.0
 or 2.1, not HTTP.

Package: eggdrop
Description-md5: 161de1f7bee0eec28ae512e8e07cf964
Description-en: Advanced IRC Robot
 Eggdrop is an IRC bot written in C, which sits on channels and takes
 protective measures, such as preventing it from being taken over (in
 the few ways that anything can), recognizing banned users to reject
 them, recognizing privileged users to give them operator status,
 punishing users for things like flooding, among innumerable others.
 .
 All of this is completely configurable, and can be disabled or enabled
 as you wish in the configuration file. Also, it's very easy to expand
 and customize with Tcl scripts and C modules, making it possible to
 adapt Eggdrop to any special need you might have.

Package: eggdrop-data
Description-md5: 7123f07310333491264d42096ed5754b
Description-en: Architecture independent files for eggdrop
 This package contains all the files that eggdrop places on /usr/share.
 These files are not specific to a single processor architecture once
 the package is built, so a single package can serve all the archs
 Debian supports.
 .
 This package is not useful at all if eggdrop is not installed.

Package: eglexternalplatform-dev
Description-md5: b0594ab7df15f9236a3c6d13db1aa278
Description-en: EGL External Platform Interface -- development files
 This is a work-in-progress specification of the EGL (Embedded-System
 Graphics Library) External Platform interface for writing EGL platforms and
 their interactions with modern window systems on top of existing low-level
 EGL platform implementations. This keeps window system implementation
 specifics out of EGL drivers by using application-facing EGL functions.

Package: eiciel
Description-md5: 27a943906d111be0fe96b750e96c3159
Description-en: graphical editor for POSIX ACLs and extended user attributes
 A graphical tool to manipulate POSIX ACLs and extended user attributes for
 the GNOME environment. It integrates nicely into the Nautilus file manager
 but also features a standalone application.

Package: einstein
Description-md5: b328253d1207c4bcb1cdf16a8c8a89d3
Description-en: Puzzle game inspired on Einstein's puzzle
 This puzzle is a remake of old DOS game Sherlock which was inspired by Albert
 Einstein's puzzle. The game goal is to open all cards in square of 6x6 cards.
 For this, a number of hints describing relations between card positions are
 given. Use them to find the correct layout.

Package: eiskaltdcpp
Description-md5: 9ba46e7fd67d4580600d80300baf659f
Description-en: file sharing program using DC and ADC protocols (metapackage)
 This package installs the most popular and recommended combination of
 EiskaltDC++ related packages.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-cli
Description-md5: 3b62a173ff87860b95ad71987e6f3ede
Description-en: command-line interface for remote control of EiskaltDC++ daemon
 This package installs EiskaltDC++ CLI (command-line interface) written in perl.
 This program is used to control EiskaltDC++ daemon via JSON-RPC protocol.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-common
Description-md5: 683759d12ffe5042c9c5e32d90cf1347
Description-en: common files for EiskaltDC++
 This package contains the common files for EiskaltDC++ Qt and GTK+ GUIs.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-daemon
Description-md5: 7e8a2d226c7c16a43af360bcf3205909
Description-en: EiskaltDC++ daemon
 This package installs only EiskaltDC++ daemon (without any GUI).
 Support of control via JSON-RPC is enabled. Look at EiskaltDC++ CLI and Web UI
 programs for controlling it.
 .
 EiskaltDC++ Qt and GTK+ UI may be used for configuring of EiskaltDC++ daemon
 (they use the same settings from core library), but they should not be launched
 simultaneously.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-emoticons
Description-md5: d806b6e0696ed8889af38ef311da4568
Description-en: emoticons for EiskaltDC++
 This package contains the emoticon packs for EiskaltDC++.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-gtk
Description-md5: fb8cccdb031ab51b392d3ba39b1b5b84
Description-en: EiskaltDC++ GUI based on GTK+
 This package contains EiskaltDC++ program with GUI based on GTK+. Initially it
 was based on FreeDC++ and LinuxDC++ projects, but now it significantly differs
 from them.
 .
 Upstream developers are going to stop support of this GUI in the future.
 Consider using of EiskaltDC++ Qt even in GTK+ based Desktop Environments.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-gtk-data
Description-md5: 83eec870827619591de2a62017d70732
Description-en: data files for EiskaltDC++ Gtk
 This package contains the data files for EiskaltDC++ GTK+.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-qt
Description-md5: 1ce5748a69b0ce055a690c1fc73e09c2
Description-en: EiskaltDC++ GUI based on Qt
 This package contains EiskaltDC++ program with GUI based on Qt. This is the
 most stable, flexible and convenient GUI for EiskaltDC++.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-qt-data
Description-md5: 7fc63720c072a5527d7404bda6d5ec53
Description-en: data files for EiskaltDC++ Qt
 This package contains the data files for EiskaltDC++ Qt.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-scripts
Description-md5: 73e04e16c4a032ef92dfeb0f2489e588
Description-en: scripts examples for EiskaltDC++
 This package contains the scripts examples for EiskaltDC++.
 They are used by /sh and /alias chat commands (see man page or /help in chat).
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eiskaltdcpp-sounds
Description-md5: ef95564724b25992678463b6271e06b0
Description-en: sound files for EiskaltDC++
 This package contains the sound files for EiskaltDC++ Qt and GTK+ GUIs.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: eja
Description-md5: e328f0fc24bae17e851566be7ba0613a
Description-en: micro web server for static and dynamic Lua generated content
 eja is a stand alone Lua interpreter with embedded support for sockets,
 forking and directory underlying structure access, it can act as a micro web
 server for static and dynamic generated content or as a text scanner using
 Lua pattern matching syntax.

Package: ejabberd
Description-md5: 6051aafc75c7da66847fdbb941c011c0
Description-en: distributed, fault-tolerant Jabber/XMPP + MQTT server
 ejabberd is a Jabber/XMPP + MQTT server written in Erlang, featuring:
  * distributed operation with load-balancing across a cluster;
  * fault-tolerant database replication and storage on multiple nodes,
    allowing nodes to be added or replaced "on the fly";
  * virtual hosting (several virtual domains can be served using a single
    ejabberd instance);
  * XMPP compliance;
  * MQTT 5 compliance;
  * web-based administration;
  * SSL/TLS support;
  * conferencing via Multi-User Chat;
  * Jabber Users Directory, based on users' vCards;
  * service discovery;
  * shared roster.

Package: ejabberd-contrib
Description-md5: 7b5704c8dc6d0038641ee2e84c0cf4f9
Description-en: user-contributed modules for ejabberd (metapackage)
 This package depends on all available modules from ejabberd-contrib,
 but doesn't do or add anything itself.
 .
 This is a pure metapackage.

Package: ejabberd-mod-cron
Description-md5: b4b9ed46fc714f1e45e40d20d49cc982
Description-en: execute scheduled commands
 This module allows advanced ejabberd administrators to schedule commands for
 periodic and automatic execution. Each time a scheduled task finishes its
 execution, a message is printed in the ejabberd log file.

Package: ejabberd-mod-default-contacts
Description-md5: a5d8b7374ba43549e961707f2d6be403
Description-en: add roster contact(s) upon registration
 This module allows for specifying one or more contacts that should be
 added to the user's roster automatically on successful registration
 (via "mod_register", or, for example, "ejabberdctl register").
 Note that no presence subscription is performed, and the rosters of
 the contacts are not  modified.

Package: ejabberd-mod-default-rooms
Description-md5: 337ca0e088a2e87c4bc429e909e71e3a
Description-en: add MUC bookmark(s) upon registration
 This module allows for specifying one or more rooms that should be
 bookmarked automatically on successful user registration (via
 "mod_register", or, for example, "ejabberdctl register").

Package: ejabberd-mod-deny-omemo
Description-md5: 44536fd71b757900de0cb49ea2f8553e
Description-en: prevents OMEMO sessions from being established
 Unless the configured access rule (called 'omemo' by default) returns
 'allow', this module prevents OMEMO sessions from being established.
 Requests to query the devicelist from other users are rejected.  Requests
 to publish a devicelist are also rejected, and all OMEMO nodes referenced
 in that devicelist are removed.  Incoming devicelist updates are silently
 dropped.  OMEMO-encrypted messages are bounced with an error message.

Package: ejabberd-mod-filter
Description-md5: cb166f780f986ba98f0ff12c74ef8433
Description-en: allows the admin to specify packet filtering rules using ACL and ACCESS
 This module allows the admin to specify packet filtering rules using
 ACL and ACCESS.
 The configuration of rules is done using ejabberd's ACL and ACCESS,
 so you should also study the corresponding section of the ejabberd guide.

Package: ejabberd-mod-grafite
Description-md5: df45d864aee4374754fd23d18a94289f
Description-en: gathers statistics and publishes them via statsd/grafite
 This module gathers statistics from ejabberd and publishes them via
 statsd/grafite.
 Note that statsd/grafite must be set up separately.

Package: ejabberd-mod-log-chat
Description-md5: 0fea73d2b805dbcbfb14d4f08fe818c5
Description-en: logs chat messages to text or html
 mod_log_chat is an ejabberd module aimed at logging chat messages in
 text files. mod_log_chat creates one file per couple of chatters and
 per day (it doesn't log muc messages, use mod_muc_log for this).
 .
 It can store messages in plain text or HTML format.

Package: ejabberd-mod-logsession
Description-md5: 737f4f6d1f19ae8193494ac628bc373f
Description-en: logs session connections to a text file
 This module is intended to log the session connections in a text file.
 Right now it only logs the forbidden connection attempts and the
 failed authentication attempts.
 .
 Each vhost is logged in a different file.

Package: ejabberd-mod-logxml
Description-md5: d7ec9d28f9a0d5b62021c4a53a833f0a
Description-en: logs XMPP packets to an XML file
 This module sniffs all the XMPP traffic sent and received by ejabberd,
 both internally and externally transmitted. It logs the XMPP packets
 to an XML formatted file. It's possible to filter transmitted packets
 by orientation, stanza and direction. It's possible to configure the
 file rotation rules and intervals.

Package: ejabberd-mod-message-log
Description-md5: af5e8e166ea35e01cb21b1d00a127844
Description-en: writes a line for each message to a log file
 This module writes a line for each sent or received message to a log file.
 Each line mentions the sender's JID and the recipient's JID, and also the
 message type (e.g., "normal", "chat", or "groupchat").  Carbon copies are
 marked as such.

Package: ejabberd-mod-muc-log-http
Description-md5: 3f3b2c238fe5aa41b6a9720382219182
Description-en: serves MUC logs on the web
 This module serves the directory containing MUC logs already configured on
 mod_muc_log. This way there is no need to set up a separate web server to
 allow your users to view the MUC logs.  It is a small modification of
 mod_http_fileserver, customized for log serving.

Package: ejabberd-mod-post-log
Description-md5: ace99a2d4be98120496a6cb109049613
Description-en: logs all messages to an HTTP API
 This module implements logging of all messages sent (chat and groupchat) via
 an HTTP API.
 Simply activate the module in ejabberd.yml after installation, see the included
 README.txt for further information.

Package: ejabberd-mod-pottymouth
Description-md5: bc07a0e88d90dcbbec75e4b56cbf6075
Description-en: filter for ejabberd messages using blacklists
 The 'mod_pottymouth' ejabberd module aims to fill the void left by 'mod_shit'
 which has disappeared from the net. It allows individual whole words of a
 message to be filtered against a blacklist. It allows multiple blacklists
 sharded by language. To make use of this module the client must add the
 xml:lang attribute to the message xml.

Package: ejabberd-mod-rest
Description-md5: 7445848a8f69211185f17c6482b5de9e
Description-en: HTTP interface to POST arbitrary stanzas to ejabberd
 This is an ejabberd module that adds an HTTP handler that allows HTTP
 clients to literally post arbitrary message stanzas to ejabberd. Those
 stanzas then get shoved through ejabberd's router just like any other
 stanza.
 .
 This module can also be used as a frontend to execute ejabberd commands.

Package: ejabberd-mod-s2s-log
Description-md5: ea509c42fa26a5b4490a86e2c0343632
Description-en: logs XMPP s2s connections
 This module can be used to keep a track of other XMPP servers your server has
 been connected with.
 Simply activate the module in ejabberd.yml after installation, see the included
 README.txt for further information.

Package: ejabberd-mod-shcommands
Description-md5: f67fcf10a6b64596823a4d0c9e28aa06
Description-en: execute shell commands via XMPP (dangerous!)
 WARNING: USE THIS MODULE AT YOUR OWN RISK !!!
 This module allows ejabberd administrators to remotely execute shell commands
 which could compromise both the ejabberd server and the whole machine.
 .
 This module provides the ejabberd server administrator a method to remotely
 execute shell commands through the ejabberd server.
 .
 It provides a page in the ejabberd Web Admin which only the administrators of
 the whole server can access.
 .
 Three types of commands are possible:
  * ejabberd_ctl: makes a call to ejabberd_ctl;
  * erlang shell: executes an erlang command;
  * system shell: executes a command on the system shell.
 The result of the execution will be shown.
 .
 In the system shell, only non-interactive commands will work correctly,
 for example this will work:
  ps -all
 Don't use commands that start an interactive mode:
 DON'T TRY THIS: top
 DON'T TRY THIS: vim readme.txt
 .
 This module does not check if the commands are dangerous or problematic,
 so this module is only recommended for experienced ejabberd and Erlang/OTP
 administrators. USE THIS MODULE AT YOUR OWN RISK !!!

Package: ejabberd-mod-spam-filter
Description-md5: 810bc7ee34baf765b21314fe42640946
Description-en: filter spam messages based on JID/content
 This module allows for filtering spam messages and subscription requests
 received from remote servers based on lists of known spammer JIDs and/or
 URLs mentioned in spam messages. Traffic classified as spam is rejected
 with an error (and an [info] message is logged) unless the sender is
 subscribed to the recipient's presence.  An access rule can be specified
 to control which recipients are subject to spam filtering

Package: ejabberd-mod-statsdx
Description-md5: 3ac82eb6c37a595f4018216e17968069
Description-en: calculates and gathers statistics actively
 This module measures several statistics. It provides a new section in
 ejabberd Web Admin and two ejabberd commands to view the information.
 Simply activate the module in ejabberd.yml after installation, see the included
 README.txt for further information.

Package: ejabberd-mod-webpresence
Description-md5: a0a1ad8e4b0faa4c875de4737fc1a055
Description-en: allows any local ejabberd user to publish his presence information
 This module allows any local user of the ejabberd server to publish his
 presence information on the web.
 This module is the successor of Igor Goryachev's mod_presence.
 .
 Allowed output methods are:
  * icons (various themes available);
  * status text;
  * raw XML;
  * avatar, stored in the user's vCard.
 .
 No web server, database, additional libraries or programs are required.

Package: ekeyd
Description-md5: 041db2486d2cbdf6014a9e35e3c3a370
Description-en: Simtec Electronics UDEKEY01 Entropy Key Daemon
 This is a driver for the Simtec Electronics Entropy Key.  It is
 only needed if you have such a device (or devices).  It provides
 a daemon and tools to drive and control it, as well as options
 for injecting entropy directly into the kernel's pool, or serving
 it via the EGD protocol.

Package: ekeyd-egd-linux
Description-md5: a816f9f0582534189bb6da5ddeb49ee3
Description-en: Transfers entropy from an EGD to the Linux kernel pool
 This utility reads from an EGD capable service over TCP and writes
 the entropy retrieved to the Linux kernel random pool. Typically
 this will be used on clusters or virtual hosts where direct access
 to useful entropy is hard.

Package: el-get
Description-md5: a6ae62ad92bab2937c57b972fd519f60
Description-en: install and manage elisp code for Emacs
 Allows you to install and manage elisp code for Emacs. It supports lots of
 differents types of sources and is able to 'install' them, 'update' them
 and 'remove' them, but more importantly it will 'init' them for you.
 .
 That means it will care about requiring the 'features' you need, loading
 the files, setting the 'Info' paths so that C-h i shows the new
 documentation you now depend on, and finally call your own :after function
 for you to setup the extension.

Package: el-ixir
Description-md5: f1bb217bf4ba1bc426015ef6d0c194f8
Description-en: two-player board game with randomness
 El-Ixir is a board game that has apparently been invented by Isoft in 1981,
 released as a booter floppy.  This is a quite faithful remake, like the
 original using text-mode graphics.
 .
 Every turn, players are presented with four random squares they can place
 a block on.  The object of the game is to connect as many blocks to the
 board's corners as you can, possibly “embracing” areas while doing so.

Package: elastalert
Description-md5: 6e1d8da554d197d21ba60240b63fc9d6
Description-en: easy and flexible alerting with Elasticsearch
 This package contains a simple framework for alerting on anomalies, spikes, or
 other patterns of interest from data in Elasticsearch.
 .
 ElastAlert works with all versions of Elasticsearch.
 .
 If you have data being written into Elasticsearch in near real time and want to
 be alerted when that data matches certain patterns, ElastAlert is the tool for
 you.
 .
 ElastAlert is designed to be reliable, highly modular, and easy to set up and
 configure.
 .
 It works by combining Elasticsearch with two types of components, rule types
 and alerts.  Elasticsearch is periodically queried and the data is passed to
 the rule type, which determines when a match is found. When a match occurs, it
 is given to one or more alerts, which take action based on the match.
 .
 This is configured by a set of rules, each of which defines a query, a rule
 type, and a set of alerts.  .

Package: elastalert-doc
Description-md5: 0cffa6763074bd3d8788f0af8300d6a8
Description-en: easy and flexible alerting with Elasticsearch (documentation)
 This package contains a simple framework for alerting on anomalies, spikes, or
 other patterns of interest from data in Elasticsearch.
 .
 ElastAlert works with all versions of Elasticsearch.
 .
 If you have data being written into Elasticsearch in near real time and want to
 be alerted when that data matches certain patterns, ElastAlert is the tool for
 you.
 .
 ElastAlert is designed to be reliable, highly modular, and easy to set up and
 configure.
 .
 It works by combining Elasticsearch with two types of components, rule types
 and alerts.  Elasticsearch is periodically queried and the data is passed to
 the rule type, which determines when a match is found. When a match occurs, it
 is given to one or more alerts, which take action based on the match.
 .
 This is configured by a set of rules, each of which defines a query, a rule
 type, and a set of alerts.  .
 .
 This is the documentation package.

Package: elastichosts-utils
Description-md5: 040a504864f16568c46d0f5ffa0b4121
Description-en: Utilities for interacting with ElasticHosts
 ElasticHosts is a cloud computing provider, offering hosted KVM based
 virtual machines.
 .
 This package provides the cli utilities needed to interact with the
 ElasticHosts API.

Package: eldav
Description-md5: 6c17b623035632c5d9568e8a0ed27167
Description-en: interface to the WebDAV servers for Emacs.
 WebDAV files can be treated just like a normal file in Emacsen.
 Emacs/w3 is not required. External program is used for WebDAV access.

Package: electric
Description-md5: a6c312c9e8daf2c1686037aa441a7057
Description-en: electrical CAD system
 Electric is a sophisticated electrical CAD system that can handle many forms
 of circuit design, including custom IC layout (ASICs), schematic drawing,
 hardware description language specifications, and electro-mechanical hybrid
 layout.

Package: electric-fence
Description-md5: 81225779998561422a8be51affd47171
Description-en: A malloc(3) debugger
 Electric Fence is a debugger that uses virtual memory hardware to detect
 illegal memory accesses. It can detect two common programming bugs: software
 that overruns or underruns the boundaries of a malloc() memory allocation,
 and software that touches a memory allocation that has been released by
 free().
 .
 Unlike other malloc() debuggers, Electric Fence will detect read accesses as
 well as writes, and it will stop and pinpoint the exact instruction that
 causes an error. It is not as thorough as Purify, however.
 .
 In order to debug a program it needs to be linked with Electric Fence's
 library or dynamic linking needs to be used; README.Debian explains that in
 detail.

Package: electronics-all
Description-md5: 304794ff00c36adcd05bdc52746f7b9a
Description-en: Default selection of tasks for Debian Electronics
 This package is part of the Debian Electronics Pure Blend and installs all
 tasks for a default installation of this blend.

Package: electronics-analog
Description-md5: 27fa76f231030dc5e52671b71f6fa28a
Description-en: Debian packages for analog design
 This metapackage will install Debian packages for
 analog simulation and design

Package: electronics-asic-dev
Description-md5: facfeda9be44fbb74531c293a19fd663
Description-en: Debian ASIC development packages
 This metapackage will install Debian packages for ASIC
 development

Package: electronics-cad-gui
Description-md5: 4763a833f05c11c2c198af008c566e58
Description-en: Debian packages for CAD tools with GUI
 This metapackage will install Debian packages for electronic
 computer-aided design which have GUIs.

Package: electronics-digital
Description-md5: ac0d69ad71db728d46ce1c9f3f1f34fd
Description-en: Debian packages for digital design
 This metapackage will install Debian packages for
 digital simulation and design

Package: electronics-doc
Description-md5: cc1cd8c74ecb45b4bfe395e03f126082
Description-en: Debian packages containing documentation for electronics
 This metapackage will install Debian packages either containing
 documentation for electronics packages, or which can be used
 for generating documentation for electronics.

Package: electronics-dsp-dev
Description-md5: c227ce4d202a198ea1369390ebe21c98
Description-en: Debian packages for filter coefficient calculation etc
 This metapackage will install Debian packages that
 aid the development of digital signal processing circuits
 such as filter design.

Package: electronics-fpga-dev
Description-md5: 01f1b4e0f8d0e3b2374cf6b04c797e41
Description-en: Debian FPGA development packages
 This metapackage will install Debian packages for FPGA
 development

Package: electronics-gadgets
Description-md5: c89923b06279065664203232b1eea5d3
Description-en: Debian packages for interacting with gadgets
 This metapackage will install Debian packages for interacting
 with various gadgets.

Package: electronics-measurements
Description-md5: 8256915bf52fc1f9126ee512c7c1e8bf
Description-en: Packages for electronic measurements
 Packages that can be used for measurements

Package: electronics-microcontrollers
Description-md5: cfa88b4c4709d6eef8e5b16bc1b7f46e
Description-en: Debian packages for dealing with microcontrollers
 This metapackage will install Debian packages for interacting
 with external microcontrollers.

Package: electronics-pcb
Description-md5: 824ae29f83415e8a6af002b2a7f5ee0b
Description-en: Debian packages for PCB development
 This metapackage will install Debian packages useful
 for the development of printed circuit boards.

Package: electronics-radio-dev
Description-md5: 2ecf051d2ae8fc3d7394da63eadd0bd3
Description-en: Packages for design of circuits at radio frequencies
 This metapackage will install Debian packages for design at radio/ microwave
 frequencies and antennas

Package: electronics-simulation
Description-md5: e86db1e528e99bdd68e6ec7ba223374c
Description-en: Debian packages for simulation of electronic circuits
 This metapackage will install Debian packages for simulation
 of electronics circuits

Package: electronics-tasks
Description-md5: 87ae9b59db6b9572a26af6acbe4244fa
Description-en: Debian Electronics tasks for tasksel
 This package is part of the Debian Pure Blend "Debian Electronics" and
 provides additional tasks for tasksel. If this package will be installed all
 tasks which are provided by Debian Electronics will be added to the tasksel
 menu.

Package: elementary-icon-theme
Description-md5: f0a1646302238ad13e18d34081cef784
Description-en: simple and appealing Tango-styled icon theme
 The official elementary icons are designed to be simple and appealing.
 .
 These icons are the inspiration behind Ubuntu's default Humanity icon
 theme.

Package: elementary-xfce-icon-theme
Description-md5: 5644d50b5a72b543e6e0a74c6b1780bb
Description-en: elementary icon theme modified for Xfce
 elementary-xfce is a fork of the elementary OS icon theme for Xfce and other
 desktops.
 .
 This fork took place because the elementary OS maintainers wanted to focus
 exclusively on their desktop environment and thus dropped a lot of icon
 symlinks used by other desktops. elementary-xfce intends to keep these as-is,
 while still pulling in new icons and integrating them occasionally.

Package: elfrc
Description-md5: 701fd99f57ca03fbd890ca2475910adb
Description-en: convert arbitrary files into elf objects
 elfrc is a program which can turn arbitrary files into ELF
 object files which can then be linked into your program
 directly and accessed via simple, user-defined symbol names.
 .
 For instance, it's possible to embed even huge (16MB+) files
 directly into the executable and then access the data in constant
 time without making the compiler or linker eat loads of memory.

Package: elida
Description-md5: 2a167e0bd56f0db60c3eb5a8c9add7b2
Description-en: pbuilder mail interface
 elida accepts the URL of a .dsc file from the subject of a email
 message and downloads the rest of the files of the corresponding
 Debian source package. If there is a previous version in Debian,
 a diff between the old and new source packages is reported. It
 then runs pbuilder, piuparts, and lintian. The reports are
 compressed and emailed back to the user.

Package: elinks
Description-md5: 8dd761a6cfe5b26b256c25a8650d0479
Description-en: advanced text-mode WWW browser
 ELinks is a feature-rich program for browsing the web in text mode.  It is
 like enhanced Lynx and Links.  The most noteworthy features of ELinks are:
 .
  * Lots of protocols (local files, finger, HTTP(S), FTP, IPv4/6 etc.)
  * Internationalized domain names
  * Persistent cookies, HTTP authentication and proxy authentication
  * Tabbed browsing, good looking menus and dialogs, and key-binding manager
  * History browsing and typeahead searches
  * Forms history and completion, and history in commonly used input dialogs
  * CSS support and support for browser scripting (Perl, Lua, Guile etc.)
  * Tables and frames rendering, and configurable color support
  * Compressed and background (non-blocking) downloads, and download resuming
 .
 This package is based on felinks fork, since original elinks seems to be no
 longer maintained.

Package: elinks-data
Description-md5: b12fd0ea4ccdeeebd88e81a5321ce4cc
Description-en: advanced text-mode WWW browser - data files
 ELinks is a feature-rich program for browsing the web in text mode.  It is
 like enhanced Lynx and Links.  The most noteworthy features of ELinks are:
 .
  * Lots of protocols (local files, finger, HTTP(S), FTP, IPv4/6 etc.)
  * Internationalized domain names
  * Persistent cookies, HTTP authentication and proxy authentication
  * Tabbed browsing, good looking menus and dialogs, and key-binding manager
  * History browsing and typeahead searches
  * Forms history and completion, and history in commonly used input dialogs
  * CSS support and support for browser scripting (Perl, Lua, Guile etc.)
  * Tables and frames rendering, and configurable color support
  * Compressed and background (non-blocking) downloads, and download resuming
 .
 This package contains the data files that are necessary to use ELinks.

Package: elinks-doc
Description-md5: 51de3db0ddd45c8875ca36ea5debfd21
Description-en: advanced text-mode WWW browser - documentation
 ELinks is a feature-rich program for browsing the web in text mode.  It is
 like enhanced Lynx and Links.  The most noteworthy features of ELinks are:
 .
  * Lots of protocols (local files, finger, HTTP(S), FTP, IPv4/6 etc.)
  * Internationalized domain names
  * Persistent cookies, HTTP authentication and proxy authentication
  * Tabbed browsing, good looking menus and dialogs, and key-binding manager
  * History browsing and typeahead searches
  * Forms history and completion, and history in commonly used input dialogs
  * CSS support and support for browser scripting (Perl, Lua, Guile etc.)
  * Tables and frames rendering, and configurable color support
  * Compressed and background (non-blocking) downloads, and download resuming
 .
 This package contains the documentation and manuals for ELinks.

Package: elisa
Description-md5: 14e4e820541290af836bbba24af2bc03
Description-en: Simple music player with a focus on Plasma desktop integration and privacy
 Elisa is a music player developed by the KDE community that strives to be
 simple and nice to use. It tries to be flexible to account for different
 workflows and use-cases. It focuses on a very good integration with the
 Plasma desktop of the KDE community without compromising the support for
 other environments.
 .
 Elisa is developed using modern KDE technologies and aims to be reliable
 and respectful of users’ privacy. As such, it favors online services where
 users are in control of their data.

Package: elixir
Description-md5: 572fe6b3095250d25aa22e376bc0e13e
Description-en: functional meta-programming aware language
 Elixir is a functional meta-programming aware language intended primarily for
 developing distributed, fault-tolerant and scalable systems. Elixir source
 code is compiled to bytecode for the Erlang Virtual Machine (EVM), and can use
 Erlang libraries transparently.

Package: elk
Description-md5: f99ce4d19fe45c1cace577755b96cd1c
Description-en: scheme interpreter
 Elk is an implementation of the Scheme programming language, designed
 specifically as an embeddable, reusable extension language subsystem for
 applications written in C or C++.
 .
 This package contains the Elk Scheme interpreter, as well as several plugins
 shipped with Elk. They provide hooks for Unix system calls, the X Window
 System, as well as the X Athena Widgets and the Motif toolkits. Example
 scripts on how to use these plugins are provided in the elkdoc package.

Package: elk-lapw
Description-md5: 0bc9af3e9d9d6f44cbf4af13b824aec1
Description-en: All-Electron Density-Functional Electronic Structure Code
 Elk is an all-electron full-potential linearised augmented-plane wave
 (FP-LAPW) code. By not including pseudo-potentials, Elk can provide very
 reliable high-precision results and works for every chemical element. Features
 include:
 .
  * FP-LAPW basis with local-orbitals
  * APW radial derivative matching to arbitrary orders at muffin-tin surface
    (super-LAPW, etc.)
  * Arbitrary number of local-orbitals allowed (all core states can be made
    valence for example)
  * Total energies resolved into components
  * Forces - including incomplete basis set (IBS) and core corrections work
    with spin-orbit coupling, non-collinear magnetism and LDA+U
  * LSDA, GGA and (potential-only) meta-GGA functionals available
  * LDA+U: fully localised limit (FLL), around mean field (AFM) and
    interpolation between the two; works with SOC, NCM and spin-spirals
  * Isolated molecules or periodic systems
  * Core states treated with the radial Dirac equation
  * Spin-orbit coupling (SOC) included in second-variational scheme
  * Non-collinear magnetism (NCM) with arbitrary on-site magnetic fields
  * Fixed spin-moment calculations (with SOC and NCM)
  * Time-dependent density functional theory (TDDFT) for linear optical
    response calculations
  * First-order optical response
  * Non-linear optical (NLO) second harmonic generation
 .
 Elk is parallelized via hybrid OpenMP/OpenMPI.

Package: elkdoc
Description-md5: dea51b590377787fc619281bce3115fe
Description-en: documentation for the Extension Language Kit
 Elk is an implementation of the Scheme programming language, designed
 specifically as an embeddable, reusable extension language subsystem for
 applications written in C or C++.
 .
 This package contains the Elk documentation.

Package: elki
Description-md5: 1cb9556a05eb3971a33ee5fb18a5e3f9
Description-en: Data mining algorithm development framework
 ELKI: "Environment for Developing KDD-Applications Supported by
 Index-Structures" is a development framework for data mining algorithms
 written in Java.  It includes a large variety of popular data mining
 algorithms, distance functions and index structures.
 .
 Its focus is particularly on clustering and outlier detection methods, in
 contrast to many other data mining toolkits that focus on classification.
 Additionally, it includes support for index structures to improve algorithm
 performance such as R*-Tree and M-Tree.
 .
 The modular architecture is meant to allow adding custom components such
 as distance functions or algorithms, while being able to reuse the other
 parts for evaluation.
 .
 This package contains the compiled ELKI version, and launcher scripts.

Package: elki-dev
Description-md5: 648c5c57de65de788810e6bbbd802f44
Description-en: Data mining algorithm development framework - development files
 ELKI: "Environment for Developing KDD-Applications Supported by
 Index-Structures" is a development framework for data mining algorithms
 written in Java.  It includes a large variety of popular data mining
 algorithms, distance functions and index structures.
 .
 Its focus is particularly on clustering and outlier detection methods, in
 contrast to many other data mining toolkits that focus on classification.
 Additionally, it includes support for index structures to improve algorithm
 performance such as R*-Tree and M-Tree.
 .
 The modular architecture is meant to allow adding custom components such
 as distance functions or algorithms, while being able to reuse the other
 parts for evaluation.
 .
 This package contains the JavaDoc and the source code package.

Package: elks-libc
Description-md5: 2da04d6881989db1f4a11df4a992c06f
Description-en: 16-bit x86 C library and include files
 This is the C library used to compile with bcc. It includes all the
 headers and static libraries needed to build 16-bit applications,
 for Linux/8086, Linux/i386 and DOS .COM executables.

Package: elog
Description-md5: b158ada70a80f8bfdeaef5a1f51f4b12
Description-en: Logbook system to manage notes through a Web interface
 The Electronic Logbook (ELOG) provides a Web interface to manage notes.  Its
 general purpose is to make it easy for people to put and access information
 online; in the form of short, time stamped text messages with optional HTML
 markup for presentation, and optional file attachments.  ELOG has its own
 daemon, there is no need for a full-fledged server (e.g. Apache).  It stores
 notes as simple as plain text; no special formatting.  Its features:
 .
   * Personal logbooks: Personal notes can be written into ELOG and can then be
     retrieved from anywhere with a Web browser.
   * Shared logbooks: Logbooks can be shared by several people, for reading and
     optionally for writing.  Work groups can share and exchange information
     like in a (simplified) news group.
   * Small databases: Since arbitrary attributes can be defined for a logbook,
     it can be used as a small database with search facilities.
   * Problem collections: A system can consist of two logbooks, in one of which
     users enter bugs or problems.  Whenever someone adds a problem, an email
     is automatically sent to the administrator.
   * Shift logbooks: If the Allow delete and Allow edit flags are off, an entry
     cannot be modified once it's been entered.  This can be useful for shift
     logbooks for example in Physics experiments where each entry becomes a
     "document" with a time and author stamp.
   * File collections: Since files can be attached to ELOG entries, the system
     can be used to store and retrieve files.

Package: elpa-ac-rtags
Description-md5: da8edffffde75788af18aae280f4257e
Description-en: auto-complete back-end for RTags
 RTags is a client/server application that indexes C/C++ code and
 keeps a persistent file-based database of references, declarations,
 definitions, symbolnames etc.
 .
 This package provides the auto-complete back-end.

Package: elpa-ace-link
Description-md5: 7fc76c4730cee50ebbfbdd37ff35ae0e
Description-en: selecting a link to jump to
 This package offers an alternative to tabbing through links in buffers, for
 instance, in an Info buffer. `avy' is used to turn opening a link from an
 O(N) operation into an O(1).
 .
 Supported modes: `Info-mode', `help-mode', `org-mode', `eww-mode',
 `gnus-article-mode', `Custom-mode', `woman-mode', `goto-address-mode'.

Package: elpa-ace-popup-menu
Description-md5: 44e5243c0d218b6c486929a684656ed6
Description-en: replace GUI popup menu with something more efficient
 This package allows one to replace the GUI popup menu (created by
 `x-popup-menu' by default) with a little temporary window (like that
 in which Dired shows you files you want to copy). In this window,
 menu items are displayed and labeled with one or two letters. You
 press a key corresponding to desired choice (or C-g if you wish to
 cancel the operation) and you are done.

Package: elpa-ace-window
Description-md5: 081febda9c0ee3366e7ddacebb7e30aa
Description-en: selecting a window to switch to
 The main function, `ace-window' is meant to replace `other-window'. In fact,
 when there are only two windows present, `other-window' is called.  If there
 are more, each window will have its first character highlighted.  Pressing
 that character will switch to that window.

Package: elpa-ag
Description-md5: 29f86fb3c1d4921a277f1fb31efa4b62
Description-en: Emacs frontend to ag
 The Silver Searcher (a.k.a. ag) is very fast grep-like program.
 It is faster and has an attractive features than grep.
 ag.el is simple ag frontend for Emacs, loosely based on ack-and-half.el.

Package: elpa-agda2-mode
Description-md5: 7cfcb4a5e7415e1476d23d2fd002910b
Description-en: dependently typed functional programming language — emacs mode
 Agda is a dependently typed functional programming language: It has inductive
 families, which are like Haskell's GADTs, but they can be indexed by values and
 not just types. It also has parameterised modules, mixfix operators, Unicode
 characters, and an interactive Emacs interface (the type checker can assist in
 the development of your code).
 .
 Agda is also a proof assistant: It is an interactive system for writing and
 checking proofs. Agda is based on intuitionistic type theory, a foundational
 system for constructive mathematics developed by the Swedish logician Per
 Martin-Löf. It has many similarities with other proof assistants based on
 dependent types, such as Coq, Epigram and NuPRL.
 .
 This package contains the emacs interactive development mode for Agda. This
 mode is the preferred way to write Agda code, and offers features such as
 iterative development, refinement, case analysis and so on.

Package: elpa-aggressive-indent
Description-md5: 2e1729b6966ec5e212dc502e6a49b29f
Description-en: Emacs minor mode that reindents code after every change
 electric-indent-mode is enough to keep your code nicely aligned when
 all you do is type. However, once you start shifting blocks around,
 transposing lines, or slurping and barfing sexps, indentation is
 bound to go wrong.
 .
 aggressive-indent-mode is a minor mode that keeps your code always
 indented. It reindents after every change, making it more reliable
 than electric-indent-mode.

Package: elpa-anzu
Description-md5: 3ce434465feb957b9469676c91c19328
Description-en: show number of matches in mode-line while searching
 `anzu.el' is an Emacs port of `anzu.vim'.
 .
 `anzu.el' provides a minor mode which displays 'current match/total matches'
 in the mode-line in various search modes. This makes it easy to understand how
 many matches there are in the current buffer for your search query.

Package: elpa-apache-mode
Description-md5: df5505d0d23034595658d242e3b8c0df
Description-en: Emacs major mode for editing Apache configuration files
 apache-mode.el provides fontification when editing Apache
 configuration files.
 .
 This is Kenta Usami's version, which is a descendent of Jonathan Marten
 and Karl Chen's version distributed on emacswiki.

Package: elpa-apiwrap
Description-md5: c9d9b19082d3cdabe0940924bca15d25
Description-en: api-wrapping macros
 API-Wrap.el is a tool to interface with the APIs of your favorite
 services. These macros make it easy to define efficient and
 consistently-documented Elisp functions that use a natural syntax
 for application development.

Package: elpa-assess
Description-md5: c2f67b900165dd35432a1c69497a0dd4
Description-en: test support functions for Emacs
 This package provides functions to support ert, the Emacs Regression
 Test framework. It includes:
 .
  * a set of predicates for comparing strings, buffers and file contents;
  * explainer functions for all predicates giving useful output;
  * macros for creating many temporary buffers at once, and for restoring
    the buffer list;
  * methods for testing indentation, by comparison or "round-tripping";
  * methods for testing fontification;
 .
 Assess aims to be a stateless as possible, leaving Emacs unchanged
 whether the tests succeed or fail, with respect to buffers, open
 files and so on; this helps to keep tests independent from each
 other. Violations of this will be considered a bug.
 .
 Assess aims also to be as noiseless as possible, reducing and
 suppressing extraneous messages where possible, to leave a clean ert
 output in batch mode.

Package: elpa-async
Description-md5: be0e96d435686ec8663108b6b25c3ff1
Description-en: simple library for asynchronous processing in Emacs
 async.el is a module for doing asynchronous processing in Emacs.  The
 most common application is to make dired move and rename files
 asynchronously i.e. in the background.  Then the user need not wait
 for the move or copy to complete before they can use Emacs for other
 tasks.

Package: elpa-ats2-mode
Description-md5: 3ac53279ed8d23cd90d6ae8ff5141402
Description-en: ATS version 2 programming language emacs mode
 ATS2 a.k.a. ATS/Postiats is a programming language with a highly expressive
 type system rooted in the framework Applied Type System. In particular, both
 dependent types and linear types are available in ATS. The current
 implementation of ATS (ATS/Postiats) is written in ATS (ATS/Anairiats) itself.
 It can be as efficient as C/C++ and supports a variety of programming
 paradigms.
 .
 This package contains the emacs mode for ATS2.

Package: elpa-auto-complete
Description-md5: c84f70cdaf0fc7b17bb2ab5c87f229af
Description-en: intelligent auto-completion extension for GNU Emacs
 Auto Complete Mode is an intelligent auto-completion extension for
 GNU Emacs. It extends the standard Emacs completion interface and
 provides an environment that allows users to concentrate more on
 their own work.

Package: elpa-auto-dictionary
Description-md5: ae6e5fcb8da5abc2fc3cbeb8669ee7b4
Description-en: automatic dictionary switcher for Emacs spell checking
 Auto-dictionary is a minor mode that hooks into Flyspell's on-the-fly spell
 checking and extends these checks to also detect language.  Auto-dictionary
 then sets 'ispell-dictionary' to use the detected language.  This package is
 useful for bilingual and multilingual Emacs users.
 .
 Language detection is per buffer (per document), and auto-dictionary will
 choose the language whose words appear most frequently in a document.  If that
 document also contains a second language, and then the document is edited until
 there are a greater number of words from the second language, then
 auto-dictionary will choose the second language.  That is to say,
 auto-dictionary's pick always depends on the current state of the document, and
 it will only pick one language.  This package does not enable multiple
 dictionary support for Emacs ispell.
 .
 Auto-dictionary supports the following languages:
   * ca  - Catalan
   * da  - Dansk (Danish)
   * de  - Deutsch (German)
   * el  - νέα ελληνικά (Modern Greek)
   * en  - English
   * eo  - Esperanto
   * es  - Español (Spanish)
   * fr  - Français (French)
   * grc - ἑλληνικά (Classical Greek)
   * hi  - हिन्दी (Hindi)
   * hu  - Magyar (Hungarian)
   * nb  - Bokmål (Norwegian)
   * nn  - Nynorsk (Norwegian)
   * pt  - Português (Portuguese)
   * ro  - Românâ, Româneşte (Romanian)
   * sk  - Slovenčina (Slovak)
   * sl  - Slovenian (Slovene)
   * sv  - Svenska (Swedish)

Package: elpa-avy
Description-md5: b52b68ba001a0ed28170030dafdc472e
Description-en: jump to things in Emacs tree-style
 This package provides a generic completion method based on building
 a balanced decision tree with each candidate being a leaf.  To
 traverse the tree from the root to a desired leaf, typically a
 sequence of `read-key' can be used.
 .
 In order for `read-key' to make sense, the tree needs to be
 visualized appropriately, with a character at each branch node.  So
 this completion method works only for things that you can see on
 your screen, all at once:
 .
  * character positions
  * word or subword start positions
  * line beginning positions
  * link positions
  * window positions
 .
 If you're familiar with the popular `ace-jump-mode' package, this
 package does all that and more, without the implementation
 headache.

Package: elpa-avy-menu
Description-md5: 0da0fe4ba0f107ab7452c6fa6a92f1d5
Description-en: library providing avy-powered popup menu
 The library provides avy-powered popup menu that allows one to
 quickly choose from available options.

Package: elpa-bar-cursor
Description-md5: 200753364fb539a6e0b3bcbe91f4858a
Description-en: switch Emacs block cursor to a bar
 Simple package to convert the block cursor into a bar cursor.  In
 overwrite mode, the bar cursor changes back into a block cursor.
 This is a global minor mode.

Package: elpa-beacon
Description-md5: 60eb1acfd40e2fd2f4ce6900ea7412cd
Description-en: highlight the cursor whenever the window scrolls
 Whenever the window scrolls a light will shine on top of your cursor so you
 know where it is.
 .
 Customizations:
 .
  * the appearance of the beacon;
  * the duration;
  * when the beacon should blink at all;
  * prevent the beacon from blinking only on specific situations;
  * push the mark for you whenever point moves a long distance.

Package: elpa-beginend
Description-md5: 98464d6b56012ee53a4abd693bd83bf8
Description-en: redefine M-< and M-> for some modes to get to meaningful locations
 This package redefines M-< and M-> (or any key bound to
 beginning-of-buffer or end-of-buffer) for some modes so that point
 moves to meaningful locations. The real beginning and end of buffers
 (i. e., point-min and point-max) are still accessible by pressing the
 same key again.

Package: elpa-bind-chord
Description-md5: 8dd9dc019ceb646a63cfa9086449a28e
Description-en: key-chord binding helper for use-package-chords
 This package provides key-chord binding helper to use with
 use-package-chords.

Package: elpa-bind-key
Description-md5: a329ffbb556b5d6bdd624277312cdb24
Description-en: simple way to manage personal keybindings
 If you have lots of keybindings set in your .emacs file, it can be
 hard to know which ones you haven't set yet, and which may now be
 overriding some new default in a new Emacs version. This package aims
 to solve that problem.

Package: elpa-bind-map
Description-md5: 8f3206c7f3c7664b4c338d81c163cf80
Description-en: bind personal keymaps in multiple locations
 `bind-map' is an Emacs package providing the macro bind-map which can
 be used to make a keymap available across different "leader keys"
 including ones tied to evil states. It is essentially a
 generalization of the idea of a leader key as used in vim or the
 Emacs `evil-leader' package, and allows for an arbitrary number of
 "leader keys". This is probably best explained with an example.

Package: elpa-bm
Description-md5: 52539bd2fb2edb86fb8eda2382be4d11
Description-en: visual bookmarks for GNU Emacs
 Bm.el enables visible bookmarks in GNU Emacs.
 .
 Features:
   - Navigate between bookmarks specific to the current buffer or cycle
     through all buffers. When cycling through bookmarks in all open
     buffers, the search will always wrap around.
   - Configurable wrapping modes when end of bookmark set is reached.
   - Setting bookmarks based on a regexp, or on a line number.
   - Goto the point of a bookmark or the beginning its line.
   - Persistent bookmarks: They are non-persistent by default, but can
     be automatically persisted on either a global or per-buffer basis.
   - Annotated bookmarks: The annotation is displayed in the message
     area when navigating to a bookmark.
   - List bookmarks with annotations and context in a separate buffer.
   - Different bookmark styles: fringe-only, line-only, or both.
   - Experimental support for creating or removing a standard Emacs
     filename-based bookmark every time one toggles a bm.el one.

Package: elpa-boxquote
Description-md5: 797799fd10f340d67ceda6684a5a84a9
Description-en: quote text in Emacs with a semi-box.
 boxquote provides a set of functions for using a text quoting style that
 partially boxes in the left hand side of an area of text.

Package: elpa-browse-kill-ring
Description-md5: 2c595aaaa4d4730c6f67e3837791cd24
Description-en: interactively insert items from kill-ring
 Ever feel that 'C-y M-y M-y M-y ...' is not a great way of trying to
 find that piece of text you know you killed a while back? Then
 browse-kill-ring.el is for you.
 .
 Note that the command keeps track of the last window displayed to
 handle insertion of chosen text; this might have unexpected
 consequences if you do 'M-x browse-kill-ring', then switch your
 window configuration, and try to use the same *Kill Ring* buffer
 again.

Package: elpa-bug-hunter
Description-md5: 18caba3a144bb367f46e3915ee11ce46
Description-en: automatically debug and bisect your init.el or .emacs file
 The Bug Hunter is an Emacs library that finds the source of an error
 or unexpected behavior inside an elisp configuration file (typically
 init.el or .emacs). It supports automatic, interactive, and assertion
 bug hunting. Also it is possible to debug literate-style
 configuration files.

Package: elpa-buttercup
Description-md5: 81d2834c40dae33f543840283e4b95af
Description-en: behaviour-driven testing for Emacs Lisp packages
 Buttercup is a behavior-driven development framework for testing
 Emacs Lisp code. It allows the programmer to group related tests so
 they can share common set-up and tear-down code, and it allows the
 programmer to spy on functions to ensure they are called with the
 right arguments during testing.
 .
 The testing framework is inspired by the Jasmine JavaScript test framework.

Package: elpa-c-sig
Description-md5: 4d302e09376ca6266ae333536379a497
Description-en: signature tool for GNU Emacs
 C-sig is a signature insertion tool for GNU Emacs.
 Features in c-sig include:
   - registering signatures interactively
   - learning facility
   - expanding signatures dynamically
   - modifying signatures through elisp functions

Package: elpa-caml
Description-md5: 7d490cdf6e4090360b3c5fa819cb96ee
Description-en: emacs mode for editing OCaml programs
 Objective Caml (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 This package provides support for editing both Objective Caml and
 Caml Light programs with Emacs and XEmacs.
 .
 Caml-mode supports:
  - indentation
  - compilation and error retrieving
  - interaction with the toplevel
  - font-lock
  - imenu

Package: elpa-char-menu
Description-md5: 83d3ce6e4b7fdd6b2d46de59e9eb6d25
Description-en: create your own menu for fast insertion of arbitrary symbols
 This package allows one to insert arbitrary symbols in Emacs in a
 very efficient and straightforward way. Whether you ever need to
 insert only a couple of proper punctuation symbols or you're a
 Unicode geek who likes all sorts of arrows and fancy math symbols,
 this package may be of some use.

Package: elpa-cider
Description-md5: f045420464022136b5372183ddd69db2
Description-en: Clojure IDE for Emacs
 CIDER is the Clojure(Script) Interactive Development Environment that Rocks
 .
 While clojure-mode provides Emacs support for editing Clojure source files,
 CIDER's cider-mode provides support for interacting with a running Clojure
 process for compilation, debugging, looking up definitions and more.

Package: elpa-circe
Description-md5: 36d8acf22b64231d47b1708e491837ba
Description-en: client for IRC in Emacs
 Circe is a Client for IRC in Emacs. It integrates well with the rest
 of the editor, using standard Emacs key bindings and indicating
 activity in channels in the status bar so it stays out of your way
 unless you want to use it. Complexity-wise, it is somewhere between
 rcirc (very minimal) and ERC (very complex).

Package: elpa-clojure-mode
Description-md5: 74ced1d5301281c5b44c394a66a60519
Description-en: Emacs major mode for Clojure code
 Provides font-lock (syntax highlighting), indentation, navigation and basic
 refactoring for the Clojure programming language (http://clojure.org).
 .
 Also provides clojurescript-mode, clojurec-mode (for .cljc files) and
 clojurex-mode (for .cljx files).

Package: elpa-clojure-mode-extra-font-locking
Description-md5: 9db33411d58e2cd61eb076f78cbf48b3
Description-en: extra font-locking for clojure-mode
 Provides additional font-locking (syntax highlighting) for clojure-mode.  See
 that package's description.

Package: elpa-clues-theme
Description-md5: 1bc8b11eddc0b895d3c187cfee0a08f9
Description-en: cream/brown/orange color theme for Emacs
 Clues was initially based on a Visual Studio theme called 'Blues 'n
 Roots', however it's a long way from looking much like it, aside from
 the occasional color accent, Blues (despite its name) has a more
 toasted caramel flavor. Clues on the other hand is made up of cooling
 colors with a couple of flecks of light cream/brown/orange to break
 up any monotony, with yellow/gold rainbow-delimiters.

Package: elpa-color-theme-modern
Description-md5: 1d8c2208af532b5f23608fb79522025a
Description-en: deftheme reimplementation of classic Emacs color-themes
 Color-theme-modern is a port of many of the classic Emacs color-themes.
 Many of these themes were previously part of emacs-goodies-el.  Screenshots
 are provided in the documentation directly.  The full list is as follows:
 .
 aalto-dark, aalto-light, aliceblue, andreas, arjen, beige-diff,
 beige-eshell, bharadwaj-slate, bharadwaj, billw, black-on-gray,
 blippblopp, blue-erc, blue-eshell, blue-gnus, blue-mood, blue-sea,
 calm-forest, charcoal-black, clarity, classic, cobalt, comidia
 dark-blue2, dark-blue, dark-erc, dark-font-lock, dark-gnus,
 dark-green, dark-info, dark-laptop, deep-blue, desert, digital-ofs1,
 emacs-21, emacs-nw, euphoria, feng-shui, fischmeister
 .
 gnome2, gnome, goldenrod, gray1, gray30, greiner, gtk-ide,
 high-contrast, hober, infodoc, jb-simple, jedit-grey,
 jonadabian-slate, jonadabian, jsc-dark, jsc-light2, jsc-light, julie,
 katester, kingsajz, late-night, lawrence, ld-dark, lethe, marine,
 marquardt, matrix, midnight, mistyday, montz, oswald, parus, pierson,
 pok-wob, pok-wog, railscast, ramangalahy, raspopovic, renegade,
 resolve, retro-green, retro-orange, robin-hood, rotor, ryerson,
 .
 salmon-diff, salmon-font-lock, scintilla, shaman, simple-1,
 sitaramv-nt, sitaramv-solaris, snowish, snow, standard-ediff,
 standard, subdued, subtle-blue, subtle-hacker, taming-mr-arneson,
 taylor, tty-dark, vim-colors, whateveryouwant, wheat,
 word-perfect, xemacs, xp

Package: elpa-company
Description-md5: cbc31355851dd3d0dab01c227f4d82e7
Description-en: Modular in-buffer completion framework for Emacs
 Company is a text completion framework for Emacs. The name stands for "complete
 anything". It uses pluggable back-ends and front-ends to retrieve and display
 completion candidates.

Package: elpa-company-go
Description-md5: 5b6538c957e6812fda0030e69beac548
Description-en: company-mode backend for Go code
 This package provides gocode integration with Emacs, based on
 the Company auto-completion framework.

Package: elpa-company-lsp
Description-md5: 079eb6545d90441afeaee790112bc247
Description-en: Company completion backend for emacs lsp-mode.
 It provides features that are not available by using company-capf + lsp-mode:
 .
 * Support trigger characters. For example typing . will trigger completion
   for TypeScript.
 * Use completion item's label as completion labels and replace it with its
   insertText if available.
 * Fetch completion candidates asynchronously
 * Apply text edits specified by completion candidates after completion.
 * Do not cache the completion candidates if they are incomplete.
 * Expand snippets on completion (requires elpa-yasnippet).

Package: elpa-company-rtags
Description-md5: 4692877d5fda42ef3d27278fb3b24f78
Description-en: company back-end for RTags
 RTags is a client/server application that indexes C/C++ code and
 keeps a persistent file-based database of references, declarations,
 definitions, symbolnames etc.
 .
 This package provides the company completion back-end.

Package: elpa-concurrent
Description-md5: 2b51b7d73a5f2c756f7dabd514060a7c
Description-en: higher level library for concurrent tasks
 It is a higher level library for concurrent tasks based on deferred.el. This
 library has following features:
 .
  - Generator
  - Green thread
  - Semaphore
  - Dataflow
  - Signal/Channel

Package: elpa-counsel
Description-md5: decbc42d52534209150b9b603c6b6d31
Description-en: collection of Ivy-enhanced versions of common Emacs commands
 Counsel is a collection of Ivy-enhanced versions of common Emacs commands.
 Call one of the interactive functions in this file to complete
 the corresponding thing using Ivy.
 .
 Currently available:
   - Symbol completion for Elisp, Common Lisp, Python and Clojure.
   - Describe functions for Elisp: function, variable, library, command,
     bindings, theme.
   - Navigation functions: imenu, ace-line, semantic, outline
   - Git utilities: git-files, git-grep, git-log, git-stash.
   - Full-text search utilities: grep, ag, pt, recoll.
   - System utilities: process list, rhythmbox, linux-app.
   - Shortcuts for org-mode.
   - And many more...
 .
 Counsel is an alternative to Anything and Helm.

Package: elpa-csv-mode
Description-md5: 6996410ae2daa30069e580d28c5759bd
Description-en: Emacs major mode for editing comma, char, and tab separated values
 This package implements CSV mode, a major mode for editing records in
 a generalised CSV (character-separated values) format.  It also
 features support for TSV (tab-separated values).  CSV mode supports
 operations such as the following:
 .
   * sort lexicographically and numerically on a specified
     field or column.
   * kill and yank by field or column.  C-c C-k can kill more than one
     field at once, but multiple killed fields can be yanked only as a
     fixed group equivalent to a single field.
   * align fields into columns.
   * interchange between rows and columns.
 .
 CSV mode can recognise fields separated by a number of individual
 characters, configurable in `csv-separators'.  CSV data fields can be
 delimited with quote characters.  This implementation supports quoted
 fields, where all permitted quote characters are specified in the
 customisable user option `csv-field-quotes'.  By default, the only
 separator is a comma and the only field quote is a double quote.
 .
 The global minor mode `csv-field-index-mode' displays the current
 field index in the mode line, cf. `line-number-mode' and
 `column-number-mode'.  It is on by default.

Package: elpa-ctable
Description-md5: 72f383233d76ae81e6667df378c351f7
Description-en: table component for Emacs Lisp
 Table component for Emacs Lisp. Emacs Lisp programs can display a nice table
 view from an abstract data model. Many Emacs programs have the code for
 displaying table views, such as dired, list-process, buffer-list and so on.
 This package provides functions and a table framework for the table views.

Package: elpa-cycle-quotes
Description-md5: 637ded34f4bb96e72a3309c2e36a7859
Description-en: Emacs command to cycle between quotation marks
 This package provides the `cycle-quotes' command to cycle between
 different string quote styles.
 .
 For example, in JavaScript, there are three string quote characters:
 ", ` and '.  In a JavaScript buffer, with point located someplace
 within the string, `cycle-quotes' will cycle between the following
 quote styles each time it's called:
 .
 --> "Hi, it's me!" --> `Hi, it's me!` --> 'Hi, it\'s me!'
 .
 As seen in the above example, `cycle-quotes' tries to escape and
 unescape quote characters intelligently.

Package: elpa-darcsum
Description-md5: d142b69f8adb0ff5c7c12a7055ab87c8
Description-en: pcl-cvs like interface for managing darcs patches
 darcsum is an emacs interface for Darcs. It has a pcl-cvs like interface,
 and you can commit changes, edit change logs, review changes, etc...

Package: elpa-dash
Description-md5: 4ea71392ba2e0efc937e3c36fb11a1e7
Description-en: modern list manipulation library for Emacs
 dash.el is API library to manipulate a list by Emacs Lisp.
 This library offers very common feature in other programming languages
 (e.g. map, slice, copy, concat, replace, reduce, union etc) without
 require a 'cl'.

Package: elpa-dash-functional
Description-md5: 587a9a3db3f9fee5a0a83da86ac48e9d
Description-en: collection of functional combinators for Emacs Lisp
 This library contains combinators to enable the use of certain
 functional programming techniques in Emacs Lisp.
 .
 For example, the `-flip' function takes a binary function, and
 returns a binary function that is the same as the original function,
 except that the order of its arguments is reversed.
 .
 See the elpa-dash package for list processing functions.

Package: elpa-db
Description-md5: 8e1bbf371206578c9bbeec30043ca041
Description-en: database interface for Emacs Lisp
 This library provides
 - a database interface for Emacs Lisp
 - a sample Emacs hashtable implementation of this interface
   (not for production)
 .
 It should be possible to specify any kind of key/value database with
 this interface.

Package: elpa-debian-el
Description-md5: 4029db2c949a50f48d1561f610133a9d
Description-en: Emacs helpers specific to Debian users
 This package contains:
 .
 apt-sources - major mode for editing Debian sources.list files;
 apt-utils - interface to APT (Debian package management);
 debian-bug - an Emacs command to submit a bug report;
 deb-view - view contents of Debian package, similarly to tar-mode;
 gnus-BTS - provides buttons for bug numbers seen in Gnus messages;
 preseed - major mode for editing debian-installer preseed files.

Package: elpa-debpaste
Description-md5: eb8bc99e49c0cb4221dc9de5e4e790b4
Description-en: paste.debian.net client for Emacs
 This package provides an Emacs interface for receiving, posting and
 deleting pastes from <http://paste.debian.net/>.
 .
 Basic interactive commands:
 .
   - `debpaste-display-paste',
   - `debpaste-paste-region',
   - `debpaste-delete-paste'.

Package: elpa-deferred
Description-md5: cab1b6b87a7f71cc30b5302366d2a1f7
Description-en: simple asynchronous functions for Emacs Lisp
 It is a simple library for asynchronous tasks.
 .
 The API is almost the same as JSDeferred (by cho45) and Mochikit.Async
 (by Bob Ippolito) in JavaScript.

Package: elpa-deft
Description-md5: 3ea110b288eb0a3f8e0c49f0c4aa1d8b
Description-en: Emacs mode to browse, filter, and edit plain text notes
 Deft is an Emacs mode for quickly browsing, filtering, and editing
 directories of plain text notes, inspired by Notational Velocity.
 .
 It was designed for increased productivity when writing and taking
 notes, by making it fast and simple to find the right file at the
 right time, and by automating many of the usual tasks such as
 creating new files and saving files.

Package: elpa-devscripts
Description-md5: 9629e7de48fa03cc75307bcbe5fae006
Description-en: Emacs wrappers for the commands in devscripts
 This package contains:
  devscripts - wrappers around the debuild, debc and debi commands;
  pbuilder-log-view - wrappers around viewing pbuilder logs;
  pbuilder - wrappers around pbuilder

Package: elpa-dictionary
Description-md5: d9eaab1ff290541aeef80fc79dd4dcf9
Description-en: dictionary client for Emacs
 elpa-dictionary is a DICT (RFC 2229) client for Emacs, with support
 for brace-delimited cross references and UTF-8-encoded entries.

Package: elpa-diff-hl
Description-md5: 6aed62619f2fc8ceb70e2860c0205a63
Description-en: highlight uncommitted changes using VC
 The package provides a `diff-hl-mode' Emacs mode, which highlights
 uncommitted changes on the side of the window (using the fringe, by
 default), and allows you to jump between the hunks and revert them
 selectively.

Package: elpa-diffview
Description-md5: 7a49bd05e6990b072d07b9d2d1b0d94b
Description-en: view diffs in side-by-side format
 Render a unified diff (top/bottom) in an easy-to-comprehend
 side-by-side format. This comes in handy for reading patches from
 mailing lists (or from whencever you might acquire them).

Package: elpa-diminish
Description-md5: 2c3ee0f0bb1034749d8b9dc37b3c7cbb
Description-en: hiding or abbreviation of the mode line displays of minor-modes
 Minor modes each put a word on the mode line to signify that they're active.
 This can cause other displays, such as % of file that point is at, to run off
 the right side of the screen.  For some minor modes, such as
 mouse-avoidance-mode, the display is a waste of space, since users typically
 set the mode in their .emacs and never change it.  For other modes, such as
 jiggle-mode, it's a waste because there's already a visual indication of
 whether the mode is in effect.
 .
 A diminished mode is a minor mode that has had its mode line display
 diminished, usually to nothing, although diminishing to a shorter word or a
 single letter is also supported.  This package implements diminished modes.

Package: elpa-dired-du
Description-md5: eec672089ec2f535ed981bd08666246c
Description-en: dired with recursive directory sizes
 This package defines a minor mode `dired-du-mode' to show the
 recursive size of directories in Dired buffers. If `du' program is
 available, then the directory sizes are obtained with it. Otherwise,
 the directory sizes are obtained with Lisp.

Package: elpa-dired-quick-sort
Description-md5: 7b959998599983ee5268c5df54eb072f
Description-en: persistent quick sorting of dired buffers in various ways
 This Emacs package provides persistent quick sorting of Dired buffers
 in various ways with hydra. With `savehist-mode' enabled, the last
 used sorting criteria are automatically used when sorting.

Package: elpa-dired-rsync
Description-md5: 69e74a71469fd4f2f34257c24b795077
Description-en: support for rsync from Emacs dired buffers
 This package adds a single command dired-rsync which allows the user
 to copy marked files in a dired buffer via rsync. This is useful,
 especially for large files, because the copy happens in the
 background and doesn’t lock up Emacs. It is also more efficient than
 using TRAMP's own encoding methods for moving data between systems.

Package: elpa-discover-my-major
Description-md5: eb8b945783ac1ccbd744b94264e7f86f
Description-en: discover key bindings and their meaning for the current Emacs major mode
 Discover key bindings and descriptions for commands defined by a buffer's
 major and minor modes. The command is inspired by discover.el and also uses
 the makey library. The output is pretty bare bones and not optimized but it
 seems to work already quite well for most modes.

Package: elpa-dpkg-dev-el
Description-md5: 6f16bdd3c65ef069a0676cc82fd8195c
Description-en: Emacs helpers specific to Debian development
 This package contains:
 .
 debian-bts-control - builds control@bugs.debian.org email messages;
 debian-changelog-mode - a helper mode for Debian changelogs;
 debian-control-mode - a helper mode for debian/control files;
 debian-copyright - major mode for Debian package copyright files;
 readme-debian - major mode for editing README.Debian files.

Package: elpa-dumb-jump
Description-md5: 8a9bca005914c2088a29153efb55643a
Description-en: jump to definition for multiple languages without configuration
 This package provides an Emacs "jump to definition" package with
 support for multiple programming languages that favors "just working"
 over speed or accuracy. This means minimal -- and ideally zero --
 configuration with absolutely no stored indexes (TAGS) or persistent
 background processes.
 .
 Dumb Jump performs best with The Silver Searcher `ag` or ripgrep
 `rg`.

Package: elpa-ebib
Description-md5: a6cfc1ec6b5a45cb61f85cad66df98c6
Description-en: BibTeX database manager for Emacs
 Ebib is a BibTeX database manager that runs in Emacs.  With Ebib, you
 can create and manage .bib-files, all within Emacs.  It supports @string
 and @preamble definitions, multi-line field values, searching, and
 integration with Emacs' (La)TeX mode.

Package: elpa-ediprolog
Description-md5: bea1d38cc3194c1584f151fc5fec1358
Description-en: Emacs Does Interactive Prolog
 This package let you interact with SWI-Prolog in all buffers. You can
 consult Prolog programs and evaluate embedded queries.

Package: elpa-editorconfig
Description-md5: 5fd5ce9a48bb2011e881cb7a5c29e8c0
Description-en: coding style indenter for all editors - Emacsen plugin
 EditorConfig helps developers define and maintain consistent coding
 styles between different editors and IDEs. The EditorConfig project
 consists of a file format for defining coding styles and a collection of
 text editor plugins that enable editors to read the file format and
 adhere to defined styles.
 .
 EditorConfig files are easily readable and they work nicely with version
 control systems.
 .
 This package provides the EditorConfig plugin for Emacsen which
 automatically sets Emacs' indenting and formatting options per project
 based on the project's editorconfig configuration files.

Package: elpa-el-mock
Description-md5: 3c3b496bec1072d2c25be1a6eb296148
Description-en: tiny mock and stub framework for Emacs Lisp
 Emacs Lisp Mock is a library for mocking and stubbing using readable
 syntax. Most commonly Emacs Lisp Mock is used in conjunction with
 Emacs Lisp Expectations, but it can be used in other contexts.

Package: elpa-el-x
Description-md5: 43573d173ab48cdfc2698cc51ba956f8
Description-en: Emacs Lisp extensions
 This package provides several Emacs Lisp extensions. Content:
 .
  * dflet.el: defines the `dflet' macro, to provide the historic behavior of
    `flet', a global and dynamic temporary function (re)definition tool.
 .
  * subr-compat.el: stubs `declare-function' for older Emacs

Package: elpa-elfeed
Description-md5: d1e60400c4375fa923c2ed6a9e8afe17
Description-en: Emacs Atom/RSS feed reader
 Elfeed is an extensible web feed reader for Emacs, supporting both Atom and
 RSS. Elfeed was inspired by notmuch.

Package: elpa-elfeed-web
Description-md5: b432f753d85c03caf12ec47db555f50e
Description-en: Emacs Atom/RSS feed reader - web interface
 Elfeed is an extensible web feed reader for Emacs, supporting both Atom and
 RSS. Elfeed was inspired by notmuch.
 .
 This package contains demonstration/toy web interface for remote network
 access.

Package: elpa-elisp-refs
Description-md5: efd116f718afe36e02ad620b4bc96fd0
Description-en: find callers of elisp functions or macros
 elisp-refs.el is an Emacs package for finding references to
 functions, macros or variables. Unlike a dumb text search,
 elisp-refs.el actually parses the code, so it's never confused by
 comments or `foo-bar' matching `foo'.

Package: elpa-elisp-slime-nav
Description-md5: 61b866b7e44eab18a3af28eb012484af
Description-en: Emacs extension that provide Emacs Lisp code navigation
 elpa-elisp-slime-nav is an extension, that provides equivalents off
 'find-tag' and 'pop-tag' functions for Emacs Lisp code, allowing one
 to navigate to definition/declaration of function, variable or macro.

Package: elpa-elm-mode
Description-md5: 9634a26f5906ce5386e0d4d8128ea7a1
Description-en: Major Emacs mode for editing Elm source code
 A major Emacs mode for editing Elm source code.

Package: elpa-elpy
Description-md5: fb8d87a694e4721ebc3ddff180c229df
Description-en: Emacs Python Development Environment
 The Emacs Lisp Python Environment in Emacs
 .
 Elpy is an Emacs package that brings powerful Python editing to
 Emacs.  It combines a number of existing Emacs packages, and is
 written in Emacs Lisp as well as Python.
 .
 Features:
   * Navigation by code indentation and code definition.
   * Move the current region as a code block.
   * Treat a working tree as a coherent project; search for files,
     code, or text within the project.
   * Interact with Python interpreters and test runners.
   * Browse the Python built-in documentation.
   * Refactor code and symbols.

Package: elpa-engine-mode
Description-md5: 9ef1964b5f8bcbdb5668821719954d40
Description-en: define and query search engines from within Emacs
 `engine-mode' is a global minor mode for Emacs. It enables you to easily
 define search engines, bind them to keybindings, and query them from the
 comfort of your editor.

Package: elpa-epc
Description-md5: 2370f7a7dd882c078af587af8b44b9d7
Description-en: RPC stack for Emacs Lisp
 This is an asynchronous RPC stack for Emacs. Using this RPC stack, the
 Emacs can communicate with the peer process. Because the protocol is
 S-expression encoding and consists of asynchronous communications, the RPC
 response is fairly good.

Package: elpa-epl
Description-md5: c536f2ed0122f158a73b57dd5ea842b0
Description-en: Emacs Package Library
 EPL provides a convenient high-level API for various versions of
 package.el, and aims to overcome its most striking idiosyncracies.
 .
 Functions are provided to get information about packages and manage
 packages in a user's Emacs configuration.

Package: elpa-eproject
Description-md5: 676e9eab8c1d8875a1358876506cfbed
Description-en: assign files to Emacs projects, programmatically
 Eproject is a minor-mode that allows the grouping of related files
 as projects.  It aims to be as unobtrusive as possible -- no new
 files are created (or required to exist) on disk, and buffers that
 are not a member of a project are not affected in any way.  Where
 'auto-mode-alist activates MODE for FILE-REGEX, Eproject
 activates PROJECT-TYPE for FILES-IN-DIRECTORY, when
 PROJECT-TYPE-DEFINITION is true.  It also creates a customisable
 hook that is run whenever a file belonging to a project is visited.

Package: elpa-ert-async
Description-md5: a4cc10ce45d4112f2a8ee4a3280aa058
Description-en: asynchronous tests for the Emacs ERT testing framework
 This library provides the `ert-deftest-async' function for defining
 asynchronous tests for Emacs Lisp addon packages.  The user supplies
 a list of callbacks, and the test fails if it is not the case that
 all of the callbacks have been called after a defined number of
 seconds.
 .
 See the GNU Emacs documentation for general information on writing
 test suites with ERT.

Package: elpa-ert-expectations
Description-md5: 23c0d65dc20ee40694037395ab28dd36
Description-en: very simple unit test framework for Emacs Lisp
 The package provides a very simple unit test framework using
 `ert'. It is thought to be a successor of el-expectations. With Emacs
 Lisp Mock, `el-mock.el', Emacs Lisp Expectations supports mock and
 stub (behavior-based testing). The package uses `ert' feature to
 display test result, so it is quite easy to understand why a test
 failed.

Package: elpa-esh-help
Description-md5: c528aa43246322c6b980c8b808bc6bf9
Description-en: add some help functions and support for Eshell
 This library adds the following help functions and support for Eshell:
 .
   - run-help function inspired by Zsh
   - eldoc support

Package: elpa-eshell-bookmark
Description-md5: e184a44490d0280b6991f43e367ebb19
Description-en: integrate bookmarks with Eshell
 This package provides bookmark.el integration for Eshell. It is
 especially useful for quickly jumping to remote/TRAMP hosts.

Package: elpa-eshell-git-prompt
Description-md5: 9d3715438f4530472e5ee073a5812721
Description-en: Eshell prompt themes for Git users
 This package provides several themes for Emacs Shell (Eshell) prompt.

Package: elpa-eshell-prompt-extras
Description-md5: 36e5cbf0385c81b4bc7ed38aa591d514
Description-en: display extra information in your Eshell prompt
 This package allows one to display remote user, remote host, Python
 virtual environment info, git branch, git dirty info and git unpushed
 number for Eshell prompt.

Package: elpa-eshell-up
Description-md5: cb26a4de8cc862c24ba252176a43aad8
Description-en: quickly go to a specific parent directory in eshell
 Package for quickly navigating to a specific parent directory in eshell
 without having to repeatedly typing 'cd ..'.  This is achieved using the
 `eshell-up' function, which can be bound to an eshell alias such as `up'.

Package: elpa-eshell-z
Description-md5: 40d3c645ab4c172a8c0c3c73d485821d
Description-en: cd to frequent directory in eshell
 It is an Emacs port of z. It keeps track of where you’ve been and how
 many commands you invoke there, and provides a convenient way to jump
 to the directories you actually use. eshell-z and z can work together
 by sharing the same data file.

Package: elpa-ess
Description-md5: 6083b340205c0ac1e5c5dfc984ea6af1
Description-en: Emacs mode for statistical programming and data analysis
 "Emacs Speaks Statistics" (ESS) is an add-on package for emacs text
 editors such as GNU Emacs and XEmacs. It is designed to support
 editing of scripts and interaction with various statistical analysis
 programs such as R, S-Plus, SAS, Stata and OpenBUGS/JAGS.  Although
 all users of these statistical analysis programs are welcome to apply
 ESS, advanced users or professionals who regularly work with
 text-based statistical analysis scripts, with various statistical
 languages/programs, or with different operating systems might benefit
 from it the most.
 .
 The rationale for developing ESS is that most statistical analysis
 systems provide a more or less sophisticated graphical user interface
 (GUI). However, their full power is only available using their
 scripting language. Furthermore, complex statistical analysis
 projects require a high degree of automation and documentation which
 can only be handled by creating statistical analysis
 scripts. Unfortunately, many statistics packages provide only weak
 text editor functionality and show major differences between
 them. Without a unified text editor user interface additional effort
 is required from the user to cope with limited functionality and with
 text editor differences.
 .
 Therefore, emacs editors and the ESS package provide the following
 major features:
  - Support for various operating systems
    Examples: Linux, Unix, Mac OS X and MS Windows
  - Working environment based on emacs
    Examples: File Manager (Dired), File Transfer Client/Telnet Client
    (Tramp), Multiple Clipboards (registers), Bookmarks, Abbreviations,
    and many others
  - Support for various statistical analysis languages
    Examples: R, S-Plus, SAS, Stata and OpenBUGS/JAGS
    Examples: Keybindings, Abbreviations, Syntax highlighting, Code
    formatting, Commenting, Submitting scripts, Displaying results and
    Searching documentation
 .
 ESS is freely available under the GNU General Public License (GPL).
 For further technical and legal information please refer to the ESS
 Manual.

Package: elpa-esxml
Description-md5: 3debefc976db2081a84de82c5d611384
Description-en: XML, ESXML and SXML library for Emacs Lisp
 This library makes it possible to write XML, ESXML, SXML and HTML
 using Emacs Lisp S-expressions.
 .
 This library is not intended to be used directly by a user of Emacs.
 It could be used to generate static html,
 or used with a library like elnode to serve dynamic pages.  Or even to
 extract a form from a site to produce an API.

Package: elpa-evil
Description-md5: 2de4d56a0dcb622c7c1473c732d7e7c4
Description-en: extensible vi layer for Emacs
 Evil is an extensible vi layer for Emacs. It emulates the main
 features of Vim, and provides facilities for writing custom
 extensions.

Package: elpa-evil-paredit
Description-md5: 4b0d36224b0327802c4cdab8750a33d5
Description-en: emacs extension, integrating evil and paredit
 elpa-evil-paredit provides 'evil-paredit-mode', which redefines
 several evil keybindings to make it harder to get unbalanced brackets
 in buffer.

Package: elpa-exec-path-from-shell
Description-md5: 93743a6630e32a5266c57246cc43862c
Description-en: get environment variables such as $PATH from the shell
 This library allows the user to set Emacs' `exec-path' and $PATH from
 the shell path, so that `shell-command', `compile' and the like work
 as expected.
 .
 It also allows other environment variables to be retrieved from the
 shell, so that Emacs will see the same values you get in a terminal.

Package: elpa-expand-region
Description-md5: 46cef3aca29ffd362d07c6869c8b8807
Description-en: Increase selected region in Emacs by semantic units
 Expand region increases the selected region by semantic units.  Just
 keep pressing the 'C-=' key until it selects what you want.
 The notion of "semantic" is language-sensitive.
 .
 Example: editing
 .
 (setq alphabet-start "abc def")
 .
 With the cursor at the `c`, it starts by marking the entire word
 `abc`, then expand to the contents of the quotes `abc def`, then to
 the entire quote `"abc def"`, then to the contents of the sexp `setq
 alphabet-start "abc def"` and finally to the entire sexp.

Package: elpa-eyebrowse
Description-md5: ae2fa194820a78e23cbc8eb9c479ecee
Description-en: simple-minded way of managing window configs in Emacs
 This package provides a global minor mode for Emacs that allows one
 to manage window configurations in a simple manner, just like tiling
 window managers like i3wm with their workspaces do. It displays their
 current state in the modeline by default. The behaviour is modeled
 after ranger, a file manager written in Python.

Package: elpa-f
Description-md5: e34328d8aa45cf95eec8e2ed1a139fb3
Description-en: modern API for working with files and directories in Emacs Lisp
 f.el provides numerous useful functions for working with files and
 directories in Emacs Lisp.
 .
 After installation, see /usr/share/doc/elpa-f/README.md.gz for full
 API documentation.

Package: elpa-faceup
Description-md5: 3804d69709942d553d4cd9aec7c69a05
Description-en: Regression test system for font-lock
 Emacs is capable of highlighting buffers based on language-specific
 `font-lock' rules. This package, `faceup', makes it possible to
 perform regression test for packages that provide font-lock rules.
 The underlying idea is to convert text with highlights ("faces")
 into a plain text representation using the Faceup markup language.

Package: elpa-fill-column-indicator
Description-md5: 2a9f9cc4e33fb3c30f31f6f930bc6ded
Description-en: graphically indicate the fill column
 Many modern editors and IDEs can graphically indicate the location of the
 fill column by drawing a thin line (in design parlance, a `rule') down the
 length of the editing window.  Fill-column-indicator implements this
 facility in Emacs.

Package: elpa-find-file-in-project
Description-md5: 5a7bd5b2240aa53713287b84e1147328
Description-en: quick access to project files in Emacs
 This program provides a methods for quickly finding any file in a
 project.  It defaults to GNU Find, but can also use fd-find, or its
 built-in Emacs Lisp method.  Find-file-in-project prefers Ivy for
 candidate selection, but can be configured to use Ido Mode.
 .
 By default, FFIP will search for files in a local Git, Subversion, or
 Mercurial repository.  FFIP uses TRAMP to find files in remote
 repositories, and claims this is "flawlessly" supported.
 .
 Features:
 .
   * Fast!  Tested with projects that have 50,000+ files
   * Find's behaviour can be tweaked using ffip-find-options
   * Smart.  For keyword "helloWorld", "HelloWorld.html",
     "hello-world.css", and "HelloWorld.js" are searched
   * Enhances diff-mode with more convenient patch-handling, including
     diff creation, application, finding the file associated with a given
     hunk, and jumping to the section relevant to that hunk
   * No configuration required.  Find-file-in-project works out-of-the-box

Package: elpa-flx
Description-md5: 3a96c4c93b9dc34a6cd9b5cb85da9ae4
Description-en: sorting algorithm for fuzzy matching in Emacs
 The flx sorting algorithm for Emacs provides fuzzy matching for
 completion engines like Ido.  It is similar to the fuzzy matching
 functionality of Sublime Text.
 .
 The user can input (i) characters from the beginning of the words of
 the desired match and (ii) substrings of the desired match.  For
 example, when matching "save-all-buffers", the user could input
 "sallb", and when matching "eval-buffer" the user could input
 "ebuffer".
 .
 The flx sorting algorithm implements an intelligent trade-off between
 word beginnings and contiguous substrings when ranking possible
 matches.

Package: elpa-flx-ido
Description-md5: 0ff7c943b33f2f0f3c57dfb93fdfec3d
Description-en: allows Emacs Ido to use the flx sorting algorithm
 The flx sorting algorithm for Emacs provides fuzzy matching for
 completion engines like Ido.  It is similar to the fuzzy matching
 functionality of Sublime Text.
 .
 The user can input (i) characters from the beginning of the words of
 the desired match and (ii) substrings of the desired match.  For
 example, when matching "save-all-buffers", the user could input
 "sallb", and when matching "eval-buffer" the user could input
 "ebuffer".
 .
 The flx sorting algorithm implements an intelligent trade-off between
 word beginnings and contiguous substrings when ranking possible
 matches.
 .
 This package allows Emacs Ido to use the flx sorting algorithm.

Package: elpa-flycheck
Description-md5: a537e395ab01bcebd0553cd7a9a35398
Description-en: modern on-the-fly syntax checking for Emacs
 Flycheck uses various syntax checking and linting tools to
 automatically check the contents of buffers while the user types, and
 reports warnings and errors directly in the buffer, or in an optional
 error list.
 .
 It is intended to replace the older Flymake which is part of Emacs core.

Package: elpa-flycheck-package
Description-md5: 4a971357f41c4c3119afff16c5f416d6
Description-en: flycheck checker for Elisp package authors
 This package provides a flycheck checker for the metadata in Emacs
 Lisp files which are intended to be packages. That metadata includes
 the package description, its dependencies and more. The checks are
 performed by the separate package-lint library.

Package: elpa-flycheck-rtags
Description-md5: 50e766b366eda0f2257e8ecbd845bbe5
Description-en: flycheck integration for RTags
 RTags is a client/server application that indexes C/C++ code and
 keeps a persistent file-based database of references, declarations,
 definitions, symbolnames etc.
 .
 This package provides the flycheck integration.

Package: elpa-folding
Description-md5: 67fb69e79e4dd8edcc2af9c613044143
Description-en: folding-editor minor mode for Emacs
 This package provides an Emacs minor mode for folding (hiding) custom
 regions.  It also provides an Isearch interface to the folds.  Folds
 can be nested hierarchically.
 .
 Folding-mode is similar to a general-purpose Outline-mode or to
 Org-mode.  It can CLOSE a fold so that only initial special marker
 and optional fold name/comment are visible, or it can ENTER a fold so
 that all text outside of the target fold is hidden.
 .
 The upstream maintainers of folding-mode do not recommend exclusively
 relying on folding-mode for code layout and navigation.  Hideshow and
 Imenu are more appropriate for folding code at a per-function() level
 of granularity.  In particular Imenu is more useful for this because
 it parses function indexes.  Folding mode is most useful for dividing
 code into broad operations and for organising stubs while prototyping.

Package: elpa-fountain-mode
Description-md5: 4ddec0b156aaa030e513dc5cb0f8ce74
Description-en: Emacs major mode for screenwriting in Fountain markup
 Fountain Mode is a complete screenwriting environment for GNU Emacs
 that implements the Fountain markup format.  For more information about
 the Fountain markup format, visit https://fountain.io.
 Features:
   * Traditional TAB writing style for auto-upcasing character names
   * Auto-continuation for successively speaking characters
   * Displaying approximate page count (current of total) in the mode-line
   * Navigation by section, scene, character name, or page
   * Optional display of scene numbers in the right margin
   * Intelligent insertion of a page breaks
   * Both official Fountain 1.1 and legacy (boneyard) syntax
   * Three levels of element syntax highlighting
   * Styled text: bold, italic, and underlined.
 .
 Supports:
   * The Fountain 1.1 specification
   * Displaying script as a screenplay, stageplay, or a user-defined format
   * Exporting to HTML, PDF, LaTeX, Final Draft (FDX), or Fountain markup
   * Exporting to a standalone document or snippet
   * Including external files with {{ include: FILENAME }}
   * Integration with Outline to fold/cycle visibility of sections and scenes
   * Integration with Imenu.  Imenu provides a table of contents for sections,
     scene headings, and notes.  This is typically displayed as a sidebar.
   * Integration with Emacs' auto-insert hook for title page metadata

Package: elpa-fsm
Description-md5: 5c517e3eb864ec05255951c911338d1c
Description-en: state machine library
 fsm.el is an exercise in metaprogramming inspired by gen_fsm of
 Erlang/OTP. It aims to make asynchronous programming in Emacs Lisp
 easy and fun. By "asynchronous" I mean that long-lasting tasks
 don't interfer with normal editing.
 .
 Some people say that it would be nice if Emacs Lisp had threads
 and/or continuations. They are probably right, but there are few
 things that can't be made to run in the background using facilities
 already available: timers, filters and sentinels. As the code can
 become a bit messy when using such means, with callbacks everywhere
 and such things, it can be useful to structure the program as a
 state machine.
 .
 In this model, a state machine passes between different "states",
 which are actually only different event handler functions. The
 state machine receives "events" (from timers, filters, user
 requests, etc) and reacts to them, possibly entering another state,
 possibly returning a value.
 .
 The essential macros/functions are:
 ;;
 define-state-machine  - create start-FOO function
 define-state          - event handler for each state (required)
 define-enter-state    - called when entering a state (optional)
 define-fsm            - encapsulates the above three (more sugar!)
 fsm-send              - send an event to a state machine
 fsm-call              - send an event and wait for reply
 .
 fsm.el is similar to but different from Distel:
 <URL:http://fresh.homeunix.net/~luke/distel/>
 Emacs' tq library is a similar idea.

Package: elpa-geiser
Description-md5: bd2b9ebe1c20149dada06b7858f44898
Description-en: enhanced Scheme interaction mode for Emacs
 Geiser features an enhanced REPL and a set of minor modes improving
 Emacs' basic scheme major mode. The main functionalities provided
 are:
    - Evaluation of forms in the namespace of the current module.
    - Macro expansion.
    - File/module loading.
    - Namespace-aware identifier completion (including local bindings,
      names visible in the current module, and module names).
    - Autodoc: the echo area shows information about the signature of
      the procedure/macro around point automatically.
    - Jump to definition of identifier at point.
    - Access to documentation (including docstrings when the
      implementation provides it).
    - Listings of identifiers exported by a given module.
    - Listings of callers/callees of procedures.
    - Rudimentary support for debugging (list of
      evaluation/compilation error in an Emacs' compilation-mode
      buffer).

Package: elpa-ghub
Description-md5: 5379c42710503d1b745d864bc172eecd
Description-en: minuscule client for the Github API
 A minuscule client for the Github API.
 .
 This library just provides the HTTP verbs. Instead of wrapping
 every resource, https://developer.github.com/v3 is recommended.
 Due to the lack of doc-strings, it's also recommended having a
 quick look at the source, which is quite trivial.

Package: elpa-ghub+
Description-md5: 33bb76788bc88ed5450f3c080ae22478
Description-en: thick GitHub API client built on ghub
 Provides some sugar for `ghub'. See package `apiwrap'
 for generated function usage instructions.
 .
 Its aim is to provide the common functionality most
 helpful for application development.
 .
 Since ghub+ is built on ghub, any and all features
 you find lacking in ghub+ can be done with ghub without
 needing to dig into either package's internals.
 However, ghub+ provides some macros you may find helpful
 in development; see Extending for details.

Package: elpa-git-annex
Description-md5: 419356be6d9cf99942065d3f33283fb9
Description-en: Emacs integration for git-annex
 This Emacs addon enhances dired and read-only-mode to be more useful
 in git-annex repositories.
 .
 In dired, long annex symlinks are replaced by the name of the file,
 coloured green.  There are also keybindings to execute the `add` and
 `edit` git-annex subcommands.
 .
 In read-only-mode, C-x C-q will execute `git annex edit`, and killing
 the buffer will call `git annex add && git commit -m Updated`.

Package: elpa-git-commit
Description-md5: 72e9756b2fda80a4a9ca52364d75407f
Description-en: Major mode for editing git commit message
 A mode for editing COMMIT_MSG file from git in Emacs.

Package: elpa-git-messenger
Description-md5: e23c37da03d31c6339888d5923ba6574
Description-en: pop up last commit information of current line
 This package provides a function that when called will pop-up the
 last git commit message for the current line. This is useful when you
 want to know why this line was changed. This uses the git-blame tool
 internally.

Package: elpa-git-modes
Description-md5: 3ef0d7edd415c6d91ac2bf458039e00e
Description-en: major modes for editing Git configuration files
 This package provides several major modes for editing Git
 configuration files. The modes are:
 .
  * gitattributes-mode
  * gitconfig-mode
  * gitignore-mode
 .
 Each mode is defined in its own library by the same name. Loading
 `git-modes' causes all three libraries to be loaded, but you could
 also load the libraries individually.

Package: elpa-git-timemachine
Description-md5: 29d5bb2504be04bc7d21308ac1491bd5
Description-en: walk through git revisions of a file
 Emacs mode that helps to walk through git revisions of a file. It can be used
 to browse historic versions of a file with p (previous) and n (next) keys. Also
 one can jump to a particular revision, copy abbreviate or full hash of the
 current revision.

Package: elpa-gitattributes-mode
Description-md5: 7b7e1f9021f3637c6a7d166f722779af
Description-en: major mode for editing Git configuration files (gitattributes)
 This package provides major mode for editing `.gitattributes',
 `.git/info/attributes', and `git/attributes' Git configuration files.

Package: elpa-gitconfig-mode
Description-md5: 826c776cfa386321ae52d08bc8a8cb60
Description-en: major mode for editing Git configuration files (gitconfig and gitmodules)
 This package provides major mode for editing `.gitconfig', `.git/config',
 `git/config', and `.gitmodules' Git configuration files.
 .
 `gitconfig-mode' derives from `conf-unix-mode'.

Package: elpa-gitignore-mode
Description-md5: f594f4c20110be40611575ddf7adf685
Description-en: major mode for editing Git configuration files (gitignore)
 This package provides major mode for editing `.gitignore',
 `.git/info/exclude', and `git/ignore' Git configuration files.
 .
 `gitignore-mode' derives from `conf-unix-mode'.

Package: elpa-gitlab-ci-mode
Description-md5: e5d4a5abfcf819af0645fc94f880446a
Description-en: Emacs mode for editing GitLab CI files
 This package provides an Emace major mode for editing GitLab CI
 files. It provides syntax highlighting and completion for keywords
 and special variables.

Package: elpa-gnuplot-mode
Description-md5: 4cee4712f86059de3f89b2d094edd5b7
Description-en: Gnuplot mode for Emacs
 Gnuplot is a major mode for Emacs flavours with the following features:
  - Functions for plotting lines, regions, entire scripts, or entire files
  - Graphical interface to setting command arguments
  - Syntax colorization
  - Completion of common words in Gnuplot
  - Code indentation
  - On-line help using Info for Gnuplot functions and features
  - Interaction with Gnuplot using comint
  - Pull-down menus plus a toolbar
  - Distributed with a quick reference sheet in postscript.

Package: elpa-go-autocomplete
Description-md5: 1b9e53e9e011f4d192010b19b98f367d
Description-en: auto-complete-mode backend for Go code
 This package provides gocode integration with Emacs, based on
 auto-complete.

Package: elpa-go-mode
Description-md5: 9125cdc21be24226c3825f201f4d9efb
Description-en: Emacs mode for editing Go code
 This package provides a GNU Emacs major mode for editing code in
 the Go programming language.

Package: elpa-golden-ratio
Description-md5: 7e5f4f1e0b9e3b1103690b19a395067f
Description-en: automatic resizing of Emacs windows to the golden ratio
 When working with many windows at the same time, each window has a
 size that is not convenient for editing. This package helps on this
 issue by resizing automatically the windows you are working on to the
 size specified in the "Golden Ratio". The window that has the main
 focus will have the perfect size for editing, while the ones that are
 not being actively edited will be re-sized to a smaller size that
 doesn't get in the way, but at the same time will be readable enough
 to know it's content.

Package: elpa-goo
Description-md5: 5d368b0b101ec85b985734e5571dc049
Description-en: generic object-orientator (Emacs support)
 GOO is a dynamic, type-based, object-oriented language in the same family
 as Dylan and Scheme.  It is designed to be simple, productive, powerful,
 extensible, dynamic, efficient, and real-time.
 .
 Its main goal is to offer the best of both scripting and delivery
 languages, while at the same time incorporating an extreme back-to-basics
 philosophy.
 .
 This package contains an Emacs mode for editing GOO source and an
 interface for running the GOO interpreter directly from Emacs.

Package: elpa-goto-chg
Description-md5: abb69eda38f20dff707db9991e19b089
Description-en: navigate the point to the most recent edit in the buffer
 goto-chg is an Emacs addon that allows the user to move point to the
 most recent edit in the buffer.  When the command is repeated, point
 moves to the second most recent edit, and so on.  A negative argument
 may be used to reverse the direction.

Package: elpa-graphql
Description-md5: 6166270c56a92bd6bd7cdebd05e707e0
Description-en: GraphQL utilities
 GraphQL.el provides a generally-applicable domain-specific language
 for creating and executing GraphQL queries against your favorite
 web services.

Package: elpa-graphviz-dot-mode
Description-md5: 78c17938ab4dfe17578b53eab32126ce
Description-en: Emacs mode for the dot-language used by graphviz.
 Graphviz is open source graph visualization software. Graph
 visualization is a way of representing structural information as
 diagrams of abstract graphs and networks. The Graphviz layout
 programs take descriptions of graphs in a simple text language, and
 make diagrams in useful formats. This package helps Emacs users edit
 the graphviz input language.

Package: elpa-haskell-mode
Description-md5: 2f398cd5c22a0c541e396191b6b13d72
Description-en: major mode for editing Haskell in Emacs
 A major mode for editing Haskell (the functional
 programming language) in Emacs.
 .
 Major features:
  - syntax highlighting (font lock),
  - automatic indentation,
  - on-the-fly documentation,
  - interaction with inferior ghci or hugs instance,
  - scans declarations and places them in a menu.

Package: elpa-helm
Description-md5: ff4290aea72388902c7b3d8fc9f40557
Description-en: Emacs incremental completion and selection narrowing framework
 Helm is incremental completion and selection narrowing framework for
 Emacs. It will help steer you in the right direction when you're
 looking for stuff in Emacs (like buffers, files, etc).
 .
 Helm is a fork of anything.el originally written by Tamas Patrovic and
 can be considered to be its successor. Helm sets out to clean up the
 legacy code in anything.el and provide a cleaner, leaner and more
 modular tool, that's not tied in the trap of backward compatibility.

Package: elpa-helm-ag
Description-md5: 3d166cd30019dd227d9783bc769adf6e
Description-en: Silver Searcher integration with Emacs Helm
 This library provides an interface to the Silver Searcher for Emacs Helm.
 .
 Other programs, such as the platinum searcher ack, may also be used
 with this library.

Package: elpa-helm-core
Description-md5: cab7e279fc6783d2829b3fefb61eb79e
Description-en: Emacs Helm library files
 This package provides library Emacs Lisp files used by the elpa-helm
 package, and by Emacs Lisp addons that depend on Helm.
 .
 Please see the description & documentation for the elpa-helm package
 for more information.

Package: elpa-helm-org
Description-md5: 48994fedd18de577b3d5b7368d8c96c0
Description-en: Emacs Helm for Org-mode headlines and keywords completion
 This package provides an addon for the elpa-helm package to enable
 Helm to complete headlines and keywords in Org-mode.
 .
 It can also be used to complete Org capture template names.

Package: elpa-helm-projectile
Description-md5: fd4bbb24695ba859c75361e70da670f6
Description-en: Helm integration for Projectile
 With this library, Projectile can use Helm for completion.
 .
 See the documentation of elpa-helm and elpa-projectile for more
 details.

Package: elpa-helm-rtags
Description-md5: 5786b7db7da2eec4ecf7a5dc554bf9e8
Description-en: helm interface for RTags
 RTags is a client/server application that indexes C/C++ code and
 keeps a persistent file-based database of references, declarations,
 definitions, symbolnames etc.
 .
 This package provides the helm interface.

Package: elpa-helm-virtualenvwrapper
Description-md5: 384736c7935d66a8a01a0a1c99fcec85
Description-en: helm-source for virtualenvwrapper.el
 This package provides a helm-source for `virtualenvwrapper.el'.

Package: elpa-helpful
Description-md5: 6e5b925b8ffddad8d84ce8fb7394b8e0
Description-en: better *help* buffer
 Helpful is a replacement for *help* buffers that provides much more
 contextual information.
 .
 Helpful features view of source code, callers, interactive functions,
 keymaps, aliases. It provides prettier docstrings, symbol properties
 displaying. Also it supports trace, debug or disassemble functions
 from inside of helpful itself.

Package: elpa-highlight-indentation
Description-md5: 5d745334f979292dde624a1cc9ee1a21
Description-en: highlight the indentation level in Emacs buffers
 This package provides two Emacs minor modes:
 .
 * highlight-indentation-mode displays guides at each indentation
   level
 * highlight-indentation-current-column-mode displays guides
   indicating other lines indented to the same level as the line
   containing point
 .
 These modes are useful for programming in language like Python which
 use semantic indentation.

Package: elpa-highlight-numbers
Description-md5: 770cea2a33cc906adc0d702a8f1a7e9d
Description-en: highlight numbers in source code
 This minor mode provides syntax highlighting of numeric literals in
 source code, like what many editors provide by default.
 .
 It's easy to add or redefine what exactly consitutes a "number" in
 given major mode. See `highlight-numbers-modelist'.

Package: elpa-hl-todo
Description-md5: da81cee661e7faf60095a36574081988
Description-en: highlight TODO and similar keywords in comments and strings
 This package provides minor mode to highlight TODO and similar
 keywords in comments and strings.
 .
 Also this package provides commands for moving to the next or
 previous keyword and to invoke `occur' with a regexp that matches
 all known keywords.

Package: elpa-ht
Description-md5: 687509e8cf22858c4956b22972d0673f
Description-en: hash table library for Emacs
 The missing hash table library for Emacs. Libraries like `s.el'
 (strings) and `dash.el' (lists) have shown how much nicer Emacs Lisp
 programming can be with good libraries. `ht.el' aims to similarly
 simplify working with hash tables.
 .
 Common operations with hash tables (e.g. enumerate the keys) are too
 difficult in Emacs Lisp.
 .
 `ht.el' offers:
 .
  * a consistent naming scheme;
  * a more natural argument ordering;
  * a more comprehensive range of hash table operations;
  * mutation functions always return nil.

Package: elpa-htmlize
Description-md5: 5bc10dba173ebd09d07fdf5bbb1caafa
Description-en: convert buffer text and decorations to HTML
 This package converts the buffer text and the associated decorations
 to HTML. It supports three types of HTML output, selected by setting
 htmlize-output-type: css, inline-css (optimized for code snippets),
 and font (simpler output, doesn't rely on CSS). You can also use
 htmlize from your Emacs Lisp code.

Package: elpa-hungry-delete
Description-md5: b7fdcdd1e1baffde7aa91bd1a66dfe89
Description-en: enable hungry deletion in all modes
 `cc-mode' implements hungry deletion for its programming modes. This
 package borrows its implementation in a minor mode, so that hungry
 deletion can be used in all modes.

Package: elpa-hydra
Description-md5: 6ca8f961d0862d08614f9e454451ff69
Description-en: make Emacs bindings that stick around
 This is a package for GNU Emacs that can be used to tie related commands into
 a family of short bindings with a common prefix - a Hydra. Once you summon
 your Hydra through the prefixed binding (the body + any one head), all heads
 can be called in succession with only a short extension. Hydra can be vanished
 with any binding that isn't the Hydra's head (and that binding will call a
 proper command too). This makes the Hydra very seamless, it's like a minor
 mode that disables itself automagically.

Package: elpa-ibuffer-projectile
Description-md5: a81232bcaf6e19e41749df4d7c2685bc
Description-en: group buffers in ibuffer list by Projectile project
 Emacs' ibuffer-mode is a wonderful replacement for the built-in list-buffer
 command, and allows buffers to be grouped programmatically, e.g. by major mode.
 .
 This package lets you group your buffers by their Projectile root directory.

Package: elpa-ibuffer-vc
Description-md5: 8e7babc909013ec75aaf741fde713680
Description-en: group ibuffer list by VC project and show VC status
 Emacs' ibuffer-mode is a wonderful replacement for the built-in list-buffer
 command, and allows buffers to be grouped programmatically, e.g. by major mode.
 .
 That's where ibuffer-vc comes in: it lets you:
 .
  * Group your buffers by their parent vc root directory
  * See the VC status of the associated files
  * Sort buffers by their VC status

Package: elpa-ido-completing-read+
Description-md5: 5d4501611ed2951ace6c8c7537aad92f
Description-en: completing-read-function using ido
 This package implements the `ido-completing-read+' function, which is a
 wrapper for `ido-completing-read'. Importantly, it detects edge cases that
 ordinary ido cannot handle and either adjusts them so ido *can* handle them,
 or else simply falls back to Emacs' standard completion instead.

Package: elpa-ido-vertical-mode
Description-md5: 86b5d8809bd80103356f08f8f849cded
Description-en: make ido-mode display vertically
 This mode takes care of some caveats that are otherwise ugly to store in your
 init file. It makes ido-mode display prospects vertically, which looks quite
 nicely.

Package: elpa-iedit
Description-md5: 556cf6a4e6ff11571b2f442f2a244dc0
Description-en: edit multiple regions in the same way simultaneously
 This package is an Emacs minor mode and allows you to edit one occurrence of
 some text in a buffer (possibly narrowed) or region, and simultaneously have
 other occurrences edited in the same way.
 .
 You can also use Iedit mode as a quick way to temporarily show only the
 buffer lines that match the current text being edited.  This gives you the
 effect of a temporary `keep-lines' or `occur'.  To get this effect, hit C-'
 when in Iedit mode - it toggles hiding non-matching lines.

Package: elpa-imenu-list
Description-md5: 450e5f7593de5a0ad9857e92842cd3d2
Description-en: show the current Emacs buffer's imenu entries in a separate window
 This Emacs minor-mode creates an automatically updated buffer called
 `*Ilist*` that is populated with the current buffer's imenu entries.
 Imenu-list is usually configured so that the imenu structure is displayed
 in an automatically resized vertical Emacs window (a sidebar).
 .
 An imenu tree can be used as a table of contents for text and markdown
 documents, or for adding modern IDE features to Emacs--features like an
 overview of function and variable definitions.  Speedbar also provides this
 functionality; however, imenu-list doesn't require X11.  Unlike speedbar,
 imenu-list shares the existing Emacs frame.  X11 windows are called frames
 in Emacs, so another way of saying this is imenu-list doesn't require a
 second X11 window with its own window decorations.

Package: elpa-initsplit
Description-md5: 250248f1cf4b2aa2bc07316631b2757b
Description-en: code to split customizations into different files
 This package allows you to split Emacs customizations (set via M-x
 customize) into different files, based on the names of the
 variables.

Package: elpa-irony
Description-md5: 2d27c5992ccbecb6f78ceb07117c1af7
Description-en: Emacs C/C++ minor mode powered by libclang
 irony-mode is an Emacs minor-mode that aims at improving the editing
 experience for the C, C++ and Objective-C languages. It works by
 using a combination of an Emacs package and a C++ program
 (irony-server) that uses libclang.
 .
 This package contains irony-mode.

Package: elpa-ivy
Description-md5: 7a5f69c7895c49eb80e38c72ebe2b270
Description-en: generic completion mechanism for Emacs
 Ivy is an acronym for Incremental Vertical completYon.  While it
 operates similarly to other completion schemes, such as
 Icomplete mode, Ivy aims to be more efficient, smaller, simpler, and
 smoother to use--yet highly customisable.
 .
 To try Ivy, call M-x ivy-mode.  A default installation of Ivy only
 includes the generic completion framework, plus completion for file
 and buffer names.
 .
 This package will not install all of Ivy/Swiper/Counsel.  For a
 full-featured experience please install "elpa-counsel", which will
 also install "elpa-swiper".  Hydra users will probably also want
 "elpa-ivy-hydra".
 .
 Counsel is an alternative to Anything and Helm.

Package: elpa-ivy-hydra
Description-md5: 9cf76b88d53fdd3b95c24411ede58d9d
Description-en: additional key bindings for Emacs Ivy
 This package provides the hydra-ivy/body command, which is a
 quasi-prefix map with many useful bindings for Ivy.  These bindings
 are shorter than usual and use predominantly unprefixed keys.

Package: elpa-ivy-rtags
Description-md5: 02bd916453beadf75aadeb66d55a76fe
Description-en: ivy back-end for RTags
 RTags is a client/server application that indexes C/C++ code and
 keeps a persistent file-based database of references, declarations,
 definitions, symbolnames etc.
 .
 This package provides the ivy back-end.

Package: elpa-jabber
Description-md5: 48ffc5cca5f522a4bc4e00d4e6b2d39a
Description-en: Jabber client for Emacsen
 jabber.el (emacs-jabber) is a Jabber client for Emacs and XEmacs.
 While this is still a development version, it offers all the basic
 instant messaging functions and is highly customizable.

Package: elpa-jedi
Description-md5: e6f9953c81bdfb2d0c0ca1c55afa7b40
Description-en: Python auto-completion for Emacs
 The package provides a Python auto-completion package for Emacs. It
 aims at helping your Python coding in a non-destructive way. It also
 helps you to find information about Python objects, such as
 docstring, function arguments and code location.
 .
 This package contains jedi.el, which relies on auto-complete.el.

Package: elpa-jedi-core
Description-md5: 85619b8bc6beaaeb3366234992d17dd1
Description-en: common code of jedi.el and company-jedi.el
 The package provides a Python auto-completion package for Emacs. It
 aims at helping your Python coding in a non-destructive way. It also
 helps you to find information about Python objects, such as docstring,
 function arguments and code location.
 .
 This package contains common code of jedi.el and company-jedi.el.

Package: elpa-jinja2-mode
Description-md5: 27f54255901fc6029ff3def58dd178e5
Description-en: Emacs major mode for editing jinja2 code
 The package provides an Emacs major mode for jinja2 with:
 .
  - syntax highlighting
  - sgml/html integration
  - indentation (working with sgml)

Package: elpa-js2-mode
Description-md5: 212aacff33c64a89804158162bd96528
Description-en: Emacs mode for editing Javascript programs
 This JavaScript editing mode supports:
 .
  - the full JavaScript language through version 1.7
  - support for most Rhino and SpiderMonkey extensions from 1.5 to 1.7
  - accurate syntax highlighting using a recursive-descent parser
  - syntax-error and strict-mode warning reporting
  - "bouncing" line indentation to choose among alternate indentation points
  - smart line-wrapping within comments and strings
  - code folding:
    - show some or all function bodies as {...}
    - show some or all block comments as /*...*/
  - context-sensitive menu bar and popup menus
  - code browsing using the imenu' package
  - typing helpers (e.g. inserting matching braces/parens)
  - many customization options

Package: elpa-key-chord
Description-md5: 3b5597790bafa7365a5b497bb12fce6a
Description-en: map pairs of simultaneously pressed keys to commands
 This package permits an Emacs user to map commands to simultaneously
 pressed keys.  This can be done buffer-local or globally.
 .
 In this package, a "key chord" is two keys pressed simultaneously, or
 a single key quickly pressed twice.
 .
 (Sometimes pressing SHIFT and/or META plus another key is call a
 chord, but not here. However SHIFT plus two normal keys can be a "key
 chord".)

Package: elpa-kv
Description-md5: 401480566119adbcef30805a840e9ff0
Description-en: key/value data structure functions for Emacs Lisp
 This library provides some routines for working with key/value data
 structures, like hash-tables and alists and plists, in Emacs Lisp.

Package: elpa-lbdb
Description-md5: c13e47ac6d806bb155a7b77d17830059
Description-en: Little Brother's DataBase Emacs extensions
 This package is an extension of the lbdb package, which contains
 ldbd.el, an Emacs interface to the Little Brother's Datebase lbdb.
 .
 Additionally it contains the lbdb module m_bbdb, which allows lbdb to
 access addresses in BBDB (big brother database).

Package: elpa-ledger
Description-md5: 040e8612cc3e0fb19b383e4d0c610ffa
Description-en: command-line double-entry accounting program (emacs interface)
 Ledger is a powerful and flexible double-entry accounting system run
 entirely from the command line.  Your accounts ledger is stored in one or
 more plain-text files with a very simple and readable format, and ledger
 does the hard work of balancing your books and reporting.
 .
 This package provides the emacs interface.

Package: elpa-let-alist
Description-md5: c1198ca693743f8fe05e0311d73b4e30
Description-en: let-bind values of an assoc-list by their names in Emacs Lisp
 This package provides the let-alist macro for use in Emacs Lisp.
 .
 The macro allows the programmer to concisely and efficiently access
 the contents of an association list.

Package: elpa-linum-relative
Description-md5: 3f45aae8eec6760917d564662b879dd1
Description-en: display relative line number in Emacs
 This package lets you display relative line numbers for current buffer. For the
 current line it can show any symbol or the real line number. Supports
 helm-mode.

Package: elpa-load-relative
Description-md5: c43b403e9a4f72568f877ea9fccb0e4a
Description-en: relative file load (within a multi-file Emacs package)
 This package provides functions which facilitate writing multi-file
 Emacs packages and facilitate running from the source tree without
 having to "install" code or fiddle with `load-path'.

Package: elpa-loop
Description-md5: 566fdbd6dd5b947422c354cd0896e40a
Description-en: friendly imperative loop structures for Emacs Lisp
 Emacs Lisp is missing loop structures familiar to users of newer
 languages. This package adds a selection of popular loop structures
 as well as break and continue.

Package: elpa-lsp-haskell
Description-md5: 55ccfdb0404cfc5cb63d6c790ba4092e
Description-en: Haskell support for lsp-mode
 An Emacs Lisp library for interacting with a haskell-ide-engine server using
 Microsoft's Language Server Protocol.
 .
 The library is designed to integrate with existing Emacs IDE frameworks
 (completion-at-point, xref (beginning with Emacs 25.1), flycheck,
 haskell-mode, intero, etc).

Package: elpa-lsp-mode
Description-md5: 989e08ebbcc502323b0c21b14a4972da
Description-en: Emacs client/library for the Language Server Protocol
 A Emacs Lisp library for implementing clients for servers using Microsoft's
 Language Server Protocol (v3.0)[1].
 .
 The library is designed to integrate with existing Emacs IDE frameworks
 (completion-at-point, xref (beginning with Emacs 25.1), flycheck, etc).
 .
 [1]: https://github.com/Microsoft/language-server-protocol

Package: elpa-lsp-ui
Description-md5: b4913494a457c34785f922dc0db17c50
Description-en: UI modules for lsp-mode
 lsp-ui contains a series of useful UI integrations for lsp-mode, like
 flycheck support and code lenses.

Package: elpa-lua-mode
Description-md5: 2cd3d0f3a38158f9e2011b7d304ac7d0
Description-en: Emacs major-mode for editing Lua programs
 This Emacs mode provides syntax highlighting and automatic
 indentation for Lua, as well as running an interactive shell,
 interacting with `hs-minor-mode' and online documentation lookup.

Package: elpa-lv
Description-md5: 95bcfa7936eae6855c1d153c19248831
Description-en: other echo area
 This package provides `lv-message' intended to be used in place of
 `message' when semi-permanent hints are needed, in order to not
 interfere with Echo Area.

Package: elpa-m-buffer
Description-md5: 8ba3f6a4d2cadd12f697407cd57d16e0
Description-en: list-oriented, functional buffer manipulation
 This package provides a set of list-oriented functions for operating
 over the contents of buffers, mostly revolving around regexp
 searching, and regions. They avoid the use of looping, manipulating
 global state with `match-data'. Many high-level functions exist for
 matching sentences, lines and so on.

Package: elpa-magit
Description-md5: 622d35dac27007b3de60043d05b53af4
Description-en: Emacs interface for Git
 With Magit, you can inspect and modify your Git repositories with
 Emacs.  You can review and commit the changes you have made to the
 tracked files, for example, and you can browse the history of past
 changes.  There is support for cherry picking, reverting, merging,
 rebasing, and other common Git operations.

Package: elpa-magit-annex
Description-md5: d5460d4ff43ae0eb46e4c084009d1571
Description-en: git-annex subcommands for magit
 This package adds some git-annex subcommands to magit's interface,
 under the '@' prefix key.  See the descriptions of the git-annex and
 elpa-magit packages.
 .
 Some examples of operations supported by this package:
 .
   * adding untracked files with `git annex add`
   * displaying information about unused files listed by `git annex unused`
   * locking and unlocking files.

Package: elpa-magit-popup
Description-md5: e0de52eb9b6f11fbd769f9d194d6f824
Description-en: Use popup like Magit
 This package implements a generic interface for toggling switches
 and setting options and then invoking an Emacs command which does
 something with these arguments.  The prototypical use is for the
 command to call an external process, passing on the arguments as
 command line arguments.  But this is only one of many possible
 uses (though the one this library is optimized for).
 .
 This package has been superseded by Transient.  No new features
 will be added but bugs will be fixes.

Package: elpa-magithub
Description-md5: fdb5cd6e0d5941c68b0049c8a59ff889
Description-en: Magit interfaces for GitHub
 Magithub is an interface to GitHub using the `hub' utility.
 .
 Integrated into Magit workflows, Magithub allows very easy,
 very basic GitHub repository management.
 Supported actions include:
 .
  - pushing brand-new local repositories up to GitHub
  - creating forks of existing repositories
  - submitting pull requests upstream
  - viewing and creating issues
 .
 Press `H' in the status buffer to get started -- happy hacking!

Package: elpa-mailscripts
Description-md5: 52117c17ae78c877538c5ac7b49cdb16
Description-en: Emacs functions for accessing tools in the mailscripts package
 This package adds to Emacs functions to access tools in the
 mailscripts package from Emacs.

Package: elpa-makey
Description-md5: 29873d3132e65d22fc81fb62e86129e1
Description-en: flexible context menu system
 This package adds a flexible context menu system to GNU Emacs. Mainly it is
 used as a dependency for such ELPA packages as discover.el and
 discover-my-major.

Package: elpa-markdown-mode
Description-md5: fc5779c9edf0a0818488d6040aa809be
Description-en: mode for editing Markdown-formatted text files in GNU Emacs.
 The mode provides syntax highlighted, and keyboard shortcuts for
 editing, compiling and previewing Markdown.

Package: elpa-markdown-toc
Description-md5: bccfb89d7e3530a88dc52212432cbd06
Description-en: Emacs TOC (table of contents) generator for markdown files
 Markdown-toc is a simple Emacs addon that parses a Markdown buffer
 and creates a handy table of contents.  It can also refresh an
 existing TOC to reflect updates to the body of the document.  When
 writing a standalone markdown document, when one cannot rely on
 external tools, rely on markdown-toc.  It provides greater control
 over output levels and headings than Pandoc's --toc.

Package: elpa-memoize
Description-md5: 393137aa8ce4067d0874e3c8c99ae293
Description-en: memoization functions
 This package provides memoization functions. `memoize' accepts a
 symbol or a function. When given a symbol, the symbol's function
 definition is memoized and installed overtop of the original function
 definition. When given a function, it returns a memoized version of
 that function.
 .
 Memoization takes up memory, which should be freed at some point.
 Because of this, all memoization has a timeout from when the last
 access was.

Package: elpa-meson-mode
Description-md5: eb330d45d60029cde1276b8626c6e8c1
Description-en: Major mode for the Meson build system files
 This is a major mode for Meson build system files. Syntax
 highlighting works reliably. Indentation works too, but there are
 probably cases, where it breaks. Simple completion is supported via
 `completion-at-point'. To start completion, use either <C-M-i> or
 install completion frameworks such as `company'. To enable
 `company' add the following to your .emacs:
 .
 (add-hook 'meson-mode-hook 'company-mode)

Package: elpa-message-templ
Description-md5: 36b2099fc6f81379d1906754537fa847
Description-en: templates for Emacs message-mode
 This Emacs addon provides templating for Emacs message-mode.  It can
 match message headers by regular expression, and then apply
 transformations to the message, such as changing the From: address or
 signature, and inserting boilerplate text.  It is also possible to
 run arbitrary Emacs Lisp to set up the message.

Package: elpa-migemo
Description-md5: 40f75a1ef1d3823120fae70f379cd2cb
Description-en: Japanese incremental search with Romaji on Emacsen
 Migemo is a tool that supports Japanese incremental search with Romaji.
 It release you from heavy tasks of Kana Kanji conversion in order to
 search.
 .
 This package prrovides an Emacsen interface provided mepla-stable.

Package: elpa-minimap
Description-md5: 959206e71f2aa8be37b746d8e930093f
Description-en: sidebar showing a "mini-map" of a buffer
 This package is an implementation of a minimap sidebar, i.e., a
 smaller display of the current buffer on the left side.  It
 highlights the currently shown region and updates its position
 automatically.  You can navigate in the minibar by dragging the
 active region with the mouse, which will scroll the corresponding
 edit buffer. Additionally, you can overlay information from the tags
 gathered by CEDET's semantic analyzer.

Package: elpa-mocker
Description-md5: 9a9eab9f22c5cc228248b05d3f0731a2
Description-en: mocking framework for Emacs
 This package is helpful with testing Emacs Lisp code. Its single
 entry point (`mocker-let') provides an `let' like interface to
 defining mock objects. Actually, `mocker-let' is a wrapper around
 `flet', which can be seen as a way to manually generate mocks.

Package: elpa-monokai-theme
Description-md5: d1a18f6d2bcfcd8f36d366870e1c1c2a
Description-en: fruity color theme for Emacs
 Monokai for Emacs is a port of the popular TextMate theme Monokai by Wimer
 Hazenberg, built on top of the new built-in theme support in Emacs 24. The
 inspiration for the theme came from Bozhidar Batsov and his Zenburn port and
 Sublime Text 2 which defaults to this color scheme.

Package: elpa-move-text
Description-md5: bd8f0f1de48389fb3e9876212f9c32fc
Description-en: move current line or region up and down
 The package allows one to move the current line up and down. If a
 region is marked, it will move the region instead. Using the prefix
 one can predefine how many lines move-text will travel.

Package: elpa-muse
Description-md5: ea3d83498ca32602364be186e7f3e4f7
Description-en: author and publish projects using Wiki-like markup
 Emacs Muse is an authoring and publishing environment for Emacs.  It
 simplifies the process of writing documents and publishing them to
 various output formats, such as DocBook, LaTeX, (X)HTML, TexInfo, and
 PDF.  It can even produce content suitable for blogging, such as
 Blosxom-style .txt files and RDF or RSS 2.0 feeds, using the
 muse-blosxom and muse-journal modules.
 .
 Muse consists of two main parts: an enhanced text-mode for authoring
 documents and navigating within Muse projects, and a set of publishing
 styles for generating different kinds of output.

Package: elpa-mutt-alias
Description-md5: 01562e1b3c208f5bd6f12a024e952e61
Description-en: Emacs package to lookup and insert expanded Mutt mail aliases
 Mutt-alias is an Emacs package that allows one to lookup Mutt aliases
 and insert the expanded alias as an email address.  The following
 functions are available:
   * mutt-alias-insert - Insert the expanded alias into the current buffer.
   * mutt-alias-lookup - Lookup and display the expansion for alias.

Package: elpa-muttrc-mode
Description-md5: b76903a7b19a4ea040bd163c9f24f3d9
Description-en: Emacs major mode for editing muttrc
 This mode provides syntax highlighting for muttrc and /etc/aliases.
 Basic fontification (via font-lock) appears on strings, comments,
 command names, and variables.  Additional fontification for commands
 arguments can be enabled through the customisation buffer.

Package: elpa-neotree
Description-md5: 9f25efe04b6a0e0c49cdcf65c3c6bc26
Description-en: directory tree sidebar for Emacs that is like NERDTree for Vim
 NeoTree is a modern, themeable alternative to the Emacs builtins
 Dired and Speedbar.  Like Speedbar, it auto-refreshes without needing
 to type "g".  Like Dired, but unlike Speedbar, it creates a new
 window as a sidebar and not a whole new frame (eg: not a new X11
 application window with its own window decorations).  NeoTree shows a
 file system tree relative to the users' $HOME, where both Dired and
 Speedbar default to showing the contents of the current directory.
 Thus it provides a hierarchical rather than a modal view.
 .
 Neotree is similar to Vim's NERDTree plugin.
 .
 This addon is for users who are more comfortable with the directory
 tree sidebar/file system explorer used in most non-Emacs applications.

Package: elpa-no-littering
Description-md5: 21cdd0dfe9e7949ab043cc053e2a18ff
Description-en: help keeping ~/.emacs.d clean
 The default paths used to store configuration files and persistent
 data are not consistent across Emacs packages. This isn't just a
 problem with third-party packages but even with built-in packages.
 .
 This package sets out to fix this by changing the values of path
 variables to put files in either `no-littering-etc-directory'
 (defaulting to "~/.emacs.d/etc/") or `no-littering-var-directory'
 (defaulting to "~/.emacs.d/var/"), and by using descriptive file
 names and subdirectories when appropriate.

Package: elpa-noflet
Description-md5: dea4e559704598b741cb191a24ad965d
Description-en: Emacs Lisp noflet macro for dynamic, local advice
 This package provides the Emacs Lisp macro noflet, Nic Ferrier's
 overriding flet, for fleting functions for the purpose of decorating
 them.  It is a replacement for the deprecated flet macro.

Package: elpa-nose
Description-md5: 73c6f71d3ffa068e9621a1e47d7bfdb4
Description-en: easy Python test running in Emacs
 This package provides a bunch of functions that handle running
 nosetests on a particular buffer or part of a buffer. Also `nose.el'
 adds a minor mode that is used to manage keybindings and provide a
 hook for changing the behaviour of the nose output buffer.

Package: elpa-notmuch
Description-md5: b99df46c8489e7c7e133c8be12c1fc98
Description-en: thread-based email index, search and tagging (emacs interface)
 Notmuch is a system for indexing, searching, reading, and tagging
 large collections of email messages in maildir or mh format. It uses
 the Xapian library to provide fast, full-text search with a very
 convenient search syntax.
 .
 This package provides an emacs based mail user agent based on
 notmuch.

Package: elpa-nov
Description-md5: 021ed319c8832bfe5437072f4ed5d3eb
Description-en: featureful EPUB (ebook) reader mode for Emacs
 nov.el provides a major mode for reading EPUB documents (ebooks) in Emacs.
 .
 Features:
 .
   - Basic navigation (jump to TOC, previous/next chapter)
   - Remembering and restoring the last read position
   - Jump to next chapter when scrolling beyond end
   - Renders EPUB2 (.ncx) and EPUB3 (<nav>) TOCs
   - Hyperlinks to internal and external targets
   - Supports textual and image documents
   - View source of document files
   - Metadata display
   - Image rescaling

Package: elpa-olivetti
Description-md5: 58cd65bc3d44ec72f811f69cfbdd90df
Description-en: Emacs minor mode to more comfortably write prose
 Olivetti keeps everything it does buffer-local, so you can write
 prose in one buffer and code in another, side-by-side in the same
 frame. Or, by hiding the mode-line and using a single window in a
 fullscreen frame, Olivetti provides a nice distraction-free
 environment. In this sense it is similar to writeroom-mode, but the
 latter is a more hardcore distraction-free writing mode with much
 larger scope.
 .
 Features:
   * Set a desired text body width to automatically resize window
     margins to keep the text comfortably in the middle of the window.
   * Text body width can be the number of characters (an integer) or a
     fraction of the window width (a float between 0.0 and 1.0).
   * Interactively change body width with:
         olivetti-shrink C-c [ [ [ ...
         olivetti-expand C-c ] ] ] ...
         olivetti-set-width C-c \
   * If olivetti-body-width is an integer, the text body width will
     scale with use of text-scale-mode, whereas if a fraction (float)
     then the text body width will remain at that fraction.
   * Optionally remember the state of visual-line-mode on entry and
     recall its state on exit.
   * Optionally hide the mode-line for distraction-free writing.

Package: elpa-openwith
Description-md5: 71d0a5370ab16b60760af0d6b8d4ffec
Description-en: seamlessly open files in external programs with Emacs
 With this Emacs addon, you can associate external applications with
 files so that you can open them via C-x C-f, with RET in dired, etc.
 .
 You can set your file associations using the customisation interface.

Package: elpa-org
Description-md5: c980b91b08c7bf9a6ee9e6792031daef
Description-en: Keep notes, maintain ToDo lists, and do project planning in emacs
 Org-mode is a mode for keeping notes, maintaining ToDo lists, and
 doing project planning with a fast and effective plain-text system.
 .
 Org-mode develops organizational tasks around NOTES files that contain
 information about projects as plain text. Org-mode is implemented on
 top of outline-mode, which makes it possible to keep the content of
 large files well structured. Visibility cycling and structure editing
 help to work with the tree. Tables are easily created with a built-in
 table editor. Org-mode supports ToDo items, deadlines, time stamps,
 and scheduling. It dynamically compiles entries into an agenda. Plain
 text URL-like links connect to websites, emails, Usenet messages,
 BBDB entries, and any files related to the projects. For printing and
 sharing of notes, an Org-mode file can be exported as a structured
 ASCII file, HTML, and LaTeX.

Package: elpa-org-bullets
Description-md5: c93d8a20fc362e2f9146e1e92aa46566
Description-en: show bullets in Org-mode as UTF-8 characters
 This package replaces the *s in Org-mode documents with bullets with fancy
 UTF-8 characters. Bullet face depend on the heading level of a particular
 string and can be redefined to be literally any character.

Package: elpa-package-lint
Description-md5: d91adfcbb19b24086925c500d2ebc322
Description-en: linting library for Elisp package authors
 This package provides a linter for the metadata in Emacs Lisp files
 which are intended to be packages. Developers can integrate it into
 their build process. It provides a list of issues with the package
 metadata of a file, e.g. the package dependencies it requires.

Package: elpa-package-lint-flymake
Description-md5: 84c533fce7e8287af499de0d870bfdf1
Description-en: package-lint Flymake backend
 This package provides a package-lint Flymake backend. Flymake is the
 built-in Emacs package to support on-the-fly syntax checking. This
 library adds support for flymake to `package-lint'.

Package: elpa-paredit
Description-md5: dbc43b3cbe1edec20b9e313d831b227e
Description-en: Emacs minor mode for structurally editing Lisp code
 Paredit mode instruments several common keybindings to automatically
 balance all parentheses and respect the structure of S-expressions;
 it also provides numerous high-level operations to change the
 structure of lists.

Package: elpa-paredit-everywhere
Description-md5: 879db931002562c13c22278cfa8ccbd5
Description-en: cut-down version of paredit for non-lisp buffers
 This Emacs addon extends Paredit to non-lisp buffers by providing a
 new minor mode, `paredit-everywhere-mode'.  This works because many
 major modes provide reasonable sexp-oriented navigation.
 .
 Paredit bindings that do not work well outside of lisp buffers are
 not enabled.

Package: elpa-parent-mode
Description-md5: 947f7891780182b94426b447463c03d3
Description-en: get major mode's parent modes
 This package provides `parent-mode', Emacs mode to get major mode's
 parent modes.

Package: elpa-parsebib
Description-md5: 3b84ad08316bb2948b09d72dd74b24c8
Description-en: Emacs Lisp library for parsing .bib files
 Parsebib is an Elisp library for reading .bib files. It provides
 functions for going through a .bib file and reading the entries one
 by one. There are separate functions for reading @Preamble, @String
 and @Comment items.

Package: elpa-pcre2el
Description-md5: 52e4cf3861609b562a632750f2080cb9
Description-en: Emacs mode to convert between PCRE, Emacs and rx regexp syntax
 This package provides `pcre2el' or `rxt' (RegeXp Translator or RegeXp
 Tools), a utility for working with regular expressions in Emacs,
 based on a recursive-descent parser for regexp syntax. In addition to
 converting (a subset of) PCRE syntax into its Emacs equivalent, it
 can do the following:
 .
  - convert Emacs syntax to PCRE;
  - convert either syntax to `rx', an S-expression based regexp syntax
    untangle complex regexps by;
  - showing the parse tree in `rx' form and highlighting the;
  - corresponding chunks of code show the complete list of strings
    (productions) matching a regexp, provided the list is finite;
  - provide live font-locking of regexp syntax (so far only for Elisp
    buffers).

Package: elpa-pdf-tools
Description-md5: b8930ca6da974f0388ee6e0eab5dc9f8
Description-en: Display and interact with pdf in Emacs
 This mode replace DocView for PDF files.  The key difference is, that pages
 are created on-demand and stored in memory.
 .
 One can also get all kinds of information about a document, add
 annotation, copy and paste, follow link...
 .
 With synctex one can also get to the tex source of a part of a
 document.

Package: elpa-pdf-tools-server
Description-md5: cec7f0722e7344fb04769c189a0a5012
Description-en: server for Emacs's pdf-tools
 This package contain the server needed by elpa-pdf-tools to transform
 pdf into png to be displayed by Emacs.
 .
 You probably need it only for elpa-pdf-tools

Package: elpa-persp-projectile
Description-md5: 18ca27336fcc8eb90ffb5685fe2cf1bc
Description-en: integrate perspective.el with projectile
 With this library, Emacs will create a separate perspective for each
 Projectile project.
 .
 See the documentation for elpa-persp and elpa-projectile for more
 details.l

Package: elpa-perspective
Description-md5: 220806a287ac414b880aef6e5c24fc35
Description-en: tagged workspaces in Emacs
 This package provides tagged workspaces in Emacs, similar to
 workspaces in windows managers such as Awesome and XMonad (and
 somewhat similar to multiple desktops in GNOME or Spaces in OS X).
 .
 perspective.el provides multiple workspaces (or "perspectives") for
 each Emacs frame. This makes it easy to work on many separate projects
 without getting lost in all the buffers.
 .
 Each perspective is composed of a window configuration and a set of
 buffers. Switching to a perspective activates its window
 configuration, and when in a perspective only its buffers are
 available by default.

Package: elpa-php-mode
Description-md5: ba651b1e3ea4735a0275b98ce4c2f035
Description-en: PHP Mode for GNU Emacs
 This project updates PHP Mode for GNU Emacs with features that make
 it more friendly to use with PHP 5.4 and later.  Web Mode should be
 used in preference to PHP Mode when one needs to work with PHP
 scripts that contain HTML and other markup.  Supports:
  * Highlighting for keywords, for all official PHP magic and
    predefined constants, for PHPDoc tags and annotations, and for
    customised user-defined lists of frequently used constants.
  * Imenu listings for traits, interfaces, namespaces, and anonymous
    functions.
  * Syntax highlighting, including fontification of namespaces.
  * Chained Method Calls that align method calls over multiple lines
    anchored around the '->' operator.
  * Prettified formatting of arrays and nested function calls.
  * On-the-fly syntax checking via Flycheck or Flymake.
  * Searching PHP documentation using key at point (under cursor).
  * Executing Regions of PHP.  Output appears in the *PHP* buffer.
  * Multiple coding styles.  In addition to its default style, this
    mode supports Drupal, WordPress, Symfony2, and PEAR/PSR-2.
  * Amaka.  PHP Mode activates when editing build scripts.
  * Most of PSR-5: PHPDoc (Draft).

Package: elpa-pip-requirements
Description-md5: 196ede98365f42043995451991c0f759
Description-en: major mode for editing pip requirements files
 This package provides an Emacs major mode for editing pip
 requirements files, with the following features:
 .
  * Syntax highlighting
  * Togglable comments
  * Auto completion of package names from PyPI

Package: elpa-pkg-info
Description-md5: c90a033b9b550594fc84b3cf4c7177ee
Description-en: provide information about Emacs packages
 Library of functions to extract information about installed Emacs
 packages.
 .
 There are functions to extract information about locally installed
 packages, and functions to get the properties and recipe of the
 package's MELPA entry.

Package: elpa-pod-mode
Description-md5: 9e3ca954aff9ea472b6676803f7681ca
Description-en: emacs major mode for editing .pod files
 POD mode is a simple mode for editing "Plain Old Documentation"
 format files and POD embedded in Perl code.

Package: elpa-pointback
Description-md5: 375721e1378b394d07756dcb7e86b604
Description-en: restore window points when returning to buffers
 When you have two windows X and Y showing different sections of the
 same buffer B, then switch to a different buffer in X, and then
 show B in X again, the new point in X will be the same as in Y.
 .
 With pointback-mode (or global-pointback-mode), window points are
 preserved instead, and point will be where it originally was in X for
 B when you return to B.

Package: elpa-popup
Description-md5: 67932b8abb2251a041f3ae3090ad6732
Description-en: visual popup user interface library for Emacs
 popup.el is a visual popup user interface library for Emacs. It
 provides common UI widgets such as popup tooltips and popup menus.

Package: elpa-powerline
Description-md5: 24f707059c26625343731691a3bff6a2
Description-en: Emacs version of the Vim powerline
 Powerline is a library for customizing the mode-line that is based on the Vim
 Powerline. A collection of predefined themes comes with the package.

Package: elpa-projectile
Description-md5: 555711b9648e1514a80e471e5e989622
Description-en: project interaction library for Emacs
 This library enhances Emacs with easy project management and
 navigation. The concept of a project is simple: just a folder
 containing a special file.  Currently git, mercurial, darcs and
 bazaar repos are considered projects by default.  So are lein, maven,
 sbt, scons, rebar and bundler projects.  If you want to mark a folder
 manually as a project just create an empty .projectile file in it.
 .
 Some of Projectile's features:
 .
   * jump to a file in project
   * jump to files at point in project
   * jump to a directory in project
   * jump to a file in a directory
   * jump to a project buffer
   * jump to a test in project
   * toggle between files with same names but different extensions
     (e.g. `.h` <-> `.c/.cpp`, `Gemfile` <-> `Gemfile.lock`)
   * toggle between code and its test (e.g. `main.service.js` <->
     `main.service.spec.js`)
   * jump to recently visited files in the project
   * switch between projects you have worked on
   * kill all project buffers
   * replace in project
   * multi-occur in project buffers
   * grep in project
   * regenerate project etags or gtags
   * visit project in dired
   * run make in a project with a single key chord

Package: elpa-ps-ccrypt
Description-md5: 7a7dd0e2cfbef0a4bc3d62620ff186f5
Description-en: Emacs addon for working with files encrypted with ccrypt
 elpa-ps-ccrypt provides low-level support for reading, writing, and
 loading files encrypted with ccrypt. It hooks into the low-level file I/O
 functions (including write-region and insert-file-contents) so that they
 automatically encrypt or decrypt a file if the file appears to need it
 (based on the extension of the file name). Packages like Rmail, VM, GNUS,
 and Info should be able to work with encrypted files without modification.

Package: elpa-puppet-mode
Description-md5: 55e0a2c00ae16e00bbc13baf21dfddcf
Description-en: major mode for Puppet 3 manifests in Emacs
 Puppet Mode is a major mode for GNU Emacs that adds support for the
 Puppet language.  Puppet is a system provisioning and configuration
 tool by Puppetlabs Inc, and Puppet manifests are files that end in
 ".pp".  This mode supports Puppet 3 and later.
 .
 Features:
   * Syntax highlighting.
   * Indentation and alignment of expressions and statements.
   * Tag navigation with imenu.
   * Manual validation and linting of manifests (see Flycheck for
     on-the-fly validation and linting).
   * Integration with Puppet Debugger.

Package: elpa-py-autopep8
Description-md5: 93b961f4d7b862ca7aae3331e1a8b0b6
Description-en: use autopep8 to beautify a Python buffer
 This package provides the `py-autopep8' command, which uses the
 external `autopep8' tool to tidy up the current buffer according to
 Python's PEP-8.

Package: elpa-py-isort
Description-md5: 7f5a410d2e493afba61fff9416fc83ba
Description-en: use isort to sort the imports in a Python buffer
 Provides commands, which use the external `isort' tool to tidy up the
 imports in the current buffer or currently selected region. The
 behaviour of `isort' can be changed with the help of
 `py-isort-options' declaration.

Package: elpa-python-environment
Description-md5: b653cd84b906a5f9eacec02533d09209
Description-en: virtualenv API for Emacs Lisp
 It provides virtualenv API for Emacs Lisp so that one can automate installation
 of tools written in Python.

Package: elpa-pyvenv
Description-md5: b27217057382c68d237cba8b0f13c898
Description-en: Python virtual environment interface
 This is a simple global minor mode which will replicate the changes
 done by virtualenv activation inside Emacs.
 .
 The main entry points are `pyvenv-activate', which queries the user
 for a virtual environment directory to activate, and
 `pyvenv-workon', which queries for a virtual environment in
 $WORKON_HOME (from virtualenvwrapper.sh).

Package: elpa-qml-mode
Description-md5: 5ba3e5f7605877644c522b8f10baff60
Description-en: Emacs major mode for editing QT Declarative (QML) code
 The package provides a major mode for editing Qt Declarative (QML)
 code in Emacs. It features syntax highlighting (including component
 ids highlighting) and basic navigation capabilities.

Package: elpa-queue
Description-md5: e167ee8af99137fd25ac5b8572ec6bc4
Description-en: queue data structure for Emacs Lisp
 This library provides a queue data structure for Emacs Lisp.  The
 queues can be used both as a first-in last-out (FILO) and as a
 first-in first-out (FIFO) stack, i.e. elements can be added to the
 front or back of the queue, and can be removed from the front. (This
 type of data structure is sometimes called an "output-restricted
 deque".)

Package: elpa-racket-mode
Description-md5: 7af4e6c0cb144ecbeeb726070ee986ac
Description-en: emacs support for editing and running racket code
 Provides a major mode to edit Racket source files, as well as a major
 mode for a Racket REPL. The edit/run experience is similar to
 DrRacket.

Package: elpa-rainbow-delimiters
Description-md5: 9f27a2dfb9d6953da4e82e1f45e4806c
Description-en: Emacs mode to colour-code delimiters according to their depth
 rainbow-delimiters is a "rainbow parentheses"-like mode which
 highlights delimiters such as parentheses, brackets or braces
 according to their depth. Each successive level is highlighted in a
 different color. This makes it easy to spot matching delimiters,
 orient yourself in the code, and tell which statements are at a given
 depth.
 .
 Great care has been taken to make this mode fast. You shouldn't see
 any change in scrolling or editing speed when it's on even when
 working in delimiter-rich languages like Clojure or Emacs Lisp. It
 can be used with any language.
 .
 You can customize the colors rainbow-delimiters uses. The default
 colors are intentionally subtle; they are unobtrusive enough to make
 the mode worth looking at even if you usually don't like rainbow
 parentheses modes. A number of major color themes such as Zenburn and
 Solarized have added their own faces for the mode.

Package: elpa-rainbow-identifiers
Description-md5: faadb7b7f63379c4f6accf8ffc04b386
Description-en: highlight identifiers according to their names
 Minor mode providing highlighting of identifiers based on their
 names. Each identifier gets a color based on a hash of its name.
 .
 Default colors try to be reasonable, but they can be changed by
 changing the corresponding faces.

Package: elpa-rainbow-mode
Description-md5: 7d45e6f6ca37555e6afabbfc42cfb1a5
Description-en: colorize color names in buffers
 This minor mode sets background color to strings that match color names, e.g.
 #0000ff is displayed in white with a blue background.

Package: elpa-recursive-narrow
Description-md5: b3f2968f86a9687495259e9f8a6c2b4d
Description-en: narrow-to-region that operates recursively
 This package defines two functions, recursive-narrow-or-widen-dwim and
 recursive-widen-dwim that replace the builtin functions narrow-to-region and
 widen. These functions operate the same way, except in the case of multiple
 calls to recursive-narrow-to-region-dwim. In this case, recursive-widen-dwim
 will go to the previous buffer visibility, not make the entire buffer visible.

Package: elpa-redtick
Description-md5: 2d1f8dae4e764f120a675436c3e59f53
Description-en: tiny pomodoro timer for Emacs
 This package provides a little pomodoro timer in the mode-line.
 .
 After importing into your Emacs configuration, redtick shows a little
 red tick (✓) in the mode-line.  When you click on this tick, redtick
 starts a pomodoro timer.
 .
 The Pomodoro Technique involves working in 25 minute intervals,
 separated by 5 minute breaks, with a longer break after every four
 intervals.

Package: elpa-restart-emacs
Description-md5: ca25c01f82ee2a8b57aea8238b70f61d
Description-en: restart emacs from within emacs
 This package provides a simple command to restart Emacs from within Emacs.

Package: elpa-rich-minority
Description-md5: 13d79a4c86e2d367c7b050a4aa03be5c
Description-en: clean-up and beautify the list of minor-modes in Emacs' mode-line
 Rich-minority-mode is used for hiding and/or highlighting the list of
 minor-modes in the mode-line.  It is a more full-featured alternative
 to diminish.el, and supports configuration using lists and regular
 expressions by customising these three variables:
 .
 rm-blacklist: List of minor mode names that will be hidden from the
 minor-modes list. Use this to hide only a few modes that are always
 active and don’t really contribute information.
 .
 rm-whitelist: List of minor mode names that are allowed on the
 minor-modes list. Use this to hide all but a few modes.
 .
 rm-text-properties: List text properties to apply to each minor-mode
 lighter. For instance, by default Ovwrt is highlighted with a red
 face, so you always know if you’re in overwrite-mode.

Package: elpa-rtags
Description-md5: 6ff35071477575c4b5cc2aaf81318941
Description-en: emacs front-end for RTags
 RTags is a client/server application that indexes C/C++ code and
 keeps a persistent file-based database of references, declarations,
 definitions, symbolnames etc.
 .
 This package provides the minor mode for RTags.

Package: elpa-rust-mode
Description-md5: eb7fe34782cba1027b439cc6f373d440
Description-en: Major Emacs mode for editing Rust source code
 A major Emacs mode for editing Rust source code.

Package: elpa-s
Description-md5: c0d2552be689dfb10f918691fe93f3d5
Description-en: string manipulation library for Emacs
 s.el is API library to manipulate character string by Emacs Lisp.
 For example, you can easily perform truncate, padding, concatenate,
 remove prefix/suffix, tweak whitespace, and more functions.

Package: elpa-seq
Description-md5: 801893567aa5f2f33606bf5ad097a0d7
Description-en: sequence manipulation functions for Emacs Lisp
 This library provides sequence-manipulation functions that complement
 basic functions provided by subr.el.
 .
 All functions are prefixed with "seq-".
 .
 All provided functions work on lists, strings and vectors.
 .
 Functions taking a predicate or iterating over a sequence using a
 function as argument take the function as their first argument and
 the sequence as their second argument.  All other functions take
 the sequence as their first argument.

Package: elpa-sesman
Description-md5: c65ba9d83aa3536c68d7f43a957c5d34
Description-en: session manager for Emacs IDEs
 Sesman provides abstractions to associate processes like REPLs to
 projects, directories and buffers. For example, the Emacs Clojure
 environment CIDER uses Sesman to associate the REPL process for a
 project to buffers editing that project's code.

Package: elpa-session
Description-md5: f390a21701dd65bc200c0c2b80de44bf
Description-en: use variables, registers and buffer places across sessions
 When you start Emacs, package Session restores various variables (e.g.,
 input histories) from your last session.  It also provides a menu
 containing recently changed/visited files and restores the places (e.g.,
 point) of such a file when you revisit it.

Package: elpa-shut-up
Description-md5: d7abc5fdedacc9ec663f0980918fefca
Description-en: Emacs Lisp macros to quieten Emacs
 This package provides several macros to quieten Emacs output.
 .
 The package is especially useful to make console output more useful
 when running the test suites of Emacs Lisp addon packages.

Package: elpa-simple-httpd
Description-md5: 50e8ed7d90297a79acd7d7d14fba403d
Description-en: pure elisp HTTP server
 This used to be httpd.el but there are already several of these out there
 already of varying usefulness. Since the name change, it's been stripped down
 to simply serve files and directory listings. Client requests are sanitized so
 this should be safe, but its author makes no guarantees.

Package: elpa-smart-mode-line
Description-md5: 5756124b8bd2a04b04b4acc9b6e4bda7
Description-en: powerful and beautiful mode-line for Emacs
 Smart Mode Line is a sexy mode-line for Emacs.  Its main features are:
 .
   * Highlights the most important information
   * Intelligently truncates path name and mode names
   * Allows right indentation of strings
   * Shorthand directory names (e.g. ~/.emacs.d/ is translated to :ED:)
   * Hide or highlight minor-modes
   * Easy configuration of all colours and variables
   * Compatible with other packages that write to the mode-line
 .
 If you have a small screen device such as a netbook and have been
 looking for a way to maximise the vertical real estate available for
 editing, without losing the consistent location of the clock, then
 install this addon, run Emacs full-screen, and discover how powerful
 the mode-line can be.  This makes using screens with a 16:9 aspect
 ratio slightly less painful.

Package: elpa-smart-mode-line-powerline-theme
Description-md5: 32630a344b2539c9e2b9ad270a2d0322
Description-en: Smart Mode Line themes that use Emacs Powerline
 The light and dark variants of this theme provide the look of Emacs
 Powerline with the mode line configuration facilities of Smart Mode
 Line.

Package: elpa-smeargle
Description-md5: 75196ee371544963816016048f40d13a
Description-en: highlight region by last updated time
 `smeargle' is an Emacs port of Vim's smeargle. `smeargle' provides
 highlighting regions by last update time / age of commits. So it is
 possible to know which part is changed recently and which part is
 not changed recently.
 .
 It supports Git and Mercurial.

Package: elpa-smex
Description-md5: b2f2e741c5f16a8ee42d312a7aa6aadd
Description-en: M-x interface with Ido-style fuzzy matching
 Smex is a M-x enhancement for Emacs. Built on top of Ido, it provides
 a convenient interface to your recently and most frequently used
 commands. And to all the other commands, too.

Package: elpa-sml-mode
Description-md5: d54eae941c4b173c24ba3a19749eac77
Description-en: Emacs major mode for editing Standard ML programs
 SML-mode provides syntax highlighting and automatic
 indentation for Standard ML and comes with sml-proc which allows
 interaction with an inferior SML interactive loop.  You will need
 this if you write Standard ML programs using Emacs.  For OCaml
 prgramming, see instead tuareg-mode.

Package: elpa-solarized-theme
Description-md5: 7b8d2e6f820bbad8e19a3802a9fbc6a3
Description-en: port of Solarized theme to Emacs
 Solarized for Emacs is an Emacs port of the Solarized theme for vim, developed
 by Ethan Schoonover. The theme is implemented in terms of customizations and
 deftheme and does not require the color-theme-package.

Package: elpa-spinner
Description-md5: 1c2c303ac21c5c6d4fc3100d4c34fc0b
Description-en: spinner for the Emacs modeline for operations in progress
 This library provides functions to add spinners to the Emacs
 modeline.  Spinners are used to indicate that an operation is in
 progress.
 .
 A spinner is a column or columns of the modeline where the character
 displayed in that column or columns changes rapidly, looping through
 a sequence of characters or strings, until the operation is
 complete. For example, one spinner type provided by this package
 loops through these four characters: ◰, ◳, ◲ and ◱.

Package: elpa-suggest
Description-md5: 4191c2ad22e344e71e799652816cde2f
Description-en: discover Emacs Lisp functions based on examples
 This package is an Emacs package for discovering Emacs Lisp functions
 based on examples. You supply an example input and output, and it
 makes suggestions. It is a great way of exploring list, string and
 arithmetic functions.

Package: elpa-super-save
Description-md5: d1e32821ec6a439616249657b2e3d5c3
Description-en: auto-save buffers, based on your activity
 This package provides Emacs mode that auto-saves your buffers, when
 certain events happen - e.g. you switch between buffers, an Emacs
 frame loses focus, etc. One can think of it as both something that
 augments and replaces the standard auto-save-mode.

Package: elpa-swiper
Description-md5: fc6cee41400bd4041e6c293d0108f478
Description-en: alternative to Emacs' isearch, with an overview
 Swiper is an Ivy-enhanced alternative to isearch.  When a regex
 (regular expression) search is initiated it displays an overview of
 search candidates.  The search regex can be split into groups with a
 space.  Each group is highlighted with a different face.
 .
 It can double as a quick regex-builder; however, only single
 lines will be matched.  Swiper uses Ivy to show an overview of all
 matches.

Package: elpa-system-packages
Description-md5: 30438acfc53ad9fefc60b36367f560b7
Description-en: functions to manage system packages
 This is a package to manage installed system packages. Useful
 functions include installing packages, removing packages, listing
 installed packages, and others.

Package: elpa-systemd
Description-md5: 3c28777774ef260b1af9013c1948d06b
Description-en: major mode for editing systemd units
 This package provides an Emacs major mode for editing systemd units.
 .
 It is similar to `conf-mode' but with enhanced highlighting; e.g. for
 specifiers and booleans. It employs strict regex for whitespace.
 Features a facility for browsing documentation: use C-c C-o to open
 links to documentation in a unit (cf. systemctl help).
 .
 It supports completion of directives and sections in either units or
 network configuration. Both a completer for
 `completion-at-point-functions' and a company backend are provided.
 The latter can be enabled by adding `company-mode' to
 `systemd-mode-hook'.

Package: elpa-tabbar
Description-md5: 8efd554c96ea1f01a4434197dcff99ee
Description-en: Emacs minor mode that displays a tab bar at the top
 This library provides the Tabbar global minor mode which displays a
 tab bar in the header line of Emacs.  Click on a tab to select it.
 Three buttons are displayed on the left side of the tab bar in
 this order: "home", "scroll left", and "scroll right" buttons.  The
 "home" button is used to change something on the tab bar.  The scroll
 left and scroll right buttons are used to scroll tabs horizontally.
 Tabs can be grouped to maintain several sets of tabs at the same
 time.  Only one group is displayed on the tab bar, and the "home"
 button is used to switch between the tab bar groups.
 .
 While the mouse is usually the preferred way to work with the tab
 bar, it is also keyboard-accessible.

Package: elpa-tablist
Description-md5: 628e829dc64d0cb69ee4a1abd04ce825
Description-en: tablist adds maks and filters to tabulated-list-mode
 It also kind of puts a dired face on tabulated list buffers.
 .
 It can be used by deriving from tablist-mode and some features by
 using tablist-minor-mode inside a tabulated-list-mode buffer.

Package: elpa-transmission
Description-md5: d5b9a35e868a1a2754b2f8a224a7e1f6
Description-en: Emacs interface to a Transmission session
 This package provides an Emacs interface to a Transmission session. A
 variety of commands are available for manipulating torrents and their
 contents, many of which can be applied over multiple items by
 selecting them with marks or within a region. One can add,
 start/stop, verify, remove torrents, set speed limits, ratio limits,
 bandwidth priorities, trackers, etc. Also, one can navigate to the
 corresponding file list, torrent info, or peer info contexts. In the
 file list, individual files can be toggled for download, and their
 priorities set.

Package: elpa-treepy
Description-md5: 741e7fea681c3e90eeea03f241d347e4
Description-en: Generic tree traversal tools
 A set of generic functions for traversing tree-like data structures
 recursively and/or iteratively, ported from clojure.walk and
 clojure.zip respectively.

Package: elpa-tuareg
Description-md5: 4736e178078e3fe56a7512bd1fdf460a
Description-en: emacs-mode for OCaml programs
 Tuareg handles automatic indentation of Objective Caml and Caml-Light
 code.  Key parts of the code are highlighted using Font-Lock. It
 provides support to run an interactive OCaml toplevel and debugger.
 .
 This mode attempts to give better results than the caml-mode provided by
 the elpa-caml package. Indentation rules are slightly different but
 closer to classical functional languages indentation. Tuareg
 gives access to some functionalities from caml-mode when the elpa-caml
 package is installed.

Package: elpa-undercover
Description-md5: 1d0d47a3b2d7d273cb09b944daa0940c
Description-en: test coverage library for Emacs Lisp
 This package provides a test coverage tools for Emacs packages.
 .
 Important notes about undercover.el:
 .
  * it assumes a certain development cycle of your package;
  * it doesn't support test coverage for byte-compiled files;
  * it is based on edebug and may have some issues with macros coverage;
  * it doesn't support Circular Objects.

Package: elpa-undo-tree
Description-md5: 327039d5709f46127984819c843f2b36
Description-en: Emacs minor mode for handling undo history as tree
 Package undo-tree provided by this package replaces Emacs' undo
 system with a system that treats undo history as what it is: a
 branching tree of changes. This simple idea allows the more intuitive
 behaviour of the standard undo/redo system to be combined with the
 power of never losing any history. An added side bonus is that undo
 history can in some cases be stored more efficiently, allowing more
 changes to accumulate before Emacs starts discarding history.

Package: elpa-use-package
Description-md5: 8f88b4329a4ef39cd3136e9f7f2da918
Description-en: configuration macro for simplifying your .emacs
 The `use-package' declaration macro allows you to isolate package
 configuration in your .emacs in a way that is performance-oriented
 and tidy.

Package: elpa-use-package-chords
Description-md5: b7fdd06f04e5dda49165cdba58fbba26
Description-en: key-chord keyword for use-package
 The `:chords' keyword allows you to define `key-chord' bindings for
 `use-package' declarations in the same manner as the `:bind' keyword.

Package: elpa-use-package-ensure-system-package
Description-md5: c42d96a33bd1bc235411b06f1f87f770
Description-en: autoinstall system packages
 The `:ensure-system-package' keyword allows you to ensure system
 binaries exist alongside your `use-package' declarations.

Package: elpa-uuid
Description-md5: 332ce9fa48eadfe3459a4bbad59c10f8
Description-en: UUID/GUID library for Emacs Lisp
 Create, parse, and manipulate UUIDs/GUIDs in Emacs Lisp,
 as specified in
 http://www.ietf.org/internet-drafts/draft-mealling-uuid-urn-05.txt

Package: elpa-vala-mode
Description-md5: 505c8dad1fa90ab225454bf339ecd0d7
Description-en: Emacs editor major mode for vala source code
 The package provides a Vala major mode for Emacsen, and sets it up
 for files with extension vala and vapi.

Package: elpa-vc-fossil
Description-md5: 4583f23ce19e5f41de29ee4b69e48440
Description-en: Emacs VC backend for the Fossil Version Control system
 Emacs editor addon to interface to the Fossil version control system.
 This package contains a VC backend for the fossil version control
 system.

Package: elpa-verbiste
Description-md5: c70e0df166c47b40f991f8bf401b551e
Description-en: French and Italian conjugator - emacs extension
 Verbiste is a program that gives the complete conjugation for French and
 Italian Verbs. The knowledge base contains over 6800 verbs.
 .
 This package contains an emacs interface to verbiste.

Package: elpa-vimish-fold
Description-md5: ca33e4b9ad7f2f11f9064ce2978f485e
Description-en: fold text in GNU Emacs like in Vim
 This is a package for GNU Emacs to perform text folding like in Vim. It has
 the following features:
 .
  * folding of active regions;
  * good visual feedback: it's obvious which part of text is folded;
  * persistence by default: when you close file your folds don't disappear;
  * persistence scales well, you can work on hundreds of files with lots of
    folds without adverse effects;
  * it doesn't break indentation or something;
  * folds can be toggled from folded state to unfolded and back very easily;
  * quick navigation between existing folds;
  * you can use mouse to unfold folds (good for beginners and not only for
    them);
  * for fans of `avy' package: you can use `avy' to fold text with minimal
    number of key strokes!

Package: elpa-virtualenvwrapper
Description-md5: 6a0906165270bae63d8cba73f077aedb
Description-en: featureful virtualenv tool for Emacs
 This package provides a featureful virtualenv tool for Emacs. It
 emulates much of the functionality of Doug Hellmann's
 virtualenvwrapper.

Package: elpa-visual-fill-column
Description-md5: ff32d0acaa84968392221fa3d3dda107
Description-en: Emacs mode that wraps visual-line-mode buffers at fill-column
 Visual-fill-column-mode is a small Emacs minor mode that mimics the
 effect of fill-column in visual-line-mode.  Instead of wrapping
 lines at the window edge, which is the standard behaviour of
 visual-line-mode, it wraps lines at fill-column.  If fill-column
 is too large for the window, the text is wrapped at the window edge.

Package: elpa-visual-regexp
Description-md5: 56d9f74090351201539a723013a41f27
Description-en: in-buffer visual feedback while using Emacs regexps
 visual-regexp for Emacs is like `replace-regexp`, but with live
 visual feedback directly in the buffer.
 .
 While constructing the regexp in the minibuffer, you get live visual
 feedback for the matches, including group matches. While constructing
 the replacement in the minibuffer, you get live visual feedback for
 the replacements.
 .
 It can be used to replace all matches in one go (like
 `replace-regexp`), or a decision can be made on each match (like
 `query-replace-regexp`).

Package: elpa-wc-mode
Description-md5: 415c0502ad8de0fb512f48c0479c7ee5
Description-en: display a word count in the Emacs modeline
 This package provides an Emacs minor mode to display a word, line
 and/or character count in the Emacs modeline.
 .
 In addition, the user can set goals for adding or deleting a given
 number of words.  You can use this to set daily writing or editing
 goals.

Package: elpa-web-mode
Description-md5: ad574ece58192ed8f62fc93451a9a386
Description-en: major emacs mode for editing web templates
 web-mode.el is an emacs major mode for editing web templates aka HTML files
 embedding parts (CSS/JavaScript) and blocks (pre rendered by client/server
 side engines).
 .
 web-mode.el is compatible with many template engines: PHP, JSP, ASP, Django,
 Twig, Jinja, Mustache, ERB, FreeMarker, Velocity, Cheetah, Smarty, CTemplate,
 Mustache, Blade, ErlyDTL, Go Template, Dust.js, Google Closure (soy),
 React/JSX, Angularjs, ejs, Nunjucks, etc.

Package: elpa-weechat
Description-md5: 46493a852b56fe9c4f1ee099b72d2da2
Description-en: Chat via WeeChat's relay protocol in Emacs.
 WeeChat is a fast and light chat client that supports IRC and XMPP,
 weechat-el can remote control a weechat instance on localhost or on
 another host, and can use ssl to secure the connection to weechat.

Package: elpa-which-key
Description-md5: 36346a9c3a14c4dc27b39a17ab5d46ab
Description-en: display available keybindings in popup
 `which-key' is a minor mode for Emacs that displays the key bindings
 following your currently entered incomplete command (a prefix) in a
 popup. For example, after enabling the minor mode if you enter C-x
 and wait for the default of 1 second the minibuffer will expand with
 all of the available key bindings that follow C-x (or as many as
 space allows given your settings). This includes prefixes like C-x 8
 which are shown in a different face.

Package: elpa-with-editor
Description-md5: 39ad0f2eeb66c2fb2ac54ace8e265f26
Description-en: call program using Emacs as $EDITOR
 With this package, you can call program from Emacs such that they
 will use Emacs as an editor.

Package: elpa-with-simulated-input
Description-md5: d3b02b95edf903179826b903491c8fbd
Description-en: macro to simulate user input non-interactively
 This package provides an Emacs Lisp macro, `with-simulated-input',
 which evaluates one or more forms while simulating a sequence of
 input events for those forms to read. The result is the same as if
 you had evaluated the forms and then manually typed in the same
 input. This macro is useful for non-interactive testing of normally
 interactive commands and functions, such as `completing-read'.

Package: elpa-world-time-mode
Description-md5: 14b20b20671ed3086c638d664be6843b
Description-en: Emacs mode to compare timezones throughout the day
 This package provides an Emacs major mode to compare timezones.
 After customising a variable to specify the timezones you are
 interested, invoking `M-x world-time-mode` will display comparative
 times in each timezone for a 24-hour period, including the current
 time.

Package: elpa-writegood-mode
Description-md5: 37c07ab061bb74ab58b9258e4be574b8
Description-en: minor mode for Emacs to improve English writing
 This is a minor mode to aid in finding common writing problems.
 Matt Might’s weaselwords scripts inspired this mode.
 .
 It highlights text based on a set of weasel-words, passive-voice,
 and duplicate words.

Package: elpa-ws-butler
Description-md5: efe9074b37cae1f7a8f8d75e726cd03a
Description-en: unobtrusively remove trailing whitespace in Emacs
 This package provides ws-butler-mode and ws-butler-global-mode.  Upon
 saving a file in Emacs, these modes delete trailing whitespace on
 lines of the buffer that have been edited.
 .
 As compared with simply calling delete-trailing-whitespace in your
 before-save-hook, ws-butler has the advantage of not cluttering up
 version control commits with whitespace cleanup outside of the part
 of the file you have edited.

Package: elpa-xcite
Description-md5: 5e446c803f9c5b89cce5e96938a30b32
Description-en: exciting cite utility for Emacsen
 This package enables you to register  as many mail/news citation prefix
 as you like according to each author, and to select those headers
 randomly.

Package: elpa-xml-rpc
Description-md5: 58afef712e8f99d836ed966fd5bb3dd5
Description-en: Emacs Lisp XML-RPC client
 This is an XML-RPC client library for Emacs Lisp, capable of both
 synchronous and asynchronous method calls.
 .
 An XML-RPC client sends and receives data over HTTP.  The request and
 the response are both described using XML.
 .
 xml-rpc.el represents XML-RPC datatypes as lisp values, automatically
 converting to and from the XML datastructures as needed, both for
 method parameters and return values.  This makes using XML-RPC
 methods transparent to the lisp code.

Package: elpa-xr
Description-md5: 2a68e2ced09cdc2a806f73116f9f6f87
Description-en: convert string regexp to rx notation
 XR converts Emacs regular expressions to the structured rx form, thus
 being an inverse of rx. It can also find mistakes and questionable
 constructs inside regexp strings.
 .
 It can be useful for:
 .
   * Migrating existing code to rx form
   * Understanding what a regexp string really means
   * Finding errors in regexp strings
 .
 It can also parse and find mistakes in skip-sets, the regexp-like
 arguments to skip-chars-forward and skip-chars-backward.

Package: elpa-yaml-mode
Description-md5: 5ec7b5bd40066731d11c649fefd9687f
Description-en: emacs mode for YAML files
 This package provides an emacs major mode for editing
 files in the YAML data format. It features syntax
 highlighting and auto-indentation.

Package: elpa-yasnippet
Description-md5: 856c45ca4af5d7330b6df88d3acec142
Description-en: template system for Emacs
 YASnippet (yet another snippet extension for Emacs) is a template
 system for Emacs. It allows you to type an abbreviation and
 automatically expand the abbreviation into function templates.
 .
 Bundled language templates includes: C, C++, C#, Perl, Python, Ruby,
 SQL, LaTeX, HTML, CSS and more.

Package: elpa-yasnippet-snippets
Description-md5: b34755a292a0e8ecda6c7e70f426f45a
Description-en: Andrea Crotti's official YASnippet snippets
 YASnippet (Yet Another Snippet extension for Emacs) is a template
 system for Emacs. It allows you to type an abbreviation and
 automatically expand the abbreviation into function templates.
 .
 Templates are included for the following programming languages: Bash,
 C, C++, C#, Clojure, D, Dart, Emacs Lisp (and other Lisps), Erlang,
 Fortran, Go, Groovy, Haskell, Hy, Java, Javascript, JSX, Kotlin, Lua,
 NASM, nesC, Perl, PHP, Python, OCaml, Racket, Ruby, Rust, Scala, sh,
 SQL, Tuareg, TypeRex, and more.
 .
 Templates are also included for the following markdown, markup,
 serialisation, or typesetting languages: BibTeX, CSS, HTML, LaTeX,
 Markdown, Org, Protobuf, RST/reST, Typescript, YAML, and more.
 .
 It also supports ANTLR, Bazel, CMake, Chef, Dix, FAUST, Makefiles,
 NSIS, NED, Octave, Terraform, udev-mode, web-mode, and more...
 .
 This package contains the official upstream snippets.

Package: elpa-zenburn-theme
Description-md5: 7b070ebf776b10fd2e0d392b87d17fb1
Description-en: low contrast color theme for Emacs
 A port of the popular Vim theme Zenburn for Emacs, built using the
 new built-in theme support in Emacs 24.
 .
 Zenburn is designed to minimise eye strain during long periods of work.

Package: elpa-ztree
Description-md5: d55e158759db91461790281ff20933fa
Description-en: text mode directory tree
 ztree is a project dedicated to implementation of several text-tree
 applications inside GNU Emacs. It consists of 2 subprojects: ztree-diff and
 ztree-dir.
 .
 ztree-diff is a directory-diff tool for Emacs inspired by commercial tools
 like Beyond Compare or Araxis Merge. It supports showing the difference
 between two directories; calling Ediff for not matching files, copying
 between directories, deleting file/directories, hiding/showing equal
 files/directories.
 .
 ztree-dir is a simple text-mode directory tree for Emacs.

Package: elpa-zzz-to-char
Description-md5: 6115bc5c71c5189264eb38a6189ea5fd
Description-en: fancy version of `zap-to-char' command
 This package provides two new commands `zzz-to-char' and `zzz-up-to-char'
 which work like built-ins `zap-to-char' and `zap-up-to-char', but allow you
 quickly select exact character you want to “zzz” to.
 .
 The commands are minimalistic and often work like built-in ones when there is
 only one occurrence of target character (except they automatically work in
 backward direction too). You can also specify how many characters to scan from
 each side of point.
 .
 This package uses avy as a backend.

Package: elph
Description-md5: ff2f4f2b8b80531a0fac9dff581a151d
Description-en: DNA/protein sequence motif finder
 ELPH (Estimated Locations of Pattern Hits) is a general-purpose
 Gibbs sampler for finding motifs in a set of DNA or protein sequences.
 The program takes as input a set containing anywhere from a few dozen to
 thousands of sequences, and searches through them for the most common
 motif, assuming that each sequence contains one copy of the motif. ELPH
 was used to find patterns such as ribosome binding sites (RBSs) and exon
 splicing enhancers (ESEs).

Package: elscreen
Description-md5: 18aec7f9e68c96b8e176faee7f0adf96
Description-en: Screen for Emacsen
 This Emacs Lisp enables you to have multiple screens (window-configuration)
 on your Emacsen, just like GNU screen does on the shell environment.

Package: elvis-tiny
Description-md5: 13a810e0ad5d00f0228797dfe7c31200
Description-en: Tiny vi compatible editor for the base system
 Elvis-tiny is based on a 1991 Minix version of elvis. You should install
 another vi-editor (such as "vim", "elvis" or "nvi") if you want a vi
 editor that is full featured and has no bugs.

Package: elvish
Description-md5: 0accee40281b2ee5bd933b182007e493
Description-en: Friendly and expressive shell
 Elvish is a cross-platform shell, supporting Linux, BSDs and Windows.
 It features an expressive programming language, with features like namespacing
 and anonymous functions, and a fully programmable user interface with friendly
 defaults. It is suitable for both interactive use and scripting.

Package: emacs
Description-md5: f991b22b712b84422dc583d29a7b2171
Description-en: GNU Emacs editor (metapackage)
 GNU Emacs is the extensible self-documenting text editor.
 This is a metapackage that will always depend on the latest
 recommended Emacs variant (currently emacs-gtk).

Package: emacs-bin-common
Description-md5: aca5839c665e000e012365be48ed6ddb
Description-en: GNU Emacs editor's shared, architecture dependent files
 GNU Emacs is the extensible self-documenting text editor.
 This package contains the architecture dependent infrastructure
 that's shared by emacs-gtk, emacs-lucid, and emacs-nox.

Package: emacs-calfw
Description-md5: d663e8ef73930c6b44399ab29a225836
Description-en: calendar framework for Emacs
 This program displays a calendar view in the Emacs buffer,
 which also work with org-agenda, google calendar, and ical.

Package: emacs-calfw-howm
Description-md5: 8108a3df62cd60d7a955f03f77a926f1
Description-en: calendar framework for Emacs (howm add-on)
 This program displays a calendar view in the Emacs buffer,
 which also work with org-agenda, google calendar, and ical.
 .
 This sub package provides support for using with howm.

Package: emacs-common
Description-md5: 6cd713b399cc25b7a950c1c84e3becfa
Description-en: GNU Emacs editor's shared, architecture independent infrastructure
 GNU Emacs is the extensible self-documenting text editor.
 This package contains the architecture independent infrastructure
 that's shared by emacs-gtk, emacs-lucid, and emacs-nox.

Package: emacs-el
Description-md5: 293759cc44e4faeb7e4ad6e292cf8c63
Description-en: GNU Emacs LISP (.el) files
 GNU Emacs is the extensible self-documenting text editor.
 This package contains the elisp sources for the convenience of users,
 saving space in the main package for small systems.

Package: emacs-goodies-el
Description-md5: 1d2ec369ad2d806434c2427546337dd9
Description-en: Miscellaneous add-ons for Emacs
 This is a now a metapackage that installs the elpa-* packages
 corresponding to some of the previously bundled add-ons.
 .
 See /usr/share/doc/emacs-goodies-el/README.Debian.gz for a discussion
 of the disposition of previously bundled add-ons.

Package: emacs-gtk
Description-md5: b2c7312f962a9c1f44e581022b7a026e
Description-en: GNU Emacs editor (with GTK+ GUI support)
 GNU Emacs is the extensible self-documenting text editor.  This
 package contains a version of Emacs with a graphical user interface
 based on GTK+ (instead of the Lucid toolkit provided by the
 emacs-lucid package).

Package: emacs-intl-fonts
Description-md5: 21e58187e0ab30560fabf0d956d5d742
Description-en: fonts to allow multilingual PostScript printing from Emacs
 This package includes BDF fonts to print Amharic, Arabic, Cantonese,
 Chinese, Czech, Danish, Dutch, Esperanto, Estonian, Finnish, French,
 German, Greek, Hebrew, Hindi, Italian, Japanese, Korean, Maltese,
 Norwegian, Polish, Russian, Slovak, Spanish, Swedish, Thai, Tigrinya,
 Turkish, and Vietnamese text as bit-mapped PostScript. To see these
 languages in X, you can use the xfonts-intl-* packages (among others).

Package: emacs-jabber
Description-md5: 6401a7beef7b12e763ae11f6fdc16e87
Description-en: Transition package, emacs-jabber to elpa-jabber
 The emacs-jabber addon has been elpafied. This dummy package
 helps ease transition from emacs-jabber to elpa-jabber.

Package: emacs-lucid
Description-md5: 9d732a75402fcf8b7c270985ef008093
Description-en: GNU Emacs editor (with Lucid GUI support)
 GNU Emacs is the extensible self-documenting text editor.  This
 package contains a version of Emacs with support for a graphical user
 interface based on the Lucid toolkit (instead of the GTK+ interface
 provided by the emacs-gtk package).  Until some known GTK+
 problems are fixed, this version may help avoid crashing Emacs during
 an emacsclient disconnect.  See
 https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=567934 and
 https://bugzilla.gnome.org/show_bug.cgi?id=85715 for more
 information.

Package: emacs-mozc
Description-md5: d7fbcd37fe4b1aea877c378ab81adc91
Description-en: Mozc for Emacs
 Mozc is a Japanese Input Method Editor (IME) designed for multi-platform
 such as Chromium OS, Windows, Mac and Linux.
 .
 This package provides the elisp files to run mozc with GNU Emacs.
 .
 This open-source project originates from Google Japanese Input.

Package: emacs-mozc-bin
Description-md5: d0382006f98c09382d8522d3a438b943
Description-en: Helper module for emacs-mozc
 Mozc is a Japanese Input Method Editor (IME) designed for multi-platform
 such as Chromium OS, Windows, Mac and Linux.
 .
 This package provides the helper module to the GNU Emacs.
 .
 This open-source project originates from Google Japanese Input.

Package: emacs-nox
Description-md5: d7627aff9867f2ba95f2b9dcfc399d6a
Description-en: GNU Emacs editor (without GUI support)
 GNU Emacs is the extensible self-documenting text editor.  This
 package contains a version of Emacs compiled without support for X,
 and provides only a text terminal interface.

Package: emacs-window-layout
Description-md5: 05ebf5890938b421bb3b089c51551f82
Description-en: window layout manager for emacs
 This elisp library provides functions to split a frame or window
 into some windows according to a layout recipe.

Package: emacspeak
Description-md5: 1e098459f1d5faed9ec8b4ef129266ea
Description-en: speech output interface to Emacs
 Emacspeak is a speech output system that will allow someone who
 cannot see to work directly on a UNIX system.
 .
 Emacspeak is built on top of Emacs. Once Emacs is started with Emacspeak
 loaded, users get spoken feedback for all actions. As Emacs can do
 everything, they get speech feedback for everything they do.
 .
 This package recommends the speech server for the espeak (software)
 speech synthesizer, so it should work straight away. However it also
 contains the source for speech servers to support the DECtalk Express
 and DECtalk MultiVoice (hardware) speech synthesizers. For other
 synthesizers, look for speech server packages such as emacspeak-ss and
 eflite.

Package: emacspeak-espeak-server
Description-md5: ed06bb3ec9a5f398b63c13c6a315348d
Description-en: espeak synthesis server for emacspeak
 Emacspeak is a speech output system that will allow someone who
 cannot see to work directly on a UNIX system.
 .
 Emacspeak is built on top of Emacs. Once Emacs is started with Emacspeak
 loaded, users get spoken feedback for all actions. As Emacs can do
 everything, they get speech feedback for everything they do.
 .
 This package includes the speech server for the espeak (software)
 speech synthesizer.

Package: emacspeak-ss
Description-md5: 06689440a8b5a3c66b62c45442b2ead0
Description-en: Emacspeak speech servers for several synthesizers
 emacspeak-ss is an interface between Emacspeak and any of several
 speech synthesizers: DoubleTalk PC version 5.20 or later (internal),
 DoubleTalk LT version 4.20 or later (serial port version), LiteTalk
 version 4.20 or later, Braille 'n Speak, Type 'n Speak, Braille Lite,
 Apollo 2 from Dolphin, or Accent SA.  If you have a DoubleTalk PC,
 you also need the dtlk device driver - either the module or compiled
 into your kernel.

Package: email-reminder
Description-md5: 6c7c762521636392c9555f9431302a3a
Description-en: Send event reminders by email
 Email-reminder allows users to define events that they want to be reminded of
 by email.  Possible events include birthdays, anniversaries and yearly events.
 Reminders can be sent on the day of the event and/or a few days beforehand.
 .
 This package includes the cron job that checks for events and send reminders
 once a daily.
 .
 Make sure you install the anacron package if your computer is turned off at
 night or the reminders will never get sent.

Package: embassy-domainatrix
Description-md5: 1578b4ad1acda3d80ccdb854d828e924
Description-en: Extra EMBOSS commands to handle domain classification file
 The DOMAINATRIX programs were developed by Jon Ison and colleagues at MRC HGMP
 for their protein domain research. They are included as an EMBASSY package as
 a work in progress.
 .
 Applications in the current domainatrix release are cathparse (generates DCF
 file from raw CATH files), domainnr (removes redundant domains from a DCF
 file), domainreso (removes low resolution domains from a DCF file), domainseqs
 (adds sequence records to a DCF file), domainsse (adds secondary structure
 records to a DCF file), scopparse (generates DCF file from raw SCOP files) and
 ssematch (searches a DCF file for secondary structure matches).

Package: embassy-domalign
Description-md5: 4149429333c4c57628815096efc54167
Description-en: Extra EMBOSS commands for protein domain alignment
 The DOMALIGN programs were developed by Jon Ison and colleagues at MRC HGMP
 for their protein domain research. They are included as an EMBASSY package as
 a work in progress.
 .
 Applications in the current domalign release are allversusall (sequence
 similarity data from all-versus-all comparison), domainalign (generates
 alignments (DAF file) for nodes in a DCF file), domainrep (reorders DCF file
 to identify representative structures) and seqalign (extend alignments (DAF
 file) with sequences (DHF file)).

Package: embassy-domsearch
Description-md5: b2070b40aba8f89757e349167bf2c918
Description-en: Extra EMBOSS commands to search for protein domains
 The DOMSEARCH programs were developed by Jon Ison and colleagues at MRC HGMP
 for their protein domain research. They are included as an EMBASSY package as
 a work in progress.
 .
 Applications in this DOMSEARCH release are seqfraggle (removes fragment
 sequences from DHF files), seqnr (removes redundancy from DHF files), seqsearch
 (generates PSI-BLAST hits (DHF file) from a DAF file), seqsort (Remove
 ambiguous classified sequences from DHF files) and seqwords (Generates DHF
 files from keyword search of UniProt).

Package: ember
Description-md5: b516fb70ff60bfaeda7937b090cf2cf2
Description-en: 3D client framework for the WorldForge project
 Ember is a 3D client framework for WorldForge. It's not meant to be a
 standalone game, instead its purpose is to provide a solid and extensible
 base from which to build game-specific clients. One of the goals of Ember
 is to allow for easy customization of the codebase. Currently it supports
 the game world of Mason.
 .
 This is a transitional package that installs the Ember snap package.

Package: ember-media
Description-md5: 26f3a144f49ad7585c754abbddf6cf8f
Description-en: 3D client framework for the WorldForge project -- media files
 Ember is a 3D client framework for WorldForge. It's not meant to be a
 standalone game, instead its purpose is to provide a solid and extensible
 base from which to build game-specific clients. One of the goals of Ember
 is to allow for easy customization of the codebase. Currently it supports
 the game world of Mason.
 .
 This package contains the media used at runtime by Ember.

Package: emboss
Description-md5: 631f0b40fa19ed8d24d0b67284b2cdaa
Description-en: European molecular biology open software suite
 EMBOSS is a free Open Source software analysis package specially developed for
 the needs of the molecular biology (e.g. EMBnet) user community. The software
 automatically copes with data in a variety of formats and even allows
 transparent retrieval of sequence data from the web. Also, as extensive
 libraries are provided with the package, it is a platform to allow other
 scientists to develop and release software in true open source spirit. EMBOSS
 also integrates a range of currently available packages and tools for sequence
 analysis into a seamless whole. EMBOSS breaks the historical trend towards
 commercial software packages.

Package: emboss-data
Description-md5: 79d0d9db011c2a24220e35e5ee92592d
Description-en: data files for the EMBOSS package
 EMBOSS is a free Open Source software analysis package specially developed for
 the needs of the molecular biology (e.g. EMBnet) user community. The software
 automatically copes with data in a variety of formats and even allows
 transparent retrieval of sequence data from the web. Also, as extensive
 libraries are provided with the package, it is a platform to allow other
 scientists to develop and release software in true open source spirit. EMBOSS
 also integrates a range of currently available packages and tools for sequence
 analysis into a seamless whole. EMBOSS breaks the historical trend towards
 commercial software packages.
 .
 This package includes data files needed by EMBOSS, the European Molecular
 Biology Open Software Suite.

Package: emboss-doc
Description-md5: d926213056ed1d2b8e46debd2a4dc5e3
Description-en: documentation for EMBOSS
 EMBOSS is a free Open Source software analysis package specially developed for
 the needs of the molecular biology (e.g. EMBnet) user community. The software
 automatically copes with data in a variety of formats and even allows
 transparent retrieval of sequence data from the web. Also, as extensive
 libraries are provided with the package, it is a platform to allow other
 scientists to develop and release software in true open source spirit. EMBOSS
 also integrates a range of currently available packages and tools for sequence
 analysis into a seamless whole. EMBOSS breaks the historical trend towards
 commercial software packages.
 .
 This package includes non-essential user documentation for EMBOSS, the
 European Molecular Biology Open Software Suite.

Package: emboss-explorer
Description-md5: 69ac8116a4fb61d1250e6572c9186bdf
Description-en: web-based GUI to EMBOSS
 EMBOSS explorer is a web-based graphical user interface
 to the EMBOSS suite of bioinformatics tools. It is written
 in Perl.
 .
 If you use the Apache HTTP server, you will at most have to restart it before
 using EMBOSS explorer. For other web servers, you will have to do the
 configuration by yourself.

Package: emboss-lib
Description-md5: 1a4b801fb90e6b942e8beea3b7049542
Description-en: EMBOSS Libraries
 This package contains the core libraries of the European Molecular Biology
 Open Software Suite, EMBOSS.
 .
 AJAX covers standard data structures, including strings, sequences, file
 handles, queues, hashes, heaps, lists, dictionaries, trees and dynamic
 arrays. It also covers standard algorithms including comparisons, pattern
 matching, sorting, and iterators. AJAX will also provide a set of high level
 graphics functions suited to the needs of sequence analysis applications.
 .
 The NUCLEUS library provides higher-level functions specific to molecular
 sequence analysis, including sequence comparisons, translation, codon usage
 and annotation.
 .
 It also contains a eplplot, an EMBOSS, a fork of the plplot library.
 .
 In Debian, these libraries are to be considered private.

Package: emboss-test
Description-md5: 33b303fdfa62c3269ac3aee5dea31b45
Description-en: test files for the EMBOSS package
 EMBOSS is a free Open Source software analysis package specially developed for
 the needs of the molecular biology (e.g. EMBnet) user community. The software
 automatically copes with data in a variety of formats and even allows
 transparent retrieval of sequence data from the web. Also, as extensive
 libraries are provided with the package, it is a platform to allow other
 scientists to develop and release software in true open source spirit. EMBOSS
 also integrates a range of currently available packages and tools for sequence
 analysis into a seamless whole. EMBOSS breaks the historical trend towards
 commercial software packages.
 .
 This package includes test files for EMBOSS, the European Molecular Biology
 Open Software Suite.
 .
 It is a good idea to use the data from this package for your bug reports when
 possible.

Package: embree-tools
Description-md5: 13a19ece1c1613f03b3dbaa642c3e5c8
Description-en: High Performance Ray Tracing Kernels - tools
 Intel(R) Embree is a collection of high-performance ray tracing kernels,
 developed at Intel. The target users of Intel(R) Embree are
 graphics application engineers who want to improve the performance of
 their photo-realistic rendering application by leveraging Embree's
 performance-optimized ray tracing kernels. The kernels are optimized for
 the latest Intel(R) processors with support for SSE, AVX, AVX2, and
 AVX-512 instructions. Intel(R) Embree supports runtime code selection
 to choose the traversal and build algorithms that best matches
 the instruction set of your CPU.
 .
 This package provides the official command-line tools.

Package: emdebian-archive-keyring
Description-md5: e0e4b793510000122dd93f02558bb9cb
Description-en: GnuPG archive keys for the emdebian repository
 Emdebian digitally signs its Release files. This package
 contains the archive key used for Emdebian repositories
 since 2015.
 .
 The key is also available via the Emdebian website and as
 a udeb for debian-installer support.

Package: emelfm2
Description-md5: ff327f8c7246b9c1c9f9f7083a7a6c82
Description-en: file manager for X/gtk
 emelFM2 is a file manager that implements the popular two-pane
 design. It features a simple GTK+2 interface, a flexible file
 typing scheme, and a built-in command line for executing commands
 without opening an xterm.

Package: emelfm2-svg-icons
Description-md5: c762430c01670ed877609c59ca251065
Description-en: icon SVG files from emelfm2 project
 The scalable vector graphics (SVG) icon sources of the
 small, memory efficient, GTK+ file manager program. The icons
 include graphics for open, close, output, terminal, several
 actions like proceed, add mark, move, split etc.

Package: emerald
Description-md5: 19f803b1f70284caef3a7732c876715f
Description-en: Decorator for compiz
 Emerald is a window decorator for the Compiz window manager, using a custom
 theme format (*.emerald). It is highly customizable and supports different
 theme engines, with transparency and precise placement of borders and window
 title elements.
 .
 This package provides a decorator for compiz and a themer application.

Package: emerald-themes
Description-md5: 5f26cc29ae6dd8427e23960c72f1152e
Description-en: Themes for emerald window decorator
 Emerald is a window decorator for the Compiz window manager, using a custom
 theme format (*.emerald). It is highly customizable and supports different
 theme engines, with transparency and precise placement of borders and window
 title elements.
 .
 This package provides the themes for emerald window decorator.

Package: emmax
Description-md5: fa08a2d54a24822f6f716959bc91f62c
Description-en: genetic mapping considering population structure
 EMMAX is a statistical test for large scale human or model organism
 association mapping accounting for the sample structure. In addition
 to the computational efficiency obtained by EMMA algorithm, EMMAX takes
 advantage of the fact that each locus explains only a small fraction of
 complex traits, which allows one to avoid repetitive variance component
 estimation procedure, resulting in a significant amount of increase in
 computational time of association mapping using mixed model.

Package: emms
Description-md5: 99d13267d248f801ff2cee2566395f79
Description-en: Emacs MultiMedia System
 EMMS is the Emacs Multi-Media System. It tries to be a clean and
 small application to play multimedia files from Emacs using external
 players.

Package: empathy
Description-md5: b757725a72a95a1c2ff8a1e92a185a40
Description-en: GNOME multi-protocol chat and call client
 Instant messaging program supporting text, voice, video, file transfers
 and inter-application communication over many different protocols,
 including: AIM, Windows Live (MSN), Google Talk (Jabber/XMPP), Yahoo!, Salut,
 Gadu-Gadu, Groupwise and ICQ.
 .
 This package contains the Empathy IM application and account manager.

Package: empathy-common
Description-md5: 5706ae3d81c9fccb745b5799869b769d
Description-en: GNOME multi-protocol chat and call client (common files)
 Instant messaging program supporting text, voice, video, file transfers
 and inter-application communication over many different protocols,
 including: AIM, Windows Live (MSN), Google Talk (Jabber/XMPP), Yahoo!, Salut,
 Gadu-Gadu, Groupwise and ICQ.
 .
 This package contains the architecture independent files for Empathy
 IM application.

Package: empire
Description-md5: d205890baf87822e252a10ec1fdcc64c
Description-en: war game of the century
 Empire is a console game simulation of a full-scale war between two
 emperors, the computer and you. Naturally, there is only room for
 one, so the object of the game is to destroy the other.
 .
 The world on which the game takes place is a square rectangle containing
 cities, land and water. Cities are used to build armies, planes, and
 ships which can move across the world destroying enemy pieces, exploring,
 and capturing more cities.
 .
 The classic game from the 1980s uses text mode graphical output, drawing
 your units, cities and the world in color. Commands are issued using
 the keyboard.

Package: empire-hub
Description-md5: da0baae69903b39d0327e3d1b150a357
Description-en: Empire protocol multiplexer
 This package allows multiple clients to connect to an Empire server
 over a single connection.

Package: empire-lafe
Description-md5: 89cf03bdb0ffd2f0850b253f61bdf516
Description-en: Fast line-mode Empire client
 Lafe is an empire client optimized for high latency links.  You can type,
 edit, and send several commands before the server replies with
 output from the first command.  This allows you to make better use
 of the existing bandwidth than most other clients.

Package: emu8051
Description-md5: bddb06de5667c9ccd80b5d7b9a1bafa7
Description-en: Emulator and simulator for 8051 microcontrollers
 Emu8051 is a simulator/emulator for the Intel 8051 family of
 microcontrollers. It allows the developers to simulate 8051
 microcontrollers to test their code and debug it.
 The program can load Intel HEX files.

Package: enamdict
Description-md5: 51bed68b9f7c8f79cd61319a00cfb667
Description-en: Dictionary of Japanese proper names
 The ENAMDICT file contains Japanese proper names; place-names, surnames,
 given names, (some) company names and product names.. These were originally
 included in the EDICT file, along with other non-name entries.

Package: enblend
Description-md5: 60a4b912f87ffb96d7ec9562509d24a1
Description-en: image blending tool
 Enblend is a tool for compositing images. Given a set of images that overlap
 in some irregular way, Enblend overlays them in such a way that the seam
 between the images is invisible, or at least very difficult to see. It can,
 for example, be used to blend a panorama composed of several images.
 .
 It uses a Burt & Adelson multi-resolution spline. This technique tries to
 make the seams between the input images invisible. The basic idea is that
 image features should be blended across a transition zone proportional in
 size to the spatial frequency of the features. For example, objects like
 trees and windowpanes have rapid changes in color. By blending these
 features in a narrow zone, you will not be able to see the seam because the
 eye already expects to see color changes at the edge of these features.
 Clouds and sky are the opposite. These features have to be blended across a
 wide transition zone because any sudden change in color will be immediately
 noticeable.
 .
 Enblend does not align images for you. Use a tool like Hugin or PanoTools to
 do this. The TIFFs produced by these programs are exactly what Enblend is
 designed to work with.

Package: enca
Description-md5: 2f9c7fe9acb67b4a62500c271d337572
Description-en: Extremely Naive Charset Analyser - binaries
 Enca is an Extremely Naive Charset Analyser. It detects the character set and
 the encoding of text files and can also convert them to other encodings using
 either a built-in converter or external libraries and tools like libiconv,
 librecode, or cstocs.
 .
 Currently it supports Belarusian, Bulgarian, Croatian, Czech,
 Estonian, Hungarian, Latvian, Lithuanian, Polish, Russian, Slovak,
 Slovene, Ukrainian, Chinese, and some multibyte encodings independently
 on language.

Package: encfs
Description-md5: 548b455c473b2471eae5efe6de874f33
Description-en: encrypted virtual filesystem
 EncFS integrates file system encryption into the Unix(TM) file system.
 Encrypted data is stored within the native file system, thus no
 fixed-size loopback image is required.
 .
 EncFS uses the FUSE kernel driver and library as a backend.

Package: enchant
Description-md5: 468849838461717319f3b939b17d0750
Description-en: Wrapper for various spell checker engines (binary programs)
 Enchant is a generic spell checking library which uses existing
 spell checker engines such as ispell, aspell and myspell as its backends.
 .
 Enchant steps in to provide uniformity and conformity on top of these
 libraries, and implement certain features that may be lacking in any
 individual provider library.
 .
 This package contains standalone runtime binary programs.

Package: endless-sky
Description-md5: 42ddbab05901a550389a8ca07cb882f1
Description-en: space exploration and combat game
 Endless sky is a 2D space exploration game with action, strategy, and role
 playing elements.
 .
 Explore other star systems. Earn money by trading, carrying passengers, or
 completing missions. Use your earnings to buy a better ship or to upgrade
 the weapons and engines on your current one. Blow up pirates. Take sides in
 a civil war. Or leave human space behind and hope to find some friendly
 aliens whose culture is more civilized than your own...
 .
 Endless Sky requires OpenGL 3.0 or higher.

Package: endless-sky-data
Description-md5: be7dad0fa767701f0711f84ad59057bb
Description-en: data files for Endless Sky
 Endless sky is a 2D space exploration game with action, strategy, and role
 playing elements. This package contains the images, sounds, and data files.
 .
 Explore other star systems. Earn money by trading, carrying passengers, or
 completing missions. Use your earnings to buy a better ship or to upgrade
 the weapons and engines on your current one. Blow up pirates. Take sides in
 a civil war. Or leave human space behind and hope to find some friendly
 aliens whose culture is more civilized than your own...

Package: endless-sky-high-dpi
Description-md5: e8df045fad1efef75175c8b06f6aa65f
Description-en: space exploration and combat game
 Endless sky is a 2D space exploration game with action, strategy, and role
 playing elements.
 .
 This package contains high-resolution graphics for Endless Sky. These images
 will only be used if you either have a high-DPI monitor, or have set the
 game's zoom level higher than 100%.

Package: endlessh
Description-md5: fbf5f0bb526a3579e8178b8f2e18075d
Description-en: SSH tarpit that slowly sends an endless connection banner
 Endlessh is an SSH tarpit that very slowly sends an endless, random SSH banner.
 It keeps SSH clients locked up for hours or even days at a time. The purpose is
 to put your real SSH server on another port and then let abusive bots get stuck
 in this tarpit instead of bothering a real server.
 .
 Since the tarpit is in the banner before any cryptographic exchange occurs,
 this program doesn't depend on any cryptographic libraries. It's a simple,
 single-threaded, standalone C program. It uses poll() to trap multiple clients
 at a time.
 .
 See https://nullprogram.com/blog/2019/03/22/ for more details.

Package: enemylines3
Description-md5: 8451747efdf91f226db4054eccf87b89
Description-en: semi-abstract first person 3d-shooter game
 Enemylines 3 is a single-player game. You have to shoot evil robots before
 they get too close, you can use your jetpack to escape. The robots can't jump
 but they tear down walls.

Package: enemylines7
Description-md5: 57c1a8388ebb5fe231edfc91e98731aa
Description-en: first person 3d-shooter game
 Enemy Lines 7 is a single-player game. You have to shoot down enemy
 bombers threatening your city in a three-dimensional environment.
 .
 Enemy bombers are approaching Glysen, your capital. The situation is without
 hope. But with the new experimental mech you can at least try to take as many
 of them with you as possible.

Package: enfuse
Description-md5: 01773ba70e320855287a42501d2d11f5
Description-en: image exposure blending tool
 Enfuse blends differently exposed images of the same scene into a nice output
 image, without producing intermediate HDR images that are then tonemapped to a
 viewable image. This simplified process often works much better and quicker
 than the currently known tonemapping algorithms.
 .
 The exposure blending is done using the Mertens-Kautz-Van Reeth exposure
 fusion algorithm. The basic idea is that pixels in the input images are
 weighted according to qualities such as proper exposure, good contrast, and
 high saturation. These weights determine how much a given pixel will
 contribute to the final image.
 .
 Enfuse does not align images for you. Use a tool like Hugin or PanoTools to do
 this. The TIFFs produced by these programs are exactly what Enfuse is designed
 to work with.

Package: engauge-digitizer
Description-md5: 0889aeaa8c061f20feca9715877fe6d4
Description-en: interactively extracts numbers from bitmap graphs or maps
 The Engauge Digitizer tool assists in interactively extracting numbers
 from images of graphs. Conceptually, it is thus the opposite of a
 graphing tool that converts data points to graphs. It provides assistance
 in enhancing the image quality and matching the data points. Engauge
 Digitizer is used by individuals such as grad students and researchers as
 well engineers and employees in large government and commercial
 organizations for processing single files  but also managing databases of
 thousands of image files.
 .
 Features of Engauge Digitizer include:
    * Automatic grid line removal
    * Automatic point and axis matching
    * Automatic curve tracing
    * Image processing for separating important details from background
      information
    * A wizard providing an interactive tutorial to explain the basic steps
    * Multiple coordinate systems in the same image can be digitized in
      advanced mode
    * Cubic spline interpolation between points gives more accurate curves with
      fewer points
    * Handling of Cartesian, polar, linear and logarithmic graphs
    * Support for drag-and-drop and copy-and-paste
    * Context sensitive help, user manual and tutorials explaining every
      feature
    * Preview windows to give immediate feedback while modifying settings
    * Automated line and point extraction to rapidly digitizes data

Package: engauge-digitizer-doc
Description-md5: acb3ebcff6c116018890b2080677aa07
Description-en: engauge-digitizer user manual and tutorial
 engauge-digitizer converts an image file showing a graph or map into numbers.
 .
 This package contains the HTML user guide and tutorial, which is accessible
 from within the main program.

Package: engrampa
Description-md5: 1e99f524215322189c08dd1260743e3f
Description-en: archive manager for MATE
 Engrampa is an archive manager for the MATE environment. It allows you to:
 .
  * Create and modify archives.
  * View the content of an archive.
  * View a file contained in an archive.
  * Extract files from the archive.
 .
 Engrampa supports the following formats:
  * Tar (.tar) archives, including those compressed with
    gzip (.tar.gz, .tgz), bzip (.tar.bz, .tbz), bzip2 (.tar.bz2, .tbz2),
    compress (.tar.Z, .taz), lzip (.tar.lz, .tlz), lzop (.tar.lzo, .tzo),
    lzma (.tar.lzma) and xz (.tar.xz)
  * Zip archives (.zip)
  * Jar archives (.jar, .ear, .war)
  * 7z archives (.7z)
  * iso9660 CD images (.iso)
  * Lha archives (.lzh)
  * Single files compressed with gzip (.gz), bzip (.bz), bzip2 (.bz2),
    compress (.Z), lzip (.lz), lzop (.lzo), lzma (.lzma) and xz (.xz)
 .
 Engrampa doesn't perform archive operations by itself, but relies on
 standard tools for this.

Package: engrampa-common
Description-md5: fdfde1c8a9a6e7067950f078f1f16898
Description-en: archive manager for MATE (common files)
 Engrampa is an archive manager for the MATE environment. It allows you to:
 .
  * Create and modify archives.
  * View the content of an archive.
  * View a file contained in an archive.
  * Extract files from the archive.
 .
 This package contains the architecture independent files.

Package: enigma
Description-md5: 366b4c0e1f58c25a2dd540823d04753a
Description-en: Game where you control a marble with the mouse
 Enigma is a puzzle game similar to Oxyd on the Atari ST or Rock'n'Roll
 on the Amiga and good old Marble Madness. It also has Sokoban levels, and
 some interesting twists on the original sokoban concept.
 .
 In Enigma, your objective is to locate and uncover matching pairs of
 Oxyd stones. Simple as it sounds, this task is made more difficult by
 the fact that Oxyd stones tend to be hidden, inaccessible or protected
 by unexpected traps. Overcoming these obstacles often requires a lot
 of dexterity and wit (and can be quite addictive).
 .
 This package contains the game engine.

Package: enigma-data
Description-md5: d485f1c5e342972301b2584fc4548698
Description-en: Data files for the game enigma
 Enigma is a puzzle game similar to Oxyd on the Atari ST or Rock'n'Roll
 on the Amiga and good old Marble Madness. It also has Sokoban levels, and
 some interesting twists on the original sokoban concept.
 .
 In Enigma, your objective is to locate and uncover matching pairs of
 Oxyd stones. Simple as it sounds, this task is made more difficult by
 the fact that Oxyd stones tend to be hidden, inaccessible or protected
 by unexpected traps. Overcoming these obstacles often requires a lot
 of dexterity and wit (and can be quite addictive).
 .
 This package contains the data files (levels, graphics, sounds).

Package: enigma-doc
Description-md5: 1bd46b6863570983986b8d9f86c9488c
Description-en: Documentation for the game enigma
 Enigma is a puzzle game similar to Oxyd on the Atari ST or Rock'n'Roll
 on the Amiga and good old Marble Madness. It also has Sokoban levels, and
 some interesting twists on the original sokoban concept.
 .
 In Enigma, your objective is to locate and uncover matching pairs of
 Oxyd stones. Simple as it sounds, this task is made more difficult by
 the fact that Oxyd stones tend to be hidden, inaccessible or protected
 by unexpected traps. Overcoming these obstacles often requires a lot
 of dexterity and wit (and can be quite addictive).
 .
 This package contains the manual and level creating guide

Package: enigmail
Description-md5: 1f1e67ab816cad117d3c812e71e25f82
Description-en: GPG support for Thunderbird and Debian Icedove
 OpenPGP extension for Thunderbird. Enigmail allows users to access the
 features provided by the popular GnuPG software from within Thunderbird.
 .
 Enigmail is capable of signing, authenticating, encrypting and decrypting
 email. Additionally, it supports both the inline PGP format, as well as the
 PGP/MIME format as described in RFC 3156.

Package: enjarify
Description-md5: 99067e712b51d270b0a96cb0ae1ad1eb
Description-en: translate Dalvik bytecode to equivalent Java bytecode
 Android applications are Java programs that run on a customized virtual
 machine, which is part of the Android operating system, the Dalvik VM.
 Their bytecode differs from the bytecode of normal Java applications.
 .
 Enjarify can translate the Dalvik bytecode back to equivalent Java bytecode,
 which simplifies the analysis of Android applications.

Package: enlightenment
Description-md5: 926a07692618e3a130115633d1d6025d
Description-en: X11 window manager based on EFL
 Enlightenment is an advanced window manager for X11. Unique
 features include: a fully animated background, nice drop shadows
 around windows, backed by an extremely clean and optimized
 foundation of APIs.
 .
 This package contains the core files for Enlightenment.

Package: enlightenment-data
Description-md5: 02f94c9cb548fcf19688c4805c933045
Description-en: X11 window manager based on EFL - run time data files
 Enlightenment is an advanced window manager for X11. Unique
 features include: a fully animated background, nice drop shadows
 around windows, backed by an extremely clean and optimized
 foundation of APIs.
 .
 These are the architecture independent runtime support files for the
 Enlightenment Window Manager.

Package: enlightenment-dev
Description-md5: 0c72d8e306ac8da7916403448e533285
Description-en: Enlightenment headers, static libraries and documentation
 Enlightenment is an advanced window manager for X11. Unique
 features include: a fully animated background, nice drop shadows
 around windows, backed by an extremely clean and optimized
 foundation of APIs.
 .
 This package contains headers, static libraries and documentation for
 enlightenment.

Package: enscribe
Description-md5: 8e61f7223a2ea3fceffe624c791dc967
Description-en: convert images into sounds
 Enscribe converts the scanlines of the input image into frequency
 components and then using an inverse Fast Fourier Transform, converts
 them into sound. The left side of the image is the low frequency end,
 and the right is the high end, up to just under the Nyquist limit if
 you want it to. There are several tunable parameters as to how colour
 is converted into stereo sound and the frequency range to be used.
 This conversion can be used to create resilient audio watermarks or to
 simply create interesting sounds from images.

Package: enscript
Description-md5: 04fb071b96ab1b2f0ba75bea589ddc4b
Description-en: converts text to Postscript, HTML or RTF with syntax highlighting
 GNU Enscript takes ASCII files (often source code) and converts them
 to PostScript, HTML or RTF.  It can store generated output to a file
 or send it directly to the printer.
 .
 It is often used for its syntax highlighting, as it comes with rules
 for a wide range of programming languages.  New rules can be added
 using an awk-like stateful scripting language.

Package: ent
Description-md5: ee7ab52dbfd2e0415762ea1e5778dee1
Description-en: pseudorandom number sequence test program
 This program applies various tests to sequences of bytes stored in
 files and reports the results of those tests. The program is useful
 for those evaluating pseudorandom number generators for encryption and
 statistical sampling applications, compression algorithms, and other
 applications where the information density of a file is of interest.
 .
 Original Homepage: http://www.fourmilab.ch/random/
 (Now maintained primarily in Debian.)

Package: entagged
Description-md5: 0bcf3898281d34e0a16fe835ede48e31
Description-en: graphical audio file tagger with freedb support
 Entagged is an audio file tagger written in Java, using the freedb online
 database for the retrieval of the tags. It can be used to organize files into
 complex directory structures according to their tags, or to tag them from
 their filenames. Supports: APE, FLAC, MP3, MPC, OGG, WMA.

Package: entangle
Description-md5: e572235377a978d476f0a0a54e6ce3af
Description-en: Tethered Camera Control & Capture
 Entangle provides a graphical interface for "tethered shooting", aka
 taking photographs with a digital camera completely controlled from the
 computer.
 .
 Using Entangle is as easy as 1,2,3...
  * Connect camera
  * Launch Entangle
  * Shoot photos
 .
 With a sufficiently capable digital SLR camera Entangle allows:
  * Trigger the shutter from the computer
  * Live preview of scene before shooting
  * Automatic download and display of photos as they are shot
  * Control of all camera settings from computer

Package: entr
Description-md5: 52fe22e37b3719b7c736bf46a6d8c9b7
Description-en: Run arbitrary commands when files change
 The Event Notify Test Runner (entr) runs arbitrary commands when
 files change. Changes are detected through the kqueue/inotify
 kernel interface.

Package: entropybroker
Description-md5: dbcf181846f2fa507db05cc272025a1c
Description-en: infrastructure for distributing random numbers (entropy data)
 Entropy Broker is an infrastructure for distributing cryptographically secure
 random numbers (entropy data) from one or more servers to one or more clients.
 .
 It allows you to distribute entropy data (random values) to /dev/random
 devices from other systems (real servers or virtualised systems).
 It helps preventing that the /dev/random device gets depleted; an empty
 /dev/random-device can cause programs to hang (waiting for entropy data to
 become available).
 .
 This is useful for systems that need to generate encryption keys, run VPN
 software or run a casino website. Also virtual systems that have no good
 sources of entropy like virtual servers (e.g. VMware, XEN and KVM (although
 KVM has the virtio_rnd driver)).
 .
 Entropy Broker is an infrastructure consisting of client-daemons that fill
 /dev/random and server-daemons that feed the central entropy broker-server.
 The server-daemons can gather random values by measuring timer frequency
 noise, analysing noise from a unused audio-device, noise from a video source
 (webcam, tv-card) and random values from a real hardware RNG (random number
 generator).

Package: enum
Description-md5: 2a2e1929bfb626a42331656e3a7a5685
Description-en: seq- and jot-like enumerator
 enum enumerates values (numbers) between two values, possibly further adjusted
 by a step and/or a count, all given on the command line. Before printing,
 values are passed through a formatter. Very fine control over input
 interpretation and output is possible.
 .
 enum is designed to be a drop-in replacement for GNU seq while still providing
 (almost) the same feature set jot (on BSD) provides.

Package: env2
Description-md5: 43c5540b7dfc470efc953b6ecabc89a3
Description-en: convert environment variables between scripting languages
 Output environment setting code for the target scripting language. Can
 report only differences or all environment variables.
 .
 For example, convert csh setup script to bash or the other way around.
 Or use it to simplify the creation of modulesfiles (cf. package
 environment-modules).
 .
 Support bash, csh, ksh, modulecmd, perl, plist, sh, tclsh, tcsh, vim,
 yaml, and zsh.

Package: environment-modules
Description-md5: e68d2dab565934a6f22604cc65023018
Description-en: Modular system for handling environment variables
 The Modules package provides for the dynamic modification of a user's
 environment via modulefiles.  Each modulefile contains the information
 needed to configure the shell for an application. Once the Modules
 package is initialized, the environment can be modified dynamically
 on a per-module basis using the module command which interprets
 modulefiles. Typically modulefiles instruct the module command to alter or
 set shell environment variables such as PATH, MANPATH, etc. modulefiles
 may be shared by many users on a system and users may have their own
 collection to supplement or replace the shared modulefiles.  The modules
 environment is common on SGI/Crays and many workstation farms.

Package: envstore
Description-md5: b91b2c04b39c3d8dbefac3bb83a1dfc7
Description-en: store for environment variables across shell processes
 envstore allows you to save environment variables into a separate store, list
 them, and reload them into the (other) shell again. It therefore provides a
 way to share them in cases where exporting to child processes is not feasible.
 .
 Included is envify, a shell script which loads the environment saved with
 envstore and then executes the command given to it.
 .
 A classic use case is MPD_HOST for clients of the Music Player Daemon.
 Commands to control the MPD would be wrapped with envify. If the MPD_HOST were
 then changed in envstore, it would automatically be used.

Package: eoconv
Description-md5: e098df62478e6b3e3a8874feec571f72
Description-en: convert text files between various Esperanto encodings
 Esperanto is written in an alphabet of 28 letters. However, only 22 of
 these letters can be found in the standard ASCII character set. The
 remaining six -- `c', `g', `h', `j', and `s' with circumflex, and `u'
 with breve -- are not available in ASCII. Various encoding systems
 have been developed to represent Esperanto text in printed and typed text.
 eoconv program converts between them.

Package: eog-plugin-disable-dark-theme
Description-md5: 5395dfcb4b0f3c2d9e09b3cef104e324
Description-en: Disable Dark Theme plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 The GNOME Image Viewer uses the dark variant for supported GTK+ themes.
 This plugin overrides that to use the regular version of the theme.

Package: eog-plugin-exif-display
Description-md5: 115f7997fdff2b587695e1b6d75b2e01
Description-en: Exif Display plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin displays a histogram and other camera data from Exif.

Package: eog-plugin-export-to-folder
Description-md5: f33b52a6ca4b089dfaedf8c8a9189ca2
Description-en: Export to Folderplugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin exports the current image to a separate directory.

Package: eog-plugin-fit-to-width
Description-md5: 996c6db36e6bb0c34ef336aa94b8af56
Description-en: Fit to Width plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin zooms images to fit them to the window width.

Package: eog-plugin-fullscreen-background
Description-md5: 48638ffe1a66c5b135d8d6d56194e965
Description-en: Fullscreen Background plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin enables changing the background color for fullscreen mode.

Package: eog-plugin-hide-titlebar
Description-md5: 0f109b971caac0775b02da43061c39e1
Description-en: Hide Titlebarplugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin hides the titlebar when GNOME Image Viewer is maximized.

Package: eog-plugin-map
Description-md5: 37c59b11b097dbbef5ec880b337d0a63
Description-en: Map plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin provides a map to show the image's location data.

Package: eog-plugin-maximize-windows
Description-md5: b5a4424d22c752b2ddcf7eeeca0e22ed
Description-en: Maximize Windows plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin maximizes GNOME Image Viewer windows by default.

Package: eog-plugin-picasa
Description-md5: 43d0dd0180528dff7fdb0b216025f963
Description-en: Picasa plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin allows uploading images to Google Picasa.

Package: eog-plugin-python-console
Description-md5: e5b59e45b1e73632d88a8dc65a09b4df
Description-en: Python Console plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin provides a Python console.

Package: eog-plugin-send-by-mail
Description-md5: 4fb47c90bc75c1ff87bce233e7e3df67
Description-en: Send by Mail plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin allows sending images as email attachments.

Package: eog-plugin-slideshow-shuffle
Description-md5: 45041031c238735f8eeeb97efcac35c9
Description-en: Slideshow Shuffle plugin for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This plugin shuffles images in slideshow mode.

Package: eog-plugins
Description-md5: 1b719a0436b067960e96d7ff53d40a45
Description-en: set of plugins for GNOME Image Viewer
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 The plugins are now split in separate packages; this metapackage brings all
 of them but they can be installed separately.

Package: eog-plugins-common
Description-md5: 5f9b36f24cd7f89e121cbd0d6d6da259
Description-en: common files for eog-plugins
 eog-plugins contain a set of plugins for eog, the GNOME Image Viewer.
 .
 This package contains common data files and translations.

Package: eom
Description-md5: 282112f29e9da804b2ac6fa1ab4bb767
Description-en: Eye of MATE graphics viewer program
 eom or the Eye of MATE is a simple graphics viewer for the MATE
 desktop which uses the gdk-pixbuf library. It can deal with large
 images, and zoom and scroll with constant memory usage. Its goals are
 simplicity and standards compliance.

Package: eom-common
Description-md5: c848fbc723088e675f454caa830ef9a2
Description-en: Eye of MATE graphics viewer program (common files)
 eom or the Eye of MATE is a simple graphics viewer for the MATE
 desktop which uses the gdk-pixbuf library. It can deal with large
 images, and zoom and scroll with constant memory usage. Its goals are
 simplicity and standards compliance.
 .
 This package contains the architecture independent files.

Package: eom-dev
Description-md5: cd39d555efe9cb812e957c07940d14ec
Description-en: Eye of MATE graphics viewer program (development files)
 eom or the Eye of MATE is a simple graphics viewer for the MATE
 desktop which uses the gdk-pixbuf library. It can deal with large
 images, and zoom and scroll with constant memory usage. Its goals are
 simplicity and standards compliance.
 .
 This package contains the development files for building eom plugins.

Package: eot-utils
Description-md5: 61d36f46254c8a979f2cc32ac6d37ded
Description-en: Tools to convert from OTF or TTF to EOT font format
 The eot-utils are the two programs mkeot and eotinfo.
 The former creates an EOT (Embedded OpenType) file from an OpenType
 or TrueType font and the URLs of one or more Web pages.
 mkeot respects the TrueType embedding bits.
 The eotinfo program displays the contents of an EOT header in a
 human-readable way.

Package: eot2ttf
Description-md5: 1f63a2748aed00a11763a431c5e4e1b2
Description-en: utility to convert Embedded OpenType fonts to TrueType
 Libeot is a library for parsing Embedded OpenType files and converting them
 to other formats
 .
 This package contains eot2ttf - which uses libeot to convert .eot to .ttf.

Package: eperl
Description-md5: 8c031cf4d8b7bf7f078c7b2aac563af3
Description-en: Embedded Perl 5 Language
 ePerl interprets an ASCII file bristled with Perl 5 program statements by
 evaluating the Perl 5 code while passing through the plain ASCII data. It
 can operate in various ways: As a stand-alone Unix filter or integrated
 Perl 5 module for general file generation tasks and as a powerful Webserver
 scripting language for dynamic HTML page programming.

Package: ephoto
Description-md5: 35e7d7309845b4b928f28a257358b191
Description-en: Comprehensive Image Viewer Using EFL
 Ephoto is an image viewer and editor written using the Enlightenment
 Foundation Libraries(EFL).  It focuses on simplicity and ease of use,
 while taking advantage of the speed and small footprint provided by
 EFL.
 .
 Ephoto supports:
  * Browsing the filesystem and displaying images in an easy to use grid view.
  * Browsing images in a single image view format.
  * Viewing images in a slideshow.
  * Editing your images with features, such as cropping, auto enhance, blurring,
    sharpening, brightness/contrast/gamma adjustments, hue/saturation/value
    adjustments, and color level adjustment.
  * Applying artistic filters to your images, such as black and white and old
    photo.
  * Drag And Drop file operations to easily maintain your photo directories.

Package: epic4
Description-md5: deab0227c20efd2b4b1bd5a140b463f3
Description-en: epic irc client, version 4
 epic4 is an irc client based on ircII.  It is primarily suited to users who
 wish to write their own irc scripts or have irc scripts written by others.
 .
 Previous versions of epic were 100% compatible with ircII 2.8.2, though
 this is no longer the case.  Instead we've taken a new direction and chosen
 to find places where compatibility with ircII is undesirable and fix them.
 No gratuitous incompatibilities have been added, though many new features
 have been.

Package: epic4-help
Description-md5: 411456e695e769bbb7014c28ffb81c75
Description-en: help files for epic4 IRC client
 Documentation for the epic4 irc client. Used from within epic4 with the
 /help command.

Package: epic4-script-lice
Description-md5: 511ddeb1dd203988ce8fee42e5bbe242
Description-en: Very functional script for epic
 LiCe is a script designed for the ircII and EPIC irc clients; it
 radically improves the usability of these clients, providing features
 and enhancements that pioneered the way for a whole crowd of
 imitators. Though there is now much diversity, thousands of LiCe users
 still declare it the best script ever.

Package: epic5
Description-md5: 6d8a541e08d80d4b65dba9febd82c8d2
Description-en: epic irc client, version 5
 epic5 is an irc client based on ircII.  It is primarily suited to users who
 wish to write their own irc scripts or have irc scripts written by others.
 .
 Previous versions of epic were 100% compatible with ircII 2.8.2, though
 this is no longer the case.  Instead we've taken a new direction and chosen
 to find places where compatibility with ircII is undesirable and fix them.
 No gratuitous incompatibilities have been added, though many new features
 have been.

Package: epic5-script-lice
Description-md5: 511ddeb1dd203988ce8fee42e5bbe242
Description-en: Very functional script for epic
 LiCe is a script designed for the ircII and EPIC irc clients; it
 radically improves the usability of these clients, providing features
 and enhancements that pioneered the way for a whole crowd of
 imitators. Though there is now much diversity, thousands of LiCe users
 still declare it the best script ever.

Package: epigrass
Description-md5: ad967c66b9533d11c4bf6d47908d0a46
Description-en: scientific tool for simulations and scenario analysis in network epidemiology
 Epigrass is a software for visualizing, analyzing and simulating of
 epidemic processes on geo-referenced networks.
 .
 EpiGrass can interact with the GRASS GIS from which it can obtain
 maps and other geo-referenced information. However, EpiGrass does not
 require an installation of the GRASS GIS for most of its features.

Package: epigrass-doc
Description-md5: 9fb9dd737bddeee1c17e46974982833b
Description-en: Documentation for EpiGrass, a network epidemiology tool
 Epigrass is a software for visualizing, analyzing and simulating of
 epidemic processes on geo-referenced networks.
 .
 EpiGrass can interact with the GRASS GIS from which it can obtain
 maps and other geo-referenced information. However, EpiGrass does not
 require an installation of the GRASS GIS for most of its features.
 .
 This package contains the documentation.

Package: epiphany
Description-md5: 23567009d86366006897fa1e76cf272a
Description-en: clone of Boulder Dash game
 Epiphany is a multi-platform clone of Boulder Dash.
 In this game, the player must collect all the valuable minerals
 scattered in each level, while avoiding being hit by a falling
 boulder or, worse, by a bomb.
 .
 Boulder Dash was one of the best games ever made for the
 Commodore 64.

Package: epiphany-browser
Description-md5: 767a0ee0cafbd123a7d0ad923e60350d
Description-en: Intuitive GNOME web browser
 Epiphany is a simple yet powerful GNOME web browser targeted at
 non-technical users. Its principles are simplicity and standards
 compliance.
 .
 Simplicity is achieved by a well designed user interface and reliance
 on external applications for performing external tasks (such as reading
 email). Simplicity does not mean less features; Epiphany has everything
 a modern web browser is expected to have.
 .
 Standards compliance is achieved on the HTML side by using the
 WebKitGTK+ rendering engine (which is based on the engine used by
 Apple Safari and Google Chrome); and on the user interface side by
 closely following the GNOME Human Interface Guidelines (HIG) and by
 close integration with the GNOME desktop.

Package: epiphany-browser-data
Description-md5: 602cd9c52c5ffccb213ecf3dec85b99d
Description-en: Data files for the GNOME web browser
 Epiphany is a simple yet powerful GNOME web browser targeted at
 non-technical users. Its principles are simplicity and standards
 compliance.
 .
 This package contains the common files, artwork and translations for
 Epiphany.

Package: epiphany-data
Description-md5: a31a0cfe9dfa218ef024590c179f0765
Description-en: required data files for epiphany game
 This package provides all architecture-independent data files
 required for playing with epiphany.
 .
 Epiphany is a multi-platform clone of Boulder Dash, one of the
 best games ever made for the Commodore 64.

Package: epix
Description-md5: 8f77246538276a39552955f1968d0445
Description-en: Create mathematically accurate line figures, plots and movies
 ePiX provides a system for creating mathematically accurate line figures,
 plots, and movies using an easy-to-learn C++-like syntax.  LaTeX and dvips
 comprise the typographical rendering engine, while ImageMagick is used to
 create bitmapped images and animations.

Package: epm
Description-md5: 18c1291a136f9f61f4ca334b8b658d12
Description-en: Cross-platform package builder by Easy Software Products
 This package allows a developer to produce packages for several
 different platforms from a single specification.  Currently, Debian
 dpkg, RPM, AT&T/Solaris pkg, HP-UX depot/swinstall, and IRIX
 inst/tardist packages are supported, as well as a "portable" package
 that includes installation and removal scripts and a GUI setup
 program.

Package: epoptes
Description-md5: c80951a0749d51b9f0f833c62c0778c5
Description-en: Computer lab management tool
 Epoptes is an open source computer lab management and monitoring tool. It
 allows for screen broadcasting and monitoring, remote command execution,
 message sending, imposing restrictions like screen locking or sound muting
 the clients and much more!
 .
 Contains the server daemon and a GUI for controlling client PCs.
 .
 It supports LTSP installations, but it also works without LTSP.

Package: epoptes-client
Description-md5: b97a6f20cf18c2996599cde463a61a23
Description-en: Computer lab management tool (client)
 Epoptes is an open source computer lab management and monitoring tool. It
 allows for screen broadcasting and monitoring, remote command execution,
 message sending, imposing restrictions like screen locking or sound muting
 the clients and much more!
 .
 Contains the client daemon and some utilities for getting screenshots etc.

Package: epsilon-bin
Description-md5: 5c504146759c7c5865231c7d5dce6c87
Description-en: Library for wavelet image compression - tools
 Epsilon is C library for Wavelet based lossy image compression.
 Wavelet-driven compressors are know to be much more effective than
 traditional DCT-based ones (like JPEG).
 .
 At the moment, the program supports about 30 different wavelet filters,
 runs in parallel in multi-threaded and MPI environments, can process
 huge images and much more.
 .
 This package contains some useful tools to compress/uncompress images.

Package: epstool
Description-md5: 9cc83e3b021bef3e284bf67a67aa1708
Description-en: edit preview images and fix bounding boxes in EPS files
 Encapsulated PostScript (EPS) files may contain a preview to be used
 by programs that can't interpret the PostScript code. epstool can
 create and extract such previews.
 .
 epstool can also calculate an optimal bounding box for an EPS file.

Package: epub-utils
Description-md5: cef04f588118936f1c714fcef4664644
Description-en: tools to work with the EPUB file format
 The ebook-tools provide ways for accessing and converting different
 ebook file formats.
 .
 This package contains the following utilities:
  - einfo           : provides some basic info about an EPUB document,
                      such as metadata, reading order, etc.
  - lit2epub        : converts a LIT file to EPUB (using convlit and zip).

Package: epubcheck
Description-md5: fe8a2dfa8ecb6fb1bf99af9a3cfd0645
Description-en: Validation Tool for EPUB
 EpubCheck is a tool to validate IDPF EPUB files. It can detect many types
 of errors in EPUB. OCF container structure, OPF and OPS mark-up, and internal
 reference consistency are checked. EpubCheck can be run as a standalone
 command-line tool, installed as a Java server-side web application or used
 as a Java library.

Package: eq10q
Description-md5: af47bc44d39e50b2837a67b613550709
Description-en: LV2 plugins bundle
 Package contains these plugins:
  * eq10q  : 10 band equalizer;
  * eq6q   :  6 band equalizer;
  * eq4q   :  4 band equalizer;
  * eq1q   :  1 band equalizer;
  * cs10q  :  compressor;
  * cs10qm :  side-chain input compressor;
  * gt10q  :  gate;
  * bassup :  bass enhancer;
  * lr2ms  :  mid/side matrix lr to ms;
  * ms2lr  :  mid/side matrix ms to lr.
 .
 All plugins have mono and stereo version.
 .
 More information:
 http://eq10q.sourceforge.net/

Package: eql
Description-md5: d1b01b25bba9095b27b2ada52e461bc7
Description-en: load balancing tool for serial network connections
 The eql_enslave tool allows you to double, triple, quadruple your
 network bandwidth with multiple point-to-point links. Works with
 PPP or SLIP.  It needs "EQL (serial line load balancing) support"
 in the kernel.

Package: eqonomize
Description-md5: 784ecf2e4373692f1d9dd5f7baf70baa
Description-en: personal accounting software for the small household economy
 Eqonomize! is a personal accounting software for KDE, with focus on
 efficiency and ease of use for the small household economy. It
 provides a complete solution, with bookkeeping by double entry and
 support for scheduled recurring transactions, security investments,
 and budgeting. It gives a clear overview of past and present
 transactions, and development of incomes and expenses, with
 descriptive tables and charts, as well as an approximation of future
 account values.

Package: eqonomize-doc
Description-md5: e77e2717afa8ce6f3f751af33b0e003d
Description-en: documentation for the Eqonomize! accounting software
 Eqonomize! is a personal accounting software for KDE, with focus on
 efficiency and ease of use for the small household economy.
 .
 This package contains the Eqonomize! Handbook.

Package: equalx
Description-md5: 8dfd7ce4d7e3b3c5cb4d3a23d493a529
Description-en: graphical editor for LaTeX equations
 EqualX is a graphical interface to LaTeX and a bunch of
 conversion programs for aiding you write equations faster in
 LaTeX and export them to other applications.

Package: equivs
Description-md5: eecadd6e89bb6f575d54f8f256a154aa
Description-en: Circumvent Debian package dependencies
 This package provides a tool to create trivial Debian packages.
 Typically these packages contain only dependency information, but they
 can also include normal installed files like other packages do.
 .
 One use for this is to create a metapackage: a package whose sole
 purpose is to declare dependencies and conflicts on other packages so
 that these will be automatically installed, upgraded, or removed.
 .
 Another use is to circumvent dependency checking: by letting dpkg
 think a particular package name and version is installed when it
 isn't, you can work around bugs in other packages' dependencies.
 (Please do still file such bugs, though.)

Package: ergo
Description-md5: fb8ad819237b1820e4f6294e3fd6b152
Description-en: Quantum chemistry program for large-scale calculations
 ErgoSCF is a quantum chemistry program for large-scale self-consistent field
 calculations.  It employs modern linear scaling techniques like fast multipole
 methods, hierarchic sparse matrix algebra, density matrix purification, and
 efficient integral screening.  Linear scaling is achieved not only in terms of
 CPU usage but also memory utilization.  It uses Gaussian basis sets.
 .
 It can compute single-point energies for the following methods:
  * Restricted and unrestricted Hartree-Fock (HF) theory
  * Restricted and unrestricted Kohn-Sham density functional theory (DFT)
  * Full Configuration-Interaction (FCI)
 .
 The following Exchange-Correlational (XC) density functionals are included:
  * Local Density Approximation (LDA)
  * Gradient-corrected (GGA) XC functionals BLYP, BP86, PW91 and PBE
  * Hybrid XC functionals B3LYP, BHandHLYP, PBE0 and CAMB3LYP
 .
 Further features include:
  * Linear response calculations (polarizabilities and excitation energies) for
    restricted reference densities
  * External electric fields
  * Electron dynamics via Time-Dependent Hartree-Fock (TDHF)

Package: ergo-data
Description-md5: 2420727f20ad9f055d60b119e916f808
Description-en: Quantum chemistry program for large-scale calculations - data package
 ErgoSCF is a quantum chemistry program for large-scale self-consistent field
 calculations.  It employs modern linear scaling techniques like fast multipole
 methods, hierarchic sparse matrix algebra, density matrix purification, and
 efficient integral screening.  Linear scaling is achieved not only in terms of
 CPU usage but also memory utilization.  It uses Gaussian basis sets.
 .
 It can compute single-point energies for the following methods:
  * Restricted and unrestricted Hartree-Fock (HF) theory
  * Restricted and unrestricted Kohn-Sham density functional theory (DFT)
  * Full Configuration-Interaction (FCI)
 .
 The following Exchange-Correlational (XC) density functionals are included:
  * Local Density Approximation (LDA)
  * Gradient-corrected (GGA) XC functionals BLYP, BP86, PW91 and PBE
  * Hybrid XC functionals B3LYP, BHandHLYP, PBE0 and CAMB3LYP
 .
 Further features include:
  * Linear response calculations (polarizabilities and excitation energies) for
    restricted reference densities
  * External electric fields
  * Electron dynamics via Time-Dependent Hartree-Fock (TDHF)
 .
 This package contains data for ergo.

Package: eric
Description-md5: d8f7e1fd7028e5ce234755d0bc6db8d8
Description-en: full featured Python IDE
 eric is a full featured Python IDE written in PyQt using the QScintilla
 editor widget. Some highlights
   * Any number of editors with configurable syntax highlighting, code
     folding, auto indenting and brace highlighting.
   * Integrated Project Management facility to organize your projects.  The
     project browser shows all source files, all forms and all translations
     each on its own tab. The source browser has built in class browsing
     capabilities.
   * Integrated and full featured debuggers for Python and Ruby.
   * Interactive shells for Python and Ruby.
   * An explorer window for walking through your directory structure with
     built in class browsing capabilities for Python files.
   * Variable windows that display local and global variables in the
     current scope while debugging a program.
   * An integrated interface to the Python Module "unittest".
   * An integrated help viewer to display HTML help files. Alternatively
     you can choose to use Qt-Assistant to view help files.
   * Display of the UI in different languages.
   * The capability to start Qt-Designer and Qt-Linguist from within eric5.
   * The ability to compile Qt-Designer forms, to produce Qt-Linguist files
     and release them from within the IDE.

Package: eric-api-files
Description-md5: b81e2e2dd5ab5b2caeb024770418b869
Description-en: API description files for use with eric
 This package contains code completion API files of
 Python standard modules & builtins, PyQt and PyKDE.
 .
 eric is a full featured Python IDE.

Package: erlang
Description-md5: 444ffdeb0f4a95a7e36f20d18ac794cb
Description-en: Concurrent, real-time, distributed functional language
 Open Source Erlang is a functional programming language designed at
 the Ericsson Computer Science Laboratory.
 .
 Some of Erlang main features are:
  * Clear declarative syntax and is largely free from side-effects;
  * Built-in support for real-time, concurrent and distributed programming;
  * Designed for development of robust and continuously operated programs;
  * Dynamic code replacement at runtime.
 .
 The Erlang distribution also includes OTP (Open Telecom Platform) which
 provides a rich set of libraries and applications.
 .
 This package is a dummy package which will install Erlang/OTP runtime,
 applications, sources, code examples and the Erlang editing mode for Emacs.

Package: erlang-asciideck
Description-md5: e1b6a4638c4048c0c567d5cf87520921
Description-en: Erlang library for asciidoc
 The `asciideck` project aims to provide a parser for Asciidoc
 files returning an abstract syntax tree that can be further
 manipulated or used to convert documents to different formats.

Package: erlang-base-hipe
Description-md5: f284d6d86968208e4f53d14fca4279b8
Description-en: Erlang/OTP HiPE enabled virtual machine and base applications
 This package contains the Erlang/OTP runtime implementation, which is
 configured and built with HiPE support (allows compiling to native code),
 and minimal set of Erlang applications:
  compiler - compiles Erlang code to byte-code;
  erts - the Erlang runtime system application;
  kernel - code necessary to run the Erlang runtime system itself;
  ose - code for Enea OSE operating system;
  sasl - the system architecture support libraries application;
  stdlib - modules for manipulating lists, strings, files etc.

Package: erlang-base64url
Description-md5: 07202bcaa1cc292235314fa3b871f96a
Description-en: standalone URL-safe base64-compatible codec for Erlang
 Base64Url is a standalone, URL-safe and base64-compatible codec for Erlang.
 It supports URL-safe base64 en- and decoding. Some systems in the wild use
 base64 URL encoding, but keep the padding for MIME compatibility
 (base64 Content-Transfer-Encoding).
 Base64Url allows one to interact with such systems.

Package: erlang-bbmustache
Description-md5: 02561f342de36487200e82b1fc953f88
Description-en: Binary pattern match Based Mustache template engine for Erlang/OTP
 erlang-bbmustache is binary pattern match based mustache template engine for
 Erlang/OTP. This does't use a regular expression of Erlang/OTP, and support
 maps and associative arrays.

Package: erlang-bear
Description-md5: f907f5be82e8afd411ef7a5f74b2f8e3
Description-en: Set of statistics functions for erlang
 Currently bear is focused on use inside the Folsom Erlang metrics library,
 but all of these functions are generic and useful in other situations.

Package: erlang-bitcask
Description-md5: e3d735d432bd294c1dce5fb1949eb0e3
Description-en: Log-Structured Hash Table for Fast Key/Value Data
 Bitcask is an Erlang application that provides an API for storing and
 retrieving key/value data into a log-structured hash table that provides very
 fast access. The design owes a lot to the principles found in log-structured
 file systems and draws inspiration from a number of designs that involve log
 file merging.

Package: erlang-bitcask-dev
Description-md5: e62e51878df62ae7e7607ca35b58b55a
Description-en: Log-Structured Hash Table for Fast Key/Value Data (development files)
 Bitcask is an Erlang application that provides an API for storing and
 retrieving key/value data into a log-structured hash table that provides very
 fast access. The design owes a lot to the principles found in log-structured
 file systems and draws inspiration from a number of designs that involve log
 file merging.
 .
 This package includes bitcask headers which are necessary to build Erlang
 applications which use bitcask.

Package: erlang-cf
Description-md5: c80291394ce02a789ce107159ca28f00
Description-en: Erlang/OTP library for termial colour printing
 A Erlang/OTP helper library for termial colour printing extending the
 io:format syntax to add colours.

Package: erlang-cl
Description-md5: 665f4eac4e7dd58b7193868acf4079bc
Description-en: Erlang OpenCL bindings
 CL is an application which provides OpenCL bindings to Erlang.
 It resembles C API from http://www.khronos.org/opencl as close
 as possible.

Package: erlang-common-test
Description-md5: 7b279934204d2fda3ee05f2ba5e002bb
Description-en: Erlang/OTP application for automated testing
 Common Test is a portable application for automated testing. It is
 suitable for black-box testing of target systems of any type (i.e.
 not necessarily implemented in Erlang), as well as for white-box
 testing of Erlang/OTP programs. Black-box testing is performed via
 standard interfaces (such as SNMP, HTTP, Corba, Telnet, etc) and,
 if required, via user specific interfaces (often called test ports).
 White-box testing of Erlang/OTP programs is easily accomplished by
 calling the target API functions directly from the test case functions.
 Common Test also integrates usage of the OTP cover tool for code
 coverage analysis of Erlang/OTP programs.

Package: erlang-cowboy
Description-md5: b73626a19c7907634b5f0e4e39c10aac
Description-en: Cowboy is a small, fast and modular HTTP server written in Erlang
 Cowboy is also a socket acceptor pool, able to accept connections
 for any kind of TCP protocol.
 .
 Cowboy aims to provide the following advantages:
 .
   * 'Small' code base.
   * Damn 'fast'.
   * 'Modular': transport and protocol handlers are replaceable.
   * 'Binary HTTP' for greater speed and lower memory usage.
   * Easy to 'embed' inside another application.
   * Selectively 'dispatch' requests to handlers, allowing you to send some
     requests to your embedded code and others to a FastCGI application in
     PHP or Ruby.
   * No parameterized module. No process dictionary. 'Clean' Erlang code.

Package: erlang-cowboy-doc
Description-md5: 3f5be739c56ac4c8738e408c10edbd54
Description-en: Documentation files for erlang-cowboy
 Cowboy is also a socket acceptor pool, able to accept connections
 for any kind of TCP protocol.
 .
 Cowboy aims to provide the following advantages:
 .
   * 'Small' code base.
   * Damn 'fast'.
   * 'Modular': transport and protocol handlers are replaceable.
   * 'Binary HTTP' for greater speed and lower memory usage.
   * Easy to 'embed' inside another application.
   * Selectively 'dispatch' requests to handlers, allowing you to send some
     requests to your embedded code and others to a FastCGI application in
     PHP or Ruby.
   * No parameterized module. No process dictionary. 'Clean' Erlang code.
 .
 This package includes erlang-cowboy documents.

Package: erlang-cowboy-examples
Description-md5: cce8ed3225486ad80adbc505e5d47bf8
Description-en: Examples for erlang-cowboy
 Cowboy is also a socket acceptor pool, able to accept connections
 for any kind of TCP protocol.
 .
 Cowboy aims to provide the following advantages:
 .
   * 'Small' code base.
   * Damn 'fast'.
   * 'Modular': transport and protocol handlers are replaceable.
   * 'Binary HTTP' for greater speed and lower memory usage.
   * Easy to 'embed' inside another application.
   * Selectively 'dispatch' requests to handlers, allowing you to send some
     requests to your embedded code and others to a FastCGI application in
     PHP or Ruby.
   * No parameterized module. No process dictionary. 'Clean' Erlang code.
 .
 This package includes erlang-cowboy examples.

Package: erlang-cowlib
Description-md5: f03baee88c296f511c3d31f3ac4e581e
Description-en: Erlang library for manipulating web protocols
 Cowlib provides libraries for parsing and building messages for
 various Web protocols, including SPDY, HTTP and Websocket.
 .
 It is optimized for completeness rather than speed. No value is
 ignored, they are all returned.

Package: erlang-cuttlefish
Description-md5: 3ce710d7bc73389ffaf3018a64b4a0b6
Description-en: Erlang/OTP library for sysctl-like syntax
 Cuttlefish is a library for Erlang applications that wish to walk the
 fine line between Erlang `app.config`s and a sysctl-like syntax.
 The name is a pun on the pronunciation of 'sysctl' and jokes are
 better explained.

Package: erlang-debugger
Description-md5: f2a130c9024aae5b814aecc511de1716
Description-en: Erlang/OTP application for debugging and testing
 Debugger is a graphical tool which can be used for debugging and
 testing of Erlang programs. For example, breakpoints can be set,
 code can be single stepped and variable values can be inspected
 and modified.

Package: erlang-dialyzer
Description-md5: 64f3bbfb833c0a9582717bebe4d36557
Description-en: Erlang/OTP discrepancy analyzer application
 Dialyzer is a static analysis tool that identifies software
 discrepancies such as type errors, unreachable code, unnecessary
 tests etc. in single Erlang modules or entire (sets of) applications.

Package: erlang-diameter
Description-md5: 42a0b30fc825ef17d696ef8100828e2c
Description-en: Erlang/OTP implementation of RFC 6733 protocol
 Interface with which a user creates a service that sends and receives
 messages using the Diameter protocol as defined in RFC 6733.

Package: erlang-edoc
Description-md5: a3f918489b4ca4cd8e396c420e04b999
Description-en: Erlang/OTP module for generating documentation
 EDoc is the Erlang program documentation generator. Inspired by the
 Javadoc tool for the Java programming language, EDoc is adapted to
 the conventions of the Erlang world.

Package: erlang-erl-docgen
Description-md5: 12eb983b0fd1fd22ee8081c4efc70adf
Description-en: Erlang/OTP documentation stylesheets
 The erl_docgen package includes XSLT stylesheets and document type
 definitions used for Erlang/OTP documentation. They allow one to
 transform documentation to manpage, HTML, and PDF formats.

Package: erlang-erlware-commons
Description-md5: 92d224ddfc1b1a194d697ad59c253a1e
Description-en: Erlware common libraries
 Erlware commons provides extensions to the stdlib application distributed
 in Erlang. The functions provided by this are used in Erlware's production
 application.

Package: erlang-esdl
Description-md5: f364edd8ae0b2451d7e130595832995b
Description-en: Erlang bindings to the Simple Direct Media Library
 SDL (Simple DirectMedia Layer) is a generic API that provides low
 level access to audio, keyboard, mouse, and display framebuffer
 across multiple platforms.
 .
 Open Source Erlang is a functional programming language designed at
 the Ericsson Computer Science Laboratory.
 .
 ESDL is an Erlang binding to the SDL, and might be used to write
 multimedia application in Erlang.

Package: erlang-esdl-dev
Description-md5: 8d30e7547264820c9c6dfa1c40fe982f
Description-en: Erlang bindings to the SDL (development files)
 ESDL is an Erlang binding to the SDL, and might be used to write
 multimedia application in Erlang.
 .
 This package includes ESDL headers which are necessary to build Erlang
 applications which use ESDL.

Package: erlang-esdl-doc
Description-md5: 2e790859dec104b5f6e4af5eefd6d1f5
Description-en: Erlang bindings to the SDL (documentation)
 ESDL is an Erlang binding to the SDL, and might be used to write
 multimedia application in Erlang.
 .
 This package includes ESDL documentation and examples.

Package: erlang-et
Description-md5: 642600ae4ee7f6069393fa0af8f50037
Description-en: Erlang/OTP event tracer application
 The Event Tracer (ET) uses the built-in trace mechanism in Erlang
 and provides tools for collecting and examining trace data using GUI.

Package: erlang-eunit
Description-md5: 559493af07c14e28bf56267ccbd871a0
Description-en: Erlang/OTP module for unit testing
 The EUnit application contains modules with support for unit testing.

Package: erlang-folsom
Description-md5: fad6d0e96d9f03247159c49898c140b6
Description-en: Erlang based metrics system inspired by Coda Hale's metrics
 Folsom is an Erlang based metrics system inspired by Coda Hale's metrics
 (https://github.com/codahale/metrics/). The metrics API's purpose is to collect
 realtime metrics from your Erlang applications and publish them via Erlang APIs
 and output plugins. folsom is *not* a persistent store. There are 6 types of
 metrics: counters, gauges, histograms (and timers), histories, meter_readers
 and meters. Metrics can be created, read and updated via the `folsom_metrics`
 module.

Package: erlang-folsom-dev
Description-md5: 8a1ecea4e1c6417981a863410d0836f6
Description-en: Erlang based metrics system inspired by Coda Hale's metrics
 Folsom is an Erlang based metrics system inspired by Coda Hale's metrics
 (https://github.com/codahale/metrics/). The metrics API's purpose is to collect
 realtime metrics from your Erlang applications and publish them via Erlang APIs
 and output plugins. folsom is *not* a persistent store. There are 6 types of
 metrics: counters, gauges, histograms (and timers), histories, meter_readers
 and meters. Metrics can be created, read and updated via the `folsom_metrics`
 module.
 .
 This package includes erlang-folsom headers which are necessary to build Erlang
 applications which use erlang-folsom.

Package: erlang-getopt
Description-md5: febe3a03e250c6a25ec24d8704ac19d3
Description-en: Erlang library for command-line processing
 Command-line parsing module that uses a syntax similar to that of GNU getopt.

Package: erlang-goldrush
Description-md5: 54fb5baf203ce3092b5c711277e52ac0
Description-en: small Erlang app that provides fast event stream processing
 Goldrush is a small Erlang app that provides fast event stream processing
 .
 Features:
  * Event processing compiled to a query module
   - per module protected event processing statistics
   - query module logic can be combined for any/all filters
   - query module logic can be reduced to efficiently match event processing
  * Complex event processing logic
   - match input events with greater than (gt) logic
   - match input events with less than (lt) logic
   - match input events with equal to (eq) logic
   - match input events with wildcard (wc) logic
   - match input events with notfound (nf) logic
   - match no input events (null blackhole) logic
   - match all input events (null passthrough) logic
  * Handle output events
   - Once a query has been composed the output action can be overridden
     with an erlang function. The function will be applied to each
     output event from the query.

Package: erlang-guestfs
Description-md5: eeff7de949f0c278c77ee4d627b20c96
Description-en: guest disk image management system - Erlang bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains Erlang bindings to libguestfs.

Package: erlang-horse
Description-md5: a5797a707d9245b829567209cc2ed250
Description-en: Erlang library for integrated performance testing
 Horse is designed to provide quick feedback on the performance
 of units of code, for example a function or a group of functions.
 .
 Horse works in a manner similar to the `eunit` application: it
 will export automatically all the performance test functions,
 run them one after another and give you a convenient report.

Package: erlang-idna
Description-md5: da103b4ea76116f16a700e864d309f29
Description-en: pure Erlang IDNA implementation that follows RFC 5891
 This library adds support for IDNA 2008 and IDNA 2003 to Erlang.
 IDNA is short for "Internationalized Domain Names in Applications" and is
 standardized in RFC 5891.

Package: erlang-jiffy
Description-md5: cd86e52211067c7cd919da39be04abfc
Description-en: JSON NIFs (Native Implemented Functions) for Erlang
 A JSON parser as a NIF (Native Implemented Functions). This is a complete
 rewrite of the work this was done in EEP0018 that was based on Yajl. This
 new version is a hand crafted state machine that does its best to be as
 quick and efficient as possible while not placing any constraints on the
 parsed JSON.

Package: erlang-jinterface
Description-md5: 6e3651f89f83062d26177557bb00ae3d
Description-en: Java communication tool to Erlang
 Jinterface Java package contains java classes, which help you
 integrate programs written in Java with Erlang.

Package: erlang-jose
Description-md5: 53a2aaa877d4b6b437226c2f895eb273
Description-en: JSON Object Signing and Encryption (JOSE) for Erlang
 JOSE stands for JSON Object Signing and Encryption which is a is a set of
 standards established by the JOSE Working Group.
 JOSE is split into 5 main components:
  * JOSE.JWA - JSON Web Algorithms (JWA) RFC 7518
  * JOSE.JWE - JSON Web Encryption (JWE) RFC 7516
  * JOSE.JWK - JSON Web Key (JWK) RFC 7517
  * JOSE.JWS - JSON Web Signature (JWS) RFC 7515
  * JOSE.JWT - JSON Web Token (JWT) RFC 7519
 Additional specifications and drafts implemented:
  * JSON Web Key (JWK) Thumbprint RFC 7638
  * JWS Unencoded Payload Option RFC 7797

Package: erlang-lager
Description-md5: 5a487e922dfa11f242e214fffc74d210
Description-en: logging framework for Erlang
 Lager (as in the beer) is a logging framework for Erlang. Its purpose is
 to provide a more traditional way to perform logging in an erlang application
 that plays nicely with traditional UNIX logging tools like logrotate and
 syslog.

Package: erlang-luerl
Description-md5: ba0442956c0172294ab501db8dfd5616
Description-en: implementation of Lua in Erlang
 An experimental implementation of Lua 5.2 written solely in pure Erlang
 .
 When to use Luerl:
 .
 Fast Language Switch: Luerl should allow you to switch between Erlang and Lua
 incredibly fast, introducing a way to use very small bits of logic programmed
 in Lua, inside an Erlang application, with good performance.
 .
 Multicore: Luerl provides a way to transparently utilize multicores. The
 underlying Erlang VM takes care of the distribution.
 .
 Microprocesses: It should give you a Lua environment that allows you to
 effortlessly run tens of thousands of Lua processes in parallel, leveraging
 the famed microprocesses implementation of the Erlang VM. The empty Luerl
 State footprint will be yet smaller than the C Lua State footprint.
 .
 Forking Up: Because of the immutable nature of the Luerl VM, it becomes a
 natural operation to use the same Lua State as a starting point for multiple
 parallel calculations.
 .
 However, Luerl will generally run slower than a reasonable native Lua
 implementation. This is mainly due the emulation of mutable data on top of an
 immutable world. There is really no way around this. An alternative would be
 to implement a special Lua memory outside of the normal Erlang, but this would
 defeat the purpose of Luerl. It would instead be then more logical to connect
 to a native Lua.
 .
 Some valid use cases for Luerl are:
  * Lua code will be run only occasionally and it wouldn't be worth managing
    an extra language implementation in the application;
  * the Lua code chunks are small so the slower speed is weighed up by Luerl's
    faster interface;
  * the Lua code calculates and reads variables more than changing them;
  * the same Lua State is repeatedly used to 'fork up' as a basis for
    massively many parallel calculations, based on the same state;
  * it is easy to run multiple instances of Luerl which could better utilise
    multicores.

Package: erlang-manpages
Description-md5: cdc7cc48bad4a582e48a14f54e037734
Description-en: Erlang/OTP manual pages
 Documentation for the Erlang programming language in `man' format. This
 documentation can be read using the command `erl -man mod', where `mod'
 is the name of the module you want documentation on.
 .
 This package contains all Erlang/OTP manual pages from sections other
 than 1. They document Erlang/OTP modules, config files and applications.

Package: erlang-meck
Description-md5: 3051d799af91ca46a31cdbcb848a8526
Description-en: mocking library for Erlang
 With meck you can easily mock modules in Erlang.
 You can also perform some basic validations on the mocked modules, such as
 making sure no unexpected exceptions occurred or looking at the call history.

Package: erlang-metrics
Description-md5: 9ea387971e06568db64e3d0ee14411cc
Description-en: generic interface to different metrics systems in Erlang
 A generic interface to folsom, exometer, grapherl or any compliant
 interface. It allows one to set a backend, register a new metric, and
 update a metric.

Package: erlang-mimerl
Description-md5: 013d3e6ba7e2517cc713a445036f022f
Description-en: Erlang library to handle mimetypes
 Parse IANA media types (formerly known as MIME types). mimerl provides
 functions to transform file extension to mimetype, web extension to
 mimetype, filename to mimetype, web path to mimetype, and to return
 the list of extensions for a mimetype.

Package: erlang-mochiweb
Description-md5: 061ee78f5c680accfc0190a3ea10fdee
Description-en: Erlang library for building lightweight HTTP servers
 MochiWeb is an Erlang library for building lightweight HTTP servers.
 .
 It is used (in conjunction with eswf and egeoip) to power services such as
 MochiBot and MochiAds, which serve dynamically generated SWF content to
 millions of viewers daily.

Package: erlang-mode
Description-md5: 458834bc6eb6df394adfd308669076f9
Description-en: Erlang major editing mode for Emacs
 This package includes the mode for editing Erlang programs in GNU Emacs.
 It is provided with the default Erlang/OTP distribution. It supports
 sophisticated indentation, syntax highlighting, electric commands,
 module name verification, comments, skeletons, tags etc.

Package: erlang-neotoma
Description-md5: 5af70553d90b5e968a37f150b813e739
Description-en: parser generator for Erlang
 Neotoma is a packrat parser-generator for Erlang for Parsing
 Expression Grammars (PEGs). It consists of a parsing-combinator
 library with memoization routines, a parser for PEGs, and a utility
 to generate parsers from PEGs.  It is inspired by treetop, a Ruby
 library with similar aims, and parsec, the parser-combinator library
 for Haskell.
 .
 Features include:
  - Simple, declarative parsers generated from even simpler grammars.
  - Fully integrated, single-pass lexical and syntactic analysis (a
    feature of PEGs).
  - Packrat-style memoization, boasting parse-time bound linearly to
    the input size (at the expense of memory usage).
  - In-place semantic analysis/transformation, supporting single-pass
    end-to-end in some applications.
  - Erlang code-generation for the lexical/syntactic analysis piece,
    with the option of semantic analysis/transformation inline, or in
    a separate module.
  - Line/column number tracking for easy resolution of parsing
    errors.

Package: erlang-nox
Description-md5: 7a788b312a01454a03fd4262bf827fcd
Description-en: Erlang/OTP applications that don't require X Window System
 This package is a dummy package which will install all Erlang/OTP
 applications which do not require X Window System to run.

Package: erlang-observer
Description-md5: 562a137fe6357e7dc7f07faca76776a1
Description-en: Erlang/OTP application for investigating distributed systems
 The OBSERVER application contains tools for tracing and investigation of
 distributed systems. They include etop - a tool for presenting information
 about Erlang processes, and crashdump_viewer - a HTML based tool for
 browsing Erlang crashdumps.

Package: erlang-odbc
Description-md5: 8fb16205445a0b6f3190216580f2428d
Description-en: Erlang/OTP interface to SQL databases
 The Erlang ODBC application provides an interface for accessing
 relational SQL databases from Erlang.

Package: erlang-p1-acme
Description-md5: a1a2b7fc160720d88b01c2373b6e8c79
Description-en: ACME client library for Erlang
 This Erlang library provides an ACME client implementing RFC 8555.
 It was written for ejabberd which still uses it. It was split off into its
 own project to follow Erlang/OTP guidelines.

Package: erlang-p1-cache-tab
Description-md5: 5656be8a3d0c614eb425ad05ff97acce
Description-en: in-memory cache application for Erlang / Elixir apps
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-eimp
Description-md5: 75af75d6289b0e058a327c8b602db630
Description-en: Erlang application for manipulating graphic images
 This library is an Erlang application for manipulating graphic images using
 external C libraries. Currently it supports convertation between WebP, JPEG
 and PNG. It is used by ejabberd.

Package: erlang-p1-iconv
Description-md5: 290c65a4dcc0a01ff7bcd09dec55a7a0
Description-en: fast encoding conversion library for Erlang / Elixir
 This library is a native binding to the libiconv library.
 It was written for ejabberd which still uses it,
 but was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-mqtree
Description-md5: deb21e2a94829d9a96d8d15da1f2713b
Description-en: index tree for MQTT topic filters
 mqtree is an Erlang NIF implementation of N-ary tree to keep MQTT topic filters
 for efficient matching.
 .
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-mysql
Description-md5: 7911c1658c49cb6a4a4b5f66c279ee4c
Description-en: pure Erlang MySQL driver
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-oauth2
Description-md5: 7d3c9f522190f89e3c891a185eb7379e
Description-en: Erlang library for server side implementation of OAuth2
 This library is designed to simplify the implementation of the server side of
 OAuth2 (http://tools.ietf.org/html/rfc6749). It provides no support for
 developing clients. See https://github.com/kivra/oauth2_client for support in
 accessing Oauth2 enabled services.

Package: erlang-p1-pam
Description-md5: 5dee9af133238c731122d801745925fa
Description-en: pam authentication and accounting management for Erlang
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-pgsql
Description-md5: 1ff35f36f2b51c5b117dca0a3ed4e31d
Description-en: Pure Erlang PostgreSQL driver
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-pkix
Description-md5: 17490bcd12287b68f22b6961bdae9b3c
Description-en: PKIX certificates management library for Erlang
 The idea of the library is to simplify certificates configuration in Erlang
 programs. Typically an Erlang program which needs certificates  (for HTTPS/
 MQTT/XMPP/etc) provides a bunch of options such as certfile,  chainfile,
 privkey, etc. The situation becomes even more complicated when a  server
 supports so called virtual domains because a program is typically  required to
 match a virtual domain with its certificate. If a user has plenty  of virtual
 domains it's quickly becoming a nightmare for them to configure all this.
 The complexity also leads to errors: a single configuration mistake and a
 program generates obscure log messages, unreadable Erlang tracebacks or,
 even worse, just silently ignores the errors.
 Fortunately, the large part of certificates configuration can be automated,
 reducing a user configuration to something as simple as:
 .
 certfiles:
   - /etc/letsencrypt/live/*/*.pem
 .
 The purpose of this library is to do this dirty job under the hood.

Package: erlang-p1-sip
Description-md5: 533d7efe45ce41c1d782f9c1c59ab9c7
Description-en: SIP library for Erlang
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-sqlite3
Description-md5: 6c51fb472a7c127084f662066b23d8bf
Description-en: SQLite3 wrapper for Erlang applications
 This is an SQLite3 library for Erlang. It is designed to make it easy
 to write Erlang applications that use SQLite3.
 ejabberd for example uses it as its sqlite driver.

Package: erlang-p1-stringprep
Description-md5: 3d61531082ae066bd1a3898ee2c06926
Description-en: erlang interface to stringprep
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-stun
Description-md5: 1d106179e1baae2c36e284d23fa59ad5
Description-en: STUN library for Erlang
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-tls
Description-md5: 0164ba8863deb83be3bb1a86c3742f94
Description-en: native TLS / SSL driver for Erlang / Elixir
 Fast TSL is a native TLS / SSL driver for Erlang / Elixir.
 It is based on OpenSSL, a proven and efficient TLS implementation.
 It is designed for efficiency, speed and compliance.

Package: erlang-p1-utils
Description-md5: ec19b732eacd8994ef5352070042c6da
Description-en: set of small Erlang libraries
 The libraries in this package were written for ejabberd which still
 uses them. They were split off into their own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-xml
Description-md5: ef3f32870d2096196243f6e6fb636d6b
Description-en: XML utilities for Erlang
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-xmpp
Description-md5: b8c0f1b7110aa2c36d3a69bd6b85e659
Description-en: Erlang/Elixir XMPP parsing and serialization library
 This library provides comprehensive representation of XMPP elements as
 well as tools to work with them. Every such element is represented by an
 Erlang record. Most of the library's code is auto generated and thus
 considered to be bug free and efficient.
 .
 The approach is very similar to ASN.1, Google Protocol Buffers or Apache
 Thrift: an XML element is transformed into internal language structure
 (an Erlang record in this case) - the process known as "decoding". During
 decoding, validation is also performed, thus well-typed structures are
 generated, potentially decreasing bugs related to handcrafted parsing. A
 reverse process known as "encoding" is applied for transforming an
 Erlang record into an XML element.
 .
 This package should be used along with erlang-p1-xml, because it is
 only able to decode from and encode to structures generated by that
 library (that is, xmlel() elements).
 .
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-yaml
Description-md5: fe92aabf7c9d501dae99005a70c0baf6
Description-en: erlang wrapper for libyaml C library
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-yconf
Description-md5: cf596698219216400d146d63142980c3
Description-en: YAML configuration processor
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-p1-zlib
Description-md5: 79d755266e376b625aa97d986d4f34b1
Description-en: erlang interface to zlib
 This library was written for ejabberd which still uses it.
 It was split off into its own project to follow
 Erlang/OTP guidelines.

Package: erlang-proper
Description-md5: 34f25b1b09d1fd41a8ce7efd6aa03800
Description-en: QuickCheck-inspired property-based testing tool for Erlang
 PropEr (PROPerty-based testing tool for ERlang) is a QuickCheck-inspired
 open-source property-based testing tool for Erlang.

Package: erlang-proper-dev
Description-md5: 41a0358a575822b842330efcc1635cd2
Description-en: QuickCheck-inspired property-based testing tool for Erlang - development files
 PropEr (PROPerty-based testing tool for ERlang) is a QuickCheck-inspired
 open-source property-based testing tool for Erlang.
 .
 This package includes erlang-proper headers which are necessary to build Erlang
 applications which use erlang-proper.

Package: erlang-proper-doc
Description-md5: 9de0020dcb32dc4465827fffb2a1cb90
Description-en: QuickCheck-inspired property-based testing tool for Erlang - document files
 PropEr (PROPerty-based testing tool for ERlang) is a QuickCheck-inspired
 open-source property-based testing tool for Erlang.
 .
 This package includes erlang-proper documents.

Package: erlang-ranch
Description-md5: 4168f2cdd61b79bdf580efdb1027498c
Description-en: socket acceptor pool for TCP protocols in Erlang
 erlang-ranch aims to provide everything you need to accept TCP connections
 with a small code base and low latency while being easy to use directly
 as an application or to embed into your own.

Package: erlang-ranch-doc
Description-md5: b56af2d373c74475fdb066bcf5bead6e
Description-en: Documentation of erlang-ranch
 erlang-ranch aims to provide everything you need to accept TCP connections
 with a small code base and low latency while being easy to use directly
 as an application or to embed into your own.
 .
 This package contains the html documentation of erlang-ranch API.
 .
 Only application developers will find this package useful.

Package: erlang-redis-client
Description-md5: 2d5ae01983830c552add19e3b56d2fe1
Description-en: Redis client for Erlang applications
 Non-blocking Redis client for Erlang applications with focus on performance
 and robustness.
 .
 Supported Redis features:
  - Any command, through eredis:q/2
  - Transactions
  - Pipelining
  - Authentication & multiple dbs
  - Pubsub

Package: erlang-reltool
Description-md5: c21e40c68ee2fb8795e6e8d59da14957
Description-en: Erlang/OTP release management tool
 Reltool is a release management tool. It analyses a given Erlang/OTP
 installation and determines dependencies between applications. The
 graphical frontend depicts the dependencies and enables interactive
 customization of a target system. The backend provides a batch
 interface for generation of customized target systems.

Package: erlang-src
Description-md5: 6a6a52b3019a4fbd9d35a8cde25c0477
Description-en: Erlang/OTP applications sources
 Erlang sources for all the applications in the Erlang/OTP system.
 They are useful for educational purpose and as a base for creating
 embedded systems.

Package: erlang-unicode-util-compat
Description-md5: 221b85e5ae032791238d710e4527cb64
Description-en: unicode_util compatibility library for Erlang <= 20
 This library allows the usage of unicode_util and string from Erlang R21 in
 older Erlang >= R18.
 It is primarily needed to provide backports of ejabberd for Buster.

Package: erlang-uuid
Description-md5: 75427c10347be2f54ca3931ba33d5fc4
Description-en: UUID generator for Erlang
 Erlang implementation of UUID v1, v3, v4, and v5 generation as of RFC4122

Package: erlang-wx
Description-md5: 33737e962cd597aa89c0246f6ed16263
Description-en: Erlang/OTP bindings to wxWidgets
 The wxErlang application is an API for writing graphical user
 interfaces with wxWidgets.

Package: erlang-x11
Description-md5: 243a50ebbcb77b74596e0d11eccda5c3
Description-en: Erlang/OTP applications that require X Window System
 This package is a dummy package which will install all Erlang/OTP
 applications which use graphical interface and therefore require
 X Window System to run.

Package: erlang-yapp
Description-md5: 0317ed11120545bd2da99b5404aea656
Description-en: Erlang application for deploying Yaws webserver applications
 Yaws-yapp (Yaws application handler) is a helper application.
 It takes care of adding, and removing, the applications into a running
 server. When anyone access the server with an URL that matches the path
 for an added application, the docroot will be temporarily switched
 to where the web pages for that application are located.
 .
 This package includes the Yapp Erlang module which can be used in Yaws
 embedded mode without separate server config.

Package: erlang-yaws
Description-md5: 5b0c044f6a0b17be3335d646ccb1307c
Description-en: Erlang application which implements HTTP webserver
 Yaws is a high performance HTTP 1.1 webserver written in Erlang. It is a
 multithreaded webserver where one Erlang light weight process is used to
 handle each client. Two separate modes of operation are supported.
 .
 This package includes Erlang Yaws application suitable to work in embedded
 mode where it runs as a webserver in another Erlang application.

Package: erofs-utils
Description-md5: 4bb2da847d1e07ff56a58930bc9df83a
Description-en: Utilities for EROFS File System
 EROFS (Enhanced Read-Only File System) is a lightweight
 read-only file system with modern designs (eg. page-sized
 blocks, inline xattrs/data, etc.) for scenarios which need
 high-performance read-only requirements, e.g. Android OS
 for smartphones and LIVECDs.
 .
 It also provides fixed-sized output compression support,
 which improves storage density, keeps relatively higher
 compression ratios, which is more useful to achieve high
 performance for embedded devices with limited memory.

Package: errbot
Description-md5: c8ea0f82c106b1df922a0b959326f0f2
Description-en: Chatbot designed to be simple to extend with plugins written in Python
 Errbot is a chatbot. It allows you to start scripts interactively from your
 chatrooms for any reason: random humour, chatops, starting a build, monitoring
 commits, triggering alerts...
 .
 It is written and easily extensible in Python.

Package: errno
Description-md5: 2952c65223f08fda181e57f613c4e66a
Description-en: transitional dummy package for moreutils
 This is a transitional dummy package to provide upgrades to the `moreutils'
 package, which contains the `errno' utility. It can safely be removed.

Package: escputil
Description-md5: a84d4b8c7361eb8365a95150358419f2
Description-en: maintenance utility for Epson Stylus printers
 escputil is a utility to clean and align the heads of Epson Stylus
 printers.  It can also check the current ink levels in the printer.
 .
 Gutenprint is the print facility for the GIMP, and in addition a
 suite of drivers that may be used with common UNIX spooling systems
 using GhostScript or CUPS.  These drivers provide printing quality
 for UNIX/Linux on a par with proprietary vendor-supplied drivers in
 many cases, and can be used for many of the most demanding printing
 tasks.  Gutenprint was formerly known as Gimp-Print.

Package: esekeyd
Description-md5: 194a66ee325f429b04e2eac588b0041d
Description-en: multimedia keyboard daemon for Linux
 ESE Key Daemon is a multimedia keyboard daemon for Linux. With the 2.6 kernel
 series it can also handle remote controls, as they are presented as keyboards.
 No kernel patch is required. It is a userspace program that pools
 /dev/input/event? interfaces for incoming keyboard key presses.

Package: eslint
Description-md5: 928c8c190390dc6a792abd383a8b5885
Description-en: AST-based pattern checker for JavaScript
 ESLint is a tool for identifying and reporting on patterns
 found in ECMAScript/JavaScript code.
 In many ways, it is similar to JSLint and JSHint
 with a few exceptions:
 .
  * ESLint uses Espree for JavaScript parsing
  * ESLint uses an AST to evaluate patterns in code
  * ESLint is completely pluggable,
    every single rule is a plugin and you can add more at runtime
 .
 Some uses of ESLint require additional packages:
  * Use of parsers other than the default (Espree) require that parser,
    e.g. node-babel-eslint, node-esprima, or node-esprima-fb.
  * Output format "code-frame" requires node-babel-code-frame.
  * Output format "table" requires node-table and node-pluralize.

Package: esmtp
Description-md5: 7c6c6c9056c9e1f21f4ef37dd0c68b0f
Description-en: user configurable relay-only MTA
 ESMTP is a user configurable relay-only Mail Transfer Agent (MTA) with a
 sendmail-compatible syntax. It's based on libESMTP supporting the AUTH
 (including the CRAM-MD5 and NTLM SASL mechanisms) and the StartTLS SMTP
 extensions.
 .
 ESMTP does not receive mail, expand aliases or manage a queue but can deliver
 mail locally via an MDA.
 .
 This package contains the esmtp program.

Package: esmtp-run
Description-md5: 054c286b572b7b69a1fe3a8c2277925e
Description-en: user configurable relay-only MTA - the regular MTA
 ESMTP is a user configurable relay-only Mail Transfer Agent (MTA) with a
 sendmail-compatible syntax. It's based on libESMTP supporting the AUTH
 (including the CRAM-MD5 and NTLM SASL mechanisms) and the StartTLS SMTP
 extensions.
 .
 ESMTP does not receive mail, expand aliases or manage a queue but can deliver
 mail locally via an MDA.
 .
 This package provides the regular MTA programs.

Package: esnacc
Description-md5: c6670f009a02fa36fcc40a8cb4f1a8e4
Description-en: ASN.1 to C or C++ or IDL compiler
 esnacc is short for "Enhanced Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 esnacc supports a subset of ASN.1 1988.
 .
 Given an ASN.1 source file(s) esnacc can produce:
 .
 1. C routines for BER encoding, decoding, printing and freeing.
 2. C++ routines for BER encoding, decoding, and printing.
 3. A type table that can be used with C driver routines
    for BER encoding, decoding, printing and freeing.
 .
 If you want to build esnacc based applications, you want to install
 the libesnacc-dev package, too.  Your application will then depend on
 the esnacc libraries, you find in the libesnacc180 package.

Package: esnacc-doc
Description-md5: f653f88aeea8c2d64b4096b278f490e1
Description-en: ASN.1 to C or C++ or IDL compiler, documentation
 esnacc is short for "Enhanced Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.
 .
 The documentation for snacc in PDF format.

Package: esniper
Description-md5: 3d9ac2c29b7226d897056deb7202149e
Description-en: simple, lightweight tool for sniping ebay auctions
 esniper is a lightweight ebay sniping tool. It doesn't have a lot of
 features, and that is by design.
 .
 Auctions  are  specified  on the command line, using the auction number
 and your bid price.  Multiple auctions can be bid on by specifying more
 auctions  and  bid prices.  esniper stops when the desired quantity has
 been won (default is 1).

Package: eso-midas
Description-md5: bd96cb9b0035a7c76e728b6f1fc5d9eb
Description-en: European Southern Observatory Munich Image Data Analysis System
 The ESO-MIDAS system provides general tools for image processing and data
 reduction with emphasis on astronomical applications including imaging and
 special reduction packages for ESO instrumentation at La Silla and the VLT at
 Paranal. In addition it contains applications packages for stellar and
 surface photometry, image sharpening and decomposition, statistics and
 various others.

Package: eso-midas-testdata
Description-md5: 616d04fdcfdfd2aa445397454a43d487
Description-en: Test data files for ESO-MIDAS
 The ESO-MIDAS system provides general tools for image processing and data
 reduction with emphasis on astronomical applications including imaging and
 special reduction packages for ESO instrumentation at La Silla and the VLT at
 Paranal. In addition it contains applications packages for stellar and
 surface photometry, image sharpening and decomposition, statistics and
 various others.
 .
 This package contains the test data files required for verification.

Package: eso-pipelines
Description-md5: 41deaa80391e58098eb06b75e7f3956b
Description-en: ESO VLT Instrument pipeline collection
 In collaboration with the various instrument consortia, the Pipeline Systems
 Department has undertaken to implement data reduction pipelines for the most
 commonly used VLT/VLTI instrument modes.  These data reduction pipelines have
 three main purposes:
 .
  * Data quality control: Pipelines are used to produce the quantitative
    information necessary to monitor instrument performance.
 .
  * Master calibration product creation: pipelines are used to produce master
    calibration products (e.g. combined bias frames, super-flats, wavelength
    dispersion solutions).
 .
  * Science product creation: using pipeline-generated master calibration
    products, science products are produced for supported instrument modes
    (e.g. combined ISAAC jitter stacks; bias-corrected, flat-fielded FORS
    images, wavelength-calibrated UVES spectra). The accuracy of the science
    products can be limited both by the quality of the available master
    calibration products and by the algorithmic implementation of the
    pipelines themselves. In particular, adopted reduction strategies may not
    be suitable for all scientific goals.
 .
 This metapackage will install all ESO VLT pipelines along with the
 environments needed to run them (esorex, python-cpl).

Package: esorex
Description-md5: 3f6f507e7d0cb3e0b53894900d9c5f0f
Description-en: Execution Tool for European Southern Observatory pipelines
 EsoRex  is the European Southern Observatory (ESO) Recipe Execution Tool. It
 can list, configure and execute CPL-based recipes from the command line.
 .
 The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that
 provide a comprehensive, efficient and robust software toolkit. It forms a
 basis for the creation of automated astronomical data-reduction tasks.
 .
 One of the features provided by the CPL is the ability to create
 data-reduction algorithms that run as plugins (dynamic libraries). These are
 called "recipes" and are one of the main aspects of the CPL data-reduction
 development environment.

Package: espctag
Description-md5: a28a9b2d7bbe7026fa6bdb02b553bdf0
Description-en: ID666 tags editor
 espctag can read or write ID666 tags of SPC files (SNES audio files).
 It can rename files based on tag information and support RSN files.
 For the moment, espctag can not edit extended ID666.

Package: espeak
Description-md5: e4d7ea42a09ed7423403adc9c62ce2f5
Description-en: Multi-lingual software speech synthesizer
 eSpeak is a software speech synthesizer for English, and some other
 languages.
 .
 eSpeak produces good quality English speech. It uses a different synthesis
 method from other open source text to speech (TTS) engines, and sounds quite
 different. It's perhaps not as natural or "smooth", but some find the
 articulation clearer and easier to listen to for long periods.
 .
 It can run as a command line program to speak text from a file or from stdin.
 .
   * Includes different Voices, whose characteristics can be altered.
   * Can produce speech output as a WAV file.
   * Can translate text to phoneme codes, so it could be adapted as a front end
     for another speech synthesis engine.
   * Potential for other languages. More than 40 languages are included.
   * Compact size. The program and its data total about 350 kbytes.
   * Written in C++.

Package: espeak-data
Description-md5: b42247f7f19f21e26acfed336bd9a588
Description-en: Multi-lingual software speech synthesizer: speech data files
 eSpeak is a software speech synthesizer for English, and some other
 languages.
 .
 This package contains necessary synthesizer data files needed
 for the espeak program, and the shared library.

Package: espeak-ng
Description-md5: 676d7ce1b20e4d6befc5ac58737957be
Description-en: Multi-lingual software speech synthesizer
 eSpeak NG is a software speech synthesizer for English, and some other
 languages.
 .
 eSpeak NG produces good quality English speech. It uses a different synthesis
 method from other open source text to speech (TTS) engines, and sounds quite
 different. It's perhaps not as natural or "smooth", but some find the
 articulation clearer and easier to listen to for long periods.
 .
 It can run as a command line program to speak text from a file or from stdin.
 .
   * Includes different Voices, whose characteristics can be altered.
   * Can produce speech output as a WAV file.
   * Can translate text to phoneme codes, so it could be adapted as a front end
     for another speech synthesis engine.
   * Potential for other languages. More than 80 languages are included.
   * Compact size.
   * Written in C.

Package: espeak-ng-espeak
Description-md5: b9f92b674c042951eb4c4adc7617637e
Description-en: Multi-lingual software speech synthesizer
 eSpeak NG is a software speech synthesizer for English, and some other
 languages.
 .
 This package contains compatibility links that makes it a drop-in replacement
 for the espeak package.  Installing this package thus allows one to make
 applications calling the /usr/bin/espeak program use eSpeak NG without any
 modification or rebuild.

Package: espeakedit
Description-md5: a025e7ca12a6b3b89c769bb05706df00
Description-en: Multi-lingual software speech synthesizer - editor
 eSpeak is a software speech synthesizer for English, and some other
 languages.
 .
 eSpeakEdit provides a User Interface to edit the eSpeak voices.

Package: espeakup
Description-md5: 55732b96fe38aafca9eaf0eea263f40b
Description-en: Connector between speakup kernel modules and espeak
 Espeakup is a daemon that connects speakup kernel modules to the espeak
 software speech synthesizer through the /dev/softsynth device.

Package: esptool
Description-md5: 93517e150a3e41899a38a2ae11c47f7b
Description-en: create and flash firmware files to ESP8266 and ESP32 chips
 Communicate with the ROM bootloader in Espressif ESP8266 and ESP32 chips to
 fash firmware files, create firmware images or read OTP ROM or flash memory
 content such is manufacturer or device IDs.

Package: ess
Description-md5: 80b05dbced512da4ae20b89b66ed666c
Description-en: Transition Package, ess to elpa-ess
 The ESS addon package for Emacs has been elpafied.  This dummy package
 helps ease transition from ess to elpa-ess.

Package: estscan
Description-md5: e1d137fd1ddc905d38c4fece3ace48ca
Description-en: ORF-independent detector of coding DNA sequences
 ESTScan is a program that can detect coding regions in DNA sequences,
 even if they are of low quality. ESTScan will also detect and correct
 sequencing errors that lead to frameshifts.  ESTScan is not a gene
 prediction program , nor is it an open reading frame detector. In fact,
 its strength lies in the fact that it does not require an open reading
 frame to detect a coding region. As a result, the program may miss a
 few translated amino acids at either the N or the C terminus, but will
 detect coding regions with high selectivity and sensitivity.
 .
 ESTScan takes advantages of the bias in hexanucleotide usage found in
 coding regions relative to non-coding regions. This bias is formalized
 as an inhomogeneous 3-periodic fifth-order Hidden Markov Model
 (HMM). Additionally, the HMM of ESTScan has been extended to allows
 insertions and deletions when these improve the coding region statistics.

Package: esys-particle
Description-md5: 04ba0c118e19e7a213b0c75adebeded0
Description-en: Software for particle-based numerical modelling (MPI version)
 ESyS-Particle is Open Source software for particle-based numerical modelling.
 The software implements the Discrete Element Method (DEM), a widely used
 technique for modelling processes involving large deformations, granular flow
 and/or fragmentation. ESyS-Particle is designed for execution on parallel
 supercomputers, clusters or multi-core PCs running a Linux-based operating
 system. The C++ simulation engine implements spatial domain decomposition via
 the Message Passing Interface (MPI). A Python wrapper API provides flexibility
 in the design of numerical models, specification of modelling parameters and
 contact logic, and analysis of simulation data. ESyS-Particle has been
 utilised to simulate earthquake nucleation, comminution in shear cells, silo
 flow, rock fragmentation, and fault gouge evolution, to name but a few
 applications.

Package: etc1tool
Description-md5: 1bbf24cfceac355f34ed7421b8bee0f0
Description-en: ETC1 conversion tool
 etc1tool is a command line utility that lets you encode PNG images to
 the ETC1 compression standard and decode ETC1 compressed images back
 to PNG. It is part of the Android SDK for working with media files
 for game apps. The standard for the ETC1 texture format is here:
 http://www.khronos.org/registry/gles/extensions/OES/OES_compressed_ETC1_RGB8_texture.txt

Package: etcd
Description-md5: 6dbc0ea9774e15122c9e2d090649b4ac
Description-en: Transitional package for etcd-client and etcd-server
 This is a transitional package to ease upgrades for splitting the
 etcd package into a separate client and server piece. It can be
 safely removed.

Package: etcd-client
Description-md5: df7ad39b54e72898624c05a59914bc7e
Description-en: highly-available key value store -- client
 A highly-available key value store for shared configuration and service
 discovery. etcd is inspired by zookeeper and doozer, with a focus on:
 .
  * Simple: curl'able user facing API (HTTP+JSON)
  * Secure: optional SSL client cert authentication
  * Fast: benchmarked 1000s of writes/s per instance
  * Reliable: Properly distributed using Raft
 .
 Etcd uses the Raft consensus algorithm to manage a highly-available replicated
 log.
 .
 This package contains the client binaries.

Package: etcd-discovery
Description-md5: 0d2a442f1d42fb855034fb2fa2008c1d
Description-en: etcd discovery service
 This code powers the public service at https://discovery.etcd.io. This
 package provides a standalone initial discovery URL if contacting the
 https://discovery.etcd.io is not possible in your deployment (for example
 if your server doesn't have internet connectivity).
 .
 Note that in a normal etcd cluster setup, this service isn't mandatory,
 however, etcd discovery is mandatory to be able to run OpenStack Magnum, which
 is why this package exist.

Package: etcd-fs
Description-md5: 51fd58fedde5fe2bf265be8623df6971
Description-en: FUSE client for etcd
 Basic FUSE client for etcd.
 Supported file system operations:
  * Reading/Writing files
  * Creating/Deleting files
  * Creating/Deleting directories

Package: etcd-server
Description-md5: 8f85560d0278814c3be68fd7bc841ca2
Description-en: highly-available key value store -- daemon
 A highly-available key value store for shared configuration and service
 discovery. etcd is inspired by zookeeper and doozer, with a focus on:
 .
  * Simple: curl'able user facing API (HTTP+JSON)
  * Secure: optional SSL client cert authentication
  * Fast: benchmarked 1000s of writes/s per instance
  * Reliable: Properly distributed using Raft
 .
 Etcd uses the Raft consensus algorithm to manage a highly-available replicated
 log.
 .
 This package contains the server binaries.

Package: eterm
Description-md5: 32ac0d46ea64df4dd82d41c7ed7b886d
Description-en: Enlightened Terminal Emulator
 A terminal emulator in the spirit of xterm or rxvt, eterm uses an
 Enlightenment style config file, as well as themes.  The Imlib2 graphics
 engine is used to render images. This version supports background images,
 pixmapped scrollbars, pseudo-transparency, and POSIX threads.

Package: etherape
Description-md5: 4dd46dd38c9e87a20d132cba1725b4c8
Description-en: graphical network monitor
 EtherApe is a graphical network monitor modeled after etherman. it displays
 network activity graphically, showing active hosts as circles of varying
 size, and traffic among them as lines of varying width.
 .
 It features link layer, ip and TCP modes, color-color coded protocols
 display, Ethernet, FDDI, Token Ring, ISDN, PPP and SLIP devices. It can
 filter traffic to be shown, and can read traffic from a file as well as
 live from the network.

Package: etherape-data
Description-md5: f30eacbe021d76cfe8872e502811cc8c
Description-en: graphical network monitor (data files)
 EtherApe is a graphical network monitor modeled after etherman. it displays
 network activity graphically, showing active hosts as circles of varying
 size, and traffic among them as lines of varying width.
 .
 It features link layer, ip and TCP modes, color-color coded protocols
 display, Ethernet, FDDI, Token Ring, ISDN, PPP and SLIP devices. It can
 filter traffic to be shown, and can read traffic from a file as well as
 live from the network.
 .
 This package contains the data files for etherape.

Package: ethereal-chess
Description-md5: 156336f44acaebf8a4b51fcffba04fbd
Description-en: UCI-compliant chess engine
 Ethereal is an UCI-compliant chess engine. It uses the traditional alpha-beta
 framework in addition to a variety of pruning, reduction, extension, and other
 improvements. It's greatly influenced from Crafty, Stockfish, TSCP,
 MadChess and Fruit.

Package: etherpuppet
Description-md5: 5c08e07c8e6e2de16b4243ae75901e6b
Description-en: create a virtual interface from a remote Ethernet interface
 Etherpuppet is a small program that will create a virtual interface
 (TUN/TAP) on one machine from the ethernet interface of another
 machine through a TCP connection. Everything seen by the real
 interface will be seen by the virtual one. Everything sent to the
 virtual interface will be emitted by the real one.
 .
 It has been designed because one often has a small machine as their
 Internet gateway, and sometimes want to run some big applications
 that need raw access to this interface, for sniffing (Wireshark,
 etc.)  or for crafting packets that do not survive being reassembled,
 translated, routed, etc.

Package: etherwake
Description-md5: 0406d33be6700e53b2f3c93ea70d5721
Description-en: tool to send magic Wake-on-LAN packets
 WOL (Wake-on-LAN) is a standard that allows you to turn on a computer
 over an Ethernet connection. Computers with WOL-enabled network
 interface cards can be woken from sleep mode, or powered up from
 standby via a BIOS feature.
 .
 One feature that separates etherwake from other implementations is that
 it also supports WOL passwords.

Package: ethflux
Description-md5: bf060f6034f115aa0e6e54849aceb80f
Description-en: InfluxDB data gatherer for ethtool-style network interface information
 ethflux is an InfluxDB data gatherer for ethtool-style network interface
 information. It uses the Linux SIOCETHTOOL ioctl interface to obtain
 network interface statistics and other runtime data and outputs them in
 InfluxDB's line protocol format for further propagation.

Package: ethstats
Description-md5: 6575d18aeacfcf95fc7b71b3398a9129
Description-en: script that quickly measures network device throughput
 ethstats works by parsing the /proc/net/dev file that the Linux kernel
 maintains, and thus utilizes a negligible amount of CPU time. ethstats
 shows the throughput of each device in both megabits per second and
 packets per second.

Package: ethstatus
Description-md5: ea71f264ce6a1a28d076dba265b6b669
Description-en: console-based ethernet statistics monitor
 Ethstatus is a console-based monitoring utility for
 displaying statistical data of the ethernet interface
 on a quantity basis. It is similar to iptraf but is meant
 to run as a permanent console task to monitor the network
 load.

Package: etktab
Description-md5: 1c1eade328386952be1bc895b060def2
Description-en: ASCII guitar tab editor
 This program is used to write out guitar tablature in the typical
 style of ASCII tab, often found around the internet. The code is based
 on TkTab by Giovanni Chierico. Many of the ideas for the alterations
 found here came from EMACS tablature mode by Mark R. Rubin.

Package: etl-dev
Description-md5: f09972d05b26f9b1063973aa9557340c
Description-en: Extended Class and Template Library
 ETL is a multi-platform class and template library designed to
 add new datatypes and functions which combine well with the existing
 types and functions from the C++ Standard Template Library (STL).

Package: etm
Description-md5: a05cfd6d437e1de85308b5d38ff5a986
Description-en: manages events and tasks using simple text files
 Manage events and tasks using simple text files
 etm is an acronym for event and task manager.
 .
 In contrast to most calendar/todo applications, creating items (events,
 tasks, and so forth) in etm does not require filling out fields in a
 form. Instead, items are created as free-form text entries using a
 simple, intuitive format and stored in plain text files.

Package: etm-qt
Description-md5: ee50cbdfdd914553cd649a2992b66a54
Description-en: manages events and tasks using simple text files (dummy package)
 A simple, intuitive format for using plain text files to store data and
 a cross-platfrom, Qt-based GUI for creating and modifying items as well
 as viewing them in a variety of convenient ways. Supported alarms
 include display, sound, email, text message and process. Repetition is
 supported in a powerful and flexible manner.
 .
 This is a transitional package has been replaced by the Tk port, so this
 package is safe to remove.

Package: etsf-io
Description-md5: 49e8901389cee7ed373476594e1c5869
Description-en: Binary tools to check, merge and read ETSF files
 The European Theoretical Spectroscopy Facility (ETSF) is a European
 network dedicated to providing support and services for ongoing
 research in academic, government and industrial laboratories.
 .
 The ETSF is divided into 7 beamlines, each of which is concerned with
 a specific scientific topic:
  - Optics ;
  - Energy Loss Spectroscopy ;
  - Quantum Transport ;
  - Time-resolved Spectroscopy ;
  - Photo-emission Spectroscopy ;
  - Vibrational Spectroscopy ;
  - X-Rays Spectroscopy.
 .
 To allow the adoption of its recommendations about standardization, the
 ETSF proposes different libraries and tools implementing or using these
 specifications, as well as widely usable pieces of software.
 .
 ETSF_IO is a library of F90 routines to read/write the ETSF file format.
 This package contains the user tools to:
  - check file conformance to the specifications;
  - extract data from files;
  - merge multiple files from parallel runs, as specified in the
    specifications.

Package: ettercap-common
Description-md5: f1d894b138f387661d0f40a8940fb185
Description-en: Multipurpose sniffer/interceptor/logger for switched LAN
 Ettercap supports active and passive dissection of many protocols
 (even encrypted ones) and includes many feature for network and host
 analysis.
 .
 Data injection in an established connection and filtering (substitute
 or drop a packet) on the fly is also possible, keeping the connection
 synchronized.
 .
 Many sniffing modes are implemented, for a powerful and complete
 sniffing suite. It is possible to sniff in four modes: IP Based, MAC Based,
 ARP Based (full-duplex) and PublicARP Based (half-duplex).
 .
 Ettercap also has the ability to detect a switched LAN, and to use OS
 fingerprints (active or passive) to find the geometry of the LAN.
 .
 This package contains the Common support files, configuration files,
 plugins, and documentation.  You must also install either
 ettercap-graphical or ettercap-text-only for the actual GUI-enabled
 or text-only ettercap executable, respectively.

Package: ettercap-graphical
Description-md5: 7159d7c312a06889578b22a84809a38d
Description-en: Ettercap GUI-enabled executable
 Ettercap supports active and passive dissection of many protocols
 (even encrypted ones) and includes many feature for network and host
 analysis.
 .
 Data injection in an established connection and filtering (substitute
 or drop a packet) on the fly is also possible, keeping the connection
 synchronized.
 .
 Many sniffing modes are implemented, for a powerful and complete
 sniffing suite. It is possible to sniff in four modes: IP Based, MAC Based,
 ARP Based (full-duplex) and PublicARP Based (half-duplex).
 .
 Ettercap also has the ability to detect a switched LAN, and to use OS
 fingerprints (active or passive) to find the geometry of the LAN.
 .
 This package contains the ettercap GUI-enabled executable.

Package: ettercap-text-only
Description-md5: 13f098001b1382bd3f06297dee73a36f
Description-en: Ettercap console-mode executable
 Ettercap supports active and passive dissection of many protocols
 (even encrypted ones) and includes many feature for network and host
 analysis.
 .
 Data injection in an established connection and filtering (substitute
 or drop a packet) on the fly is also possible, keeping the connection
 synchronized.
 .
 Many sniffing modes are implemented, for a powerful and complete
 sniffing suite. It is possible to sniff in four modes: IP Based, MAC Based,
 ARP Based (full-duplex) and PublicARP Based (half-duplex).
 .
 Ettercap also has the ability to detect a switched LAN, and to use OS
 fingerprints (active or passive) to find the geometry of the LAN.
 .
 This package contains the ettercap text-mode-only executable.

Package: etw
Description-md5: 95603e847377d32fc5a84171132df014
Description-en: arcade-style soccer game
 Eat The Whistle is an arcade soccer game similar to famous Amiga titles such
 as Kick Off or Sensible Soccer. It features several game modes where you can
 play either as the whole team or as a single player, and you can also manage
 teams that take part in cups and leagues. There is even an arcade mode with
 powerups and bonuses, like in the game SpeedBall 2.
 .
 Eat The Whistle features 30 different field types and numerous sound effects.
 The game is viewed from the side and can be controlled with either a joystick
 or the keyboard.
 .
 Most in-game settings are configurable, such as the pitch, weather and game
 daytime, which will impact on the gameplay. There is a replay mode that lets
 you load and save best moments, a game tactics editor, and teams from the
 game Sensible World of Soccer can be directly imported.

Package: etw-data
Description-md5: 64921b161fa5e0bb3ea10db0f311a732
Description-en: graphics and audio data for etw
 Eat The Whistle is an arcade soccer game similar to famous Amiga titles such
 as Kick Off or Sensible Soccer. It features several game modes where you can
 play either as the whole team or as a single player, and you can also manage
 teams that take part in cups and leagues. There is even an arcade mode with
 powerups and bonuses, like in the game SpeedBall 2.
 .
 This package contains the architecture-independent data for etw. For more
 information, see the etw package.

Package: eukleides
Description-md5: 16100022edf37c47a582bcd188b228a3
Description-en: Euclidean geometry drawing language
 Eukleides is a language which allows one to typeset geometric figures
 within a (La)TeX document.  This package includes scripts to convert
 these figures into EPS and other formats.  Eukleides uses a console
 interface.

Package: euler
Description-md5: 38d4558134e90707e088adc315fbab95
Description-en: interactive mathematical programming environment
 Euler is a powerful numerical laboratory with a programming language.
 The system can handle real, complex and interval numbers, vectors and
 matrices. It can produce 2D/3D plots.
 .
 Euler features among other things:
  * real, complex and interval scalars and matrices
  * a programming language, with local variables, default values for
    parameters, variable parameter number, passing of functions
  * two and three dimensional graphs
  * marker plots
  * density and contour plots
  * animations
  * numerical integration and differentiation
  * statistical functions and tests
  * differential equations
  * interval methods with guaranteed inclusions
  * function minimizers (Brent, Nelder-Mean)
  * simplex algorithm
  * interpolation and approximation
  * finding roots of polynomials
  * fast Fourier transform (FFT)
  * an exact scalar product using a long accumulator
  * PostScript graphics export
 .
 This package contains the main program. Documentation for Euler is included
 in the euler-doc package.

Package: euler-doc
Description-md5: f6a72a7d7210d66a193399ccb5e050fe
Description-en: documentation for the mathematical programming environment Euler
 Euler is a powerful numerical laboratory with a programming language.
 The system can handle real, complex and interval numbers, vectors and
 matrices. It can produce 2D/3D plots.
 .
 This package contains documentation for Euler.

Package: eureka
Description-md5: dd9e33441c48f70674bcc60270b3cb6e
Description-en: map editor for the classic DOOM games
 Eureka is a cross-platform map editor for the classic DOOM games.
 It started as a fork of the Yadex editor attempting to make it use the
 FLTK GUI toolkit and implement multiple Undo / Redo.
 .
 Supported games include DOOM, DOOM 2, Final Doom, FreeDoom, HacX and Heretic.
 Supported source ports are Boom, EDGE, Doom Legacy and Odamex.

Package: eurephia
Description-md5: 70e0f4d77791d4b066a0c077f2559902
Description-en: flexible OpenVPN authentication module
 This plug-in enhances OpenVPN by adding user name and password authentication.
 An eurephia user account is a combination of minimum one OpenVPN SSL
 certificate and a user name with a password assigned. It is also possible to
 setup several eurephia user names to use a shared OpenVPN certificate.
 .
 In addition, eurephia will blacklist IP addresses, certificates and user names
 on too many failed attempts and it supports dynamic update of iptables rules
 which restricts network access per connection.
 .
 All information is stored in a database and all changes to the accounts will
 be effective immediately. At the moment eurephia supports the SQLite database.

Package: euslisp
Description-md5: 3539fd5ec17931680d721a6bc32caa30
Description-en: Lisp based intelligent robots programming system
 euslisp provides executables, library and necessary lisp code for
 running EusLisp language. This provides basic Lisp interpreter, OOP
 functions, geometric class such as edge, plane, polygon, face, hole
 and body. Xlib/OpenGL interfaces.
 .
 This package contains the eus0/eus1/eus2/eusg/eusx/eusgl executable
 and accompanying files.

Package: euslisp-dev
Description-md5: fd5f57b7787784f6bc155ddf45c392f0
Description-en: Development files of Lisp based  intelligent robots programming system
 euslisp-dev provides C language headers and C and Lisp source files
 for developping EusLisp based modulse.
 .
 This package contains *eusdir*/{lisp, include, lib, contrib, demos, models}

Package: euslisp-doc
Description-md5: 843e7def772d5975babd7bb1ed27476a
Description-en: Manuals and Documentations of EusLisp programming system
 euslisp-doc contains various README and pdf version of manual of EusLisp
 along with HTML version of the documents.
 .
 This package contains the documentation of EusLisp

Package: evdi-dkms
Description-md5: 777e8d965e4889c2030393817dbfdb7b
Description-en: Extensible Virtual Display Interface driver kernel module
 The Extensible Virtual Display Interface (EVDI) is a Linux kernel
 module that enables management of multiple screens, allowing user-space
 programs to take control over what happens with the image. It is
 essentially a virtual display you can add, remove and receive
 screen updates for, in an application that uses the libevdi library.
 .
 The module and library is part of the DisplayLink Ubuntu development
 which enables support for DisplayLink USB 3.0 devices on Ubuntu.
 .
 Please note that this is NOT a complete driver for DisplayLink devices.
 .
 This package installs the kernel module needed for creating the virtual
 displays.

Package: evemu-tools
Description-md5: f61fadfd604a34c7949d2e66c454793c
Description-en: Linux Input Event Device Emulation Library - test tools
 The evemu library and tools are used to describe devices, record data,
 create emulation devices and replay data from kernel evdev (input event)
 devices.
 .
 This package provides test tools for the evemu library.

Package: eventstat
Description-md5: e3e493cc1f6c0de6ad89d16f94a4c539
Description-en: kernel event states monitoring tool
 Eventstat periodically dumps out the current kernel event state.
 It keeps track of current events and outputs the change in events
 on each output update.  The tool requires sudo to run since it
 needs to write to /proc/timer_stats to start and stop the event
 monitoring.

Package: eviacam
Description-md5: f372eec82c7f83b8a3bcda26a5d8bcee
Description-en: camera based mouse emulator
 Enable Viacam (aka eViacam) is a mouse replacement program that moves
 the pointer tracking the user's head movements. It works on a standard
 computer equipped with a "webcam". No additional hardware is required.
 Based on the award winning Facial Mouse.

Package: evilwm
Description-md5: a02c2ade8efeb54e129766cda3362957
Description-en: minimalist window manager for X11
 evilwm is based on aewm by Decklin Foster. It's minimalist
 in that it omits unnecessary stuff like window decorations
 and icons. But it's very usable in that it provides good
 keyboard control with repositioning and maximize toggles,
 solid window drags, snap-to-border support, and virtual desktops.

Package: evolution
Description-md5: 714300f71a889c8a0e5d1c77a799651c
Description-en: groupware suite with mail client and organizer
 Evolution is a groupware suite which integrates mail, calendar,
 address book, to-do list and memo tools.
 .
 Additional features include integration with Exchange
 servers, newsgroup client, LDAP support and web calendars
 .
 The following plugins belonging to the "base" set are included.
  - calendar-file
  - calendar-http
  - itip-formatter
  - default-source
  - addressbook-file
  - mark-all-read
  - publish-calendar
  - caldav
  - imap-features
  - google-account-setup
  - webdav-account-setup
  - calendar-weather
  - sa-junk-plugin
  - bogo-junk-plugin

Package: evolution-common
Description-md5: 63a9c80d6efb5e50b74aae7ebcc8bf5c
Description-en: architecture independent files for Evolution
 Evolution is a groupware suite which integrates mail, calendar,
 address book, to-do list and memo tools.
 .
 This package contains the architecture independent files needed
 by the evolution package.

Package: evolution-data-server-tests
Description-md5: f089152abdefc1f27359965309935277
Description-en: Installed tests for the evolution database backend server
 The data server, called "Evolution Data Server" is responsible for managing
 mail, calendar, addressbook, tasks and memo information.
 .
 This package contains test programs, designed to be run as part of a
 regression testsuite.

Package: evolution-dev
Description-md5: e8841388a6199690879d253b06445273
Description-en: development library files for Evolution
 Evolution is a groupware suite which integrates mail, calendar,
 address book, to-do list and memo tools.
 .
 This package contains header and static library files
 for developing Evolution components.

Package: evolution-ews
Description-md5: 22b4a10de423e4dcffab7f7acca33c94
Description-en: Exchange Web Services integration for Evolution
 This package allows the Evolution mail and groupware suite to connect
 to Microsoft Exchange servers using the Exchange Web Services interface.
 .
 It works in a very similar way to the Evolution Exchange connector, but
 it supports the Exchange 2007 version.

Package: evolution-indicator
Description-md5: 6944713b1e0d80d83d83552513d6ab38
Description-en: GNOME panel indicator applet for Evolution
 indicator-applet is an applet to display information from
 various applications consistently in the GNOME panel.
 .
 This package provides a plugin for Evolution that uses libindicate and
 libnotify to provide additional information about Evolution's state.

Package: evolution-plugin-bogofilter
Description-md5: ea7228ccd6349df7257c61f0ee7152e5
Description-en: standard plugins for Evolution (bogofilter)
 Evolution is a groupware suite which integrates mail, calendar,
 address book, to-do list and memo tools.
 .
 This package includes the bogofilter spam filter module for Evolution.

Package: evolution-plugin-pstimport
Description-md5: 235e34cceea2b696a02434f108471df1
Description-en: standard plugins for Evolution (pstimport)
 Evolution is a groupware suite which integrates mail, calendar,
 address book, to-do list and memo tools.
 .
 This package includes a plugin for Evolution that enables importing
 Microsoft Outlook messages from a PST file.

Package: evolution-plugin-spamassassin
Description-md5: 2f0f85442a14a2c0f09e25029136c49d
Description-en: standard plugins for Evolution (spamassassin)
 Evolution is a groupware suite which integrates mail, calendar,
 address book, to-do list and memo tools.
 .
 This package includes the spamassasin spam filter module for Evolution.

Package: evolution-plugins
Description-md5: 9adfff174085505ff725e655ff059c90
Description-en: standard plugins for Evolution
 Evolution is a groupware suite which integrates mail, calendar,
 address book, to-do list and memo tools.
 .
 This package includes plugins for evolution. The plugins belong
 to the set of "standard" plugins, which are useful, but not
 necessary for a functioning application.
 .
 The following standard plugins are included.
  - attachment-reminder
  - bbdb
  - dbx-import
  - email-custom-header
  - face
  - mailing-list-actions
  - mail-notification
  - mail-to-task
  - prefer-plain
  - save-calendar
  - templates

Package: evolution-plugins-experimental
Description-md5: d7002a313af115cfa4e69a3a6c08f948
Description-en: experimental plugins for Evolution
 Evolution is a groupware suite which integrates mail, calendar,
 address book, to-do list and memo tools.
 .
 This package includes plugins for Evolution. The plugins belong
 to the set of "experimental" plugins, which are unsupported, have
 undergone little testing, and might cause problems to the rest of
 Evolution. Use with care.
 .
 The following plugins are included.
  - external-editor
  - contacts-map

Package: evolution-rss
Description-md5: 9170d74d01c64837e26ead2c8b895c03
Description-en: Evolution RSS Reader Plugin
 This plugin adds RSS Feeds support for evolution mail.
 .
 With this package you are able to have RSS in same place as mails,
 eliminating the need of having a separate RSS reader since a RSS Article
 is like an email message.
 .
 Evolution RSS can display an article using summary view or HTML view.

Package: evolver
Description-md5: a25c65eda02fbf8bdb78b1d1f8bda020
Description-en: Surface Evolver
 The Surface Evolver is an interactive program for the modelling of liquid
 surfaces shaped by various forces and constraints.
 .
 This dummy package provides the standard installation.

Package: evolver-doc
Description-md5: b5f5c9a3121dd792d38475864b77fec5
Description-en: Surface Evolver - doc
 The Surface Evolver is an interactive program for the modelling of liquid
 surfaces shaped by various forces and constraints.
 .
 This package provides the documentation and the on-line help content for the
 evolver front-end program, as well as examples.

Package: evolver-nox
Description-md5: 77cf1f7c34fb3ad6062c8a496ed9e568
Description-en: Surface Evolver - with no X support
 The Surface Evolver is an interactive program for the modelling of liquid
 surfaces shaped by various forces and constraints.
 .
 This package provides evolver variants built with different floating point
 formats (double, long double, quadruple) but with no X support.

Package: evolver-ogl
Description-md5: ae4969eeab959411dd7891b8e974a911
Description-en: Surface Evolver - with OpenGL display
 The Surface Evolver is an interactive program for the modelling of liquid
 surfaces shaped by various forces and constraints.
 .
 This package provides evolver variants built with different floating point
 formats (double, long double, quadruple) and with an OpenGL/GLUT display.

Package: evolvotron
Description-md5: 28a036ece6e1f78de8a4f9ddf9b01363
Description-en: Generator of textures through interactive evolution
 This is an interactive generative art application to evolve
 images/textures/patterns/animations through an iterative process of random
 mutation and user-selection driven evolution. This process is also often
 referred to as "evolutionary art" or "genetic art". If you like lava lamps,
 and still think the Mandelbrot set is cool, this could be the software for
 you.

Package: evqueue-agent
Description-md5: befbcf180b08330960be95094bc97558
Description-en: evQueue agent for managing remote tasks execution
 evQueue agent can be used when working with remote tasks over SSH to enable
 additional features like dedicated logging and live tasks progression.

Package: evqueue-core
Description-md5: b1d3f5df453adfc8a5a1d8884f7518a8
Description-en: evQueue core module
 evQueue is a fast event driven scheduler and queueing engine.
 .
 It provides both simple task execution and complex task chaining
 (workflow) using an easy to use drag & drop web interface. Workflow
 description includes output linking to input, conditions, loops...
 Queues management provides an easy way for task parallelization and
 resource control.
 .
 The network API provides an easy way, XML based, for synchronous or
 asynchronous workflow launching and control, allowing tasks to be
 launched from external applications or web pages. You can thus execute
 heavy tasks on a remote (dedicated) machine to save resources on your
 web frontends while providing live reporing of the treatment to you users.

Package: evqueue-utils
Description-md5: 0f43d28084fcb605328039558e243902
Description-en: evQueue utilities to manage daemon
 Utilities to use evQueue from CLI (launch workflows and send API commands)

Package: evtest
Description-md5: 87a9309a7fd6f8eaf06bf318e3ec4b43
Description-en: utility to monitor Linux input device events
 evtest monitors a Linux input device, displaying all the events it
 generates.
 .
 It can be used to determine mice button bindings, keymaps for exotic
 keyboards... It is commonly used to debug issues with input devices
 in X.Org.
 .
 evtest is now in maintenance mode and doesn't support all the
 features of the latest kernels; evemu-record from the evemu-tools
 package should be used instead.

Package: eweouz
Description-md5: 2d5c1c9351a84f9ce57792e96b7af611
Description-en: Emacs interface to Evolution Data Server
 eweouz is an tool for looking up contacts from Evolution Data Server
 from Emacs.  It is similar to BBDB, except much, much simpler.

Package: ewf-tools
Description-md5: a239a460d54374da59b5d48cafc00166
Description-en: collection of tools for reading and writing EWF files
 Libewf is a library with support for reading and writing the Expert Witness
 Compression Format (EWF).
 This library allows you to read media information of EWF files in the SMART
 (EWF-S01) format and the EnCase (EWF-E01) format. It supports files created
 by EnCase 1 to 6, linen and FTK Imager. The libewf is useful for forensics
 investigations.
 .
 This package contains tools to acquire, verify and export EWF files.

Package: ewipe
Description-md5: df453db3cca92a5895ef7d900bfa83ed
Description-en: Yet another presentation tool based on Tcl/Tk
 Extended WIPE is a presentation tool written in Tcl/Tk.
 Unlike MagicPoint, the de facto standard in this realm,
 you can create resumes for presentation with user-friendly GUI,
 then save manuscripts in HTML and mgp(Magic Point file format).

Package: exabgp
Description-md5: 29fcdd53aa064940ec1e2f06a3cd3dac
Description-en: BGP swiss army knife of networking
 ExaBGP allows engineers to control their network from commodity
 servers. Think of it as Software Defined Networking using BGP by
 transforming BGP messages into friendly plain text or JSON.
 .
 Current documented use cases include DDOS mitigation, network
 visualisation, service high availability, anycast.
 .
 It features ASN4 (RFC 4893), IPv6 (RFC 4760), MPLS (RFC 4659), VPLS
 (RFC 4762), Flow (RFC 5575), Graceful Restart (RFC 4724), Enhanced
 Route Refresh (RFC 7313), AIGP (RFC 7311) and more.

Package: exactimage
Description-md5: 9949da3ff157b2d9d5470042b5d548a3
Description-en: fast image manipulation programs
 ExactImage is a fast C++ image processing library. Unlike many other library
 frameworks it allows operation in several color spaces and bit depths
 natively, resulting in low memory and computational requirements.
 .
 This package contains the utilities.

Package: exadrums
Description-md5: b1ed73d2aba0071ff918452d5e8924ad
Description-en: Software drum module (graphical user interface)
 ExaDrums is a virtual drum module that allows drummers play with
 custom-made drum kits.
 .
 It is user-friendly and combines high quality stereo sound with low
 latency.
 .
 Each drum kit provides individual sliders in order to control the
 volume of its drum pads.
 .
 A built-in metronome can be combined with a rhythm coach to make
 practice sessions easier and efficient.
 .
 The drum triggers can be adjusted so that their response feels as
 natural as possible, and different sensor interfaces include a
 virtual (on-screen) multi pad and external sensors.
 .
 Although eXaDrums is usable as a drum module, it is still an
 experimental project. As such, some features are not yet implemented,
 and the error management is not complete.
 .
 This package provides the graphical user interface.

Package: examl
Description-md5: 4972cdf739509b60035d9f3b35bafb60
Description-en: Exascale Maximum Likelihood (ExaML) code for phylogenetic inference
 Exascale Maximum Likelihood (ExaML) is a code for phylogenetic inference
 using MPI. This code implements the popular RAxML search algorithm for
 maximum likelihood based inference of phylogenetic trees.
 .
 ExaML is a strapped-down light-weight version of RAxML for phylogenetic
 inference on huge datasets. It can only execute some very basic
 functions and is intended for computer-savvy users that can write little
 perl-scripts and have experience using queue submission scripts for
 clusters. ExaML only implements the CAT and GAMMA models of rate
 heterogeneity for binary, DNA, and protein data.
 .
 ExaML uses a radically new MPI parallelization approach that yields
 improved parallel efficiency, in particular on partitioned multi-gene or
 whole-genome datasets. It also implements a new load balancing algorithm
 that yields better parallel efficiency.
 .
 It is up to 4 times faster than its predecessor RAxML-Light and scales
 to a larger number of processors.

Package: example-content
Description-md5: c3d0a7db62e70da762d52dfac33a7bd0
Description-en: Ubuntu example content
 For each desktop application in the default install where it is practical,
 there is at least one piece of example content. This is valuable for
 testing, experimentation and demonstration of Ubuntu (especially the live
 CD). These examples should be small but meaningful, and easily discoverable.

Package: excellent-bifurcation
Description-md5: e8ee47816563594d5de51773ef9cc352
Description-en: abstract vertical shooter with two sides to play on
 Excellent Bifurcation is a vertical shooter in which you have two sides
 available to play on. Its graphics try to mimic the colours, sounds and
 feeling of the 8-bit games.
 .
 In the game, you drive two ships at the same time, on two sides of the
 screen. The game play is very unique and fun. It might be quite a brain
 bender anyway.
 .
 Excellent Bifurcation was Linley Henzel's entry in the AutoFire 2007 Shooter
 Competition, and finished in 2nd place.

Package: exe-thumbnailer
Description-md5: e376f9666991d399be30011911af0efa
Description-en: Windows executable (.exe, etc.) thumbnailer for Linux desktops
 exe-thumbnailer is a thumbnailer for Windows executable files
 that shows the embedded icons of .exe, .lnk, .msi, and .dll files when
 available.
 .
 It supports any file manager that uses .thumbnailer entries, including
 Nautilus, Caja, Nemo, Thunar (when tumbler is installed), and PCManFM.

Package: execline
Description-md5: 5f71e3921f8badc39559b4ca2012f80b
Description-en: small and non-interactive scripting language
 Execline is a (non-interactive) scripting language, like sh; but its
 syntax is quite different from a traditional shell syntax. The execlineb
 program is meant to be used as an interpreter for a text file; the other
 commands are essentially useful inside an execlineb script.
 .
 Execline is as powerful as a shell: it features conditional loops,
 getopt-style option handling, filename globbing, and more. Meanwhile, its
 syntax is far more logic and predictable than the shell's syntax, and has
 no security issues.
 .
 Execline binaries are installed in /usr/lib/execline/bin, please add it to
 PATH before using them.

Package: execline-doc
Description-md5: f88a9147e206e5a0edd54b38828f02a1
Description-en: small and non-interactive scripting language (documentation)
 Execline is a (non-interactive) scripting language, like sh; but its
 syntax is quite different from a traditional shell syntax. The execlineb
 program is meant to be used as an interpreter for a text file; the other
 commands are essentially useful inside an execlineb script.
 .
 Execline is as powerful as a shell: it features conditional loops,
 getopt-style option handling, filename globbing, and more. Meanwhile, its
 syntax is far more logic and predictable than the shell's syntax, and has
 no security issues.
 .
 This package contains documentation files.

Package: execnet-doc
Description-md5: b39861c3c500766c3eb65d439beafc40
Description-en: rapid multi-Python deployment (docs)
 execnet provides carefully tested means to ad-hoc interact with Python
 interpreters across version, platform and network barriers. It provides
 a minimal and fast API targeting the following uses:
 .
  * distribute tasks to local or remote CPUs
  * write and deploy hybrid multi-process applications
  * write scripts to administer a bunch of exec environments
 .
 This package contains the documentation.

Package: execstack
Description-md5: b4977f65448ec7a7e1fca51450d83efb
Description-en: ELF GNU_STACK program header editing utility
 The execstack package contains a utility which modifies (or adds) the
 GNU_STACK program header to ELF binaries, and allows changing the executable
 flag.

Package: exempi
Description-md5: e4a2622036610d98f6a26321be774b28
Description-en: command line tool to manipulate XMP metadata
 Exempi is a library to parse XMP metadata as defined by the
 specification.
 .
 XMP (Extensible Metadata Platform) facilitates embedding metadata in files
 using a subset of RDF. Most notably XMP supports embedding metadata in PDF
 and many image formats, though it is designed to support nearly any file type.
 .
 This package provides a command line tool to manipulate XMP metadata.

Package: exfalso
Description-md5: fcc2776342ffcd06e76d0d3544249a2e
Description-en: audio tag editor for GTK+
 Ex Falso displays and edits audio metadata tags. Supported formats include
 MP3, Ogg Vorbis, FLAC, Musepack (MPC), WavPack, and MOD/XM/IT.
 .
 A command-line counterpart, Operon, is also distributed as part of this
 package.
 .
 Notable features include:
  * Freeform tag editing for most supported formats, including ID3v2
  * Multiple values for tag keys
  * Flexible rename-by-tags and tag-by-filename patterns
  * Extensible using simple Python-based plugins
  * Edit multiple files in several formats at once

Package: exfat-fuse
Description-md5: 718044a370010c19d8fc8b9f34312478
Description-en: read and write exFAT driver for FUSE
 fuse-exfat is a read and write driver implementing
 the extended file allocation table as a filesystem in userspace.
 A mounthelper is provided unter the name mount.exfat-fuse.

Package: exfat-utils
Description-md5: b032f2bbd76e794a04059c9270513728
Description-en: utilities to create, check, label and dump exFAT filesystem
 Utilities to manage extended file allocation table filesystem.
 This package provides tools to create, check and label the
 filesystem. It contains
  - dumpexfat to dump properties of the filesystem
  - exfatfsck / fsck.exfat to report errors found on a exFAT filesystem
  - exfatlabel to label a exFAT filesystem
  - mkexfatfs / mkfs.exfat to create a exFAT filesystem.

Package: exif
Description-md5: 74aafe4afd1ff0022cb2c78548fb702c
Description-en: command-line utility to show EXIF information in JPEG files
 Most digital cameras produce EXIF files, which are JPEG files with
 extra tags that contain information about the image.
 .
 'exif' is a small command-line utility to show EXIF information hidden
 in JPEG files.

Package: exifprobe
Description-md5: 2f0f3575a3e8d4a39bdcda2e0a784901
Description-en: read metadata from digital pictures
 Exifprobe reads image files produced by digital cameras (including
 several so-called "raw" file formats) and reports the structure of
 the files and the auxiliary data and metadata contained within them.
 .
 In addition to TIFF, JPEG and EXIF, the program understands several
 formats which may contain "raw" camera data, including MRW, CIFF/CRW,
 JP2/JPEG2000, RAF, and X3F, as well as most TIFF-derived "raw" formats,
 including DNG, ORF, CR2, NEF, K25/KDC/DCR and PEF.
 .
 This program is useful in forensics investigations.

Package: exiftags
Description-md5: f310b9003fc492eef19a7754880dacb8
Description-en: utility to read Exif tags from a digital camera JPEG file
 The exiftags utility parses a specified JPEG file or, by default, its
 standard input, looking for a JPEG APP1 section containing Exif
 (Exchangeable Image File) data. The properties contained in these
 data are then printed to the standard output. Digital cameras typically
 add Exif data to the image files they produce, containing information
 about the camera and digitized image.

Package: exiftran
Description-md5: 241e6144a5078c50cf3904ccd0b518ee
Description-en: digital camera JPEG image transformer
 exiftran is a command line utility to transform digital camera JPEG
 images. It can do lossless rotations like jpegtran, but unlike jpegtran
 it can process multiple images at once, and it cares about the Exif data:
 it can rotate images automatically by checking the Exif orientation tag,
 it updates the Exif information (image dimensions/orientation) if needed,
 and it also rotates the Exif thumbnail.

Package: exim4-doc-info
Description-md5: 806fd3db3ac409e2f761067beba9e4c7
Description-en: documentation for the Exim MTA (v4) in info format
 Contains specification and filtering documentation in info format.
 .
 The Debian exim4 packages have their own web page,
 http://pkg-exim4.alioth.debian.org/. There is also a FAQ list. Local
 information about the way the Debian packages can be configured is
 can be found in /usr/share/doc/exim4-base/README.Debian.gz. This file
 has also information about the way the Debian binary packages are
 built. There is a Debian-centered mailing list,
 pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific
 questions there, and only write to the upstream exim-users mailing
 list if you are sure that your question is not Debian-specific. You
 can find the subscription web page on
 http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users
 .
 exim is a drop-in replacement for sendmail/mailq/rsmtp.

Package: eximon4
Description-md5: 9d049018af5088cbceaa2af23692d02a
Description-en: monitor application for the Exim MTA (v4) (X11 interface)
 Eximon is a helper program for the Exim MTA (v4). It allows
 administrators to view the mail queue and logs, and perform a variety
 of actions on queued messages, such as freezing, bouncing and thawing
 messages.

Package: exiv2
Description-md5: aeba4b7189f937a626d0f2ef05b13cbb
Description-en: EXIF/IPTC/XMP metadata manipulation tool
 Exiv2 is a C++ library and a command line utility to manage image metadata.
 It provides fast and easy read and write access to the Exif, IPTC and XMP
 metadata of images in various formats
 .
 Exiv2 command line utility to:
 .
  * print Exif, IPTC and XMP image metadata in different formats:
    - Exif summary info, interpreted values, or the plain data for each tag
  * set, add and delete Exif, IPTC and XMP image metadata from command line
    modify commands or command scripts
  * adjust the Exif timestamp (that's how it all started...)
  * rename Exif image files according to the Exif timestamp
  * extract, insert and delete Exif, IPTC and XMP metadata and JPEG comments
  * extract previews from RAW images and thumbnails from the Exif metadata
  * insert and delete the thumbnail image embedded in the Exif metadata
  * print, set and delete the JPEG comment of JPEG images
  * fix the Exif ISO setting of picture taken with Canon and Nikon cameras

Package: exmh
Description-md5: 4be5e72209436ac13871b478061acf01
Description-en: extensible X user interface for MH mail
 Exmh uses the regular MH programs to manipulate your mail folders and
 messages.  This means it is compatible with command-line use of MH
 programs, and its actions should be familiar if you are an experienced
 MH user.  If you are a new MH user, then the details of running MH
 programs are hidden behind the graphical interface.

Package: exo-utils
Description-md5: cd370d50b53f589936df00fa4f544dd6
Description-en: Utility files for libexo
 This package contains the Xfce settings plugin and the utility files for
 libexo-2-0 which are:
   - exo-csource
   - exo-desktop-item-edit
   - exo-open
   - exo-preferred-applications

Package: exonerate
Description-md5: 61984be320e7cae47c75d879b270d329
Description-en: generic tool for pairwise sequence comparison
 Exonerate allows you to align sequences using a many alignment models, using
 either exhaustive dynamic programming, or a variety of heuristics. Much of
 the functionality of the Wise dynamic programming suite was reimplemented in C
 for better efficiency. Exonerate is an intrinsic component of the building of
 the Ensembl genome databases, providing similarity scores between RNA and DNA
 sequences and thus determining splice variants and coding sequences in
 general.
 .
 An In-silico PCR Experiment Simulation System (see the ipcress man page) is
 packaged with exonerate.
 .
 This package also comes with a selection of utilities for performing
 simple manipulations quickly on fasta files beyond 2Gb

Package: expand-region-el
Description-md5: 3f5996e3941ad95f90f09ac1bea86878
Description-en: Transition package, expand-region-el to elpa-expand-region
 Ease transition from expand-region-el to elpa-expand-region with this
 dummy package.

Package: expat
Description-md5: 946951e418841b66002f30694d1a0b72
Description-en: XML parsing C library - example application
 This package contains xmlwf, an example application of expat, the C
 library for parsing XML.  The arguments to xmlwf are one or more
 files which are each to be checked for XML well-formedness.

Package: expect
Description-md5: 168e2767f12c89e933bb55f9c42e3ebf
Description-en: Automates interactive applications
 Expect is a tool for automating interactive applications according to a script.
 Following the script, Expect knows what can be expected from a program and what
 the correct response should be. Expect is also useful for testing these same
 applications. And by adding Tk, you can also wrap interactive applications in
 X11 GUIs. An interpreted language provides branching and high-level control
 structures to direct the dialogue. In addition, the user can take control and
 interact directly when desired, afterward returning control to the script.
 .
 This package contains the expect binary and several Expect based scripts.

Package: expect-lite
Description-md5: 55678da1f32daea298132454419b0430
Description-en: quick and easy command line automation tool
 Written in expect, it is designed to directly map an interactive terminal
 session into an automation script. expect-lite scripts use special
 character(s) at the beginning of each line to indicate the action. Basic
 expect-lite scripts can be created by simply cutting and pasting text from a
 terminal window into a script, and adding '>' '<' characters. No knowledge of
 expect is required!

Package: expeyes
Description-md5: 60b03d5c802b544bf801a225e4b4d4b8
Description-en: hardware & software framework for developing science experiments
 This package provides high level interfaces for making science experiments
 with an ExpEYES box.
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration. Capable of doing real time
 measurements and analysing the data in different ways. Analog
 voltages are measured with 0.025% resolution and time intervals with
 one microsecond. This project is based on Free and Open Source
 software, mostly written in Python programming language. The hardware
 design is also open.

Package: expeyes-clib
Description-md5: ad31d432a6830f0f94796a1f656a342e
Description-en: hardware & software framework for developing science experiments
 This package provides interfaces written in C language for making
 science experiments with an ExpEYES box.
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration. This package provides approximately
 the same features than the package expeyes, but its sources are in C language.

Package: expeyes-doc-common
Description-md5: 22977f87d41fd3118c50140e4f751d2f
Description-en: Common files related to the User manual for expeyes library
 This package provides icons and desktop entries to launch a viewer with
 the documentation for expEYES.
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration. Capable of doing real time
 measurements and analysing the data in different ways. Analog
 voltages are measured with 0.025% resolution and time intervals with
 one microsecond. This project is based on Free and Open Source
 software, mostly written in Python programming language. The hardware
 design is also open.

Package: expeyes-doc-en
Description-md5: fab304742b0f2ccbf448d60def09481c
Description-en: User manual for expeyes library, in English language
 This package provides a full English documentation to use the library
 python3-expeyes, which enables to program new experiments using an
 ExpEYES box.
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration. Capable of doing real time
 measurements and analysing the data in different ways. Analog
 voltages are measured with 0.025% resolution and time intervals with
 one microsecond. This project is based on Free and Open Source
 software, mostly written in Python programming language. The hardware
 design is also open.

Package: expeyes-doc-fr
Description-md5: bfbd24bd9c5411209089483426f7fa46
Description-en: User manual for expeyes library, French translation
 This package provides a full French documentation to use the library
 python3-expeyes, which enables to program new experiments using an
 ExpEYES box.
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration. Capable of doing real time
 measurements and analysing the data in different ways. Analog
 voltages are measured with 0.025% resolution and time intervals with
 one microsecond. This project is based on Free and Open Source
 software, mostly written in Python programming language. The hardware
 design is also open.

Package: expeyes-firmware-dev
Description-md5: 60b03d5c802b544bf801a225e4b4d4b8
Description-en: hardware & software framework for developing science experiments
 This package provides high level interfaces for making science experiments
 with an ExpEYES box.
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration. Capable of doing real time
 measurements and analysing the data in different ways. Analog
 voltages are measured with 0.025% resolution and time intervals with
 one microsecond. This project is based on Free and Open Source
 software, mostly written in Python programming language. The hardware
 design is also open.

Package: expeyes-web
Description-md5: b917c0e00994008894478c7ca37e63be
Description-en: Web interface for expEYES-Junior
 This package allows you to access remotely to a server which drives an
 expEYES-Jr box. This extends the power of expEYES.

Package: explain
Description-md5: eacebf1de43f9609417d36c3a17b5eb2
Description-en: utility to explain system call errors
 This package provides an explain(1) command to explain Unix and Linux system
 call errors, after the fact.

Package: ext3grep
Description-md5: 421b107ab1610262d9d650cdddb2b979
Description-en: tool to help recover deleted files on ext3 filesystems
 ext3grep is a simple tool intended to aid anyone who accidentally
 deletes a file on an ext3 filesystem, only to find that they wanted
 it shortly thereafter.
 .
 This package is useful in forensics investigations.

Package: ext4magic
Description-md5: 1bcde2e5dec43f839139326afd7aac80
Description-en: recover deleted files from ext3 or ext4 partitions
 ext4magic is a file carver (or file carving). It can be used when recovering
 from disasters or in digital forensics activities.
 .
 The deletion of files in ext3/4 filesystems can not be easily reversed. Zero
 out of the block references in the inodes makes that impossible. Experiences
 with other programs have proved that is possible restore sufficient information
 for a recover of many data files, directly from the filesystem journal.
 .
 ext4magic can extract the information from the journal and restore files in an
 entire directory tree, if the information in the journal are sufficient.
 .
 This tool can recover the most file types, with original filename, owner and
 group, file mode bits and also the old atime/mtime stamps.

Package: extlinux
Description-md5: 414e5877200f459574bf4120db85ccc3
Description-en: collection of bootloaders (Linux ext2/ext3/ext4, btrfs, and xfs bootloader)
 syslinux is a suite of bootloaders, currently supporting DOS FAT and NTFS
 filesystems (SYSLINUX), Linux ext2/ext3/ext4, btrfs, and xfs filesystems
 (EXTLINUX), PXE network boots (PXELINUX), or ISO 9660 CD-ROMs (ISOLINUX).
 .
 This package contains the bootloader for Linux ext2/ext3/ext4, btrfs, and xfs
 filesystems (EXTLINUX).

Package: extra-cmake-modules
Description-md5: 38bf99ea0cb09073ce6ca0cc9aa978da
Description-en: Extra modules and scripts for CMake
 Extra CMake Modules, or ECM, aims to augment CMake with additional modules. It
 serves as both a staging ground for new modules before they are moved upstream
 to CMake and a place for modules that, for whatever reason, are not a good fit
 for CMake itself.
 .
 It is primarily driven by the needs of the KDE community, but it is hoped that
 other projects will find it useful, and outside contributions are always
 welcome. The main rule for new modules is that there must be at least two
 downstream users.

Package: extra-xdg-menus
Description-md5: 08853b7c619b8508bad17359c8e17cff
Description-en: Extra menu categories for applications under GNOME and KDE
 This package installs various optional extra desktop menus for grouping
 applications on GNOME, KDE and other XDG menu-spec compliant desktop
 environments.
 The extra menus may be enabled or disbled on a system or per-user basis
 with the supplied scripts, exmenen and exmendis.

Package: extrace
Description-md5: f0114617cfa28e23bb53d37ffb5ddbe9
Description-en: trace exec() calls system-wide
 extrace traces all program executions happening on a system,
 or the subset of program executions done by a given process and its
 descendants.  For example, to see what the shell really executes:
 .
 $ sudo extrace -p $(pidof zsh)
 8505 git rev-parse --is-inside-work-tree
 8506 direnv export zsh
 8511 git rev-parse --is-inside-work-tree
     8515 wc -l
   8516 whoami
 8542 hostname
 8543 git rev-parse --is-inside-work-tree
 8544 direnv export zsh
   8549 /bin/bash --noprofile --norc -c 'eval "$("/usr/bin/direnv" stdlib)" >&2\
          && source_env ".envrc" >&2 && "/usr/bin/direnv" dump'
       8551 /usr/bin/direnv stdlib
       8558 /usr/bin/direnv watch .envrc
       8565 dirname .envrc
       8567 basename .envrc
       8569 basename .envrc
   8549 /usr/bin/direnv dump
 8574 git rev-parse --is-inside-work-tree
     8578 wc -l
   8579 whoami

Package: extract
Description-md5: 0462416e734799d71f39017dc71a5adf
Description-en: displays meta-data from files of arbitrary type
 Similar to the well-known "file" command, extract can display meta-data from a
 file and print the results to stdout.
 .
 Currently, libextractor supports the following formats: HTML, MAN, PS, DVI,
 OLE2 (DOC, XLS, PPT), OpenOffice (sxw), StarOffice (sdw), FLAC,
 MP3 (ID3v1 and ID3v2), OGG, WAV, S3M (Scream Tracker 3), XM (eXtended Module),
 IT (Impulse Tracker), NSF(E) (NES music), SID (C64 music), EXIV2, JPEG, GIF,
 PNG, TIFF, DEB, RPM, TAR(.GZ), LZH, LHA, RAR, ZIP, CAB, 7-ZIP, AR, MTREE, PAX,
 CPIO, ISO9660, SHAR, RAW, XAR FLV, REAL, RIFF (AVI), MPEG, QT and ASF.
 .
 Also, various additional MIME types are detected. It can also be used to
 compute hash functions (SHA-1, MD5, ripemd160).

Package: extractpdfmark
Description-md5: de1c8f79d4ddaff5a347969b6013353c
Description-en: Extract page mode and named destinations as PDFmark from PDF
 When you create a PDF document using a TeX system, you may include
 many small PDF files in the main PDF file. It is common for each of
 those files to use the same fonts.
 .
 If the small PDF files contain embedded font subsets, the TeX system
 includes them as-is in the main PDF. As a result, several subsets of
 the same font are embedded in the main PDF. It is not possible to
 remove the duplicates since they are different subsets. This vastly
 increases the size of the main PDF file.
 .
 On the other hand, if the small PDF files contain embedded full font
 sets, the TeX system also includes all of them in the main PDF.
 This time, the main PDF contains duplicates of the same full sets of
 fonts. Therefore, Ghostscript can remove the duplicates. This may
 considerably reduce the main PDF-file's size.
 .
 Finally, if the small PDF files contain some fonts that are not
 embedded, the TeX system outputs the main PDF file with some fonts
 missing. In this case, Ghostscript can embed the necessary fonts.
 It can significantly reduce the required disk size.
 .
 Either way, when Ghostscript reads the main PDF produced by the
 TeX system and outputs the final PDF it does not preserve PDF
 page-mode and named-destinations etc. As a result, when you open
 the final PDF, it is not displayed correctly. Also, remote PDF
 links will not work.
 .
 This program is able to extract page mode and named destinations
 as PDFmark from PDF. By using this you can get the small PDF files
 that have preserved them.

Package: extremetuxracer
Description-md5: f1c4f423f79ec3be6015a4a71053d9ce
Description-en: 3D racing game featuring Tux, the Linux penguin
 Extreme Tux Racer, or etracer as it is called for short, is a simple OpenGL
 racing game featuring Tux, the Linux mascot. The goal of the game is to slide
 down a snow- and ice-covered mountain as quickly as possible, avoiding the
 trees and rocks that will slow you down.
 .
 Collect herrings and other goodies while sliding down the hill, but avoid fish
 bones.
 .
 This game is based on the GPL version of the famous game TuxRacer.
 .
 You must have working 3D acceleration or this game will run quite slowly.

Package: extremetuxracer-data
Description-md5: e833770f2c00924281bb422694cf51cb
Description-en: data files for the game Extreme Tux Racer
 Extreme Tux Racer, or etracer as it is called for short, is a simple OpenGL
 racing game featuring Tux, the Linux mascot. The goal of the game is to slide
 down a snow- and ice-covered mountain as quickly as possible, avoiding the
 trees and rocks that will slow you down.
 .
 This game is based on the GPL version of famous game TuxRacer.
 .
 This package contains data like graphics and courses. If you want to play the
 game, you need to install the package extremetuxracer.

Package: extrepo
Description-md5: 9081e1b0b10780bf53a9c4fed5d9012e
Description-en: External repository manager
 External repositories are additional software package repositories that
 are not maintained by Debian. Before extrepo, maintainers of such
 repositories would suggest that you download and execute an (unsigned)
 shell script as root, or that you download and install their (unsigned)
 package, which is not ideal for security.
 .
 The extrepo package tries to remedy this, by providing a curated list
 of external repositories that can be enabled by a simple command,
 allowing unsigned scripts to be replaced by a simple "extrepo enable
 example.com_repo".
 .
 Note, however, that while the repositories are curated, and that any
 repositories with malicious content will be removed and/or disabled
 when detected, no warranty is made by the Debian project as to the
 security or quality of the software in these third-party repositories.

Package: extsmail
Description-md5: a8adff67ef2197e743b7a37a9b7d1c07
Description-en: enables the robust sending of e-mail to external commands
 extsmail masquerades as the standard UNIX sendmail program, reading
 messages, and later piping them to user-defined commands.
 .
 In a sense, extsmail can be thought of as a very simple "tiny" sendmail
 (Think SSMTP, UUCP, ...)
 .
 A typical use is to allow e-mail to be piped via ssh to external servers
 running a full sendmail-compatible MTA. extsmail is designed to have
 sensible defaults, and configuring it is a one-off, quick job.

Package: extundelete
Description-md5: 779f0d7c1cd7a1c97324589b1ce35e37
Description-en: utility to recover deleted files from ext3/ext4 partition
 extundelete uses the information stored in the partition's journal to attempt
 to recover a file that has been deleted. There is no guarantee that any
 particular file will be able to be undeleted.

Package: eye
Description-md5: b509d100ee53ef205b9512e00c645e20
Description-en: semantic web reasoning engine
 Euler Yet another proof Engine (EYE) is a reasoning engine
 supporting the Semantic Web layers.
 It performs semibackward chaining and it supports Euler paths.
 Via N3 it is interoperable with Cwm.
 .
 Semibackward chaining
 is backward chaining for rules using <= in N3
 and forward chaining for rules using => in N3.
 This can be seen in EYE Reasoning.
 .
 Euler paths are roughly "don't step in your own steps"
 which is inspired by what Leonhard Euler discovered in 1736
 for the Königsberg Bridge Problem.
 EYE sees the rule P => C as P & NOT(C) => C.
 .
 Notation3 (N3) is a shorthand non-XML serialization
 of Resource Description Framework (RDF) models,
 designed with human-readability in mind:
 N3 is much more compact and readable than XML RDF notation.
 .
 Resource Description Framework (RDF) is a standard model
 for data interchange on the Web.

Package: eyed3
Description-md5: e1c67659983449279eb1fd7995d8c7ca
Description-en: Display and manipulate id3-tags on the command-line
 A command-line editor to add/edit/remove ID3-tags on mp3 files.
 It supports version 1.0,1.1,2.3 and 2.4 of the ID3 standard.
 Additionally it displays several information about the file
 such as length and bitrate from an MP3 file.

Package: eyes17
Description-md5: 5693f672e4dc0b53b38d827ba1a2a31e
Description-en: hardware & software framework for developing science experiments
 This package provides high level interfaces for making science experiments
 with an ExpEYES-17 box.
 .
 ExpEYES-17 is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration. Capable of doing real time
 measurements and analysing the data in different ways. When compared with
 previous ExpEYES boxes (Expeyes, Expeyes-Junior), ExpEYES-17 is richer
 in various features: multiple soft input ranges, more flexible wave
 generator, better time resolution, better voltage resolution, supports
 seamlessly cheap I2C sensors.

Package: ez-ipupdate
Description-md5: 2d3d26ff39fdcc1742363a27bcf441d0
Description-en: client for most dynamic DNS services
 ez-ipupdate is a quite complete client for the dynamic DNS service offered
 by http://www.ez-ip.net/ and many more.
 .
 Currently supported are: ez-ip (http://www.EZ-IP.Net/), Penguinpowered
 (http://www.penguinpowered.com/), DHS (http://members.dhs.org/),
 dynDNS (http://members.dyndns.org/), ODS (http://www.ods.org/),
 TZO (http://www.tzo.com/), EasyDNS (http://members.easydns.com/),
 Justlinux (http://www.justlinux.com), Dyns (http://www.dyns.cx),
 HN (http://dup.hn.org/), ZoneEdit (http://www.zoneedit.com/) and
 Hurricane Electric's IPv6 Tunnel Broker (http://ipv6tb.he.net/).
 .
 All services using GNUDip are also supported.

Package: ezgo-accessories
Description-md5: dbe020ff14a9b447c3a6228a8e97a247
Description-en: Debian EzGo Accessories
 The EzGo is a LiveCD created by OSS Application Consulting
 Centre(OSSACC) which is a Ministry of Education Taiwan funded project,
 and it's executive by the Software Liberty Association of Taiwan(SLAT).
 .
 It is a set of free software collection aims to promote the usage of
 free software on teaching and self-learning.
 .
 This is the selection of Accessories.

Package: ezgo-education
Description-md5: f38eeef540bc21dcc12ef4ae1d5d6d0e
Description-en: Debian EzGo Education
 The EzGo is a LiveCD created by OSS Application Consulting
 Centre(OSSACC) which is a Ministry of Education Taiwan funded project,
 and it's executive by the Software Liberty Association of Taiwan(SLAT).
 .
 It is a set of free software collection aims to promote the usage of
 free software on teaching and self-learning.
 .
 This is the selection of education tools.

Package: ezgo-games
Description-md5: 9948318b7f196adcda1c44ac6376b241
Description-en: Debian EzGo Games
 The EzGo is a LiveCD created by OSS Application Consulting
 Centre(OSSACC) which is a Ministry of Education Taiwan funded project,
 and it's executive by the Software Liberty Association of Taiwan(SLAT).
 .
 It is a set of free software collection aims to promote the usage of
 free software on teaching and self-learning.
 .
 This is the selection of games.

Package: ezgo-imaging
Description-md5: 7f07fbaca4dfbd2bc1f307bc39c248d8
Description-en: Debian EzGo imaging
 The EzGo is a LiveCD created by OSS Application Consulting
 Centre(OSSACC) which is a Ministry of Education Taiwan funded project,
 and it's executive by the Software Liberty Association of Taiwan(SLAT).
 .
 It is a set of free software collection aims to promote the usage of
 free software on teaching and self-learning.
 .
 This is the selection of image tools.

Package: ezgo-multimedia
Description-md5: c27212cc54e074db92ab19f0763b0168
Description-en: Debian EzGo multimedia
 The EzGo is a LiveCD created by OSS Application Consulting
 Centre(OSSACC) which is a Ministry of Education Taiwan funded project,
 and it's executive by the Software Liberty Association of Taiwan(SLAT).
 .
 It is a set of free software collection aims to promote the usage of
 free software on teaching and self-learning.
 .
 This is the selection of multimedia packages.

Package: ezgo-network
Description-md5: bfd6ef143c7ba8859c57ea0083ae1008
Description-en: Debian EzGo network
 The EzGo is a LiveCD created by OSS Application Consulting
 Centre(OSSACC) which is a Ministry of Education Taiwan funded project,
 and it's executive by the Software Liberty Association of Taiwan(SLAT).
 .
 It is a set of free software collection aims to promote the usage of
 free software on teaching and self-learning.
 .
 This is the selection of networking related packages.

Package: ezgo-office
Description-md5: 4e516dd85fd83e768561ac463de9988a
Description-en: Debian EzGo Office
 The EzGo is a LiveCD created by OSS Application Consulting
 Centre(OSSACC) which is a Ministry of Education Taiwan funded project,
 and it's executive by the Software Liberty Association of Taiwan(SLAT).
 .
 It is a set of free software collection aims to promote the usage of
 free software on teaching and self-learning.
 .
 This is the selection of office tools.

Package: ezgo-tasks
Description-md5: a13ad990cff2c5d06681cf7992b0b9bd
Description-en: EzGo tasks for tasksel
 This package provides EzGo tasks in tasksel.
 .
 These tasks are described in detail at
 http://blends.alioth.debian.org/ezgo/tasks

Package: ezquake
Description-md5: ec01830863ff234982ca0e6201aa0385
Description-en: modern QuakeWorld client
 ezQuake is a modern QuakeWorld engine.  It adds many useful features like
 modern graphics, QuakeTV support, mp3 playback, multi-monitor support, a
 built-in server browser, and many features serving the needs of
 competitive Quake gamers.
 .
 QuakeWorld itelf is a variant of the Quake engine designed specifically
 for online gameplay.
 .
 This package contains no data files. To use it, you will need either the
 commercial Quake 1 data, the shareware data (game-data-packager can be
 helpful), or free game data files such as OpenQuartz.

Package: ezstream
Description-md5: f03b8440d41c3c57c5bb5f80c4610753
Description-en: easy media streaming client over icecast servers
 Ezstream is an audio and video streaming client which feeds any icecast server
 with audio data and metadata from a standard input without reencoding.
 It thus requires very little CPU resources. Some playlists can be looped and
 composed with MP3, Ogg Vorbis or Ogg Theora media files.
 Shoutcast metadata are also supported.

Package: eztrace
Description-md5: e1dc5c0f13d8ddd27c1ffd5e0fa052bf
Description-en: Automatic execution trace generation for HPC - tools
 EZTrace is a tool that aims at generating automatically execution traces
 from HPC (High Performance Computing) programs. It generates execution
 trace files that can be interpreted by visualization tools such as
 ViTE. It uses LD_PRELOAD and dlsym() to intercept calls to the usual HPC
 primitives, to be observed.
 .
 This package contains the tools.

Package: f-irc
Description-md5: 820fa683675089992e69fd0687b6811f
Description-en: user-friendly IRC client for the console/terminal
 F-IRC is an IRC client for terminals/command-line/console.
 Its goal is to be as user friendly as possible with easy
 navigation and keyboard shortcuts for quick navigation. It
 has an as much a gentle learning curve as possible.

Package: f2c
Description-md5: 95babfa90e131865e7e95f1d14e3244f
Description-en: FORTRAN 77 to C/C++ translator
 Translates FORTRAN 77 (with some extensions) into C, so that it can
 then be compiled and run on a system with no Fortran compiler.  The C
 files must then be linked against the appropriate libraries.
 .
 This is an actively maintained FORTRAN to C translator and with the
 fort77 frontend provides an ideal way to compile FORTRAN routines
 as black boxes (for example for invocation from C).  Source level
 debugging facilities are not available, and error messages are not as
 well developed as in g77.

Package: f2fs-tools
Description-md5: 2a4a0b079e6b5a5561aa4c62b2efbeb3
Description-en: Tools for Flash-Friendly File System
 F2FS is a new filesystem for Linux aimed at NAND flash memory-based storage
 devices, such as SSD, eMMC, and SD cards. It is based on Log-structured File
 System (LFS).

Package: f2fs-tools-dbg
Description-md5: b623ed10477ba00b5ae84cb208fd6850
Description-en: Tools for Flash-Friendly File System (debug)
 F2FS is a new filesystem for Linux aimed at NAND flash memory-based storage
 devices, such as SSD, eMMC, and SD cards. It is based on Log-structured File
 System (LFS).
 .
 This package contains the debugging symbols.

Package: f3
Description-md5: cf32b7f354885dba4a32127ec3a59bdb
Description-en: test real flash memory capacity
 F3 (Fight Flash Fraud or Fight Fake Flash) tests the full capacity of a
 flash card (flash drive, flash disk, pendrive).
 .
 F3 writes to the card and then checks if can read it. It will assure you
 have not been bought a card with a smaller capacity than stated. Note that
 the main goal of F3 is not to fix your removable media. However, there
 are resources to mark the invalid areas.
 .
 This package provides these executables: f3write, f3read, f3brew, f3fix
 and f3probe.

Package: faad
Description-md5: 12b068dd9c125bf5c6384cfcb76f44c0
Description-en: freeware Advanced Audio Decoder player
 FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly
 decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC
 files.
 .
 This package contains a command line interface to play AAC or MP4 files.

Package: faba-icon-theme
Description-md5: c3cead9a6b3c0c20f430faeb71db0267
Description-en: Tango influenced icon theme called Faba
 Faba is was designed with simplicity and compliance to icon standards
 in mind. Its purpose is to serve as a base icon theme to other themes
 (such as Moka). As such, Faba is only comprised of minimal set of
 icons for the system, select apps, folders, mimetypes, toolbars, etc.

Package: fabio-viewer
Description-md5: 96d9ecd0b0da611f281f60d24e2333c5
Description-en: Viewer for images produced by 2D X-ray detector
 FabIO is an I/O library for images produced by 2D X-ray detectors and
 written in Python. FabIO support images detectors from a dozen of
 companies (including Mar, Dectris, ADSC, Hamamatsu, Oxford, ...), for
 a total of 20 different file formats (like CBF, EDF, TIFF, ...) and
 offers an unified interface to their headers (as a Python dictionary)
 and datasets (as a numpy ndarray of integers or floats)
 .
 Binaries provided with python-fabio

Package: fabric
Description-md5: 9c3936dec2149dac99c5867944c7e9eb
Description-en: Simple Pythonic remote deployment tool
 Fabric is designed to upload files and run shell commands on a number of
 servers in parallel or serially. These commands are grouped in tasks (which
 are regular Python functions) and specified in a 'fabfile.'
 .
 It is similar to Capistrano, except it's implemented in Python and doesn't
 expect you to be deploying Rails applications.
 .
 This package contains the binary executable and the documentation.

Package: facedetect
Description-md5: 41bf486ae2f83ccd3f25f3a06cc5dd40
Description-en: simple face detector for batch processing
 Facedetect is a simple face detector for batch processing. It answers
 the basic question: "Is there a face in this image?" and gives back
 either an exit code or the coordinates of each detected face in the
 standard output.
 .
 The aim is to provide a basic command-line interface that's consistent
 and easy to use with software such as ImageMagick, while progressively
 improving the detection algorithm over time.
 .
 facedetect is used in software such as fgallery to improve the thumbnail
 cutting region, so that faces are always centered.

Package: fact++
Description-md5: 88cb5425bc675c40172d29e65d93fe2e
Description-en: description logic reasoner for the semantic web
 FaCT++ is a DL reasoner.
 It supports OWL DL
 as well as the forthcoming standard OWL 1.1.
 FaCT++ is implemented in C++
 and uses optimised tableaux algorithms.
 The tool is probably best known
 for its compatibility with the tool Protege
 that helps to formally represent semantics.

Package: facter
Description-md5: 88cdf9a1db3df211de4539a0570abd0a
Description-en: collect and display facts about the system
 Facter is Puppet’s cross-platform system profiling library. It discovers and
 reports per-node facts, which are collected by the Puppet agent and are made
 available in Puppet manifests as variables. Facter comes with a number of
 built-in facts providing information about the operating system, hardware, SSH
 keys, etc., but is also easily extensible through the following interfaces:
 .
  * Through custom facts using Facter's Ruby API.
  * Through `external' facts which can be either executables, or static data
    files.
 .
 This package contains the facter binary and the ruby module making facter
 available to Puppet.

Package: facter-dev
Description-md5: f0300b0d3b0180f50268167734094386
Description-en: collect and display facts about the system -- development files
 Facter is Puppet’s cross-platform system profiling library. It discovers and
 reports per-node facts, which are collected by the Puppet agent and are made
 available in Puppet manifests as variables. Facter comes with a number of
 built-in facts providing information about the operating system, hardware, SSH
 keys, etc., but is also easily extensible through the following interfaces:
 .
  * Through custom facts using Facter's Ruby API.
  * Through `external' facts which can be either executables, or static data
    files.
 .
 This package contains development files allowing 3rd party applications to use
 facter.

Package: fadecut
Description-md5: 2c62103d059be9534d909858c11809d8
Description-en: toolset to rip audiostreams, cut, fade in/out and tag the resulting audiofiles
 fadecut is a script to rip audio files from a livestream and to process them
 automatically. Beginning and ending fo the audio files will be cut and faded
 in/out. So logos and advertising of radio stations will be automatically
 removed.
 .
 In streaming mode fadecut is ripping audio files using streamripper. The
 downloaded audio files are cutted using silence detection and then faded in
 and out. Subsequently, the files are encoded to either ogg or mp3 and tagged
 with tags (title, artist, genre and comment provided).
 .
 In file mode fadecut is just processing already ripped audio files.
 .
 An audio file which has already been processed is detected and will not be
 processed again. Not desired songs can be stored in a separate directory. This
 files are not processed anymore too.

Package: faenza-icon-theme
Description-md5: 941fa312255dd677f04be27f31c278b0
Description-en: Faenza icon theme
 The Faenza icon theme provide monochromatic icons for panels, toolbars and
 buttons and squared colorful icons for applications, devices, folders and
 files.

Package: fai-client
Description-md5: d510270ba6a996cf1844db50b57d18b3
Description-en: Fully Automatic Installation client package
 FAI is a non-interactive system to install, customize and manage
 Linux systems and software configurations on computers as well as
 virtual machines and chroot environments, from small networks to
 large infrastructures and clusters.
 .
 This is the client package for FAI. It contains the parts necessary
 to run the softupdate functionality on already installed systems, and
 is needed for the dirinstall functionality and others on a FAI server.

Package: fai-doc
Description-md5: 702a63c0a6648b16120a1ef62c708336
Description-en: Documentation for FAI
 FAI is a non-interactive system to install, customize and manage
 Linux systems and software configurations on computers as well as
 virtual machines and chroot environments, from small networks to
 large infrastructures and clusters.
 .
 This is the documentation for FAI in various formats.

Package: fai-nfsroot
Description-md5: b5d1e588ca6eb3b6fc05576a970d8a90
Description-en: Fully Automatic Installation nfsroot package
 FAI is a non-interactive system to install, customize and manage
 Linux systems and software configurations on computers as well as
 virtual machines and chroot environments, from small networks to
 large infrastructures and clusters.
 .
 This package contains the software that is only needed in
 the FAI nfsroot. Do not install this package on a normal machine.

Package: fai-quickstart
Description-md5: 6133e284f954de0525a5b696f3b85cfe
Description-en: Fully Automatic Installation quickstart package
 FAI is a non-interactive system to install, customize and manage
 Linux systems and software configurations on computers as well as
 virtual machines and chroot environments, from small networks to
 large infrastructures and clusters.
 This package setups an install server with some basic
 examples. It should be removed when creating your own config space.
 .
 This package is a dependency package, which will install some
 packages needed for FAI.

Package: fai-server
Description-md5: 1e27025d1b394387d7508aa0ea17448b
Description-en: Fully Automatic Installation server package
 FAI is a non-interactive system to install, customize and manage
 Linux systems and software configurations on computers as well as
 virtual machines and chroot environments, from small networks to
 large infrastructures and clusters.
 .
 It's a tool for mass unattended Linux installation. You can take one
 or more virgin systems, turn on the power, and after a few minutes,
 the systems are installed, and completely configured to your exact
 needs, without any interaction necessary.
 .
 This package is needed for setting up a FAI install server for network
 installations, for running the dirinstall in a chroot environment, and
 to build installation CD/DVD's and USB sticks.

Package: fai-setup-storage
Description-md5: 502d77c2ec6201c8824b93be76330a6f
Description-en: automatically prepare storage devices
 Using FAI disk_config files, setup-storage computes effective
 partition and volume sizes and executes the necessary commands
 to configure storage devices. It manages disk drives as well
 as RAID and LVM volumes. It handles all file systems supported
 by parted(8) as well as ntfs but is flexible enough to be
 extended to further types as well. Once the storage devices
 are prepared, an appropriate fstab(5) file is generated.
 .
 This package provides the setup-storage utility as separate
 package so it can be used independent from FAI as well.

Package: faifa
Description-md5: 1861b35b3a6ec351b8a99a8eb891f131
Description-en: manage HomePlug 1.0/AV devices via ethernet frames
 Faifa is a network tool to remotely manage HomePlug 1.0 and HomePlug AV
 devices.
 .
 HomePlug 1.0 and HomePlug AV are specifications of Power Line Communication
 (PLC). PLC is a system for carrying data - network packets - over power line.
 <http://www.homeplug.org/>
 .
 This tool can configure, flash and collect statistics on thoses devices
 using private and public Ethernet frames.
 .
 This package contains Faifa tool.

Package: fail2ban
Description-md5: 2aaeac0c71609eb6bfd4102c0adc7275
Description-en: ban hosts that cause multiple authentication errors
 Fail2ban monitors log files (e.g. /var/log/auth.log,
 /var/log/apache/access.log) and temporarily or persistently bans
 failure-prone addresses by updating existing firewall rules.  Fail2ban
 allows easy specification of different actions to be taken such as to ban
 an IP using iptables or hostsdeny rules, or simply to send a notification
 email.
 .
 By default, it comes with filter expressions for various services
 (sshd, apache, proftpd, sasl, etc.) but configuration can be
 easily extended for monitoring any other text file.  All filters and
 actions are given in the config files, thus fail2ban can be adopted
 to be used with a variety of files and firewalls.  Following recommends
 are listed:
 .
  - iptables/nftables -- default installation uses iptables for banning.
    nftables is also supported. You most probably need it
  - whois -- used by a number of *mail-whois* actions to send notification
    emails with whois information about attacker hosts. Unless you will use
    those you don't need whois
  - python3-pyinotify -- unless you monitor services logs via systemd, you
    need pyinotify for efficient monitoring for log files changes

Package: fair
Description-md5: 6505b050389fb152164db062ef5b088d
Description-en: high availability load balancer for TCP connections
 Fair is a system for implementing load balancers; it consists of two daemons:
 carrousel and transponder. Carrousel, which performs load balancing services,
 typically runs on a load balancer; transponder runs on the servers.
 .
 Fair understands network topologies where one node is reachable via more than
 one IP address; this allows not only the servers, but the network to be
 redundant as well. This distinguishes fair from other load balancers.

Package: fairymax
Description-md5: c24515dcc8f18304eae168c4ffe1a2a6
Description-en: xboard compatible chess and chess-variant engine
 Fairymax is a program that plays chess and chess variants. It uses the
 xboard/winboard chess-engine protocol to communicate. Apart from 'regular'
 chess (also known as the Mad-Queen variant), it can play Capablanca chess,
 gothic chess, knightmate, cylinder chess, berolina chess, superchess and
 courier chess.

Package: fake
Description-md5: f5f79f1edce6c36c8b2dfd518c63c27b
Description-en: IP address takeover tool
 Fake is a utility that enables the IP address be taken over by bringing up
 a second interface on the host machine and using gratuitous arp. Designed
 to switch in backup servers on a LAN.

Package: fake-hwclock
Description-md5: ac2c87ffee999d93855a0d038bd06be6
Description-en: Save/restore system clock on machines without working RTC hardware
 Some machines don't have a working realtime clock (RTC) unit, or no
 driver for the hardware that does exist. fake-hwclock is a simple set
 of scripts to save the kernel's current clock periodically (including
 at shutdown) and restore it at boot so that the system clock keeps at
 least close to realtime. This will stop some of the problems that may
 be caused by a system believing it has travelled in time back to
 1970, such as needing to perform filesystem checks at every boot.
 .
 On top of this, use of NTP is still recommended to deal with the fake
 clock "drifting" while the hardware is halted or rebooting.

Package: fakechroot
Description-md5: 0c165550cf5523a24566df225595c60f
Description-en: gives a fake chroot environment - utilities
 The fakechroot preloads a library which overrides libc functions, so
 it is possible to use root-specific tools without root privileges.
 .
 In fake chroot you can install i.e. Debian bootstrap, create developer's
 environment and build packages inside chroot'ed system using standard
 non-root user account.

Package: fakemachine
Description-md5: d1504a7a26fa66e387cf83c24a3daf1e
Description-en: create and spawn virtual machines for building images with debos.
 Create and spawn virtual machines for building images with debos tool.

Package: faker
Description-md5: 96b90c38ccac96c0b45e5d5bb1400462
Description-en: Python program that generates fake data
 The fake data can be used to bootstrap a database, create XML documents, or
 anonymize data taken from a production service.
 .
 This script is powered by the Python faker library.

Package: fakeroot-ng
Description-md5: 7eafa938299f0f29f51b702690fd5b0e
Description-en: Gives a fake root environment
 This is a clean reimplementation of the fakeroot command. Just like it,
 anything run within the fake root command will assume it is running as root.
 Unlike it, fakeroot-ng is not based on LD_PRELOAD but on ptrace.
 .
 The practical upshot of this is that the technology supports intercepting the
 "open" system call. In particular, this allows simulating the "chroot" command
 reliably.
 .
 Another important difference is that fakeroot-ng can fool applications that do
 not perform system calls through glibc, such as statically linked executables
 and programs written in some non-C languages (like the latest Sun JDK).

Package: faketime
Description-md5: 583f56c26c345706c1147c5561a549d0
Description-en: Report faked system time to programs (command-line tool)
 The Fake Time Preload Library (FTPL, a.k.a. libfaketime) intercepts
 various system calls which programs use to retrieve the current date
 and time. It can then report faked dates and times (as specified by
 you, the user) to these programs. This means you can modify the
 system time a program sees without having to change the time
 system-wide. FTPL allows you to specify both absolute dates (e.g.,
 2004-01-01) and relative dates (e.g., 10 days ago).
 .
 This package contains a "faketime" binary that makes it easy to use
 the LD_PRELOAD library.

Package: falkon
Description-md5: ec499970620cf035929466ac4ecd1b62
Description-en: lightweight web browser based on Qt WebEngine
 Falkon is a new and very fast Qt Webengine browser. It aims to be a
 lightweight web browser available through all major platforms.
 .
 Falkon has all standard functions you expect from a web browser. It
 includes bookmarks, history (both also in sidebar) and tabs. Above
 that, you can manage RSS feeds with an included RSS reader, block ads
 with a builtin AdBlock plugin, block Flash content with Click2Flash
 and edit the local CA Certificates database with an SSL Manager.

Package: falselogin
Description-md5: 2e720ae4c33e6142246159e877738552
Description-en: false login shell
 Strange kind of 'shell' which don't let the user to
 log in. Before the next login prompt falselogin gives
 some info to the user.

Package: fam
Description-md5: 0162e0fe81eeea57a212c225162d917c
Description-en: File Alteration Monitor
 FAM monitors files and directories, notifying interested applications
 of changes.
 .
 This package provides a server that can monitor a given list of files
 and notify applications through a socket.  If the kernel supports
 dnotify (kernels >= 2.4.x) FAM is notified directly by the kernel.
 Otherwise it has to poll the files' status.  FAM can also provide an
 RPC service for monitoring remote files (such as on a mounted NFS
 filesystem).

Package: famfamfam-flag-gif
Description-md5: b6a572b77dda222550372b0818926ce6
Description-en: Free collection of countries' flags
 All flags which are contained in this package are named using the
 ISO3166-1 alpha-2 country codes where appropriate.
 .
 This package contains flags in GIF format.

Package: famfamfam-flag-png
Description-md5: 71d668c1b080cc71d4ba0806aa3080b7
Description-en: Free collection of countries' flags
 All flags which are contained in this package are named using the
 ISO3166-1 alpha-2 country codes where appropriate.
 .
 This package contains flags in PNG format.

Package: famfamfam-silk
Description-md5: 3ec4f78002e2f8a3042fa91ff8d48150
Description-en: smooth, free icon set, with over 700 16x16 pixel icons
 "Silk" is a smooth, free icon set, containing over 700 16-by-16 pixel
 icons in strokably-soft PNG format. Containing a large variety of icons,
 you're sure to find something that tickles your fancy.

Package: fancontrol
Description-md5: 40bbe60666b413905e3697f812762e1c
Description-en: utility to control the fan speed
 Lm-sensors is a hardware health monitoring package for Linux. It allows you
 to access information from temperature, voltage, and fan speed sensors. It
 works with most newer systems.
 .
 This package contains a daemon that calculates fan speeds from temperatures
 and sets the corresponding PWM outputs to the computed values. This is
 useful when this feature is not provided by the BIOS or ACPI, which should
 normally be the case on a laptop.

Package: fapg
Description-md5: be8013fed806e7b3dd3ee7168dfd91d6
Description-en: Fast Audio Playlist Generator
 FAPG is a tool to generate list of audio files (Wav, MP3, Ogg, etc)
 in various formats (M3U, PLS, XSPF, HTML, etc).

Package: farbfeld
Description-md5: fac7fa9f31cd71ca03217a096108794c
Description-en: Lossless image format which is easy to parse, pipe and compress
 Farbfeld is a lossless image format designed to be parsed and piped
 easily. It is designed to be as simple as possible, leaving the task
 of compression to outside tools, beating PNG's filesize in many
 cases.
 .
 This package contains tools for converting between farbfeld format
 and other image formats (png, jpeg, ppm, pam, gif).

Package: farpd
Description-md5: 9736568a111a3e2c65cb8d0606fbadf8
Description-en: Fake ARP user space daemon
 This ARP daemon replies to any ARP request for a set of IP addresses
 with the hardware MAC address of one of the interfaces of the
 server after determining that no other host in the network is claiming
 that IP.
 .
 This enables a single host to claim all unassigned addresses on a
 LAN for network monitoring or simulation purposes.

Package: fasd
Description-md5: bd3161d5739f59beb7b2629e52705448
Description-en: command-line productivity booster
 Fasd (pronounced similar to "fast") is a command-line productivity booster.
 Fasd offers quick access to files and directories for POSIX shells. It is
 inspired by tools like autojump, z and v. Fasd keeps track of files and
 directories you have accessed, so that you can quickly reference them in the
 command line.
 .
 The name fasd comes from the default suggested aliases f(files),
 a(files/directories), s(show/search/select), d(directories).

Package: fasm
Description-md5: bc56833ca51013cf84753cdfae7d97a4
Description-en: fast assembler for the x86 and x86-64 architectures
 Flat assembler is a fast, self-compilable assembly language compiler for the
 x86 and x86-64 architecture processors, which does multiple passes to optimize
 the size of generated machine code.

Package: fast5
Description-md5: 29ad35112fa0e0dc4cfa90fc249ba3d0
Description-en: utilities for manipulating Oxford Nanopore Fast5 files
 The data produced by Oxford Nanopore Technologies (ONT) sequencers
 are stored in fast5 files, based on the HDF5 file format, with one
 file per sequenced read. This package provides utilities to inspect
 these files, as well as to pack them for more effficient use.

Package: fastahack
Description-md5: 0011500d96ead2c1c2f909a5d62c5d95
Description-en: utility for indexing and sequence extraction from FASTA files
 fastahack is a small application for indexing and extracting sequences and
 subsequences from FASTA files.  The included Fasta.cpp library provides a FASTA
 reader and indexer that can be embedded into applications which would benefit
 from directly reading subsequences from FASTA files.  The library automatically
 handles index file generation and use.
 .
 Features:
  * FASTA index (.fai) generation for FASTA files
  * Sequence extraction
  * Subsequence extraction
  * Sequence statistics (currently only entropy is provided)
 .
 Sequence and subsequence extraction use fseek64 to provide fastest-possible
 extraction without RAM-intensive file loading operations.  This makes fastahack
 a useful tool for bioinformaticists who need to quickly extract many
 subsequences from a reference FASTA sequence.

Package: fastaq
Description-md5: 87737379b65cd806e7ab8b37901b7e08
Description-en: FASTA and FASTQ file manipulation tools
 Fastaq represents a diverse collection of scripts that perform useful and
 common FASTA/FASTQ manipulation tasks, such as filtering, merging, splitting,
 sorting, trimming, search/replace, etc. Input and output files can be gzipped
 (format is automatically detected) and individual Fastaq commands can be piped
 together.

Package: fastboot
Description-md5: 8b81c271aea186c016f4a979be743992
Description-en: Android fastboot tool
 A command line tool for flashing an Android device, boot an Android device to
 fastboot mode, etc..

Package: fastd
Description-md5: 000185f3aeae57fb6da286b5b030eb70
Description-en: Fast and Secure Tunneling Daemon
 A VPN daemon that has many features of OpenVPN and Tinc and is optimized
 for small code size and small number of dependencies. Fastd became
 popular on small devices like routers.

Package: fastdnaml
Description-md5: 54169e83396b506e0827efa278465930
Description-en: Tool for construction of phylogenetic trees of DNA sequences
 fastDNAml is a program derived from Joseph Felsenstein's version 3.3 DNAML
 (part of his PHYLIP package).  Users should consult the documentation for
 DNAML before using this program.
 .
 fastDNAml is an attempt to solve the same problem as DNAML, but to do so
 faster and using less memory, so that larger trees and/or more bootstrap
 replicates become tractable.  Much of fastDNAml is merely a recoding of the
 PHYLIP 3.3 DNAML program from PASCAL to C.
 .
 Note that the homepage of this program is not available any more and so
 this program will probably not see any further updates.

Package: fastep
Description-md5: a0d38cf863c780ab0f65c6a7a02e4ad5
Description-en: Adjust Python project to use fastentrypoints
 fastep will make sure fastentrypoints is included in MANIFEST.in and present
 in setup.py.
 .
 fastentrypoints make entry_points specified in setup.py load more quickly.

Package: fastforward
Description-md5: e93c346365a08d33be7f38f1f698d328
Description-en: handles qmail forwarding according to a cdb database
 It can create forwarding databases from a sendmail-style /etc/aliases or
 from user-oriented virtual-domain tables.
 .
 fastforward supports external mailing lists, stored in a binary format for
 fast access.  It has a tool to convert sendmail-style include files into
 binary lists.
 .
 fastforward is more reliable than sendmail.  sendmail can't deal with long
 aliases, or deeply nested aliases, or deeply nested include files;
 fastforward has no limits other than memory.  sendmail can produce corrupted
 alias files if the system crashes; fastforward is crashproof.
 .
 fastforward's database-building tools are much faster than sendmail's
 newaliases.  Even better, fastforward deliveries don't pause while the
 database is being rebuilt.
 .
 fastforward does not support insecure sendmail-style program deliveries from
 include files; you can use qmail's secure built-in mechanisms instead.
 fastforward does support program deliveries from /etc/aliases.

Package: fastjar
Description-md5: a0d7499d9046ecf1733ddfd9e23815be
Description-en: Jar creation utility
 Replacement for Suns .jar creation program.  It is written in C
 instead of java and is tons faster.

Package: fastjet-doc
Description-md5: faca48ed7b0a8ff3771daa8357c691c4
Description-en: Documentation of FastJet
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides documentation of FastJet.

Package: fastjet-examples
Description-md5: 5a3dd2fbc2860216c7da0322a7a2201e
Description-en: Example source files of FastJet
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides example source files of FastJet.

Package: fastlink
Description-md5: fbfa9c568ffdca8aabadc373c71dc6f2
Description-en: faster version of pedigree programs of Linkage
 Genetic linkage analysis is a statistical technique used to map
 genes and find the approximate location of disease genes. There
 was a standard software package for genetic linkage called
 LINKAGE. FASTLINK is a significantly modified and improved
 version of the main programs of LINKAGE that runs much faster
 sequentially, can run in parallel, allows the user to recover
 gracefully from a computer crash, and provides abundant new
 documentation. FASTLINK has been used in over 1000 published
 genetic linkage studies.
 .
 This package contains the following programs:
  ilink:    GEMINI optimization procedure to find a locally
            optimal value of the theta vector of recombination
            fractions
  linkmap:  calculates location scores of one locus against a
            fixed map of other loci
  lodscore: compares likelihoods at locally optimal theta
  mlink:    calculates lod scores and risk with two of more loci
  unknown:  identify possible genotypes for unknowns

Package: fastlink-doc
Description-md5: 8971fd72ec644445a88c96f27cc814fb
Description-en: Some papers about fastlink
 Genetic linkage analysis is a statistical technique used to map
 genes and find the approximate location of disease genes. There
 was a standard software package for genetic linkage called
 LINKAGE. FASTLINK is a significantly modified and improved
 version of the main programs of LINKAGE that runs much faster
 sequentially, can run in parallel, allows the user to recover
 gracefully from a computer crash, and provides abundant new
 documentation. FASTLINK has been used in over 1000 published
 genetic linkage studies.
 .
 You do not really need these papers about fastlink but it is highly
 recommended to study this documentation before starting with the
 tools of the fastlink package.

Package: fastml
Description-md5: 92de131cd4b319687b7cc386f50bb894
Description-en: maximum likelihood ancestral amino-acid sequence reconstruction
 FastML is a bioinformatics tool for the reconstruction of ancestral
 sequences based on the phylogenetic relations between homologous
 sequences. FastML runs several algorithms that reconstruct the ancestral
 sequences with emphasis on an accurate reconstruction of both indels and
 characters. For character reconstruction the previously described FastML
 algorithms are used to efficiently infer the most likely ancestral
 sequences for each internal node of the tree. Both joint and the
 marginal reconstructions are provided. For indels reconstruction the
 sequences are first coded according to the indel events detected within
 the multiple sequence alignment (MSA) and then a state-of-the-art
 likelihood model is used to reconstruct ancestral indels states. The
 results are the most probable sequences, together with posterior
 probabilities for each character and indel at each sequence position for
 each internal node of the tree. FastML is generic and is applicable for
 any type of molecular sequences (nucleotide, protein, or codon
 sequences).

Package: fastnetmon
Description-md5: a9cceac7382ec54a0ac123ac90d49277
Description-en: fast DDoS analyzer with sflow/netflow/mirror support (community edition)
 FastNetMon is a very high performance distributed denial-of-service attack
 (DDoS attack) detector built on top of multiple packet capture engines:
 NetFlow, IPFIX, sFLOW.
 .
 It can detect hosts in your networks sending or receiving large volumes of
 packets/bytes/flows per second. It can call an external script to notify you,
 switch off a server, or blackhole the client.
 .
 To enable sFLOW, simply specify IP of the server running FastNetMon and specify
 (configurable) port 6343. To enable netflow, simply specify IP of the server
 running FastNetMon and specify (configurable) port 2055.
 .
 This package contains the community edition.

Package: fastp
Description-md5: b12d006ef5f676f39736a747f70963ee
Description-en: Ultra-fast all-in-one FASTQ preprocessor
 All-in-one FASTQ preprocessor, fastp provides functions including quality
 profiling, adapter trimming, read filtering and base correction. It supports
 both single-end and paired-end short read data and also provides basic support
 for long-read data.

Package: fastqc
Description-md5: 3b9c41bdb218c0f04973c94902b58b8c
Description-en: quality control for high throughput sequence data
 FastQC aims to provide a simple way to do some quality control checks on
 raw sequence data coming from high throughput sequencing pipelines. It
 provides a modular set of analyses which you can use to give a quick
 impression of whether your data has any problems of which you should
 be aware before doing any further analysis.
 .
 The main functions of FastQC are
  * Import of data from BAM, SAM or FastQ files (any variant)
  * Providing a quick overview to tell you in which areas there may
    be problems
  * Summary graphs and tables to quickly assess your data
  * Export of results to an HTML based permanent report
  * Offline operation to allow automated generation of reports without
    running the interactive application

Package: fastqtl
Description-md5: 99b28ce00f41a878b63333da47a6ceb9
Description-en: Quantitative Trait Loci (QTL) mapper in cis for molecular phenotypes
 The goal of FastQTL is to identify single-nucleotide polymorphisms (SNPs)
 which are significantly associated with various molecular phenotypes
 (i.e. expression of known genes, cytosine methylation levels, etc).
 It performs scans for all possible phenotype-variant pairs in cis
 (i.e. variants located within a specific window around a phenotype).
 FastQTL implements a new permutation scheme (Beta approximation) to accurately
 and rapidly correct for multiple-testing at both the genotype and phenotype
 levels.

Package: fastqtl-doc
Description-md5: 47fd0038819302b80f54266228f0707b
Description-en: QTL mapper in cis for molecular phenotypes - documentation
 The goal of FastQTL is to identify single-nucleotide polymorphisms (SNPs)
 which are significantly associated with various molecular phenotypes
 (i.e. expression of known genes, cytosine methylation levels, etc).
 It performs scans for all possible phenotype-variant pairs in cis
 (i.e. variants located within a specific window around a phenotype).
 FastQTL implements a new permutation scheme (Beta approximation) to accurately
 and rapidly correct for multiple-testing at both the genotype and phenotype
 levels.
 .
 This package provides documentation and example data to work with FastQTL.

Package: fasttree
Description-md5: 92f3a33129721b36163994961abaa6df
Description-en: phylogenetic trees from alignments of nucleotide or protein sequences
 FastTree infers approximately-maximum-likelihood phylogenetic trees from
 alignments of nucleotide or protein sequences. It handles alignments
 with up to a million of sequences in a reasonable amount of time and
 memory. For large alignments, FastTree is 100-1,000 times faster than
 PhyML 3.0 or RAxML 7.
 .
 FastTree is more accurate than PhyML 3 with default settings, and much
 more accurate than the distance-matrix methods that are traditionally
 used for large alignments. FastTree uses the Jukes-Cantor or generalized
 time-reversible (GTR) models of nucleotide evolution and the JTT
 (Jones-Taylor-Thornton 1992) model of amino acid evolution. To account
 for the varying rates of evolution across sites, FastTree uses a single
 rate for each site (the "CAT" approximation). To quickly estimate the
 reliability of each split in the tree, FastTree computes local support
 values with the Shimodaira-Hasegawa test (these are the same as PhyML 3's
 "SH-like local supports").
 .
 This package contains a single threaded version (fasttree) and a
 parallel version which uses OpenMP (fasttreMP).

Package: fatattr
Description-md5: c5491e9a66f3140ee136aa27550bc1e0
Description-en: Utility to control attributes on a FAT filesystem
 FAT filesystems contain several attributes which do not map cleanly onto Unix
 attributes; this utility allow these to be controlled directly by unprivileged
 users on any mounted FAT partition.

Package: fatcat
Description-md5: 9b9d2142f82b3a6810eca01f3edb2104
Description-en: FAT filesystem explore, extract, repair, and forensic tool
 fatcat is a tool to explore, extract, repair and forensic FAT filesystem.
 Its features:
     - Get information about FAT filesystem;
     - Explore FAT file system;
     - Read file or extract directories;
     - Retrieve file & directories that are deleted;
     - Backup & restore the FAT tables;
     - Hack the FAT table by writing on it;
     - Hack the entries by changing clusters and file sizes;
     - Perform a search for orphaned files & directories;
     - Compare and merge the FAT tables;
     - Repair unallocated directories &  files;
     - Supports FAT12, FAT16 and FAT32.

Package: fathom
Description-md5: ebddd5372275039c211981ab4260fc18
Description-en: Command-line for probing Syzygy tablebases
 Fathom is a stand-alone Syzygy tablebase probing tool.
 .
 The aims of Fathom are:
  - To make it easy to integrate the Syzygy tablebases into existing
 chess engines.
  - To make it easy to create stand-alone applications that use the
 Syzygy tablebases.
 .
 This package contains the command-line tool.

Package: fatrace
Description-md5: 93aea8860e9021f1c584bfdfe25751c2
Description-en: report system wide file access events
 fatrace reports file access events from all running processes.
 Its  main  purpose  is to find processes which keep waking up the disk
 unnecessarily and thus prevent some power saving.
 .
 This package also contains a "power-usage-report" tool, which uses
 fatrace and powertop to build a textual report from one minute of
 measuring power usage and file accesses. This does not take any
 arguments or requires any interactivity, so is very simple to use and
 serves as a starting point for bug reports or optimizing a particular
 installation.

Package: fatresize
Description-md5: a5f451bc76450c0ab935c4eeafe6f8a6
Description-en: FAT16/FAT32 filesystem resizer
 Fatresize is a command line tool for non-destructive resizing of FAT16/FAT32
 partitions.
 .
 It is based on the GNU Parted library. The main target of the project is to be
 used with the EVMS FAT plugin.

Package: fatsort
Description-md5: 356b5f0f0275d0567dc7d272a2ac6f30
Description-en: utility for sorting FAT directory structures
 FATSort is a utility that sorts FAT16 and FAT32 partitions. It even
 can handle long file name entries. It reads the boot sector and sorts
 the directory structure recursively.
 This is for example useful for sorting the files on your MP3 player when
 it doesn't implement a sorting function itself.

Package: faucc
Description-md5: cf0881b9ae92e59a78336fdc1a5e188f
Description-en: C compiler generating Intel code for 16bit/32bit CPUs
 FAUcc is a optimizing C compiler, that can generate Intel code for
 16bit/32bit CPUS. It is useful, if you are in need to compile real
 mode code, like a BIOS.
 .
 FAUcc uses the GNU binutils for assembling/linking.

Package: faultstat
Description-md5: 060612fd6ddc0fbcb45187db611e071a
Description-en: page fault monitoring tool
 Faultstat reports the page fault activity of processes
 running on a system. The tool supports a 'top' like mode
 to dynamically display the top page faulting processes.

Package: faust
Description-md5: ae75a699d32c23f382348aac1a1d9d37
Description-en: functional programming language for realtime audio applications
 Faust is a functional programming language specifically designed for realtime
 audio applications and plugins. The syntax of the language is block diagram
 oriented. The faust compiler translate signal processing specifications into
 optimized C++ code for signal processing applications.
 .
 The generated code can be wrapped into an 'architecture file' in order to
 create for example a standalone jack/gtk application. Several architecture
 file are provided and additional ones are fairly easy to add.

Package: faust-common
Description-md5: a6c3a4c52b1d3850e6770f2f728a8eaf
Description-en: functional programming language for realtime audio applications - common files
 Faust is a functional programming language specifically designed for realtime
 audio applications and plugins. The syntax of the language is block diagram
 oriented. The faust compiler translate signal processing specifications into
 optimized C++ code for signal processing applications.
 .
 The generated code can be wrapped into an 'architecture file' in order to
 create for example a standalone jack/gtk application. Several architecture
 file are provided and additional ones are fairly easy to add.
 .
 This package contains the architecture independent files for faust.

Package: faustworks
Description-md5: 5cd965ee48a66b180d64e9a2be1a7c31
Description-en: IDE for Faust dsp programming language
 FaustWorks is an IDE (Integrated Development Environment)
 for the Faust dsp programming language.
 It relies on scripts to generate binaries for various audio targets.
 These scripts are located in
 /usr/share/FaustWorks/scripts.lin/

Package: fb-music-high
Description-md5: 1852e0ab6f2f6a9568ffe4663b3f8e88
Description-en: High quality, large music files for Frozen-Bubble
 Frozen-Bubble is a clone of the popular "Puzzle Bobble" game, featuring
 100 single-player levels and a two-player mode.
 .
 This package contains high quality music for Frozen-Bubble. The 16-bit
 samples used sound better than the 8-bit ones in fb-music-low, but are
 about twice as large.

Package: fbautostart
Description-md5: 52ac194b61a2fa64e56adfb49b2edad8
Description-en: XDG compliant autostarting app for Fluxbox
 The fbautostart app was designed to have little to no overhead, while
 still maintaining the needed functionality of launching applications
 according to the XDG spec.
 .
 This package contains support for GNOME and KDE.

Package: fbb
Description-md5: 99dbdfdadba8f46fe300784cccd3ba84
Description-en: Packet radio mailbox and utilities
 The fbb package contains software written by f6fbb for setting up a packet
 radio mailbox. It is intended for amateur radio operators. A short overview
 of some of the binaries:
 .
  * fbb: Script to start the daemon.
  * xfbbd: The daemon which listens for incoming connects.
  * epurmess: Delete messages based on age.
  * epurwp: White Pages maintenance.
  * reqdir: FBB server which requests directory listings.
  * xfbbC: B/W Ncurses console for xfbbd.

Package: fbcat
Description-md5: 37bef91d1c0a57141537400941ef2def
Description-en: framebuffer grabber
 fbcat grabs a screenshot of a framebuffer and stores in a PPM file.
 .
 This package also provides a compatibility wrapper around fbcat to ease
 migration from fbgrab.

Package: fbi
Description-md5: 75d3355ebe00d0a91b591363b1bb7a26
Description-en: Linux frame buffer image viewer
 This is an image viewer for Linux frame buffer devices.  It has
 built-in support for a number of common image file formats.  For
 unknown files, it tries to use convert from the ImageMagick package
 as an external converter.
 It also includes fbgs, a Postscript and PDF viewer.

Package: fbless
Description-md5: 4f53417fea7776e9aebc7edf16853442
Description-en: terminal fiction book reader
 Fbreader is ncurses fiction book (.fb2) reader with following
 features:
 .
  * customizable color themes
  * last viewed point saving
  * autoscroll mode
  * support for archived books
  * basic links support

Package: fbpager
Description-md5: 13bbd7653fc0572054d7787a395c37db
Description-en: a pager application for the Fluxbox window manager
 fbpager is a pager for Fluxbox with support of the following features:
 .
   - mouse gestures with button binding
   - great number of configuration items
   - alpha channel transparency
   - ability to reside in the slit

Package: fbpanel
Description-md5: 07c30bd66ec47a7a39067c60a42d5541
Description-en: lightweight X11 desktop panel
 FBPanel is a spinoff of the fspanel (f***ing small panel) with more eye candy.
 It provides a taskbar (list of all opened windows), desktop switcher,
 launchbar, clock, is EWMH/NETWM compliant, and has modest resource usage.

Package: fbreader
Description-md5: d5d9fd4160efb84c515b7fdc83408d1c
Description-en: e-book reader
 FBReader is an e-book reader.
 .
 Main features:
  * supports several open e-book formats: fb2, html, chm, plucker,
    palmdoc, ztxt, tcr (psion text), rtf, oeb, openreader, non-DRM'ed
    mobipocket, plain text, epub, eReader
  * reads directly from tar, zip, gzip, bzip2 archives (you can have
    several books in one archive)
  * supports a structured view of your e-book collection
  * automatically determines encodings
  * automatically generates a table of contents
  * keeps the last open book and the last read positions for all open books
    between runs
  * automatic hyphenation (patterns for several languages are included)
  * searching and downloading books from www.feedbooks.com and www.litres.ru
  * partial CSS support for epub files

Package: fbterm
Description-md5: e70d5cd58a75b890572e92dbed274675
Description-en: fast framebuffer based terminal emulator for Linux
 FbTerm is a fast terminal emulator for Linux with frame buffer device.
 .
 Features include:
  * mostly as fast as terminal of Linux kernel while accelerated scrolling is
    enabled on framebuffer device
  * select font with fontconfig and draw text with freetype2, same as Qt/Gtk+
    based GUI apps
  * dynamicly create/destroy up to 10 windows initially running default shell
  * record scrollback history for every window
  * auto-detect current locale and convert text encoding, support double width
    scripts like Chinese, Japanese etc
  * switch between configurable additional text encoding with hot keys
    on the fly
  * copy/past selected text between windows with mouse when gpm server is
    running

Package: fbterm-ucimf
Description-md5: 93fbdba6bd13b4564ac3e37ee26530e9
Description-en: ucimf input method interface for fbterm
 This package contains an input method interface for fbterm
 using ucimf, the Linux Unicode framebuffer console input
 method framework.

Package: fbtv
Description-md5: 663dab116ae5420d55e1f7ad2f3ddd95
Description-en: television viewer - Linux framebuffer application
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 FbTV is a Linux framebuffer application which displays television
 channels. It supports video4linux devices and requires a framebuffer
 device (vesafb, matroxfb, atyfb, etc.).

Package: fbxkb
Description-md5: 515aa5f18720d9ead876c644891e941f
Description-en: X11 keyboard indicator and switcher
 It shows a flag of current keyboard in a systray area and
 allows you to switch to another one. It's written in C and
 uses the GTK+2.4 library only (no GNOME is needed).

Package: fcc
Description-md5: 248c42abc853d5412528ffcf13d8a685
Description-en: Script to compile C/C++ programs and link to Fortran libraries
 LoopTools is a package for evaluation of scalar and tensor one-loop
 integrals based on the FF package by G.J. van Oldenborgh. It features
 an easy Fortran, C++, and Mathematica interface to the scalar
 one-loop functions of FF and in addition provides the 2-, 3-, and
 4-point tensor coefficient functions.
 .
 This package provides a script, fcc, to compile C and C++ programs
 and link them with Fortran libraries.

Package: fccexam
Description-md5: e1b4e54237415c1bc4863d13e8b717e8
Description-en: Study tool for USA FCC commercial radio license exams.
 fccexam is an interactive study guide for USA FCC commercial radio exams.
 question pool choices:
     T1 First Class Radiotelegraph: elements 1, 5, 6.
     T2 Second Class Radiotelegraph: elements 1, 5, 6.
     T3 Third Class Radiotelegraph: elements 1, 5.
     GROL General Radiotelephone Operator License: elements 1, 3.
     GROL+ General Radiotelephone Operator License + Radar: elements 1, 3, 8.
     MROP Marine Radio Operator Permit: element 1.
     GMDSS Global Maritime Distress Safety System Radio Operator: elements 1, 7.
     GMDSS+ GMDSS Radio Maintainer + Radar: elements 1, 7, 8, 9.
     RGMDSS Restricted GMDSS Radio Operator: elements 1, 7R.
 Questions are chosen randomly from the selected pool.
 Incorrect answers cause the question to be asked again later.
 Licenses are issued by the FCC, but exams are conducted by COLEM Examiners.
 For more information about FCC commercial radio licensing:
      http://wireless.fcc.gov/commoperators/index.htm?job=home

Package: fceux
Description-md5: 2670df7c21f44efb2e2f7f7d84ce71ae
Description-en: all-in-one NES/Famicom Emulator
 FCE Ultra X [FCEUX] is an emulator of the original (8-bit) Nintendo
 Entertainment System (NES) released under the GNU General Public License
 (GPL).  It includes the following features (and many more):
 .
       * fully customizable color palette rendering engine
       * complete sound emulation (all five channels)
       * support for up to four joystick controllers
       * zapper emulation for the mouse
       * GameGenie emulation
       * accepts compressed (PKZIP, gzip) ROM images
       * TCP/IP network play
       * Lua scripting

Package: fcgiwrap
Description-md5: 881efc149d864275058657a87469a456
Description-en: simple server to run CGI applications over FastCGI
 fcgiwrap is a simple server for running CGI applications over FastCGI.
 Its goal is to provide clean CGI support to the nginx webserver, although
 can be used with others.
 .
 fcgiwrap is lightweight and has no configuration, making it possible to
 use the same pool to run different sites.

Package: fcheck
Description-md5: aed14d5e1c591a79494ecd1dbaa12d11
Description-en: IDS filesystem baseline integrity checker
 The fcheck utility is an IDS (Intrusion Detection System)
 which can be used to monitor changes to any given filesystem.
 .
 Essentially, fcheck has the ability to monitor directories, files
 or complete filesystems for any additions, deletions, and modifications.
 It is configurable to exclude active log files, and can be run as often
 as needed from the command line or cron making it extremely difficult to
 circumvent.

Package: fcitx
Description-md5: 48946455e5414991f6dc21dbe93bed31
Description-en: Flexible Input Method Framework
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This metapackage pulls in a set of components recommended for most desktop
 users.

Package: fcitx-anthy
Description-md5: 4755345e0f0b14f5d6d96e1513391535
Description-en: Fcitx wrapper for Anthy IM engine
 fcitx-anthy is a wrapper of Anthy IM engine for Fcitx.
 .
 Anthy is a Japanese input method which converts hiragana text
 to mixed kana and kanji.

Package: fcitx-bin
Description-md5: d7ca7192390ede9c38e55c72c5ea04a3
Description-en: Flexible Input Method Framework - essential binaries
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the essential executable binaries. If you are
 looking for a working input experience, please install the package
 "fcitx" instead.

Package: fcitx-chewing
Description-md5: 8d6b360ad572eb04b242306bcc84dc8c
Description-en: Fcitx wrapper for Chewing library
 fcitx-chewing is a wrapper of Chewing IM engine for Fcitx.
 .
 Chewing is an intelligent phonetic input method library
 designed mainly for inputing Traditional Chinese..

Package: fcitx-config-common
Description-md5: 5551f0dbf18b55aee63b6383b17da4f8
Description-en: graphic Fcitx configuration tool - common files
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It is an ideal choice for the vast majority. Many of its features make
 users of Unix-like platforms have a fully modern input experience for
 the first time. It has also greatly lower the threshold for developers,
 making the development of extended functions much easier than ever before.
 .
 This package provides common files for the graphic configuration tool.

Package: fcitx-config-gtk
Description-md5: 65b9f05283b29f092b0dfabecf4e13b5
Description-en: graphic Fcitx configuration tool
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It is an ideal choice for the vast majority. Many of its features make
 users of Unix-like platforms have a fully modern input experience for
 the first time. It has also greatly lower the threshold for developers,
 making the development of extended functions much easier than ever before.
 .
 This package provides a graphical configuration tool.

Package: fcitx-data
Description-md5: 42e8f58b445b65a9a4751b762722db49
Description-en: Flexible Input Method Framework - essential data files
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the essential data files. If you are looking for a
 working input experience, please install the package "fcitx" instead.

Package: fcitx-dbus-status
Description-md5: b160719476cd3e6fe0fe8b99173b7f3e
Description-en: Addon for Fcitx to set/get/monitor IM statuses via D-Bus
 fcitx-dbus-status addon provides DBus interface such as
 org.fcitx.Fcitx.Status, and provides Get/Set/Changed methods on it.
 By accessing these interfaces, you can monitor or control status of
 input method with external command line tool such as dbus-send. As a
 typical use case, you can set "katakana" mode in the following
 example for fcitx-mozc.
 .
 dbus-send \
  --dest=org.fcitx.Fcitx \
  --type=method_call \
  --print-reply \
  /Status \
  org.fcitx.Fcitx.Status.Set \
  string:"mozc-composition-mode" \
  string:"katakana"

Package: fcitx-frontend-all
Description-md5: fdd8408bd7b35632703a45ff83860190
Description-en: Flexible Input Method Framework - frontends metapackage
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This metapackage pulls in the following frontends:
  * XIM protocol client
  * GTK+ 2/3 IM Module
  * Qt 5 IM Module

Package: fcitx-frontend-fbterm
Description-md5: 12277ca691e98d9f53e8b96510dd40d8
Description-en: Flexible Input Method Framework - FbTerm frontend
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the FbTerm frontend, which is recommended for
 users who does not use X.

Package: fcitx-frontend-gtk2
Description-md5: 913f69e89972190bb20a0be37970d626
Description-en: Flexible Input Method Framework - GTK+ 2 IM Module frontend
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the GTK+ 2 IM Module frontend, which is
 recommended for all desktop users.

Package: fcitx-frontend-gtk3
Description-md5: ab2f3c0fca05edf6a17708bd09c41d6f
Description-en: Flexible Input Method Framework - GTK+ 3 IM Module frontend
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the GTK+ 3 IM Module frontend, which is
 recommended for all desktop users. This is the best solution if you are
 looking for a better input experience with GTK+ 3 applications.

Package: fcitx-frontend-qt5
Description-md5: 91c0b0047102974e18f3e144ae30b137
Description-en: Free Chinese Input Toy of X - Qt5 IM Module frontend
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables.
 It is highly modularized and extensible,
 with GTK+ 2/3 and Qt 4/5 IM Modules, support for UIs based on Fbterm,
 pure Xlib, GTK+, or KDE, and a developer-friendly API.
 .
 This package provides the Qt5 IM Module frontend, which is necessary
 for Fcitx users who would like to use Qt5 applications.

Package: fcitx-googlepinyin
Description-md5: c71c9de6fbaff2816beff45c2761a9fc
Description-en: Fcitx wrapper for Google Pinyin IM engine
 fcitx-googlepinyin is a wrapper of Google Pinyin IM engine for Fcitx.
 .
 Google Pinyin is a fork of Google Pinyin on Android, it features
 to have excellent input experience and uses little resource.

Package: fcitx-hangul
Description-md5: 54bcfd54bf52ec29dfba4a8245b4b310
Description-en: Free Chinese Input Toy of X - hangul module
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It is an ideal choice for the vast majority. Many of its features make
 users of Unix-like platforms have a fully modern input experience for
 the first time. It has also greatly lower the threshold for developers,
 making the development of extended functions much easier than ever before.
 .
 This package provides the hangul module, which uses libhangul,
 the Hangul keyboard input library.

Package: fcitx-imlist
Description-md5: 588b2ee40c61c3aae45ecba406c1c04e
Description-en: Command-line utility to switch list of Fcitx IM
 fcitx-imlist is a small program to show or change input method list.
 .
 This software is useful for the people who switch keyboard
 layout frequently - sometimes use equipped keyboard of mobile PC,
 sometimes use different layout of external keyboard with that PC.

Package: fcitx-kkc
Description-md5: 880ff60c4246cc551da9f11a3490b2f9
Description-en: Fcitx wrapper for libkkc IM engine
 fcitx-kkc is a wrapper of libkkc IM engine for Fcitx.
 .
 libkkc provides a converter from Japanese Kana-string to
 Kana-Kanji-mixed-string.
 .
 This package provides fcitx module for libkkc.

Package: fcitx-kkc-dev
Description-md5: 40e92728216a417b34ea957aa09ab186
Description-en: Fcitx wrapper for libkkc - library development files
 fcitx-kkc is a wrapper of libkkc IM engine for Fcitx.
 .
 libkkc provides a converter from Japanese Kana-string to
 Kana-Kanji-mixed-string.
 .
 This package provides the library development files.

Package: fcitx-libpinyin
Description-md5: e742e4cc0d7272325bcd66a69d08e6a4
Description-en: Fcitx wrapper for libpinyin
 fcitx-libpinyin is a wrapper of libpinyin for Fcitx.
 .
 libpinyin is a set of core algorithms designed for intelligent
 sentence-based Chinese PinYin input methods.

Package: fcitx-libs
Description-md5: 1937e17442e394198c06f693e7d38af7
Description-en: Flexible Input Method Framework - metapackage for libraries
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package depends on all public libraries of fcitx.

Package: fcitx-libs-dev
Description-md5: 015c2330a25d4e926fa1c1160167996d
Description-en: Flexible Input Method Framework - library development files
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the library development files.

Package: fcitx-m17n
Description-md5: 607d4ff4a0aa501e6c8a76b2bb9e618a
Description-en: Free Chinese Input Toy of X - m17n module
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It is an ideal choice for the vast majority. Many of its features make
 users of Unix-like platforms have a fully modern input experience for
 the first time. It has also greatly lower the threshold for developers,
 making the development of extended functions much easier than ever before.
 .
 This package provides the m17n module, which uses libm17n, a
 multilingual text processing library for the C language.

Package: fcitx-module-autoeng-ng
Description-md5: 2645da6a25d4e98f6aeb3a5feee27025
Description-en: Fcitx autoeng module for Sogou pinyin
 fcitx-module-autoeng-ng is a module for Sogou pinyin

Package: fcitx-module-cloudpinyin
Description-md5: 8c41972b64f4ffd2936778a9e76e4ea6
Description-en: Flexible Input Method Framework - cloudpinyin module
 Fcitx is the Flexible Input Method Framework, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It is an ideal choice for the vast majority. Many of its features make
 users of Unix-like platforms have a fully modern input experience for
 the first time. It has also greatly lower the threshold for developers,
 making the development of extended functions much easier than ever before.
 .
 This package provides the cloudpinyin module, which supports to make
 use of Pinyin APIs on the Internet and display the first result as the
 second input candidate.
 .
 Please be aware by installing and enabling this module, Fcitx will send
 your input data to the Internet to retrieve result candidates.

Package: fcitx-module-dbus
Description-md5: 10c1b7c9c0afddd02118b10fe15b3e15
Description-en: Flexible Input Method Framework - D-Bus module and IPC frontend
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the D-Bus module and IPC interface which are directly
 used by IM Modules and KIMPanel support for instance.

Package: fcitx-module-fullwidthchar-enhance
Description-md5: 2a5c743529dd7d7be2bce92b8db02105
Description-en: Fcitx fullwidthchar enhance module for Sogou pinyin
 fcitx-module-fullwidthchar is a module for Sogou pinyin

Package: fcitx-module-kimpanel
Description-md5: a8c096726c9a18de8555895b33baacc1
Description-en: Flexible Input Method Framework - KIMPanel protocol module
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the KIMPanel protocol module of Fcitx, which
 implements a protocol to talk with D-Bus and is usually needed by the
 plugin for environments like KIMPanel/(Q)KIMToy, GNOME Shell and Unity.

Package: fcitx-module-lua
Description-md5: 9807abcdcc78989a1f987564086c2c33
Description-en: Flexible Input Method Framework - Lua module
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the Lua module, which enables users to extend their
 usage with the Lua programming language.

Package: fcitx-module-punc-ng
Description-md5: c58d3339769492fb216f9419a7d04b47
Description-en: Fcitx punc module for Sogou pinyin
 fcitx-module-punc-ng is a module for Sogou pinyin

Package: fcitx-module-x11
Description-md5: 4a273073f7c49b6bbd42565b6598afc0
Description-en: Flexible Input Method Framework - X11 module and XIM frontend
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the X11 module, X11 utilities used by other addons
 such as fcitx-ui-classic, and is required for all desktop users. An Xlibs
 based virtual keyboard module has been also included in this package for
 it's an obvious feature needed by many desktop users.
 .
 XIM frontend (client) is placed in this package too because of its nature
 of being the basic input protocol supported by X.

Package: fcitx-modules
Description-md5: 6b3716316dec75bd578bfc639ea7ae95
Description-en: Flexible Input Method Framework - core modules
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package includes the following common modules:
  * autoeng: automatically change to English mode while inputing specific string
  * chttrans: convert Simplified Chinese text to Traditional
  * fullwidthchar: full-width character input support
  * ipcportal: compatibility layer for ofd.portal.Fcitx
  * punc: punctuation conversion support
  * quickphrase: conveniently input English or from user-defined simple table
  * remote: change Fcitx state (deprecated, fcitx-remote uses D-Bus now)
  * spell: spell check support
  * keyboard: keyboard layout intergrate.

Package: fcitx-mozc
Description-md5: cc0f91c7fed6f08bdb82d8e08a339167
Description-en: Mozc engine for fcitx - Client of the Mozc input method
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables.
 It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules,
 support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and
 a developer-friendly API.
 .
 fcitx-mozc provides client part of the Mozc input method.

Package: fcitx-pinyin
Description-md5: a627f759473c155cf3ef27334b14578c
Description-en: Flexible Input Method Framework - classic Pinyin engine
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the classic Pinyin input method, which features
 very short responding time by loading the whole dict into RAM during
 activation.

Package: fcitx-qw
Description-md5: d259e2126b708ebab21196001412c3b1
Description-en: Flexible Input Method Framework - QuWei engine
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the QuWei input method.

Package: fcitx-rime
Description-md5: b43004c578ac1ed0e11c36084967d340
Description-en: Fcitx wrapper for RIME engine
 fcitx-rime is a wrapper of RIME engine for Fcitx.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects.

Package: fcitx-sayura
Description-md5: 28d0f8c2413094ed5367f8a4c6487da5
Description-en: Fcitx wrapper for Sayura IM engine
 fcitx-googlepinyin is a wrapper of Sayura IM engine for Fcitx.
 .
 Sayura is a quasi-transliteration scheme for Sinhala script.
 Sayura uses individual Latin characters to signify unmodified consonants.

Package: fcitx-skk
Description-md5: 1b603861061161960df563413aa574d9
Description-en: Japanese SKK input engine for Fcitx
 Japanese SKK input method engine for Fcitx,
 which uses libskk as its backend.
 .
 SKK stands for 'Simple Kana to Kanji conversion program'.
 It provide Japanese input method which convert hiragana text to kanji text.

Package: fcitx-sunpinyin
Description-md5: 7daba1fb9c0cdb53feeffb78faf7b549
Description-en: fcitx wrapper for Sunpinyin IM engine
 fcitx-sunpinyin is a wrapper for Sunpinyin IM engine.
 .
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.

Package: fcitx-table
Description-md5: 18baae2660ab33061bf6ba6d941ddee4
Description-en: Flexible Input Method Framework - table engine
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the table engine. By using this engine, Fcitx can
 support a large number of table-based input methods such as Wubi,
 WubiPinyin, etc.

Package: fcitx-table-all
Description-md5: c9cae5178d9d3f824ff4fb121248c54b
Description-en: Flexible Input Method Framework - tables metapackage
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This metapackage pulls in tables shipped with Fcitx main program:
  * Cangjie
  * Dianbaoma
  * Erbi
  * Bingchan
  * Wanfeng
  * WubiPinyin
  * Wubi
  * Ziranma

Package: fcitx-table-amharic
Description-md5: ce4ebd7b3ce823ee22cab69fb7bfd865
Description-en: Flexible Input Method Framework - Amharic table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Amharic table used by the Fcitx table engine.

Package: fcitx-table-arabic
Description-md5: 84d4d10e937f1b325b561d3b76cfd575
Description-en: Flexible Input Method Framework - Arabic table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Arabic table used by the Fcitx table engine.

Package: fcitx-table-array30
Description-md5: 71f523078f1e4be28da78a73c0a7250b
Description-en: Flexible Input Method Framework - Array30 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Array30 table used by the Fcitx table engine.

Package: fcitx-table-array30-big
Description-md5: fc0f2695d9de5d3edd95af0d1c6af8b1
Description-en: Flexible Input Method Framework - Array30-Big table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Array30-Big table used by the Fcitx table engine.

Package: fcitx-table-bingchan
Description-md5: 5d293dfecdc0a17a74b4bf86eb4c9068
Description-en: Flexible Input Method Framework - Bingchan table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Bingchan table used by the Fcitx table engine.

Package: fcitx-table-boshiamy
Description-md5: 3eb3edd27b57bb077402b348b46de4c0
Description-en: Flexible Input Method Framework - Boshiamy table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Boshiamy table used by the Fcitx table engine.

Package: fcitx-table-cangjie
Description-md5: 831359bd322a48ce73e67daaa8162ab7
Description-en: Flexible Input Method Framework - Cangjie table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Cangjie table used by the Fcitx table engine.

Package: fcitx-table-cangjie-big
Description-md5: 913733282479b5453d8ceff79ef64b5a
Description-en: Flexible Input Method Framework - Cangjie-Big table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Cangjie-Big table used by the Fcitx table engine.

Package: fcitx-table-cangjie3
Description-md5: 5e340f2e4e754ab54dbded0265d981c0
Description-en: Flexible Input Method Framework - Cangjie3 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Cangjie3 table used by the Fcitx table engine.

Package: fcitx-table-cangjie5
Description-md5: cff2123550aed1202e2d460c723912de
Description-en: Flexible Input Method Framework - Cangjie5 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Cangjie5 table used by the Fcitx table engine.

Package: fcitx-table-cantonese
Description-md5: b7a905db45f283694b9245787f207ec7
Description-en: Flexible Input Method Framework - Cantonese table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Cantonese table used by the Fcitx table engine.

Package: fcitx-table-cantonhk
Description-md5: be2ccf2ae4185c70cc29dda00e18e931
Description-en: Flexible Input Method Framework - Cantonhk table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Cantonhk table used by the Fcitx table engine.

Package: fcitx-table-cns11643
Description-md5: 9ae7e156c50fadfcb55ce4370341ae3c
Description-en: Flexible Input Method Framework - Cns11643 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Cns11643 table used by the Fcitx table engine.

Package: fcitx-table-compose
Description-md5: be001d3f00c95cca72ef77590912947b
Description-en: Flexible Input Method Framework - Compose table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Compose table used by the Fcitx table engine.

Package: fcitx-table-dianbaoma
Description-md5: 01058277c9f4aa507b9f161d965c85ac
Description-en: Flexible Input Method Framework - Dianbaoma table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Dianbaoma table used by the Fcitx table engine.

Package: fcitx-table-easy-big
Description-md5: a330f92f714f9a22dfa13534d07558b6
Description-en: Flexible Input Method Framework - Easy-Big table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Easy-Big table used by the Fcitx table engine.

Package: fcitx-table-emoji
Description-md5: bfb93b1248edf837dbc02301df655ff1
Description-en: Flexible Input Method Framework - Emoji table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Emoji table used by the Fcitx table engine.

Package: fcitx-table-erbi
Description-md5: 71989735680c55946f40b7efac936341
Description-en: Flexible Input Method Framework - Erbi table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Erbi table used by the Fcitx table engine.

Package: fcitx-table-ipa-x-sampa
Description-md5: 9a5a3dd259ae8e24fb82f934b21fbb9e
Description-en: Flexible Input Method Framework - IPA-X-SAMPA table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides IPA-X-SAMPA table used by the Fcitx table engine.

Package: fcitx-table-jyutping
Description-md5: a30af0da30b4975ec66991cf087d2757
Description-en: Flexible Input Method Framework - Jyutping table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Jyutping table used by the Fcitx table engine.

Package: fcitx-table-latex
Description-md5: ef584ec4eba98afe0a9d60531897029f
Description-en: Flexible Input Method Framework - LaTeX table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides LaTeX table used by the Fcitx table engine.

Package: fcitx-table-malayalam-phonetic
Description-md5: 16d0ad248b31702ff0b6405b1f2a48e2
Description-en: Flexible Input Method Framework - Malayalam phonetic table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Malayalam phonetic table used by the Fcitx table engine.

Package: fcitx-table-quick-classic
Description-md5: 0e83062b24f9f191fc6eecf87bff66da
Description-en: Flexible Input Method Framework - Quick-Classic table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Quick-Classic table used by the Fcitx table engine.

Package: fcitx-table-quick3
Description-md5: cdae993497b58a05075545e819dc34d2
Description-en: Flexible Input Method Framework - Quick3 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Quick3 table used by the Fcitx table engine.

Package: fcitx-table-quick5
Description-md5: e559d6e07bb3524a8e6a609a447cf942
Description-en: Flexible Input Method Framework - Quick5 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Quick5 table used by the Fcitx table engine.

Package: fcitx-table-rustrad
Description-md5: 63c59fe9c4479b03e78a0eb6c1fc63e0
Description-en: Flexible Input Method Framework - Rustrad table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Rustrad table used by the Fcitx table engine.

Package: fcitx-table-scj6
Description-md5: 8d3142982578b3bd34dfae1b1e55f3ee
Description-en: Flexible Input Method Framework - Scj6 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Scj6 table used by the Fcitx table engine.

Package: fcitx-table-stroke5
Description-md5: 071330b78c056e12a8b57fd4b9bcad57
Description-en: Flexible Input Method Framework - Stroke5 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Stroke5 table used by the Fcitx table engine.

Package: fcitx-table-t9
Description-md5: c669cbae9322d3919bc82166302b658b
Description-en: Flexible Input Method Framework - T9 table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides T9 table used by the Fcitx table engine.

Package: fcitx-table-tamil-remington
Description-md5: 46927a6bdb1ed9499a222f96ed8048df
Description-en: Flexible Input Method Framework - Tamil Remington table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Tamil Remington table used by the Fcitx table engine.

Package: fcitx-table-thai
Description-md5: 2b8a907f75ebbe9721c5b2d566386ed6
Description-en: Flexible Input Method Framework - Thai table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Thai table used by the Fcitx table engine.

Package: fcitx-table-translit
Description-md5: 30ae97e9aa57e4b878d170f074b2f5cc
Description-en: Flexible Input Method Framework - Translit table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Translit table used by the Fcitx table engine.

Package: fcitx-table-translit-ua
Description-md5: fca533b7cfb7b90f9d3facc2e04e7ac1
Description-en: Flexible Input Method Framework - Ukrainian Translit table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Ukrainian Translit table used by the Fcitx table
 engine.

Package: fcitx-table-viqr
Description-md5: 05f59093c84535e28af83428e12b4fc8
Description-en: Flexible Input Method Framework - Viqr table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Viqr table used by the Fcitx table engine.

Package: fcitx-table-wanfeng
Description-md5: 9b7602c8ea0065e0f3ea95a8404db0ec
Description-en: Flexible Input Method Framework - Wanfeng table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Wanfeng table used by the Fcitx table engine.

Package: fcitx-table-wbpy
Description-md5: c488339f3da0af57b46805ef79108ca4
Description-en: Flexible Input Method Framework - WubiPinyin table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides WubiPinyin table used by the Fcitx table engine.

Package: fcitx-table-wu
Description-md5: 314212df97d35149f8086c2af1d63088
Description-en: Flexible Input Method Framework - Wu table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Wu table used by the Fcitx table engine.

Package: fcitx-table-wubi
Description-md5: db9f723aa4cfdaa68ac862e9e8a25b99
Description-en: Flexible Input Method Framework - Wubi table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Wubi table used by the Fcitx table engine.

Package: fcitx-table-wubi-large
Description-md5: 68cc2334f90d8cba39ccb2890d8d281d
Description-en: Flexible Input Method Framework - Wubi-Large table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Wubi-Large table used by the Fcitx table engine.

Package: fcitx-table-yawerty
Description-md5: b90ccfed4ddc5f4416988b7590fd5a52
Description-en: Flexible Input Method Framework - Yawerty table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Yawerty table used by the Fcitx table engine.

Package: fcitx-table-zhengma
Description-md5: 216ddfa919b0fd5f7b6badbb355b07b5
Description-en: Flexible Input Method Framework - Zhengma table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Zhengma table used by the Fcitx table engine.

Package: fcitx-table-zhengma-large
Description-md5: 5d667a6c3a483101462e70b15ef35fe7
Description-en: Flexible Input Method Framework - Zhengma-Large table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Zhengma-Large table used by the Fcitx table engine.

Package: fcitx-table-ziranma
Description-md5: f01e58a64f1d75206f168b1d8bfee26c
Description-en: Flexible Input Method Framework - Ziranma table
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides Ziranma table used by the Fcitx table engine.

Package: fcitx-tools
Description-md5: 297354294e5fad3d0195d09879307481
Description-en: Flexible Input Method Framework - various tools
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the following tools:
  * createPYMB: create a Pinyin data file (.mb)
  * mb2org: convert a .mb data file to .org file
  * mb2txt: convert a .mb data file to text file
  * readPYBase: read Pinyin base file
  * readPYMB: read Pinyin data file (.mb)
  * scel2org: convert scel data file (Sougou) to .org file
  * txt2mb: convert text file to .mb data file

Package: fcitx-ui-classic
Description-md5: 4c67497eae13f9dd59ad95cf2941b277
Description-en: Flexible Input Method Framework - Classic user interface
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package provides the classic user interface, which is suitable
 for most users. It also provides the following skins:
  * classic: Fcitx 3.x classic skin ported to new infrastructure
  * dark: the dark skin fits for dark desktop theme
  * default: the default skin

Package: fcitx-ui-light
Description-md5: b405f150d6f8c29f950f661fd0f96ca0
Description-en: light weight xlibs and xft based UI for Fcitx
 fcitx-ui-light is a very light weight UI for Fcitx, using only xlibs
 and xft. It is directly inherited from the UI code base of Fcitx 3.x
 series.
 .
 This UI is intended to work on all X11 environment with minimal
 dependencies, so it can scale to more situations where none of Cairo,
 Pango or QT is available.

Package: fcitx-ui-qimpanel
Description-md5: 3ead0198c4b22e636279a4dec7c056ff
Description-en: Flexible Input Method Framework - Qt IMPanel user interface
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 This package provides the Qt IMPanel user interface developed by
 Ubuntu Kylin Team.

Package: fcitx-unikey
Description-md5: 2179ba73a1cd09cfb8c1319fb07b4c15
Description-en: Fcitx wrapper for Unikey engine
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It is an ideal choice for the vast majority. Many of its features make
 users of Unix-like platforms have a fully modern input experience for
 the first time. It has also greatly lower the threshold for developers,
 making the development of extended functions much easier than ever before.
 .
 This package provides the wrapper for Unikey engine, which is an input
 method for Vietnamese.

Package: fcitx5
Description-md5: 74441cd1f269e8731c6fd9e9795bf882
Description-en: Next generation of Fcitx Input Method Framework
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides core fcitx5 framework files.

Package: fcitx5-chinese-addons
Description-md5: 7a9912999b6f481a1036452b6fd1ee66
Description-en: Chinese-related addon for fcitx5 (metapackage)
 For Chinese fcitx5 users, fcitx5-chinese-addons provides Chinese-specific
 pinyin and table input method support.
 .
 This package is a metapackage, which depends on all addons provided by
 fcitx5-chinese-addons project. Users should consider installing it for
 best experience of Chinese integration with fcitx5.

Package: fcitx5-chinese-addons-bin
Description-md5: a1861a3dd1e84eeca046355aac32f4cd
Description-en: Chinese-related addon for fcitx5 (binary tools)
 For Chinese fcitx5 users, fcitx5-chinese-addons provides Chinese-specific
 pinyin and table input method support.
 .
 This package provides binary tools provided by fcitx5-chinese-addons.

Package: fcitx5-chinese-addons-data
Description-md5: 09bfa4b4d427a02fdfeeac6b0ea3f530
Description-en: Chinese-related addon for fcitx5 (shared data files)
 For Chinese fcitx5 users, fcitx5-chinese-addons provides Chinese-specific
 pinyin and table input method support.
 .
 This package provides architecture-independent shared data files used by
 fcitx5-chinese-addons.

Package: fcitx5-data
Description-md5: 95dae970c4ac8f3710212ae6f0a7367a
Description-en: Fcitx Input Method Framework v5 (common data files)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides architecture-independent data files.

Package: fcitx5-frontend-gtk2
Description-md5: 683eef1e94d82d93eac409f87d1eec1c
Description-en: GTK2 IM Module for fcitx5
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the im module of fcitx5. Users of GTK2-based
 applications are highly recommended to have this package installed
 for better input method support.

Package: fcitx5-frontend-gtk3
Description-md5: 6d0f7fe92c03615ec8f7cd0a28e4a9c4
Description-en: GTK3 IM Module for fcitx5
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the im module of fcitx5. Users of GTK3-based
 applications are highly recommended to have this package installed
 for better input method support.

Package: fcitx5-frontend-qt5
Description-md5: 6a9c11c9ebd61c9459a262113dda8224
Description-en: IM module for fcitx5
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the Qt5 IM Module for fcitx5.

Package: fcitx5-module-chttrans
Description-md5: 9ee8aed63b6e2feac3c49cc09c7a7263
Description-en: Fcitx Input Method Framework v5 (chttrans module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the chttrans module.

Package: fcitx5-module-cloudpinyin
Description-md5: 7aae49058395fc13e6f5c5033e522925
Description-en: Fcitx Input Method Framework v5 (cloudpinyin module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the cloudpinyin module. It is used to enhance
 the input experience of Chinese pinyin users.

Package: fcitx5-module-cloudpinyin-dev
Description-md5: c90ee7037e8ddd6259920fd8676597df
Description-en: Development files for fcitx5 cloudpinyin module
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides development files for the cloudpinyin module
 of fcitx5.

Package: fcitx5-module-dbus
Description-md5: f2f6126919791610af9879f21e130a1c
Description-en: Fcitx Input Method Framework v5 (dbus module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides dbus module for fcitx5.

Package: fcitx5-module-fullwidth
Description-md5: 6a9d8385b885ddab7d40aa13c29e0b98
Description-en: Fcitx Input Method Framework v5 (fullwidth module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the chttrans module. It enhances the input
 experience of full-width characters.

Package: fcitx5-module-ibus
Description-md5: 845c3867e10440372c284604da91a86e
Description-en: Fcitx Input Method Framework v5 (ibus module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides ibus-compatibility module for fcitx5.

Package: fcitx5-module-kimpanel
Description-md5: 9e80dac0fe5ae1f411156c706a7fa5db
Description-en: Fcitx Input Method Framework v5 (kimpanel module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides kimpanel module for fcitx5.

Package: fcitx5-module-pinyinhelper
Description-md5: 1e0c96ffad88e83f395389fb0d8f3c07
Description-en: Fcitx Input Method Framework v5 (pinyinhelper module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the pinyinhelper module. It is used to enhance
 the input experience of Chinese pinyin users.

Package: fcitx5-module-pinyinhelper-dev
Description-md5: 7a9fb7f808a35fd35c96c118259bb6ea
Description-en: Development files for fcitx5 pinyinhelper module
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides development files for the pinyinhelper module
 of fcitx5.

Package: fcitx5-module-punctuation
Description-md5: 7c31e4c51377f0736bc489862d5430b4
Description-en: Fcitx Input Method Framework v5 (punctuation module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the punctuation module. It provides the
 capability to easily input punctuations.

Package: fcitx5-module-punctuation-dev
Description-md5: b86a909ed8778e6b8975b98a1abacea7
Description-en: Development files for fcitx5 punctuation module
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides development files for the punctuation module
 of fcitx5.

Package: fcitx5-module-quickphrase
Description-md5: 4f05c0ae80538e54914b48469c0f6758
Description-en: Fcitx Input Method Framework v5 (quickphrase module)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides quickphrase module for fcitx5.

Package: fcitx5-module-quickphrase-editor
Description-md5: 15b4adcaf73ac94198861bf51188e046
Description-en: Flexible Input Method Framework - Quick Phrase editor module
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt 4/5 IM Modules,
 support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a
 developer-friendly API.
 .
 This package provides the Quick Phrase Editor module for configuration tools
 including fcitx-config-gtk and kde-config-fcitx. It is compatible with both
 fcitx4 and fcitx5.

Package: fcitx5-module-wayland
Description-md5: 7f2e1673de6e4f0c7cff74af86547a37
Description-en: Fcitx Input Method Framework v5 (wayland modules)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides wayland-related modules for fcitx5.

Package: fcitx5-module-xorg
Description-md5: e24e1e90c2b5289e39bac64f6b0f2348
Description-en: Fcitx Input Method Framework v5 (xorg modules)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides xorg-related modules for fcitx5.

Package: fcitx5-modules
Description-md5: c1a18600f034d191196d17cedf54e869
Description-en: Fcitx Input Method Framework v5 (core modules)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides core modules for fcitx5 and depends on all
 input modules.

Package: fcitx5-modules-dev
Description-md5: bb1a3707a5d3647bb6828d47851ebbc8
Description-en: Fcitx Input Method Framework v5 (input modules dev files)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides development files for fcitx5 input modules.

Package: fcitx5-pinyin
Description-md5: d84cd64d7191c673b131625e0cd9efb9
Description-en: Fcitx Input Method Framework v5 (builtin pinyin support)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the builtin pinyin input method. It provides
 the default pinyin input method for Chinese users.

Package: fcitx5-table
Description-md5: a5b9a039fff50a84ec67477dac32f179
Description-en: Fcitx Input Method Framework v5 (builtin table support)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the table engine for fcitx5. With this
 engine, Fcitx5 is able to support a large number of table-based input
 methods such as Wubi, ZhengMa, etc.

Package: fcm
Description-md5: daeb9fad80013035404ef4cd03b8ec8d
Description-en: Flexible Configuration Manager
 FCM is a set of tools for managing and building source code.
 FCM uses Subversion for code management but defines a common process
 and naming convention to simplify usage.
 It adds a layer on top of Subversion to provide a more natural and
 user-friendly interface.
 .
 FCM features a powerful build system, mainly aimed at building modern
 Fortran software applications.

Package: fcml
Description-md5: f0298e255e0cc89ef4a0e45a7b1615b5
Description-en: single-line assembler and disassembler
 FCML, the Free Code Manipulation Library, is a general-purpose
 machine code manipulation library for i386 and amd64 architectures.
 It includes an assembler and disassembler, instruction renderers and
 parsers, and supports Intel and AT&T (gas) syntax.
 .
 It supports most recent instruction set extensions, including MMX,
 3D-Now!, SSE including 4.2 and 4A, AVX and AVX2, AES-NI, TBM, BMI1
 and BMI2, HLE, ADX, CLMUL, RDRAND, RDSEED, FMA, FMA4, LWP, SVM, XOP,
 VMX and SMX.
 .
 This package contains a simple but useful single-line assembler and
 disassembler.

Package: fcode-utils
Description-md5: dfcb8c3a232680ba841e3fd1869f5bfa
Description-en: OpenBIOS FCode utilities
 FCode is a Forth programming language dialect compliant with ANS Forth.
 It can exist in two forms; source code and a compiled version, known as
 bytecode. It is of interest mainly for its use in OpenFirmware.
 .
 This package provides a set of FCode utilities:
  - the tokenizer toke
  - the detokenizer detok
  - a PCI rom header utility
  - a portable implementation of Forth local values

Package: fcoe-utils
Description-md5: 409cbdd083eb83790b8e36329a62d199
Description-en: Fibre Channel over Ethernet utilities
 This package allows users to use Fibre Channel over Ethernet. It contains:
 fcoeadm - command line tool for configuring FCoE interfaces
 fcoemon - service to configure DCB Ethernet QOS filters, works with dcbd

Package: fcrackzip
Description-md5: 2887248ef69d8c1c66e81e9fd95b065b
Description-en: password cracker for zip archives
 fcrackzip is a fast password cracker partly written in assembler. It is
 able to crack password protected zip files with brute force or dictionary
 based attacks, optionally testing with unzip its results. It can also crack
 cpmask'ed images.
 .
 This package is useful for pentesters, ethical hackers and forensics
 experts.

Package: fd-find
Description-md5: 2e27cf51790f2b9dcc8effe94c0fe2a5
Description-en: Simple, fast and user-friendly alternative to find
 While it does not seek to mirror all of find's powerful functionality,
 fd provides sensible (opinionated) defaults for 80% of the use cases.
 .
 Features:
 .
  * Convenient syntax: ‘fd PATTERN’ instead of ‘find -iname '*PATTERN*'’.
  * Colorized terminal output (similar to ls).
  * It's fast, often faster than find.
  * Smart case.
  * Ignores hidden directories and files, by default.
  * Ignores patterns from your .gitignore, by default.
  * Regular expressions.
  * Unicode-awareness.
  * Parallel command execution with a syntax similar to GNU Parallel.
 .
  Please note: the executable and the associated manpage were renamed
  from ‘fd’ to ‘fdfind’ because of a file name clash.
 .
 This package contains the following binaries built from the Rust crate
 "fd-find":
  - fd

Package: fdclock
Description-md5: 845c791125d40d08dfb1ef0bd64f6a06
Description-en: freedesktop.org clock
 fdclock is a clock to show off many of Cairo's features: it features scalable
 widgets (including a scalable freedesktop.org logo as the background), and
 transparency.  When used with an X server that supports the Composite
 extension, you will be able to see proper transparency for the clock, and
 note how the hands/dots/etc cast darker individual shadows than the rest.
 .
 This package comes from the freedesktop.org git module:
 git://anongit.freedesktop.org/git/xorg/app/fdclock
 The module name is fdclock.

Package: fdclone
Description-md5: 8b2d7cc55db44260334b9ec18b278619
Description-en: console-base lightweight file manager
 FD (FD represents "File and Directory") is an easy-to-use
 file management tool for Un*x newbies.  As its name shows,
 this is a rewrite from scratch - the original version was written
 by Atsushi Idei for MS-DOS(tm) and once very popular in Japan.
 Messages are available either in English or in Japanese.

Package: fdflush
Description-md5: 01eaa8cf935e2ab9bd7909d1ee723602
Description-en: Flush out-of-date disk buffers
 fdflush is a band-aid for floppy (or other) drives with bad disk-change
 sensing. fdflush makes the system believe the disk-change switch has been
 triggered forcing the system to discard the buffered data.
 .
 If you have one of these slightly-broken disk drives, you'll have to run
 fdflush every time you change a disk.
 .
 fdflush is useful for computers which might be sleeping when you change
 floppies or other removable media.
 .
 The command "floppycontrol -f" does the same thing, but the
 fdutils package is significantly larger; it only uses the
 floppy-specific flush ioctl.
 .
 The command "blockdev --flushbufs" only uses the generic flush ioctl.

Package: fdm
Description-md5: a9b467877691119d131af0f900a2556c
Description-en: fetching, filtering and delivering emails
 fdm is a program to fetch mail and deliver it in various ways
 depending on a user-supplied ruleset. Mail may be fetched from
 stdin, IMAP or POP3 servers, or from local maildirs, and filtered
 based on whether it matches a regexp, its size or age, or the output
 of a shell command. It can be rewritten by an external process,
 dropped, left on the server or delivered into maildirs, mboxes, to a
 file or pipe, or any combination.
 .
 fdm is designed to be lightweight but powerful, with a compact but
 clear configuration syntax. It is primarily designed for single-user
 uses but may also be configured to deliver mail in a multi-user
 setup. In this case, it uses privilege separation to minimise the
 amount of code running as the root user.

Package: fdm-materials
Description-md5: fa1717316f5c80213ef84f95f7c47027
Description-en: 3D printing material definitions for Cura
 This package contains FDM (Fused Deposition Modelling) material definitions
 for use with the Cura 3D printing application.
 .
 It is recommended to install them along with Cura, but they are not required
 if you only use custom definitions.

Package: fdpowermon
Description-md5: de10ab67656e516d8e9818f5a019e05d
Description-en: simple battery power monitor for laptops with ACPI
 fdpowermon is a simple perl script that installs an icon in a system
 tray compatible with the freedesktop.org specification.
 .
 Every three seconds, fdpowermon calls acpi to find out what the current
 battery level is. It will set the output of the acpi command as a
 tooltip text, and will update the used icon as appropriate.
 .
 In addition, fdpowermon can optionally call perl subroutines when the
 power reaches a given level. No such subroutines are provided or
 enabled by default, however.

Package: fdpowermon-icons
Description-md5: daaa4651532b06734383950e4dab0cfe
Description-en: icons for fdpowermon
 fdpowermon is a simple perl script that installs an icon in a system
 tray compatible with the freedesktop.org specification.
 .
 By default, it uses an icon set from the 'oxygen' icon theme. However,
 that icon theme is fairly large (several tens of megabytes), which is a
 waste if the oxygen-icon-theme package is not installed for other
 reasons. Therefore, this package contains just those icons from the
 oxygen-icon-theme package that are needed by fdpowermon, and nothing
 more.

Package: fdroidcl
Description-md5: 2c17cf3ba98f9f581baf362a32db7398
Description-en: F-Droid desktop client
 F-Droid (https://f-droid.org/) desktop client.
 .
 While the Android client integrates with the system
 with regular update checks and notifications, this is a
 command line client that talks to connected devices via ADB
 (https://developer.android.com/tools/help/adb.html).  Quickstart Download
 the index: fdroidcl update

Package: fdroidserver
Description-md5: 1cfe8671ed59e0b2cce72f3d8f629ded
Description-en: F-Droid build server and repository tools for Android
 F-Droid is an installable catalogue of FOSS (Free and Open Source Software)
 applications for the Android platform. The client makes it easy to browse,
 install, and keep track of updates on your device.
 .
 The F-Droid server tools provide various scripts and tools that are used to
 maintain the main F-Droid application repository. You can use these same
 tools to create your own additional or alternative repository for publishing,
 or to assist in creating, testing and submitting metadata to the main
 repository.

Package: fdupes
Description-md5: d917c30aa3870e8a38071caa9a905475
Description-en: identifies duplicate files within given directories
 FDupes uses md5sums and then a byte by byte comparison to find
 duplicate files within a set of directories. It has several useful
 options including recursion.

Package: fdutils
Description-md5: e2b723712d21225aa16f5415960c53bb
Description-en: Linux floppy utilities
 This package contains utilities for formatting extra capacity
 disks, automatic floppy disk mounting and unmounting, etc.
 .
 The package includes the following items:
 .
  - superformat: formats high capacity disks (up to 1992k
    for high density disks or up to 3984k for extra density
     disks);
  - fdmount: automatically mounts/unmounts disks when they are
    inserted/removed;
  - xdfcopy: formats, reads and writes OS/2's XDF disks;
  - MAKEFLOPPIES: creates the floppy devices in /dev;
  - getfdprm: prints the current disk geometry (number of
    sectors, track and heads etc.);
  - setfdprm: sets the current disk geometry;
  - fdrawcmd: sends raw commands to the floppy driver;
  - floppycontrol: configures the floppy driver;
  - general documentation about the floppy driver.
 .
 Note that these utilities do not work for USB floppy drives, because
 these do not allow direct access to the floppy controller.

Package: feathernotes
Description-md5: d32db2fcf3084c7a6aecdbeb6ed1b11e
Description-en: Hierarchical notes-manager (binaries)
 FeatherNotes is a lightweight Qt5 hierarchical notes-manager for Linux. It is
 independent of any desktop environment.
 .
 This package contain the application files.

Package: feathernotes-l10n
Description-md5: b856eb17c10fb6d0bace6f9dc37c8a9d
Description-en: Hierarchical notes-manager (localization)
 FeatherNotes is a lightweight Qt5 hierarchical notes-manager for Linux. It is
 independent of any desktop environment.
 .
 This package contain the localization files.

Package: featherpad
Description-md5: d7d6633c0919f9d577114b9cce0fc19d
Description-en: Lightweight Qt5 plain-text editor
 FeatherPad is a lightweight Qt5 plain-text editor for Linux. It is independent
 of any desktop environment and comes with all features one would expect in a
 modern editor.
 .
 This package contains the application files.

Package: featherpad-l10n
Description-md5: 4061c7cd9df815333db75754151245f1
Description-en: Language package for featherpad
 FeatherPad is a lightweight Qt5 plain-text editor for Linux. It is independent
 of any desktop environment and comes with all features one would expect in a
 modern editor.
 .
 This package contains the l10n files needed by the featherpad.

Package: feature-check
Description-md5: 37cf274f368a46ac5bd1c9e0b4ddf508
Description-en: tool to query a program for supported features
 The feature-check tool obtains the list of supported features from
 a program via various methods (e.g. running it with the --features
 command-line option) and allows other programs to check for
 the presence and, possibly, versions of specific features.
 .
 This package contains the command-line tool for use by any program.

Package: feed2exec
Description-md5: 79fa459a8fe8befaaf922108968d2cf0
Description-en: programmable feed reader
 feed2exec is a simple program that runs custom actions on new RSS
 feed items (or whatever feedparser can read). It currently has
 support for writing into mailboxes (Maildir or mbox folders) or
 executing commands, but more actions can be easily implemented
 through plugins. Email are saved as multipart plain/HTML and can be
 sent to arbitrary folders.

Package: feed2exec-doc
Description-md5: e5ebd6f39fb1e61ec32d7d4fb4739e70
Description-en: programmable feed reader - documentation files
 feed2exec is a simple program that runs custom actions on new RSS
 feed items (or whatever feedparser can read). It currently has
 support for writing into mailboxes (Maildir or mbox folders) or
 executing commands, but more actions can be easily implemented
 through plugins. Email are saved as multipart plain/HTML and can be
 sent to arbitrary folders.
 .
 HTML documentation for the feed2exec feed reader.

Package: feed2imap
Description-md5: b906d36b2eb0e02e558bb4b0f55bc73a
Description-en: feed aggregator (RSS/Atom) which puts items on a IMAP mail server
 Feed2Imap is an RSS/Atom feed aggregator. After Downloading
 feeds (over HTTP or HTTPS), it uploads them to a specified
 folder of an IMAP mail server. The user can then access the
 feeds using a mail reader like Mutt, Evolution, Mozilla
 Thunderbird or even a webmail.
 .
 The main advantage over rss2email is that feed2imap uploads
 emails itself to a specified folder. You don't have to play
 with procmail or your MUA's filtering rules to classify mails.

Package: feed2toot
Description-md5: eb3461830248b1c813ab5a28effb4fc9
Description-en: automatically parses rss feeds, and posts entries to the fediverse
 feed2toot is a Python application that:
  - automatically parses rss feeds
  - identifies new posts
  - posts these entries on the Mastodon social network

Package: feedgnuplot
Description-md5: f0873994e773a6073cfe1c79ac24b72e
Description-en: Pipe-oriented frontend to Gnuplot
 Flexible, command-line-oriented frontend to Gnuplot. Creates plots from data
 coming in on STDIN or given in a filename passed on the commandline. Various
 data representations are supported, as is hardcopy output and streaming display
 of live data.

Package: feedreader
Description-md5: 587672bb1468a382abf44fab7997684d
Description-en: simple client for online RSS services like tt-rss and others
 Modern desktop application designed to complement existing web-based RSS
 accounts.
 .
 FeedReader combines all the advantages of web based services like
 synchronisation across all your devices with everything you expect from a
 modern desktop application.

Package: feersum
Description-md5: b28d591f0efcae435f4eeb3e8afb6a7f
Description-en: PSGI engine for Perl based on EV/libev
 Feersum is an HTTP server built on EV. It fully supports the PSGI 1.03 spec
 including the psgi.streaming interface and is compatible with Plack and PSGI
 1.1. Feersum also has its own "native" interface which is similar in a lot of
 ways to PSGI, but is not compatible with PSGI or PSGI middleware.
 .
 Feersum uses a single-threaded, event-based programming architecture to scale
 and can handle many concurrent connections efficiently in both CPU and RAM. It
 skips doing a lot of sanity checking with the assumption that a "front-end"
 HTTP/HTTPS server is placed between it and the Internet.

Package: feh
Description-md5: ddbd2b9dee660c8f24f444f71b30712e
Description-en: imlib2 based image viewer
 feh is a fast, lightweight image viewer which uses imlib2. It is
 commandline-driven and supports multiple images through
 slideshows, thumbnail browsing or multiple windows, and montages
 or index prints (using TrueType fonts to display file info).
 Advanced features include fast dynamic zooming, progressive
 loading, loading via HTTP (with reload support for watching
 webcams), recursive file opening (slideshow of a directory
 hierarchy), and mouse wheel/keyboard control.

Package: feisty-session-splashes
Description-md5: ddb111f721a68e39e408d3f2fa61521b
Description-en: Feisty Session Splashes
 The default Session Splashes for Feisty. At the moment the package contains:
  * Ubuntu Wave
  * Ubuntu Smooth

Package: feisty-wallpapers
Description-md5: efc877b2b1827569ac929474ece7a6e0
Description-en: Feisty Wallpapers
 The default Wallpapers for Feisty. At the moment the package contains:
  * Simple Ubuntu,
  * Ubuntu Smooth Chocolate.

Package: felix-latin
Description-md5: 11599c2d7364c8f177dfb580bbba0598
Description-en: Félix Gaffiot's Latin-French dictionary - viewer
 Félix Gaffiot (1870-1937) was a philologist, a teacher of French, and the
 author of a Latin-French reference dictionary.
 .
 This package provides "felix", a graphical interface for searching the
 dictionary. Entering a Latin word opens the corresponding page in the
 form of a scanned image that can be zoomed and panned over.

Package: felix-latin-data
Description-md5: bd18f11bea65b924fc7d25989fade2fb
Description-en: Félix Gaffiot's Latin-French dictionary - data
 Félix Gaffiot (1870-1937) was a philologist, a teacher of French, and the
 author of a Latin-French reference dictionary.
 .
 This package provides the dictionary pages (in scanned image form) for
 use with the package felix-latin.

Package: felix-main
Description-md5: 3b698bb34d752a89adb9805b35039f66
Description-en: Execution environment for OSGi Felix Framework
 The Felix Framework subproject is an implementation
 of the OSGi R4.2 core framework specification.
 .
 This package contains bootstrap tools to run Felix Framework.

Package: fence-agents
Description-md5: 2c5b8a1ed1c34aeecc3b6b2e1cfd9dd0
Description-en: Fence Agents for Red Hat Cluster
 Red Hat Fence Agents is a collection of scripts to handle remote
 power management for several devices.  They allow failed or
 unreachable nodes to be forcibly restarted and removed from the
 cluster.
 .
 Fence agents included:
  fence_aliyun: Aliyun (Aliyun Web Services)
  fence_alom: Sun ALOM
  fence_amt: AMT
  fence_apc: APC over telnet/ssh
  fence_apc_snmp: APC, Tripplite PDU over SNMP
  fence_aws: AWS (Amazon Web Services)
  fence_azure_arm: Azure Resource Manager
  fence_bladecenter: IBM BladeCenter
  fence_brocade: HP Brocade over telnet/ssh
  fence_cisco_mds: Cisco MDS
  fence_cisco_ucs: Cisco UCS
  fence_compute: the automatic resurrection of OpenStack compute instances
  fence_docker: Docker
  fence_drac: Dell DRAC IV
  fence_drac5: Dell DRAC CMC/5
  fence_dummy: Dummy fence agent
  fence_eaton_snmp: Eaton over SNMP
  fence_emerson: Emerson over SNMP
  fence_eps: ePowerSwitch
  fence_evacuate: the automatic resurrection of OpenStack compute instances
  fence_gce: GCE (Google Cloud Engine)
  fence_hds_cb: Hitachi Compute Blade systems
  fence_heuristics_ping: ping-heuristic based fencing
  fence_hpblade: HP BladeSystem
  fence_ibmblade: IBM BladeCenter over SNMP
  fence_idrac: IPMI
  fence_ifmib: IF MIB
  fence_ilo: HP iLO
  fence_ilo2: HP iLO
  fence_ilo3: IPMI
  fence_ilo3_ssh: HP iLO over SSH
  fence_ilo4: IPMI
  fence_ilo4_ssh: HP iLO over SSH
  fence_ilo5: IPMI
  fence_ilo5_ssh: HP iLO over SSH
  fence_ilo_moonshot: HP Moonshot iLO
  fence_ilo_mp: HP iLO MP
  fence_ilo_ssh: HP iLO over SSH
  fence_imm: IPMI
  fence_intelmodular: Intel Modular
  fence_ipdu: iPDU over SNMP
  fence_ipmilan: IPMI
  fence_ironic: OpenStack's Ironic (Bare Metal as a service)
  fence_ldom: Sun LDOM
  fence_lpar: IBM LPAR
  fence_mpath: multipath persistent reservation
  fence_netio: Koukaam NETIO-230B
  fence_openstack: OpenStack's Nova service
  fence_ovh: OVH
  fence_powerman: Fence Agent for Powerman
  fence_pve: the Proxmox Virtual Environment
  fence_raritan: Raritan Dominion PX
  fence_rcd_serial: rcd_serial fence agent
  fence_redfish: Redfish
  fence_rhevm: RHEV-M REST API
  fence_rsa: IBM RSA
  fence_rsb: Fujitsu-Siemens RSB
  fence_sanbox2: QLogic SANBox2 FC switches
  fence_sbd: sbd
  fence_scsi: SCSI persistent reservation
  fence_tripplite_snmp: APC, Tripplite PDU over SNMP
  fence_vbox: VirtualBox
  fence_virsh: virsh
  fence_vmware: VMWare
  fence_vmware_rest: VMware REST API
  fence_vmware_soap: VMWare over SOAP API
  fence_vmware_vcloud: VMware vCloud Director API
  fence_wti: WTI
  fence_xenapi: Citrix XenServer over XenAPI
  fence_zvmip: use with z/VM Virtual Machines

Package: fence-virt
Description-md5: aa357d3399519230f653cd8a02075a08
Description-en: Pluggable fencing framework for virtual machines - agent
 The fencing framework consists of the agent (fence_virt) and
 the host daemon (fence_virtd). The fence_virtd host daemon is
 responsible for processing fencing requests from fence_virt agents
 running in virtual machines and routing the requests to the
 appropriate physical machine for action.
 .
 This package contains the fence_virt agent to be used inside the
 virtual machine.

Package: fence-virtd
Description-md5: b62ee2bfc8ff9dcfadc50eef7baabd8c
Description-en: Pluggable fencing framework for virtual machines - daemon
 The fencing framework consists of the agent (fence_virt) and
 the host daemon (fence_virtd). The fence_virtd host daemon is
 responsible for processing fencing requests from fence_virt agents
 running in virtual machines and routing the requests to the
 appropriate physical machine for action.
 .
 This package contains the fence_virtd daemon to be used on the
 physical host.

Package: fenics
Description-md5: 197c45f18f758fd3375f9137083498b7
Description-en: Automated Solution of Differential Equations
 FEniCS is a collection of free software for automated, efficient
 solution of differential equations.
 .
 FEniCS has an extensive list of features, including automated
 solution of variational problems, automated error control and
 adaptivity, a comprehensive library of finite elements, high
 performance linear algebra and many more.
 .
 FEniCS is organized as a collection of interoperable components,
 including the problem-solving environment DOLFIN, the form compiler
 FFC, the finite element tabulator FIAT, the just-in-time compiler
 Instant, the code generation interface UFC, the form language UFL and
 a range of additional components.
 .
 This is a metapackage which depends on all FEniCS components.

Package: fenix-dev
Description-md5: e506482c6cbb4676523692bcfa9efd77
Description-en: development environment for making 2D games - header files
 Fenix is an interpreted script programming language, especially designed to
 developing and running 2D games. It has a full graphic library, sound engine
 and full featured 2D game engine, making game development extremely easy.
 .
 This package includes the development headers needed for compiling plugins
 for the fenix system.

Package: fenrir
Description-md5: 4b043a2585d7d139a33e87fbcabc44fa
Description-en: Userland console (TTY) screen reader written in python
 Fenrir is a young but powerful, modular and flexible console screen
 reader. It has a lot of functionality:
 .
  * spellchecker
  * advanced review functionality
  * copy/paste
  * multiple clipboards
  * not in kernel space
  * echo: word, char and deletion
  * date/ time announcement
  * script key (add functionality via bash and let fenrir read the output)
  * window mode
  * highlight tracking mode
  * easy to extent, plugin architecture
  * promoted words (hear a sound if your name is spoken in IRC)
  * periodic time announcement
  * everything configurable
  * per app scripts
  * emoji replacement :) = smile
  * user defined dictionary
  * multiple keyboard layouts
  * sound icons themes

Package: ferm
Description-md5: 72483f2f5f9153295b67c751fece6d34
Description-en: maintain and setup complicated firewall rules
 ferm is a frontend for iptables. It reads the rules from a
 structured configuration file and calls iptables(8) to insert them
 into the running kernel.
 .
 ferm's goal is to make firewall rules easy to write and easy to
 read. It tries to reduce the tedious task of writing down rules, thus
 enabling the firewall administrator to spend more time on developing
 good rules than the proper implementation of the rule.
 .
 To achieve this, ferm uses a simple but powerful configuration
 language, which allows variables, functions, arrays, blocks. It also
 allows you to include other files, allowing you to create libraries
 of commonly used structures and functions.
 .
 ferm, pronounced "firm", stands for "For Easy Rule Making".

Package: fernet-go
Description-md5: b89654045d4970530128f73e2bb367b4
Description-en: tool for generates/verifies HMAC-based authentication tokens
 This library takes a user-provided *message* (an arbitrary sequence of
 bytes), a *key* (256 bits), and the current time, and produces a
 *token*, which contains the message in a form that can't be read
 or altered without the key.
 .
 This package is compatible with the other implementations at
 https://github.com/fernet. They can exchange tokens freely among
 each other.
 .
 This package contains files that is tool using
 golang-github-fernet-fernet-go-dev.

Package: ferret
Description-md5: 0bee4005802c3785c28feec3452bfaa5
Description-en: CASE tool for data model editing
 GNU Ferret (Free Entity Relationship and Reverse Engineering Tool)
 serves to edit data models. These can be implemented within a range of
 relational databases such as PostgreSQL or MySQL.

Package: ferret-datasets
Description-md5: f0c94a09f7c3930a1e05cf288b69961c
Description-en: Datasets for use with Ferret Visualisation and analysis suite
 These datasets contain reference climatologies and grids for use with ferret.
 They include:
  * etopo120            relief of the earth's surface at 120-minute resolution
  * etopo60             relief of the earth's surface at 60-minute resolution
  * levitus_climatology subset of the Climatological Atlas of the World Oceans
                        by Sydney Levitus (Note: the updated World Ocean Atlas,
                        1994, is also available with Ferret)
  * coads_climatology   12-month climatology derived from 1946–1989 of the
                        Comprehensive Ocean/Atmosphere Data Set
  * monthly_navy_winds  monthly-averaged Naval Fleet Numerical Oceanography
                        Center global marine winds (1982–1990)
  * esku_heat_budget    Esbensen-Kushnir 4×5 degree monthly climatology of the
                        global ocean heat budget (25 variables)

Package: ferret-vis
Description-md5: ae0fcb73ffb0fc487c5bff24cad474a9
Description-en: Interactive data visualization and analysis environment
 Ferret is an interactive computer visualization and analysis environment
 designed to meet the needs of oceanographers and meteorologists analyzing
 large and complex gridded data sets. It can transparently access extensive
 remote Internet data bases using OPeNDAP (formerly known as DODS)
 .
 Ferret has a Mathematica-like flexibility, geophysical formatting,
 "intelligent" connection to its data base, memory management for very large
 calculations, and symmetrical processing in 4 dimensions. It can work on both
 gridded and non-gridded datasets.

Package: festival
Description-md5: 1426e113a68d1ed6c7f4e04b2a40e020
Description-en: General multi-lingual speech synthesis system
 Festival offers a full text to speech system with various APIs, as well an
 environment for development and research of speech synthesis techniques. It
 includes a Scheme-based command interpreter.
 .
 Besides research into speech synthesis, festival is useful as a stand-alone
 speech synthesis program. It is capable of producing clearly understandable
 speech from text.

Package: festival-ca
Description-md5: 336766f330f1b00fce4922e111e91aa8
Description-en: Catalan support for Festival speech synthesis system
 This package provides the modules required to synthesize speech in Catalan
 language using the Festival text-to-speech synthesizer. For a given text,
 it uses the festival synthesizer to generate speech in Catalan.
 .
 This package does not include any voice as it is a common package
 for all Catalan voices.
 .
 This package was developed at Universitat Politècnica de Catalunya.

Package: festival-czech
Description-md5: 9a5d40452ccb4282b837a5e8e0e6fe23
Description-en: Czech support for Festival speech synthesis system
 General Czech language support for Festival, necessary to make Festival speak
 Czech.  This package does not contain Czech Festival voice data, you must
 additionally install the voice-czech-ph package or another Czech voice.

Package: festival-dev
Description-md5: c6cfe35c7115aaa7f9f714120b2270c9
Description-en: Development kit for the Festival speech synthesis system
 This package contains the static library and headers that can be used to
 develop programs that use Festival. Documentation is now contained in the
 separate festival-doc package.

Package: festival-doc
Description-md5: fec61c1c940178e3a033dae6556b2c03
Description-en: Documentation for Festival
 This package contains the HTML and Postscript versions of the
 documentation for Festival.

Package: festival-freebsoft-utils
Description-md5: 7e684d348f8bc6084d0b50efa2943195
Description-en: Festival extensions and utilities
 Miscellaneous Festival utilities, providing especially the following
 features: Generalized concept of input events, including ability to
 play sounds within the synthesized text and to map logical input events
 to other events; replacing given words in the synthesized text by events;
 spelling; capital letter signalization; punctuation reading modes;
 miscellaneous Festival Scheme functions.
 .
 Primary focus of festival-freebsoft-utils is on Festival cooperation with
 Speech Dispatcher, but they may be used together with other speech frontends
 or for other purposes as well.

Package: festival-hi
Description-md5: 2dba15099ae6d0746da602f730c88eba
Description-en: festival text to speech synthesizer for Hindi language
 This package provides the modules required to synthesize speech in Hindi (hi)
 language using the Festival text-to-speech synthesizer. Given text represented
 in Unicode (UTF-8 encoding), it uses the festival synthesizer to generate
 speech in Hindi.

Package: festival-mr
Description-md5: f17a3280a90859be2346bc572509bb65
Description-en: festival text to speech synthesizer for Marathi language
 This package provides the modules required to synthesize speech in Marathi
 (mr) language using the Festival text-to-speech synthesizer. Given text
 represented in Unicode (UTF-8 encoding), it uses the festival synthesizer to
 generate speech in Marathi.

Package: festival-te
Description-md5: 340e456468dfb384043567b5751186a5
Description-en: festival text to speech synthesizer for Telugu (te) language
 This package provides the modules required to synthesize speech in Telugu
 language using the Festival text-to-speech synthesizer. Given text represented
 in Unicode (UTF-8 encoding), it uses the festival synthesizer to generate
 speech in Telugu.

Package: festlex-cmu
Description-md5: ea97d555dad9146c6c9858ef1c2c5cca
Description-en: CMU dictionary for Festival
 This is the CMU dictionary in Festival form.
 It is required for American English voices.

Package: festlex-ifd
Description-md5: 28c0994897f4f79e3e5cd854fc3c2fc5
Description-en: Italian support for Festival
 Italian Festival is a set of modules for the Festival
 text-to-speech system which allow it to synthesize speech
 in Italian.
 .
 This package includes a dictionary that permits festival
 pronounce Italian text.
 It also features modules for textual/linguistic analysis and
 prosodic analysis.

Package: festlex-poslex
Description-md5: ebfebf4223aac4fe25e282dab7ae4142
Description-en: Part of speech lexicons and ngram from English
 This package is required by all British and American English voices in
 the Festival speech synthesis system.

Package: festvox-ca-ona-hts
Description-md5: 099596e62cb623ea8a01f21ce6053233
Description-en: Catalan female speaker for festival, 16kHz HTS
 This is a Catalan female HTS voice for festival that uses
 16kHz samples. Using festival, this package allows one to transform
 written text to speech spoken with a high quality female voice.
 .
 This voice was generated with 10 hours of recordings at
 Universitat Politècnica de Catalunya.

Package: festvox-czech-dita
Description-md5: e10bb8b09a3e03bd5504e6f4e45f2bd3
Description-en: Czech adult female speaker "dita" for Festival
 This is a native Festival Czech adult female diphone voice "dita", developed
 by the Free(b)soft project.

Package: festvox-czech-krb
Description-md5: 9058f5e815ef83300d80d47ac0e3dbb0
Description-en: Czech child male speaker "krb" for Festival
 This is a native Festival Czech child male diphone voice "krb", developed
 by the Free(b)soft project.

Package: festvox-czech-machac
Description-md5: fe6e09d99ebcfb299a9519ca63001f56
Description-en: Czech adult male speaker "machac" for Festival
 This is a native Festival Czech adult male diphone voice "machac", developed
 by the Free(b)soft project.

Package: festvox-czech-ph
Description-md5: bb5c154cb87d30914cb300fbfafdbddb
Description-en: Czech male speaker for Festival
 This is a native Festival Czech diphone voice, developed by the Free(b)soft
 project.

Package: festvox-hi-nsk
Description-md5: 374bac458082934866d3b5bd963d5cf3
Description-en: Hindi male speaker for festival
 This is a diphone database to be used with Hindi Festival. It provides a male
 speaker for synthesizing Hindi speech. The package consists of a speech
 database along with the voice definition.

Package: festvox-italp16k
Description-md5: 1d65ddb949e2176c7df0d8f89f5eb2a3
Description-en: Italian female speaker for Festival
 This package provides a Italian female voice using a Residual
 excited LPC diphone synthesis method.
 The lexicon is provided by a set of letter to sound rules
 producing pronunciation accents and syllabification.
 The durations, intonation and prosodic phrasing are minimal
 but are acceptable for simple examples.

Package: festvox-itapc16k
Description-md5: 32b81dc22817f0ba433f51a5a5b62a65
Description-en: Italian male speaker for Festival
 This package provides a Italian male voice using a Residual
 excited LPC diphone synthesis method.
 The lexicon is provided by a set of letter to sound rules
 producing pronunciation accents and syllabification.
 The durations, intonation and prosodic phrasing are minimal
 but are acceptable for simple examples.

Package: festvox-kallpc16k
Description-md5: ef7f5d8b879a1378aa42a8adcc6d289f
Description-en: American English male speaker for festival, 16khz sample rate
 This is a diphone database for festival that uses 16k samples for high
 quality sound output. This is a large database (6 MB), and festival will
 convert text to speech more slowly using it, so you might want to install
 festvox-kallpc8k instead if you have low disk space or a slow computer.
 .
 The voice contained in this database is an American English male speaker.
 A different American English voice can be found in the festvox-kdlpc16k
 package if you dislike this one.

Package: festvox-kallpc8k
Description-md5: a3a8a41228730e724b110f09eca8f33b
Description-en: American English male speaker for festival, 8khz sample rate
 This is a diphone database for festival that uses 8k samples so it takes up
 a minimum of disk space. Using this diphone database, festival also converts
 text to speech faster than with the 16k version. However, the sound quality
 is not as good.
 .
 The voice contained in this database is an American English male speaker.
 A different American English voice can be found in the festvox-kdlpc16k
 package if you dislike this one.

Package: festvox-kdlpc16k
Description-md5: c47627c339aac42a24d7651ced239b18
Description-en: American English male speaker for festival, 16khz sample rate
 This is a diphone database for festival that uses 16k samples for high
 quality sound output. This is a large database (9 MB), and festival will
 convert text to speech more slowly using it, so you might want to install
 festvox-kdlpc8k instead if you have low disk space or a slow computer.
 .
 The voice contained in this database is an American English male speaker.
 A different American English voice can be found in the festvox-kallpc16k
 package if you dislike this one.

Package: festvox-kdlpc8k
Description-md5: f38b2e0dfea1dccf7905294231587c5b
Description-en: American English male speaker for festival, 8khz sample rate
 This is a diphone database for festival that uses 8k samples so it takes up
 a minimum of disk space. Using this diphone database, festival also converts
 text to speech faster than with the 16k version. However, the sound quality
 is not as good.
 .
 The voice contained in this database is an American English male speaker.
 A different American English voice can be found in the festvox-kallpc8k
 package if you dislike this one.

Package: festvox-mr-nsk
Description-md5: d41c567c704fd2bb139fbcd74fbe8755
Description-en: Marathi male speaker for festival
 This is a diphone database to be used with Marathi Festival. It provides a
 male speaker for synthesizing Marathi speech. The package consists of a speech
 database along with the voice definition.

Package: festvox-ru
Description-md5: f80482f32da695eda6e7e80a89768f6d
Description-en: Russian male speaker for Festival
 This package provides Russian support to Festival speech
 synthesis system.

Package: festvox-suopuhe-common
Description-md5: d1b54a2fc5c0380859a4905285f6b336
Description-en: Common files for Festival Finnish speakers
 This package contains the common files between the two Finnish
 Festival speech synthesis speakers, festvox-suopuhe-mv and
 festvox-suopuhe-lj.

Package: festvox-suopuhe-lj
Description-md5: a0d93359123c124fe450337509c3da5d
Description-en: Finnish female speaker for Festival
 This is a Finnish female speaker for the Festival speech synthesis
 system. It was developed as part of the Suopuhe project at
 the universities of Helsinki and Joensuu.

Package: festvox-suopuhe-mv
Description-md5: 9e437eace8c4992f2d0cf3653bfa42c5
Description-en: Finnish male speaker for festival
 This is a Finnish male speaker for the Festival speech synthesis
 system. It was developed as part of the Suopuhe project at
 the universities of Helsinki and Joensuu.

Package: festvox-te-nsk
Description-md5: bf9d43362528ba18f8313a20e4966f94
Description-en: Telugu (te) male speaker for festival
 This is a diphone database to be used with Telugu Festival. It provides a male
 speaker for synthesizing Telugu speech. The package consists of a speech
 database along with the voice definition.

Package: festvox-us-slt-hts
Description-md5: 82ac797ff273f7a1c057403ac566e156
Description-en: : US English voice for Festival. 32kHz sample rate, HTS
 This is a US English female HTS voice for festival that uses
 32kHz samples. Using festival, this package allows one to transform
 written text to speech spoken with a high quality female voice.

Package: fet
Description-md5: be597f13605d5b9d820beaf661a60a75
Description-en: timetable generator
 FET is a program for automatically generating the timetable of a school,
 high-school or university.
 .
 Usually, FET is able to solve a complicated timetable in maximum 5-20 minutes.
 For simpler timetables, it may take a shorter time, under 5 minutes (in some
 cases, a matter of seconds). For extremely difficult timetables, it may take
 a longer time, a matter of hours.

Package: fet-data
Description-md5: 72bf9a2e0a90676809c9c009b9bf4381
Description-en: timetable generator - documentation and examples
 FET is a program for automatically generating the timetable of a school,
 high-school or university.
 .
 This package contains architecture-independent files such as a lot of
 examples and documentation on the used algorithm.

Package: fetch-crl
Description-md5: cfbd471333e48658be3ee7ef7d73c73f
Description-en: Downloads Certificate Revocation Lists
 This tool and associated timer (systemd) or cron (init.d) entry
 ensure that Certificate Revocation Lists (CRLs) are periodically
 retrieved from the web sites of the respective Certification
 Authorities.
 .
 The fetch-crl tool finds all *.crl_url files in the configured CRL
 directory, downloads the CRLs listed in those files and saves them in
 the same directory. The default configuration uses
 /etc/grid-security/certificates as the CRL directory.

Package: fetchyahoo
Description-md5: ec996e218fa5ffc16e4d1b243c8da6f1
Description-en: Retrieve mail from Yahoo!'s webmail service
 FetchYahoo is a Perl script that downloads mail from a Yahoo! webmail
 account to a local mail spool, an mbox file, or to procmail. It is
 meant to replace fetchmail for people using Yahoo! mail since Yahoo!'s
 POP and email forwarding services are no longer free. It includes all
 parts and attachments within the email. It can also forward the email
 to a specified address.

Package: fever
Description-md5: b909bca5113c0484e6b8f6dc555941a4
Description-en: fast, extensible, versatile event router for Suricata's EVE-JSON format
 The Fast, Extensible, Versatile Event Router (FEVER) is a tool for fast
 processing of events from Suricata's JSON EVE output. What is meant by
 'processing' is defined by a number of modular components, for example
 facilitating fast ingestion into a database. Other processors implement
 collection, aggregation and forwarding of various metadata (e.g.
 aggregated and raw flows, passive DNS data, etc.) as well as performance
 metrics.
 .
 It is meant to be used in front of (or as a replacement for) general-purpose
 log processors like Logstash to increase event throughput as observed on
 sensors that see a lot of traffic.

Package: fex
Description-md5: df618fdd29cce7704c27a17b6bf059a9
Description-en: web service for transferring very large files
 F*EX (Frams's Fast File EXchange) is a service that can be used to allow
 users anywhere on the Internet to exchange very large files quickly and
 conveniently.
 .
 The sender uploads the file to the F*EX-server and the recipient
 automatically gets a notification e-mail with a download-URL.
 .
 Main features of F*EX:
 .
  * file transfer of virtually unlimited file size
  * sender and recipient only need an e-mail program and a web browser
    (of any kind; they do not have to install any software)
  * RESEND and REGET for resuming after link failures at last sent byte
  * auto-notification of recipient
  * auto-deletion after download
  * auto-deletion after expiration date (default: 5 days)
  * full-users can create one time upload URLs for foreign users
  * full-users can create sub-users, who can send only to this full-user
  * full-users can create groups, an analogy to mailing lists, but for files
  * admin can allow (internal or external) user self-registration
  * admin can allow upload to public recipients without authentication
  * admin can allow upload for LAN users without registration (anonymous upload)
  * maintenance-free: no administration necessary beyond creating new
    F*EX accounts
  * multiple recipients only require one stored copy
  * F*EX uses HTTP and needs no firewall tunnels
  * support for streams, too (SEX: Stream EXchange)
  * shell clients provided for commandline users: fexsend and fexget.
    (fex-utils package)

Package: fex-utils
Description-md5: 63aecbd44f11e0377005be5835cd3fac
Description-en: web service for transferring very large files (utils)
 F*EX (Frams's Fast File EXchange) is a service that can be used to allow
 users anywhere on the Internet to exchange very large files quickly and
 conveniently.
 .
 The sender uploads the file to the F*EX-server and the recipient
 automatically gets a notification e-mail with a download-URL.
 .
 This package includes:
 .
   fexsend
   fexget
   sexsend, sexget, sexxx
   afex, asex
   xx
   zz & ezz

Package: feynmf
Description-md5: 87b3a03f70462a8374ce25b744caed1e
Description-en: set of LaTeX macros for creating Feynman diagrams
 FeynMF is a LaTeX package for easy drawing of professional-quality Feynman
 diagrams, illustrations that depict the fundamental interactions of subatomic
 particles.  The diagrams may be created using either the Metafont
 or MetaPost programs.  FeynMF lays out most diagrams satisfactorily from the
 structure of the graph without any need for manual intervention.
 Nevertheless all the power of Metafont or MetaPost is available for more
 obscure cases.
 .
 Note that you will need the texlive-metapost package in order to use the
 MetaPost-based version of FeynMF.

Package: ffado-dbus-server
Description-md5: c97651a8d42693959e021d8283d395a2
Description-en: FFADO D-Bus server
 FFADO is a Linux driver for FireWire (IEEE1394) audio devices.
 .
 The FFADO library permits discovering and configuring such
 devices and provides an API for streaming clients.
 .
 This package holds the D-Bus server that exposes the mixer
 and control interfaces through D-Bus.

Package: ffado-mixer-qt4
Description-md5: 32d310679d7f527b93f10bfd27693795
Description-en: FFADO D-Bus mixer applets
 FFADO is a Linux driver for FireWire (IEEE1394) audio devices.
 .
 The FFADO library permits discovering and configuring such
 devices and provides an API for streaming clients.
 .
 This package holds the mixer and control applications that
 communicate with ffado-dbus-server.
 .
 Despite the package name, the GUI has been updated.

Package: ffado-tools
Description-md5: ec6e443b88eac8af1b723cc745035716
Description-en: FFADO debugging and firmware tools
 FFADO is a Linux driver for FireWire (IEEE1394) audio devices.
 .
 The FFADO library permits discovering and configuring such
 devices and provides an API for streaming clients.
 .
 This package holds the following tools for firmware updating,
 troubleshooting and debugging:
     * ffado-test-streaming
     * ffado-diag
     * ffado-test-isoxmit
     * ffado-test-isorecv
     * ffado-bridgeco-downloader
     * ffado-test
     * ffado-fireworks-downloader
     * ffado-debug

Package: ffcvt
Description-md5: cb2a58ea73690234ddad703c47db8366
Description-en: ffmpeg convert wrapper tool
 ffcvt - ffmpeg convert wrapper to make it simple to do high efficiency
 audio/video compression (Opus/H.265) encoding, and for youtube as well.
 .
 The next-generation High Efficiency Video codec, HEVC and VP9 can produce
 videos visually comparable to libx264's, but in about half the size;
 Meanwhile the Opus audio codec is becoming the best thing ever for
 compressing audio -- A 64K Opus audio stream is comparable to mp3 files of
 128K to 256K bandwidth.
 The ffcvt makes use of such fantastic high efficiency audio/video
 codec/encoding capability while shielding people from the
 complicated ffmpeg command line option settings, while versatile
 and powerful enough to allow advanced users to touch every corner
 of audio/video encoding.

Package: ffdiaporama
Description-md5: 0b84a12d1f037ef534da80d0a35d6ca9
Description-en: Movie creator from photos and video clips
 ffDiaporama is an application for creating video sequences consisting of
  * titles, fixed or animated.
  * images or photos, fixed or animated.
  * movie clips
  * music

Package: ffdiaporama-data
Description-md5: d7f8b12258c6f60f4c40216106364d05
Description-en: Data files for ffDiaporama
 ffDiaporama is an application for creating video sequences consisting of
  * titles, fixed or animated.
  * images or photos, fixed or animated.
  * movie clips
  * music
 .
 This package provides the data files for ffdiaporama.

Package: ffe
Description-md5: faabf178f5f43000ca3bf7e588ca76e2
Description-en: Tool for parsing flat and CSV files and converting them to different formats
 Ffe has many areas of use with fixed length and CSV files. It can extract
 particular fields or records from a flat file, convert data from one format
 to another (CSV to fixed length, ...), display flat file content in human
 readable form, etc. In addition, one input file can contain several types of
 records (lines) and the input file structure and output definitions are
 independent, meaning one output format can be used with several input files.
 This input file structure and output format are 'freely' configurable,
 so the output can be formatted e.g. as: fixed length, separated, tokenized,
 XML, SQL, ...

Package: ffindex
Description-md5: 8abce3f23162533b9e5ba184ce05afc6
Description-en: simple index/database for huge amounts of small files
 FFindex is a very simple index/database for huge amounts of small files. The
 files are stored concatenated in one big data file, separated by '\0'. A
 second file contains a plain text index, giving name, offset and length of
 the small files. The lookup is currently done with a binary search on an
 array made from the index file.
 .
 This package provides the executables.

Package: ffjson
Description-md5: 1a79c747b63efee06cbe53d92a9b596f
Description-en: faster JSON serialization for Go
 ffjson generates static MarshalJSON and UnmarshalJSON functions for
 structures in Go. The generated functions reduce the reliance upon runtime
 reflection to do serialization and are generally 2 to 3 times faster.
 In cases where ffjson doesn't understand a Type involved, it falls back to
 encoding/json, meaning it is a safe drop in replacement.  By using ffjson
 your JSON serialization just gets faster with no additional code changes.
 .
 This package contains the ffjson executable.

Package: fflas-ffpack
Description-md5: 8a31b80b4692685e090b0269f1c3cfc1
Description-en: Finite field linear algebra subroutines/package
 FFLAS-FFPACK consists in the creation of a set of routines, giving
 the same tools as a set of classical Basic Linear Algebra
 Subroutines, but working over finite fields. In the same way, some
 other routines of higher level (such as the one in LAPACK) are also
 produced.

Package: fflas-ffpack-common
Description-md5: f4da176092e0c009ac9f62f9a5bf6277
Description-en: Finite field linear algebra subroutines/package - common files
 FFLAS-FFPACK consists in the creation of a set of routines, giving
 the same tools as a set of classical Basic Linear Algebra
 Subroutines, but working over finite fields. In the same way, some
 other routines of higher level (such as the one in LAPACK) are also
 produced.
 .
 This package provides architecture independent header files.

Package: fflas-ffpack-dev-doc
Description-md5: 7a672678795f2f1b7a007d3a50d81062
Description-en: FFLAS-FFPACK Developer Documentation
 FFLAS-FFPACK consists in the creation of a set of routines, giving
 the same tools as a set of classical Basic Linear Algebra
 Subroutines, but working over finite fields. In the same way, some
 other routines of higher level (such as the one in LAPACK) are also
 produced.
 .
 This package provides developer's documentation of FFLAS-FFPACK.

Package: fflas-ffpack-user-doc
Description-md5: eb99a8c423ff8fa68503c0a0a1d168e7
Description-en: FFLAS-FFPACK User Documentation
 FFLAS-FFPACK consists in the creation of a set of routines, giving
 the same tools as a set of classical Basic Linear Algebra
 Subroutines, but working over finite fields. In the same way, some
 other routines of higher level (such as the one in LAPACK) are also
 produced.
 .
 This package provides user's documentation of FFLAS-FFPACK.

Package: ffmpeg
Description-md5: 65e8a3535a2f8cd488043b49edb871b2
Description-en: Tools for transcoding, streaming and playing of multimedia files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This package contains:
  * ffmpeg: a command line tool to convert multimedia files between formats
  * ffplay: a simple media player based on SDL and the FFmpeg libraries
  * ffprobe: a simple multimedia stream analyzer
  * qt-faststart: a utility to rearrange Quicktime files

Package: ffmpeg-doc
Description-md5: 932d67e93ba3ceb35226d892e216a9ea
Description-en: Documentation of the FFmpeg multimedia framework
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This package contains the HTML documentation for the FFmpeg multimedia
 framework including the API documentation created by doxygen and the manpages
 for the libraries.

Package: ffmpeg2theora
Description-md5: 16014da1afc81c865a2eafeb82671ebb
Description-en: Theora video encoder using ffmpeg
 This package provides a command-line tool to encode/recode various
 video formats (basically everything that ffmpeg can read) into Theora,
 the free video codec.

Package: ffmpegfs
Description-md5: 87babe46874a33a907cbc33aa3cf71e6
Description-en: Fuse Multi Media Filesystem
 A read-only FUSE filesystem which transcodes various audio and video formats
 to MP4, WebM and many more on the fly when opened and read using the FFmpeg
 library, this way supporting a multitude of input formats and a variety of
 common output formats.
 .
 This allows access to a multi media file collection with software and/or
 hardware which only understands one of the supported output formats, or
 transcode files through simple drag-and-drop in a file browser.

Package: ffmpegthumbnailer
Description-md5: 6c2cda0a9d0dbe78d15405fa244855b6
Description-en: fast and lightweight video thumbnailer
 FFmpegthumbnailer is a lightweight video thumbnailer that can be used by file
 managers to create thumbnails for your video files. The thumbnailer uses ffmpeg
 to decode frames from the video files, so supported videoformats depend on the
 configuration flags of ffmpeg.
 .
 This package contains the ffmpegthumbnailer executable.

Package: ffmpegthumbnailer-dbg
Description-md5: c2a512fcd6597acf548551b9296e26ef
Description-en: debugging information for ffmpegthumbnailer
 FFmpegthumbnailer is a lightweight video thumbnailer that can be used by file
 managers to create thumbnails for your video files. The thumbnailer uses ffmpeg
 to decode frames from the video files, so supported videoformats depend on the
 configuration flags of ffmpeg.
 .
 This package contains the debugging symbols for ffmpegthumbnailer.

Package: ffmpegthumbs
Description-md5: d10a9a3ae792f8e16e85968fe0168029
Description-en: video thumbnail generator using ffmpeg
 FFMpegThumbs is a video thumbnail generator for KDE file managers
 like Dolphin and Konqueror.  It enables them to show preview images
 of video files using FFMpeg.
 .
 This package is part of the KDE multimedia module.

Package: ffmsindex
Description-md5: 48f49187c807c2c25cb53ea0d1ec1478
Description-en: Command line tool to create ffms2 index files
 A cross platform ffmpeg wrapper library, and some additional content for
 things ffmpeg doesn't handle well. A more friendly API and an easy way to
 say "open and decompress this, I don't care how".
 .
 This package contain a command line indexer tool. Used to create index
 files which can later be used by applications which are useing ffms2
 to speed up loading of multimedia files.

Package: ffproxy
Description-md5: bd7d52d35d22cfcda7b0683939b89244
Description-en: Light and customizable http(s) proxy server with ipv6 support
 ffproxy is a filtering HTTP/HTTPS proxy server.
  - It is able to filter by host, URL, and header.
  - Custom header entries can be filtered and added.
  - It can even drop its privileges and be chrooted.
  - Logging to syslog() is supported.
  - It can use another auxiliary proxy server.
  - HTTP accelerator feature (acting as front-end to a HTTP server) is included.
  - It allows transparent IPv6 over IPv4 browsing (and vice versa).

Package: fftw-dev
Description-md5: 305322771ed86f6ceaf06ac43a0a4094
Description-en: library for computing Fast Fourier Transforms
 This library computes FFTs in one or more dimensions. It is extremely fast.
 This package contains the statically linked library and the header files.

Package: fftw-docs
Description-md5: 984728026773fe7303b3dc58c0ee1141
Description-en: documentation for fftw
 This package contains the documentation and test programs for fftw, a Fast
 Fourier Transform library.

Package: fftw2
Description-md5: acb30c90c95af5652d1f00a3d8b88bcd
Description-en: library for computing Fast Fourier Transforms
 This library computes Fast Fourier Transforms (FFT) in one or more dimensions.
 It is extremely fast. This package contains the shared library version of the
 fftw libraries in double precision.
 .
 To get the static library and the header files you need to install fftw-dev.
 .
 For documentation and test programs, install fftw-docs

Package: fgallery
Description-md5: f40c5a95d52e2898ca3955038df417d6
Description-en: static HTML+JavaScript photo album generator
 “fgallery” is a static photo gallery generator with no frills that has a
 stylish, minimalist look. “fgallery” shows your photos, and nothing else.
 .
 There is no server-side processing, only static generation. The resulting
 gallery can be uploaded anywhere without additional requirements and works with
 any modern browser.
 .
  * Automatically orients pictures without quality loss.
  * Multi-camera friendly: automatically sorts pictures by time: just throw your
    (and your friends) photos and movies in a directory. The resulting gallery
    shows the pictures in seamless shooting order.
  * Adapts to the current screen size and proportions, switching from
    horizontal/vertical layout and scaling thumbnails automatically.
  * Includes original (raw) pictures in a zip file for downloading.
  * Panoramas can be seen full-size by default.

Package: fgetty
Description-md5: adf6e49eb3c5ed7997a337ce11afd924
Description-en: very small, efficient, console-only getty and login
 fgetty is a small, efficient, console-only getty for Linux.  It is derived
 from mingetty but hacked until it would link against diet libc to produce
 the smallest memory footprint possible for a simple yet complete getty.
 .
 fgetty includes a login program that supports the checkpassword
 authentication interface, and also a checkpassword program that uses the
 standard C library interface to passwd and shadow.

Package: fh2odg
Description-md5: 0e224cbcac1e9613c71acdf1a6a0c848
Description-en: Freehand to OpenDocument converter
 This package contains a utility for converting Freehand documents into
 OpenDocument draw documents.

Package: fhist
Description-md5: 125a891d3db6796ff3117cc2480d828b
Description-en: File history, comparison and merge utilities
 The fhist package contains 3 utilities
   fhist - a file history tool
   fcomp - a file comparison tool
   fmerge - a file merging tool
 .
 All three are bundled together, because they all
 use the same minimal-difference algorithm.

Package: fiche
Description-md5: 021c27a7a474e490b3c1a8b67120adea
Description-en: Receiver for command line output pastebin
 Fiche is at the back end of the paste bin website
 http://termbin.com/   So you can create your own paste bin.
 .
 Typically used in combination with a webserver.

Package: fido2-tools
Description-md5: 60d9e98a626b6c0a03360aea30002d30
Description-en: command-line tools to configure and use a FIDO 2 token
 A set of tools to manage a FIDO 2 token, generate credentials and
 assertions, and verify them.

Package: field3d-doc
Description-md5: 39e115e8381c81587e646e596696c517
Description-en: documentation for Field3D
 Field3D is an open source library for storing voxel data.
 .
 It provides C++ classes that handle in-memory storage and a file format
 based on HDF5 that allows the C++ objects to be written to and read from
 disk.
 .
 This package contains the documentation.

Package: field3d-tools
Description-md5: 6ea5a966efeb6247002bfb75e0237fbf
Description-en: command-line tools for Field3D
 Field3D is an open source library for storing voxel data.
 .
 It provides C++ classes that handle in-memory storage and a file format
 based on HDF5 that allows the C++ objects to be written to and read from
 disk.
 .
 This package contains the command-line tools.

Package: fig2dev
Description-md5: 6de03973d0c4860a151a29dbf9a05de0
Description-en: Utilities for converting XFig figure files
 This package contains utilities (mainly fig2dev) to handle XFig
 (Facility for Interactive Generation of figures) files.
 .
 It can convert files produced by xfig to box, cgm, dxf, epic, eepic,
 eepicemu, emf, eps, gbx, ge, gif, ibmgl, jpeg, latex, map (HTML image
 map), mf (MetaFont), mp (MetaPost), mmp (Multi-Meta-Post), pcx, pdf,
 pdftex, pdftex_t, pic, pict2e, pictex, png, ppm, ps, pstex, pstex_t,
 pstricks, ptk (Perl/tk), shape, sld (AutoCad slide format), svg,
 textyl, tiff, tikz, tk (Tcl/Tk), tpic, xbm and xpm.

Package: fig2ps
Description-md5: 9303ae2027d7bfc01717b7f483bc5e5e
Description-en: Converts xfig files into ps, eps or pdf files using LaTeX for processing text
 This perl script takes into advantage the pstex and pstex_t export
 formats of fig2dev (xfig) to separate special text and the rest of a xfig
 file. Then, the text is processed separately using LaTeX, and
 everything is put back together at the end, to make a nice wonderful
 figure with the text formatted exactly the same way as the article you
 are typing ! PDF output is also available.
 .
 Though gv is strictly speaking not necessary for conversion, it can
 be called directly by fig2ps depending on the options you choose.
 For nice PDF output, you should install Type 1 fonts, such as lmodern
 or cm-super.

Package: fig2sxd
Description-md5: b2b47f3fbc60350d562801bb32979a83
Description-en: convert XFig files to OpenOffice.org format
 This program converts a file in XFig format into a .sxd file for
 OpenOffice.org Draw.

Package: figlet
Description-md5: e0490c3538ef0826fb0abc998f624513
Description-en: Make large character ASCII banners out of ordinary text
 FIGlet (Frank, Ian & Glenn's Letters) is a program that creates large
 characters out of ordinary screen characters.
 .
 It can create characters in many different styles and can
 kern and "smush" these characters together in various ways.  FIGlet
 output is generally reminiscent of the sort of "signatures" many people
 like to put at the end of e-mail, Usenet and MOTD messages.

Package: figtoipe
Description-md5: 728fdf6e0c9960e7a98e0d40a695a67a
Description-en: convert FIG files to XML files for ipe
 Ipe is a drawing editor for creating figures, provided in package ipe.
 .
 Figtoipe is a program that reads FIG files (as generated by xfig) and
 generates an XML file readable by Ipe.

Package: figtree
Description-md5: e06f51cdb4e8a7021940de0d58824055
Description-en: graphical phylogenetic tree viewer
 FigTree is designed as a graphical viewer of phylogenetic trees and as
 a program for producing publication-ready figures.  In particular it is
 designed to display summarized and annotated trees produced by BEAST.

Package: fil-plugins
Description-md5: 30556d0b0532c99abb35507fee2235ac
Description-en: parametric equalizer LADSPA plugin
 Four-band parametric equaliser. Each section has an active/bypass switch,
 frequency, bandwidth and gain controls. There is also a global bypass
 switch and gain control.
 .
 The 2nd order resonant filters are implemented using a Mitra-Regalia
 style lattice filter, which has the nice property of being stable
 even while parameters are being changed.
 .
 All switches and controls are internally smoothed, so they can
 be used 'live' without any clicks or zipper noises. This should
 make this plugin a good candidate for use in systems that allow
 automation of plugin control ports, such as Ardour, or for
 stage use.

Package: file-kanji
Description-md5: e22ab3c1fdde8071f2403753e1e2190f
Description-en: kanji code checker
 This package contains file2 command.
 File2 command tests the classification of Japanese text files
 into JIS, UTF-8, EUC-JP, SJIS, ascii, and UNKNOWN.
 If the file package is installed, the file command is executed
 instead of UNKNOWN.

Package: filelight
Description-md5: 397ff9a469e07a772f22460c66b66875
Description-en: show where your diskspace is being used
 Filelight allows you to understand your disk usage by graphically
 representing your filesystem as a set of concentric, segmented rings.
 .
 It is like a pie-chart, but the segments nest, allowing you to see both
 which directories take up all your space, and which directories
 and files inside those directories are the real culprits.

Package: filemanager-actions
Description-md5: f7543a85958e0aae225317ac2dbc0a5a
Description-en: File manager extension to allow user-defined actions
 FileManager-Actions is an extension for GNOME-based file managers, which
 allows the user to add arbitrary programs to be launched through the file
 manager's context menu, based on the current selection.
 .
 The project was formerly known as Nautilus-Actions, but has changed its
 name since it now supports Caja and Nemo, in addition to Nautilus.
 .
 This package provides the graphical interface for creating and editing
 file-manager actions.

Package: filemanager-actions-data
Description-md5: b529eec3eec85fad1ae1a9d8c27eb126
Description-en: Common data files for FileManager-Actions
 FileManager-Actions is an extension for GNOME-based file managers, which
 allows the user to add arbitrary programs to be launched through the file
 manager's context menu, based on the current selection.
 .
 The project was formerly known as Nautilus-Actions, but has changed its
 name since it now supports Caja and Nemo, in addition to Nautilus.
 .
 This package provides the common data files used by FileManager-Actions.

Package: filemanager-actions-dev
Description-md5: 9436327af027357fba4758867a320be6
Description-en: Development files for FileManager-Actions
 FileManager-Actions is an extension for GNOME-based file managers, which
 allows the user to add arbitrary programs to be launched through the file
 manager's context menu, based on the current selection.
 .
 The project was formerly known as Nautilus-Actions, but has changed its
 name since it now supports Caja and Nemo, in addition to Nautilus.
 .
 This package provides headers for FileManager-Actions.

Package: filemanager-actions-doc
Description-md5: 0c40d2f13906b2c0ccfa9522f1ed7905
Description-en: HTML user documentation for FileManager-Actions
 FileManager-Actions is an extension for GNOME-based file managers, which
 allows the user to add arbitrary programs to be launched through the file
 manager's context menu, based on the current selection.
 .
 The project was formerly known as Nautilus-Actions, but has changed its
 name since it now supports Caja and Nemo, in addition to Nautilus.
 .
 This package provides HTML user documentation for FileManager-Actions.

Package: filemanager-actions-libs
Description-md5: 7eeba1d443c588a47d579d621517cdac
Description-en: Core FileManager-Actions libraries
 FileManager-Actions is an extension for GNOME-based file managers, which
 allows the user to add arbitrary programs to be launched through the file
 manager's context menu, based on the current selection.
 .
 The project was formerly known as Nautilus-Actions, but has changed its
 name since it now supports Caja and Nemo, in addition to Nautilus.
 .
 This package provides the core libraries used by FileManager-Actions.

Package: filepp
Description-md5: ad3499339bdb726ddf1f77f08ffaf43e
Description-en: generic perl-based file pre-processor for text files
 Generic pre-preprocessor for any kind of text file. It is designed
 to allow the functionality provided by the C preprocessor to be used
 with any file type. filepp supports the following keywords, all of
 which have their usual C preprocessor meanings and usage: define,
 if, elif, ifdef, ifndef etc.

Package: filetea
Description-md5: 9d8795001fabb4aab3b6cf0421b9f512
Description-en: Web-based file sharing system
 FileTea is a simple way to send files among different users.
 .
 FileTea functions as a web server. Users can drag files into their
 web browser and a URL will be generated for each one. Those URLs can
 be sent to other people, who will be able to start downloading the
 files immediately.
 .
 An HTML5 capable browser is required in order to share the files, but
 any HTTP client can download them, including command-line tools such
 as curl or wget.
 .
 Files are sent through the server, but no data is stored there:
 FileTea is only used to route the traffic. This also means that
 there's no limit to the size of shared files.
 .
 The service is anonymous and does not require user registration.
 Since it's completely web-based, it works in networks with proxies,
 firewalls, etc., as long as all users can reach the FileTea server.

Package: filetraq
Description-md5: fa2c8e21acfcb0f5ed4c9a34fb1431f4
Description-en: Small utility to keep track of changes in config files
 FileTraq is a shell script that reads a list of files to watch, runs
 diff against each file and its backup, and reports any discrepancies, along
 with keeping a dated backup of the original.
 It's designed to be run as a cron job.

Package: filezilla
Description-md5: 782ac3b3cf186729c1138dc7616d26df
Description-en: Full-featured graphical FTP/FTPS/SFTP client
 FileZilla is a full-featured FTP client with an easy-to-use GUI.
 .
 It is written in C++ and uses the wxWidgets library.
 .
 FileZilla includes the following features:
   * Supports FTP, FTP over SSL/TLS (FTPS) and SSH File Transfer Protocol (SFTP)
   * IPv6 support
   * Available in more than 40 languages
   * Supports resume and transfer of large files >4GB
   * Easy to use Site Manager and transfer queue
   * Bookmarks
   * Drag & drop support
   * Speed limits
   * Filename filters
   * Directory comparison
   * Network configuration wizard
   * Remote file editing
   * Keep-alive
   * HTTP/1.1, SOCKS5 and FTP Proxy support
   * Logging to file
   * Synchronized directory browsing
   * Remote file search
   * Tabbed interface to connect to multiple servers

Package: filezilla-common
Description-md5: 0ff9bf0c61cc77f908f4504f7162ba44
Description-en: Architecture independent files for filezilla
 FileZilla is a full-featured FTP client with an easy-to-use GUI.
 .
 It is written in C++ and uses the wxWidgets library.
 .
 See the filezilla package description for the full list of features.
 .
 This package contains architecture independent files such as images and
 translations.

Package: filler
Description-md5: ee41264a3e8209118ad3e95a1ab3d0eb
Description-en: simple game where two players try to capture half the board
 Filler is a simple game where two players try to capture half of the board.
 Players take turns selecting colours to capture all adjacent hexes of the
 same colour.

Package: fillets-ng
Description-md5: 7d96ef4f6e14249937bac0c8629464bd
Description-en: puzzle game about witty fish saving the world sokoban-style
 Fish Fillets is strictly a puzzle game. The goal in every of the seventy
 levels is always the same: find a safe way out. The fish utter witty remarks
 about their surroundings, the various inhabitants of their underwater realm
 quarrel among themselves or comment on the efforts of your fish. The whole
 game is accompanied by quiet, comforting music.

Package: fillets-ng-data
Description-md5: 89ee08f6d3eb53b94406a3fa16325b40
Description-en: docs, graphics, music and international sounds for fillets-ng
 Fish Fillets is strictly a puzzle game. The goal in every of the seventy
 levels is always the same: find a safe way out. The fish utter witty remarks
 about their surroundings, the various inhabitants of their underwater realm
 quarrel among themselves or comment on the efforts of your fish. The whole
 game is accompanied by quiet, comforting music.
 .
 This package contains the data needed for the game. You need it, even
 if you also install the add-on Czech language spoken dialogs.

Package: fillets-ng-data-cs
Description-md5: c0981a1166954cb3994b3b8777bbd473
Description-en: add-on sounds for Czech language spoken dialogs for fillets-ng
 Fish Fillets is strictly a puzzle game. The goal in every of the seventy
 levels is always the same: find a safe way out. The fish utter witty remarks
 about their surroundings, the various inhabitants of their underwater realm
 quarrel among themselves or comment on the efforts of your fish. The whole
 game is accompanied by quiet, comforting music.
 .
 This package contains add-on sound-files for Czech language spoken
 dialogs. It is a completely optional add-on and does not replace
 fillets-ng-data, which is still needed for graphics and other sounds.

Package: fillets-ng-data-nl
Description-md5: 0532fe5286fcbaf3ae906a0b40a2ff01
Description-en: add-on sounds for Dutch language spoken dialogs for fillets-ng
 Fish Fillets is strictly a puzzle game. The goal in every of the seventy
 levels is always the same: find a safe way out. The fish utter witty remarks
 about their surroundings, the various inhabitants of their underwater realm
 quarrel among themselves or comment on the efforts of your fish. The whole
 game is accompanied by quiet, comforting music.
 .
 This package contains add-on sound-files for Dutch language spoken
 dialogs. It is a completely optional add-on and does not replace
 fillets-ng-data, which is still needed for graphics and other sounds.

Package: filter
Description-md5: c318bce74f48d8021e639c3a25091577
Description-en: program that filters local email via forward/pipe
 filter is one of the original mail filtering programs written for UNIX.
 (originally a part of the 'elm' mailer)
 Install it via a pipe(|) reference in $HOME/.forward, and let it
 separate your incoming email into different personal mailboxes.

Package: filtergen
Description-md5: 67e1a75cc7e05d0c9e8f5b4a6c6dafff
Description-en: packet filter generator for various firewall systems
 filtergen is a packet filter generator.  It compiles a fairly high-level
 description language into iptables, ipchains, or ipfilter scripts (and has
 bits of support for Cisco IOS access lists).

Package: filters
Description-md5: 19e1e21ae9762fb7f799265d97a1bd0c
Description-en: collected filters, including B1FF and the Swedish Chef
 A collection of filters to do all sorts of strange things to text.
 This includes such favorites as B1FF and the Swedish Chef, and a wide
 range of others.

Package: fim
Description-md5: 545bc8b6326b5387fc70d5fa199f1855
Description-en: scriptable frame buffer, X.org and ascii art image viewer
 FIM is a highly customizable scriptable image viewer targeted at the
 users who are comfortable with software like the Vim text editor or the Mutt
 mail user agent. FIM aims to be a "swiss army knife" for viewing images.
 Its code derives from the "Fbi" framebuffer image viewer by Gerd Hoffmann.
 FIM is multidevice: it has X support via the SDL library and ascii art output
 via the aalib library.
 .
 It supports image description files, file search and filtering using regular
 expressions on filenames and descriptions, caption display, customizable
 status line, EXIF tags display, EXIF-based image rotation, recursive directory
 traversal, reading from stdin, and can e.g. jump between two images
 remembering scale and position.
 .
 It can speed up loading by image caching and speed up scaling with mipmaps.
 It offers GNU readline command line autocompletion and history,
 completely customizable key bindings, external/internal (if-while based)
 scriptability (through return codes, standard input/output, and commands given
 at invocation time, an initialization file, Vim-like autocommands), and much
 more.

Package: finch
Description-md5: 8ec92f82f78eb8d238614a1c1f0a5ffc
Description-en: text-based multi-protocol instant messaging client
 Finch is a text/console-based, modular instant messaging client capable of
 using multiple networks at once. Currently supported are:
 AIM/ICQ, IRC, Jabber/XMPP/Google Talk, Napster, Zephyr, Gadu-Gadu,
 Bonjour, Groupwise, Sametime, and SIMPLE.
 .
 Some extra packages are suggested to use increased functionality:
  * libx11-6
    - To use the Clipboard and/or Toaster plugins.

Package: finch-dev
Description-md5: 6a61ea06da490e4c5dc81a62a7ae4a2d
Description-en: text-based multi-protocol instant messaging client - development
 This package contains the headers and other development files not included in
 the main finch package. Install this if you wish to compile your own plugins,
 or would like to compile programs that use the libgnt library.

Package: findbugs
Description-md5: deb53656f44857b848749762c3e59f23
Description-en: Static java code analyzer to find bugs
 FindBugs is a program which uses static analysis to look for bugs in Java
 code. It can analyze programs compiled for any version of Java, from 1.0
 to 1.8.
 .
 FindBugs provides both GUI and command line interfaces and supports many
 bug patterns.

Package: findent
Description-md5: 4a9352dede18ce90e2e062f0432df057
Description-en: indents/converts Fortran sources
 Indents Fortran sources: free or fixed format.
 Optionally converts from fixed format to free format.
 Optionally generates dpendencies for Make, based on
 definition and usage of modules.
 Supports Fortran2008.
 Indentation is controlled by a number of flags.
 Easy integration with (g)vim, gedit, emacs.
 .
 wfindent is a wrapper for findent and facilitates
 in-place indenting of Fortran sources.

Package: findimagedupes
Description-md5: 6f073b619749599256d959f814d86df6
Description-en: Finds visually similar or duplicate images
 findimagedupes is a commandline utility which performs a rough
 "visual diff" to two images. This allows you to compare two
 images or a whole tree of images and determine if any are
 similar or identical. On common image types, findimagedupes
 seems to be around 98% accurate.

Package: finger
Description-md5: fa4c81e598394f7d5057f3373fde86f6
Description-en: user information lookup program
 finger displays information about the system users.

Package: fingerd
Description-md5: 252aeb1f06e592ea0a2e97dcb289d598
Description-en: remote user information server
 Fingerd is a simple daemon based on RFC1196 that provides an interface to the
 "finger" program at most network sites.  The program is supposed to return a
 friendly, human-oriented status report on either the system at the moment or a
 particular person in depth.

Package: fio
Description-md5: f30ba0e0abc22f20ad53eb90c2693fe2
Description-en: flexible I/O tester
 fio is a tool that will spawn a number of threads or processes doing a
 particular type of I/O action as specified by the user. fio takes a
 number of global parameters, each inherited by the thread unless
 otherwise parameters given to them overriding that setting is given.
 The typical use of fio is to write a job file matching the I/O load
 one wants to simulate.
 .
 This package contains the command line version of fio and all additional
 command line tools. The package gfio contains the GTK+ based gui frontend
 for fio.

Package: fiona
Description-md5: 09de26d1436b4dfda06ea07ba93758bd
Description-en: Command line tool for reading/writing vector geospatial data
 Fiona is a Python wrapper around the OGR vector data abstraction library.
 Fiona is designed to be simple and dependable. It focuses on reading
 and writing data in standard Python IO style and relies upon familiar
 Python types and protocols such as files, dictionaries, mappings, and
 iterators instead of classes specific to OGR. Fiona can read and write
 real-world data using multi-layered GIS formats and zipped virtual
 file systems and integrates readily with other Python GIS packages
 such as pyproj, Rtree, and Shapely.
 .
 This package provides the fiona command line tools

Package: fiona-doc
Description-md5: 1a70029eafc3a634476d4a45c6aca025
Description-en: Python API for reading/writing vector geospatial data (docs)
 Fiona is a Python wrapper around the OGR vector data abstraction library.
 Fiona is designed to be simple and dependable. It focuses on reading
 and writing data in standard Python IO style and relies upon familiar
 Python types and protocols such as files, dictionaries, mappings, and
 iterators instead of classes specific to OGR. Fiona can read and write
 real-world data using multi-layered GIS formats and zipped virtual
 file systems and integrates readily with other Python GIS packages
 such as pyproj, Rtree, and Shapely.
 .
 This package contains the html documentation for Fiona.

Package: firebird-dev
Description-md5: 9ca2522453b9fb015e98efc093af9d5c
Description-en: Development files for Firebird
 C header files for Firebird, useful if one compiles programs that use the
 Firebird client to work with Firebird databases.
 .
 See firebird3.0-server package for more information about Firebird.

Package: firebird3.0-common
Description-md5: 99ad5b92b46b59007406fee88239a7c4
Description-en: common files for firebird 3.0 server, client and utilities
 Common files for the Firebird server, client and user utilities.
 .
 These include the support scripts as well as configuration files in
 /etc/firebird/3.0.

Package: firebird3.0-common-doc
Description-md5: b966380cc068867d70fdae3124709805
Description-en: copyright, licensing and changelogs of firebird3.0
 Copyright, licensing information and upstream changelog of firebird3.0.
 .
 Due to the size of the upstream change log and copyright/licensing summary,
 keeping them in a separate package saves space on mirrors and when installing
 firebird3.0 packages.

Package: firebird3.0-doc
Description-md5: 7a9204397ad8ec5897d0e8c4dbec8fd3
Description-en: Documentation files for firebird database version 3.0
 Various documents for firebird 3.0. These include the release notes, what's
 new in firebird 3.0, quick start guide, upgrade guide, list of ISQL
 enhancements etc.

Package: firebird3.0-examples
Description-md5: 9b846ed7016bd38e7bf0abef4266a8b1
Description-en: Examples for Firebird database
 The examples included in this package are provided as samples and are also
 used by Firebird's testing suite (TCS). The examples are in the form
 of .h, .c, .e, .fdb and .gbk files.
 .
 See firebird3.0-server package for more information about Firebird.

Package: firebird3.0-server
Description-md5: 2134827313e90e2003de2a24bcb319ef
Description-en: Firebird Server - an RDBMS based on InterBase 6.0 code
 Firebird is a relational database offering many ANSI SQL-99 features that
 runs on Linux, Windows, and a variety of Unix platforms. Firebird offers
 excellent concurrency, high performance, and powerful language support
 for stored procedures and triggers. It has been used on production systems
 under a variety of names since 1981.
 .
 This package contains the server binary as well as its configuration files.
 The default mode of operation is the so called "super", which is a
 single-threaded server process with shared database cache between
 connections. The two other operation modes -- "classic" (separate
 process/cache for each connection) and "superclassic" (separate thread/cache
 for each connection) are also available via configuration options.

Package: firebird3.0-server-core
Description-md5: f540578275d4feacf219d86a12101dd7
Description-en: Firebird engine core
 Firebird database engine and support libraries. This package is required for
 embedded (serverless) access to local databases with the tools packaged in
 firebird3.0-utils or other binaries linked to the firebird client library,
 libfbclient2.
 .
 The rest of the binaries needed for running a full-featured,
 remotely-accessible Firebird server are in the firebird3.0-server package.

Package: firebird3.0-utils
Description-md5: abf897f55faee3358d9fb9b9a2d2b7b9
Description-en: Firebird command line utilities
 This package contains the utilities for managing Firebird databases:
  * isql-fb -- interactive console (original name isql)
  * gbak -- backup and restore databases
  * gfix -- check and repair databases
  * gsec -- manage users and roles
  * fbstat -- analyze databases (original name gstat)
  * nbackup -- incremental backup and file-level copy
  * gpre -- preprocess sources with embedded SQL

Package: firefox-geckodriver
Description-md5: f720f625e9a0770cb777ddb0c62c22e3
Description-en: Safe and easy web browser from Mozilla - geckodriver
 Firefox delivers safe, easy web browsing. A familiar user interface,
 enhanced security features including protection from online identity theft,
 and integrated search let you get the most out of the web.
 .
 This package contains geckodriver, a proxy for using W3C WebDriver-compatible
 clients (such as selenium) to interact with Gecko-based browsers. See
 https://firefox-source-docs.mozilla.org/testing/geckodriver/ for details.

Package: firefox-launchpad-plugin
Description-md5: 467a3cb4f589d67249c2e29ec19999a1
Description-en: Launchpad firefox integration
 Mozilla Firefox Launchpad integration adds quick search for:
  - A bug number on Launchpad
  - Ubuntu bugs on Launchpad
  - Ubuntu package bugs on Launchpad
  - Ubuntu specifications on Launchpad
  - Ubuntu packages on Launchpad
  - Ubuntu support tickets on Launchpad
  - People and teams on Launchpad

Package: firefox-mozsymbols
Description-md5: 1398643ad4fb0f820933502f6bba9816
Description-en: Safe and easy web browser from Mozilla - Breakpad symbols
 Firefox delivers safe, easy web browsing. A familiar user interface,
 enhanced security features including protection from online identity theft,
 and integrated search let you get the most out of the web.
 .
 This package contains the Firefox symbols in a format expected by Mozilla's
 Breakpad. Eventually this package should go away and the symbol upload be
 implemented in soyuz (or other builders that build this package)

Package: firehol
Description-md5: 316b7dc7b61e1b227b09b04b76414805
Description-en: easy to use but powerful iptables stateful firewall (program)
 FireHOL generates generic firewalls with an extremely simple but powerful
 configuration language based on bash, enabling you to design any kind of
 local or routing stateful packet filtering firewall with ease.
 .
 FireHOL is the firewall counterpart of the FireQOS traffic shaper;
 both FireHOL and FireQOS support IPv4 and IPv6.
 .
 This package provides the firewall program.

Package: firehol-common
Description-md5: b16182d0ce0d9e2b0a62515ad4dd39cb
Description-en: easy to use but powerful traffic suite (common library)
 FireHOL suite is a powerful traffic suite of tools that generate generic
 firewalls, traffic shappers, and  more with an extremely simple but powerful
 configuration language based on bash, enabling you to design and manage
 any kind of traffic with ease.
 .
 This package provides the common library.

Package: firehol-doc
Description-md5: c50068ad3ca66c29f176ebe5fd7899f4
Description-en: easy to use but powerful iptables stateful firewall (docs)
 FireHOL generates generic firewalls with an extremely simple but powerful
 configuration language based on bash, enabling you to design any kind of
 local or routing stateful packet filtering firewall with ease.
 .
 FireHOL is the firewall counterpart of the FireQOS traffic shaper;
 both FireHOL and FireQOS support IPv4 and IPv6.
 .
 This package contains documentation and examples.

Package: firehol-tools
Description-md5: 942e911d4095f45d536d9ae62429ce0c
Description-en: easy to use but powerful traffic suite (extra tools)
 FireHOL suite is a powerful traffic suite of tools that generate generic
 firewalls, traffic shappers, and  more with an extremely simple but powerful
 configuration language based on bash, enabling you to design and manage
 any kind of traffic with ease.
 .
 This package provides extra tools.

Package: firehol-tools-doc
Description-md5: 2349de54bc562e6e24169624a3949120
Description-en: easy to use but powerful traffic suite (extra tools docs)
 FireHOL suite is a powerful traffic suite of tools that generate generic
 firewalls, traffic shappers, and  more with an extremely simple but powerful
 configuration language based on bash, enabling you to design and manage
 any kind of traffic with ease.
 .
 This package provides documentation and examples for the extra tools.

Package: firejail
Description-md5: 001e4831e20916b1cb21d90a1306806f
Description-en: sandbox to restrict the application environment
 Firejail is a SUID security sandbox program that reduces the risk of
 security breaches by restricting the running environment of untrusted
 applications using Linux namespaces and seccomp-bpf.  It allows a
 process and all its descendants to have their own private view of the
 globally shared kernel resources, such as the network stack, process
 table, mount table.

Package: firejail-profiles
Description-md5: b4fc3350864d3ecc1fe47428f9cca3a2
Description-en: profiles for the firejail application sandbox
 Firejail is a SUID security sandbox program that reduces the risk of
 security breaches by restricting the running environment of untrusted
 applications using Linux namespaces and seccomp-bpf.  It allows a
 process and all its descendants to have their own private view of the
 globally shared kernel resources, such as the network stack, process
 table, mount table.
 .
 This package contains firejail profiles for various applications.

Package: fireqos
Description-md5: 8916a26e06e53ebfb5ea5169a1e8f12b
Description-en: easy to use but powerful traffic shaping tool (program)
 FireQOS generates generic traffic shapers with an extremely simple but
 powerful configuration language based on bash, enabling you to design
 any kind of traffic shaping with ease.
 .
 FireQOS is the traffic shaper counterpart of the FireHOL firewall;
 both FireHOL and FireQOS support IPv4 and IPv6.
 .
 This package provides the traffic shaping tool program.

Package: fireqos-doc
Description-md5: 2bff96d3240da7cf9982de3bf0132f06
Description-en: easy to use but powerful traffic shaping tool (docs)
 FireQOS generates generic traffic shapers with an extremely simple but
 powerful configuration language based on bash, enabling you to design
 any kind of traffic shaping with ease.
 .
 FireQOS is the traffic shaper counterpart of the FireHOL firewall;
 both FireHOL and FireQOS support IPv4 and IPv6.
 .
 This package contains documentation and examples.

Package: firetools
Description-md5: 2af62c01868a12849d75e5a7c705c3c9
Description-en: Qt frontend for the Firejail application sandbox
 Firejail is a SUID security sandbox program that reduces the risk of
 security breaches by restricting the running environment of untrusted
 applications using Linux namespaces and seccomp-bpf.  It allows a
 process and all its descendants to have their own private view of the
 globally shared kernel resources, such as the network stack, process
 table, mount table.
 .
 This package contains the Qt-based frontend Firetools.

Package: firewall-applet
Description-md5: cbc76c1b787fecaeeb7bb32c89df7f64
Description-en: panel applet providing status information of firewalld
 firewalld is a dynamically managed firewall daemon with support for
 network/firewall zones to define the trust level of network connections
 or interfaces. It has support for IPv4, IPv6 firewall settings and for
 ethernet bridges and has a separation of runtime and persistent
 configuration options.
 It also provides a D-Bus interface for services or applications to add
 and apply firewall rules on-the-fly.
 .
 This package provides a panel applet which shows status information
 of firewalld.

Package: firewall-config
Description-md5: fdfc2fe07040bda2da06003e744fea18
Description-en: graphical configuration tool to change the firewall settings
 firewalld is a dynamically managed firewall daemon with support for
 network/firewall zones to define the trust level of network connections
 or interfaces. It has support for IPv4, IPv6 firewall settings and for
 ethernet bridges and has a separation of runtime and persistent
 configuration options.
 It also provides a D-Bus interface for services or applications to add
 and apply firewall rules on-the-fly.
 .
 This package provides a graphical configuration tool to change the
 firewall settings.

Package: firewalld
Description-md5: bd73acf3f58810f5cb9e1c4a80431afd
Description-en: dynamically managed firewall with support for network zones
 firewalld is a dynamically managed firewall daemon with support for
 network/firewall zones to define the trust level of network connections
 or interfaces. It has support for IPv4, IPv6 firewall settings and for
 ethernet bridges and has a separation of runtime and persistent
 configuration options.
 It also provides a D-Bus interface for services or applications to add
 and apply firewall rules on-the-fly.

Package: firmware-ath9k-htc
Description-md5: 74fe0956797fd609adf7ad2ba0466b39
Description-en: QCA ath9k-htc Firmware
 Opensource version of QCA ath9k-htc Firmware.
 Supported chips are: ar9271 and ar7010

Package: firmware-ath9k-htc-dbgsym
Description-md5: c367c8d08296498d87106470e9d8880f
Description-en: QCA ath9k-htc Firmware ELF file
 Full unstripped ELF file for debugging the opensource version of
 QCA ath9k-htc Firmware. Supported chips are: ar9271 and ar7010.

Package: firmware-microbit-micropython
Description-md5: df6e22bc90b84c449f3657f35bb30f52
Description-en: MicroPython runtime for the BBC micro:bit
 This package provides a binary firmware file for the  BBC micro:bit
 small board computer (SBC), containing the MicroPython runtime.
 .
 It is suggested that a dedicated flashing tool (e.g. uflash) is used to
 upload the firmware and Python scripts to the micro:bit device.
 .
 The uflash utility (package: python3-uflash) uses the MicroPython runtime
 provided in this package as the default firmware to flash to the micro:bit.
 .
 This package contains the MicroPython runtime for the BBC micro:bit

Package: firmware-microbit-micropython-dl
Description-md5: d455205dc5f41591864fb1e0b29a5773
Description-en: micro:bit MicroPython runtime downloader
 This empty package will, at the time of installation, download the
 current MicroPython runtime for the micro:bit as bundled with uflash
 upstream.
 .
 The firmware image will be downloaded from https://github.com/ntoll/uflash
 and saved to /usr/share/firmware-microbit-micropython/firmware.hex
 .
 Note that this package will not flash MicroPython onto the micro:bit; that can
 be done with the uflash utility (package: python3-uflash).

Package: firmware-microbit-micropython-doc
Description-md5: 0768642527bb0c040bd16831dedd4907
Description-en: MicroPython runtime for the BBC micro:bit (documentation)
 This package provides a binary firmware file for the  BBC micro:bit
 small board computer (SBC), containing the MicroPython runtime.
 .
 It is suggested that a dedicated flashing tool (e.g. uflash) is used to
 upload the firmware and Python scripts to the micro:bit device.
 .
 The uflash utility (package: python3-uflash) uses the MicroPython runtime
 provided in this package as the default firmware to flash to the micro:bit.
 .
 This package contains documentation for MicroPython on the BBC micro:bit

Package: firmware-tomu
Description-md5: feb6d17d0e63c961f00a2ea67ce0721f
Description-en: Bootloader for the EFM32HG Tomu Board
 The Tomu is a tiny ARM microprocessor which fits in your USB port. It has two
 buttons and two LEDs!
 .
 The board is fully open source and is buildable by hobbyists! Designed for
 2-factor authentication, usb experiments, or anything else you can think of.
 .
 This package provides the bootloader for the Tomu board to make flashing it
 easier.

Package: fis-gtm
Description-md5: 956ff6bcba2fec68313008d6621dd310
Description-en: metapackage for the latest version of FIS-GT.M database
 GT.M is a database engine with scalability proven in large real-time
 transaction processing systems that have thousands of concurrent
 users, individual database file sizes to the Terabyte range (with
 virtually unlimited aggregate database sizes).  Yet the light
 footprint of GT.M allows it to also scale down for use in small
 applications and software appliances (virtual machines).
 .
 The GT.M data model is hierarchical associative memory (i.e.,
 multi-dimensional array) that imposes no restrictions on the data
 types of the indexes or content - the application logic can impose
 any schema, dictionary or data organization suited to its problem
 domain.  (Database engines that do not impose schemas, but which
 allow layered application software to impose and use whatever schema
 that is appropriate to the application are popularly referred to as
 "document oriented", "schemaless" or "schema-free" databases.)
 .
 GT.M's compiler for the standard M (also known as MUMPS) scripting
 language implements full support for ACID (Atomic, Consistent,
 Isolated, Durable) transactions, using optimistic concurrency control
 and software transactional memory (STM) that resolves the common
 mismatch between databases and programming languages. Its unique
 ability to create and deploy logical multi-site configurations of
 applications provides unrivaled continuity of business in the face of
 not just unplanned events, but also planned events, including planned
 events that include changes to application logic and schema.
 .
 This metapackage always depends from the default fis-gtm version.

Package: fis-gtm-6.3-007
Description-md5: 7608a16e569da5e257792f7127e8149a
Description-en: package for FIS-GT.M database
 GT.M is a database engine with scalability proven in large real-time
 transaction processing systems that have thousands of concurrent
 users, individual database file sizes to the Terabyte range (with
 virtually unlimited aggregate database sizes).  Yet the light
 footprint of GT.M allows it to also scale down for use in small
 applications and software appliances (virtual machines).
 .
 The GT.M data model is hierarchical associative memory (i.e.,
 multi-dimensional array) that imposes no restrictions on the data
 types of the indexes or content - the application logic can impose
 any schema, dictionary or data organization suited to its problem
 domain.  (Database engines that do not impose schemas, but which
 allow layered application software to impose and use whatever schema
 that is appropriate to the application are popularly referred to as
 "document oriented", "schemaless" or "schema-free" databases.)
 .
 GT.M's compiler for the standard M (also known as MUMPS) scripting
 language implements full support for ACID (Atomic, Consistent,
 Isolated, Durable) transactions, using optimistic concurrency control
 and software transactional memory (STM) that resolves the common
 mismatch between databases and programming languages. Its unique
 ability to create and deploy logical multi-site configurations of
 applications provides unrivaled continuity of business in the face of
 not just unplanned events, but also planned events, including planned
 events that include changes to application logic and schema.

Package: fische
Description-md5: 43edf910a77688735c827aae523d55d7
Description-en: stand-alone sound visualisation for Linux
 This application based on libsdl and on the alsa audio
 driver provides visualisation to the sound reproduced
 by a sound device creating waves and particular effects.

Package: fish
Description-md5: 4a81d010b32d85ddb0d5779f50958db5
Description-en: friendly interactive shell
 Fish is a shell geared towards interactive use.  Its features are focused on
 user friendliness and discoverability.  The language syntax is simple but
 incompatible with other shell languages.

Package: fish-common
Description-md5: 23925307316b053dab237c939b0ef97c
Description-en: friendly interactive shell (architecture-independent files)
 Fish is a shell geared towards interactive use.  Its features are focused on
 user friendliness and discoverability.  The language syntax is simple but
 incompatible with other shell languages.
 .
 This package contains the common fish files shared by all architectures.

Package: fitgcp
Description-md5: 6d250046a14f2fb020b753ee88032582
Description-en: fitting genome coverage distributions with mixture models
 Genome coverage, the number of sequencing reads mapped to a position in
 a genome, is an insightful indicator of irregularities within sequencing
 experiments. While the average genome coverage is frequently used within
 algorithms in computational genomics, the complete information available
 in coverage profiles (i.e. histograms over all coverages) is currently
 not exploited to its full extent. Thus, biases such as fragmented or
 erroneous reference genomes often remain unaccounted for. Making this
 information accessible can improve the quality of sequencing experiments
 and quantitative analyses.
 .
 fitGCP is a framework for fitting mixtures of probability distributions
 to genome coverage profiles. Besides commonly used distributions, fitGCP
 uses distributions tailored to account for common artifacts. The mixture
 models are iteratively fitted based on the Expectation-Maximization
 algorithm.

Package: fitscut
Description-md5: 6fa39377ccc29621cba1ed8d464a24eb
Description-en: Extract cutouts from FITS image format files
 fitscut is designed to extract cutouts from FITS image format files.
 FITS, PNG, and JPEG output types are supported. When multiple input
 files are specified and the output type is PNG or JPEG the resulting
 image is an RGB color image.

Package: fitsh
Description-md5: aafb68a51edd04a8e42d6a81d5fe90e2
Description-en: Software package for astronomical image processing
 This software package provides independent binary programs (so called tasks)
 which performs various steps of astronomical image reduction and  data
 processing. These tasks are designed for execution from a UNIX command line
 shell or shell script. Each of these tasks performs a specific operation
 (e.g. transforming an image to another reference frame, search and identifiy
 stars or other point-like sources, do photometry, transform/display a
 FITS image to a popular graphics format, ...) while the details of a
 certain operation are specified via command switches and arguments.
 Therefore this package does not need any higher level operating environment
 than a standard UNIX shell, however, processing the related data might
 require a little more knowledge of the used shell itself. If you find this
 program useful in your research, please cite 2012MNRAS.421.1825P
 as "2012, MNRAS, 421, 1825". See also the web page of the project, found at
 http://fitsh.net/. That page gathers information about the program
 (including documentation and examples), this is the primary download source
 of the package itself, and additionally, serves a public forum for the
 program users in various topics. Bug reports, comments and ideas are welcomed!

Package: fitspng
Description-md5: 929ad41ce02050a360975dc013fb2827
Description-en: FITS to PNG converter
 Fitspng is an utility intended to convert of the natural high
 dynamic range of FITS images, directly representing measured data,
 to the limited numerical range of PNG format widely used
 in computer graphics. Fitspng implements a global tone mapping
 technique by a set of tone functions using parameters provided
 by user or by machine estimate on base of a robust count statistics.
 Moreover, the conversion keeps an important FITS meta-information
 as a text part of PNG header.

Package: fitsverify
Description-md5: 9bbb00fd4aa1f32572255a69aceb0c19
Description-en: FITS File Format-Verification Tool
 Fitsverify is a computer program that rigorously checks whether a FITS
 (Flexible Image Transport System) data file conforms to all the requirements
 defined in Version 3.0 of the FITS Standard document.

Package: fityk
Description-md5: 884c3570591a15f70df8aef9166148a5
Description-en: general-purpose nonlinear curve fitting and data analysis
 Fityk is a flexible and portable program for nonlinear fitting of analytical
 functions (especially peak-shaped) to data (usually experimental data). In
 other words, for nonlinear peak separation and analysis.
 .
 It was developed for analyzing diffraction patterns, but can be also used in
 other fields, since concepts and operations specific for crystallography are
 separated from the rest of the program.
 .
 Fityk offers various nonlinear fitting methods, subtracting background,
 calibrating data, easy placement of peaks and changing peak parameters,
 automation of common tasks with scripts, and much more. The main advantage
 of the program is flexibility - parameters of peaks can be arbitrarily
 bound to each other, eg. the width of a peak can be an independent
 variable, can be the same as the width of another peak or can be given
 by a complicated - common to all peaks - formula.
 .
 libjs-sphinxdoc is necessary for the Javascript stuff in the documentation.

Package: fiu-utils
Description-md5: d0523e5ca3d06bb99764019a33b33c83
Description-en: userspace fault injection framework (utilities)
 libfiu is a C library for fault injection. It provides functions to mark
 "points of failure" inside your code (the "core API"), and functions to
 enable/disable the failure of those points (the "control API").
 .
 This package contains the fiu-run, fiu-ls and fiu-ctrl utilities.

Package: five-or-more
Description-md5: f5f8aa056258a86ed61253862184d2d8
Description-en: make color lines of five or more length
 This is a GNOME port of the once popular “Color Lines” game.
 .
 The game's objective is to align as often as possible five or more
 objects of the same color and shape causing them to disappear.

Package: fizmo-common
Description-md5: 5a0b4617b0f3d5f8db9ed24314f7eb67
Description-en: Provides localization data from all default fizmo-related
 libraries: libfizmo, libcellif, libsndifsdl2, libdrilbo and libglkif. This
 package will be required by fizmo-related frontends like fizmo-ncursesw
 or fizmo-console.

Package: fizmo-console
Description-md5: 72b63d279f28fe26a677f0a85ec2593d
Description-en: Console-based Z-machine interpreter for Infocom/Inform games
 This is a bare-bones console-only frontend for the fizmo interpreter. Useful
 for automated Z-Machine processing or testing. For actual playing of
 Z-Machine games fizmo-ncursesw or fizmo-sdl2 might be more useful.

Package: fizmo-ncursesw
Description-md5: 55d5b6ad25a86998631c87498a5627df
Description-en: Ncurses-based Z-machine interpreter for Infocom/Inform games
 This is a ncurses-(text-)based Z-Machine interpreter. It is used to play
 old Infocom text adventures (except version 6) and modern interactive fiction
 as created by the Inform compiler. For more information about interactive
 fiction -- and to download many storyfiles -- see http://www.ifarchive.org.

Package: fizmo-sdl2
Description-md5: 0edc58e9c53a760ef5ac7f0bf9814983
Description-en: SDL2-based Z-machine interpreter for Infocom/Inform games
 This is a SDL2-based Z-Machine interpreter. It is used to play old Infocom
 text adventures (except version 6) and modern interactive fiction as
 created by the Inform compiler. Using the freetype2 engine, it allows for
 proportional font display and supports antialiasing, subpixel-rendering
 and provides HiDPI support. For more information about interactive
 fiction -- and to download many storyfiles -- see http://www.ifarchive.org.

Package: fizsh
Description-md5: 778ef70241d4fa1d25f992bf7e3ab7c2
Description-en: Friendly Interactive ZSHell
 Fizsh is a frontend to Zsh. It provides the user with interactive syntax
 highlighting and a Matlab-like history search facility. At the same time it
 can handle Bourne syntax.

Package: fl-cow
Description-md5: b35d8c4bff298c12fc96e08f2b34a941
Description-en: copy-on-write utility
 Fl-cow allows you to utilise hard links to save disk space by causing
 hard-linked files to be copied rather than overwritten as they are changed.
 .
 This is useful for making changes to large source trees while keeping a copy
 of the original tree to generate patches from. Also, if you are using the
 Arch revision control system with working copies hard-linked to a revision
 library, using fl-cow can prevent revision library corruption.
 .
 Fl-cow is an LD_PRELOAD hack, not a kernel patch.

Package: flac
Description-md5: f1560839832c89e0262853df6c9f7e62
Description-en: Free Lossless Audio Codec - command line tools
 FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is
 similar to MP3, but lossless.  The FLAC project consists of:
 .
  * The stream format
  * libFLAC, which implements a reference encoder, stream decoder, and file
    decoder
  * flac, which is a command-line wrapper around libFLAC to encode and decode
    .flac files
  * Input plugins for various music players (Winamp, XMMS, and more in the
    works)
 .
 This package contains the command-line tools flac (used for encoding and
 decoding FLACs) and metaflac (used for manipulating FLAC metadata.)

Package: flactag
Description-md5: 35acfa7e5b8c803b9c41033083a545ae
Description-en: Tagger for whole-album FLAC files using data from MusicBrainz
 Tagger for whole-album FLAC files with embedded CUE sheets.
 Data for the tags is retrieved from the MusicBrainz service. Tags are
 written as VORBIS comments or standalone CUESHEET files, and the
 application has the ability to rename a file based on the tags using
 a user-specified template.
 .
 flactag can be run either interactively (to allow the user to select which of
 the results to tag the file with), or in batch mode (allowing files to be
 checked regularly for changes,  and optionally to apply these changes
 automatically).

Package: flake
Description-md5: d0382fe74ce0e438d3a545b04154877b
Description-en: Alternative encoder for the Free Lossless Audio Codec
 The purpose of Flake is to be an alternative to the
 FLAC reference encoder with the goal of increasing
 encoding speed and implementing experimental features.
 .
 Flake encodes WAV audio files into lossless FLAC files.

Package: flake8
Description-md5: 83f99498b2ef35069054e49a3661b821
Description-en: code checker using pycodestyle and pyflakes
 Flake8 is a wrapper around PyFlakes, pycodestyle, and Ned's McCabe script.
 Flake8 runs all tools by launching the single 'flake8' script, but ignores
 pycodestyle and PyFlakes extended options and just uses defaults. It displays
 the warnings in a per-file, merged output.
 .
 This package provides flake8 binary.

Package: flam3
Description-md5: be253e0180020099647534edd195d0a1
Description-en: render and animate FLAM3s and manipulate their genomes
 According to the upstream website:
 .
 Fractal Flames are algorithmically generated images and animations. The
 software was originally written in 1992 and released as open source, aka
 free software. Since then it has developed a lot. It has been incorporated
 into many graphics programs and ported to most operating systems. The shape
 of each image is specified by a long string of numbers - a genetic code of
 sorts.
 .
 You can create your own flames with the Apophysis interactive designer (for
 windows), Qosmic (for Linux), or Oxidizer (for Mac OS X), or Apophysis-J
 (cross-platform in Java). Or you can use the Electric Sheep distributed
 screen saver to join the collective evolution of animated fractal flames.

Package: flamerobin
Description-md5: f5b4a2f4483fdacf3c634ac6540eb3cf
Description-en: graphical database administration tool for Firebird DBMS
 FlameRobin is a graphical database administration tool for Firebird database
 management system.
 .
 Its goals are:
   - to be lightweight (small footprint, fast execution)
   - cross-platform (Linux, Windows for start, others planned too)
   - dependent only on other open source software
 .
 You need to setup firebird server on local or remote machine before using
 FlameRobin. See package firebird3.0-server.

Package: flameshot
Description-md5: d66868c2aa0ae1c1f518d150155a434b
Description-en: Powerful yet simple-to-use screenshot software
 Flameshot is a powerful yet simple-to-use screenshot software.
 Notable features include customizable appearance, in-app screenshot editing,
 D-Bus interface, experimental GNOME/KDE Wayland support, integration with
 Imgur and support for both GUI and CLI interface.

Package: flamethrower
Description-md5: 3d18e2290cec86ac0e2f03a8dff5bd75
Description-en: Multicast file distribution utility
 Flamethrower is intended to be an easy to use multicast file distribution
 system.  It was created to add multicast install capabilities to
 SystemImager, but was designed to be fully functional as a stand-alone
 package.
 .
 Notable characteristics:
  1)  Works with entire directory hierarchies of files, not just single files.
  2)  Uses a server configuration file that takes module entries that are
      similar to those used by rsyncd.conf.
  3)  Flamethrower is an on-demand system.  The multicast of a module is
      initiated when a client connects, but waits MIN_WAIT (conf file) for
      other clients to connect.  If other clients try to connect after a
      cast has been initiated, they simply wait until that cast has finished,
      and catch the next one when it begins.
  4)  The udpcast package is used as the multicast transport, and offers a
      gob and a half of tuning parameters.

Package: flamp
Description-md5: bc4a23f199340114d424649a5664b767
Description-en: ham radio Amateur Multicast Protocol application
 FLAMP is a program for AMP or Amateur Multicast Protocol. An FLAMP session
 will transmit one or more files with one or more iterations of the
 transmission. Each file is broken into blocks, each of which has a check sum.
 The receiving station saves the blocks that pass check sum. Successive
 transmissions will fill in the missing blocks provided that the new blocks
 pass the check sum. After the transmission sequence, the entire file is
 assembled and may be saved. “Fills” may be provided by retransmitting the
 entire file or by the sending station only sending the missing blocks.

Package: flang-7
Description-md5: 41ce6fe0cd56359e372d321874272ed0
Description-en: Fortran compiler front-end for LLVM
 This is a Fortran compiler using LLVM.

Package: flann-doc
Description-md5: ffa9d15dc1f8f5ef68cd368eb158e3a6
Description-en: Fast Library for Approximate Nearest Neighbors - documentation
 FLANN is a library for performing fast approximate nearest neighbor searches
 in high dimensional spaces. It contains a collection of algorithms found
 to work best for nearest neighbor search and a system for automatically
 choosing the best algorithm and optimum parameters depending on the dataset.
 .
 This package contains the documentation for FLANN.

Package: flannel
Description-md5: f98d4b28ba6cabb1cc49ce10ec3a1f9e
Description-en: Etcd backed network fabric for containers
 Flannel is a virtual network that gives a subnet to each host for
 use with container runtimes.
 .
 Platforms like Google's Kubernetes assume that each container (pod)
 has a unique, routable IP inside the cluster. The advantage of this
 model is that it reduces the complexity of doing port mapping.

Package: flare
Description-md5: 59f4e815f5472b894296c82ffa84b791
Description-en: Meta package to deal with package name changes in Flare 0.19
 This is a transitional metapackage to deal with package name changes of 0.19
 (separation between engine and game data).

Package: flare-data
Description-md5: 59f4e815f5472b894296c82ffa84b791
Description-en: Meta package to deal with package name changes in Flare 0.19
 This is a transitional metapackage to deal with package name changes of 0.19
 (separation between engine and game data).

Package: flare-engine
Description-md5: 275a3bc406e7863ddeb465e5542b1221
Description-en: game engine for single-player 2D action role-playing games
 Flare (Free Libre Action Roleplaying Engine) is a simple game engine built to
 handle a very specific kind of game: single-player 2D action RPGs. Flare is not
 a reimplementation of an existing game or engine. It is a tribute to and
 exploration of the action RPG genre.
 .
 Flare uses simple file formats (INI style config files) for most of the game
 data, allowing anyone to easily modify game contents. Open formats are
 preferred (png, ogg). The game code is C++.
 .
 This package contains the game engine and you will need a game
 package (such as flare or polymorph) to play.

Package: flare-game
Description-md5: e0ae01799cfa7c9f4d3ed4f8df52fa48
Description-en: fantasy single-player 2D action role-playing game
 Flare (Free Libre Action Roleplaying Engine) is a simple game engine built to
 handle a very specific kind of game: single-player 2D action RPGs. Flare is not
 a reimplementation of an existing game or engine. It is a tribute to and
 exploration of the action RPG genre.
 .
 Rather than building a very abstract, robust game engine, the goal of this
 project is to build several real games and harvest an engine from the common,
 reusable code. This first game, in progress, is a fantasy dungeon crawl.

Package: flashbench
Description-md5: 05c4cb6af9f3dec8050b74b0ac925300
Description-en: identify flash storage properties
 This utility helps to identify properties of SD cards and flash based
 storage. In particular it can be used to discover page and segement
 sizes and maximum number of concurrently open segments. These
 properties can then be used to better align paritions and tune
 filesystem options for maximum performance.

Package: flashrom
Description-md5: c30d67cc67b62e9308ada234b1d11f09
Description-en: Identify, read, write, erase, and verify BIOS/ROM/flash chips
 flashrom is a tool for identifying, reading, writing, verifying and erasing
 flash chips. It's often used to flash BIOS/EFI/coreboot/firmware/optionROM
 images in-system using a supported mainboard, but it also supports flashing of
 network cards (NICs), SATA controller cards, and other external devices which
 can program flash chips.
 .
 It supports a wide range of DIP32, PLCC32, DIP8, SO8/SOIC8, TSOP32/40/48,
 and BGA chips, which use various protocols such as LPC, FWH, parallel
 flash, or SPI.
 .
 The tool can be used to flash BIOS/firmware images for example -- be it
 proprietary BIOS images or coreboot (previously known as LinuxBIOS) images.
 .
 It can also be used to read the current existing BIOS/firmware from a
 flash chip.
 .
 Currently supported programmers include:
 .
   * internal (for in-system flashing in the mainboard)
   * dummy (virtual programmer for testing flashrom)
   * nic3com (for flash ROMs on 3COM network cards)
   * nicrealtek (for flash ROMs on Realtek and SMC 1211 network cards)
   * nicnatsemi (for flash ROMs on National Semiconductor DP838* network cards)
   * nicintel (for parallel flash ROMs on Intel 10/100Mbit network cards)
   * gfxnvidia (for flash ROMs on NVIDIA graphics cards)
   * drkaiser (for flash ROMs on Dr. Kaiser PC-Waechter PCI cards)
   * satasii (for flash ROMs on Silicon Image SATA/IDE controllers)
   * satamv (for flash ROMs on Marvell SATA controllers)
   * atahpt (for flash ROMs on Highpoint ATA/RAID controllers)
   * atavia (for flash ROMs on VIA VT6421A SATA controllers)
   * atapromise (for flash ROMs on Promise PDC2026x ATA/RAID controllers)
   * it8212 (for flash ROMs on ITE IT8212F ATA/RAID controller)
   * ft2232_spi (for SPI flash ROMs attached to an FT2232/FT4232H/FT232H family
     based USB SPI programmer), including the DLP Design DLP-USB1232H,
     FTDI FT2232H Mini-Module, FTDI FT4232H Mini-Module, openbiosprog-spi,
     Amontec JTAGkey/JTAGkey-tiny/JTAGkey-2, Dangerous Prototypes Bus Blaster,
     Olimex ARM-USB-TINY/-H, Olimex ARM-USB-OCD/-H, TIAO/DIYGADGET USB
     Multi-Protocol Adapter (TUMPA), TUMPA Lite, GOEPEL PicoTAP,
     Google Servo v1/v2, and FIC OpenMoko Neo1973 Debug board.
   * serprog (for flash ROMs attached to a programmer speaking serprog),
     including AVR flasher by Urja Rannikko, AVR flasher by eightdot,
     Arduino Mega flasher by fritz, InSystemFlasher by Juhana Helovuo,
     and atmegaXXu2-flasher by Stefan Tauner.
   * buspirate_spi (for SPI flash ROMs attached to a Bus Pirate)
   * dediprog (for SPI flash ROMs attached to a Dediprog SF100)
   * rayer_spi (for SPI flash ROMs attached to a RayeR parport based programmer)
   * pony_spi (for SPI flash ROMs attached to a SI-Prog serial port
     bitbanging adapter)
   * nicintel_spi (for SPI flash ROMs on Intel Gigabit network cards)
   * ogp_spi (for SPI flash ROMs on Open Graphics Project graphics card)
   * linux_spi (for SPI flash ROMs accessible via /dev/spidevX.Y on Linux)
   * usbblaster_spi (for SPI flash ROMs attached to an Altera USB-Blaster)
   * nicintel_eeprom (for SPI EEPROMs on Intel Gigabit network cards)
   * mstarddc_spi (for SPI flash ROMs accessible through DDC in MSTAR-equipped
     displays)
   * pickit2_spi (for SPI flash ROMs accessible via Microchip PICkit2)
   * ch341a_spi (for SPI flash ROMs attached to WCH CH341A)

Package: flasm
Description-md5: 398475129724dc51a5def392bd88b162
Description-en: assembler and disassembler for Flash (SWF) bytecode
 Flasm disassembles your entire SWF including all the timelines and
 events. Looking at disassembly, you learn how the Flash compiler works.
 You can also do some optimization on the disassembled code by hand or
 adjust the code as you wish. Flasm can then re-assemble the bytecode
 into a new SWF.
 .
 It is also possible to embed Flasm actions in your ActionScript, making
 optimization of large projects more comfortable.
 .
 Flasm is not a decompiler. What you get is the human readable
 representation of SWF bytecode, not ActionScript source.
 .
 Flasm can also compress and decompress SWF files.
 .
 Flasm does not and may never work with Flash 9 files since Flash 9 is
 a new virtual machine and support for it would mean a full rewrite.

Package: flatbuffers-compiler
Description-md5: 1fe9f4642375519445c493c002519897
Description-en: efficient cross platform serialization library - compiler
 FlatBuffers is an efficient cross platform serialization library for C++, C#,
 C, Go, Java, JavaScript, TypeScript, PHP, and Python. It was originally
 created at Google for game development and other performance-critical
 applications.
 .
 This package contains the flat buffer compiler that is used for translating
 from .proto files (containing the definitions) to the language binding for the
 supported languages.

Package: flatbuffers-compiler-dev
Description-md5: 3e47e6ac7fb1d34567a0b7178dfa1394
Description-en: efficient cross platform serialization library - cmake files
 FlatBuffers is an efficient cross platform serialization library for C++, C#,
 C, Go, Java, JavaScript, TypeScript, PHP, and Python. It was originally
 created at Google for game development and other performance-critical
 applications.
 .
 This package contains the development cmake files needed to use
 flatbuffer-compiler in a cross compiling environment.

Package: flatlatex
Description-md5: d8d9e623771e64f9079812601f597c9a
Description-en: Python3 LaTeX math converter to unicode text - binaries
 flatlatex is a basic converter from LaTeX math to human readable text
 math using unicode characters.
 .
 It allows one to inline small equations into emails or on instant messaging
 clients, thus allowing  to avoid sending a pdf while still being
 understandable.
 .
 This package provides an executable program that actually converts LaTeX maths
 to unicode.

Package: flatnuke
Description-md5: 17ef7fcc3ce38b51c933a463c09e4acf
Description-en: flat-file based CMS
 FlatNuke is a CMS (Content Management System) based on flat-files.
 It doesn't need any DBMS for storing the data.
 You can find more info on http://flatnuke.sourceforge.net

Package: flatpak
Description-md5: f91acb1d2880527b2759bc5e2d99fbff
Description-en: Application deployment framework for desktop apps
 Flatpak installs, manages and runs sandboxed desktop application bundles.
 Application bundles run partially isolated from the wider system, using
 containerization techniques such as namespaces to prevent direct access
 to system resources. Resources from outside the sandbox can be accessed
 via "portal" services, which are responsible for access control; for
 example, the Documents portal displays an "Open" dialog outside the
 sandbox, then allows the application to access only the selected file.
 .
 Each application uses a specified "runtime", or set of libraries, which is
 available as /usr inside its sandbox. This can be used to run application
 bundles with multiple, potentially incompatible sets of dependencies within
 the same desktop environment.
 .
 This package contains the services and executables needed to install and
 launch sandboxed applications, and the portal services needed to provide
 limited access to resources outside the sandbox.

Package: flatpak-builder
Description-md5: 89c6b69c9118935a588236acc7ce68f9
Description-en: Flatpak application building helper
 Flatpak installs, manages and runs sandboxed desktop application bundles.
 See the flatpak package for a more comprehensive description.
 .
 flatpak-builder is a tool that makes it easy to build applications and their
 dependencies by automating the configure && make && make install steps.

Package: flatpak-builder-tests
Description-md5: 8c55ee927d2b9992e22292e7b2c097aa
Description-en: Application deployment framework for desktop apps (tests)
 Flatpak installs, manages and runs sandboxed desktop application bundles.
 See the flatpak package for a more comprehensive description.
 .
 This package contains automated tests.

Package: flatpak-tests
Description-md5: 8c55ee927d2b9992e22292e7b2c097aa
Description-en: Application deployment framework for desktop apps (tests)
 Flatpak installs, manages and runs sandboxed desktop application bundles.
 See the flatpak package for a more comprehensive description.
 .
 This package contains automated tests.

Package: flatpak-xdg-utils
Description-md5: f267f49a85c386a7c0188895e8b44f29
Description-en: xdg-open and xdg-email reimplementation for containerized apps
 Applications running in a Flatpak sandbox cannot normally launch arbitrary
 subprocesses outside the container to open files and URLs. This
 package provides reimplementations of the standard xdg-open(1) and
 xdg-email(1) command-line tools intended to be run inside the container.
 They use the D-Bus session bus to communicate with the xdg-desktop-portal
 service outside the container.
 .
 To avoid conflicting with the standard xdg-utils package, these tools
 are installed in /usr/libexec/flatpak-xdg-utils. This directory can be
 added to the PATH when preparing a container, or used as a target for
 container-specific symbolic links in /usr/bin.
 .
 This package also contains flatpak-spawn, which can be used by Flatpak
 applications to launch processes outside the container. Unprivileged
 applications can use this mechanism to launch a helper tool such as a
 thumbnailer in a version of their sandbox with more restrictive
 permissions, and specially-privileged applications with the 'devel' flag
 (such as GNOME Builder) can use this mechanism to bypass the sandbox and
 run commands on the host system.
 .
 This package is normally only useful if you are using Debian packages to
 construct a Flatpak runtime or a similar container, and should not be
 installed on a normal Debian desktop system. On desktop systems please
 install the reference implementation of the xdg-open and xdg-email tools,
 which can be found in the xdg-utils package.
 .
 If this package is installed in a non-Flatpak environment for testing,
 it will require the dbus-session-bus and xdg-desktop-portal packages
 (which would not be useful to install in a container).

Package: flatpak-xdg-utils-tests
Description-md5: 5d22b7feffad184a2c01e66649cd7ceb
Description-en: as-installed tests for flatpak-xdg-utils
 flatpak-xdg-utils provides reimplementations of the standard xdg-open(1)
 and xdg-email(1) command-line tools intended to be run inside a Flatpak
 container. They use the D-Bus session bus to communicate with the
 xdg-desktop-portal service outside the container
 .
 This package contains as-installed tests, for use with autopkgtest and
 similar frameworks. They use a mock implementation of xdg-desktop-portal.

Package: flatzinc
Description-md5: ca31fe08e8cd4b702df21cd78963002e
Description-en: constraint problem modelling language
 FlatZinc is a low-level modelling language for constraint
 problems. It is designed to be easily interfaceable to constraint
 solvers (like Gecode). For more information on FlatZinc, please refer
 to the MiniZinc pages of the G12 project <https://www.minizinc.org/>.
 .
 The FlatZinc interpreter that ships with Gecode supports the FlatZinc
 language and MiniZinc global constraint definitions of MiniZinc
 version 1.6.0.

Package: flawfinder
Description-md5: ed876d319635944119725ff09befb5f2
Description-en: examines source code and looks for security weaknesses
 Flawfinder searches through C/C++ source code looking for potential
 security flaws and produces a report describing the potential flaws
 found in source code, ranking them by likely severity.
 .
 Like RATS, Flawfinder reports are not a direct indication of a
 vulnerability, but provide a reasonable starting point for performing manual
 security audits in source code.
 .
 Flawfinder can also generate differential reports by pointing it to
 a patch (diff) file describing the code changes. This way it can be used
 to determine if the potential flaws found in code have increased or
 decreased after a commit to a source code management system like
 CVS or Subversion.

Package: fldiff
Description-md5: d27162e07581c89fbbc3bbd77409bc53
Description-en: graphical diff program
 Fldiff is a graphical diff program that shows the differences between
 two files/directories, or a file/directory and a CVS or Subversion repository.
 It is inspired by xdiff (Motif-based) and xxdiff (Qt-based), whose choice of
 GUI toolkit has hampered their portability to many of the systems.
 .
 Fldiff uses the Fast Light Toolkit (FLTK) and has been tested on AIX, IRIX,
 Linux, MacOS X, and Solaris, and should also run on Windows.

Package: fldigi
Description-md5: ce2f94fe587213bc11ce5c968844d7e3
Description-en: digital modem program for hamradio operators
 Fldigi is a modem program which supports most of the digital modes used
 by hamradio operators today. You can also use the program for
 calibrating your sound card to WWV or doing a frequency measurement test.
 The program also comes with a CW decoder. Fldigi is written with the
 help of the Fast Light Toolkit X GUI.

Package: flent
Description-md5: ac992f5a1b2fd770a6100e803f1403f5
Description-en: FLExible Network Tester for bufferbloat testing and more
 The FLExible Network Tester: Python wrapper to run multiple simultaneous
 netperf/iperf/ping instances and aggregate the results.
 .
 Tests are specified as config files (which are really Python), and
 various parsers for tool output are supplied. At the moment, parsers for
 netperf in -D mode, iperf in csv mode and ping/ping6 in -D mode are
 supplied, as well as a generic parser for commands that just outputs a
 single number.
 .
 Several commands can be run in parallel and, provided they output
 timestamped values, (which netperf ping and iperf do, the latter with a
 small patch, available in the misc/ directory), the test data points can
 be aligned with each other in time, interpolating differences between
 the actual measurement points. This makes it possible to graph (e.g.)
 ping times before, during and after a link is loaded.

Package: flex-old
Description-md5: 635ae580015e71b2feeb1332050a361a
Description-en: Old version of the fast lexical analyzer generator
 flex is a tool for generating scanners: programs which recognize lexical
 patterns in text. This is the old 2.5.4a version, which is no longer
 being developed. You should normally choose flex, unless you have
 legacy lexer files that do not work with a modern flex.
 .
 This product includes software developed by the University of California,
 Berkeley and its contributors. The upstream source code can be found at
 http://flex.sourceforge.net/

Package: flex-old-doc
Description-md5: 20c036a1f6066f30c4c58800209cdbdb
Description-en: Documentation for an old flex (a fast lexical analyzer generator)
 This package contains the HTML documentation for the old 2.5.4a version
 of flex, which is no longer being developed upstream. You should
 normally chose flex-doc, unless you have legacy lexer files that do
 not work with a modern flex, and need to use the old flex. The
 upstream source code can be found at the FTP site:
 http://flex.sourceforge.net/

Package: flexbackup
Description-md5: 239b506297992aaa2bc5a1936e52a359
Description-en: Flexible backup tool for small to medium sized installations
 Flexbackup is a flexible backup tool that works well for small to medium
 sized tasks for which solutions like amanda are overkill.
 .
 It features:
  * Easy configuration
  * Uses afio, dump, GNU tar, cpio, star, pax, lha, or zip archivers
  * Full and numbered levels of incremental backup (similar to "dump")
  * Compression and buffering options for all backup types
  * Handles remote filesystems with ssh/rsh; no special services required
  * Writes to tapes, on-disk archive files, or on-disk directory trees
  * Keeps a table of contents so you know what archives are on each tape
  * Extensive logging options

Package: flexbar
Description-md5: 03a403b8724d2b94377992a79dfad89b
Description-en: flexible barcode and adapter removal for sequencing platforms
 Flexbar preprocesses high-throughput sequencing data efficiently. It
 demultiplexes barcoded runs and removes adapter sequences. Moreover,
 trimming and filtering features are provided. Flexbar increases mapping
 rates and improves genome and transcriptome assemblies. It supports
 next-generation sequencing data in fasta/q and csfasta/q format from
 Illumina, Roche 454, and the SOLiD platform.
 .
 Parameter names changed in Flexbar. Please review scripts. The recent
 months, default settings were optimised, several bugs were fixed and
 various improvements were made, e.g. revamped command-line interface,
 new trimming modes as well as lower time and memory requirements.

Package: flexc++
Description-md5: 1646080bb97c3c02ab590f801c0169c6
Description-en: Flex-style scanner generator for C++
 Flexc++ was designed after `flex'. Flexc++ offers as compared to flex's C++
 option a cleaner class-design.
 .
 Flexc++ generates a scanner class that is ready for use, as well as a member
 function producing the lexical scanner tokens (lex()). The class can easily
 be provided with additional members without the need for polymorphic
 functions. Consequently, classes generated by flexc++ have no virtual members
 and actually have but one public member: lex(), replacing the old-style flex
 and flex++ yylex() function.
 .
 Flexc++ offers many options, among which an option to define classes
 generated by flexc++ in a separate namespace. This allows developers to
 define additional symbols, even outside of the class generated by flexc++,
 without encountering name-collision problems. With flexc++, artificial means
 to prevent name-collisions, like the yy-conventions used by flex and flex++
 are no longer required.  Flexc++ generates C++ code. If C code is required,
 flex should be used. Flexc++'s grammar requirements are highly compatible
 with flex's requirements, so converting a flex grammar into a flexc++ grammar
 should be fairly simple.
 .
 In addition to the flexc++ scanner generator itself and several skeleton
 files, the package contains an extensive man-page, as well as a full manual
 rewritten after the original flex manual, and several examples.

Package: flexloader
Description-md5: db81af21857de841e24d53a9c0a5fe82
Description-en: utility to configure SRAM based ALTERA devices
 flexloader is a small utility to configure SRAM based ALTERA devices.
 It downloads a code to the device SRAM using an ALTERA ByteBlaster or
 a compatible JTAG programmer. It dialogs with it through the parallel
 interface, using parport.
 .
 It supports APEX20K, FLEX10K, FLEX6000 and ACEX1K families.

Package: flexml
Description-md5: c6a0b60a317853fd7d5a6656dfa3886b
Description-en: Fast validating XML processors and applications generator
 FleXML makes it easy to generate very fast validating XML processors
 as flex(1) source.
 .
 By design it can only handle documents using an external DTD.

Package: flexpart
Description-md5: ad4ea3f59b106ca731482325bd182a80
Description-en: Particle Dispersion model for tracing air transport phenomena
 The FLEXPART model is a Lagrangian Particle Dispersion Model
 developed at the Norwegian Institute for Air Research in the
 Department of Atmospheric and Climate Research.
 The model development team consists of Andreas Stohl
 (who originally wrote FLEXPART), Sabine Eckhardt,
 Harald Sodemann, and John Burkhart.

Package: flextra
Description-md5: fdc21aea010dfec7c9a3ece8df134996
Description-en: Trajectory model for tracing air transport phenomena
 Trajectory models are important tools for studying transport phenomena
 in the atmosphere. In the environmental sciences, they are often used to
 establish source-receptor relationships of air pollutants.
 .
 FLEXTRA can be used to calculate different types of forward or backward
 trajectories, and has facilities to estimate the uncertainty of trajectories.
 It is specifically designed to compute long time sequences of trajectories
 for many receptor locations.
 .
 FLEXTRA may be used with the Metview meteorological workstation to
 visualize trajectories.

Package: flickcurl-doc
Description-md5: 9ebf72014eb1a3d98fe2e5ae570d60f6
Description-en: utilities to call the Flickr API from command line - documentation
 Flickcurl is a C library for the Flickr API, handling creating the
 requests, signing, token management, calling the API, marshalling
 request parameters and decoding responses. The library now supports
 100% of the 2008-01-11 version of the API, including the functions
 for photo uploading, browsing, searching, adding and editing
 comments, groups, notes, photosets, categories, activity, blogs,
 favorites, places, tags and photo metadata. It also includes a
 program flickrdf to turn photo metadata, tags and machine tags into
 RDF descriptions of photos and tags.
 .
 Support for the Flickr API in these programs is through the
 libflickcurl library.
 .
 This package contains the HTML documentation for flickcurl and the
 related library.

Package: flickcurl-utils
Description-md5: da9346896905eb8f5d30cc135a6109b0
Description-en: utilities to call the Flickr API from command line
 Flickcurl is a C library for the Flickr API, handling creating the
 requests, signing, token management, calling the API, marshalling
 request parameters and decoding responses. The library now supports
 100% of the 2008-01-11 version of the API, including the functions
 for photo uploading, browsing, searching, adding and editing
 comments, groups, notes, photosets, categories, activity, blogs,
 favorites, places, tags and photo metadata. It also includes a
 program flickrdf to turn photo metadata, tags and machine tags into
 RDF descriptions of photos and tags.
 .
 Support for the Flickr API in these programs is through the
 libflickcurl library.

Package: flight-of-the-amazon-queen
Description-md5: 8a027800211ba047bc3a30804e75c678
Description-en: classic 2D point and click fantasy adventure game
 Flight of the Amazon Queen is a 2D point-and-click adventure game set
 in the 1940s, originally published for DOS and the Amiga.
 .
 You assume the role of Joe King, a pilot for hire who is given the job
 of flying Faye Russell (a famous movie star) into the Amazon jungle for
 a photo shoot. Of course, things never go according to plans. After an
 unfortunate turn of events they find themselves stranded in the heart
 of the Amazon jungle, where Joe will embark on a quest to rescue a
 kidnapped princess and in the process, discover the true sinister
 intentions of a suspiciously located Lederhosen company. In a rich 2D
 environment, Joe will cross paths with a variety of unlikely jungle
 inhabitants including, but not limited to, a tribe of Amazon women and
 6-foot-tall pygmies.
 .
 Note that this package only contains game-data. The game engine is
 provided by ScummVM.

Package: flightcrew
Description-md5: 4e52dbd1228c200ac9a5f82cbbe6421c
Description-en: C++ epub validator
 FlightCrew is an epub validator written in C++. It is used by sigil
 to validate epub files, and may be generally useful for other epub
 creation utilities.

Package: flightgear
Description-md5: e95b997d5af2952a0f05908f9e3ad9ae
Description-en: Flight Gear Flight Simulator
 FlightGear Flight Simulator (often shortened to FlightGear or FGFS)
 is a sophisticated free, completely open-source flight simulator
 framework, created by volunteers.
 .
 This package contains the runtime binaries.

Package: flightgear-data-ai
Description-md5: a6014edd8a69ea164a8a33726d9425c7
Description-en: FlightGear Flight Simulator -- standard AI data
 FlightGear is a free and highly sophisticated flight simulator.
 .
 This package contains AI data, including AI aircrafts, flight plans,
 traffic data and scenarios.

Package: flightgear-data-all
Description-md5: c68ee5e1177cdb402de13fae62eae788
Description-en: FlightGear Flight Simulator - virtual package
 FlightGear is a free and highly sophisticated flight simulator.
 .
 This is a dependency package linking all data packages for FlightGear.

Package: flightgear-data-base
Description-md5: 55d5c53bd4538f092d72fa0c23925eb9
Description-en: FlightGear Flight Simulator -- base files
 FlightGear is a free and highly sophisticated flight simulator.
 .
 This package contains the default aircraft, graphics, sounds, models,
 and the minimum scenery (San Francisco) you need to start Flight
 Gear.
 .
 This package does not contain the flight simulator itself.
 If you want to fly, install the flightgear package.

Package: flightgear-data-models
Description-md5: 9c229264d61e17b178f35ad5a5656224
Description-en: FlightGear Flight Simulator -- standard models
 FlightGear is a free and highly sophisticated flight simulator.
 .
 This package contains the object models that come with the standard
 FlightGear distribution.

Package: flightgear-phi
Description-md5: 728892436e12fdb1a44fea2f2fb015b9
Description-en: FlightGear Flight Simulator -- Phi webfrontend
 FlightGear is a free and highly sophisticated flight simulator.
 .
 This package contains the Phi webfrontend.
 .
 This package does not contain the flight simulator itself.
 If you want to fly, install the flightgear package.

Package: flim
Description-md5: 75fe2558b421ca831666d4af1debdfd6
Description-en: library about internet message for emacsen
 FLIM (Faithful Library about Internet Message) is a library to provide
 basic functions about message representation and encoding for emacsen.
 It consists of following modules:
 .
  std11.el         STD 11 (RFC 822) parser and utility
  mime.el          to provide various services about MIME-entities
  mime-def.el      Definitions about MIME format
  mime-parse.el    MIME parser
  mel.el           MIME encoder/decoder
  mel-b-dl.el      base64 (B-encoding) encoder/decoder
                   (for Emacs 20 with dynamic loading support)
  mel-b-ccl.el     base64 (B-encoding) encoder/decoder (using CCL)
  mel-b-en.el      base64 (B-encoding) encoder/decoder (for other emacsen)
  mel-q-ccl.el     quoted-printable and Q-encoding encoder/decoder (using CCL)
  mel-q.el         quoted-printable and Q-encoding encoder/decoder
  mel-u.el         unofficial backend for uuencode
  mel-g.el         unofficial backend for gzip64
  eword-decode.el  encoded-word decoder
  eword-encode.el  encoded-word encoder

Package: flintqs
Description-md5: 16ebebdab70d146d3de18cc6bb9c6cf3
Description-en: Program using quadratic sieve to factor integers
 This package includes William Hart's program to find prime factors of large
 integers (at least forty digits).
 .
 It does so using highly optimized multi-polynomial quadratic sieve.

Package: flip
Description-md5: 19225a6e9a1cb16f5cb17b93aab4311c
Description-en: convert text file line endings between Unix and DOS formats
 The program converts line endings of text files between MS-DOS and
 *nix formats. It detects binary files in a nearly foolproof way and
 leaves them alone unless you override this. It will also leave files
 alone that are already in the right format and preserves file
 timestamps. User interrupts are handled gracefully and no garbage or
 corrupted files left behind.
 .
 The program does not convert files to a different character set, and
 it can not handle old Apple Macintosh line endings that use CR only.
 For that (and more), you can use the 'recode' program (package
 'recode').

Package: flite
Description-md5: 849b1a8bf809130924e3beb13f9595b9
Description-en: Small run-time speech synthesis engine
 Flite is a small fast run-time speech synthesis engine.  It is the
 latest addition to the suite of free software synthesis tools
 including University of Edinburgh's Festival Speech Synthesis System
 and Carnegie Mellon University's FestVox project, tools, scripts and
 documentation for building synthetic voices.  However, flite itself
 does not require either of these systems to run.
 .
 It currently only supports the English and Indic languages.
 .
 This package contains the executables and documentation.

Package: flite1-dev
Description-md5: dea3c6c049b15d90e623535138073c7f
Description-en: Small run-time speech synthesis engine - development files
 Flite is a small fast run-time speech synthesis engine.  It is the
 latest addition to the suite of free software synthesis tools
 including University of Edinburgh's Festival Speech Synthesis System
 and Carnegie Mellon University's FestVox project, tools, scripts and
 documentation for building synthetic voices.  However, flite itself
 does not require either of these systems to run.
 .
 It currently only supports the English and Indic languages.
 .
 This extra package provides the flite static libraries
 and header files.

Package: flmsg
Description-md5: 7ae7f565f4ceaf93553bf4b9cbe6ebfe
Description-en: amateur radio forms management editor
 Flmsg is a simple forms management editor for the amateur radio supported
 standard message formats, including ICS, HICS, MARS, IARU, Radiogram NTS,
 Red Cross, and plaintext.  It's data files are pure ASCII text that can
 be sent from point to point using the internet, amateur radio, or other
 electronic link.

Package: floatbg
Description-md5: 9096f8e5c08e6bd75358ee6701895b73
Description-en: slowly modify the color of the X root window
 Subtly changes the color of the root window over time, so slowly
 that it won't be noticed. This is a good alternative to placing a
 picture in the root window.

Package: flobopuyo
Description-md5: 5222c101e471080d9f9d95233cf8d243
Description-en: Clone of the PuyoPuyo game
 The goal of FloboPuyo is to make groups of four or more Puyos (coloured
 bubbles) to make them explode and send bad ghost Puyos to your opponent.
 You win the game if your opponent reaches the top of the board. You can
 play against computer or another human.

Package: flog
Description-md5: 8f76104061af70bd94790937629bd8a5
Description-en: dump STDIN to file and reopen on SIGHUP
 flog (file logger) reads input from STDIN and writes to a file. When a SIGHUP
 is received, the file will be reopened, allowing for log rotation.

Package: floppyd
Description-md5: f60e3cff71356b58d676dbb4c9155a5c
Description-en: Daemon for remote access to floppy drives
 Floppyd is used as a server to grant access to the floppy drive to clients
 running on a remote machine, just as an X server grants access to the display
 to remote clients.

Package: florence
Description-md5: 4a5fa8761312a28aa9d4ca06876d5e56
Description-en: extensible and scalable virtual keyboard for X
 Florence is an extensible scalable virtual keyboard for X.
 .
 It is useful when a real keyboard is unusable either because of a handicap,
 disease, broken keyboard or tablet PC; but when a pointing device is usable.
 .
 Florence stays out of your way: it appears on the screen only when needed.
 An auto-click functionality also help people having difficulties to click
 buttons.

Package: flowblade
Description-md5: 3148d236b0b7901dea894d3442ef040d
Description-en: non-linear video editor
 Flowblade Movie Editor is designed to provide a fast, precise and
 as-simple-as-possible editing experience.
 .
 Flowblade employs film style editing paradigm in which clips are
 usually automatically placed tightly after the previous clip - or
 between two existing clips - when they are inserted on the timeline.
 Edits are fine-tuned by trimming in and out points of clips, or by
 cutting and deleting parts of clips. Film style editing is faster for
 creating programs with mostly straight cuts and audio splits, but may
 be slower when programs contain complex composites unless correct work
 flow is followed.

Package: flowgrind
Description-md5: e02f7487697611b5406c0c50d1cd4027
Description-en: Distributed network performance measurement tool
 Flowgrind is a TCP performance measurement tool similar to iperf
 and netperf to measure throughput and other metrics for TCP.
 In Flowgrind's distributed architecture, the flowgrind controller
 is used to schedule flows between and collect live samples from
 nodes running the flowgrindd daemon.
 .
 Features:
  * Measure bandwidth, delay, and IAT and sample TCP kernel metrics (tcp_info)
  * Bulk transfers, rate-limited flows, sophisticated request/response test
  * Report observed MSS/MTU size
  * Set buffer size on per flow basis
  * Set congestion control algorithm, DSCP field, etc.
  * Uses asynchronous I/O to improve fairness between flows
  * Gnuplot compatible configureable output format

Package: flowgrind-dbg
Description-md5: be462e926b71220e3a40980ae22ba8a0
Description-en: Debugging version of flowgrind
 This package contains debugging symbols for flowgrind, a
 distributed network performance measurement tool.

Package: flpsed
Description-md5: fc9a6b6065bcf9357682c548e3730e4a
Description-en: WYSIWYG pseudo PostScript editor
 flpsed is a WYSIWYG pseudo PostScript editor. "Pseudo", because you can't
 remove or modify existing elements of a document. But flpsed lets you add
 arbitrary text lines to existing PostScript 1 documents. Added lines can
 later be reedited with flpsed.
 .
 Using pdftops, which is part of xpdf, one can convert PDF documents to
 PostScript and also add text to them. flpsed is useful for filling in forms,
 adding notes, etc.

Package: flpsed-data
Description-md5: b9e61b3e688c4944b483cc3dbcb7582f
Description-en: WYSIWYG pseudo PostScript editor - data files
 flpsed is a WYSIWYG pseudo PostScript editor. "Pseudo", because you can't
 remove or modify existing elements of a document. But flpsed lets you add
 arbitrary text lines to existing PostScript 1 documents. Added lines can
 later be reedited with flpsed.
 .
 Using pdftops, which is part of xpdf, one can convert PDF documents to
 PostScript and also add text to them. flpsed is useful for filling in forms,
 adding notes, etc.
 .
 This package contains the common data files required by flpsed.

Package: flrig
Description-md5: 395e30acc2602f932cbd7d082148ba26
Description-en: ham radio transceiver control program
 Flrig is a graphical ham radio transceiver control program designed
 to be used either stand-alone or as an adjunct to fldigi.

Package: fltk1.1-doc
Description-md5: cb89fb265884e1336ad2c05695a67c80
Description-en: Fast Light Toolkit - documentation
 This package provides documentation for FLTK, including a chapter on
 its user interface designer (fluid).
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: fltk1.1-games
Description-md5: 02c991fe963ab55824f872e0775060ec
Description-en: Fast Light Toolkit - example games: checkers, sudoku
 This package contains the example games that accompany FLTK.
 Specifically, it contains the following two programs:
  - flblocks:   clear groups of adjacent blocks before they fill the screen;
  - flcheckers: classic board game, also known as draughts;
  - flsudoku:   Sudoku puzzle (place numbers in a grid).
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: fltk1.3-doc
Description-md5: cb89fb265884e1336ad2c05695a67c80
Description-en: Fast Light Toolkit - documentation
 This package provides documentation for FLTK, including a chapter on
 its user interface designer (fluid).
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: fltk1.3-games
Description-md5: 02c991fe963ab55824f872e0775060ec
Description-en: Fast Light Toolkit - example games: checkers, sudoku
 This package contains the example games that accompany FLTK.
 Specifically, it contains the following two programs:
  - flblocks:   clear groups of adjacent blocks before they fill the screen;
  - flcheckers: classic board game, also known as draughts;
  - flsudoku:   Sudoku puzzle (place numbers in a grid).
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: fluid
Description-md5: c983d66e9fccc81da0d3474837426b4f
Description-en: Fast Light Toolkit - user interface designer
 This package provides Fluid, an interactive GUI designer for FLTK,
 allowing graphical development of FLTK-based user
 interfaces. It natively works with (textual) .fl files, but also
 includes rough support for reading .fd files produced by fdesign (in
 libforms-bin).
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: fluid-soundfont-gm
Description-md5: 71d82e64bdc2e62241f22f73e4cad05e
Description-en: Fluid (R3) General MIDI SoundFont (GM)
 This is a GM SoundFont, for use with any modern MIDI synthesiser: hardware
 (like the emu10k1 sound card), or software (like FluidSynth).
 .
 This package provides the larger GM sound set, without the Roland Sound
 Canvas extensions.

Package: fluid-soundfont-gs
Description-md5: 3813b9b75f1ea07cf0761fb8490c4bd8
Description-en: Fluid (R3) General MIDI SoundFont (GS)
 This is a GS SoundFont, for use with any modern MIDI synthesiser: hardware
 (like the emu10k1 sound card), or software (like FluidSynth).
 .
 This package provides the smaller GS sound set of Roland Sound Canvas
 extensions.

Package: fluidr3mono-gm-soundfont
Description-md5: a3f29b20b689d0aff94cbbf7101f86e5
Description-en: Fluid (R3) Mono GM SoundFont from MuseScore 2
 This is the hard disc space-saving Mono version of the complete
 Fluid (R3) GM SoundFont in the compressed SF3 format, as shipped
 with MuseScore 2.0 up to, but not including, 2.2.
 .
 It can be used with most modern MIDI synthesisers which support
 the SF3 format and has a low installed size footprint, while
 providing the large GM sound set. It does not, however, support
 Single-Note Dynamics with MuseScore 3.2 and higher.
 .
 As it comes under the MIT licence, it can be used in most settings,
 but do remember that waveforms generated using this soundfont are
 “copies or substantial portions of the” soundfont.
 .
 This package will be installed into /usr/share/sounds/sf3/ which
 is the standard Debian location for system-wide SF3 soundfonts.

Package: fluidsynth
Description-md5: 7ccb40b5de8c2ddfe43c7da6ffeeea91
Description-en: Real-time MIDI software synthesizer
 Fluidsynth is a real-time midi synthesizer based on the soundfont (sf2 and sf3)
 specifications. It can be used to render MIDI input or MIDI files to audio.
 The MIDI events are read from a MIDI device. The sound is rendered in
 real-time to the sound output device.

Package: fluidsynth-dssi
Description-md5: 9b11f71e1b71a394403359490fe8dcdc
Description-en: DSSI wrapper for the FluidSynth SoundFont-playing synthesizer
 The FluidSynth-DSSI  package contains FluidSynth-DSSI, a wrapper for the
 FluidSynth SoundFont-playing software synthesizer, allowing it to function
 as a DSSI plugin.
 .
 DSSI is an API for audio processing plugins, particularly useful for software
 synthesis plugins with user interfaces.

Package: fluxbox
Description-md5: 13990cdf4dc1b2dc117250b7023f2e58
Description-en: Highly configurable and low resource X11 Window manager
 Fairly similar to blackbox, from which it is derived, but has been
 extended with features such as pwm-style window tabs, configurable
 key bindings, toolbar, and an iconbar. It also includes some cosmetic
 fixes over blackbox.
 .
 This package contains support for GNOME and KDE.

Package: flvmeta
Description-md5: 0d1243de51706c43a73453351ad0ad60
Description-en: Metadata injector for FLV video files
 flvmeta has the ability to inject all standard metadata tags into the
 onMetaData event, as well as insert an onLastSecond event.
 .
 It is also pretty fast and has a very small memory footprint, making it ideal
 for use as an automated tool on server environments.

Package: flvstreamer
Description-md5: d4f752499e5a5058fbaea2d4f942b358
Description-en: command-line RTMP client
 Command-line RTMP client intended to stream audio or video content from all
 types of Flash or RTMP servers.

Package: flwm
Description-md5: 343c03a43d173ecc8b4dacfdf60f240a
Description-en: Fast Light Window Manager
 Flwm is an attempt to combine the best ideas from several
 window managers. The primary influence and code base is from
 wm2 by Chris Cannam. Primary features copied from wm2 are:
 .
  - Does not look like Windows.
  - Nifty sideways title bars.
  - No icons. You deiconify by picking off a pop-up menu.
    This means no space is wasted by icons.
  - Really small and fast code.
 .
 It is enhanced by the author's own further nutty ideas:
 .
  - Does not use shape extension, more standard resize handles.
  - Occupies as little screen space as possible. The border and titles
    are as thin as you could possibly make them. And maximized
    windows waste only 15 pixels horizontally and zero (count'em)
    pixels vertically!
  - Independent maximize buttons for width & height, close button.
  - Understands Motif, KDE, and GNOME window manager hints.
  - Multiple desktops, controlled from the same menu as the icons.
 .
 The recommended packages are needed to generate flwm's application
 menus:
  - For the old style debian-specific menu: menu
  - For the FreeDesktop menu based on .desktop files:
    libfile-desktopentry-perl, libfile-which-perl,
    libpath-tiny-perl, libset-scalar-perl, and perl.

Package: flwrap
Description-md5: 22fe3c551790ac36503f1a8ff05fdbe0
Description-en: amateur radio file encapsulation/compression utility
 Flwrap is a small desktop application that encapsulates a text file,
 an image file, or a binary file within a set of identifier blocks.
 Flwrap is designed to be used to best advantage with fldigi but can
 be used with any digital modem program.

Package: flycheck-doc
Description-md5: 2b752f744980a4c6e2ff13af7e4e80a6
Description-en: modern on-the-fly syntax checking for Emacs - documentation
 Flycheck uses various syntax checking and linting tools to
 automatically check the contents of buffers while the user types, and
 reports warnings and errors directly in the buffer, or in an optional
 error list.
 .
 This package includes flycheck's HTML documentation.

Package: flydraw
Description-md5: aa058a16abd6cbeedce7c237076a8669
Description-en: command-line drawing tool
 flydraw is a drawing tool based on an interpreter which parses a
 language featuring rich graphic primitives; it uses libgd to output
 GIF, JPEG, or PNG files.

Package: fmit
Description-md5: 9aa935dc760579ca328edd142f0853ce
Description-en: Free Music Instrument Tuner
 FMIT is a graphical utility for tuning your musical instruments, with
 error and volume history and advanced features like microtonal tuning,
 statistics, and various views like waveform shape, harmonics ratios and
 real-time Discrete Fourier Transform (DFT). All views and advanced features
 are optional so that the interface can also be very simple.

Package: fml-asm
Description-md5: 889752688090c5f0fc58cbefe6c92bce
Description-en: tool for assembling Illumina short reads in small regions
 Fml-asm is a command-line tool for assembling Illumina short reads in regions
 from 100bp to 10 million bp in size, based on the fermi-lite library.
 It is largely a light-weight in-memory version of fermikit without
 generating any intermediate files. It inherits the performance, the relatively
 small memory footprint and the features of fermikit. In particular, fermi-lite
 is able to retain heterozygous events and thus can be used to assemble diploid
 regions for the purpose of variant calling.

Package: fmtools
Description-md5: 3945b2edaf178e891adfbdadb19a9ec6
Description-en: FM radio tuner
 Command-line utility for adjusting the frequency and volume and
 muting and unmuting FM radio cards.

Package: fnotifystat
Description-md5: 9546e8bd3fdae34baceb9a8e3f27bd11
Description-en: file activity monitoring tool
 Fnotifystat periodically dumps out the activity on files in the
 system. It can be used to identify rogue file activity and discover
 which processes are performing open/close/read/write operations
 on the files.

Package: fntsample
Description-md5: 1b264ec61fd26a93c24e150c3dbcda9d
Description-en: program for making font samples
 A program for making font samples that show Unicode coverage of the font
 and are similar in appearance to Unicode charts. Samples can be saved as
 PDF or PostScript files.
 .
 This package also contains script called 'pdfoutline', that can be used to
 add outlines (aka bookmarks) to generated PDF files.

Package: focalinux-html
Description-md5: 649fe8089d9220763c6ccc3ef282a256
Description-en: A full GNU/Linux Portuguese guide (html format)
 The Foca GNU/Linux is a Portuguese Linux guide that is split in
 three learning levels: Beginner, Intermediary and Advanced and
 is based in the Debian GNU/Linux distribution.
 .
 This package contains the html version of all learning levels of the
 guide: http://www.guiafoca.org/

Package: focalinux-text
Description-md5: af93240d821d495919c3da92ed3e27d7
Description-en: A full GNU/Linux Portuguese guide (text format)
 The Foca GNU/Linux is a Portuguese Linux guide that is split in
 three learning levels: Beginner, Intermediary and Advanced and
 is based in the Debian GNU/Linux distribution.
 .
 This package contains the text version of all learning levels of the
 guide: http://www.guiafoca.org/

Package: focuswriter
Description-md5: 9cef91d4a6a22c05f3ac94fe1c7bbc11
Description-en: Fullscreen distraction-free writing program
 Focuswriter is a fullscreen writing program designed to be
 distraction free.  You can customize your environment by changing the
 font, colors, and background image to add ambiance.  FocusWriter
 features an on-the-fly updating wordcount, optional auto-save,
 optional daily goals, and toolbars that hide away to allow you to
 focus more clearly.  Additionally, when you open the program your
 current work in progress will automatically load and position you at
 the end of your document, so that you can immediately jump back in.

Package: folder-color
Description-md5: 51f35c21ea20a1a1eba82506dc3d7c3c
Description-en: folder color for nautilus
 change a folder color used in nautilus

Package: folder-color-caja
Description-md5: 649ec5026683fd3612707ebb79f8825d
Description-en: folder color for caja
 change a folder color used in caja file manager.

Package: folder-color-common
Description-md5: 77bd9f43ecf0c07b9c48885b6b71898d
Description-en: folder color library
 icons and translations for folder color

Package: folks-common
Description-md5: 51f0e7fa459256b7f6d53ea160bcc369
Description-en: library to aggregates people into metacontacts (common files)
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains common files (translations) used by folks components.

Package: folks-tools
Description-md5: f42543e1b08499d5d82b2dabdf9f54b0
Description-en: Telepathy backend for libfolks - database and import tools
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains tools to inspect the folks database and import
 metacontacts from pidgin

Package: foma
Description-md5: 15ae7652b941e9cf87b93a1f592bf36b
Description-en: Tools for constructing various finite-state automata
 Compiler, programming language, and C library for
 constructing finite-state automata and transducers for
 various uses.

Package: foma-bin
Description-md5: 811e1a0f52d28e31be43825f539f8aa9
Description-en: Transitional package for foma
 This is dummy transitional package for foma. It can be
 safely remove.

Package: fomp
Description-md5: 889e3aa7fb5316f36ad3382a7178a015
Description-en: collection of LV2 audio plugins
 Fomp is an LV2 port of the MCP, VCO, FIL, and WAH plugins
 originally written by Fons Adriaensen.
 .
 There are 13 plugins in total: 1 auto-wah, 1 EQ, 3 chorus,
 5 filters, and 3 oscillators.
 .
 The plugin implementations are identical to their LADSPA
 forebears, except the primary frequency port of oscillators
 and filters has been converted to Hz to facilitate use in any
 host without assuming the hidden tuning frequency of
 AlsaModularSynth. All other frequency ports remain as they
 were, using octaves for faithful Moog-like modulation.

Package: fondu
Description-md5: f6bf8c3bf733118b95000d8dc20c8dc6
Description-en: convert between Mac and UNIX font formats
 Fondu is a set of programs to convert between Mac font formats and
 PFB, TTF, OTF and BDF files on UNIX.
 .
 Fondu will read a series of mac files, check their resource forks and
 extract all font related items into separate files.
 .
 The input files may be either macbinary files (.bin), binhex files
 (.hqx), bare mac resource forks or data fork resource files (.dfont,
 used by Mac OS/X). A bare resource fork may be generated easily be
 copying a file with a resource fork onto a floppy (or zip) with a DOS
 format. The mac will create an (invisible on the mac) folder called
 resource.frk in which the resource fork will reside as a bare file.

Package: font-manager
Description-md5: a2f1c9a0a58aff76c28727ca19eb9efa
Description-en: font management application for the GNOME desktop
 Font Manager is intended to provide a way for average users to easily manage
 desktop fonts, without having to resort to command line tools or editing
 configuration files by hand. While designed primarily with the GNOME Desktop
 Environment in mind, it should work well with other Gtk+ desktop environments.

Package: font-manager-common
Description-md5: cec7fe70f17385c2518113c7efffc495
Description-en: Common files for font-viewer and font-manager
 Font Manager is intended to provide a way for average users to easily manage
 desktop fonts, without having to resort to command line tools or editing
 configuration files by hand. While designed primarily with the GNOME Desktop
 Environment in mind, it should work well with other Gtk+ desktop environments.
 .
 This package provides the common shared files for font-viewer and
 font-manager packages.

Package: font-viewer
Description-md5: 568ebf2227a31860fb3ab0004763b617
Description-en: Full-featured font preview application for GTK Environments
 Font Manager is intended to provide a way for average users to easily manage
 desktop fonts, without having to resort to command line tools or editing
 configuration files by hand. While designed primarily with the GNOME Desktop
 Environment in mind, it should work well with other Gtk+ desktop environments.
 .
 This package provides the font-viewer component of Font Manager.

Package: fontcustom
Description-md5: 29409531c1fa0783fe89f25e9d2da471
Description-en: custom icon webfonts from the comfort of the command line
 Generate cross-browser icon fonts and supporting files
 (@font-face CSS, etc.) from a collection of SVGs.

Package: fontforge
Description-md5: 4ebffb1f6ab9a1d49bd81ce04ad8a0a7
Description-en: font editor
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package also provides these programs and utilities:
  fontimage - produce a font thumbnail image;
  fontlint  - checks the font for certain common errors;
  sfddiff   - compare two font files.

Package: fontforge-common
Description-md5: e73b7c59e225efcf676e067828964164
Description-en: font editor (common files)
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package contains common arch-independent files.

Package: fontforge-doc
Description-md5: 7868a482203deceed3b189850e2f641f
Description-en: documentation for fontforge
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package contains the documentation for fontforge.

Package: fontforge-extras
Description-md5: b9aa682a9c9b258393f83114c71a9f29
Description-en: font editor - extra programs
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package also provides these programs and utilities:
  acorn2sfd
  dewoff
  gwoff
  gfindtable
  gpcl2ttf
  gpfadecrypt
  grmligamarks
  gshowttf
  gstripttc
  gttf2eps

Package: fontforge-nox
Description-md5: 2e1916689377673a003f63bcc14e3f2f
Description-en: font editor - non-X version
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package also provides these programs and utilities:
  fontimage - produce a font thumbnail image;
  fontlint  - checks the font for certain common errors;
  sfddiff   - compare two font files.
 .
 This package contains a version of FontForge compiled with support for
 scripting but no GUI, and not require the graphics library.

Package: fontmake
Description-md5: c7d98ee92ddd1dc2a730b954626711ad
Description-en: Compile fonts from UFO or Glyphs to OTF/TTF
 This library provides a wrapper for several other Python libraries which
 together compile fonts from various sources (.glyphs, .ufo) into binaries
 (.otf, .ttf).
 .
 This package provides the executable program.

Package: fontmanager.app
Description-md5: 66eeb93e722e874049b0cc481af3454e
Description-en: Font manager for GNUstep
 This applications allows you to view installed fonts in the system.

Package: fontmatrix
Description-md5: dd869a91920ac88f29779fcdfcb4acfd
Description-en: featureful personal font manager
 This is a manager built with the kind of features and abilities
 graphic designers, layout professionals along with others have felt
 necessary, but modernized with some new touches.
 .
 Fontmatrix has a concept of 'tagging'. This makes it really nice to group
 fonts and even sub-group them logically for use in a book for instance.
 It also has extensive gui support for showing all glyphs in a font,
 previews of sample text, variable sizing and also tells what kinds of
 advanced Open Type features are inside each font. These features have
 never been seen outside of a font editor. And not least, it creates a
 nice PDF catalogue of user's fonts for printing or reference. In short,
 fontmatrix is a font manager for professionals, but is nice and user
 friendly.

Package: fonts-3270
Description-md5: ee5f05e9172509d63dcb4f7ecb71ae03
Description-en: monospaced font based on IBM 3270 terminals
 This font is derived from the x3270 font, which, in turn, was translated
 from the one in Georgia Tech's 3270tool, which was itself hand-copied
 from a 3270 terminal.
 .
 While looking reasonably close to its bitmap ancestors, this is a vector
 font that looks good at any size.  Its recommended use is, obviously, a
 text terminal, same as nearly half a century ago.

Package: fonts-adf-accanthis
Description-md5: 3b99e94695a8306b429f19d6b4b782a9
Description-en: Accanthis font of the Arkandis Digital Foundry
 This is the Accanthis font of the Arkandis Digital Foundry. These is a serif
 font family alternative to Galliard, and Horley oldstyle.

Package: fonts-adf-baskervald
Description-md5: 1149c92e10f5c48f779ad8113d1c1c63
Description-en: Baskervald font of the Arkandis Digital Foundry
 This is the Baskervald font of the Arkandis Digital Foundry. This is a serif
 collection, intended to mimic the new Baskerville typeface.

Package: fonts-adf-berenis
Description-md5: fdcadad01822bebf2a688931ef1a1565
Description-en: Berenis font of the Arkandis Digital Foundry
 This is the Berenis font of the Arkandis Digital Foundry. Berenis is a
 didonne font collection (sub to Bodoni-didot typefaces).

Package: fonts-adf-gillius
Description-md5: 6a24b2fe6bb48919945d8195db87df12
Description-en: Gillius font of the Arkandis Digital Foundry
 This is the Gillius font of the Arkandis Digital Foundry, a purified
 variation to Gill sans.

Package: fonts-adf-ikarius
Description-md5: 72f442809e124b241928aaa145316b0e
Description-en: Ikarius font of the Arkandis Digital Foundry
 This is the Ikarius font of the Arkandis Digital Foundry, inspired by Hypatia
 sans from Adobe.

Package: fonts-adf-irianis
Description-md5: 29a2cd03820470e2615822a4033d759d
Description-en: Irianis font of the Arkandis Digital Foundry
 This is the Irianis font of the Arkandis Digital Foundry, a sans serif font
 (sub to Cloister oldstyle).

Package: fonts-adf-libris
Description-md5: 81db2f9108a984244ec596f6267615a3
Description-en: Libris font of the Arkandis Digital Foundry
 This is the Libris font of the Arkandis Digital Foundry. It is a sans serif
 font family intended to mimic the Lydian typeface.

Package: fonts-adf-mekanus
Description-md5: d918cbd7837aa3a09c2328434f7737ff
Description-en: Mekanus font of the Arkandis Digital Foundry
 This is the Mekanus font of the Arkandis Digital Foundry, a font family with
 typewriting and handwriting mixed.

Package: fonts-adf-oldania
Description-md5: f976ea8f8aa364b63b5a93e5668db64f
Description-en: Oldania font of the Arkandis Digital Foundry
 This is the Oldania font of the Arkandis Digital Foundry, a modern gothic
 typeface.

Package: fonts-adf-romande
Description-md5: 65d8f8a79f904b677d9b1e087ec72288
Description-en: Romande font of the Arkandis Digital Foundry
 This is the Romande font of the Arkandis Digital Foundry.

Package: fonts-adf-solothurn
Description-md5: 559617761a68a92f85f0e0c669fbcdf3
Description-en: Solothurn font of the Arkandis Digital Foundry
 This is the Solothurn font of the Arkandis Digital Foundry.

Package: fonts-adf-switzera
Description-md5: b24f9e37bdad35124a84a99a0faed7fa
Description-en: Switzera font of the Arkandis Digital Foundry
 This is the Switzera font of the Arkandis Digital Foundry, an alternative to
 Vera typeface from BT.

Package: fonts-adf-tribun
Description-md5: 9083b862014de33e108fb9336d98ff47
Description-en: Tribun font of the Arkandis Digital Foundry
 This is the Tribun font of the Arkandis Digital Foundry, a font collection
 alternative to Times New Roman with newsprint like rendering.

Package: fonts-adf-universalis
Description-md5: befc79711be18214a62cdc48fa72626a
Description-en: Universalis font of the Arkandis Digital Foundry
 This is the Universalis font of the Arkandis Digital Foundry. This is an
 alternative to the Futura typeface by Paul Renner.

Package: fonts-adf-verana
Description-md5: 55f3f0c114648aacc48fac1a0ba88c20
Description-en: Verana font of the Arkandis Digital Foundry
 This is the Verana font of the Arkandis Digital Foundry, similar to Vera of
 BT.

Package: fonts-aksharyogini2
Description-md5: 68b06fd555bac790a1c944b621986ce4
Description-en: aksharyogini2 devanagari normal style font
 This is a free font for the devanagari script released in the memory of Late
 Yogini Joglekar. It is a single normal styled font, unlike its predecessor
 aksharyogini1 which was a complete font family.

Package: fonts-alee
Description-md5: 49f7dc47a23353dcd6d39bf73ed27a27
Description-en: free Hangul TrueType fonts
 This package contains free Hangul truetype fonts made by A Lee.
 .
 Bandal, Bangwool, Guseul, Eunjin and EunjinNakseo are general purpose
 Hangul truetype fonts that contain Korean syllable characters.
 Bandal, Bangwool, Eunjin and EunjinNakseo also contain Latin9 (iso8859-15)
 characters.

Package: fonts-alegreya-sans
Description-md5: 59a3ddfde9ce23e49bed79bfbd08103b
Description-en: Humanist Sans Serif family, part of Alegreya fonts
 Alegreya Sans is a humanist sans serif family with a calligraphic feeling that
 conveys a dynamic and varied rhythm. This gives a pleasant feeling to readers
 of long texts.
 .
 The family follows humanist proportions and principles, just like the serif
 version of the family, Alegreya. It achieves a ludic and harmonious paragraph
 through elements carefully designed in an atmosphere of diversity. The italics
 bring a strong emphasis to the roman styles, and each have seven weights to
 bring you a wide typographic palette.

Package: fonts-allerta
Description-md5: 4f28983dea4abcc4674ba8da332222ba
Description-en: Signage font
 This is an open source typeface designed for use in signage. Allerta was
 designed to be easily and quickly read from a distance. Each letter exploits
 the most unique aspects of that individual letter so that each character can
 be easily distinguished from any other.
 .
 The name Allerta is derived from the origins of the word alert (adj. swift,
 v. to advise or warn). The Italian origin all'erta literally means on the
 lookout.

Package: fonts-ancient-scripts
Description-md5: bb9cf31558d12f3f18f5bc34c780276d
Description-en: Unicode Fonts for Ancient Scripts
 These are free unicode fonts for Aegean Scripts, other ancient scripts in
 the greater Aegean vicinity, Egyptian Hieroglyphs, Sumero-Akkadian Cuneiform,
 and Musical Symbols in the Unicode Standard.
 .
 The fonts included are:
 .
  * Abydos
  * Aegean
  * Aegyptus
  * Akkadian
  * Alexander
  * Alfios
  * Anaktoria
  * Analecta
  * Anatolian
  * Aroania
  * Asea
  * Assyrian
  * Atavyros
  * Avdira
  * Cretan
  * Cypro
  * Gardiner
  * Maya
  * Musica
  * Nilus
  * Unidings

Package: fonts-aoyagi-kouzan-t
Description-md5: ed97e887d0e5723644b984bdb2f2d238
Description-en: Brush-style Japanese font
 AoyagiKouzanFontT is Brush-style Japanese font, made by Kouzan Aoyagi.
 It contains about 3,000 glyphs.
 .
 It is suitable for printing Haiku, New Year's card or so.

Package: fonts-aoyagi-soseki
Description-md5: 1b9dc0ecefeac63ade92fa04ea56ac23
Description-en: Brush-style Japanese font, Aoyagi-Soseki
 AoyagiSoseki is Brush-style Japanese font, made by Soseki Aoyagi and
 Kouzan Aoyagi. It contains about 6,000 glyphs.
 .
 It is suitable for printing Haiku, New Year's card or so.

Package: fonts-apropal
Description-md5: 3ed55cae925936316d2cb2603c6f1b9a
Description-en: Sans-serif font for decorative signs, one of the Warsaw Types
 This is a sans-serif display font for decorative signs (including vertical).
 It contains one style variation - BOLD. Being one of the types included in the
 Warsaw Types collection: a set made by professionals based on the Warsaw's
 typography heirtage with a support of the Museum of Warsaw and the City of
 Warsaw, it is inspired by the “Czytelnik” bookstore, the information signs
 from Warsaw’s Zoo, and designs found in the “Lettering Techniques” book
 manual, by Jan Wojeński.

Package: fonts-arkpandora
Description-md5: 7792fc42639f3729ac9b2c43e596546e
Description-en: Replacement fonts for Microsoft's Arial, Times, and Verdana fonts
 This package provides fonts which can be used as drop-in replacement
 for Microsoft shipped  fonts Arial, Times New Roman and Verdana.
 .
 These fonts are designed for screens and printing. It includes
 Aerial, Aeirial Mono, Tymes and Veranda.

Package: fonts-arphic-bkai00mp
Description-md5: 2ec9ffa2923089a58a166fef4027defd
Description-en: "AR PL KaitiM Big5" Chinese TrueType font by Arphic Technology
 "AR PL KaitiM Big5" is a high-quality Chinese TrueType font
 (bkai00mp.ttf) generously provided by Arphic Technology to the Free
 Software community under the "Arphic Public License".
 .
 You also need to install the "latex-cjk-chinese-arphic-bkai00mp " package for
 typesetting with Omega or with CJK for LaTeX (cjk-latex).

Package: fonts-arphic-bsmi00lp
Description-md5: 1d9211b69ba018715b788a41accc598d
Description-en: "AR PL Mingti2L Big5" Chinese TrueType font by Arphic Technology
 "AR PL Mingti2L Big5" is a high-quality Chinese TrueType font
 (bsmi00lp.ttf) generously provided by Arphic Technology to the Free
 Software community under the "Arphic Public License".
 .
 You also need to install the "latex-cjk-chinese-arphic-bsmi00lp" package for
 typesetting with Omega or with CJK for LaTeX (cjk-latex).

Package: fonts-arphic-gbsn00lp
Description-md5: 7e893935877172b3d8425067b18b266a
Description-en: "AR PL SungtiL GB" Chinese TrueType font by Arphic Technology
 "AR PL SungtiL GB" is a high-quality Chinese TrueType font
 (gbsn00lp.ttf) generously provided by Arphic Technology to the Free
 Software community under the "Arphic Public License".
 .
 You also need to install the "latex-cjk-chinese-arphic-gbsn00lp" package for
 typesetting with Omega or with CJK for LaTeX (cjk-latex).

Package: fonts-arphic-gkai00mp
Description-md5: 26e94e58214bc8d1a4374dbce196cdd9
Description-en: "AR PL KaitiM GB" Chinese TrueType font by Arphic Technology
 "AR PL KaitiM GB" is a high-quality Chinese TrueType font
 (gkai00mp.ttf) generously provided by Arphic Technology to the Free
 Software community under the "Arphic Public License".
 .
 You also need to install the "latex-cjk-chinese-arphic-gkai00mp" package for
 typesetting with Omega or with CJK for LaTeX (cjk-latex).

Package: fonts-arundina
Description-md5: bbeb57d6371e317eca6fe7d0d8847f33
Description-en: Thai DejaVu-compatible fonts
 Arundina fonts for Thai script.
 .
 The fonts are designed to be compatible with Bitstream Vera or DejaVu fonts.
 Serif, sans-serif and monospace type faces are included.

Package: fonts-atarismall
Description-md5: 26063f9205f742f4e16c567461353d77
Description-en: Very small 4 x 8 font
 This is named atari small because it was designed for a terminal emulator
 written for an Atari 800. It only had a 320 pixel wide display, but an 80
 column terminal was wanted, hence there is this font. This is somewhat
 cleaned up from the original version. It has only a one pixel descent to
 maximize pixels available to the main body of each character.

Package: fonts-averia-gwf
Description-md5: 798e218190d329f29da497f3cce8e7b0
Description-en: Avería GWF font family
 Avería is a Unicode typeface family created from the average of all fonts on
 the computer of the creator.
 All metrics are the result of an averaging process.
 The process is described at http://iotic.com/averia/.
 .
 Avería exists in Regular, Bold, Italic, Bold Italic, Light and Light
 Italic styles - based on the sans-serif subset of the 508 fonts on the
 creator's computer.

Package: fonts-averia-sans-gwf
Description-md5: 026ec4203e339cb63a88563c9be5174a
Description-en: Avería Sans GWF font family
 Avería is a Unicode typeface family created from the average of all fonts on
 the computer of the creator.
 All metrics are the result of an averaging process.
 The process is described at http://iotic.com/averia/.
 .
 Avería Sans exists in Regular, Bold, Italic, Bold Italic, Light and Light
 Italic styles - based on the sans-serif subset of the 508 fonts on the
 creator's computer.

Package: fonts-averia-serif-gwf
Description-md5: bdf66dd8fe69bb056cbf79a26406e246
Description-en: Avería Serif GWF font family
 Avería is a Unicode typeface family created from the average of all fonts on
 the computer of the creator.
 All metrics are the result of an averaging process.
 The process is described at http://iotic.com/averia/.
 .
 Avería Sans exists in Regular, Bold, Italic, Bold Italic, Light and Light
 Italic styles - based on the sans-serif subset of the 508 fonts on the
 creator's computer.

Package: fonts-b612
Description-md5: 254392e9bc8083ff24c09a1f4f0b1acc
Description-en: legible font designed to be used on aircraft cockpit screens
 In 2010, Airbus initiated a research collaboration with ENAC and Universite
 de Toulouse III on a prospective study to define and validate an Aeronautical
 Font: the challenge was to improve the display of information on the cockpit
 screens, in particular in terms of legibility and comfort of reading, and to
 optimize the overall homogeneity of the cockpit.
 .
 Two years later, Airbus came to find Intactile DESIGN to work on the design
 of the eight typographic variants of the font. This one, baptized B612 in
 reference to the imaginary asteroid of the aviator SaintExupery, benefited
 from a complete hinting on all the characters.
 .
 Main characteristics are:
  - Maximize the distance between the forms of the characters
  - Respect the primitives of the different letters
  - Harmonize the forms and their spacing

Package: fonts-babelstone-han
Description-md5: 980a2e81024e65be189257cb0f77af06
Description-en: BabelStone Fonts for Han
 BabelStone Han" is a Unicode Han font in Song/Ming style (宋体/明體) with
 G-source glyphs used in Mainland China. The font is derived from the "AR PL
 Mingti2L Big5" and "AR PL SungtiL GB" fonts (released under the Arphic Public
 License), converted to Unicode mappings, and expanded to cover a wide range of
 traditional and simplified characters in the CJK, CJK-A, CJK-B, CJK-C, CJK-D
 and CJK-E blocks, as well as a large number of currently unencoded characters
 in the Private Use Area.

Package: fonts-babelstone-modern
Description-md5: 35f1c549dd5f3c84a7adaf621d9f5d2a
Description-en: BabelStone latin modern font
 BabelStone latin modern font for Western Latin character sets.

Package: fonts-baekmuk
Description-md5: 8754ec4794b837830d4bc409cef2d476
Description-en: Baekmuk series Korean fonts
 This is a set of Korean TrueType fonts, which contains 4 font
 families; Dotum, Batang, Gulim and Headline.
 .
 Note that these fonts are not recommended for computer display.
 Because they are not with reasonable hinting instructions, their CJK
 glyphs (which usually have more strokes than Latin glyphs) are almost
 not readable in most computer screens.

Package: fonts-bajaderka
Description-md5: 60381a38915eb0a9a5918c722fa5cdbd
Description-en: Warsaw's sign painters styled font
 This font is inspired by lettering of the small signage tablets found in Warsaw
 shops. The letters feature details typical for traditional calligraphy, with
 visible brush strokes, referring to the charming style of Warsaw's sign
 painters.

Package: fonts-bebas-neue
Description-md5: 7a58b7a14a336e42379afd69982e4251
Description-en: Flat, rounded style font designed by Ryoichi Tsunekawa
 Bebas Neue is a free font designed by Ryoichi Tsunekawa. It includes bold,
 book, light, regular and thin varients. It is styled around a flat, rounded
 look that is easy on the eyes.

Package: fonts-beteckna
Description-md5: 3ad4527a6bad79c8410e91522323fc2f
Description-en: geometric Futura-like sans-serif TrueType font
 This package provide a geometric sans-serif font, similar to the popular
 Futura one.

Package: fonts-blankenburg
Description-md5: 651dda6ee1e1dda72e8a3404509d79ee
Description-en: Modern blackletter font
 Semi condensed variation of the Tannenberg font created in the years 1933 to
 1935 by Erich Meyer. It supports several ligatures and is intended to be used
 within the Latin character set.

Package: fonts-bpg-georgian
Description-md5: ad67e8d83ae24347c088ff5f7f0551f5
Description-en: BPG Georgian fonts
 This package provides a collection of three Georgian fonts, provided by
 BPG-InfoTech.

Package: fonts-breip
Description-md5: e3733d327d07f9b61671007de462e96b
Description-en: informal handwriting font
 This package provides an informal handwriting font based on the
 handwrite of a friend of Adam (the author of this).
 .
 Quoting the author: "It's hard to describe", but in
 short it is a freestyle sans serif kind of font.

Package: fonts-bwht
Description-md5: 2f14fa07e90ee76b063ccf0aee3b4cc3
Description-en: Six handwritten fonts created for the Because We Had To project
 This package gives your 6 fonts that were used in a RedHat
 Open Source stories campaign:
  - Because we build
  - Because we create
  - Because we connect
  - Because we mentor
  - Because we learn
  - Because we organize

Package: fonts-cabin
Description-md5: 7b0df9442b7f589adfa356e0c94725cc
Description-en: humanist sans serif font
 The Cabin font comes in 8 styles: Regular, Medium, Semibold, and Bold, with
 their corresponding italics.
 .
 It is inspired by Edward Johnston's and Eric Gill's typefaces, with a touch
 of modernism. Cabin incorporates modern proportions, optical adjustments, and
 some elements of the geometric sans.
 .
 The weight distribution is almost monotone, although top and bottom curves are
 slightly thin. Counters of the b, g, p and q are rounded and optically
 adjusted. The curved stem endings have a 10 degree angle. E and F have shorter
 center arms. M is splashed.

Package: fonts-cabinsketch
Description-md5: 71052acb67bb5e15492969b7b97e3559
Description-en: playful sister of the Cabin font family
 Cabin Sketch has 2 weights, Regular and Bold.
 .
 The thing with sketch fonts is that they have a lot of nodes, and their file
 sizes are usually big. But Cabin Sketch has been manually and carefully
 cleaned up to keep the file size as small as possible, and to make it render
 fast.

Package: fonts-campania
Description-md5: 3ec10c6e5750cca6b8c89e6d5a3d8b99
Description-en: font for Roman numeral analysis (music theory)
 Campania is an OpenType font (TrueType and WOFF webfont versions are
 also available) for Roman numeral analysis, as used in music theory.
 It adds some contextual substitutions and positioning rules on top of
 the glyphs from Doulos (SIL) to allow you to enter the most common
 symbols just by typing naturally.
 .
 This font should work in any program that handles OpenType fonts
 reasonably. It is tested to work in MuseScore and in LibreOffice.

Package: fonts-cantarell
Description-md5: caccfeef0878116a50e0182694ad1168
Description-en: sans serif font family designed for on-screen readability
 The Cantarell font family is designed as a contemporary Humanist sans serif
 and is particularly designed for on-screen reading on mobile devices at small
 sizes, such as phones and tablets.
 .
 This is the open font officially chosen by default for the GNOME 3 desktop and
 for Fedora branding materials.
 .
 Regular and bold weights are provided for now. Italics are planned.
 .
 Each font file currently contains 391 glyphs, and fully support the
 following writing systems: Basic Latin, Western European, Catalan,
 Baltic, Turkish, Central European, Dutch and Afrikaans.

Package: fonts-cardo
Description-md5: 91c9dcb45d63e6906a19538ca7cff830
Description-en: font for scholarly use in classical and medieval languages
 Cardo is a large Unicode font specifically designed for the needs of
 classicists, biblical scholars, medievalists, and linguists.  Since it may
 be used to prepare materials for publication, it also contains features
 that are required for high-quality typography, such as ligatures, text
 figures (also known as old style numerals), true small capitals and a
 variety of punctuation and space characters.

Package: fonts-cascadia-code
Description-md5: 6e1f1a95f34e1837bf83ec657f070709
Description-en: monospaced font designed to enhance appearance of Windows Terminal
 Cascadia Code is a monospaced font shipped by Microsoft. It includes
 programming ligatures and is intended for use in terminal applications
 as well as text editors.

Package: fonts-cegui
Description-md5: 029df034800c320ecbf0af6b61515e6f
Description-en: Crazy Eddie's GUI (fonts)
 CEGUI is a free library providing windowing and widgets for graphics
 APIs and engines where such functionality is not natively available
 or is severely lacking. The library is written in C++, is object
 oriented, and is primarily targeted at games developers who should be
 spending their time creating great games, not building GUI sub-systems
 .
 This package contains font files for the CEGUI library that are not
 packaged separately.

Package: fonts-century-catalogue
Description-md5: 265fc10474b2108a1493327e70e5e1e2
Description-en: century-style font revival
 This font is currently the closest to release, with a decent glyph complement.
 It's a straightforward revival  of a somewhat forgotten, but handsome and
 utilitarian, font from the ATF collection. It's obviously very similar to the
 familiar Century Oldstyle, but with more refined proportions and stroke. This
 version is based on the 18pt from the 1923 catalog.

Package: fonts-circos-symbols
Description-md5: b1a82ea725f9f92a3b24fcad69a56996
Description-en: plotter for visualizing data - standard fonts
 Circos visualizes data in a circular layout — ideal for exploring
 relationships between objects or positions, and creating highly
 informative publication-quality graphics.
 .
 This package provides a set of fonts used by default with Circos.

Package: fonts-clear-sans
Description-md5: 057752b14f713bfe0e17b43e15531ded
Description-en: sharp on-screen sans-serif font
 Clear Sans (also known as Intel Clear) is a versatile font for screen,
 print, and Web, designed with on-screen legibility in mind.
 .
 It's used, among others, by Firefox on Android.

Package: fonts-cmu
Description-md5: c2f3ec5d54ad3e7320335f937c5a1c21
Description-en: sets the computer modern unicode fonts
 Provides a set of Computer Modern Unicode fonts.
 It provides OpenType files.
 .
 They are based on the METAFONT version of the Computer
 fonts and contain many additional characters.
 .
 The fonts contain glyphs from Latin1, Cyrillic and Greek
 code sets.

Package: fonts-comfortaa
Description-md5: 14697753cd3a2c972eac4832404cfb2e
Description-en: stylish, modern true type font
 This is a geometric, rounded, sans serif font which reminds of bauhaus,
 but a bit more modern. The font comes in three weights, namely light,
 regular, and bold.

Package: fonts-comic-neue
Description-md5: f6eb5ae8d4d38a7aecb7572673fdfee6
Description-en: less horrible remake of Comic Sans
 This font is an attempt to fix worst issues in the world's most reviled
 typeface while staying true to the general idea.
 .
 You're still not supposed to actually use the font yourself, but thanks
 to fontconfig substitutions having this package installed will help you
 survive weaponized uses such as the LibreSSL announcement or a html email
 from aunt June.

Package: fonts-compagnon
Description-md5: 74e55557571503df06f297ab290df8a4
Description-en: typeface family composed of five distinctive styles
 Font that finds its inspiration in the online archives of Typewriter Database
 specimens and combines different periods of the history of typewriter
 typefaces. Each weight is based on singular references relating to significant
 periods aiming to underline the evolution of typewriter characters as they are
 called.

Package: fonts-courier-prime
Description-md5: b0242b3feb0daa0a0b41234935463628
Description-en: redesign of the Courier font
 This is a monospace font, similar to Courier. It was designed to replace the
 legendary Courier especially in screenwriting. It's Courier, just better.
 Courier Prime is optimized for 12 point size, and matches the metrics of
 Courier and Courier Final Draft, so you can (mostly) swap it out one-for-one
 and your page count should not change.

Package: fonts-croscore
Description-md5: ad3e9ad8859403b6bb428c48e82755bf
Description-en: width-compatible fonts for improved on-screen readability
 This package contains a collections of fonts
 that offers improved on-screen readability characteristics
 and the pan-European WGL character set
 and solves the needs of developers looking for width-compatible fonts
 to address document portability across platforms.
 .
 Arimo, Cousine and Tinos were designed by Steve Matteson
 as innovative and refreshing designs
 that are metrically compatible with Arial, Courier New
 and Times New Roman, respectively.

Package: fonts-crosextra-caladea
Description-md5: d6f5cc40e392c6989878aaf1934ec463
Description-en: Serif font metric-compatible with the Cambria font
 Caladea is metric-compatible with the Cambria font. This font is a serif
 typeface family based on Huerta Tipográfica’s Cambo.

Package: fonts-crosextra-carlito
Description-md5: cf7f7d40979c5fb59dbff19fb4b2cd3e
Description-en: Sans-serif font metric-compatible with Calibri font
 Carlito is metric-compatible with Calibri font. Carlito comes in regular, bold,
 italic, and bold italic. The family covers Latin-Greek-Cyrillic (not a
 complete set, though) with about 2,000 glyphs. It has the same character
 coverage as Calibri. This font is sans-serif typeface family based on Lato.

Package: fonts-cwtex-docs
Description-md5: 64fc76fde0b87b79d63a24b3ac492c9d
Description-en: TrueType Font from cwTeX - example documents
 These cwttf TrueType fonts are transformed from cwTeX Traditional
 Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and
 Koanughi Un's Un-Fonts.
 .
 This package provides preview documents for the fonts.

Package: fonts-cwtex-fs
Description-md5: c7af4ae2c87e3662f5cd84c6b688b84e
Description-en: TrueType Font from cwTeX - FangSong
 These cwttf TrueType fonts are transformed from cwTeX Traditional
 Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and
 Koanughi Un's Un-Fonts.

Package: fonts-cwtex-heib
Description-md5: c12bb89f407208baedad90f54fe3a2a0
Description-en: TrueType Font from cwTeX - HeiBold
 These cwttf TrueType fonts are transformed from cwTeX Traditional
 Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and
 Koanughi Un's Un-Fonts.

Package: fonts-cwtex-kai
Description-md5: c3f45d53e3ff63315e8c18a90d3196c1
Description-en: TrueType Font from cwTeX - Kai
 These cwttf TrueType fonts are transformed from cwTeX Traditional
 Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and
 Koanughi Un's Un-Fonts.

Package: fonts-cwtex-ming
Description-md5: cf1f8f0b0be068706cf389cd511254d3
Description-en: TrueType Font from cwTeX - Ming
 These cwttf TrueType fonts are transformed from cwTeX Traditional
 Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and
 Koanughi Un's Un-Fonts.

Package: fonts-cwtex-yen
Description-md5: 9b3697f7a6760c6c131602ef6228eccd
Description-en: TrueType Font from cwTeX - Yen
 These cwttf TrueType fonts are transformed from cwTeX Traditional
 Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and
 Koanughi Un's Un-Fonts.

Package: fonts-dancingscript
Description-md5: e9b7763a1de583d85358cdd4e1fcb821
Description-en: lively casual script with bouncing letters and size changes
 Dancing Script references popular scripts typefaces from the 50's.
 It relates to Murray Hill (Emil Klumpp. 1956) in its weight distribution,
 and to Mistral (Roger Excoffon. 1953) in its lively bouncing effect.
 .
 Use it when you want a friendly, informal and spontaneous look.

Package: fonts-ddc-uchen
Description-md5: dbc97ef6c26af908e22880c17c407ae3
Description-en: ddc  Dzongkha Development Commission free OpenType Tibetan font
 This is a free Tibetan OpenType Font, created by Christopher John Fynn
 for the Dzongkha Development Commission.

Package: fonts-dejavu
Description-md5: 168fb05000ceed15f5c61146d1002737
Description-en: metapackage to pull in fonts-dejavu-core and fonts-dejavu-extra
 DejaVu provides an expanded version of the Vera font family aiming for
 quality and broader Unicode coverage while retaining the original Vera
 style. DejaVu currently works towards conformance with the Multilingual
 European Standards (MES-1 and MES-2) for Unicode coverage. The DejaVu
 fonts provide serif, sans and monospaced variants.
 .
 Use this package if you want all DejaVu variants.
 .
 DejaVu fonts are intended for use on low-resolution devices (mainly
 computer screens) but can be used in printing as well.

Package: fonts-dejima-mincho
Description-md5: 5492afbdeafd2ebb603bf18041e6d815
Description-en: antique-looking Japanese TrueType Mincho font
 Dejima Mincho is Japanese TrueType Mincho font.
 .
 Its Kanji part is based on "Tsukiji 3gou" and Kana is based on "Tsukiji 4gou",
 these are known as "Tsukiji-tai" font, in Meiji-era. These old fonts are
 already under public domain.

Package: fonts-dkg-handwriting
Description-md5: 0ce17edc57aac1d0401c449c4df89c84
Description-en: font that imitates Daniel Kahn Gillmor's handwriting
 This is a goofy TrueType font that mimics Daniel Kahn Gillmor's
 handwriting. It covers charset ISO-8859-1 plus some extra characters,
 and it includes bold, italic, and bold italic variants.

Package: fonts-dosis
Description-md5: ae09d0710b7369909e9bf2e5b3f3c890
Description-en: very simple, rounded, sans serif font family
 The Dosis font comes in 7 incremental weights:
 ExtraLight, Light, Book, Medium, Semibold, Bold & ExtraBold.
 The lighter weights are minimalist. The bolder weights have more personality.
 The medium weight is nice and balanced. The overall result is a family that's
 clean and modern, and can express a wide range of voices & feelings.

Package: fonts-dseg
Description-md5: 33de9fbc20b0029eba624d36add0da63
Description-en: Original 7-segment and 14-segment fonts
 This is a free font family, which imitate seven and fourteen segment
 display (7SEG,14SEG). DSEG includes the roman-alphabet and symbol glyphs.
 More than 50 types are available.

Package: fonts-dustin
Description-md5: 72176d823f7ec01d9b1a5410ff494727
Description-en: various TrueType fonts from dustismo.com
 This package contains various fonts from dustismo.com licensed under the GPL.
 .
 Dustismo and Dustismo Roman are general-purpose Sans Serif and Roman TrueType
 fonts (with bold, italic and bold-italic variations), and contain all the
 European Latin characters.
 .
 The other fonts are beautiful for special decorations and headlines, but they
 are limited to subsets of ASCII...

Package: fonts-dzongkha
Description-md5: 03180aa6bd18fb0ce3546fc95057b60b
Description-en: TrueType fonts for Dzongkha language
 This package includes fonts that are suitable for the display of the Dzongkha
 language (national language of Bhutan).

Package: fonts-ebgaramond
Description-md5: 9dae67c9b8d404ddd17eec67f5fe66ac
Description-en: EB Garamond OpenType fonts
 EB Garamond is an open source project to create a revival of Claude Garamont’s
 famous humanist typeface from the mid-16th century. This package contains
 OpenType fonts.

Package: fonts-ebgaramond-extra
Description-md5: 4bc20fcd9ab2353fd38884e99527a2b8
Description-en: EB Garamond Initials and TrueType fonts
 EB Garamond is an open source project to create a revival of Claude Garamont’s
 famous humanist typeface from the mid-16th century. This package contains
 TrueType fonts (.ttf), webfonts (.woff), small caps fonts, and fonts for
 initials (monograms).

Package: fonts-ecolier-court
Description-md5: b6ab5de51617b5e7880547dc3e59b5a8
Description-en: cursive roman font with small descenders
 This package provides a cursive font covering the basic latin range with a ink
 and dip pen style. This version includes small descenders. Such fonts are
 widely used in education settings.

Package: fonts-ecolier-lignes-court
Description-md5: b7e7b42519ced29b8be32d3489b9a1e6
Description-en: cursive roman font (with réglure Seyès and small descenders)
 This package provides a cursive font covering the basic latin range with a
 ink and dip pen style. This version includes réglure Seyès and small
 descenders. Such fonts are widely used in education settings.

Package: fonts-eeyek
Description-md5: 8953366a8f5b85b1d12fd8b39ca3159a
Description-en: Eeyek TrueType font for Meetei Mayek script
 Eeyek TrueType is font for Meetei Mayek script which was used to write
 Manipuri till the 18th century. Recent research has resurrected this script,
 and it is now being given its due place.

Package: fonts-elusive-icons
Description-md5: f6f9de7e55975af751b44e8ac6cd000f
Description-en: iconic font and CSS framework
 Elusive Icons is a full suite of 304 pictographic icons for easy scalable
 vector graphics on websites, created and maintained by Team Redux.

Package: fonts-emojione
Description-md5: 4d0531aa82f0d61bb194101638f11ee1
Description-en: The Emoji One Truetype Bitmap Font
 Emoji One is a bitmap font providing graphical color emoji glyphs.

Package: fonts-entypo
Description-md5: 319036b12890a399b092799754b64e34
Description-en: icon fonts of dingbat style by Daniel Bruce
 Entypo is an icon font designed by Daniel Bruce http://www.entypo.com.
 It follows dingbat style.
 .
 This package contains ttf, eot, woff and svg format fonts. It is part of
 entypo-rails ruby gem.

Package: fonts-essays1743
Description-md5: 259331f03e01bcfe046274233851232b
Description-en: Essays 1743 TrueType font
 This font is based on the typeface used in a 1743 English translation of
 Montaigne's Essays. It contains normal, bold, italic and bold italic
 versions of 817 characters: all of ASCII, Latin-1, and Latin Extended A;
 some of Latin Extended B (basically, the ones that are more or less based
 on Roman letters); and a variety of other characters, such as oddball
 punctuation, numerals, etc.

Package: fonts-eurofurence
Description-md5: b373c9de7763727f0b65b8c95ba29f54
Description-en: family of geometric rounded sans serif fonts
 Eurofurence is a family of fonts designed 1995-2000 by Tobias Köhler,
 originally for a convention these fonts are namesake of.
 .
 Provided variants are:
  * eurofurence (regular, bold, italic, bold italic) — meant for
    signs, badges or text headings.
  * eurofurence classic (regular, bold, italic, bold italic, light, light
    italic) — Futura-like, appropriate for body text.
  * unifur (regular only) — ascender/descender-less, titles and logos only.
 A monospaced variant, “monofur”, is provided as a separate package.

Package: fonts-evertype-conakry
Description-md5: ad2d402804c4761dfc1afc8c81acd549
Description-en: smart Graphite font for N'Ko
 The Conakry font is a smart font using a Graphite description for the N'Ko
 script used by Mande Language communities from West Africa.

Package: fonts-f500
Description-md5: 76148d48d28fe4e4d77eacf27507122e
Description-en: Wipeout 3 Font
 This is the font similar to the one used in the Sony PlayStation
 video game "Wip3out".

Package: fonts-fantasque-sans
Description-md5: b418a3287135c28cca48d133166c0ae9
Description-en: monospaced font variant for programmers
 This package provides a programming font designed with functionality
 in mind, and with some wibbly-wobbly handwriting like fuzziness that
 makes it unassumingly cool.
 .
 This package provides truetype fonts along with woff and svg variants
 as webfonts along CSS required to use them.

Package: fonts-fanwood
Description-md5: 84cc927ca22d6d5c929cfbbc76930e8f
Description-en: font similar to the Fairfield font
 This is an old style serif typeface. Its design is rooted in
 the forms of Venetian Old Face types.

Package: fonts-femkeklaver
Description-md5: 4cfe4d4373ec4e3c048176da3c389c28
Description-en: simple handwriting font
 FemkeKlaver is a simple handwriting font with strong repeated tracing.
 Its style resembles that used in illustrated diaries, with round
 cursive small letters and outlined block capital letters.

Package: fonts-ferrite-core
Description-md5: a1891b22930a705662f90fe9632131dc
Description-en: geometric shaped font lending it a futurist look
 This display font is built with geometric shapes lending it a futurist look.
 It is a great choice for headlines, graphic design and more.

Package: fonts-firacode
Description-md5: 05be5757433de98497d4ab55f8505286
Description-en: Monospaced font with programming ligatures
 Fira Code is an extension of the Fira Mono font containing a set of
 ligatures for common programming multi-character combinations. This is
 just a font rendering feature: underlying code remains ASCII-compatible.
 This helps to read and understand code faster. For some frequent sequences
 like .. or //, the ligatures allow one to correct spacing.
 .
 This font is expected to work in most text editors but won't work in most
 (especially VTE-based) terminal emulators. A detailed list is available on
 https://github.com/tonsky/FiraCode#terminal-support

Package: fonts-fork-awesome
Description-md5: ba24f8e9d6e540f7bb4021e16916cd4f
Description-en: fork of the iconic font and CSS toolkit
 Fork Awesome is a full suite of 718 pictographic icons for easy
 scalable vector graphics on websites, originally created by
 Dave Gandy and now maintained by a community.

Package: fonts-freefarsi
Description-md5: 7ecebd91246a3f2e3038b694c34bf053
Description-en: FreeFarsi TrueType Persian fonts
 FPF project aims to provide a free TrueType Persian font covering its part of
 ISO 10464/Unicode UCS (Universal Characters Set).

Package: fonts-freefont-otf
Description-md5: 69de667c41c8b631f6a6c392e30f0c8c
Description-en: Freefont Serif, Sans and Mono OpenType fonts
 Freefont is a set of free high-quality OpenType fonts covering the UCS
 character set. These fonts are similar to the widely known Helvetica,
 Times and Courier fonts.

Package: fonts-gamaliel
Description-md5: 67cf7ddb0b9492cff53ff6a73a31f449
Description-en: black letter face
 The type is based on the gothic letters used by the Barcelonese printer Joan
 Rosembach in 1496.

Package: fonts-gemunu-libre
Description-md5: 6baa518b3ac824b5d7f5a1b648c7000f
Description-en: new interpretation to FM Gamunu font
 This is the Unicode compliant version of the popular Sinhala
 typeface ‘FM Gemunu’ by Pushpananda Ekanayake. With its
 squarish, but smooth design, Gemunu Libre has a distinctive
 personality among all the other Sinhala typefaces that are
 currently in use.

Package: fonts-georgewilliams
Description-md5: a7daa8334e3f337980f63773a05f4a8a
Description-en: Free unicode TrueType fonts by George Williams
 A set of Free unicode True Type fonts made by George Williams.
 The package consists the following fonts:
  Caslon
  Caliban
  Cupola

Package: fonts-gfs-artemisia
Description-md5: 19c82aa3387e24930633f5f2b9eb1b5a
Description-en: greek font (Times Greek-like)
 The type family GFS Artemisia was designed by the painter-engraver
 Takis Katsoulidis and reflects his style and typographic acumen. It
 is largely his effort to offer, from a different perspective, a type
 face which, like Times Greek, would be applicable to a wide spectrum
 of uses and equally agreeable and legible. The typeface has been
 digitised by George D. Matthiopoulos.

Package: fonts-gfs-baskerville
Description-md5: 5ac5eae2dabb64097f591bc0591426b3
Description-en: ancient Greek font revival
 John Baskerville (1706-1775) got involved in typography late in his
 career but his contribution was significant. He was a successful
 entrepreneur and possessed an inquiring mind which he applied to
 produce many aesthetic and technical innovations in printing. He
 invented a new ink formula, a new type of smooth paper and made
 various improvements in the printing press. He was also involved in
 type design which resulted in a latin typeface which was used for the
 edition of Virgil, in 1757.  The quality of the type was admired
 throughout of Europe and America and was revived with great success
 in the early 20th century. Baskerville was also involved in the
 design of a Greek typeface which he used in an edition of the New
 Testament for Oxford University, in 1763. He adopted the practice of
 avoiding the excessive number of ligatures which Alexander Wilson had
 started a few years earlier but his Greek types were rather narrow in
 proportion and did not win the sympathy of the philologists and other
 scholars of his time. They did influence, however, the Greek types of
 Giambattista Bodoni. and through him Didot's Greek in Paris.  The
 typeface has been digitally revived as GFS Baskerville Classic by
 Sophia Kalaitzidou and George D. Matthiopoulos and is now available
 as part of GFS' type library.

Package: fonts-gfs-bodoni-classic
Description-md5: bd53edcabd3b3fa951f00ac7b8e1a45a
Description-en: smart greek typeface revival
 Giambattista Bodoni was the most prolific Italian typecutter of the
 18th century. He was among the first European typecutters to move
 away from the byzantine cursive tradition with the numerous ligatures
 which was the norm until then. His Greek types influenced many
 subsequent designers, yet they fell in disuse by the middle of the
 19th century.  GFS presented Bodoni's original Greek typeface in the
 commemorative edition of Pindar's Olympian Odes (2004), in digital
 version by George D. Matthiopoulos, and is now available for the
 general public. In the OpenType features, under ligatures, one may
 alternately use diphthongs with the accents placed in between the
 characters, as Giambattista Bodoni did when setting greek texts.

Package: fonts-gfs-complutum
Description-md5: 42af8444696377f309bb3c3c721e3bc0
Description-en: ancient Greek font revival from the University of Alcalá, Spain
 Greek Font Society incorporated Brocar's famous and distinctive type
 in the commemorative edition of Pindar's Odes for the Athens Olympics
 (2004) and the type with a new set of capitals, revived digitaly by
 George D. Matthiopoulos, is now available for general use.

Package: fonts-gfs-didot
Description-md5: 6001705a52aa724d7cdc55806e1c89fa
Description-en: greek font family (Didot revival)
 Didot's type was the base for a new font, GFS Didot (1994) which was designed
 by Takis Katsoulidis, and digitised by George Matthiopoulos. The typeface is
 accompanied by a matching latin alphabet based on Hermann Zapf's Palatino.

Package: fonts-gfs-didot-classic
Description-md5: 354cf5f56312e1cf7dddc11809121aed
Description-en: greek font family (Classic Didot revival)
 Under the influence of the neoclassical ideals of the late 18th century, the
 famous French typecutter Firmin Didot in Paris designed a new Greek typeface
 (1805) which was immediately used in the publishing programme of Adamantios
 Korai, the prominent intellectual figure of the Greek diaspora and leading
 scholar of the Greek Enlightenment. The typeface eventually arrived in Greece,
 with the field press which came with Didot’s grandson Ambroise Firmin Didot,
 during the Greek Revolution in 1821.
 .
 Since then the typeface has enjoyed an unrivaled success as the type of choice
 for almost every kind of publication until the last decades of the 20th
 century. Didot's original type design, as it is documented in publications
 during the first decades of the 19th century, was digitized and revived by
 George D. Matthiopoulos in 2006 for a project of the Department of Literature
 in the School of Philosophy at the University of Thessaloniki, and is now
 available for general use.

Package: fonts-gfs-gazis
Description-md5: eeaf83d5326e6a2d132b9836bc5d2815
Description-en: ancient Greek font (Byzantine cursive hand style)
 During the whole of the 18th century the old tradition of using Greek
 types designed to conform to the Byzantine cursive hand with many
 ligatures and abbreviations - as it was originated by Aldus Manutius
 in Venice and consolidated by Claude Garamont (Grecs du Roy) - was
 still much in practice, although clearly on the wane. GFS Gazis is a
 typical German example of this practice as it appeared at the end of
 that era in the 1790's. Its name pays tribute to Anthimos Gazis
 (1758-1828), one of the most prolific Greek thinkers of the period,
 who was responsible for writing, translating and editing numerous
 books, including the editorship of the important Greek periodical
 Ερμής ο Λόγιος (Litterary Hermes) in Wien.  GFS Gazis has been
 digitally designed by George D. Matthiopoulos.

Package: fonts-gfs-neohellenic
Description-md5: 2d741efe84af845f9ffd3653d99688fb
Description-en: modern Greek font family with matching Latin
 Neohellenic is a Greek font family characterized by round, even pen
 strokes. It consists of several weights (normal, italic, bold, and
 bold italic) as well as a Latin version.
 .
 Former Greek types had harked back to classical models. In 1927,
 Victor Scholderer chose instead to revive one which had first
 appeared in a 1492 printing of Macrobius attributed to Joannes
 Rubeus. In 1993-4 the typeface was digitized by the Greek Font
 Society, with the addition of a new set of epigraphic symbols.

Package: fonts-gfs-olga
Description-md5: 2efcb6ec82aa0c6d9ed617f01371f999
Description-en: ancient Greek oblique font revival (companion to GFS Didot)
 In Greece the terms italic and oblique have the same meaning since
 they are borrowed from the latin typographic practice without any
 real historical equivalent in Greek history. Until the end of the
 19th century Greek typefaces were cut and cast independently, not as
 members of a typefamily. The mechanisation of typecutting allowed the
 transformation of upright Greek typefaces to oblique designs.
 Nonetheless, the typesetting practice of a cursive Greek font to
 complement an upright one did not survive the 19th century. The
 experimental font GFS Olga (1995) attempts to revive this lost
 tradition. The typeface was designed and digitised by George
 Matthiopoulos, based on the historical Porson Greek type (1803) with
 the intention to be the companion of the upright GFS Didot font
 whenever there is a need for an italic alternative.

Package: fonts-gfs-porson
Description-md5: 73b6d2b50ec092ef45b4bb1d9d844eab
Description-en: Greek font (Porson revival)
 In England, during the 1790's, Cambridge University Press decided to procure a
 new set of Greek types. The university’s great scholar of Classics, Richard
 Porson was asked to produce a typeface based on his handsome handwriting and
 Richard Austin was commissioned to cut the types. The type was completed in
 1808, after the untimely death of Porson the previous year. Its success was
 immediate and since then the classical editions in Great Britain and the
 U.S.A.  use it, almost invariably. In 1913, Monotype released the typeface
 with some corrections, notably replacing the upright capitals suggested by
 Porson with inclined ones. In Greece the typeface was used under the name
 Pelasgika type. GFS Porson is based on the Monotype version, though using
 upright capitals, as in the original.

Package: fonts-gfs-solomos
Description-md5: 144ff626067b511968ff9af88c55a640
Description-en: ancient Greek oblique font
 From the middle of the 19th century an italic font with many
 calligraphic overtones was introduced into Greek printing. Its source
 is unknown, but it almost certainly was the product of a German or
 Italian foundry. In the first type specimen printed in Greece by the
 typecutter K. Miliadis (1850), the font was listed anonymously along
 others of 11pts and in the Gr. Doumas' undated specimen appeared as
 «11pt Greek inclined». For most of the second half of the century the
 type was used extensively as an italic for emphasis in words,
 sentences or excerpts. In 1889, the folio size Type Specimen of
 Anestis Konstantinidis' publishing, printing and type founding
 establishment also included the type as «Greek inclined [9 & 12 pt]».
 .
 Nevertheless, the excessively calligraphic style of the characters,
 combined with the steep and uncomfortable obliqueness of the
 capitals, was out of favour in the 20th century and the type did not
 survive the conformity of the mechanical type cutting and casting.
 The font has been digitally revived, as part of our typographic
 tradition, by George D.  Matthiopoulos and is part of GFS' type
 library under the name GFS Solomos, in commemoration of the great
 Greek poet of the 19th century, Dionisios Solomos.

Package: fonts-gfs-theokritos
Description-md5: 68d0720324a545afc5b4ab1898213b6b
Description-en: decorative Greek font
 In the late 50's Yannis Kefallinos (1894-1958) designed and published
 an exquisite book with engraved illustrations of the ancient white
 funerary pottery in Attica in collaboration with Varlamos,
 Montesanto, Damianakis.
 .
 Its complex and heavily decorative design does point to aesthetic
 codes which preoccupied his artistic expression and, although
 impractical for contemporary text setting, it remains an original
 display face, or it can be used as initials.  The book design
 workshop of the Fine Arts School of Athens has been recently
 reorganised, under the direction of professor Leoni Vidali, and with
 her collaboration George D. Matthiopoulos has redesigned digitaly
 this historical font which is now available as GFS Theokritos.

Package: fonts-glasstty
Description-md5: 797b55528dfcf9c562c0818dbd615c8f
Description-en: VT220 terminal font
 This font faithfully reproduces the appearance of text on a DEC VT220
 terminal, up to scanline gaps.
 .
 As a pixel font, it looks good only at sizes that are 20 pixel tall (or
 1.5×, 2×, … that) -- on most setups this corresponds to "point" size
 of 15 (22.5, 30, …).  You might get reasonable results for other sizes
 only with VRGB/VBGR antialiasing (usu. portrait orientation screens),
 HiDPI, or bad eyes.
 .
 Supported characters include DEC Western, vt100 graphics, and Cyrillic.

Package: fonts-glewlwyd
Description-md5: c31b90e3e2116bfa8372f5836d4627d4
Description-en: OAuth2 authentication server providing Json Web Tokens - font files
 Lightweight, fast and easy to install on small systems. Requires a
 MySql or SQLite3 database. Handles LDAP or database for users backend.
 .
 The API backend is fully written in language C, it's based on Ulfius
 HTTP framework, Hoel database framework and Libjwt JSON Web Tokens
 library.
 .
 This package contains the needed fonts.

Package: fonts-glyphicons-halflings
Description-md5: 9f41f385f4f26572e7a4eb266bec2430
Description-en: icons made for smaller graphic
 GLYPHICONS is a family of icon fonts
 created with an emphasis to simplicity and easy orientation.
 .
 GLYPHICONS Halflings, a subset optimized for smaller graphics,
 were freely licensed as part of Bootstrap 2.x and 3.x.

Package: fonts-gnutypewriter
Description-md5: aac9869dca8c700fefba7752d5876e51
Description-en: Multilingual font imitating a real typewriter
 This is multilingual font imitating a real typewriter. Full Language
 Support: Basic Cyrillic, Basic Latin. Partial Language Support: Central
 European.

Package: fonts-go
Description-md5: dca062c3fa5d350643d2720e1c39e0a6
Description-en: high-quality WGL4 TrueType fonts for Go project
 The Go font family includes proportional and fixed width faces in
 normal, bold, and italic renderings. It is designed for technical uses,
 particularly programming.

Package: fonts-gotico-antiqua
Description-md5: 3c09abb405f8f179a75430bc41f37a13
Description-en: 15th century types between gothic and roman
 Fifteen fonts and one set of initial letters draw from documents printed
 between 1459 and 1482. Based on a current research programme at Atelier
 national de recherche typographique (ANRT), which investigates the
 period 1459-1482.

Package: fonts-goudybookletter
Description-md5: 5d228426a526efe6c5a663c0bba9cb83
Description-en: Old style roman font
 Based on the roman of Frederic Goudy's Kennerley Old Style (designed and cut
 in 1911 for a limited edition of "The Door in the Wall and Other Stories" by
 H G Wells, published by Mitchell Kennerley). The companion italic is a
 future project.
 .
 The letters, though not condensed, may seem to fit together like pieces of a
 jigsaw puzzle, giving text an unusually solid appearance.

Package: fonts-hack
Description-md5: ba060c2e507eb28908330958fd7e3c0d
Description-en: Typeface designed for source code
 No frills. No gimmicks. Hack is hand groomed and optically balanced to be a
 workhorse face for code.
 .
 It has deep roots in the libre, open source typeface community and expands upon
 the contributions of the Bitstream Vera & DejaVu projects. The face has been
 re-designed with a larger glyph set, modifications of the original glyph shapes
 (including distinct point styles and semi-bold punctuation weight in the
 regular set to make analphabetic characters less transparent), and meticulous
 attention to metrics (including numerous spacing adjustments to improve the
 rhythm of the face and the legibility of code at small text sizes). The large
 x-height + wide aperture + low contrast design combined with PostScript
 hinting/hint replacement programs and a TrueType instruction set make it highly
 legible at commonly used source code text sizes with a sweet spot that runs in
 the 8px - 12px range on modern desktop and laptop monitors.
 .
 This package contains the TrueType fonts and the Webfont (WOFF/WOFF2) versions.

Package: fonts-hack-otf
Description-md5: 829ee3d24105c845a676eb93d24a71f8
Description-en: Hack OpenType fonts (transitional package for fonts-hack)
 This package used to contain the OTF variant of the Hack font, which
 has been discontinued upstream. It now pulls in the TTF variant instead.
 .
 This package is a dummy transitional package. It can be safely removed.

Package: fonts-hack-ttf
Description-md5: ef83d2311f7f687af22b466f7b8cd905
Description-en: Hack TrueType fonts (transitional package for fonts-hack)
 This package used to contain the TTF variants of the Hack font, which
 are now part of the consolidated fonts-hack package.
 .
 This package is a dummy transitional package. It can be safely removed.

Package: fonts-hack-web
Description-md5: 880fff9740cfc5381647d5dc821b7847
Description-en: Hack web fonts (transitional package for fonts-hack)
 This package used to contain the web variants of the Hack font, which
 are now part of the consolidated fonts-hack package.
 .
 This package is a dummy transitional package. It can be safely removed.

Package: fonts-hanazono
Description-md5: b297a2924d390a5d01dd2b4d0c796db5
Description-en: Japanese TrueType mincho font by KAGE system and FontForge
 This font is Japanese KANJI free font. The KAGE system and FontForge
 are used for the generation of this font.
 Collected glyph uses all the data registered in glyphwiki.

Package: fonts-havana
Description-md5: a53959e49293be1afb49d0eae724d486
Description-en: Old communism style script font from Poland
 This font is inspired by Warsaw neon signs from before 1989. Just like the
 lettering of that period, the “Havava” design is detail oriented, coherent
 and sophisticated. Some letters are directly drawn from specific signs –
 letters “K” and “m” from “Kosmetyki” or letter “t” from “Mister” sign. The
 font’s name itself refers to a former cafe “Havana” in Warsaw.

Package: fonts-hermit
Description-md5: d5e318073ccf4efa1f99e0dd280812b6
Description-en: Monospace Hermit Font for programming
 This is a monospace font designed to be clear, pragmatic and very readable.
 Its creation has been focused on programming. Every glyph was carefully
 planned and calculated, according to defined principles and rules. For this
 reason, Hermit is coherent and regular.

Package: fonts-horai-umefont
Description-md5: 84313e2866d5a96791ef8b8ba0042248
Description-en: Japanese TrueType font, Ume-font
 Umefont is Japanese TrueType font, it consists of below fonts
  * Ume Gothic (Original, O5, C4, C5, S4, S5)
  * Ume P Gothic (Original, O5, C4, C5, S4, S5)
  * Ume UI Gothic (Original, O5)
  * Ume Mincho (Original, S3)
  * Ume P Mincho (Original, S3)
  * Ume Hy Gothis

Package: fonts-hosny-thabit
Description-md5: 21b6f98114229934fc6523a212d28d13
Description-en: fixed width OpenType Arabic fonts
 Thabit (from Arabic ثابت; fixed) is a fixed width OpenType font family that is
 supports Arabic script. It is developed by Arabeyes.org as part of Khotot
 project.
 .
 Currently supported languages:
  * Arabic (basic Arabic, no Quranic support yet)
  * Pashto
  * Farsi

Package: fonts-humor-sans
Description-md5: 11ad9870a8509a14470dacd7dd3695af
Description-en: Font that looks like handwritten text
 This truetype font has the typeface that looks like the one used in the
 popular online comic, xkcd (http://xkcd.com).

Package: fonts-inconsolata
Description-md5: f1d6a595df93b739e7301b1432bb6802
Description-en: monospace font for pretty code listings and for the terminal
 Inconsolata is a monospace font, designed for code listings and the like, in
 print. There are a great many "programmer fonts," designed primarily for use
 on the screen, but in most cases do not have the attention to detail for high
 resolution rendering.
 .
 The Fontforge .sfd and the Spiro .plate extended sources are available on the
 upstream website and in the source package. A Type1 version of the font is also
 available.
 .
 This is work in progress. It is released under the SIL Open Font License.
 Completion of this font is being generously sponsored by the TeX Users Group
 Development Fund. If you like this font and want to see more sponsored and
 released freely, please consider donating to TUG's Libre Font Fund.

Package: fonts-inter
Description-md5: 83766c49d307213df203d61d8d21c3f4
Description-en: Inter font family
 This is a typeface specially designed for user interfaces with focus
 on high legibility of small-to-medium sized text on computer screens.
 .
 The family features a tall x-height to aid in readability of
 mixed-case and lower-case text. Several OpenType features are
 provided as well, like contextual alternates that adjusts punctuation
 depending on the shape of surrounding glyphs, slashed zero for when
 you need to disambiguate "0" from "o", tabular numbers, etc.

Package: fonts-ipaexfont
Description-md5: 45494aa1da58bfa4485106eb29a357af
Description-en: Japanese OpenType font, all IPAex Fonts
 IPAex Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType
 outlines provided by Information-technology Promotion Agency, Japan
 (IPA) from 2010.  The older JIS X 0213:2000 font gliphs are also
 supported via IVS (Ideographic Variation Sequence).  These are suitable
 for both display and printing.
 .
 These new fonts are developed from IPA Fonts to provide the best balance
 for the Japanese documentation mixed with Western characters by following
 Japanese printing tradition.  Japanese characters (Kanji, Kana and
 punctuation marks) are full width mono-space pitch, and Western characters
 are proportional pitch.
 .
 This metapackage depends on fonts-ipaexfont-{mincho,gothic} packages to
 provide IPAex Mincho and IPAex Gothic Fonts.

Package: fonts-ipaexfont-gothic
Description-md5: 85ae0ca041723a3fafcd9ba738163870
Description-en: Japanese OpenType font, IPAex Gothic Font
 IPAex Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType
 outlines provided by Information-technology Promotion Agency, Japan
 (IPA) from 2010.  These are suitable for both display and printing.
 .
 These new fonts are developed from IPA Fonts to provide the best balance
 for the Japanese documentation mixed with Western characters by following
 Japanese printing tradition.  Japanese characters (Kanji, Kana and
 punctuation marks) are full width mono-space pitch, and Western characters
 are proportional pitch.
 .
 This package provides IPAex Gothic Font in the Gothic (san-serif) style.

Package: fonts-ipaexfont-mincho
Description-md5: 010e8b04927ad140b2ead5b60186687d
Description-en: Japanese OpenType font, IPAex Mincho Font
 IPAex Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType
 outlines provided by Information-technology Promotion Agency, Japan
 (IPA) from 2010.  These are suitable for both display and printing.
 .
 These new fonts are developed from IPA Fonts to provide the best balance
 for the Japanese documentation mixed with Western characters by following
 Japanese printing tradition.  Japanese characters (Kanji, Kana and
 punctuation marks) are full width mono-space pitch, and Western characters
 are proportional pitch.
 .
 This package provides IPAex Mincho Font in the Mincho (serif) style.

Package: fonts-ipafont
Description-md5: b4f87527ec894f57ff1b3cae83f4dedb
Description-en: Japanese OpenType font set, all IPA Fonts
 IPA Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType
 outlines provided by Information-technology Promotion Agency, Japan
 (IPA) from 2003.  These are suitable for both display and printing.
 .
 This metapackage depends on fonts-ipafont-{mincho,gothic} packages to
 provide IPA Mincho, IPA P Mincho, IPA Gothic, and IPA P Gothic Fonts.

Package: fonts-ipafont-gothic
Description-md5: 615e151565984b08c1a4de07499ee574
Description-en: Japanese OpenType font set, IPA Gothic and IPA P Gothic Fonts
 IPA Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType
 outlines provided by Information-technology Promotion Agency, Japan
 (IPA) from 2003.  These are suitable for both display and printing.
 .
 This package provides Gothic (san-serif) style fonts.  IPA Gothic Font
 is the equal-width font.  IPA P Gothic Font is the propotional font.
 .
 In the equal-width font, the fullwidth characters (most CJK characters)
 occupy exactly twice as much width of the halfwidth characters (normal
 alphabets and numbers).

Package: fonts-ipafont-mincho
Description-md5: 6afc8f30c6a53e47b1eca7938911a3ac
Description-en: Japanese OpenType font set, IPA Mincho and IPA P Mincho Fonts
 IPA Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType
 outlines provided by Information-technology Promotion Agency, Japan
 (IPA) from 2003.  These are suitable for both display and printing.
 .
 This package provides Mincho (serif) style fonts.  IPA Mincho Font
 is the equal-width font.  IPA P Mincho Font is the propotional font.
 .
 In the equal-width font, the fullwidth characters (most CJK characters)
 occupy exactly twice as much width of the halfwidth characters (normal
 alphabets and numbers).

Package: fonts-ipamj-mincho
Description-md5: 67d59fad5ad50da5801d503392658a09
Description-en: Japanese OpenType font, IPAmj Mincho Font
 IPAmj Mincho Font is ISO/IEC10646:2014 compliant OpenType font based on
 TrueType outlines supporting IVS (Ideographic Variation Sequence)
 provided by Information-technology Promotion Agency, Japan (IPA) from
 2014.
 .
 IPAmj Mincho Font is designed to distinguish minute gliph differences of
 the person's name etc.  This font requires to use applications which are
 compatible with the UCS code point beyond 16 bits and IVS.  For the normal
 use, any one of IPAex fonts that conforms to JIS X 0213:2004 is
 recommended.
 .
 There is no IPAmj Gothic Font.

Package: fonts-isabella
Description-md5: d5dce9323778d5a31fc71806463d0a26
Description-en: Isabella free TrueType font
 This font is called Isabella because it is based on the calligraphic
 hand used in the Isabella Breviary, made around 1497, in Holland, for
 Isabella of Castille, the first queen of united Spain.
 .
 It covers all European languages written in the Latin script (with
 the exception of Sami) and covers all ISO-8859 with the exception
 of the non-Latin character sets.

Package: fonts-johnsmith-induni
Description-md5: d64a37d7edf2ef9fc5ec8c6f9111db72
Description-en: OTF fonts with exhaustive set of Roman characters
 This package contains OpenType fonts with exhaustive set of
 Roman characters used by Indologists.
 .
 This package provides following set fonts
 1. Induni-C - contains fonts based on URW++'s Courier-lookalike
 2. Induni-H - contains fonts based on URW++'s Helvetica-lookalike
 3. Induni-N - contains fonts based on URW++'s New Century Schoolbook-lookalike
 4. Induni-P - contains fonts based on URW++'s Palatino-lookalike
 5. Induni-T - contains fonts based on URW++'s Times-lookalike

Package: fonts-jsmath
Description-md5: bd6db58c9818e27e4f7581a678f15739
Description-en: TeX fonts to display jsMath pages
 jsMath uses some TeX fonts to render mathematical contents in web pages
 so jsMath pages should be displayed much better with this package.

Package: fonts-junction
Description-md5: c43a49e0211e06f8e0d4e8844ca4f3a9
Description-en: humanist sans-serif typeface
 Junction, the first open-source type project by The League of Moveable Type,
 is a  humanist sans-serif font. Designed by Caroline Hadilaksono, it has hand
 drawn and "human" qualities while retaining the clarity and efficiencies of a
 sans-serif typeface.
 .
 It currently only supports basic Latin characters.

Package: fonts-junicode
Description-md5: fe30cdc45400101dd95abd933165091d
Description-en: Unicode font for medievalists (Latin, IPA and Runic)
 This is a TrueType Unicode font targeted at medievalists, but including
 the full range of characters for languages written in the Latin script,
 International Phonetic Alphabet, Uralic Phonetic Alphabet and Runic. It has
 regular, italic, bold and bold-italic fonts.

Package: fonts-jura
Description-md5: b983a9bae712d297ce831a722a5df5e8
Description-en: monospaced, sans-serif font
 This font remembers of a typewrite font without the serifs. The latin letters
 assume pretty much of a "Swiss" feel, so the font was named after the Jura
 region on the border between France and Switzerland.
 .
 Almost all of the Latin-1 range is represented (omitting only superscript
 numerals, fractions, and the pilcrow).

Package: fonts-kanjistrokeorders
Description-md5: 4efda6c1b51629b4e19e2bea086097f0
Description-en: font to view stroke order diagrams for Kanji, Kana and etc
 This font will assist people who are learning kanji, and will help teachers
 of Japanese in the preparation of classroom material.
 .
 In the parts of your document where you want the kanji to be annotated with
 stroke order numbers simply set your document's font to KanjiStrokeOrders.
 You will need to set the size of the font to be large to allow the stroke
 order numbers to show up: 100pt seems to be the minimum usable size.

Package: fonts-karla
Description-md5: 4ed61b2f4410af947553dc0bc50d3f0d
Description-en: Grotesque Sans Serif Latin typeface
 Karla is a grotesque sans serif typeface family that supports languages
 that use the Latin script and the Tamil script. This is the Latin script
 part of the family, with Roman and Italic styles in two weights, Regular
 and Bold.
 .
 This font is part of the Google Free Font Family.

Package: fonts-karmilla
Description-md5: 8373712dd2c4dba5988aa65e5ada6a64
Description-en: Grotesque Sans Serif Latin typeface, extended
 Karmilla is a grotesque sans serif typeface family that supports languages
 that use the Latin script and the Cyrillic script. It comes in Roman style
 and two weights, Regular and Bold.
 .
 Karmilla is a fork of Karla by Jonathan Pinhorn.

Package: fonts-kaushanscript
Description-md5: a2ba3602e8bab252364f601e7ee5a09e
Description-en: script font that feels like writing quickly with an inked brush
 When making digital typefaces, the more you refine the shapes of the letters,
 the more energy you take away from them. Because of that, Kaushan Script
 is unrefined - and carries a lot of energy.
 .
 By avoiding typographical sophistication, it stays more natural. The angles
 of the vertical strokes varies and the baseline jumps around, giving it a more
 rustic and natural feeling.
 .
 And while most script fonts look too small when used at regular sizes on the
 web, Kaushan Script is optimized to keep it readable when used as small as
 16 pixels.

Package: fonts-kiloji
Description-md5: 0f8d290945c8998085f0a0ac94cfb8a3
Description-en: Japanese Truetype font like longhand made by "kilo"
 kilo-ji, "kilo" is the author name of these fonts and "ji" means
 "characters" in Japanese.
 .
 This package consists of four fonts.
  - kiloji.ttf
  - kiloji_p.ttf (kiloji Proportional)
  - kiloji_b.ttf (kiloji Bold)
  - kiloji_d.ttf (kiloji Diet)

Package: fonts-klaudia-berenika
Description-md5: 99e981f5e7697909372191a60879074d
Description-en: font family based on Celtic inscriptions
 These are fonts are Unicode typefaces supporting languages in the
 Latin script and its variants.  Both of these fonts are available in
 four weights: Book, Regular, Demi and Bold.

Package: fonts-komatuna
Description-md5: 10c290577ed383105516090f3544ffe2
Description-en: modified Konatu and M+ fonts, Komatuna
 Komatuna font is based on two font families - Kanji for Konatu font and
 Alphabet/Hiragana/Katakana and Full width alphabet for M+ fonts.
 .
 It consists of
  * Komatuna
  * Komatuna P

Package: fonts-konatu
Description-md5: c455840a308603cda2afdcb575aad02a
Description-en: TrueType Font originally for BeOS
 Konatu is created as BeOS (ZETA) bitmap font (Be Bitmap Font), and
 also made as TrueType font.
 You can see screenshots in author's website.

Package: fonts-kouzan-mouhitsu
Description-md5: 47b72632569be2e09cf71f37ddb991df
Description-en: Brush-style Japanese font, Kouzan-Mouhitsu
 Kouzan-Mouhitsu font is Brush-style Japanese font, made by Kouzan Aoyagi.
 It contains about 13,000 glyphs.
 .
 It is suitable for printing Haiku, New Year's card or so.

Package: fonts-kristi
Description-md5: 8dd1521df2fd47940948553d58c6134d
Description-en: casual cursive script typeface with a "felt-tip pen" feel
 Kristi is a free TrueType font designed by Birgit Pulk.
 This font is a casual cursive script typeface inspired by old
 chancery typefaces. It simulates writing with a felt-tip pen.

Package: fonts-ldco
Description-md5: 1d85c4616aeddf7d5d06d2faea1c2bc4
Description-en: set of Hebrew fonts by Louis Davis & Co.
 set of Hebrew fonts by Louis Davis and Co. in OTF, TTF, and WOFF formats.
 .
 Fonts: Amit, Daniel, ECO, HarSinai, Hidekel, Josef, Kimchi, Lilach,
 Miso, Mixer, Neo, Noam, PatchSans, PatchSerif, PatchStencil, Sayphan,
 Skechers, Sticks, Strokes, Yamsuf

Package: fonts-le-murmure
Description-md5: e9f0f9bcc4b86230fb0bbc893c8137f1
Description-en: titling font with many variated glyphs
 This is a custom typeface designed for the design agency Murmure
 and released by Velvetyne Type Foundry. Cyrillic has been added later on.
 The font plays on a skillful mismatch between characters, creating a unique
 rhythm which carries our voice.

Package: fonts-league-spartan
Description-md5: baebdd4c061151b9b972501e8593aee4
Description-en: Bold, modern, geometric sans-serif font
 Taking a strong influence from ATF's classic Spartan family, the leageue of
 movable type started their own family out with a single strong weight. They've
 put a few unique touches into a beautiful, historical typeface, and made sure
 to include an extensive characterset - currently totaling over 300 glyphs.

Package: fonts-leckerli-one
Description-md5: a023aca07c329fc632d485ca4917fb72
Description-en: Leckerli One font
 Leckerli One is a free Open Type font designed by Gesine Todt.
 It is a fat display typeface with irregular brush shapes and a
 handwritten feeling.

Package: fonts-lemonada
Description-md5: cced20d203fb0dbb46ea112dc0dd707c
Description-en: modern Arabic and Latin typeface family
 This is a modern Arabic and Latin typeface family.

Package: fonts-levien-museum
Description-md5: 007e03b5f184a6bf40fc02582f92221c
Description-en: metal Centaur fonts revival family
 Museum Sixty is based on 60 point metal Monotype Centaur. The source for A-Z&
 is the specimen page opening American Proprietary Typefaces, ed. David Pankow.
 The primary source for the lowercase is the original Centaur specimen booklet
 by Lanston Monotype, London, 1929.
 .
 Museum Fourteen is based on 14 point metal Monotype Centaur. The primary
 source is the text of American Proprietary Typefaces.
 .
 Museum Bible is based on 18 point metal Bible Centaur. The source is the
 booklet, "An Account of the Making of the Oxford Lectern Bible", Lanston
 Monotype, Philadelphia, 1936.
 .
 Museum Foundry is based on the 14 point original foundry version of Centaur, as
 cut by Robert Wiebking of Chicago. The source is "Amycus et Célestin", printed
 at the Museum Press in New York, 1916.

Package: fonts-levien-typoscript
Description-md5: 8ed88a0142030e07a7dd67b9475afe99
Description-en: classic ATF Typo Script revival font
 Math typesetting requires an ornate capital script font for symbols such as
 script-H (Hilbert space), script-P (powerset), and so on. The most commonly
 used such font in TeX is rsfs (Ralph Smith's Formal Script), which is based on
 the classic ATF Typo Script. Many of the ATF script fonts have been
 professionally digitized, but not this one.
 .
 The lines of the Typo Script digitization are much more delicate and refined,
 largely because they're based on the 18pt metal, while rsfs is designed for a
 10pt normal and 7pt subscript size. Thus, this draft can't really be used as a
 drop-in replacement, as the hairlines will simply be too light to reproduce
 well. At some point, the digital adaptation of the ATF optical scaling
 technology will be working, allowing to produce more robust versions
 suitable for use in text-sized mathematical setting.

Package: fonts-lexi-gulim
Description-md5: a00ae2ff21b6d6b3e85654959acc78f6
Description-en: Lexi Gulim Korean font
 This package provides a font family Lexi Gulim for Korean language.
 This font contains glyphs for Latin-1, Hangul syllables and Hangul
 compatibility Jamos.

Package: fonts-lexi-saebom
Description-md5: c1d94576718d70491187b5222e90f7b0
Description-en: Lexi Saebom Korean font
 This package provides a font family Lexi Saebom for Korean language.
 This font contains glyphs for Latin-1, Hangul syllables and Hangul
 compatibility Jamos.

Package: fonts-lg-aboriginal
Description-md5: 60671caeb26c0f38cc5500aa34c520c5
Description-en: unicode fonts for North-American Aboriginal languages
 This package contains fonts that can be used to read and write in many
 North-American Aboriginal Languages, too often neglected by the world at large.
 .
 It is hoped that the availability of quality open fonts can help these
 languages prosper far into the future.
 .
 The Aboriginal font family includes support for Syllabics (Cree, Ojibway,
 Naskapi, Inuktitut, Dakelh, Blackfoot, Dene), Cherokee and Roman Orthographies
 (Secwepemctsin, Statlimx, Siouan).

Package: fonts-lindenhill
Description-md5: 81d611956e9fbb1c97762597e78cb4a4
Description-en: Regular and italic serif font
 A font similar to the Deepdene font.

Package: fonts-linex
Description-md5: c07237165d1b3e329fe0e0e6f5032830
Description-en: Fonts suitable for education and institutional use
 These fonts include hand writing simulation typographies, ancient
 Greek and Roman typographies, the institutional fonts for use by the
 regional government of Extremadura and some other elegant fonts.
 This is the list of the included fonts:
  * Abecedario: Small children handwritten simulation
  * Elegante: Elegant handwritten simulation font
  * BABEL Unicode: specifically designed to type Latin, Ancient greek,
    Hebrew, Sanskrit, Runic, Ogham and Old English
  * Alfa-Beta: Ancient Greek typography
  * Emerita Latina: Roman typography
  * API PHONÉTIQUE: Designed to phonetically transcript French texts
  * IPA PHONETICS: Designed to phonetically transcript English texts
  * Ellenike: Classical Greek encoding
  * Jara: Institutional font used by the regional government of Extremadura
  * Quercus: Institutional font used by the regional government of Extremadura
 .
 These fonts have been developed, donated and GPL licensed by
 Juan José Marcos for their use in the gnuLinEx project.

Package: fonts-linuxlibertine
Description-md5: c91f6009db7362c1fa3f641713adfeed
Description-en: Linux Libertine family of fonts
 The Linux Libertine fonts is a set of typefaces containing both a Serif
 version ("Linux Libertine") and a Sans Serif ("Linux Biolinum") designed
 to be used together as an alternative for Times/Times New Roman (and, in
 part, Helvetica/Arial).
 .
 The Serif typeface comes in two shapes and two weights (that is, the
 usual Regular, Italic, Bold, and Bold Italic), and an Small Capitals
 version of the regular typeface. Linux Biolinum, the Sans Serif
 typeface, is available in both Regular and Bold weights.
 .
 This package contains OpenType versions (i.e., with cubic Bézier splines)
 of the fonts, with large support of OpenType features.

Package: fonts-lmodern
Description-md5: 80d0553dfb3c18bdf3f44ed9358e1ac9
Description-en: OpenType fonts based on Computer Modern
 The Latin Modern fonts, also known as "lm fonts", are a set of
 scalable fonts in PostScript Type 1 and OpenType formats. They are
 based on the PostScript Type 1 version of the Computer Modern fonts
 and contain many additional characters (mostly accented ones).
 .
 This package provides the OpenType variant of these fonts. For support
 for TeX and Type 1 (PostScript) fonts, see the lmodern package.
 .
 The Latin Modern fonts were generated using MetaType1, a program
 based on MetaPost for generating PostScript Type 1 fonts
 (ftp://bop.eps.gda.pl/pub/metatype1/). Their size is reasonable and
 they are usually considered to be of good quality (compared to
 cm-super, for instance; however, cm-super contains font families
 that have no equivalent in this package; additionally, there are
 character sets that are supported by cm-super and not by the Latin
 Modern fonts).

Package: fonts-lobster
Description-md5: f7fe50ee308d47b98cf48777ab15b511
Description-en: bold condensed script with many ligatures and alternates
 The beauty of real hand-drawn lettering is that the lettering artists subtly
 modify the shape of letters so they connect with the next ones. These linked
 letters-pairs are called "ligatures". Thus, in order to provide a smooth
 hand-written look, the Lobster font provides a large number of ligatures,
 as well as terminal forms (i.e. glyphs that are used for word endings).

Package: fonts-lobstertwo
Description-md5: 92e35a52089e9ba813a910d239bbd112
Description-en: updated and improved family version of the Lobster font
 Lobster Two is the updated and improved family version of The Lobster Font,
 featuring a new lighter weight and the two new upright variants.
 .
 The Original Lobster is now the LobsterTwo Bold Italic.

Package: fonts-lohit-deva-marathi
Description-md5: 8bc08e63cfd96493bfea5ed7b006197b
Description-en: Lohit TrueType font for Marathi
 This package provides Lohit TrueType font for Marathi language. It is split
 from fonts-lohit-devanagari package.

Package: fonts-lohit-deva-nepali
Description-md5: 389bc6c0d7829797f2ae37d95e1c197f
Description-en: Lohit TrueType font for Nepali
 This package provides Lohit TrueType font for Nepali language. It is split
 from fonts-lohit-devanagari package.

Package: fonts-lyx
Description-md5: 0b744f00a75e4039d36926b5ec9b5245
Description-en: TrueType versions of some TeX fonts used by LyX
 These fonts are needed for the visual math symbol display in
 LyX. They can also be used to display MathML in Gecko-based browsers
 like Firefox.
 .
 The following fonts are included in this package:
  * cmex10  - Computer Modern math extension
  * cmmi10  - Computer Modern math italic
  * cmr10   - Computer Modern Roman
  * cmsy10  - Computer Modern math symbols
  * esint10 - Alternate integrals
  * eufm10  - Euler Fraktur (medium-weight)
  * msam10  - Extra math symbols, first series
  * msbm10  - Extra math symbols, second series, including Blackboard Bold
  * wasy10  - Waldi symbol fonts

Package: fonts-material-design-icons-iconfont
Description-md5: 86a30e7503c07c6584fb2d28679ef226
Description-en: Material Design icons DX
 Material Design icons are the official icon set from Google
 designed under the Material Design guidelines.
 .
 This package is a fork of the official upstream project and includes many
 fixes and improvements, such as missing icons. The package contains the
 Material Icons font in TrueType format, Embedded OpenType format and both
 versions of the Web Open Font Format. It also includes the Material Design
 icons Cascading Style Sheet.

Package: fonts-materialdesignicons-webfont
Description-md5: 7d42416e64b460321fc019de0ff43698
Description-en: font containing Material Design Icons
 Material Design Icons' growing icon collection allows designers and developers
 targeting various platforms to download icons in the format, color and size
 they need for any project. This font contains icons form this collection.
 .
 This package also provides Css, Scss and LESS files to use webfonts from this
 package.

Package: fonts-mathjax
Description-md5: 5716c58e96465aa68461878b7d839f99
Description-en: JavaScript display engine for LaTeX and MathML (fonts)
 MathJax was designed with the goal of consolidating the recent advances in web
 technologies into a single, definitive, math-on-the-web platform supporting
 the major browsers and operating systems.
 .
 It requires no setup on the part of the user (no plugins to download or
 software to install), so the page author can write web documents that include
 mathematics and be confident that users will be able to view it naturally and
 easily. Simply include MathJax and some mathematics in a web page, and MathJax
 will do the rest.
 .
 This package contains OTF, SVG and WOFF fonts for MathJax.

Package: fonts-mathjax-extras
Description-md5: bcd3d0dc314b98e1c5f146a494968bd2
Description-en: JavaScript display engine for LaTeX and MathML (extra fonts)
 MathJax was designed with the goal of consolidating the recent advances in web
 technologies into a single, definitive, math-on-the-web platform supporting
 the major browsers and operating systems.
 .
 It requires no setup on the part of the user (no plugins to download or
 software to install), so the page author can write web documents that include
 mathematics and be confident that users will be able to view it naturally and
 easily. Simply include MathJax and some mathematics in a web page, and MathJax
 will do the rest.
 .
 This package contains EOT fonts for MathJax, that are provided in addition to
 OTF, SVG and WOFF fonts in "fonts-mathjax" package.

Package: fonts-meera-taml
Description-md5: a19643520de7309e7e5be261926dd6b4
Description-en: free font for Tamil
 This package provides Meera family of free fonts for Tamil script
 which is used in state of Tamil Nadu in Indian subcontinent and in
 Sri Lanka.
 .
 This font is designed for Wikipedia and Tamil People.

Package: fonts-migmix
Description-md5: 205fbbec199b979378872226124cd717
Description-en: High quality Japanese font based on M+ fonts and IPA fonts
 The MigMix and Migu fonts are based on M+ fonts and supplemented by IPA
 fonts, provides standard Japanese character set and JIS X 0212:1990,
 JIS X 0213:2000 character
 .
 This package provides these fonts:
 - Proportional: Migu 1P, Migu 1C, MigMix 1P, MigMix 2P
 - Monospace: Migu 1M, Migu 2M, MigMix 1M, MigMix 2M

Package: fonts-millimetre
Description-md5: 85a375a8515a78f1e59b512ed88b2eb3
Description-en: geometric, constructed sans serif font
 From a stylistic point of view, Millimetre is a geometric, constructed sans
 serif, with quite wide proportions even if the width of several glyphs could
 contradict this statement. With its rectangular look and closed terminals,
 Millimetre reminds us of 60’s sans such as Aldo Novarese's Eurostile. Far
 from running away from this graphic universe, Millimetre embraces the
 retro-futuristic, architectural, technological and science-fictional
 connotations that come with it.

Package: fonts-misaki
Description-md5: 07c280cc82af31e35a964ca49bb98728
Description-en: Japanese Gothic and Mincho font from "Misaki" bitmap font
 Originally, Misaki font was created as Japanese 8x8 bitmap font for small
 computer PC-E500, so it was small, beautiful and easily readable font.
 And now, it is converted to TrueType font.

Package: fonts-mmcedar
Description-md5: 393531a4aaa00a3882a30b3fe073921e
Description-en: MMCedar - combined Motoya L Cedar and M+fonts
 MMCedar and MMCedar-P fonts are composed of Motoya L Cedar and M+ fonts.
 .
 Motoya L Cedar lacks some characters, so these are covered by M+ fonts.
 And also line spacing of those fonts was adjusted for programming.

Package: fonts-mona
Description-md5: 9c4e49f8f340952027bea0b58782f329
Description-en: Japanese TrueType font for 2ch ASCII art
 These fonts are suitable for some ASCII arts like mona, gikoneko and so on.
 This package provides TrueType font.

Package: fonts-monapo
Description-md5: 678d81073f78a6253f157d2d9008d60e
Description-en: Japanese TrueType font, Monapo
 Monapo font is a combined font, that uses IPAfont and monafont.
 It has almost same width as MS P Gothic, so it can show Japanese Ascii Art
 properly.

Package: fonts-monlam
Description-md5: a39a4fb05947cd133912f7c6499064ad
Description-en: Monlam font is a popular Tibetan font created by Lobsang Monlam
 These are a set of fonts used extensively and created by Monlam IT
 organisation

Package: fonts-monofur
Description-md5: bcf026dc16b22cc9c398b3eb8dc8e4b9
Description-en: terminal font with rounded shapes
 Monofur is a monospaced (terminal/programming) font derived from the
 eurofurence family.  It comes in two styles: upright and italic; covers
 Latin, common Greek and Cyrillic.

Package: fonts-monoid
Description-md5: 58f014352cf15aa3b087ad42d8f6a879
Description-en: open source coding font with bitmap-like sharpness (normal tracking)
 Monoid is customizable and optimized for coding with bitmap-like
 sharpness at 12px/9pt even on low res display.
 .
 Monoid is semi-condensed and distinguishable glyphs with short ascenders,
 descenders, big apertures and super sized operators, punctuation.
 .
 It comes with regular, bold, oblique and retina versions with >750 Latin,
 Greek, Cyrillic, ligature, alternate and Power-line glyphs.
 .
 This package contains the normal tracking variant.

Package: fonts-monoid-halfloose
Description-md5: 5cb4fb2eb998a84c46bd62d22767b399
Description-en: open source coding font with bitmap-like sharpness (half loose tracking)
 Monoid is customizable and optimized for coding with bitmap-like
 sharpness at 12px/9pt even on low res display.
 .
 Monoid is semi-condensed and distinguishable glyphs with short ascenders,
 descenders, big apertures and super sized operators, punctuation.
 .
 It comes with regular, bold, oblique and retina versions with >750 Latin,
 Greek, Cyrillic, ligature, alternate and Power-line glyphs.
 .
 This package contains the half loose tracking variant.

Package: fonts-monoid-halftight
Description-md5: d4112ad6d6fabd114cb0f88a318aeaf6
Description-en: open source coding font with bitmap-like sharpness (half tight tracking)
 Monoid is customizable and optimized for coding with bitmap-like
 sharpness at 12px/9pt even on low res display.
 .
 Monoid is semi-condensed and distinguishable glyphs with short ascenders,
 descenders, big apertures and super sized operators, punctuation.
 .
 It comes with regular, bold, oblique and retina versions with >750 Latin,
 Greek, Cyrillic, ligature, alternate and Power-line glyphs.
 .
 This package contains the half tight tracking variant.

Package: fonts-monoid-loose
Description-md5: 14230c1a5c3d62fe8a8de02a3cb29e4f
Description-en: open source coding font with bitmap-like sharpness (loose tracking)
 Monoid is customizable and optimized for coding with bitmap-like
 sharpness at 12px/9pt even on low res display.
 .
 Monoid is semi-condensed and distinguishable glyphs with short ascenders,
 descenders, big apertures and super sized operators, punctuation.
 .
 It comes with regular, bold, oblique and retina versions with >750 Latin,
 Greek, Cyrillic, ligature, alternate and Power-line glyphs.
 .
 This package contains the loose tracking variant.

Package: fonts-monoid-tight
Description-md5: 7ade467b57a1b6b8992198d4706c705f
Description-en: open source coding font with bitmap-like sharpness (tight tracking)
 Monoid is customizable and optimized for coding with bitmap-like
 sharpness at 12px/9pt even on low res display.
 .
 Monoid is semi-condensed and distinguishable glyphs with short ascenders,
 descenders, big apertures and super sized operators, punctuation.
 .
 It comes with regular, bold, oblique and retina versions with >750 Latin,
 Greek, Cyrillic, ligature, alternate and Power-line glyphs.
 .
 This package contains the tight tracking variant.

Package: fonts-motoya-l-cedar
Description-md5: 0e4234df3781aa438e6c2333024ff3cb
Description-en: Japanese Truetype font, Motoya L Cedar
 Motoya font was created in 1950s, it aims beauty and readability.
 .
 This package provides "MotoyaLCedar W3 mono" - Gothic that images Japanese
 cedar, straight and thick tree.

Package: fonts-motoya-l-maruberi
Description-md5: e2aba52d1c3c1b41d27e6b59efdba8dc
Description-en: Japanese Truetype font, Motoya L Maruberi
 Motoya font was created in 1950s, it aims beauty and readability.
 .
 This package provides MotoyaLMaru W3 mono - Rounded Gothic, "Maruberi" font

Package: fonts-mph-2b-damase
Description-md5: 92f28eb2ff12c1ec3288692828bb2398
Description-en: font with character ranges from Unicode 4.1
 MPH 2B Damase is a SuperUnicode font, including ranges in Plane 1 and
 ranges added in the latest release of the Unicode standard (4.1). Some
 ranges added in the 4.1 release of the Unicode Standard. These ranges
 cover Tifinagh, Kharosthi, hPhags-pa, Old Persian Cuneiform, etc.
 .
 The support for some scripts is not complete because the font lacks
 contextual substitution (via OpenType tables) and composite glyphs, which
 are required to support Kharosthi and other scripts fully. Please read
 the Debian README for a fuller discussion of the problems this may cause.

Package: fonts-mplus
Description-md5: cb1d8a30b77551ce25bdcf806741c3c6
Description-en: M+ sans serif fonts with different weights
 This is a collection of sans serif fonts with different weights, including
 Japanese glyphs.
 .
 All fonts were completed with Basic Latin, Latin-1 Supplement, and Latin
 Extended-A. And most of extended glyphs and symbols were prepared too. So the
 fonts are in conformity with ISO-8859-1, 2, 3, 4, 7, 9, 10, 13, 14, 15, 16,
 windows-1252, and T1 encoding.
 .
 In addition, proportional M+ P Type-1 and M+ P Type-2 fonts were completed
 with Latin Extended-B, Latin Extended Additional, and ISO-8859-5. And many
 Greek, Cyrillic, IPA Extensions glyphs, and symbols were expanded. Those
 additional glyphs are included in M+ C provisionality.

Package: fonts-nanum
Description-md5: fe88c621123431c805697a7cac8845bc
Description-en: Nanum Korean fonts
 Nanum font families are Korean font families which are intended for
 use on computer screens. These fonts are good with computer LCD
 monitors because they have used rich hinting techniques like subpixel
 rendering.
 .
 This package contains commonly-used Korean font families.

Package: fonts-nanum-coding
Description-md5: 091385da9202fc0f6b1858c6ad63489f
Description-en: Nanum Coding fixed width Korean TrueType font
 Nanum font families are Korean font families which are intended for
 use on computer screens. These fonts are good with computer LCD
 monitors because they have used rich hinting techniques like subpixel
 rendering.
 .
 This package contains one Korean fixed width font family. This is
 especially designed for programmer's editors.

Package: fonts-nanum-eco
Description-md5: a8a56bb4f41890f80b96486c9090fc62
Description-en: Nanum Eco Korean font
 Nanum font families are Korean font families which are intended for
 use on computer screens. These fonts are good with computer LCD
 monitors because they have used rich hinting techniques like subpixel
 rendering.
 .
 Nanum Eco font is said to be environmentally friendly because its
 glyphs have been designed to be printed with less printer ink. The
 glyphs have many and tiny halls inside their strokes.

Package: fonts-nanum-extra
Description-md5: ea86e24c9ffc2c9e023fc2ea2f727f0a
Description-en: Additional Nanum Korean fonts
 Nanum font families are Korean font families which are intended for
 use on computer screens. These fonts are good with computer LCD
 monitors because they have used rich hinting techniques like subpixel
 rendering.
 .
 This package includes additional handwriting font families and
 extra-bold and light forms of the commonly-used Korean font families.

Package: fonts-naver-d2coding
Description-md5: 873071675d1b5fce8e757bace8f3df4c
Description-en: D2Coding fixed-width Korean font
 This package contains one Korean fixed width font family, D2Coding.
 .
 This is especially designed for programming, Based on Nanum
 BarunGothic font. The width of Korean glyphs is exactly dual spaced.
 And the shapes of alphabets, digits are symbols are more distinct.

Package: fonts-noto
Description-md5: 8e59a421e32fbd406134d4ff16fa20a7
Description-en: metapackage to pull in all Noto fonts
 Noto is a collection of font families,
 each visually harmonized across scripts.
 .
 Use this package if you want all Noto fonts.
 .
 The name "Noto" is short for "No Tofu",
 describing the aim of covering all living Unicode scripts
 (currently 67 are covered, at least partly.
 .
 Tofu (豆腐) is Japanese jargon
 for unicode replacement character "�" (U+FFFD)
 often displayed as replacement for unassigned or unknown characters.

Package: fonts-noto-extra
Description-md5: 4f6a37eac5839c912d3e1e7b58733253
Description-en: "No Tofu" font families with large Unicode coverage (extra)
 Noto is a collection of font families,
 each visually harmonized across scripts.
 .
 This package contains extra weights for these Noto font families:
 .
  * Noto Kufi Arabic Medium
  * Noto Kufi Arabic Semi bold
  * Noto Sans Arabic Blk
  * Noto Sans Arabic Cond
  * Noto Sans Arabic Cond Blk
  * Noto Sans Arabic Cond ExtBd
  * Noto Sans Arabic Cond ExtLt
  * Noto Sans Arabic Cond Light
  * Noto Sans Arabic Cond Med
  * Noto Sans Arabic Cond SemBd
  * Noto Sans Arabic Cond Thin
  * Noto Sans Arabic ExtBd
  * Noto Sans Arabic ExtCond
  * Noto Sans Arabic ExtCond Blk
  * Noto Sans Arabic ExtCond ExtBd
  * Noto Sans Arabic ExtCond ExtLt
  * Noto Sans Arabic ExtCond Light
  * Noto Sans Arabic ExtCond Med
  * Noto Sans Arabic ExtCond SemBd
  * Noto Sans Arabic ExtCond Thin
  * Noto Sans Arabic ExtLt
  * Noto Sans Arabic Light
  * Noto Sans Arabic Med
  * Noto Sans Arabic SemBd
  * Noto Sans Arabic SemCond
  * Noto Sans Arabic SemCond Blk
  * Noto Sans Arabic SemCond ExtBd
  * Noto Sans Arabic SemCond ExtLt
  * Noto Sans Arabic SemCond Light
  * Noto Sans Arabic SemCond Med
  * Noto Sans Arabic SemCond SemBd
  * Noto Sans Arabic SemCond Thin
  * Noto Sans Arabic Thin
  * Noto Sans Armenian Blk
  * Noto Sans Armenian Cond
  * Noto Sans Armenian Cond Blk
  * Noto Sans Armenian Cond ExtBd
  * Noto Sans Armenian Cond ExtLt
  * Noto Sans Armenian Cond Light
  * Noto Sans Armenian Cond Med
  * Noto Sans Armenian Cond SemBd
  * Noto Sans Armenian Cond Thin
  * Noto Sans Armenian ExtBd
  * Noto Sans Armenian ExtCond
  * Noto Sans Armenian ExtCond Blk
  * Noto Sans Armenian ExtCond ExtBd
  * Noto Sans Armenian ExtCond ExtLt
  * Noto Sans Armenian ExtCond Light
  * Noto Sans Armenian ExtCond Med
  * Noto Sans Armenian ExtCond SemBd
  * Noto Sans Armenian ExtCond Thin
  * Noto Sans Armenian ExtLt
  * Noto Sans Armenian Light
  * Noto Sans Armenian Med
  * Noto Sans Armenian SemBd
  * Noto Sans Armenian SemCond
  * Noto Sans Armenian SemCond Blk
  * Noto Sans Armenian SemCond ExtBd
  * Noto Sans Armenian SemCond ExtLt
  * Noto Sans Armenian SemCond Light
  * Noto Sans Armenian SemCond Med
  * Noto Sans Armenian SemCond SemBd
  * Noto Sans Armenian SemCond Thin
  * Noto Sans Armenian Thin
  * Noto Sans Bengali Blk
  * Noto Sans Bengali Cond
  * Noto Sans Bengali ExtBd
  * Noto Sans Bengali ExtCond
  * Noto Sans Bengali ExtLt
  * Noto Sans Bengali Light
  * Noto Sans Bengali Med
  * Noto Sans Bengali SemBd
  * Noto Sans Bengali SemCond
  * Noto Sans Bengali Thin
  * Noto Sans Black
  * Noto Sans CanAborig Bk
  * Noto Sans CanAborig Lt
  * Noto Sans CanAborig Md
  * Noto Sans CanAborig SmBd
  * Noto Sans CanAborig Th
  * Noto Sans CanAborig XBd
  * Noto Sans CanAborig XLt
  * Noto Sans Cham Blk
  * Noto Sans Cham ExtBd
  * Noto Sans Cham ExtLt
  * Noto Sans Cham Light
  * Noto Sans Cham Med
  * Noto Sans Cham SemBd
  * Noto Sans Cham Thin
  * Noto Sans Cherokee Blk
  * Noto Sans Cherokee ExtBd
  * Noto Sans Cherokee ExtLt
  * Noto Sans Cherokee Light
  * Noto Sans Cherokee Med
  * Noto Sans Cherokee SemBd
  * Noto Sans Cherokee Thin
  * Noto Sans Condensed
  * Noto Sans Condensed Black
  * Noto Sans Condensed ExtraBold
  * Noto Sans Condensed ExtraLight
  * Noto Sans Condensed Light
  * Noto Sans Condensed Medium
  * Noto Sans Condensed SemiBold
  * Noto Sans Condensed Thin
  * Noto Sans Devanagari Bk
  * Noto Sans Devanagari Cn
  * Noto Sans Devanagari Cn Bk
  * Noto Sans Devanagari Cn Lt
  * Noto Sans Devanagari Cn Md
  * Noto Sans Devanagari Cn SmBd
  * Noto Sans Devanagari Cn Th
  * Noto Sans Devanagari Cn XBd
  * Noto Sans Devanagari Cn XLt
  * Noto Sans Devanagari Lt
  * Noto Sans Devanagari Md
  * Noto Sans Devanagari SmBd
  * Noto Sans Devanagari SmCn
  * Noto Sans Devanagari SmCn Bk
  * Noto Sans Devanagari SmCn Lt
  * Noto Sans Devanagari SmCn Md
  * Noto Sans Devanagari SmCn SmBd
  * Noto Sans Devanagari SmCn Th
  * Noto Sans Devanagari SmCn XBd
  * Noto Sans Devanagari SmCn XLt
  * Noto Sans Devanagari Th
  * Noto Sans Devanagari XBd
  * Noto Sans Devanagari XCn
  * Noto Sans Devanagari XCn Bk
  * Noto Sans Devanagari XCn Lt
  * Noto Sans Devanagari XCn Md
  * Noto Sans Devanagari XCn SmBd
  * Noto Sans Devanagari XCn Th
  * Noto Sans Devanagari XCn XBd
  * Noto Sans Devanagari XCn XLt
  * Noto Sans Devanagari XLt
  * Noto Sans Display Black
  * Noto Sans Display Condensed
  * Noto Sans Display Condensed Black
  * Noto Sans Display Condensed ExtraBold
  * Noto Sans Display Condensed ExtraLight
  * Noto Sans Display Condensed Light
  * Noto Sans Display Condensed Medium
  * Noto Sans Display Condensed SemiBold
  * Noto Sans Display Condensed Thin
  * Noto Sans Display ExtraBold
  * Noto Sans Display ExtraCondensed
  * Noto Sans Display ExtraCondensed Black
  * Noto Sans Display ExtraCondensed ExtraBold
  * Noto Sans Display ExtraCondensed ExtraLight
  * Noto Sans Display ExtraCondensed Light
  * Noto Sans Display ExtraCondensed Medium
  * Noto Sans Display ExtraCondensed SemiBold
  * Noto Sans Display ExtraCondensed Thin
  * Noto Sans Display ExtraLight
  * Noto Sans Display Light
  * Noto Sans Display Medium
  * Noto Sans Display SemiBold
  * Noto Sans Display SemiCondensed
  * Noto Sans Display SemiCondensed Black
  * Noto Sans Display SemiCondensed ExtraBold
  * Noto Sans Display SemiCondensed ExtraLight
  * Noto Sans Display SemiCondensed Light
  * Noto Sans Display SemiCondensed Medium
  * Noto Sans Display SemiCondensed SemiBold
  * Noto Sans Display SemiCondensed Thin
  * Noto Sans Display Thin
  * Noto Sans Ethiopic Blk
  * Noto Sans Ethiopic Cond
  * Noto Sans Ethiopic Cond Blk
  * Noto Sans Ethiopic Cond ExtBd
  * Noto Sans Ethiopic Cond ExtLt
  * Noto Sans Ethiopic Cond Light
  * Noto Sans Ethiopic Cond Med
  * Noto Sans Ethiopic Cond SemBd
  * Noto Sans Ethiopic Cond Thin
  * Noto Sans Ethiopic ExtBd
  * Noto Sans Ethiopic ExtCond
  * Noto Sans Ethiopic ExtCond Blk
  * Noto Sans Ethiopic ExtCond ExtBd
  * Noto Sans Ethiopic ExtCond ExtLt
  * Noto Sans Ethiopic ExtCond Light
  * Noto Sans Ethiopic ExtCond Med
  * Noto Sans Ethiopic ExtCond SemBd
  * Noto Sans Ethiopic ExtCond Thin
  * Noto Sans Ethiopic ExtLt
  * Noto Sans Ethiopic Light
  * Noto Sans Ethiopic Med
  * Noto Sans Ethiopic SemBd
  * Noto Sans Ethiopic SemCond
  * Noto Sans Ethiopic SemCond Blk
  * Noto Sans Ethiopic SemCond ExtBd
  * Noto Sans Ethiopic SemCond ExtLt
  * Noto Sans Ethiopic SemCond Light
  * Noto Sans Ethiopic SemCond Med
  * Noto Sans Ethiopic SemCond SemBd
  * Noto Sans Ethiopic SemCond Thin
  * Noto Sans Ethiopic Thin
  * Noto Sans ExtraBold
  * Noto Sans ExtraCondensed
  * Noto Sans ExtraCondensed Black
  * Noto Sans ExtraCondensed ExtraBold
  * Noto Sans ExtraCondensed ExtraLight
  * Noto Sans ExtraCondensed Light
  * Noto Sans ExtraCondensed Medium
  * Noto Sans ExtraCondensed SemiBold
  * Noto Sans ExtraCondensed Thin
  * Noto Sans ExtraLight
  * Noto Sans Georgian Blk
  * Noto Sans Georgian Cond
  * Noto Sans Georgian Cond Blk
  * Noto Sans Georgian Cond ExtBd
  * Noto Sans Georgian Cond ExtLt
  * Noto Sans Georgian Cond Light
  * Noto Sans Georgian Cond Med
  * Noto Sans Georgian Cond SemBd
  * Noto Sans Georgian Cond Thin
  * Noto Sans Georgian ExtBd
  * Noto Sans Georgian ExtCond
  * Noto Sans Georgian ExtCond Blk
  * Noto Sans Georgian ExtCond ExtBd
  * Noto Sans Georgian ExtCond ExtLt
  * Noto Sans Georgian ExtCond Light
  * Noto Sans Georgian ExtCond Med
  * Noto Sans Georgian ExtCond SemBd
  * Noto Sans Georgian ExtCond Thin
  * Noto Sans Georgian ExtLt
  * Noto Sans Georgian Light
  * Noto Sans Georgian Med
  * Noto Sans Georgian SemBd
  * Noto Sans Georgian SemCond
  * Noto Sans Georgian SemCond Blk
  * Noto Sans Georgian SemCond ExtBd
  * Noto Sans Georgian SemCond ExtLt
  * Noto Sans Georgian SemCond Light
  * Noto Sans Georgian SemCond Med
  * Noto Sans Georgian SemCond SemBd
  * Noto Sans Georgian SemCond Thin
  * Noto Sans Georgian Thin
  * Noto Sans Gurmukhi Black
  * Noto Sans Gurmukhi Condensed
  * Noto Sans Gurmukhi Condensed Black
  * Noto Sans Gurmukhi Condensed ExtraBold
  * Noto Sans Gurmukhi Condensed ExtraLight
  * Noto Sans Gurmukhi Condensed Light
  * Noto Sans Gurmukhi Condensed Medium
  * Noto Sans Gurmukhi Condensed SemiBold
  * Noto Sans Gurmukhi Condensed Thin
  * Noto Sans Gurmukhi ExtraBold
  * Noto Sans Gurmukhi ExtraCondensed
  * Noto Sans Gurmukhi ExtraCondensed Black
  * Noto Sans Gurmukhi ExtraCondensed ExtraBold
  * Noto Sans Gurmukhi ExtraCondensed ExtraLight
  * Noto Sans Gurmukhi ExtraCondensed Light
  * Noto Sans Gurmukhi ExtraCondensed Medium
  * Noto Sans Gurmukhi ExtraCondensed SemiBold
  * Noto Sans Gurmukhi ExtraCondensed Thin
  * Noto Sans Gurmukhi ExtraLight
  * Noto Sans Gurmukhi Light
  * Noto Sans Gurmukhi Medium
  * Noto Sans Gurmukhi SemiBold
  * Noto Sans Gurmukhi SemiCondensed
  * Noto Sans Gurmukhi SemiCondensed Black
  * Noto Sans Gurmukhi SemiCondensed ExtraBold
  * Noto Sans Gurmukhi SemiCondensed ExtraLight
  * Noto Sans Gurmukhi SemiCondensed Light
  * Noto Sans Gurmukhi SemiCondensed Medium
  * Noto Sans Gurmukhi SemiCondensed SemiBold
  * Noto Sans Gurmukhi SemiCondensed Thin
  * Noto Sans Gurmukhi Thin
  * Noto Sans Hebrew Blk
  * Noto Sans Hebrew Cond
  * Noto Sans Hebrew Cond Blk
  * Noto Sans Hebrew Cond ExtBd
  * Noto Sans Hebrew Cond ExtLt
  * Noto Sans Hebrew Cond Light
  * Noto Sans Hebrew Cond Med
  * Noto Sans Hebrew Cond SemBd
  * Noto Sans Hebrew Cond Thin
  * Noto Sans Hebrew ExtBd
  * Noto Sans Hebrew ExtCond
  * Noto Sans Hebrew ExtCond Blk
  * Noto Sans Hebrew ExtCond ExtBd
  * Noto Sans Hebrew ExtCond ExtLt
  * Noto Sans Hebrew ExtCond Light
  * Noto Sans Hebrew ExtCond Med
  * Noto Sans Hebrew ExtCond SemBd
  * Noto Sans Hebrew ExtCond Thin
  * Noto Sans Hebrew ExtLt
  * Noto Sans Hebrew Light
  * Noto Sans Hebrew Med
  * Noto Sans Hebrew SemBd
  * Noto Sans Hebrew SemCond
  * Noto Sans Hebrew SemCond Blk
  * Noto Sans Hebrew SemCond ExtBd
  * Noto Sans Hebrew SemCond ExtLt
  * Noto Sans Hebrew SemCond Light
  * Noto Sans Hebrew SemCond Med
  * Noto Sans Hebrew SemCond SemBd
  * Noto Sans Hebrew SemCond Thin
  * Noto Sans Hebrew Thin
  * Noto Sans Kannada Black
  * Noto Sans Kannada Condensed
  * Noto Sans Kannada Condensed Black
  * Noto Sans Kannada Condensed ExtraBold
  * Noto Sans Kannada Condensed ExtraLight
  * Noto Sans Kannada Condensed Light
  * Noto Sans Kannada Condensed Medium
  * Noto Sans Kannada Condensed SemiBold
  * Noto Sans Kannada Condensed Thin
  * Noto Sans Kannada ExtraBold
  * Noto Sans Kannada ExtraCondensed
  * Noto Sans Kannada ExtraCondensed Black
  * Noto Sans Kannada ExtraCondensed ExtraBold
  * Noto Sans Kannada ExtraCondensed ExtraLight
  * Noto Sans Kannada ExtraCondensed Light
  * Noto Sans Kannada ExtraCondensed Medium
  * Noto Sans Kannada ExtraCondensed SemiBold
  * Noto Sans Kannada ExtraCondensed Thin
  * Noto Sans Kannada ExtraLight
  * Noto Sans Kannada Light
  * Noto Sans Kannada Medium
  * Noto Sans Kannada SemiBold
  * Noto Sans Kannada SemiCondensed
  * Noto Sans Kannada SemiCondensed Black
  * Noto Sans Kannada SemiCondensed ExtraBold
  * Noto Sans Kannada SemiCondensed ExtraLight
  * Noto Sans Kannada SemiCondensed Light
  * Noto Sans Kannada SemiCondensed Medium
  * Noto Sans Kannada SemiCondensed SemiBold
  * Noto Sans Kannada SemiCondensed Thin
  * Noto Sans Kannada Thin
  * Noto Sans Khmer Black
  * Noto Sans Khmer Condensed
  * Noto Sans Khmer Condensed Black
  * Noto Sans Khmer Condensed ExtraBold
  * Noto Sans Khmer Condensed ExtraLight
  * Noto Sans Khmer Condensed Light
  * Noto Sans Khmer Condensed Medium
  * Noto Sans Khmer Condensed SemiBold
  * Noto Sans Khmer Condensed Thin
  * Noto Sans Khmer ExtraBold
  * Noto Sans Khmer ExtraCondensed
  * Noto Sans Khmer ExtraCondensed Black
  * Noto Sans Khmer ExtraCondensed ExtraBold
  * Noto Sans Khmer ExtraCondensed ExtraLight
  * Noto Sans Khmer ExtraCondensed Light
  * Noto Sans Khmer ExtraCondensed Medium
  * Noto Sans Khmer ExtraCondensed SemiBold
  * Noto Sans Khmer ExtraCondensed Thin
  * Noto Sans Khmer ExtraLight
  * Noto Sans Khmer Light
  * Noto Sans Khmer Medium
  * Noto Sans Khmer SemiBold
  * Noto Sans Khmer SemiCondensed
  * Noto Sans Khmer SemiCondensed Black
  * Noto Sans Khmer SemiCondensed ExtraBold
  * Noto Sans Khmer SemiCondensed ExtraLight
  * Noto Sans Khmer SemiCondensed Light
  * Noto Sans Khmer SemiCondensed Medium
  * Noto Sans Khmer SemiCondensed SemiBold
  * Noto Sans Khmer SemiCondensed Thin
  * Noto Sans Khmer Thin
  * Noto Sans Lao Blk
  * Noto Sans Lao Cond
  * Noto Sans Lao Cond Blk
  * Noto Sans Lao Cond ExtBd
  * Noto Sans Lao Cond ExtLt
  * Noto Sans Lao Cond Light
  * Noto Sans Lao Cond Med
  * Noto Sans Lao Cond SemBd
  * Noto Sans Lao Cond Thin
  * Noto Sans Lao ExtBd
  * Noto Sans Lao ExtCond
  * Noto Sans Lao ExtCond Blk
  * Noto Sans Lao ExtCond ExtBd
  * Noto Sans Lao ExtCond ExtLt
  * Noto Sans Lao ExtCond Light
  * Noto Sans Lao ExtCond Med
  * Noto Sans Lao ExtCond SemBd
  * Noto Sans Lao ExtCond Thin
  * Noto Sans Lao ExtLt
  * Noto Sans Lao Light
  * Noto Sans Lao Med
  * Noto Sans Lao SemBd
  * Noto Sans Lao SemCond
  * Noto Sans Lao SemCond Blk
  * Noto Sans Lao SemCond ExtBd
  * Noto Sans Lao SemCond ExtLt
  * Noto Sans Lao SemCond Light
  * Noto Sans Lao SemCond Med
  * Noto Sans Lao SemCond SemBd
  * Noto Sans Lao SemCond Thin
  * Noto Sans Lao Thin
  * Noto Sans Light
  * Noto Sans Malayalam Black
  * Noto Sans Malayalam Condensed
  * Noto Sans Malayalam Condensed Black
  * Noto Sans Malayalam Condensed ExtraBold
  * Noto Sans Malayalam Condensed ExtraLight
  * Noto Sans Malayalam Condensed Light
  * Noto Sans Malayalam Condensed Medium
  * Noto Sans Malayalam Condensed SemiBold
  * Noto Sans Malayalam Condensed Thin
  * Noto Sans Malayalam ExtraBold
  * Noto Sans Malayalam ExtraCondensed
  * Noto Sans Malayalam ExtraCondensed Black
  * Noto Sans Malayalam ExtraCondensed ExtraBold
  * Noto Sans Malayalam ExtraCondensed ExtraLight
  * Noto Sans Malayalam ExtraCondensed Light
  * Noto Sans Malayalam ExtraCondensed Medium
  * Noto Sans Malayalam ExtraCondensed SemiBold
  * Noto Sans Malayalam ExtraCondensed Thin
  * Noto Sans Malayalam ExtraLight
  * Noto Sans Malayalam Light
  * Noto Sans Malayalam Medium
  * Noto Sans Malayalam SemiBold
  * Noto Sans Malayalam SemiCondensed
  * Noto Sans Malayalam SemiCondensed Black
  * Noto Sans Malayalam SemiCondensed ExtraBold
  * Noto Sans Malayalam SemiCondensed ExtraLight
  * Noto Sans Malayalam SemiCondensed Light
  * Noto Sans Malayalam SemiCondensed Medium
  * Noto Sans Malayalam SemiCondensed SemiBold
  * Noto Sans Malayalam SemiCondensed Thin
  * Noto Sans Malayalam Thin
  * Noto Sans Medium
  * Noto Sans Mono Black
  * Noto Sans Mono Condensed
  * Noto Sans Mono Condensed Black
  * Noto Sans Mono Condensed ExtraBold
  * Noto Sans Mono Condensed ExtraLight
  * Noto Sans Mono Condensed Light
  * Noto Sans Mono Condensed Medium
  * Noto Sans Mono Condensed SemiBold
  * Noto Sans Mono Condensed Thin
  * Noto Sans Mono ExtraBold
  * Noto Sans Mono ExtraCondensed
  * Noto Sans Mono ExtraCondensed Black
  * Noto Sans Mono ExtraCondensed ExtraBold
  * Noto Sans Mono ExtraCondensed ExtraLight
  * Noto Sans Mono ExtraCondensed Light
  * Noto Sans Mono ExtraCondensed Medium
  * Noto Sans Mono ExtraCondensed SemiBold
  * Noto Sans Mono ExtraCondensed Thin
  * Noto Sans Mono ExtraLight
  * Noto Sans Mono Light
  * Noto Sans Mono Medium
  * Noto Sans Mono SemiBold
  * Noto Sans Mono SemiCondensed
  * Noto Sans Mono SemiCondensed Black
  * Noto Sans Mono SemiCondensed ExtraBold
  * Noto Sans Mono SemiCondensed ExtraLight
  * Noto Sans Mono SemiCondensed Light
  * Noto Sans Mono SemiCondensed Medium
  * Noto Sans Mono SemiCondensed SemiBold
  * Noto Sans Mono SemiCondensed Thin
  * Noto Sans Mono Thin
  * Noto Sans Myanmar Blk
  * Noto Sans Myanmar Cond
  * Noto Sans Myanmar Cond Blk
  * Noto Sans Myanmar Cond ExtBd
  * Noto Sans Myanmar Cond ExtLt
  * Noto Sans Myanmar Cond Light
  * Noto Sans Myanmar Cond Med
  * Noto Sans Myanmar Cond SemBd
  * Noto Sans Myanmar Cond Thin
  * Noto Sans Myanmar ExtBd
  * Noto Sans Myanmar ExtCond
  * Noto Sans Myanmar ExtCond Blk
  * Noto Sans Myanmar ExtCond ExtBd
  * Noto Sans Myanmar ExtCond ExtLt
  * Noto Sans Myanmar ExtCond Light
  * Noto Sans Myanmar ExtCond Med
  * Noto Sans Myanmar ExtCond SemBd
  * Noto Sans Myanmar ExtCond Thin
  * Noto Sans Myanmar ExtLt
  * Noto Sans Myanmar Light
  * Noto Sans Myanmar Med
  * Noto Sans Myanmar SemBd
  * Noto Sans Myanmar SemCond
  * Noto Sans Myanmar SemCond Blk
  * Noto Sans Myanmar SemCond ExtBd
  * Noto Sans Myanmar SemCond ExtLt
  * Noto Sans Myanmar SemCond Light
  * Noto Sans Myanmar SemCond Med
  * Noto Sans Myanmar SemCond SemBd
  * Noto Sans Myanmar SemCond Thin
  * Noto Sans Myanmar Thin
  * Noto Sans SemiBold
  * Noto Sans SemiCondensed
  * Noto Sans SemiCondensed Black
  * Noto Sans SemiCondensed ExtraBold
  * Noto Sans SemiCondensed ExtraLight
  * Noto Sans SemiCondensed Light
  * Noto Sans SemiCondensed Medium
  * Noto Sans SemiCondensed SemiBold
  * Noto Sans SemiCondensed Thin
  * Noto Sans Sinhala Blk
  * Noto Sans Sinhala Cond
  * Noto Sans Sinhala Cond Blk
  * Noto Sans Sinhala Cond ExtBd
  * Noto Sans Sinhala Cond ExtLt
  * Noto Sans Sinhala Cond Light
  * Noto Sans Sinhala Cond Med
  * Noto Sans Sinhala Cond SemBd
  * Noto Sans Sinhala Cond Thin
  * Noto Sans Sinhala ExtBd
  * Noto Sans Sinhala ExtCond
  * Noto Sans Sinhala ExtCond Blk
  * Noto Sans Sinhala ExtCond ExtBd
  * Noto Sans Sinhala ExtCond ExtLt
  * Noto Sans Sinhala ExtCond Light
  * Noto Sans Sinhala ExtCond Med
  * Noto Sans Sinhala ExtCond SemBd
  * Noto Sans Sinhala ExtCond Thin
  * Noto Sans Sinhala ExtLt
  * Noto Sans Sinhala Light
  * Noto Sans Sinhala Med
  * Noto Sans Sinhala SemBd
  * Noto Sans Sinhala SemCond
  * Noto Sans Sinhala SemCond Blk
  * Noto Sans Sinhala SemCond ExtBd
  * Noto Sans Sinhala SemCond ExtLt
  * Noto Sans Sinhala SemCond Light
  * Noto Sans Sinhala SemCond Med
  * Noto Sans Sinhala SemCond SemBd
  * Noto Sans Sinhala SemCond Thin
  * Noto Sans Sinhala Thin
  * Noto Sans Symbols Blk
  * Noto Sans Symbols ExtBd
  * Noto Sans Symbols ExtLt
  * Noto Sans Symbols Light
  * Noto Sans Symbols Med
  * Noto Sans Symbols SemBd
  * Noto Sans Symbols Thin
  * Noto Sans Syriac Black
  * Noto Sans Syriac Thin
  * Noto Sans Tamil Blk
  * Noto Sans Tamil Cond
  * Noto Sans Tamil Cond Blk
  * Noto Sans Tamil Cond ExtBd
  * Noto Sans Tamil Cond ExtLt
  * Noto Sans Tamil Cond Light
  * Noto Sans Tamil Cond Med
  * Noto Sans Tamil Cond SemBd
  * Noto Sans Tamil Cond Thin
  * Noto Sans Tamil ExtBd
  * Noto Sans Tamil ExtCond
  * Noto Sans Tamil ExtCond Blk
  * Noto Sans Tamil ExtCond ExtBd
  * Noto Sans Tamil ExtCond ExtLt
  * Noto Sans Tamil ExtCond Light
  * Noto Sans Tamil ExtCond Med
  * Noto Sans Tamil ExtCond SemBd
  * Noto Sans Tamil ExtCond Thin
  * Noto Sans Tamil ExtLt
  * Noto Sans Tamil Light
  * Noto Sans Tamil Med
  * Noto Sans Tamil SemBd
  * Noto Sans Tamil SemCond
  * Noto Sans Tamil SemCond Blk
  * Noto Sans Tamil SemCond ExtBd
  * Noto Sans Tamil SemCond ExtLt
  * Noto Sans Tamil SemCond Light
  * Noto Sans Tamil SemCond Med
  * Noto Sans Tamil SemCond SemBd
  * Noto Sans Tamil SemCond Thin
  * Noto Sans Tamil Thin
  * Noto Sans Telugu Black
  * Noto Sans Telugu Condensed
  * Noto Sans Telugu Condensed Black
  * Noto Sans Telugu Condensed ExtraBold
  * Noto Sans Telugu Condensed ExtraLight
  * Noto Sans Telugu Condensed Light
  * Noto Sans Telugu Condensed Medium
  * Noto Sans Telugu Condensed SemiBold
  * Noto Sans Telugu Condensed Thin
  * Noto Sans Telugu ExtraBold
  * Noto Sans Telugu ExtraCondensed
  * Noto Sans Telugu ExtraCondensed Black
  * Noto Sans Telugu ExtraCondensed ExtraBold
  * Noto Sans Telugu ExtraCondensed ExtraLight
  * Noto Sans Telugu ExtraCondensed Light
  * Noto Sans Telugu ExtraCondensed Medium
  * Noto Sans Telugu ExtraCondensed SemiBold
  * Noto Sans Telugu ExtraCondensed Thin
  * Noto Sans Telugu ExtraLight
  * Noto Sans Telugu Light
  * Noto Sans Telugu Medium
  * Noto Sans Telugu SemiBold
  * Noto Sans Telugu SemiCondensed
  * Noto Sans Telugu SemiCondensed Black
  * Noto Sans Telugu SemiCondensed ExtraBold
  * Noto Sans Telugu SemiCondensed ExtraLight
  * Noto Sans Telugu SemiCondensed Light
  * Noto Sans Telugu SemiCondensed Medium
  * Noto Sans Telugu SemiCondensed SemiBold
  * Noto Sans Telugu SemiCondensed Thin
  * Noto Sans Telugu Thin
  * Noto Sans Thaana Black
  * Noto Sans Thaana ExtraBold
  * Noto Sans Thaana ExtraLight
  * Noto Sans Thaana Light
  * Noto Sans Thaana Medium
  * Noto Sans Thaana SemiBold
  * Noto Sans Thaana Thin
  * Noto Sans Thai Blk
  * Noto Sans Thai Cond
  * Noto Sans Thai Cond Blk
  * Noto Sans Thai Cond ExtBd
  * Noto Sans Thai Cond ExtLt
  * Noto Sans Thai Cond Light
  * Noto Sans Thai Cond Med
  * Noto Sans Thai Cond SemBd
  * Noto Sans Thai Cond Thin
  * Noto Sans Thai ExtBd
  * Noto Sans Thai ExtCond
  * Noto Sans Thai ExtCond Blk
  * Noto Sans Thai ExtCond ExtBd
  * Noto Sans Thai ExtCond ExtLt
  * Noto Sans Thai ExtCond Light
  * Noto Sans Thai ExtCond Med
  * Noto Sans Thai ExtCond SemBd
  * Noto Sans Thai ExtCond Thin
  * Noto Sans Thai ExtLt
  * Noto Sans Thai Light
  * Noto Sans Thai Med
  * Noto Sans Thai SemBd
  * Noto Sans Thai SemCond
  * Noto Sans Thai SemCond Blk
  * Noto Sans Thai SemCond ExtBd
  * Noto Sans Thai SemCond ExtLt
  * Noto Sans Thai SemCond Light
  * Noto Sans Thai SemCond Med
  * Noto Sans Thai SemCond SemBd
  * Noto Sans Thai SemCond Thin
  * Noto Sans Thai Thin
  * Noto Sans Thin
  * Noto Serif Armenian Bk
  * Noto Serif Armenian Cn
  * Noto Serif Armenian Cn Bk
  * Noto Serif Armenian Cn Lt
  * Noto Serif Armenian Cn Md
  * Noto Serif Armenian Cn SmBd
  * Noto Serif Armenian Cn Th
  * Noto Serif Armenian Cn XBd
  * Noto Serif Armenian Cn XLt
  * Noto Serif Armenian Lt
  * Noto Serif Armenian Md
  * Noto Serif Armenian SmBd
  * Noto Serif Armenian SmCn
  * Noto Serif Armenian SmCn Bk
  * Noto Serif Armenian SmCn Lt
  * Noto Serif Armenian SmCn Md
  * Noto Serif Armenian SmCn SmBd
  * Noto Serif Armenian SmCn Th
  * Noto Serif Armenian SmCn XBd
  * Noto Serif Armenian SmCn XLt
  * Noto Serif Armenian Th
  * Noto Serif Armenian XBd
  * Noto Serif Armenian XCn
  * Noto Serif Armenian XCn Bk
  * Noto Serif Armenian XCn Lt
  * Noto Serif Armenian XCn Md
  * Noto Serif Armenian XCn SmBd
  * Noto Serif Armenian XCn Th
  * Noto Serif Armenian XCn XBd
  * Noto Serif Armenian XCn XLt
  * Noto Serif Armenian XLt
  * Noto Serif Bengali Black
  * Noto Serif Bengali Condensed
  * Noto Serif Bengali Condensed Black
  * Noto Serif Bengali Condensed ExtraBold
  * Noto Serif Bengali Condensed ExtraLight
  * Noto Serif Bengali Condensed Light
  * Noto Serif Bengali Condensed Medium
  * Noto Serif Bengali Condensed SemiBold
  * Noto Serif Bengali Condensed Thin
  * Noto Serif Bengali ExtraBold
  * Noto Serif Bengali ExtraCondensed
  * Noto Serif Bengali ExtraCondensed Black
  * Noto Serif Bengali ExtraCondensed ExtraBold
  * Noto Serif Bengali ExtraCondensed ExtraLight
  * Noto Serif Bengali ExtraCondensed Light
  * Noto Serif Bengali ExtraCondensed Medium
  * Noto Serif Bengali ExtraCondensed SemiBold
  * Noto Serif Bengali ExtraCondensed Thin
  * Noto Serif Bengali ExtraLight
  * Noto Serif Bengali Light
  * Noto Serif Bengali Medium
  * Noto Serif Bengali SemiBold
  * Noto Serif Bengali SemiCondensed
  * Noto Serif Bengali SemiCondensed Black
  * Noto Serif Bengali SemiCondensed ExtraBold
  * Noto Serif Bengali SemiCondensed ExtraLight
  * Noto Serif Bengali SemiCondensed Light
  * Noto Serif Bengali SemiCondensed Medium
  * Noto Serif Bengali SemiCondensed SemiBold
  * Noto Serif Bengali SemiCondensed Thin
  * Noto Serif Bengali Thin
  * Noto Serif Black
  * Noto Serif Condensed
  * Noto Serif Condensed Black
  * Noto Serif Condensed ExtraBold
  * Noto Serif Condensed ExtraLight
  * Noto Serif Condensed Light
  * Noto Serif Condensed Medium
  * Noto Serif Condensed SemiBold
  * Noto Serif Condensed Thin
  * Noto Serif Devanagari Black
  * Noto Serif Devanagari Condensed
  * Noto Serif Devanagari Condensed Black
  * Noto Serif Devanagari Condensed ExtraBold
  * Noto Serif Devanagari Condensed ExtraLight
  * Noto Serif Devanagari Condensed Light
  * Noto Serif Devanagari Condensed Medium
  * Noto Serif Devanagari Condensed SemiBold
  * Noto Serif Devanagari Condensed Thin
  * Noto Serif Devanagari ExtraBold
  * Noto Serif Devanagari ExtraCondensed
  * Noto Serif Devanagari ExtraCondensed Black
  * Noto Serif Devanagari ExtraCondensed ExtraBold
  * Noto Serif Devanagari ExtraCondensed ExtraLight
  * Noto Serif Devanagari ExtraCondensed Light
  * Noto Serif Devanagari ExtraCondensed Medium
  * Noto Serif Devanagari ExtraCondensed SemiBold
  * Noto Serif Devanagari ExtraCondensed Thin
  * Noto Serif Devanagari ExtraLight
  * Noto Serif Devanagari Light
  * Noto Serif Devanagari Medium
  * Noto Serif Devanagari SemiBold
  * Noto Serif Devanagari SemiCondensed
  * Noto Serif Devanagari SemiCondensed Black
  * Noto Serif Devanagari SemiCondensed ExtraBold
  * Noto Serif Devanagari SemiCondensed ExtraLight
  * Noto Serif Devanagari SemiCondensed Light
  * Noto Serif Devanagari SemiCondensed Medium
  * Noto Serif Devanagari SemiCondensed SemiBold
  * Noto Serif Devanagari SemiCondensed Thin
  * Noto Serif Devanagari Thin
  * Noto Serif Display Black
  * Noto Serif Display Condensed
  * Noto Serif Display Condensed Black
  * Noto Serif Display Condensed ExtraBold
  * Noto Serif Display Condensed ExtraLight
  * Noto Serif Display Condensed Light
  * Noto Serif Display Condensed Medium
  * Noto Serif Display Condensed SemiBold
  * Noto Serif Display Condensed Thin
  * Noto Serif Display ExtraBold
  * Noto Serif Display ExtraCondensed
  * Noto Serif Display ExtraCondensed Black
  * Noto Serif Display ExtraCondensed ExtraBold
  * Noto Serif Display ExtraCondensed ExtraLight
  * Noto Serif Display ExtraCondensed Light
  * Noto Serif Display ExtraCondensed Medium
  * Noto Serif Display ExtraCondensed SemiBold
  * Noto Serif Display ExtraCondensed Thin
  * Noto Serif Display ExtraLight
  * Noto Serif Display Light
  * Noto Serif Display Medium
  * Noto Serif Display SemiBold
  * Noto Serif Display SemiCondensed
  * Noto Serif Display SemiCondensed Black
  * Noto Serif Display SemiCondensed ExtraBold
  * Noto Serif Display SemiCondensed ExtraLight
  * Noto Serif Display SemiCondensed Light
  * Noto Serif Display SemiCondensed Medium
  * Noto Serif Display SemiCondensed SemiBold
  * Noto Serif Display SemiCondensed Thin
  * Noto Serif Display Thin
  * Noto Serif Ethiopic Bk
  * Noto Serif Ethiopic Cn
  * Noto Serif Ethiopic Cn Bk
  * Noto Serif Ethiopic Cn Lt
  * Noto Serif Ethiopic Cn Md
  * Noto Serif Ethiopic Cn SmBd
  * Noto Serif Ethiopic Cn Th
  * Noto Serif Ethiopic Cn XBd
  * Noto Serif Ethiopic Cn XLt
  * Noto Serif Ethiopic Lt
  * Noto Serif Ethiopic Md
  * Noto Serif Ethiopic SmBd
  * Noto Serif Ethiopic SmCn
  * Noto Serif Ethiopic SmCn Bk
  * Noto Serif Ethiopic SmCn Lt
  * Noto Serif Ethiopic SmCn Md
  * Noto Serif Ethiopic SmCn SmBd
  * Noto Serif Ethiopic SmCn Th
  * Noto Serif Ethiopic SmCn XBd
  * Noto Serif Ethiopic SmCn XLt
  * Noto Serif Ethiopic Th
  * Noto Serif Ethiopic XBd
  * Noto Serif Ethiopic XCn
  * Noto Serif Ethiopic XCn Bk
  * Noto Serif Ethiopic XCn Lt
  * Noto Serif Ethiopic XCn Md
  * Noto Serif Ethiopic XCn SmBd
  * Noto Serif Ethiopic XCn Th
  * Noto Serif Ethiopic XCn XBd
  * Noto Serif Ethiopic XCn XLt
  * Noto Serif Ethiopic XLt
  * Noto Serif ExtraBold
  * Noto Serif ExtraCondensed
  * Noto Serif ExtraCondensed Black
  * Noto Serif ExtraCondensed ExtraBold
  * Noto Serif ExtraCondensed ExtraLight
  * Noto Serif ExtraCondensed Light
  * Noto Serif ExtraCondensed Medium
  * Noto Serif ExtraCondensed SemiBold
  * Noto Serif ExtraCondensed Thin
  * Noto Serif ExtraLight
  * Noto Serif Georgian Bk
  * Noto Serif Georgian Cn
  * Noto Serif Georgian Cn Bk
  * Noto Serif Georgian Cn Lt
  * Noto Serif Georgian Cn Md
  * Noto Serif Georgian Cn SmBd
  * Noto Serif Georgian Cn Th
  * Noto Serif Georgian Cn XBd
  * Noto Serif Georgian Cn XLt
  * Noto Serif Georgian Lt
  * Noto Serif Georgian Md
  * Noto Serif Georgian SmBd
  * Noto Serif Georgian SmCn
  * Noto Serif Georgian SmCn Bk
  * Noto Serif Georgian SmCn Lt
  * Noto Serif Georgian SmCn Md
  * Noto Serif Georgian SmCn SmBd
  * Noto Serif Georgian SmCn Th
  * Noto Serif Georgian SmCn XBd
  * Noto Serif Georgian SmCn XLt
  * Noto Serif Georgian Th
  * Noto Serif Georgian XBd
  * Noto Serif Georgian XCn
  * Noto Serif Georgian XCn Bk
  * Noto Serif Georgian XCn Lt
  * Noto Serif Georgian XCn Md
  * Noto Serif Georgian XCn SmBd
  * Noto Serif Georgian XCn Th
  * Noto Serif Georgian XCn XBd
  * Noto Serif Georgian XCn XLt
  * Noto Serif Georgian XLt
  * Noto Serif Gujarati Black
  * Noto Serif Gujarati ExtraBold
  * Noto Serif Gujarati ExtraLight
  * Noto Serif Gujarati Light
  * Noto Serif Gujarati Medium
  * Noto Serif Gujarati SemiBold
  * Noto Serif Gujarati Thin
  * Noto Serif Gurmukhi Black
  * Noto Serif Gurmukhi ExtraBold
  * Noto Serif Gurmukhi ExtraLight
  * Noto Serif Gurmukhi Light
  * Noto Serif Gurmukhi Medium
  * Noto Serif Gurmukhi SemiBold
  * Noto Serif Gurmukhi Thin
  * Noto Serif Hebrew Blk
  * Noto Serif Hebrew Cond
  * Noto Serif Hebrew Cond Blk
  * Noto Serif Hebrew Cond ExtBd
  * Noto Serif Hebrew Cond ExtLt
  * Noto Serif Hebrew Cond Light
  * Noto Serif Hebrew Cond Med
  * Noto Serif Hebrew Cond SemBd
  * Noto Serif Hebrew Cond Thin
  * Noto Serif Hebrew ExtBd
  * Noto Serif Hebrew ExtCond
  * Noto Serif Hebrew ExtCond Blk
  * Noto Serif Hebrew ExtCond ExtBd
  * Noto Serif Hebrew ExtCond ExtLt
  * Noto Serif Hebrew ExtCond Light
  * Noto Serif Hebrew ExtCond Med
  * Noto Serif Hebrew ExtCond SemBd
  * Noto Serif Hebrew ExtCond Thin
  * Noto Serif Hebrew ExtLt
  * Noto Serif Hebrew Light
  * Noto Serif Hebrew Med
  * Noto Serif Hebrew SemBd
  * Noto Serif Hebrew SemCond
  * Noto Serif Hebrew SemCond Blk
  * Noto Serif Hebrew SemCond ExtBd
  * Noto Serif Hebrew SemCond ExtLt
  * Noto Serif Hebrew SemCond Light
  * Noto Serif Hebrew SemCond Med
  * Noto Serif Hebrew SemCond SemBd
  * Noto Serif Hebrew SemCond Thin
  * Noto Serif Hebrew Thin
  * Noto Serif Kannada Black
  * Noto Serif Kannada ExtraBold
  * Noto Serif Kannada ExtraLight
  * Noto Serif Kannada Light
  * Noto Serif Kannada Medium
  * Noto Serif Kannada SemiBold
  * Noto Serif Kannada Thin
  * Noto Serif Khmer Black
  * Noto Serif Khmer Condensed
  * Noto Serif Khmer Condensed Black
  * Noto Serif Khmer Condensed ExtraBold
  * Noto Serif Khmer Condensed ExtraLight
  * Noto Serif Khmer Condensed Light
  * Noto Serif Khmer Condensed Medium
  * Noto Serif Khmer Condensed SemiBold
  * Noto Serif Khmer Condensed Thin
  * Noto Serif Khmer ExtraBold
  * Noto Serif Khmer ExtraCondensed
  * Noto Serif Khmer ExtraCondensed Black
  * Noto Serif Khmer ExtraCondensed ExtraBold
  * Noto Serif Khmer ExtraCondensed ExtraLight
  * Noto Serif Khmer ExtraCondensed Light
  * Noto Serif Khmer ExtraCondensed Medium
  * Noto Serif Khmer ExtraCondensed SemiBold
  * Noto Serif Khmer ExtraCondensed Thin
  * Noto Serif Khmer ExtraLight
  * Noto Serif Khmer Light
  * Noto Serif Khmer Medium
  * Noto Serif Khmer SemiBold
  * Noto Serif Khmer SemiCondensed
  * Noto Serif Khmer SemiCondensed Black
  * Noto Serif Khmer SemiCondensed ExtraBold
  * Noto Serif Khmer SemiCondensed ExtraLight
  * Noto Serif Khmer SemiCondensed Light
  * Noto Serif Khmer SemiCondensed Medium
  * Noto Serif Khmer SemiCondensed SemiBold
  * Noto Serif Khmer SemiCondensed Thin
  * Noto Serif Khmer Thin
  * Noto Serif Lao Blk
  * Noto Serif Lao Cond
  * Noto Serif Lao Cond Blk
  * Noto Serif Lao Cond ExtBd
  * Noto Serif Lao Cond ExtLt
  * Noto Serif Lao Cond Light
  * Noto Serif Lao Cond Med
  * Noto Serif Lao Cond SemBd
  * Noto Serif Lao Cond Thin
  * Noto Serif Lao ExtBd
  * Noto Serif Lao ExtCond
  * Noto Serif Lao ExtCond Blk
  * Noto Serif Lao ExtCond ExtBd
  * Noto Serif Lao ExtCond ExtLt
  * Noto Serif Lao ExtCond Light
  * Noto Serif Lao ExtCond Med
  * Noto Serif Lao ExtCond SemBd
  * Noto Serif Lao ExtCond Thin
  * Noto Serif Lao ExtLt
  * Noto Serif Lao Light
  * Noto Serif Lao Med
  * Noto Serif Lao SemBd
  * Noto Serif Lao SemCond
  * Noto Serif Lao SemCond Blk
  * Noto Serif Lao SemCond ExtBd
  * Noto Serif Lao SemCond ExtLt
  * Noto Serif Lao SemCond Light
  * Noto Serif Lao SemCond Med
  * Noto Serif Lao SemCond SemBd
  * Noto Serif Lao SemCond Thin
  * Noto Serif Lao Thin
  * Noto Serif Light
  * Noto Serif Malayalam Black
  * Noto Serif Malayalam ExtraBold
  * Noto Serif Malayalam ExtraLight
  * Noto Serif Malayalam Light
  * Noto Serif Malayalam Medium
  * Noto Serif Malayalam SemiBold
  * Noto Serif Malayalam Thin
  * Noto Serif Medium
  * Noto Serif Myanmar Blk
  * Noto Serif Myanmar Cond
  * Noto Serif Myanmar Cond Blk
  * Noto Serif Myanmar Cond ExtBd
  * Noto Serif Myanmar Cond ExtLt
  * Noto Serif Myanmar Cond Light
  * Noto Serif Myanmar Cond Med
  * Noto Serif Myanmar Cond SemBd
  * Noto Serif Myanmar Cond Thin
  * Noto Serif Myanmar ExtBd
  * Noto Serif Myanmar ExtCond
  * Noto Serif Myanmar ExtCond Blk
  * Noto Serif Myanmar ExtCond ExtBd
  * Noto Serif Myanmar ExtCond ExtLt
  * Noto Serif Myanmar ExtCond Light
  * Noto Serif Myanmar ExtCond Med
  * Noto Serif Myanmar ExtCond SemBd
  * Noto Serif Myanmar ExtCond Thin
  * Noto Serif Myanmar ExtLt
  * Noto Serif Myanmar Light
  * Noto Serif Myanmar Med
  * Noto Serif Myanmar SemBd
  * Noto Serif Myanmar SemCond
  * Noto Serif Myanmar SemCond Blk
  * Noto Serif Myanmar SemCond ExtBd
  * Noto Serif Myanmar SemCond ExtLt
  * Noto Serif Myanmar SemCond Light
  * Noto Serif Myanmar SemCond Med
  * Noto Serif Myanmar SemCond SemBd
  * Noto Serif Myanmar SemCond Thin
  * Noto Serif Myanmar Thin
  * Noto Serif SemiBold
  * Noto Serif SemiCondensed
  * Noto Serif SemiCondensed Black
  * Noto Serif SemiCondensed ExtraBold
  * Noto Serif SemiCondensed ExtraLight
  * Noto Serif SemiCondensed Light
  * Noto Serif SemiCondensed Medium
  * Noto Serif SemiCondensed SemiBold
  * Noto Serif SemiCondensed Thin
  * Noto Serif Sinhala Black
  * Noto Serif Sinhala Condensed
  * Noto Serif Sinhala Condensed Black
  * Noto Serif Sinhala Condensed ExtraBold
  * Noto Serif Sinhala Condensed ExtraLight
  * Noto Serif Sinhala Condensed Light
  * Noto Serif Sinhala Condensed Medium
  * Noto Serif Sinhala Condensed SemiBold
  * Noto Serif Sinhala Condensed Thin
  * Noto Serif Sinhala ExtraBold
  * Noto Serif Sinhala ExtraCondensed
  * Noto Serif Sinhala ExtraCondensed Black
  * Noto Serif Sinhala ExtraCondensed ExtraBold
  * Noto Serif Sinhala ExtraCondensed ExtraLight
  * Noto Serif Sinhala ExtraCondensed Light
  * Noto Serif Sinhala ExtraCondensed Medium
  * Noto Serif Sinhala ExtraCondensed SemiBold
  * Noto Serif Sinhala ExtraCondensed Thin
  * Noto Serif Sinhala ExtraLight
  * Noto Serif Sinhala Light
  * Noto Serif Sinhala Medium
  * Noto Serif Sinhala SemiBold
  * Noto Serif Sinhala SemiCondensed
  * Noto Serif Sinhala SemiCondensed Black
  * Noto Serif Sinhala SemiCondensed ExtraBold
  * Noto Serif Sinhala SemiCondensed ExtraLight
  * Noto Serif Sinhala SemiCondensed Light
  * Noto Serif Sinhala SemiCondensed Medium
  * Noto Serif Sinhala SemiCondensed SemiBold
  * Noto Serif Sinhala SemiCondensed Thin
  * Noto Serif Sinhala Thin
  * Noto Serif Tamil Blk
  * Noto Serif Tamil Cond
  * Noto Serif Tamil Cond Blk
  * Noto Serif Tamil Cond ExtBd
  * Noto Serif Tamil Cond ExtLt
  * Noto Serif Tamil Cond Light
  * Noto Serif Tamil Cond Med
  * Noto Serif Tamil Cond SemBd
  * Noto Serif Tamil Cond Thin
  * Noto Serif Tamil ExtBd
  * Noto Serif Tamil ExtCond
  * Noto Serif Tamil ExtCond Blk
  * Noto Serif Tamil ExtCond ExtBd
  * Noto Serif Tamil ExtCond ExtLt
  * Noto Serif Tamil ExtCond Light
  * Noto Serif Tamil ExtCond Med
  * Noto Serif Tamil ExtCond SemBd
  * Noto Serif Tamil ExtCond Thin
  * Noto Serif Tamil ExtLt
  * Noto Serif Tamil Light
  * Noto Serif Tamil Med
  * Noto Serif Tamil SemBd
  * Noto Serif Tamil SemCond
  * Noto Serif Tamil SemCond Blk
  * Noto Serif Tamil SemCond ExtBd
  * Noto Serif Tamil SemCond ExtLt
  * Noto Serif Tamil SemCond Light
  * Noto Serif Tamil SemCond Med
  * Noto Serif Tamil SemCond SemBd
  * Noto Serif Tamil SemCond Thin
  * Noto Serif Tamil Thin
  * Noto Serif Telugu Black
  * Noto Serif Telugu ExtraBold
  * Noto Serif Telugu ExtraLight
  * Noto Serif Telugu Light
  * Noto Serif Telugu Medium
  * Noto Serif Telugu SemiBold
  * Noto Serif Telugu Thin
  * Noto Serif Thai Blk
  * Noto Serif Thai Cond
  * Noto Serif Thai Cond Blk
  * Noto Serif Thai Cond ExtBd
  * Noto Serif Thai Cond ExtLt
  * Noto Serif Thai Cond Light
  * Noto Serif Thai Cond Med
  * Noto Serif Thai Cond SemBd
  * Noto Serif Thai Cond Thin
  * Noto Serif Thai ExtBd
  * Noto Serif Thai ExtCond
  * Noto Serif Thai ExtCond Blk
  * Noto Serif Thai ExtCond ExtBd
  * Noto Serif Thai ExtCond ExtLt
  * Noto Serif Thai ExtCond Light
  * Noto Serif Thai ExtCond Med
  * Noto Serif Thai ExtCond SemBd
  * Noto Serif Thai ExtCond Thin
  * Noto Serif Thai ExtLt
  * Noto Serif Thai Light
  * Noto Serif Thai Med
  * Noto Serif Thai SemBd
  * Noto Serif Thai SemCond
  * Noto Serif Thai SemCond Blk
  * Noto Serif Thai SemCond ExtBd
  * Noto Serif Thai SemCond ExtLt
  * Noto Serif Thai SemCond Light
  * Noto Serif Thai SemCond Med
  * Noto Serif Thai SemCond SemBd
  * Noto Serif Thai SemCond Thin
  * Noto Serif Thai Thin
  * Noto Serif Thin
  * Noto Serif Tibetan Black
  * Noto Serif Tibetan ExtraBold
  * Noto Serif Tibetan ExtraLight
  * Noto Serif Tibetan Light
  * Noto Serif Tibetan Medium
  * Noto Serif Tibetan SemiBold
  * Noto Serif Tibetan Thin
  * NotoSerifTamilSlanted Black
  * NotoSerifTamilSlanted Condensed
  * NotoSerifTamilSlanted Condensed Black
  * NotoSerifTamilSlanted Condensed ExtraBold
  * NotoSerifTamilSlanted Condensed ExtraLight
  * NotoSerifTamilSlanted Condensed Light
  * NotoSerifTamilSlanted Condensed Medium
  * NotoSerifTamilSlanted Condensed SemiBold
  * NotoSerifTamilSlanted Condensed Thin
  * NotoSerifTamilSlanted ExtraBold
  * NotoSerifTamilSlanted ExtraCondensed
  * NotoSerifTamilSlanted ExtraCondensed Black
  * NotoSerifTamilSlanted ExtraCondensed ExtraBold
  * NotoSerifTamilSlanted ExtraCondensed ExtraLight
  * NotoSerifTamilSlanted ExtraCondensed Light
  * NotoSerifTamilSlanted ExtraCondensed Medium
  * NotoSerifTamilSlanted ExtraCondensed SemiBold
  * NotoSerifTamilSlanted ExtraCondensed Thin
  * NotoSerifTamilSlanted ExtraLight
  * NotoSerifTamilSlanted Light
  * NotoSerifTamilSlanted Medium
  * NotoSerifTamilSlanted SemiBold
  * NotoSerifTamilSlanted SemiCondensed
  * NotoSerifTamilSlanted SemiCondensed Black
  * NotoSerifTamilSlanted SemiCondensed ExtraBold
  * NotoSerifTamilSlanted SemiCondensed ExtraLight
  * NotoSerifTamilSlanted SemiCondensed Light
  * NotoSerifTamilSlanted SemiCondensed Medium
  * NotoSerifTamilSlanted SemiCondensed SemiBold
  * NotoSerifTamilSlanted SemiCondensed Thin
  * NotoSerifTamilSlanted Thin
 .
 The name "Noto" is short for "No Tofu",
 describing the aim of covering all living Unicode scripts
 (currently 67 are covered, at least partly.
 .
 Tofu (豆腐) is Japanese jargon
 for unicode replacement character "�" (U+FFFD)
 often displayed as replacement for unassigned or unknown characters.

Package: fonts-noto-hinted
Description-md5: 02b9d1b35bdd1cebc2331acfe39aa4ae
Description-en: obsolete metapackage to pull in a subset of Noto fonts
 This is an obsolete metapackage
 to install a subset of Noto fonts.
 .
 NB! This package is obsolete.
 Please use appropriate other fonts-noto-* packages instead.

Package: fonts-noto-ui-core
Description-md5: b9f8fd5b593aba13a1eb2a0d040df8e9
Description-en: "No Tofu" font families with large Unicode coverage (UI core)
 Noto is a collection of font families,
 each visually harmonized across scripts.
 .
 This package contains core weights for these Noto UI font families:
 .
  * Noto Naskh Arabic UI
  * Noto Sans Arabic UI
  * Noto Sans Bengali UI
  * Noto Sans Devanagari UI
  * Noto Sans Gujarati UI
  * Noto Sans Gurmukhi UI
  * Noto Sans Kannada UI
  * Noto Sans Khmer UI
  * Noto Sans Lao UI
  * Noto Sans Malayalam UI
  * Noto Sans Myanmar UI
  * Noto Sans Oriya UI
  * Noto Sans Sinhala UI
  * Noto Sans Tamil UI
  * Noto Sans Telugu UI
  * Noto Sans Thai UI
 .
 Noto UI fonts are for text display in UI elements
 (e.g. buttons, menus) that have a height limit.
 .
 The name "Noto" is short for "No Tofu",
 describing the aim of covering all living Unicode scripts.
 .
 Tofu (豆腐) is Japanese jargon
 for unicode replacement character "�" (U+FFFD)
 often displayed as replacement for unassigned or unknown characters.

Package: fonts-noto-ui-extra
Description-md5: 802b446c859671f70632454440939be4
Description-en: "No Tofu" font families with large Unicode coverage (UI extra)
 Noto is a collection of font families,
 each visually harmonized across scripts.
 .
 This package contains extra weights for these Noto UI font families:
 .
  * Noto Sans Arabic UI Bk
  * Noto Sans Arabic UI Cn
  * Noto Sans Arabic UI Cn Bk
  * Noto Sans Arabic UI Cn Lt
  * Noto Sans Arabic UI Cn Md
  * Noto Sans Arabic UI Cn SmBd
  * Noto Sans Arabic UI Cn Th
  * Noto Sans Arabic UI Cn XBd
  * Noto Sans Arabic UI Cn XLt
  * Noto Sans Arabic UI Lt
  * Noto Sans Arabic UI Md
  * Noto Sans Arabic UI SmBd
  * Noto Sans Arabic UI SmCn
  * Noto Sans Arabic UI SmCn Bk
  * Noto Sans Arabic UI SmCn Lt
  * Noto Sans Arabic UI SmCn Md
  * Noto Sans Arabic UI SmCn SmBd
  * Noto Sans Arabic UI SmCn Th
  * Noto Sans Arabic UI SmCn XBd
  * Noto Sans Arabic UI SmCn XLt
  * Noto Sans Arabic UI Th
  * Noto Sans Arabic UI XBd
  * Noto Sans Arabic UI XCn
  * Noto Sans Arabic UI XCn Bk
  * Noto Sans Arabic UI XCn Lt
  * Noto Sans Arabic UI XCn Md
  * Noto Sans Arabic UI XCn SmBd
  * Noto Sans Arabic UI XCn Th
  * Noto Sans Arabic UI XCn XBd
  * Noto Sans Arabic UI XCn XLt
  * Noto Sans Arabic UI XLt
  * Noto Sans Bengali Blk
  * Noto Sans Bengali Cond
  * Noto Sans Bengali ExtBd
  * Noto Sans Bengali ExtCond
  * Noto Sans Bengali ExtLt
  * Noto Sans Bengali Light
  * Noto Sans Bengali Med
  * Noto Sans Bengali SemBd
  * Noto Sans Bengali SemCond
  * Noto Sans Bengali Thin
  * Noto Sans Devanagari Bk
  * Noto Sans Devanagari Cn
  * Noto Sans Devanagari Cn Bk
  * Noto Sans Devanagari Cn Lt
  * Noto Sans Devanagari Cn Md
  * Noto Sans Devanagari Cn SmBd
  * Noto Sans Devanagari Cn Th
  * Noto Sans Devanagari Cn XBd
  * Noto Sans Devanagari Cn XLt
  * Noto Sans Devanagari Lt
  * Noto Sans Devanagari Md
  * Noto Sans Devanagari SmBd
  * Noto Sans Devanagari SmCn
  * Noto Sans Devanagari SmCn Bk
  * Noto Sans Devanagari SmCn Lt
  * Noto Sans Devanagari SmCn Md
  * Noto Sans Devanagari SmCn SmBd
  * Noto Sans Devanagari SmCn Th
  * Noto Sans Devanagari SmCn XBd
  * Noto Sans Devanagari SmCn XLt
  * Noto Sans Devanagari Th
  * Noto Sans Devanagari XBd
  * Noto Sans Devanagari XCn
  * Noto Sans Devanagari XCn Bk
  * Noto Sans Devanagari XCn Lt
  * Noto Sans Devanagari XCn Md
  * Noto Sans Devanagari XCn SmBd
  * Noto Sans Devanagari XCn Th
  * Noto Sans Devanagari XCn XBd
  * Noto Sans Devanagari XCn XLt
  * Noto Sans Devanagari XLt
  * Noto Sans Gurmukhi UI Black
  * Noto Sans Gurmukhi UI Condensed
  * Noto Sans Gurmukhi UI Condensed Black
  * Noto Sans Gurmukhi UI Condensed ExtraBold
  * Noto Sans Gurmukhi UI Condensed ExtraLight
  * Noto Sans Gurmukhi UI Condensed Light
  * Noto Sans Gurmukhi UI Condensed Medium
  * Noto Sans Gurmukhi UI Condensed SemiBold
  * Noto Sans Gurmukhi UI Condensed Thin
  * Noto Sans Gurmukhi UI ExtraBold
  * Noto Sans Gurmukhi UI ExtraCondensed
  * Noto Sans Gurmukhi UI ExtraCondensed Black
  * Noto Sans Gurmukhi UI ExtraCondensed ExtraBold
  * Noto Sans Gurmukhi UI ExtraCondensed ExtraLight
  * Noto Sans Gurmukhi UI ExtraCondensed Light
  * Noto Sans Gurmukhi UI ExtraCondensed Medium
  * Noto Sans Gurmukhi UI ExtraCondensed SemiBold
  * Noto Sans Gurmukhi UI ExtraCondensed Thin
  * Noto Sans Gurmukhi UI ExtraLight
  * Noto Sans Gurmukhi UI Light
  * Noto Sans Gurmukhi UI Medium
  * Noto Sans Gurmukhi UI SemiBold
  * Noto Sans Gurmukhi UI SemiCondensed
  * Noto Sans Gurmukhi UI SemiCondensed Black
  * Noto Sans Gurmukhi UI SemiCondensed ExtraBold
  * Noto Sans Gurmukhi UI SemiCondensed ExtraLight
  * Noto Sans Gurmukhi UI SemiCondensed Light
  * Noto Sans Gurmukhi UI SemiCondensed Medium
  * Noto Sans Gurmukhi UI SemiCondensed SemiBold
  * Noto Sans Gurmukhi UI SemiCondensed Thin
  * Noto Sans Gurmukhi UI Thin
  * Noto Sans Kannada UI Black
  * Noto Sans Kannada UI Condensed
  * Noto Sans Kannada UI Condensed Black
  * Noto Sans Kannada UI Condensed ExtraBold
  * Noto Sans Kannada UI Condensed ExtraLight
  * Noto Sans Kannada UI Condensed Light
  * Noto Sans Kannada UI Condensed Medium
  * Noto Sans Kannada UI Condensed SemiBold
  * Noto Sans Kannada UI Condensed Thin
  * Noto Sans Kannada UI ExtraBold
  * Noto Sans Kannada UI ExtraCondensed
  * Noto Sans Kannada UI ExtraCondensed Black
  * Noto Sans Kannada UI ExtraCondensed ExtraBold
  * Noto Sans Kannada UI ExtraCondensed ExtraLight
  * Noto Sans Kannada UI ExtraCondensed Light
  * Noto Sans Kannada UI ExtraCondensed Medium
  * Noto Sans Kannada UI ExtraCondensed SemiBold
  * Noto Sans Kannada UI ExtraCondensed Thin
  * Noto Sans Kannada UI ExtraLight
  * Noto Sans Kannada UI Light
  * Noto Sans Kannada UI Medium
  * Noto Sans Kannada UI SemiBold
  * Noto Sans Kannada UI SemiCondensed
  * Noto Sans Kannada UI SemiCondensed Black
  * Noto Sans Kannada UI SemiCondensed ExtraBold
  * Noto Sans Kannada UI SemiCondensed ExtraLight
  * Noto Sans Kannada UI SemiCondensed Light
  * Noto Sans Kannada UI SemiCondensed Medium
  * Noto Sans Kannada UI SemiCondensed SemiBold
  * Noto Sans Kannada UI SemiCondensed Thin
  * Noto Sans Kannada UI Thin
  * Noto Sans Khmer UI Black
  * Noto Sans Khmer UI Condensed
  * Noto Sans Khmer UI Condensed Black
  * Noto Sans Khmer UI Condensed ExtraBold
  * Noto Sans Khmer UI Condensed ExtraLight
  * Noto Sans Khmer UI Condensed Light
  * Noto Sans Khmer UI Condensed Medium
  * Noto Sans Khmer UI Condensed SemiBold
  * Noto Sans Khmer UI Condensed Thin
  * Noto Sans Khmer UI ExtraBold
  * Noto Sans Khmer UI ExtraCondensed
  * Noto Sans Khmer UI ExtraCondensed Black
  * Noto Sans Khmer UI ExtraCondensed ExtraBold
  * Noto Sans Khmer UI ExtraCondensed ExtraLight
  * Noto Sans Khmer UI ExtraCondensed Light
  * Noto Sans Khmer UI ExtraCondensed Medium
  * Noto Sans Khmer UI ExtraCondensed SemiBold
  * Noto Sans Khmer UI ExtraCondensed Thin
  * Noto Sans Khmer UI ExtraLight
  * Noto Sans Khmer UI Light
  * Noto Sans Khmer UI Medium
  * Noto Sans Khmer UI SemiBold
  * Noto Sans Khmer UI SemiCondensed
  * Noto Sans Khmer UI SemiCondensed Black
  * Noto Sans Khmer UI SemiCondensed ExtraBold
  * Noto Sans Khmer UI SemiCondensed ExtraLight
  * Noto Sans Khmer UI SemiCondensed Light
  * Noto Sans Khmer UI SemiCondensed Medium
  * Noto Sans Khmer UI SemiCondensed SemiBold
  * Noto Sans Khmer UI SemiCondensed Thin
  * Noto Sans Khmer UI Thin
  * Noto Sans Lao UI Blk
  * Noto Sans Lao UI Cond
  * Noto Sans Lao UI Cond Blk
  * Noto Sans Lao UI Cond ExtBd
  * Noto Sans Lao UI Cond ExtLt
  * Noto Sans Lao UI Cond Light
  * Noto Sans Lao UI Cond Med
  * Noto Sans Lao UI Cond SemBd
  * Noto Sans Lao UI Cond Thin
  * Noto Sans Lao UI ExtBd
  * Noto Sans Lao UI ExtCond
  * Noto Sans Lao UI ExtCond Blk
  * Noto Sans Lao UI ExtCond ExtBd
  * Noto Sans Lao UI ExtCond ExtLt
  * Noto Sans Lao UI ExtCond Light
  * Noto Sans Lao UI ExtCond Med
  * Noto Sans Lao UI ExtCond SemBd
  * Noto Sans Lao UI ExtCond Thin
  * Noto Sans Lao UI ExtLt
  * Noto Sans Lao UI Light
  * Noto Sans Lao UI Med
  * Noto Sans Lao UI SemBd
  * Noto Sans Lao UI SemCond
  * Noto Sans Lao UI SemCond Blk
  * Noto Sans Lao UI SemCond ExtBd
  * Noto Sans Lao UI SemCond ExtLt
  * Noto Sans Lao UI SemCond Light
  * Noto Sans Lao UI SemCond Med
  * Noto Sans Lao UI SemCond SemBd
  * Noto Sans Lao UI SemCond Thin
  * Noto Sans Lao UI Thin
  * Noto Sans Malayalam UI Black
  * Noto Sans Malayalam UI Condensed
  * Noto Sans Malayalam UI Condensed Black
  * Noto Sans Malayalam UI Condensed ExtraBold
  * Noto Sans Malayalam UI Condensed ExtraLight
  * Noto Sans Malayalam UI Condensed Light
  * Noto Sans Malayalam UI Condensed Medium
  * Noto Sans Malayalam UI Condensed SemiBold
  * Noto Sans Malayalam UI Condensed Thin
  * Noto Sans Malayalam UI ExtraBold
  * Noto Sans Malayalam UI ExtraCondensed
  * Noto Sans Malayalam UI ExtraCondensed Black
  * Noto Sans Malayalam UI ExtraCondensed ExtraBold
  * Noto Sans Malayalam UI ExtraCondensed ExtraLight
  * Noto Sans Malayalam UI ExtraCondensed Light
  * Noto Sans Malayalam UI ExtraCondensed Medium
  * Noto Sans Malayalam UI ExtraCondensed SemiBold
  * Noto Sans Malayalam UI ExtraCondensed Thin
  * Noto Sans Malayalam UI ExtraLight
  * Noto Sans Malayalam UI Light
  * Noto Sans Malayalam UI Medium
  * Noto Sans Malayalam UI SemiBold
  * Noto Sans Malayalam UI SemiCondensed
  * Noto Sans Malayalam UI SemiCondensed Black
  * Noto Sans Malayalam UI SemiCondensed ExtraBold
  * Noto Sans Malayalam UI SemiCondensed ExtraLight
  * Noto Sans Malayalam UI SemiCondensed Light
  * Noto Sans Malayalam UI SemiCondensed Medium
  * Noto Sans Malayalam UI SemiCondensed SemiBold
  * Noto Sans Malayalam UI SemiCondensed Thin
  * Noto Sans Malayalam UI Thin
  * Noto Sans Myanmar UI Black
  * Noto Sans Myanmar UI Condensed
  * Noto Sans Myanmar UI Condensed Black
  * Noto Sans Myanmar UI Condensed ExtraBold
  * Noto Sans Myanmar UI Condensed ExtraLight
  * Noto Sans Myanmar UI Condensed Light
  * Noto Sans Myanmar UI Condensed Medium
  * Noto Sans Myanmar UI Condensed SemiBold
  * Noto Sans Myanmar UI Condensed Thin
  * Noto Sans Myanmar UI ExtraBold
  * Noto Sans Myanmar UI ExtraCondensed
  * Noto Sans Myanmar UI ExtraCondensed Black
  * Noto Sans Myanmar UI ExtraCondensed ExtraBold
  * Noto Sans Myanmar UI ExtraCondensed ExtraLight
  * Noto Sans Myanmar UI ExtraCondensed Light
  * Noto Sans Myanmar UI ExtraCondensed Medium
  * Noto Sans Myanmar UI ExtraCondensed SemiBold
  * Noto Sans Myanmar UI ExtraCondensed Thin
  * Noto Sans Myanmar UI ExtraLight
  * Noto Sans Myanmar UI Light
  * Noto Sans Myanmar UI Medium
  * Noto Sans Myanmar UI SemiBold
  * Noto Sans Myanmar UI SemiCondensed
  * Noto Sans Myanmar UI SemiCondensed Black
  * Noto Sans Myanmar UI SemiCondensed ExtraBold
  * Noto Sans Myanmar UI SemiCondensed ExtraLight
  * Noto Sans Myanmar UI SemiCondensed Light
  * Noto Sans Myanmar UI SemiCondensed Medium
  * Noto Sans Myanmar UI SemiCondensed SemiBold
  * Noto Sans Myanmar UI SemiCondensed Thin
  * Noto Sans Myanmar UI Thin
  * Noto Sans Sinhala Blk
  * Noto Sans Sinhala Cond
  * Noto Sans Sinhala Cond Blk
  * Noto Sans Sinhala Cond ExtBd
  * Noto Sans Sinhala Cond ExtLt
  * Noto Sans Sinhala Cond Light
  * Noto Sans Sinhala Cond Med
  * Noto Sans Sinhala Cond SemBd
  * Noto Sans Sinhala Cond Thin
  * Noto Sans Sinhala ExtBd
  * Noto Sans Sinhala ExtCond
  * Noto Sans Sinhala ExtCond Blk
  * Noto Sans Sinhala ExtCond ExtBd
  * Noto Sans Sinhala ExtCond ExtLt
  * Noto Sans Sinhala ExtCond Light
  * Noto Sans Sinhala ExtCond Med
  * Noto Sans Sinhala ExtCond SemBd
  * Noto Sans Sinhala ExtCond Thin
  * Noto Sans Sinhala ExtLt
  * Noto Sans Sinhala Light
  * Noto Sans Sinhala Med
  * Noto Sans Sinhala SemBd
  * Noto Sans Sinhala SemCond
  * Noto Sans Sinhala SemCond Blk
  * Noto Sans Sinhala SemCond ExtBd
  * Noto Sans Sinhala SemCond ExtLt
  * Noto Sans Sinhala SemCond Light
  * Noto Sans Sinhala SemCond Med
  * Noto Sans Sinhala SemCond SemBd
  * Noto Sans Sinhala SemCond Thin
  * Noto Sans Sinhala Thin
  * Noto Sans Tamil Blk
  * Noto Sans Tamil Cond
  * Noto Sans Tamil Cond Blk
  * Noto Sans Tamil Cond ExtBd
  * Noto Sans Tamil Cond ExtLt
  * Noto Sans Tamil Cond Light
  * Noto Sans Tamil Cond Med
  * Noto Sans Tamil Cond SemBd
  * Noto Sans Tamil Cond Thin
  * Noto Sans Tamil ExtBd
  * Noto Sans Tamil ExtCond
  * Noto Sans Tamil ExtCond Blk
  * Noto Sans Tamil ExtCond ExtBd
  * Noto Sans Tamil ExtCond ExtLt
  * Noto Sans Tamil ExtCond Light
  * Noto Sans Tamil ExtCond Med
  * Noto Sans Tamil ExtCond SemBd
  * Noto Sans Tamil ExtCond Thin
  * Noto Sans Tamil ExtLt
  * Noto Sans Tamil Light
  * Noto Sans Tamil Med
  * Noto Sans Tamil SemBd
  * Noto Sans Tamil SemCond
  * Noto Sans Tamil SemCond Blk
  * Noto Sans Tamil SemCond ExtBd
  * Noto Sans Tamil SemCond ExtLt
  * Noto Sans Tamil SemCond Light
  * Noto Sans Tamil SemCond Med
  * Noto Sans Tamil SemCond SemBd
  * Noto Sans Tamil SemCond Thin
  * Noto Sans Tamil Thin
  * Noto Sans Telugu UI Black
  * Noto Sans Telugu UI Condensed
  * Noto Sans Telugu UI Condensed Black
  * Noto Sans Telugu UI Condensed ExtraBold
  * Noto Sans Telugu UI Condensed ExtraLight
  * Noto Sans Telugu UI Condensed Light
  * Noto Sans Telugu UI Condensed Medium
  * Noto Sans Telugu UI Condensed SemiBold
  * Noto Sans Telugu UI Condensed Thin
  * Noto Sans Telugu UI ExtraBold
  * Noto Sans Telugu UI ExtraCondensed
  * Noto Sans Telugu UI ExtraCondensed Black
  * Noto Sans Telugu UI ExtraCondensed ExtraBold
  * Noto Sans Telugu UI ExtraCondensed ExtraLight
  * Noto Sans Telugu UI ExtraCondensed Light
  * Noto Sans Telugu UI ExtraCondensed Medium
  * Noto Sans Telugu UI ExtraCondensed SemiBold
  * Noto Sans Telugu UI ExtraCondensed Thin
  * Noto Sans Telugu UI ExtraLight
  * Noto Sans Telugu UI Light
  * Noto Sans Telugu UI Medium
  * Noto Sans Telugu UI SemiBold
  * Noto Sans Telugu UI SemiCondensed
  * Noto Sans Telugu UI SemiCondensed Black
  * Noto Sans Telugu UI SemiCondensed ExtraBold
  * Noto Sans Telugu UI SemiCondensed ExtraLight
  * Noto Sans Telugu UI SemiCondensed Light
  * Noto Sans Telugu UI SemiCondensed Medium
  * Noto Sans Telugu UI SemiCondensed SemiBold
  * Noto Sans Telugu UI SemiCondensed Thin
  * Noto Sans Telugu UI Thin
  * Noto Sans Thai UI Blk
  * Noto Sans Thai UI Cond
  * Noto Sans Thai UI Cond Blk
  * Noto Sans Thai UI Cond ExtBd
  * Noto Sans Thai UI Cond ExtLt
  * Noto Sans Thai UI Cond Light
  * Noto Sans Thai UI Cond Med
  * Noto Sans Thai UI Cond SemBd
  * Noto Sans Thai UI Cond Thin
  * Noto Sans Thai UI ExtBd
  * Noto Sans Thai UI ExtCond
  * Noto Sans Thai UI ExtCond Blk
  * Noto Sans Thai UI ExtCond ExtBd
  * Noto Sans Thai UI ExtCond ExtLt
  * Noto Sans Thai UI ExtCond Light
  * Noto Sans Thai UI ExtCond Med
  * Noto Sans Thai UI ExtCond SemBd
  * Noto Sans Thai UI ExtCond Thin
  * Noto Sans Thai UI ExtLt
  * Noto Sans Thai UI Light
  * Noto Sans Thai UI Med
  * Noto Sans Thai UI SemBd
  * Noto Sans Thai UI SemCond
  * Noto Sans Thai UI SemCond Blk
  * Noto Sans Thai UI SemCond ExtBd
  * Noto Sans Thai UI SemCond ExtLt
  * Noto Sans Thai UI SemCond Light
  * Noto Sans Thai UI SemCond Med
  * Noto Sans Thai UI SemCond SemBd
  * Noto Sans Thai UI SemCond Thin
  * Noto Sans Thai UI Thin
 .
 Noto UI fonts are for text display in UI elements
 (e.g. buttons, menus) that have a height limit.
 .
 The name "Noto" is short for "No Tofu",
 describing the aim of covering all living Unicode scripts.
 .
 Tofu (豆腐) is Japanese jargon
 for unicode replacement character "�" (U+FFFD)
 often displayed as replacement for unassigned or unknown characters.

Package: fonts-noto-unhinted
Description-md5: 32fbbfe906ebd778037f92d5afd8e800
Description-en: "No Tofu" font families with large Unicode coverage (unhinted)
 Noto is a collection of font families,
 each visually harmonized across scripts.
 .
 This package contains Noto font families which lacks hinting:
 .
  * Noto Kufi Arabic Black
  * Noto Kufi Arabic Extra Bold
  * Noto Kufi Arabic Extra Light
  * Noto Kufi Arabic Light
  * Noto Kufi Arabic Thin
  * Noto Sans Gunjala Gondi
  * Noto Sans Lisu
  * Noto Sans Lisu Medium
  * Noto Sans Lisu Semi Bold
  * Noto Sans Masaram Gondi
  * Noto Sans Ol Chiki
  * Noto Sans Ol Chiki Medium
  * Noto Sans Ol Chiki Semibold
  * Noto Sans Sogdian
  * Noto Sans Soyombo
  * Noto Sans Wancho
  * Noto Sans Zanabazar
 .
 The name "Noto" is short for "No Tofu",
 describing the aim of covering all living Unicode scripts
 (currently 67 are covered, at least partly.
 .
 Tofu (豆腐) is Japanese jargon
 for unicode replacement character "�" (U+FFFD)
 often displayed as replacement for unassigned or unknown characters.

Package: fonts-ocr-a
Description-md5: 5845bfcd683f34b6e4e0e4687a4b8e13
Description-en: ANSI font readable by the computers of the 1960s
 This font was developed by the American National Standards Institute (ANSI)
 to be readable by the computers of the 1960s. The OCR-A font is still used
 commercially in payment advice forms so that a lockbox company can determine
 the account number and amount owed on a bill when processing a payment.
 A site license for the OCR-A font is very expensive, so this free font was
 created.

Package: fonts-ocr-b
Description-md5: 88d06434625748e1326d506a8ad834c9
Description-en: machine-readable typeface as used in passports
 Provides the OCR-B typeface originally designed by Adrian Frutiger and
 standardised in ISO 1073/II-1976 (E).  Originally designed for use with
 OCR, it has a somewhat mechanical appearance, and remains in use in
 passports and on car number plates.

Package: fonts-octicons
Description-md5: c8a9c221a01865bb56cb2e9d59ee6e7b
Description-en: GitHub's icons font
 GitHub's "octicons" font, you can use over 100 icons with this font.
 .
 See https://octicons.github.com/ for examples.

Package: fonts-oflb-asana-math
Description-md5: 1f6f6f7a4a2fae2e004c262b587dc20b
Description-en: extended smart Unicode Math font
 Asana Math provides rich Unicode coverage of the Maths-related blocks and
 support for the MATH Opentype table which means that it can be used to typeset
 documents with complex mathematical requirements using tools like XeTeX.

Package: fonts-oflb-euterpe
Description-md5: 5caa2d56b879083a5e5b07a8cfd2eb69
Description-en: unicode musical font
 This package provides a font which covers the whole musical symbols block of
 Unicode. Some extra glyphs can be found in the Private Use Area, some of them
 may be accessed through OpenType features, like ligatures and glyph
 substitutions.
 .
 The font sources (Fontforge .sfd) are available on the upstream website and in
 the source package.
 .
 In Greek mythology, Euterpe is the muse of lyric poetry.

Package: fonts-okolaks
Description-md5: e38259e44bb7c37e1062b6258a3974e2
Description-en: decorative, sans serif font
 This package provides is a decorative, sans serif font by Gluk.

Package: fonts-oldstandard
Description-md5: f490eb0e7950bf98fbccf310810e384c
Description-en: smart font with wide range of Latin, Greek and Cyrillic characters
 The Old Standard font family is an attempt to revive a specific type of
 modern (classicistic) antiqua, very commonly used in various editions
 printed in the late 19th and early 20th century, but almost completely
 abandoned later.
 .
 The full font sources (fontforge .sfd and xgridfit .xdf) and
 extensive documentation are also available.

Package: fonts-open-sans
Description-md5: 0cd832f7b05a3a9a804b6d4fb10aa076
Description-en: humanist sans serif typeface by Steve Matteson
 Open Sans is a clean and modern sans-serif typeface designed
 by Steve Matteson and commissioned by Google.
 .
 This version contains the complete 897 character set, which includes
 the standard ISO Latin 1, Latin CE, Greek and Cyrillic character sets.
 .
 Open Sans was designed with an upright stress, open forms and a neutral,
 yet friendly appearance. It was optimized for print, web, and mobile
 interfaces, and has excellent legibility characteristics in its letterforms.
 .
 Both condensed and non-condensed typefaces are included with this package.

Package: fonts-opendin
Description-md5: 5e0b36ab92f4925754ca34011672f6f9
Description-en: Open DIN font
 The idea of this open typeface is being the most close as possible from the
 Prussian Railways master drawing (public domain license, afaik), and its
 applications.
 .
 This typeface were made using open-source tools, like Fontforge and Inkscape,
 also for helping their development from using them.

Package: fonts-opendyslexic
Description-md5: 5aca6681db2bc5acb51bf4baecc407ba
Description-en: font designed to increase readability for readers with dyslexia
 OpenDyslexic is an open sourced font created to increase readability for
 readers with dyslexia. It includes regular, bold, italic, and bold-italic
 styles. It is being updated continually and improved based on input from
 dyslexic users.

Package: fonts-oradano-mincho-gsrr
Description-md5: 5ae2ea8e4004dfc77342a5185cf36dd5
Description-en: Retro style (Meiji period) Japanese font
 Oradano Mincho is Retro style (Meiji period) Japanese font. It also includes
 GSRR - Greek, Symbols, Roman and Russian.
 .
 This font will cover all non-Kanji and some Kanji characters in JIS X 0213:2004

Package: fonts-osifont
Description-md5: 46f637992614f97b7966365f52f64f2a
Description-en: ISO 3098-compliant TrueType font for CAD projects
 In some European countries, CAD projects must have a font which conforms
 to the ISO 3098 specification. Such a font has been available in
 commercial CADs but was not available for free CADs (including FreeCAD)
 until this project.

Package: fonts-oxygen
Description-md5: 949f13adb7a9a12f651f0498fb95a42b
Description-en: Oxygen font family
 Desktop/gui font family for integrated use with the KDE desktop.
 .
 The basic concept for Oxygen Font is to design a clear, legible, sans serif
 font which would be rendered with Freetype on Linux-based devices. In addition
 a bold weight, plus regular and bold italics, and a monospace version will be
 made.

Package: fonts-paktype
Description-md5: 7f78a5926b43dac902977aa64f8f838f
Description-en: PakType free OpenType Urdu fonts
 These are two free OpenType Urdu fonts (Naqsh and Tehreer),
 designed and developed by the PakType volunteers.

Package: fonts-paratype
Description-md5: 395ce61f1468e0054f956dc188018ef4
Description-en: ParaType font collection for the languages of Russia
 The ParaType PT Sans and PT Serif font families were developed as
 part of the "Public Types of Russian Federation" project.  The main
 objective of the project is to allow the peoples of Russia to read
 and write their native languages using free/libre fonts.
 .
 In addition to standard Western, Central European, and Cyrillic code
 pages, the fonts contain characters of all title languages of the
 Russian Federation.
 .
 PT Sans is based on Russian sans serif types of the second part of
 the XX century, but at the same time has a very distinctive features
 of modern humanistic design. The family consists of 8 styles: 4
 basic styles, 2 caption styles for small sizes, and 2 narrow styles.
 .
 PT Serif is a transitional serif face with humanistic terminals
 designed for use together with PT Sans. It consists of 6 styles: 4
 basic styles, and 2 caption styles for small sizes.
 .
 The fonts were released by ParaType, and designed by Alexandra
 Korolkova, Olga Umpeleva and Vladimir Yefimov.

Package: fonts-pecita
Description-md5: 0eff79f278cf515ff9813a6d6c496610
Description-en: OpenType hand-written font whose letters are connected
 Pecita is a hand-written font that give a natural rendition.
 .
 It includes all of the full Language support for Afrikaans,
 Archaic Greek Letters, Baltic, Basic Greek, Basic Latin,
 Catalan, Central European, Chess Symbols, Dutch, Euro,
 Igbo Onwu, IPA, Latin Ligatures, Mathematical Operators,
 Macedonian, Pan African Latin, Pinyin, Polytonic Greek, Romanian, Turkish,
 Vietnamese, Western European.
 .
 It implements the OpenType features Contextual Alternates,
 Standard Ligatures, Randomize, Small Capitals, Subscript and
 Superscript.

Package: fonts-play
Description-md5: d31c1eb3bbf5ebb24bfca8cd8d45f3f0
Description-en: minimalistic sans serif typeface
 Play is a minimalistic sans serif typeface designed by Jonas Hecksher, Type
 Director of Playtype™ Type Foundry. All letters in Play derive from the 'O' –
 square and circular at the same time. Play is designed with large, open
 counters, ample lowercase x-heights and a corporate, yet friendly appearance.
 The combination of these qualities give Play both a high legibility and
 readability.

Package: fonts-povray
Description-md5: 468ac9a92d0da5c162e9bcde1a000da9
Description-en: Persistence of vision raytracer (3D renderer) fonts
 POV-Ray is a full-featured ray tracer. Ray tracers simulate objects
 and light sources of the real world to calculate photorealistic, computer
 generated images. Because of the nature of ray tracing, this process is
 quite CPU-intensive, at the benefit of more realistic images compared to
 real time rendering techniques. For example, in POV-Ray, you can model a
 glass prism, and you will see a spectrum in the resulting image.
 .
 POV-Ray by itself is a command-line utility that will take scene
 descriptions, written in a special easy-to-understand language, to
 produce ray-traced images (or even a sequence of images, for animations).
 You can either write those scene-descriptions by hand, or use external
 tools to generate (parts of) the scene.
 .
 This package contains the fonts coming with povray.

Package: fonts-powerline
Description-md5: df8954c2cf3fddab0b3bcde6cb143031
Description-en: prompt and statusline utility (symbols font)
 Powerline is a statusline plugin for vim, and provides statuslines and prompts
 for several other applications, including zsh, bash, tmux, IPython, Awesome and
 Qtile.
 .
 This package contains the font which provides symbols used by Powerline. It
 also contains the fontconfig settings that makes these symbols available as
 part of other installed fonts.

Package: fonts-prociono
Description-md5: a7c6966ef24c8c830f5e23c075d7d39d
Description-en: font similar to the one used for the Debian logo
 This is a font that could be used if you need to write Debian as in the
 logo. An old looking serif font. Some use it for running text.

Package: fonts-proggy
Description-md5: f57e96e929f7e5df51d7144db0ce9f8f
Description-en: Monospaced bitmap programming font
 These are a set of fixed-width screen fonts that are designed for code
 listings. This is only the tiny version of the font collection.

Package: fonts-quattrocento
Description-md5: 0f3472a5724541109349b7a5b73d8e40
Description-en: classic, elegant, sober and strong Roman typeface
 Its wide and open letterforms, and great x-height, make it very legible for
 body text at small sizes, while its tiny details that only show up at bigger
 sizes make it also great for display use.

Package: fonts-quicksand
Description-md5: 3f145733c2fbe07ebda78628a9cb4d4a
Description-en: sans-serif font with round attributes
 Quicksand is a display sans serif with rounded terminals.
 The project was initiated by Andrew Paglinawan in 2008 using
 geometric shapes as a core foundation. It is designed for
 display purposes but kept legible enough to use in small sizes
 as well.
 .
 In 2016, in collaboration with Andrew, it was thoroughly revised
 by Thomas Jockin to improve the quality.

Package: fonts-radisnoir
Description-md5: 97192aaad95ef5df878b32b2470afa97
Description-en: font inspired by Bayer Universal and Bauhaus
 Radis Noir is a sans serif typeface based on a regular circle and
 inspired by the Bauhaus movement and especially the typography
 of Herbert Bayer.

Package: fonts-recommended
Description-md5: 063a842f56ca5c02b34f0af9fb9e72b9
Description-en: set of recommended fonts
 This metapackage is a list of fonts that, in the opinion of some members
 of the Debian Fonts team, is reasonable to have on most GUI systems if
 you don't care about bloat.  The selection is pretty arbitrary, but it
 includes:
  * compatibility with other operating systems
  * basic coverage of all writing systems
  * all symbols and emojis
  * fonts just nice to have

Package: fonts-ricty-diminished
Description-md5: 69b804d4878a610b25ca9e45bb6943d2
Description-en: font based on Inconsolata and Circle M+ 1m for programming
 Ricty Diminished is a font based on Inconsolata and Circle M+ 1m,
 optimized for the programming usage.
 .
  - Use Inconsolata for the Latin character glyphs and "Circle M+ 1m" font for
    other glyphs.
  - Adjust the width of full-width and normal-width character glyphs to
    2:1 ratio exactly full and half.
  - Design the comma, period, colon, semicolon and bracket character glyphs
    to differentiate each other clearly to prevent confusions.
  - Design the high visibility p-sound sign attached Kana character glyphs.
  - Design the En-dash and Em-dash glyphs show them as the broken line to
    prevent input failures for LaTeX.
  - It shows Em-size space as "box" character because Em-size space often.
    prevents debugging since users usually miss it. With Ricty Diminished font,
    users can find and fix it easily.

Package: fonts-rit-sundar
Description-md5: a0d2ecc3b26d42a4b734680d468b5991
Description-en: ornamental font for Malayalam script
 A traditional orthography ornamental font for Malayalam script created by
 Rachana Institute of Typography (RIT)
 .
 This font is created, named and released in memory of Sundar (Sundar Ramanatha
 Iyer; April 23, 1953 -- November 12, 2016).

Package: fonts-roadgeek
Description-md5: 086b9b4df7c53447b06db9a86a5fde34
Description-en: Set of fonts replicating various road sign typefaces
 This is a set of fonts that replicates typefaces used on highway signs in
 various countries.

Package: fonts-roboto
Description-md5: 4a27614cefc9afc9996fe6cda316d508
Description-en: metapackage to pull in Roboto fonts
 Roboto is Google's signature family of fonts, the default font in
 Android and ChromeOS and the recommended font for Google's visual
 language, Material Design.
 .
 Roboto supports all Latin, Cyrillic, and Greek characters in Unicode
 7.0 as well as currency symbol for the Georgian lari, to be published
 in Unicode 8.0.

Package: fonts-roboto-fontface
Description-md5: 3e10b345ff298af26ad493cc766283cd
Description-en: largely geometric, friendly and open curves font
 Roboto has a dual nature. It has a mechanical skeleton and the forms are
 largely geometric. At the same time, the font features friendly and open
 curves. While some grotesks distort their letterforms to force a rigid rhythm,
 Roboto doesn’t compromise, allowing letters to be settled into their natural
 width. This makes for a more natural reading rhythm more commonly found in
 humanist and serif types.
 .
 This is the normal family, which can be used alongside the Roboto Condensed
 family and the Roboto Slab family.
 .
 This package also provides Css, Scss and LESS files to use webfonts from this
 package.

Package: fonts-roboto-hinted
Description-md5: c1f9cce7e6d0689174c09c6bc049ed7d
Description-en: Google's signature family of fonts (transitional package)
 Roboto is Google's signature family of fonts, the default font in
 Android and ChromeOS and the recommended font for Google's visual
 language, Material Design.
 .
 Roboto supports all Latin, Cyrillic, and Greek characters in Unicode
 7.0 as well as currency symbol for the Georgian lari, to be published
 in Unicode 8.0.
 .
 This package previously contained Roboto font families with hinting.
 It is now dummy and can be safely removed.

Package: fonts-roboto-slab
Description-md5: e85476726b677d8381728acdc6b3a624
Description-en: Google's signature family of fonts (slab)
 Roboto is Google's signature family of fonts, the default font in
 Android and ChromeOS and the recommended font for Google's visual
 language, Material Design.
 .
 Roboto supports all Latin, Cyrillic, and Greek characters in Unicode
 7.0 as well as currency symbol for the Georgian lari, to be published
 in Unicode 8.0.
 .
 This package contains the Slab Serif font for the Roboto font family.

Package: fonts-roboto-unhinted
Description-md5: b275adfeafab8e742d9c607c4a009746
Description-en: Google's signature family of fonts (unhinted)
 Roboto is Google's signature family of fonts, the default font in
 Android and ChromeOS and the recommended font for Google's visual
 language, Material Design.
 .
 Roboto supports all Latin, Cyrillic, and Greek characters in Unicode
 7.0 as well as currency symbol for the Georgian lari, to be published
 in Unicode 8.0.
 .
 This package contains Roboto font families which lack hinting.

Package: fonts-rufscript
Description-md5: 2c82f5451d2e10f0874dfbd90e6bb7d7
Description-en: handwriting-based font for Latin characters
 Rufscript is a handwriting-based Unicode font containing
 basic Latin characters and is created using only FOSS tools
 (Fontforge - Inkscape - GIMP).

Package: fonts-sambhota-tsugring
Description-md5: 70a20fed80dda83f9357e8059582f0b9
Description-en: sambhota tsugring free OpenType Tibetan font
 This is a free Tibetan dbu-med OpenType Font, designed by Dezawa Shin-ichiro
 based on a font by Tibetan Dharamusara.

Package: fonts-sambhota-yigchung
Description-md5: da09f4807608e76a0ac5c5f2c3449243
Description-en: sambhota yigchung free OpenType Tibetan font
 This is a free Tibetan dbu-med OpenType Font, designed by Dezawa Shin-ichiro
 based on a font by Tibetan Dharamusara.

Package: fonts-samyak
Description-md5: 6107047dce352602bdd0f8e135188885
Description-en: Samyak TrueType fonts for Indian languages
 This package provides Samyak TrueType fonts for following
 Indian languages:
  * Gujarati
  * Tamil
  * Devanagari
  * Oriya
  * Malayalam

Package: fonts-samyak-orya
Description-md5: 888e768b66e503753db494fb7d44610b
Description-en: Samyak TrueType font for Oriya language
 This package provides Samyak TrueType font for Oriya
 language (or) which is primarily used in the Indian state
 of Orissa.

Package: fonts-sawarabi-gothic
Description-md5: 19a1454b1ba0296d73dcd9a016c1431d
Description-en: gothic font for Japanese
 Sawarabi Gothic is an original sans serif font including kanji, kana and
 Latin characters. At present it has at least 4400 glyphs.
 .
 Sawarabi, Japanese word, means "newborn bracken", so this font has only tiny
 glyph set now, but grows up like bracken.

Package: fonts-sawarabi-mincho
Description-md5: 17cb2cbf67b68a15eef9b972ce6f6d34
Description-en: Japanese mincho font - Sawarabi Mincho font
 Sawarabi Mincho is an original serif font including kanji, kana and Latin
 characters. At present it has only about 2800 glyphs.

Package: fonts-senamirmir-washra
Description-md5: 46caaee58c1c51529acd377dc9ef462d
Description-en: collection of unicode fonts for the Ethiopic script
 WashRa is, simply, a set of eleven Ethiopic fonts. All of them support the
 Ethiopic standard included in Unicode 3.0. The fonts are: Ethiopia Jiret,
 Ethiopic Zelan, Ethiopic WashRa Bold, Ethiopic WashRa SemiBold, Ethiopic
 Yigezu Bisrat Gothic, Ethiopic Hiwua, Ethiopic Fantuwua, Ethiopic Yebse,
 Ethiopic Wookianos, Ethiopic Tint, Ethiopic Yigezu Bisrat Goffer.
 .
 These fonts were developed by Abass Alamnehe of the Senamirmir Project
 (http://www.senamirmir.com).
 .
 The WashRa fonts are released under the SIL Open Font License and for more
 information please visit http://scripts.sil.org/OFL.

Package: fonts-seto
Description-md5: 5492f69c9887237cc679c59ed8ca7f15
Description-en: handwriting Japanese font including JIS X 0213 kanji
 Seto font is a handwriting Japanese monospaced font.
 .
 It includes JIS X 0213 kanji and also Unicode SIP (Supplementary Ideographic
 Plane) kanji in setofont-ex.ttf

Package: fonts-sil-alkalami
Description-md5: e5025e168e6ed75519cbee5131137d7d
Description-en: Font for Arabic-based writing systems in the Kano region
 Alkalami is the local word for the Arabic "qalam", a type of sharpened stick
 used for writing on wooden boards in the Kano region of Nigeria and in Niger,
 and what gives the style its distinct appearance. The baseline stroke is very
 thick and solid. The ascenders and other vertical strokes including the teeth
 are very narrow when compared to the baseline. A generous line height is
 necessary to allow for deep swashes and descenders, and the overall look of
 the page is a very black, solid rectangle. Diacritics are much smaller in
 scale, with very little distance from the main letters.
 .
 This font supports the characters known to be used by languages written with
 the Kano style of Arabic script, but may not have the characters needed for
 other languages.
 .
 This font utilizes state-of-the-art font technologies to support complex
 typographic issues. Font smarts are implemented using OpenType only. There
 are no current plans to support Graphite.
 .
 Two fonts are included in this release:
    * Alkalami Regular
    * Alkalami Light Regular
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-awami
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-andika
Description-md5: 0d8eea2deff7ae2348b5ddfbd732633d
Description-en: extended smart Unicode Latin/Greek font family for literacy
 Andika ("Write!" in Swahili) is a sans serif, Unicode-compliant font
 designed especially for literacy use, taking into account the needs of
 beginning readers. The focus is on clear, easy-to-perceive letterforms that
 will not be easily confused with one another.
 .
 A sans serif font is preferred by some literacy personnel for teaching
 people to read. Its forms are simpler and less cluttered than some serif
 fonts can be. For years, literacy workers have had to make do with fonts
 that were available but not really suitable for beginning readers and
 writers. In some cases, literacy specialists have had to tediously cobble
 together letters from a variety of fonts in order to get the all of
 characters they need for their particular language project, resulting in
 confusing and unattractive publications. Andika addresses those issues.
 .
 After receiving many insightful comments on the Design Review and Basic fonts,
 Andika's final letterforms have been refined with alternate shapes still
 available for some characters. This font now contains the same character set
 as Charis SIL and Doulos SIL.
 .
 Andika  provides OpenType and Graphite features like smart code for diacritic
 placement and supports recent additions to Unicode and the SIL PUA, and
 character assignments are updated to conform to Unicode 5.1
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-andika
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-andika-compact
Description-md5: 2bae59cbb481271404bfa4e49ff6780c
Description-en: Smart Unicode Latin/Greek fonts for literacy (tight spacing version)
 Andika ("Write!" in Swahili) is a sans serif, Unicode-compliant font
 designed especially for literacy use, taking into account the needs of
 beginning readers. The focus is on clear, easy-to-perceive letterforms that
 will not be easily confused with one another.
 .
 A sans serif font is preferred by some literacy personnel for teaching
 people to read. Its forms are simpler and less cluttered than some serif
 fonts can be. For years, literacy workers have had to make do with fonts
 that were available but not really suitable for beginning readers and
 writers. In some cases, literacy specialists have had to tediously cobble
 together letters from a variety of fonts in order to get the all of
 characters they need for their particular language project, resulting in
 confusing and unattractive publications. Andika addresses those issues.
 .
 After receiving many insightful comments on the Design Review and Basic fonts,
 Andika's final letterforms have been refined with alternate shapes still
 available for some characters. This font now contains the same character set
 as Charis SIL and Doulos SIL.
 .
 Andika  provides OpenType and Graphite features like smart code for diacritic
 placement and supports recent additions to Unicode and the SIL PUA, and
 character assignments are updated to conform to Unicode 5.1
 .
 See the FONTLOG for information on this and previous releases.
 .
 This is the compact version to allow more flexibility with diacritics.

Package: fonts-sil-andikanewbasic
Description-md5: 10661a19f4a78d089a147911696fd5a5
Description-en: smart Unicode Latin font family for literacy (more faces, limited charset)
 Andika ("Write!" in Swahili) is a sans serif, Unicode-compliant font
 designed especially for literacy use, taking into account the needs of
 beginning readers. The focus is on clear, easy-to-perceive letterforms that
 will not be easily confused with one another.
 .
 A sans serif font is preferred by some literacy personnel for teaching
 people to read. Its forms are simpler and less cluttered than some serif
 fonts can be. For years, literacy workers have had to make do with fonts
 that were available but not really suitable for beginning readers and
 writers. In some cases, literacy specialists have had to tediously cobble
 together letters from a variety of fonts in order to get the all of
 characters they need for their particular language project, resulting in
 confusing and unattractive publications. Andika addresses those issues.
 .
 Andika New Basic is a limited-character-set (no extended IPA or Cyrillic)
 version of Andika that includes regular, bold, italic and bold-italic faces.
 Andika New Basic gives a preview of what a whole range of new weights will
 eventually look like.
 .
 A hallmark of Andika's versatility for global use is its selection of
 alternate letterforms. These alternates are accessible via OpenType and
 Graphite font features. For applications that do not support OpenType or
 Graphite, TypeTuner Web (http://scripts.sil.org/ttw/fonts2go.cgi) allows
 you to download a custom font with your choice of which forms should be
 the default. TypeTuner is also available as a standalone utility -
 (http://scripts.sil.org/TypeTuner).
 .
 Andika New Basic is a limited-character-set version of Andika that includes
 a full family of styles (Regular, Bold, Italic and Bold-Italic).
 .
 In particular, these fonts do not support:
   Full extended Latin IPA
   Complete support for Central European languages
   Greek
   Cyrillic
 .
 What is the difference between Andika and Andika New Basic?
   Andika has a more complete character set comparable to
     Charis SIL and Doulos SIL
   Andika New Basic has a limited character set, supporting only the Basic
     Latin and Latin-1 Supplement Unicode ranges, plus a selection of the
     more commonly used extended Latin characters, with miscellaneous
     diacritical marks, symbols and punctuation.
   Andika New Basic has all four faces: Regular, Bold, Italic and Bold-Italic
 .
 What is the difference between Andika Basic (2008) and Andika New Basic?
   Andika New Basic has all four faces: Regular, Bold, Italic and Bold-Italic
   Andika New Basic has a few more characters than Andika Basic
   Andika New Basic uses different glyphs for "a" and "r" based characters
     than Andika Basic, and are identical to the main Andika font
   Graphite feature identifiers were integers in Andika Basic and are
     4-character alphanumeric tags in Andika New Basic
 .
 The full-character-set Andika is being updated to include all four faces
 (Regular, Bold, Italic and Bold-Italic).
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-andika
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-annapurna
Description-md5: 9d54f3dfa74dde3d48b059893f988563
Description-en: smart font for languages using Devanagari script
 These fonts are named after the majestic Annapurna mountain range of Nepal.
 The goal is to provide a Unicode-based font family with support for the many
 diverse languages that use Devanagari script to produce readable, high-quality
 publications. The design is intended to be highly readable, reasonably
 compact, and visually attractive.
 .
 Annapurna SIL has a calligraphic design that reflects the stroke contrast of
 writing the characters with a broad nib used for Devanagari.
 .
 Annapurna SIL is a TrueType font with "smart font" capabilities added using
 OpenType and Graphite font technologies. This means that complex typographic
 issues such as the placement of combining marks or the formation of ligatures
 are handled by the font, provided you are running an application that provides
 an adequate level of support for one of these smart font technologies.
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-annapurna
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-awami-nastaliq
Description-md5: ba0bc52d2baf7c2684410a7b3947e5ed
Description-en: Smart Unicode font for the Nastaliq script
 Awami Nastaliq is a Nastaliq-style Arabic script font supporting a wide variety
 of languages of Southwest Asia, including but not limited to Urdu. This font
 is aimed at minority language support. This makes it unique among Nastaliq
 fonts.
 .
 Awami means "of the people", "of the common population" or "public".
 .
 The Awami Nastaliq font does not provide complete coverage of all the
 characters defined in Unicode for Arabic script. Because the font style is
 specifically intended for languages using the Nastaliq style of southwest Asia,
 the character set for this font is aimed at those languages.
 .
 This font makes use of state-of-the-art font technologies to support complex
 typographic issues. Font smarts have been implemented using Graphite only.
 There are no current plans to support OpenType.
 .
 One font from this typeface family is included in this release:
     * Awami Nastaliq Regular
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-awami
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-charis
Description-md5: 44cc4dd60c5cc7c0cd8458662eb892c5
Description-en: smart Unicode font family for Roman or Cyrillic-based writing systems
 The goal for Charis SIL is to provide a single Unicode-based font family
 that would contain a comprehensive inventory of glyphs needed for almost
 any Roman- or Cyrillic-based writing system, whether used for phonetic or
 orthographic needs. In addition, there is provision for other characters
 and symbols useful to linguists.
 .
 The Charis SIL font contains near-complete coverage of all the characters
 defined in Unicode 5.1 for Latin and Cyrillic. In total, over 2,200 glyphs
 are included, providing support for over 1,500 graphic or control
 characters as well as a large number of ligated character sequences (e.g.,
 contour tone letters used in phonetic transcription of tonal languages).
 .
 Four fonts from this typeface family are included in this release:
    * Charis SIL Regular
    * Charis SIL Bold
    * Charis SIL Italic
    * Charis SIL Bold Italic
 .
 Charis SIL is a TrueType font with "smart font" capabilities added using
 the Graphite, OpenType(r), and AAT font technologies. This means that
 complex typographic issues such as the placement of multiple diacritics or
 the formation of ligatures are handled by the font, provided you are
 running an application that provides an adequate level of support for one
 of these smart font technologies.
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-charis
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-charis-compact
Description-md5: 0ffc3298b31fd350427333962d0dd671
Description-en: smart Unicode fonts for Latin and Cyrillic scripts (tight spacing version)
 The goal for Charis SIL is to provide a single Unicode-based font family
 that would contain a comprehensive inventory of glyphs needed for almost
 any Roman- or Cyrillic-based writing system, whether used for phonetic or
 orthographic needs. In addition, there is provision for other characters
 and symbols useful to linguists.
 .
 The Charis SIL font contains near-complete coverage of all the characters
 defined in Unicode 7.0 for Latin and Cyrillic. In total, over 3,600 glyphs are
 included, providing support for over 2,300 characters as well as a large number
 of ligated character sequences (e.g., contour tone letters used in phonetic
 transcription of tonal languages).
 .
 Four fonts from this typeface family are included in this release:
    * Charis SIL Compact Regular
    * Charis SIL Compact Bold
    * Charis SIL Compact Italic
    * Charis SIL Compact Bold Italic
 .
 Charis SIL is a TrueType font with "smart font" capabilities added using the
 Graphite, OpenType(r), and AAT font technologies. This means that complex
 typographic issues such as formation of ligatures or automatic positioning of
 diacritics relative to base characters in arbitrary base+diacritic combinations
 (including combinations involving multiple diacritics) are handled by the font.
 In addition, alternately-designed glyphs are also provided for a number of
 characters for use in particular contexts. These capabilities are only
 available if you are running an application that provides an adequate level of
 support for one of these smart font technologies.
 .
 This is the compact version with tighter line spacing.

Package: fonts-sil-dai-banna
Description-md5: 79e35df870c29976e3753ba02ac88a7d
Description-en: smart Unicode font for Dai Banna (Xishuangbanna Dai)
 This package provides the Dai Banna SIL fonts which are a Unicode
 rendering of the New Tai Lue (Xishuangbanna Dai) script.  Version 2.000 is the
 first Unicode release.  (Version 1.0 was released under the name of 'SIL Dai
 Banna' in legacy encoding.)  Two font families, differing only in weight,
 allow for a wide range of uses.
 .
 The New Tai Lue script is used by approximately 300,000 people who speak the
 Xishuangbanna Dai language in Yunnan, China.  It is a simplification of the
 Tai Tham (Old Tai Lue) script as used for this language for hundreds of years.
 .
 The Dai Banna SIL fonts are smart fonts using a Graphite description. The
 source package includes the source GDL code for the description. The features
 of the fonts are:
 .
  - complete coverage of the New Tai Lue script
  - Graphite smarts for re-ordrant vowels and line-breaking
 .
 Extended Font sources (Graphite .gdl and FontLab .vfb) are available in the
 source package and on the project website.

Package: fonts-sil-doulos
Description-md5: 077199fd875a487fce9c3bf4b9f66081
Description-en: smart Unicode font for Latin and Cyrillic scripts
 The goal for the Doulos SIL font is to provide a single Unicode-based font
 family that would contain a comprehensive inventory of glyphs needed for
 almost any Roman- or Cyrillic-based writing system, whether used for
 phonetic or orthographic needs. In addition, there is provision for other
 characters and symbols useful to linguists.
 .
 The Doulos SIL font contains near-complete coverage of all the characters
 defined in Unicode 5.1 for Latin and Cyrillic. In total, over 2,200 glyphs
 are included, providing support for over 1,500 graphic or control
 characters as well as a large number of ligated character sequences (e.g.,
 contour tone letters used in phonetic transcription of tonal languages).
 .
 Doulos SIL is a TrueType font with "smart font" capabilities added
 using the Graphite, OpenType(r), and AAT font technologies. This means that
 complex typographic issues such as the placement of multiple diacritics or the
 formation of ligatures are handled by the font, provided you are running an
 application that provides an adequate level of support for one of these
 smart font technologies.
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-doulos
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-doulos-compact
Description-md5: db1e706bf0a040f89142f054caf80256
Description-en: smart Unicode font for Latin and Cyrillic scripts (tight spacing version)
 The goal for the Doulos SIL font is to provide a single Unicode-based font
 family that would contain a comprehensive inventory of glyphs needed for
 almost any Roman- or Cyrillic-based writing system, whether used for
 phonetic or orthographic needs. In addition, there is provision for other
 characters and symbols useful to linguists.
 .
 The Doulos SIL font contains near-complete coverage of all the characters
 defined in Unicode 7.0 for Latin and Cyrillic. In total, over 3,600 glyphs are
 included, providing support for over 2,300 characters as well as a large number
 of ligated character sequences (e.g., contour tone letters used in phonetic
 transcription of tonal languages).
 .
 In addition, alternately-designed glyphs are also provided for a number of
 characters for use in particular contexts. The glyphs are accessible in
 applications that support advanced font technologies, specifically the Graphite
 or OpenType technologies. These technologies are also utilized to provide
 automatic positioning of diacritics relative to base characters in arbitrary
 base+diacritic combinations (including combinations involving multiple
 diacritics).
 .
 Doulos SIL is a TrueType font with "smart font" capabilities added using the
 Graphite, OpenType(r), and AAT font technologies. This means that complex
 typographic issues such as formation of ligatures or automatic positioning of
 diacritics relative to base characters in arbitrary base+diacritic combinations
 (including combinations involving multiple diacritics) are handled by the font.
 In addition, alternately-designed glyphs are also provided for a number of
 characters for use in particular contexts. These capabilities are only
 available if you are running an application that provides an adequate level of
 support for one of these smart font technologies.
 .
 This is the compact version with tighter line spacing.

Package: fonts-sil-galatia
Description-md5: 5b030196c09677bc87f58be01c323fab
Description-en: font family for Latin-1 and Greek (polytonic support)
 The Galatia SIL Greek Unicode Fonts are a new version of the SIL Galatia font
 released by SIL in 1997.
 .
 The Latin-1 codepage ("A-Z", "a-z" plus some punctuation, etc.) is included in
 the font. This is to assist with viewing Latin or Roman text. The Macintosh
 character set for US Roman and the 850 WE/Latin-1 encodings are also included.
 .
 Polytonic Greek is supported but Coptic is not. There is no automatic formation
 of the final sigma.

Package: fonts-sil-gentium
Description-md5: 0d9508df18609fc6ffdda969a2aea84b
Description-en: extended Unicode Latin font ("a typeface for the nations")
 Gentium ("belonging to the nations" in Latin) is a Unicode typeface family
 designed to enable the many diverse ethnic groups around the world who use
 the Latin script to produce readable, high-quality publications. The design
 is intended to be highly readable, reasonably compact, and visually
 attractive. Gentium has won a "Certificate of Excellence in Typeface Design"
 in two major international typeface design competitions: bukva:raz! (2001)
 and TDC2003 (2003).
 .
 Gentium provides glyphs for a wide range of Latin and Greek characters. The
 additional 'extended' Latin letters are designed to naturally harmonize with
 the traditional 26 ones. Diacritics are treated with careful thought and
 attention to their use. Gentium also supports both ancient and modern Greek,
 including a number of alternate forms. It currently supports the following
 ranges of Unicode 3.0 (completely unless noted):
 .
  Range          Description
 .
  U+0020-U+007F  Basic Latin
  U+00A0-U+00FF  Latin-1 Supplement
  U+0100-U+017F  Latin Extended-A
  U+0180-U+021F  Latin Extended-B
  U+0222-U+0233  Latin Extended-B (continued)
  U+0250-U+02AD  IPA Extensions
  U+02B0-U+02FF  Spacing Modifier Letters
  U+0300-U+036F  Combining Diacritical Marks
  U+0370-U+03D5  Greek (not including archaic or Coptic)
  U+1E00-U+1EFF  Latin Extended Additional
  U+1F00-U+1FFF  Greek Extended
  U+2000-U+203A  General Punctuation (partial)
  U+2070-U+209F  Superscripts and Subscripts
  U+20A0-U+20CF  Currency Symbols (partial)
  U+2100-U+214F  Letterlike Symbols (only a couple)
 .
 Gentium Regular also includes some Cyrillic glyphs, but they are only early
 drafts. A future version will include a completely revised set, including
 italic, and will expand the support for Latin, Greek and Cyrillic to Unicode
 4.1.
 .
 GentiumAlt is an alternate font with flatter diacritics, specifically
 designed for languages using multiple accents.
 .
 The extended sources (Fontlab .vfb) for this font family are available
 in the source package and on the project website.

Package: fonts-sil-gentium-basic
Description-md5: cabe184e76b0a69d85bcbfe4f3bd0c0b
Description-en: smart Unicode font families (Basic and Book Basic) based on Gentium
 Gentium Basic and Gentium Book Basic are font families based on the original
 Gentium design, but with additional weights. The "Book" family is slightly
 heavier. Both families come with a complete regular, bold, italic and bold
 italic set of fonts.
 .
 The supported character set, however, is much smaller than for the main
 Gentium fonts. These "Basic" fonts support only the Basic Latin and Latin-1
 Supplement Unicode ranges, plus a selection of the more commonly used extended
 Latin characters, with miscellaneous diacritical marks, symbols and
 punctuation. A much more complete character set will be supported in a future
 version of the complete Gentium fonts. These "Basic" fonts are intended as a
 way to provide additional weights for basic font users without waiting until
 the complete Gentium character set is finished.
 .
 There are, however, some wonderful new features that are still missing from
 the main Gentium family:
  * Bold
  * Bold Italic
  * The slightly-heavier Book family
  * OpenType and Graphite smart code for diacritic placement
  * A few useful OpenType and Graphite features
  * Support for a few more recent additions to Unicode
  * Character assignments updated to Unicode 5.1
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-gentium

Package: fonts-sil-gentiumplus
Description-md5: de6eaa28c0b4cb471341a2905a10afc3
Description-en: extended smart font family for Latin, Greek and Cyrillic
 Gentium ("belonging to the nations" in Latin) is a Unicode typeface family
 designed to enable the many diverse ethnic groups around the world who use the
 Latin script to produce readable, high-quality publications. The design is
 intended to be highly readable, reasonably compact, and visually attractive.
 Gentium has won a "Certificate of Excellence in Typeface Design" in two major
 international typeface design competitions: bukva:raz! (2001) and TDC2003
 (2003). The goal is to provide a single Unicode-based font family that
 contains a comprehensive inventory of glyphs needed for almost any Roman- or
 Cyrillic-based writing system, whether used for phonetic or orthographic
 needs, and provide a matching Greek face. In addition, there is provision for
 other characters and symbols useful to linguists. This font makes use of
 state-of-the-art font technologies to support complex typographic issues, such
 as the need to position arbitrary combinations of base glyphs and diacritics
 optimally.
 .
 Gentium Plus is based on the original Gentium design. However, it now
 has the full OpenType and Graphite support that Doulos SIL and Charis
 SIL contain — including full IPA support.
 .
 Work is ongoing to provide bold and bold-italic weights, as well as a
 complete book-weight family.
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-gentium
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-gentiumplus-compact
Description-md5: 697656a5e1b968146dd92c872c6f3e4d
Description-en: Smart Unicode fonts for Latin, Greek and Cyrillic (tight spacing version)
 Gentium ("belonging to the nations" in Latin) is a Unicode typeface family
 designed to enable the many diverse ethnic groups around the world who use the
 Latin script to produce readable, high-quality publications. The design is
 intended to be highly readable, reasonably compact, and visually attractive.
 Gentium has won a "Certificate of Excellence in Typeface Design" in two major
 international typeface design competitions: bukva:raz! (2001) and TDC2003
 (2003). The goal is to provide a single Unicode-based font family that
 contains a comprehensive inventory of glyphs needed for almost any Roman- or
 Cyrillic-based writing system, whether used for phonetic or orthographic
 needs, and provide a matching Greek face. In addition, there is provision for
 other characters and symbols useful to linguists. This font makes use of
 state-of-the-art font technologies to support complex typographic issues, such
 as the need to position arbitrary combinations of base glyphs and diacritics
 optimally.
 .
 Gentium Plus is based on the original Gentium design. However, it now
 has the full OpenType and Graphite support that Doulos SIL and Charis
 SIL contain — including full IPA support.
 .
 Gentium Plus Compact is a dedicated derivative with line spacing metrics
 modified to "Tight" via TypeTuner. More font sources (including web
 fonts and corresponding examples) are available in the main Gentium Plus
 release.

Package: fonts-sil-harmattan
Description-md5: c983a79053bc301c73a20c7e8a37c72b
Description-en: Arabic script font for West African languages
 Harmattan, named after the trade winds that blow during the winter in West
 Africa, is designed in a Warsh style to suit the needs of languages using
 the Arabic script in West Africa.
 .
 Harmattan does not cover the full Unicode Arabic repertoire. It only supports
 characters known to be used by languages in West Africa.
 .
 Harmattan makes use of state-of-the-art font technologies to support complex
 typographic issues. Font smarts are implemented using OpenType and Graphite
 technologies.
 .
 Harmattan provides a simplified rendering of Arabic script, using basic
 connecting glyphs but not including a wide variety of additional ligatures
 or contextual alternates (only the required lam-alef ligatures). This
 simplified style is often preferred for clarity, especially in non-Arabic
 languages, but may be considered unattractive in more traditional and
 literate communities.
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-awami
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-lateef
Description-md5: a3b6e227f8bfbede5cbb432ac151dd19
Description-en: OpenType Arabic font for Sindhi and other languages of South Asia
 Lateef is named after Shah Abdul Lateef Bhitai, the famous Sindhi
 mystic and poet. It is intended to be an appropriate style for use
 in Sindhi and other languages of the South Asian region.
 .
 This font provides a simplified rendering of Arabic script, using basic
 connecting glyphs but not including a wide variety of additional ligatures
 or contextual alternates (only the required lam-alef ligatures). This
 simplified style is often preferred for clarity, especially in non-Arabic
 languages, but may be considered unattractive in more traditional and
 literate communities.
 .
 This release supports virtually all of the Unicode 5.0 Arabic character
 repertoire (excluding the Arabic Presentation Forms blocks, which are not
 recommended for normal use). Font smarts are implemented using OpenType
 technology.

Package: fonts-sil-mingzat
Description-md5: ec5b2e53d9004052fd01aca68bd07248
Description-en: Unicode font for the Lepcha script
 Mingzat is based on Jason Glavy's JG Lepcha font which was a custom-encoded
 font. His design is used with his generous permission. He also agreed
 to allow the font to be released under the SIL Open Font License (OFL).
 .
 The goal for this product was to provide a single Unicode-based font
 that would contain all Lepcha characters. In addition, there is provision
 for other Latin characters and symbols. This font makes use of
 state-of-the-art font technologies (Graphite and OpenType) to support the
 need for conjuncts and to position arbitrary combinations of Lepcha glyphs
 and combining marks optimally.
 .
 One font from this typeface family is included in this release:
 .
     * Mingzat Regular
 .
  Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-mingzat
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-mondulkiri
Description-md5: 66718a5d3919114e4e10cfc25eeada10
Description-en: Mondulkiri OpenType font family for Khmer script
 The Mondulkiri fonts provide Unicode support for the Khmer script.
 "Mondulkiri" is the name of a province in north-eastern Cambodia and Busra is
 a place in that province.
 .
 The following fonts from the Mondulkiri typeface family are included in this
 release:
 .
     * Khmer Mondulkiri Regular
     * Khmer Mondulkiri Bold
     * Khmer Mondulkiri Italic
     * Khmer Mondulkiri Bold Italic
     * Khmer Busra Regular
     * Khmer Busra Bold
     * Khmer Busra Italic
     * Khmer Busra Bold Italic

Package: fonts-sil-mondulkiri-extra
Description-md5: dc5ef7d9b8c5e6ae06d798659877a3b8
Description-en: Mondulkiri OpenType font family for Khmer script - additional fonts
 The Mondulkiri fonts provide Unicode support for the Khmer script. Mondulkiri
 is the name of a province in north-eastern Cambodia, Busra and Oureang are
 names of places in that province. Ratanakiri is the name of another province in
 north-eastern Cambodia.
 .
 The following fonts from the Mondulkiri typeface family are included in
 this release:
 .
     * Khmer Busra Bunong Regular
     * Khmer Busra diagnostic Regular
     * Khmer Busra dict Regular
     * Khmer Busra dot Regular
     * Khmer Busra high Regular
     * Khmer Busra MOE Regular
     * Khmer Busra xspace Regular
     * Khmer Oureang Ultra-expanded UltraBlack - this is an extra bold font
         useful for headings
     * Khmer Ratanakiri Regular - this is a Mool (or Muol or Muul) font which is
         frequently used for headings and signs.

Package: fonts-sil-shimenkan
Description-md5: 0e63c25f0df65c4e12254bbe21ce1de0
Description-en: Miao (Pollard) fonts
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-shimenkan-gsm
Description-md5: e312e47448fde4f88c02cc2f436bf619
Description-en: Miao (Pollard) fonts for Gepo / Bai Yi [ygp]
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.
 .
 This package provides fonts customized for
 Gepo / Bai Yi [ygp]

Package: fonts-sil-shimenkan-guifan
Description-md5: 294440a7adcf3003a2006a78c9da0c32
Description-en: Miao (Pollard) fonts for Large Flowery Miao, normalised orthography [hmdd]
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.
 .
 This package provides fonts customized for
 Large Flowery Miao, normalised orthography [hmdd]

Package: fonts-sil-shimenkan-mas
Description-md5: d7de195ee782f3b99e245ae676d71570
Description-en: Miao (Pollard) fonts for Small Flowery Miao / Xiaohua Miao [sfm]
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.
 .
 This package provides fonts customized for
 Small Flowery Miao / Xiaohua Miao [sfm]

Package: fonts-sil-shimenkan-mgs
Description-md5: 238656055472e38446804105e0ed65e1
Description-en: Miao (Pollard) fonts for Sinicized Miao / Waishu Miao / Hmong Shua [hmz]
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.
 .
 This package provides fonts customized for
 Sinicized Miao / Waishu Miao / Hmong Shua [hmz]

Package: fonts-sil-shimenkan-salaowu
Description-md5: ebdc342826a8a9807dfce6130f2a7165
Description-en: Miao (Pollard) fonts for Wuding-Luquan Yi / Hei Yi / Nasu [ywqa]
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.
 .
 This package provides fonts customized for
 Wuding-Luquan Yi / Hei Yi / Nasu with glyph variant [ywqa]

Package: fonts-sil-shimenkan-sapushan
Description-md5: 80ee27cda9b2432ed39d7a1eacc84752
Description-en: Miao (Pollard) fonts for Large Flowery Miao / Dahua Miao / A-Hmao [hmd]
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.
 .
 This package provides fonts customized for
 Large Flowery Miao / Dahua Miao / A-Hmao, traditional orthography [hmd]

Package: fonts-sil-shimenkan-taogu
Description-md5: be2fd9bd68a09e9ee4db16677643e870
Description-en: Miao (Pollard) fonts for Lipo / Dong Lisu [lpo]
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.
 .
 This package provides fonts customized for
 Lipo / Dong Lisu [lpo]

Package: fonts-sil-shimenkan-zonghe
Description-md5: ae09a14d5231dabeaaae2d2a98c2785e
Description-en: Miao (Pollard) fonts for Aluo / Gan Yi [yna]
 The Shimenkan family of fonts supports the broad variety of writing systems
 that use the Miao (Pollard) script.
 .
 The Latin glyphs are based on the OFL-licensed Source Sans Pro fonts
 (https://github.com/adobe-fonts/source-sans-pro). The Miao glyphs are
 designed to harmonize with the Latin, but remain true to the unique
 characteristics of Miao writing systems. The project is inspired by,
 but not based on, the Miao Unicode project
 (https://github.com/phjamr/MiaoUnicode).
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-shimenkan
 An open workflow is used for building, testing and releasing.
 .
 This package provides fonts customized for
 Aluo / Gan Yi [yna]

Package: fonts-sil-sophia-nubian
Description-md5: 29a525e9ab32a82025ef9ecd8e842185
Description-en: smart Unicode font family for Nubian languages using Coptic
 Sophia Nubian is a sans serif, Unicode-compliant font based on the SIL Sophia
 (similar to Univers) typeface. Its primary purpose is to provide adequate
 representation for Nubian languages which use the Coptic Unicode character
 set. Since Nubian languages do not use casing, uppercase characters are not
 included in this font. A basic set of Latin glyphs is also provided.
 .
 OpenType and Graphite smart code are available for Nubian macrons and "u"
 vowel.
 .
 Extended font sources are available.

Package: fonts-sil-tagmukay
Description-md5: db344335b3416eda33358d49391dc913
Description-en: Tifinagh Unicode TrueType font with OT and Graphite support
 Tagmukay is a Shifinagh (also know as Tifinagh) script font with support for
 the Tawallammat Tamajaq language. It is a Unicode font that features
 bi-consonant ligatures and alternate forms necessary to support this language.
 It has Graphite and OpenType tables that have the logic to support these
 features.
 .
 Webfont versions and HTML/CSS examples are also available.
 The font sources are publicly available at
 https://github.com/silnrsi/font-tagmukay

Package: fonts-sil-taiheritagepro
Description-md5: 01346daba170dba55b63820ccc58cc35
Description-en: typeface reflecting the traditional hand-written style of the Tai Viet script
 The original Tai Heritage font was designed to reflect the traditional
 hand-written style of the Tai Viet script that is treasured by the Tai people
 of Vietnam. This gives it its angular style and flowing lines, as opposed to
 the more rounded style used by Lao and some modern versions of Tai Viet.
 .
 The current Tai Heritage Pro release is Unicode encoded, based on the
 Unicode 5.2 standard. It uses either the SIL Graphite technology or OpenType
 for correct placement of combining marks (vowels and tones).
 .
 The Tai Dam, Tai Daeng and Tai Don people who live in northwestern Vietnam and
 surrounding areas have a long tradition of literacy in the Tai Viet script.
 Their languages belong to the Tai-Kadai language family and are closely
 related to Lao.
 .
 Font sources are published in the repository and an open workflow
 is used for building, testing and releasing.
 .
 Webfont versions and HTML/CSS examples are also available.
 .
 The full font sources are publicly available at
 https://github.com/silnrsi/font-taiheritagepro
 An open workflow is used for building, testing and releasing.

Package: fonts-sil-zaghawa-beria
Description-md5: cc798f027b038ff5cc582ee3e247a21f
Description-en: font for Zaghawa Beria (script used in western Sudan and eastern Chad)
 This alphabet is built around a sampling of the markings on livestock
 (especially camels) within the Zaghawa Beria language region of
 western Sudan and eastern Chad. It is an idea that has its origins in
 the work of a Sudanese schoolteacher, who developed the first version
 of this over 25 years ago. The script has since been better adapted
 to the Zaghawa Beria language by Siddik Adam Issa, and he has found a
 great enthusiasm by the people for what he has put together.
 .
 Note that this font is not encoded according to The Unicode Standard,
 as the Zaghawa Beria script has not yet been accepted into the
 standard.

Package: fonts-sipa-arundina
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: fonts-solide-mirage
Description-md5: d5ab55a6cd048d1dc57fc61846809683
Description-en: libre experimental didone style typeface
 This is a display face inspired by both the Didone genre and the music of
 Frànçois And The Atlas Mountains. Solide Mirage is an unicase, encouraging
 the user to stir lowercases and uppercases together. If the caps remains
 quite classical, the lowercases show a stronger temperament.

Package: fonts-spleen
Description-md5: 8025755b68966dd10d7617afde99b2ce
Description-en: monospaced font for consoles and terminals
 This is a vectorized monospaced bitmap font for consoles and terminals.

Package: fonts-stix
Description-md5: ede3b7e17c4ade83bcaee785e0e5c315
Description-en: Scientific and Technical Information eXchange fonts
 The mission of the Scientific and Technical Information Exchange (STIX)
 font creation project is the preparation of a comprehensive set of fonts
 that serve the scientific and engineering community in the process from
 manuscript creation through final publication, both in electronic and print
 formats.

Package: fonts-symbola
Description-md5: f8891af1a74801a45207108915b3153f
Description-en: symbolic font providing emoji characters from Unicode 9.0
 This package includes the Symbola font, which contains special symbols and
 emoji characters which are encoded in the Unicode Standard 9.0

Package: fonts-takao
Description-md5: dd215f0a3e427c884aacd2acdce748b6
Description-en: Japanese TrueType font set, Takao Fonts
 Takao Fonts are Japanese gothic and mincho scalable fonts. They are
 suitable for both display and printing. This package provides them in
 TrueType format.
 .
 Takao Fonts are based on IPA Fonts and IPAex Fonts. Takao's purpose is to
 make it possible to maintain and release the fonts by the community with
 changing their names.
 .
 This is metapackage, depends on fonts-takao-{mincho,gothic} packages.

Package: fonts-takao-gothic
Description-md5: 2feb186733f4ca332a716712c2b94e84
Description-en: Japanese TrueType font set, Takao Gothic Fonts
 Takao Fonts are Japanese gothic and mincho scalable fonts. They are
 suitable for both display and printing. This package provides them in
 TrueType format.
 .
 Takao Fonts are based on IPA Fonts and IPAex Fonts. Takao's purpose is to
 make it possible to maintain and release the fonts by the community with
 changing their names.
 .
 This package includes Takao Gothic and Takao Ex Gothic.

Package: fonts-takao-mincho
Description-md5: 4e7ce63e6bb9ed84b172c26835def9b9
Description-en: Japanese TrueType font set, Takao Mincho Fonts
 Takao Fonts are Japanese gothic and mincho scalable fonts. They are
 suitable for both display and printing. This package provides them in
 TrueType format.
 .
 Takao Fonts are based on IPA Fonts and IPAex Fonts. Takao's purpose is to
 make it possible to maintain and release the fonts by the community with
 changing their names.
 .
 This package includes Takao Mincho, Takao P Mincho and Takao Ex Mincho.

Package: fonts-takao-pgothic
Description-md5: 912deeb0144fb88b9943945137555612
Description-en: Japanese TrueType font set, Takao P Gothic Fonts
 Takao Fonts are Japanese gothic and mincho scalable fonts. They are
 suitable for both display and printing. This package provides them in
 TrueType format.
 .
 Takao Fonts are based on IPA Fonts and IPAex Fonts. Takao's purpose is to
 make it possible to maintain and release the fonts by the community with
 changing their names.
 .
 This package includes Takao P Gothic.

Package: fonts-taml-tamu
Description-md5: 2777dd8d627e52edd964297c705d09b3
Description-en: TAMu family of non Unicode fonts for Tamil script
 This package provides following TAMu family of non Unicode fonts for Tamil
 script:
 .
  * TAMu_Kadampari
  * TAMu_Kalyani
  * TAMu_Maduram
 .
 Tamil is widely spoken language in the Indian state of TamilNadu and
 Sri Lanka.

Package: fonts-taml-tscu
Description-md5: 9300e37266b6b7c47ca8972989f38494
Description-en: TSCu family of non Unicode fonts for Tamil script
 This package provides following TSCu family of non Unicode fonts
  * TSCu_Comic
  * TSCu_Paranar
  * TSCu_Times
  * TSCu_paranarb
  * TSCu_paranari
 Tamil is the widely used language in the Indian state of TamilNadu
 and Sri Lanka.

Package: fonts-teluguvijayam
Description-md5: d696a6be0f6c79be8226610058750134
Description-en: TrueType fonts for Telugu script (te)
 This package provides following 3 fonts for Telugu script
 which is used in Indian state of Andhra Pradesh.
  * Ponnala
  * RaviPrakash
  * LakkiReddy
 These fonts are released by Departement of Information
 technology Govt. of Andhra Pradesh.

Package: fonts-terminus
Description-md5: 9cf9ad736b4a231249a01eb96d0f65e8
Description-en: Terminus monospace font
 This is a fixed-width vector font called Terminus. It is suitable for
 terminals, editors, etc. If you have to work for extended time in front of
 monitor (i.e. over eight hours), you may find that using this font reduces
 your eyes-fatigue.

Package: fonts-texgyre
Description-md5: 0a706c8ea2eff80e477e669cc884a1bb
Description-en: OpenType fonts based on URW Fonts
 The TeX Gyre project, following the Latin Modern project, aims at providing
 a rich collection of diacritical characters in the attempt to cover as many
 Latin-based scripts as possible.
 .
 This package provides the OpenType variant of these fonts. For support
 for TeX and Type 1 (PostScript) fonts, see the tex-gyre package.
 .
 The TeX-GYRE bundle consists of seven font families:
 .
 The TeX Gyre Adventor family of fonts is based on the URW Gothic L
 family (designed by Herb Lubalin and Tom Carnase).
 .
 The TeX Gyre Bonum family of fonts is based on the URW Bookman L family
 (designed by Alexander Phemister).
 .
 The TeX Gyre Chorus font is based on URW Chancery L Medium Italic
 (designed by Hermann Zapf (ITC Zapf Chancery(R), 1979)).
 .
 The TeX Gyre Cursor family is based on the URW Nimbus Mono L family
 (designed by Howard G. (Bud) Kettler in 1955 for the IBM corporation).
 .
 The TeX Gyre Heros family of fonts is based on the URW Nimbus Sans L
 (prepared by Max Miedinger, with Eduard Hoffmann).
 .
 The TeX Gyre Pagella family of fonts is based on the URW Palladio L
 family (designed by Hermann Zapf).
 .
 The TeX Gyre Schola family of fonts is based on the URW Century
 Schoolbook L family (designed by Morris Fuller Benton).
 .
 The TeX Gyre Termes is based on the URW Nimbus Roman No9 L family
 (designed by Stanley Morison together with Starling Burgess and
 Victor Lardent).
 .
 The constituent 4 standard faces of each family have been greatly
 extended, and contain nearly 1200 glyphs each.  Each family is
 available in Adobe Type 1 and Open Type formats, and LaTeX support
 (for use with a variety of encodings) is provided.  Vietnamese
 characters were added by Han The Thanh.

Package: fonts-thai-tlwg-otf
Description-md5: 498ce0b5b9a5d925ad5bc6b9f333f079
Description-en: Thai OpenType fonts maintained by TLWG (metapackage)
 Shortcut for installing all Thai fonts that are collected, enhanced and
 maintained by Thai Linux Working Group (TLWG), in OpenType format.
 .
 Most modern desktop users who want to use Thai language should install this
 package.

Package: fonts-thai-tlwg-ttf
Description-md5: 32ae8d492fcf7ee3b0c970be5ee73568
Description-en: Thai TrueType fonts maintained by TLWG (metapackage)
 Shortcut for installing all Thai fonts that are collected, enhanced and
 maintained by Thai Linux Working Group (TLWG), in TrueType format.
 .
 Most modern desktop users who want to use Thai language should install this
 package.

Package: fonts-thai-tlwg-web
Description-md5: 1454d5f62d808c6d05ee6ff285850dc8
Description-en: Thai web fonts maintained by TLWG
 This package provides Thai fonts from TLWG in WOFF format for use in
 web font services.
 .
 This includes:
  - Serif fonts: Kinnari, Norasi
  - San Serif fonts: Garuda, Umpush, Laksaman
  - UI fonts: Loma, Waree
  - Monospace fonts: Tlwg Mono, Tlwg Typewriter, Tlwg Typist, Tlwg Typo
  - Decorative fonts: Sawasdee
  - Handwriting fonts: Purisa

Package: fonts-tiresias
Description-md5: 1a3cc075b393703bdfea75112ad0abc8
Description-en: Fonts for the visually impaired
 This is a family of realist sans-serif typefaces that were designed for best
 legibility by people with impaired vision at the Scientific Research Unit of
 Royal National Institute of the Blind in London.

Package: fonts-tlwg-garuda-otf
Description-md5: ae5a6adbe997537f64ce62aaee4e7f33
Description-en: Thai Garuda OpenType font
 This package provides Thai Garuda font, code-named "NF2", from the
 National Font Project, in OpenType format.
 .
 The font provides Arial-compatible Thai monoweight glyphs traditionally
 used in school books and official documents.

Package: fonts-tlwg-kinnari-otf
Description-md5: 056d8f81b46af35c200e786dac116288
Description-en: Thai Kinnari OpenType font
 This package provides Thai Kinnari font, code-named "NF1", from the
 National Font Project, in OpenType format.
 .
 The font provides Times-compatible Thai glyphs traditionally used in
 school books and official documents.

Package: fonts-tlwg-laksaman-otf
Description-md5: ac757cdd12f6a5ae0d79abb78f96dbb9
Description-en: Thai Laksaman OpenType font
 This package provides Thai Laksaman font from TLWG, in OpenType format.
 .
 Modified from Sarabun, the font provides Thai monoweight glyphs as used
 in Thai official documents and letters.

Package: fonts-tlwg-loma-otf
Description-md5: 21b8fd31a97141df02ff39854914b657
Description-en: Thai Loma OpenType font
 This package provides Thai Loma UI font from NECTEC, in OpenType format.
 .
 The font provides Arial-compatible Thai glyphs with metrics optimized
 for on-screen user interface. For example, line spacing is reduced from
 regular typographic designs to allow more lines displayed on screen.

Package: fonts-tlwg-mono-otf
Description-md5: 217674670798138ab3767aa267264930
Description-en: Thai TlwgMono OpenType font
 This package provides Thai TlwgMono monospace font from TLWG, in OpenType
 format.
 .
 The font provides Thai purely monospace font, i.e. all glyphs are of the
 same width, even for combining characters.
 .
 The glyph shapes are designed to look like Thai X bitmap font for terminal.

Package: fonts-tlwg-norasi-otf
Description-md5: 9e8b0fa3ea646af541e8d5f685689fa6
Description-en: Thai Norasi OpenType font
 This package provides Thai Norasi font, code-name "NF3", from the
 National Font Project, in OpenType format.
 .
 The font provides Times-compatible Thai glyphs with a rich set of Latin
 glyphs for typesetting books. It was originally created for the Omega
 (Unicode-based TeX kernel) project.

Package: fonts-tlwg-purisa-otf
Description-md5: 5ebb0aff89101dad038ce2d34e83862f
Description-en: Thai Purisa OpenType font
 This package provides Thai Purisa handwriting font from TLWG, in OpenType
 format.
 .
 The font provides handwriting Thai glyphs. Latin handwriting glyphs are
 also available.

Package: fonts-tlwg-sawasdee-otf
Description-md5: 3a62853f2a1348a77f3eea3527a36a6a
Description-en: Thai Sawasdee OpenType font
 This package provides Thai Sawasdee decorative font from TLWG, in OpenType
 format.
 .
 The font provides round-shaped Thai glyphs for decoration. It can also
 be used in stylish body text.

Package: fonts-tlwg-typewriter-otf
Description-md5: 172411294b05486b1373ac7632907a43
Description-en: Thai TlwgTypewriter OpenType font
 This package provides Thai TlwgTypewriter monospace font from TLWG, in
 OpenType format.
 .
 The font provides Thai semi-monospace font, i.e. all glyphs are of the
 same width, except combining characters.
 .
 The glyph shapes are designed to look like Thai X bitmap font for terminal.

Package: fonts-tlwg-typist-otf
Description-md5: 298cca716088b0f147407c7f8574a14e
Description-en: Thai TlwgTypist OpenType font
 This package provides Thai TlwgTypist monospace font from TLWG, in
 OpenType format.
 .
 The font provides Thai semi-monospace font, i.e. all glyphs are of the
 same width, except combining characters.
 .
 The glyph shapes are designed to look like Thai electric typewriters.

Package: fonts-tlwg-typo-otf
Description-md5: 2b3ebc42b771f6e685de86a8b9d2b40d
Description-en: Thai TlwgTypo OpenType font
 This package provides Thai TlwgTypo monospace font from TLWG, in OpenType
 format.
 .
 The font provides Thai purely monospace font, i.e. all glyphs are of the
 same width, even for combining characters, but with some rules in the font
 to combine them to base characters.
 .
 The glyph shapes are designed to look like Thai electric typewriters.

Package: fonts-tlwg-umpush-otf
Description-md5: e12116f0dd9d9b5f5e799edd27738443
Description-en: Thai Umpush OpenType font
 This package provides Thai Umpush font from TLWG, in OpenType format.
 .
 The font provides arch-shaped Thai glyphs similar to Cordia.
 The style is mostly used in pocket books or semi-official documents.

Package: fonts-tlwg-waree-otf
Description-md5: 89cdcf4a72d8da0cd629c1983b41c05a
Description-en: Thai Waree OpenType font
 This package provides Thai Waree font from TLWG, in OpenType format.
 .
 The font provides DejaVu-compatible Thai glyphs with metrics optimized
 for on-screen user interface. For example, line spacing is reduced from
 regular typographic designs to allow more lines displayed on screen.

Package: fonts-tomsontalks
Description-md5: d9d240585f3626ecb45ffe2323bddec0
Description-en: comic lettering font
 This package provides a comic lettering font created with online comics
 in mind. It is named for the character Police Inspector Benedict Tomson
 from the comic Unusual Murders.

Package: fonts-triod-postnaja
Description-md5: 53c1aaf73e9dffcdd3091f4fe45a9329
Description-en: Latin+Cyrillic font based on Old Church Slavonic service books
 Triod Postnaja attempts to mimic the typefaces used to publish Old Church
 Slavonic service books prior to the 20th century.  It also provides a
 range of Latin letters in the same style, sufficient for the needs of
 central, northern and western European languages.
 .
 Unlike most Old Church Slavonic fonts that provide Latin ranges, this
 font imitates the uncial style, especially in lowercase letters with
 ascenders or descenders.  Triod Postnaja is something of a
 stylistic mishmash of various period styles — those seeking a more
 period-authentic face for setting Church Slavonic should have a look
 at other fonts such as Hirmos Ponomar.

Package: fonts-tuffy
Description-md5: 551a52c976306909cb099fb2d10ba1ff
Description-en: The Tuffy Truetype Font Family
 Thatcher Ulrich's first outline font design. He started with the goal of
 producing a neutral, readable sans-serif text font. There are lots of
 "expressive" fonts out there, but he wanted to start with something very plain
 and clean, something he might want to actually use.

Package: fonts-ubuntu-font-family-console
Description-md5: d0841407641888c1a8a10b931aae470c
Description-en: console version of the Ubuntu Mono font (transitional package)
 The Ubuntu Font Family is a set of contemporary sans-serif fonts developed
 between 2010-2011. Dalton Maag performed the font design work and
 implementation with funding from Canonical. The fonts have been the default
 fonts for Ubuntu since 2010.
 .
 This package contains the "Ubuntu Mono" font converted to a bitmap version
 for Linux console use. These fonts can be loaded from a virtual terminal by
 executing "setfont /usr/share/consolefonts/UbuntuMono*.psf" .
 .
 This is a transitional package.

Package: fonts-ubuntu-title
Description-md5: 6691c5c9e760369dfd559114c2ec732d
Description-en: font used to create the Ubuntu logo (2004‒2010)
 This font was used to create the lettering of the Ubuntu logo, it was made
 available by Canonical under the OFL 1.1 and the GPL 2 with font exception to
 make rebranding of Ubuntu easier and to provide LoCos (Language communities)
 with a font to create material related to Ubuntu in their own language.
 .
 It is no longer used in the Ubuntu logo. It was in use between 2004 and 2010.

Package: fonts-umeplus
Description-md5: da378b090fd85cd92b20f0fcd502529f
Description-en: Japanese TrueType gothic fonts, based on Umefont and M+Font
 UmePlus is Japanese TrueType gothic font, mixed Umefont and M+Font.
 It consists of
  * UmePlus Gothic
  * UmePlus P Gothic
 .
 And also, Umeplus is the default Japanese font for Mandriva Linux.

Package: fonts-umeplus-cl
Description-md5: 0a2024a5a6c93c5c88736d708bb87bda
Description-en: Japanese TrueType font, based on Ume Gothic Classic and M+ fonts
 Umeplus-cl is Japanese TrueType Gothic font, it consists of
  * UmePlus CL Gothic
  * UmePlus CL P Gothic

Package: fonts-unfonts-core
Description-md5: d2d7c4f882eca1661f5721fed9472492
Description-en: Un series Korean TrueType fonts
 This is a set of Korean TrueType fonts.  These fonts were made from
 the HLaTeX's PostScript fonts and modified slightly.
 .
 This package has only the most common font families (UnBatang, UnDotum,
 Ungraphic, Unpilgi, and UnGungseo). Install fonts-unfonts-extra for
 additional fonts.

Package: fonts-unfonts-extra
Description-md5: bd1295807df91dc25ae18a36a677024b
Description-en: Un series Korean TrueType fonts (extra)
 This is a set of Korean TrueType fonts.  These fonts were made from
 the HLaTeX's PostScript fonts and modified slightly.
 .
 They package has the less common font families. In most cases,
 ttf-unfonts-core package is enough for daily use.

Package: fonts-unikurdweb
Description-md5: 0bef80ee8e1e6c44c1b6c83b88d21428
Description-en: Unikurd Web free Kurdish font
 This is a free TrueType Kurdish font (UnikurdWeb). It contains all glyphs for
 Kurdish in the Latin and Arabic script, namely the necessary addtional glyphs
 for Soranî.

Package: fonts-uralic
Description-md5: 8cbaa97217766f02bc83e7f16ffaf3a0
Description-en: Truetype fonts for Cyrillic-based Uralic languages
 The Uralic fonts contain additional letters used in most Uralic languages
 with Russian-based writing systems - Khanty (all dialects), Komi,
 Mansi (without marking long vowels), Mari, Nenets, Selkup and Udmurt.
 The fonts also support Altai, Chukchi, Even, Evenki, Koryak and Nanai.
 .
 Font list:
    * Bookman Uralic (regular, bold, italic)
    * Chancery Uralic - Decorative calligraphic font
    * Gothic Uralic (regular, bold) - Futura-like sans serif
    * Mono Uralic (regular) - Courier-like fixed width font
    * Palladio Uralic (regular, bold, italic) - Palatino-like typeface
    * Roman Uralic (regular, bold, italic) - Times-like typeface
    * Sans Uralic (regular, bold, italic, bold italic) - Helvetica-like
      sans serif typeface
    * Sans Condensed Uralic (regular, bold) - Narrow version of Sans Uralic
    * Schoolbook Uralic (regular, bold, italic)
 .
 These fonts cover the ISO10646-1 and CP1251 charsets.

Package: fonts-vlgothic
Description-md5: f163dce2cbe8004517cecb6dcc146df6
Description-en: Japanese TrueType font from Vine Linux
 VL Gothic is beautiful Japanese free Gothic TrueType font, developed
 by Project Vine.
 .
 It is based on Sazanami Gothic (by Electronic Font Open Laboratory)
 and M+1C/M+1M font (by M+ FONTS PROJECT).

Package: fonts-vollkorn
Description-md5: 6713446d718d84cf4297ffc1940249e9
Description-en: serif body text type
 This is a body text type face that includes symbols for many European
 languages and fonts of regular, medium, semibold and bold weight and
 italic variants.

Package: fonts-wine
Description-md5: 6687a945f4931d5163028b6dc777154b
Description-en: Windows API implementation - fonts
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides the fonts used by Wine.

Package: fonts-woowa-bm
Description-md5: d2cc80c322a6226067a42ce03ed9e3f7
Description-en: Baedal-Minjok decorative Korean fonts
 Baedal-Minjok fonts are decorative Korean font families. They are
 intentionally designed to look old-styled. They are designed for
 "Baedal-Minjok" food delivery service.
 .
 This package includes 7 font families; BM DoHyeon, BM EULJIRO, BM HANNA 11yrs
 old, BM JUA, BM KIRANGHAERANG, BM YEONSUNG, BM HANNA Air and BM HANNA Pro.

Package: fonts-wqy-microhei
Description-md5: c32a7f886f6870b4b8e9be19f98fbef8
Description-en: Sans-serif style CJK font derived from Droid
 WenQuanYi Micro Hei font family is a sans-serif style (also known as Hei,
 Gothic or Dotum among the Chinese/Japanese/Korean users) high quality
 CJK outline font. It was derived from "Droid Sans Fallback", "Droid
 Sans" and "Droid Sans Mono" released by Google Corp. This font package
 contains two faces, "Micro Hei" and "Micro Hei Mono", in form of a
 TrueType Collection (ttc) file. All the unified CJK Han glyphs, i.e.
 GBK Hanzi, in the range of U+4E00-U+9FC3 defined in Unicode Standard 5.1
 are covered, with additional support to many other international
 languages such as Latin, Extended Latin, Hanguls and Kanas. The font
 file is extremely compact (~5M) compared with most known CJK fonts.
 As a result, it can be used for hand-held devices or embedded systems, or
 used on PC with a significantly small memory footprint. Because both
 font faces carry hinting and kerning instructions for Latin glyphs,
 they are excellent choices for desktop fonts.

Package: fonts-wqy-zenhei
Description-md5: 1e5c788bfbd34f18409a1ccd47e50c2b
Description-en: "WenQuanYi Zen Hei" A Hei-Ti Style (sans-serif) Chinese font
 WenQuanYi Zen Hei is a Hei-Ti style (sans-serif type) Chinese font.
 It was designed for general purpose text formatting and on-screen
 display of Chinese characters among many other languages. The embolden
 strokes of the font glyphs produces enhanced screen contrast, making
 it easier to read and recognize. The embedded bitmap glyphs further
 enhance on-screen performance, and can be enabled with the provided
 configuration files.
 .
 WenQuanYi Zen Hei provides a fairly complete coverage of Chinese
 Hanzi glyphs, including both simplified and traditional forms.
 The total glyph number in this font is over 35,000, including over
 21,000 Chinese Hanzi. This font provides full coverage of GBK (CP936)
 charset, CJK Unified Ideographs, as well as the code-points needed
 for zh_CN, zh_SG, zh_TW, zh_HK, zh_MO, ja (Japanese) and ko (Korean)
 locales for fontconfig.
 .
 Starting from version 0.8, this font package provides two font families,
 i.e. the proportional "WenQuanYi Zen Hei", and the monospaced typeface
 named "WenQuanYi Zen Hei Mono".

Package: fonts-yanone-kaffeesatz
Description-md5: 94370216673cdb02c31bcc7e8be36027
Description-en: Font in four weights, reminiscent of 1920s coffee house typography
 Yanone Kaffeesatz was first published in 2004. Its Bold is reminiscent
 of 1920s coffee house typography, while the rather thin fonts bridge
 the gap to present times. You can witness Kaffeesatz use on German
 fresh-water gyms, Dubai mall promos and New Zealand McDonalds ads.
 And of course on coffee and foodstuff packaging and cafe design around
 the globe.
 .
 In 2010 it was decided to be re-released under the SIL Open Font
 License to make it possible to include in software bundles or web font
 services like Googles Font Directory.

Package: fonts-yozvox-yozfont
Description-md5: a92375903d6870b458d246083097eadc
Description-en: Japanese proportional Handwriting OpenType font
 YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and
 contains the 10000 or more characters (includes hiragana, katakana and kanji).
 .
 This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional
 (without Japanese character) and Wide line pitch
 .
 This is metapackage, it pulls all YOzFont packages.

Package: fonts-yozvox-yozfont-antique
Description-md5: 9668ecec9bf1f76c3675a8b64a180ef7
Description-en: Japanese proportional Handwriting OpenType font (antique kana)
 YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and
 contains the 10000 or more characters (includes hiragana, katakana and kanji).
 .
 This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional
 (without Japanese character) and Wide line pitch
 .
 It includes "YOzFontA (antique kana) / YOzFontA Bold"

Package: fonts-yozvox-yozfont-cute
Description-md5: 502f611592a42cfea2b77ddc4a3fc982
Description-en: Japanese proportional Handwriting OpenType font (cute kana)
 YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and
 contains the 10000 or more characters (includes hiragana, katakana and kanji).
 .
 This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional
 (without Japanese character) and Wide line pitch
 .
 It includes "YOzFontC (cute kana)  / YOzFontC Bold"

Package: fonts-yozvox-yozfont-edu
Description-md5: 190c84c958d07ae8641158fb8bc980bb
Description-en: Japanese proportional Handwriting OpenType font (educational cana)
 YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and
 contains the 10000 or more characters (includes hiragana, katakana and kanji).
 .
 This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional
 (without Japanese character) and Wide line pitch
 .
 It includes "YOzFontE (educational kana) / YOzFontE Bold"

Package: fonts-yozvox-yozfont-new-kana
Description-md5: 34bb82ccab6c1aa9f9bee6171302a6dd
Description-en: Japanese proportional Handwriting OpenType font (new kana)
 YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and
 contains the 10000 or more characters (includes hiragana, katakana and kanji).
 .
 This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional
 (without Japanese character) and Wide line pitch
 .
 It includes "YOzFontN (new kana) / YOzFontN Bold"

Package: fonts-yozvox-yozfont-standard-kana
Description-md5: 497c46f3cb0d9ed3b689dafcbe6ceb81
Description-en: Japanese proportional Handwriting OpenType font (standard kana)
 YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and
 contains the 10000 or more characters (includes hiragana, katakana and kanji).
 .
 This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional
 (without Japanese character) and Wide line pitch
 .
 It includes "YOzFont  (standard kana) / YOzFont Bold"

Package: fonttools
Description-md5: 57fe2b0b7365324aa52d193df4f6bb15
Description-en: Converts OpenType and TrueType fonts to and from XML (Executables)
 FontTools/TTX is a library to manipulate font files from Python.
 It supports reading and writing of TrueType/OpenType fonts, reading
 and writing of AFM files, reading (and partially writing) of PS Type 1
 fonts.  It also contains a tool called "TTX" which converts
 TrueType/OpenType fonts to and from an XML-based format.
 .
 This provides the executables of fontTools package.

Package: fonty-rg
Description-md5: be4370ddb05ee880d62531872a445d89
Description-en: Linux console fonts in various encodings
 fonty-rg contains fonts for linux console, including fonts for
 ISO-8859-1,2,3,4,5,6,7,8,9,10,11,13,14,15,16, KOI8-R,U,C,
 CP1250, CP1251, CP1252 codepages, as well as two Unicode
 fonts with wide coverage, and an ISO-8859-16 ACM file.

Package: foo-yc20
Description-md5: 43f9b0e649dca826b0f9adecfff6c5aa
Description-en: YC-20 organ emulation
 The YC-20 is a divide-down combo organ designed in the late 60's. This
 emulation faithfully copies the features, sounds and flaws of the original
 organ.
 .
 Features:
    - 61 keys
    - Two main voice sections
    - Switchable bass section
    - Generator + matrix mixer => no polyphony restrictions
    - A realism control to add flaws found in the real organ
 .
 Flaws:
    - Takes quite a bit of CPU power
    - No touch vibrato
 .
 The emulation is written in Faust and uses Jack for audio and MIDI.

Package: foobillardplus
Description-md5: 7194123757b996e0f597b7ef43f7755c
Description-en: 3D OpenGL Billiard Game
 FooBillard++ is an advanced 3D OpenGL billiard game based on the original
 foobillard 3.0a sources from Florian Berger. You can play it with one or two
 players or against the computer.
 .
 The game features:
 .
  * Wood paneled table with gold covers and gold diamonds.
  * Reflections on balls.
  * Zoom in and out, rotation, different angles and bird's eye view.
  * Different game modes: 8 or 9-ball, Snooker or Karambol.
  * Tournaments. Compete against other players.
  * Animated cue with strength and eccentric hit adjustment.
  * Jump shots and snipping.
  * Realistic gameplay and billiard sounds.
  * Red-Green stereo.
  * And much more.

Package: foobillardplus-data
Description-md5: 58b98294a095e27938da2e79d8e860e1
Description-en: 3D OpenGL Billiard Game -- data files
 FooBillard++ is an advanced 3D OpenGL billiard game based on the original
 foobillard 3.0a sources from Florian Berger. You can play it with one or two
 players or against the computer.
 .
 This package contains game data for foobillardplus and includes all graphics
 and sounds.

Package: foodcritic
Description-md5: 41ad7e72fa374bf0d3bb57f3a0ee74ff
Description-en: lint tool for chef cookbooks
 Foodcritic has two goals:
 .
 To make it easier to flag problems in your Chef cookbooks that will cause Chef
 to blow up when you attempt to converge. This is about faster feedback. If you
 automate checks for common problems you can save a lot of time.
 .
 To encourage discussion within the Chef community on the more subjective stuff
 - what does a good cookbook look like? Opscode have avoided being overly
 prescriptive. Having a set of rules to base discussion on helps drive out what
 the community think is good style.

Package: fookb
Description-md5: 09519a2e21976fe59b8b427c17ee8e09
Description-en: Xkb state indicator
 WindowMaker docked Xkb state indicator. Fookb can switch xkb groups
 and display a pixmap corresponding to the selected group. It also can
 execute a specified command upon the switch (for example, play sound).

Package: fookb-plainx
Description-md5: c2ed711f9ba49f1cd4b37aaf10fdbd8e
Description-en: Xkb state indicator -- plain X version (transitional package)
 WindowMaker docked Xkb state indicator. Fookb can switch xkb groups
 and display a pixmap corresponding to the selected group. It also can
 execute a specified command upon the switch (for example, play sound).
 This is the plain X-compiled version. It can't do docking, and uses
 only X resources for configuration.
 .
 This is a transitional package. It can safely be removed.

Package: fookb-wmaker
Description-md5: 97ec66af966cd248bf89fac66ccae98b
Description-en: Xkb state indicator -- WindowMaker version (transitional package)
 WindowMaker docked Xkb state indicator. Fookb can switch xkb groups
 and display a pixmap corresponding to the selected group. It also can
 execute a specified command upon the switch (for example, play sound).
 This is the WindowMaker-compiled version. It can do docking, and uses
 proplist-style configuration files.
 .
 This is a transitional package. It can safely be removed.

Package: foomatic-db
Description-md5: d5f3469f229aa19246b75b0de1f76a10
Description-en: OpenPrinting printer support - database
 Foomatic is a printing system designed to make it easier to set up
 common printers for use with Debian (and other operating systems).
 It provides the "glue" between a print spooler (like CUPS or lpr) and
 your actual printer, by telling your computer how to process files
 sent to the printer.
 .
 This package contains the printer database distributed by
 OpenPrinting for most common drivers.  You will probably need
 the foomatic-db-engine package for this package to be useful.

Package: foomatic-db-engine
Description-md5: 06dc0af0df2ebffe0b2cf09bedf80c7f
Description-en: OpenPrinting printer support - programs
 Foomatic is a printing system designed to make it easier to set up
 common printers for use with Debian (and other operating systems).
 It provides the "glue" between a print spooler (like CUPS or lpr) and
 your actual printer, by telling your computer how to process files
 sent to the printer.
 .
 This package contains the architecture-dependent programs needed to
 set up and maintain the foomatic system.  You will also need one or
 more database packages.  The foomatic-db package includes drivers for
 most common printers using Ghostscript as the print processor, as
 well as some common glue code used in other filter systems.

Package: foomatic-filters
Description-md5: efd71d9a23140772a4ce729460e7553a
Description-en: OpenPrinting printer support - filters
 Foomatic is a printer database designed to make it easier to set up
 common printers for use with UNIX-like operating systems.
 It provides the "glue" between a print spooler (like CUPS or lpr) and
 the printer, by processing files sent to the printer.
 .
 This package consists of filters used by the printer spoolers
 to convert the incoming PostScript data into the printer's native
 format using a printer-specific, but spooler-independent PPD file.
 .
 For use with CUPS, you will need both the cups and cups-client
 packages installed on your system.

Package: foomatic-filters-beh
Description-md5: e349d51c9a23366e3d8e2c8bb314a2ce
Description-en: Openprinting Backend error handler
 Foomatic is a printer database designed to make it easier to set up
 common printers for use with UNIX-like operating systems.
 It provides the "glue" between a print spooler (like CUPS or lpr) and
 the printer, by processing files sent to the printer.
 .
 This package contains the backend error handler.

Package: fop
Description-md5: 7c52f7896f03be5c1298e2f10b724c19
Description-en: XML formatter driven by XSL Formatting Objects (XSL-FO.) - app
 FOP is a Java application that reads a formatting object tree and then
 turns it into a wide variety of output presentations (including AFP,
 PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays
 the result on-screen.
 .
 The formatting object tree can be in the form of an XML document
 (output by an XSLT engine like xalan) or can be passed in memory as a
 DOM Document or (in the case of xalan) SAX events.
 .
 This package contains the fop command line tool

Package: fop-doc
Description-md5: 724066902129e5385dbeeff72241b377
Description-en: XML formatter driven by XSL Formatting Objects (doc) - doc
 FOP is a Java application that reads a formatting object tree and then
 turns it into a wide variety of output presentations (including AFP,
 PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays
 the result on-screen.
 .
 The formatting object tree can be in the form of an XML document
 (output by an XSLT engine like xalan) or can be passed in memory as a
 DOM Document or (in the case of xalan) SAX events.
 .
 This package contains the documentation.

Package: foremancli
Description-md5: 7e959458332c37f318453ca28db91b0e
Description-en: commandline search interface to Foreman
 This is a commandline tool for searching node information stored in a
 Foreman server.
 .
 foremancli can be used to search and access all the stored information in
 a Foreman server and present the data in text, json or yaml formats.
 .
 Foreman is a server application that can be used to provision bare metal,
 virtual and cloud servers, and integrates with the Puppet configuration
 management system to provide full life cycle managements of one's server
 infrastructure.

Package: foremost
Description-md5: a4c203200ff8fc06c24eec52adcd041b
Description-en: forensic program to recover lost files
 Foremost is a forensic program to recover lost files based on
 their headers, footers, and internal data structures.
 .
 Foremost can work on image files, such as those generated by dd,
 Safeback, Encase, etc, or directly on a drive. The headers and
 footers can be specified by a configuration file or you can use
 command line switches to specify built-in file types. These built-in
 types look at the data structures of a given file format allowing
 for a more reliable and faster recovery.

Package: forensic-artifacts
Description-md5: a49394f1d3f2b489963f1e99b10b3209
Description-en: knowledge base of forensic artifacts (data files)
 A free, community-sourced, machine-readable knowledge base of forensic
 artifacts that the world can use both as an information source and within
 other tools.
 .
 This package installs the data files alone, without the Python toolkit.

Package: forensics-all
Description-md5: ca140d2bf7c54abbbb0ff0689d11155b
Description-en: Debian Forensics Environment - essential components (metapackage)
 This package provides the core components for a forensics environment.
 All here available tools are packaged by Debian Security Tools Team.
 This metapackage includes the most programs to data recovery, rootkit
 and exploit search, filesystems and memory analysis, image acquisition,
 volume inspection, special actions over the hardware and many other
 activities.
 .
 The following packages were included in this metapackage:
 .
   acct, aesfix, aeskeyfind, afflib-tools, arp-scan, binwalk, braa,
   bruteforce-salted-openssl, brutespray, btscanner, capstone-tool,
   ccrypt, cewl, chaosreader, chkrootkit, cowpatty, crack or crack-md5,
   dc3dd, dirb, dislocker, dnsrecon, doona, dsniff, ed2k-hash,
   exifprobe, ext4magic, extundelete, ewf-tools, fcrackzip,
   forensic-artifacts, forensics-colorize, galleta, grokevt, hashdeep,
   hashid, hashrat, hydra, mac-robber, magicrescue, maskprocessor,
   masscan, medusa, memdump, metacam, mfcuk, mfoc, missidentify,
   myrescue, nasty, nbtscan, ncat, ncrack, ndiff, nmap, o-saft,
   ophcrack-cli, outguess, pasco, patator, pff-tools, pipebench,
   pixiewps, pnscan, polenum, pompem, recoverdm, recoverjpeg,
   reglookup, rephrase, rfdump, rhash, rifiuti, rifiuti2, rkhunter,
   rsakeyfind, safecopy, samdump2, scalpel, scrounge-ntfs, shed,
   sleuthkit, smbmap, ssdeep, ssldump, statsprocessor, steghide,
   stegsnow, sucrack, tableau-parm, tcpick, testssl.sh, undbx, unhide,
   unhide.rb, vinetto, wapiti, wfuzz, winregfs, wipe, xmount, yara
 .
 This metapackage is useful for pentesters, ethical hackers and forensics
 experts.

Package: forensics-all-gui
Description-md5: c2c52f52c1a7cae72d7f992b6871e520
Description-en: Debian Forensics Environment - GUI components (metapackage)
 This package provides the core components for a GUI forensics environment.
 All here available tools are packaged by Debian Security Tools Team. This
 metapackage includes graphics programs, useful for some specific activities.
 .
 The following packages were included in this metapackage:
 .
   hydra-gtk, nmapsi4, ophcrack
 .
 This metapackage is useful for pentesters, ethical hackers and forensics
 experts.

Package: forensics-colorize
Description-md5: 00572098bd711ee5064c885f1c964824
Description-en: show differences between files using color graphics
 forensics-colorize is a set of tools to visually compare large files, as
 filesystem images, creating graphics of them. It is intuitive because the
 produced graphics provide a quick and perfect sense about the percentage
 of changes between two files.
 .
 Comparing large textual files using a simple diff can produce a very big
 result in lines, causing confusion. On the other hand, diff is improper
 to compare binary files.
 .
 This package provides two command line programs: filecompare and colorize.
 The filecompare command is used to create a special and auxiliary input
 file for colorize. The colorize command will generate an intuitive graphic
 that will make easier to perceive the level of changes between the files.

Package: forensics-extra
Description-md5: 38295af615554f651f1aa492d8ebbeb7
Description-en: Forensics Environment - extra console components (metapackage)
 This package provides the extra components for a forensics environment. All
 here available tools are text console based. None of these tools were packaged
 by Debian Security Tools Team. This metapackage includes the most programs to
 data recovery, rootkit and exploit search, filesystems and memory analysis,
 image acquisition, volume inspection, passwords crackers, dictionaries (all
 put in /usr/share/dict), disassemblers, special actions over the hardware and
 many other activities.
 .
 The idea is provide several packages via APT, to avoid forgetting something
 when creating a specialized environment, specifically designed to operate
 outdoor.
 .
 There are not an intent to provide tools for remote attacks. So, some packages
 can be provided to allow a local analyses over pcap files. Other packages can
 be used to find vulnerabilities inside a LAN which is being investigated.
 .
 Other examples are hexedit and mc packages. mc provides mcview. The hexedit
 and mcview are able to manipulate very large files.
 .
 The following packages were included in this metapackage:
 .
   arc, bfbtester, binutils, brotli, bruteforce-luks, bzip2,
   cabextract, chntpw, clzip, comprez, crunch, cryptmount, cupp, curl,
   dact, dares, dcfldd, ddrutility, dhcpdump, dictconv, diffstat,
   disktype, dmitry, dnsutils, dtach, erofs-utils, ethstatus, ethtool,
   exfat-fuse, exfat-utils, exif, exiftags, exiv2, fatcat, fdupes,
   flasm, foremost, funcoeszz, gddrescue, gdisk, geoip-bin, gifshuffle,
   hcxdumptool, heartbleeder, hexcompare, hexedit, horst, hping3,
   hwinfo, imageindex, inxi, ipgrab, ipv6toolkit, jdupes, john, less,
   libimage-exiftool-perl, lltdscan, lrzip, lshw, lz4, lzma, lzop,
   mblaze, mboxgrep, mc, mdns-scan, membernator, memstat, minizip,
   mpack, mscompress, nasm, nast, ncompress, netcat, netdiscover,
   ngrep, nomarch, nstreams, ntfs-3g, p7zip-full, parted, pcapfix,
   pcaputils, pdfcrack, pecomato, pev, plzip, pngcheck, poppler-utils,
   psrip, rarcrack, reaver, rzip, sipcrack, sipgrep, smb-nat, sngrep,
   snowdrop, ssh-audit, stegosuite, stepic, sxiv, tcpdump, tcpflow,
   tcpreplay, tcptrace, tcpxtract, telnet, testdisk, tshark, unar,
   uni2ascii, unzip, wamerican, wamerican-huge, wamerican-insane,
   wamerican-large, wamerican-small, wbrazilian, wbritish,
   wbritish-huge, wbritish-insane, wbritish-large, wbritish-small,
   wbulgarian, wcanadian, wcanadian-huge, wcanadian-insane,
   wcanadian-large, wcanadian-small, wcatalan, weplab, wesperanto,
   wfaroese, wfrench, wgaelic, wgerman-medical, whois, wirish,
   witalian, wmanx, wngerman, wpolish, wportuguese, wspanish, wswedish,
   wswiss, wukrainian, wzip, xxd, xz-utils, zpaq
 .
 This metapackage is useful for pentesters, ethical hackers and forensics
 experts.

Package: forensics-extra-gui
Description-md5: 69690e835afd72871571e89d5a308eaa
Description-en: Forensics Environment - extra GUI components (metapackage)
 This package provides the extra components for a forensics environment. All
 here available tools are GUI (Graphics User Interface) based. None of these
 tools were packaged by Debian Security Tools Team. This metapackage includes
 image viewers, audio and picture analyzers and movie and image (picture)
 viewers.
 .
 The idea is provide several packages via APT, to avoid forgetting something
 when creating a specialized environment, specifically designed to operate
 outdoor.
 .
 The following packages were included in this metapackage:
 .
   audacity, evince, gimp, gwenview, imview, linssid, shotwell,
   sqlitebrowser, vlc, wireshark
 .
 This metapackage is useful for pentesters, ethical hackers and forensics
 experts.

Package: forensics-full
Description-md5: 4318a1e37dd02b06ecef39e037a5edca
Description-en: Full forensics station (metapackage)
 This metapackage install forensics-all, forensics-all-gui, forensics-extra
 and forensics-extra-gui packages, making available all significative tools
 for forensics activities provided by Debian.
 .
 If you want a desktop acting as a forensics station, this package is for you.
 .
 This package is also useful for pentesters, ethical hackers and forensics
 experts to analyse desktops and networks after security incidents.

Package: forge-doc
Description-md5: 17195d16b46135c49762e8b49b625412
Description-en: documentation for forge
 A prototype of the OpenGL interop library that can be used with ArrayFire. The
 goal of Forge is to provide high performance OpenGL visualizations for C/C++
 applications that use CUDA/OpenCL.
 .
 This package provides the documentation.

Package: forked-daapd
Description-md5: f1d0e8dc6bfea957f2c87b8fb11d2694
Description-en: DAAP/DACP (iTunes) server, support for AirPlay and Roku devices
 forked-daapd is an iTunes-compatible media server, originally intended
 as a rewrite of Firefly Media Server (also known as mt-daapd).
 .
 It supports a wide range of audio formats, can stream video to iTunes,
 XBMC and other compatible clients, has support for Apple's Remote
 iPhone/iPod application and can stream music to AirPlay devices like
 the AirPort Express.
 .
 It also features RSP support for Roku's SoundBridge devices and MPD support for
 Music Player Daemon clients.
 .
 Built-in, on-the-fly decoding support enables serving popular free music
 formats like FLAC, Ogg Vorbis or Musepack to those clients that do not
 otherwise support them.

Package: forkstat
Description-md5: 26dd1065d1b9e5766071fe93d73e1084
Description-en: process fork/exec/exit monitoring tool
 Forkstat monitors process fork(), exec() and exit() activity.
 It is useful for monitoring system behaviour and to track down
 rogue processes that are spawning off processes and potentially
 abusing the system.

Package: form
Description-md5: 84468d585ba68000c5b3f146029e3dc6
Description-en: Symbolic manipulation system
 This is a Symbolic Manipulation System. It reads symbolic expressions from
 files and executes symbolic/algebraic transformations upon them. The answers
 are returned in a textual mathematical representation. As its landmark
 feature, the size of the considered expressions in FORM is only limited by
 the available disk space and not by the available RAM.

Package: form-doc
Description-md5: f6e9654d3e6595c2d7d51183c53f4715
Description-en: Documentation for symbolic manipulation system
 This is the documentation for the Symbolic Manipulation System. It reads
 symbolic expressions from files and executes symbolic/algebraic
 transformations upon them. The answers are returned in a textual
 mathematical representation. As its landmark feature, the size of the
 considered expressions in FORM is only limited by the available disk
 space and not by the available RAM.

Package: formiko
Description-md5: 4a399eb5744bcb6e6b857633a40a0ad9
Description-en: reStructuredText and MarkDown editor and live previewer
 Features:
  * GtkSourceView based editor with syntax highlighting
  * possible use Vim editor
  * vertical or horizontal window splitting
  * preview mode
  * periodic save file
  * json and html preview

Package: fort-validator
Description-md5: e61bd1baf75038ab7e8239a3aead4e5f
Description-en: RPKI validator and RTR server
 FORT validator is an RPKI relying party software: it performs the
 validation of the RPKI repository and serves the ROAs to the routers.

Package: fort77
Description-md5: 67c1bae4c3713cf567bd9732a7906441
Description-en: Invoke f2c like a real compiler
 The  fort77  script invokes the f2c command transparently, so it can be
 used like a real Fortran compiler.  It can be used to compile Fortran,
 C and assembler code, and to link it with the f2c libraries.

Package: fortran-language-server
Description-md5: ee527dcc6b4992d4ae813ba509103de3
Description-en: Fortran Language Server for the Language Server Protocol
 Fortran Language Server (fortls) is an implementation of the Language
 Server Protocol. It can be used with editors that supports the
 protocol (e.g. Emacs with elpa-lsp-mode) to offer support for code
 completion and documentation.
 .
 Supported LSP features include:
  * Document symbols (textDocument/documentSymbol)
  * Auto-complete (textDocument/completion)
  * Signature help (textDocument/signatureHelp)
  * GoTo/Peek definition (textDocument/definition)
  * Hover (textDocument/hover)
  * GoTo implementation (textDocument/implementation)
  * Find/Peek references (textDocument/references)
  * Project-wide symbol search (workspace/symbol)
  * Symbol renaming (textDocument/rename)
  * Documentation parsing (Doxygen and FORD styles)
  * Diagnostics

Package: fortunate.app
Description-md5: 2310912a87451fad05c8852b2e95eae8
Description-en: Display a quotation (fortune) in a window for GNUstep
 This displays a quotation in a window. Fortunate is a Cocoa/Objective-C
 graphical front-end to the command-line BSD fortune which, since the dawn of
 time, has been providing countless seconds of fun each time a user logs in.

Package: fortune-anarchism
Description-md5: 55d68a63ebf7964185e78bb2768142f8
Description-en: anarchist quotes for fortune
 This package provides a set of anarchist quotes in the fortune database format.

Package: fortune-mod
Description-md5: a7bcee1de06a4a4ef10e4fb43761a22c
Description-en: provides fortune cookies on demand
 The fortune program displays epigrams, known as fortune cookies,
 selected randomly from a selection of fortune files.
 .
 This package provides the fortune program itself and the programs
 used for generating the data files. The data files are contained in
 the fortunes-min, fortunes, and fortunes-off packages.

Package: fortunes
Description-md5: 0968b0a1488030ccf0daaabf21c179ab
Description-en: Data files containing fortune cookies
 The fortune program displays epigrams, known as fortune cookies,
 selected randomly from a selection of fortune files.
 .
 There are far over 15000 different cookies in this package.
 .
 You'll need the fortune-mod package to display the cookies.

Package: fortunes-bg
Description-md5: d6f2089fa76e5524a171b9bfcbb2ed83
Description-en: Bulgarian data files for fortune
 Collection of about 125 Bulgarian proverbs and 150 other proverbs,
 150 thoughts with Bulgarian authors and 150 other thoughts,
 some ancient Bulgarian texts and other sentences.
 .
 This package can be a replacement for the fortunes package to let fortune
 speak Bulgarian.

Package: fortunes-bofh-excuses
Description-md5: 83a8ef53345c9ab5de895205ce1eccb7
Description-en: BOFH excuses for fortune
 fortunes-bofh-excuses is a collection of excuses which you can
 use as a BOFH when something goes wrong.  Some examples are:
 'Electromagnetic energy loss', 'disks spinning backwards - toggle the
 hemisphere jumper.'

Package: fortunes-br
Description-md5: 6a09d9167a7af84272ac9fcd51f9868f
Description-en: Data files with fortune cookies in Portuguese
 A collection of several fortune cookies for Portuguese speakers, gathered
 from various sources, ranging from proverbs to quotations from literature
 classics.

Package: fortunes-cs
Description-md5: ca48a776c66a15b1dfedb2f06abace36
Description-en: Czech and Slovak data files for fortune
 Collection of about 7400 fortunes in Czech and Slovak. This package
 can be a replacement for or an addition to the fortunes package
 to let fortune speak Czech and/or Slovak.

Package: fortunes-de
Description-md5: fbe5aac01d5c6d0e88722eef991aa3cc
Description-en: German data files for fortune
 Collection of more than 17000 German cookies. This package can be a replacement
 for or an addition to the fortunes package to let fortune speak German.

Package: fortunes-debian-hints
Description-md5: b8a94b2a8be8c2124213fbbd1b23791c
Description-en: Debian Hints for fortune
 This package provides a set of hints and tips on using Debian, in a
 fortune database format. New Debian users (or administrators) may find its
 advice particularly sage or helpful, and even veteran Debianites might
 find some new tidbits.

Package: fortunes-eo
Description-md5: 9144c190bd3cf765f24e57e6559b2237
Description-en: Collection of esperanto fortunes.
 Datumbazo kun esperantlingvaj mesaĝoj por la fortune programo.
 Enhavas mesaĝojn el Proverbaro Esperanta de Zamenhof, en UTF-8 kodo.
 .
 Database with esperanto fortune cookies.
 Contains messages from Proverbaro Esperanta by Zamenhof, in
 UTF-8 encoding.

Package: fortunes-eo-ascii
Description-md5: 1bce5e1eb6c246a0f9021301f97b262f
Description-en: Collection of esperanto fortunes (ascii encoding).
 Datumbazo kun esperantlingvaj mesagxoj por la fortune programo.
 Enhavas mesagxojn el Proverbaro Esperanta de Zamenhof,
 en ASCII (post-x) kodo.
 .
 Database with esperanto fortune cookies.
 Contains messages from Proverbaro Esperanta by Zamenhof,
 in ASCII (post-x) encoding.

Package: fortunes-eo-iso3
Description-md5: f668db8377baa6d96dfa5f1f94269904
Description-en: Collection of esperanto fortunes (ISO3 encoding).
 Datumbazo kun esperantlingvaj mesagxoj por la fortune programo.
 Enhavas mesagxojn el Proverbaro Esperanta de Zamenhof,
 en ISO-8859-3 kodo.
 .
 Database with esperanto fortune cookies.
 Contains messages from Proverbaro Esperanta by Zamenhof,
 in ISO-8859-3 encoding.

Package: fortunes-es
Description-md5: 982884b869a799540d1345693034ee8b
Description-en: Spanish fortune database
 A collection of Spanish fortune cookies, taken from various sources
 including the 'chorrada' program (from FIDOnet) and the
 'Frases y Proverbios' webpage.

Package: fortunes-es-off
Description-md5: 7d259c9ade384ea8462d74f8d872c3cf
Description-en: Spanish fortune cookies (Offensive section)
 A collection of mostly offensive Spanish fortune cookies, from various sources.
 .
 DO NOT INSTALL this package unless you really want to read offensive fortunes.
 Package fortunes-es contains mainly non-offensive fortunes in Spanish.

Package: fortunes-fr
Description-md5: 1f451667df1e433fb24e9cc80292d4d4
Description-en: French fortunes cookies
 A collection of French fortune cookies, from various sources:
  * fortunes-fr
  * ellisllk (mauriceetpatapon, oulipo)
  * GCU - Le Guide du Cabaliste Usenet
  * GDP - Le Guide du Debianiste Pervers
  * GFA - Le Guide du Fmblien Assassin
  * GLP - Le Guide du Linuxien Pervers
  * GPJ - Le Guide du Petit Joueur
  * La tribune libre de linuxfr
  * Les bonnes fortunes de J.R.R. Tolkien
  * Les fortunes de Multidesk OS
  * Les fortunes de Multidesk OS (2)
  * #linuxfr@Undernet
 .
 This package can be replacement for or an addition to the fortunes
 package to let fortune speak French.

Package: fortunes-ga
Description-md5: f60915cdfead4a30ce973c8c5339a766
Description-en: Irish (Gaelige) data files for fortune
 Collection of Irish proverbs, from Damien Lyons and the GAELIC-L mailing list.
 This package can be a replacement for or an addition to the fortunes package
 to let fortune speak Irish.

Package: fortunes-it
Description-md5: b1a47f72ebb7f5dc6e865d1b591ba9e2
Description-en: Data files containing Italian fortune cookies
 This package provides a collection of 'fortune cookies' in Italian, taken
 from:
  * The newsgroup it.hobby.umorismo
  * Andrea `Zuse` Balestrero's personal archive
  * Various tv transmissions, such as "Mai dire Gol" or "Striscia la Notizia"
  * The book "Jack Frusciante e` uscito dal gruppo"
  * Other various sources

Package: fortunes-it-off
Description-md5: 5b32cedf6024ab3a4040257a1a9652e1
Description-en: Data files containing Italian fortune cookies, offensive section
 This package contains a set of 'fortune cookies' in Italian, separated from
 the fortunes-it package since someone may consider they to be offensive.
 .
 Please do not install this package if you or your users are easily
 offended.

Package: fortunes-mario
Description-md5: 5c08a26b8b28a4c0aeccd5b21cc8f386
Description-en: Fortunes files from Mario
 A fortune database for Portuguese speakers, gathered from Mario
 Domenech Goulart. It is the result of collecting cookies for a long
 time to make a great database.

Package: fortunes-min
Description-md5: 91fd46d0ad7ffb733019abdda56cbf2f
Description-en: Data files containing selected fortune cookies
 The fortune program displays epigrams, known as fortune cookies,
 selected randomly from a selection of fortune files.
 .
 This package contains a small number of cookies for the bandwidth
 conscious.
 .
 You'll need the fortune-mod package to display the cookies.

Package: fortunes-off
Description-md5: 53fe8c2ddd64786c46e2cfbd74809af4
Description-en: Data files containing offensive fortune cookies
 The fortune program displays epigrams, known as fortune cookies,
 selected randomly from a selection of fortune files.
 .
 This package contains cookies which some may consider to be
 offensive. Please do not install this package if you or your users
 are easily offended.
 .
 You'll need the fortune-mod package to display the cookies.

Package: fortunes-pl
Description-md5: 13cc9688648d7eeca8fff4061a55e479
Description-en: Polish data files for fortune
 A collection of fortune cookies in Polish.
 .
 This package can be replacement for or an addition to the fortunes
 package to let fortune speak Polish.

Package: fortunes-ru
Description-md5: ed52d80d2e488b5d949adf34baf25594
Description-en: Russian data files for fortune
 A collection of fortune cookies in Russian.
 .
 This package can be replacement for or an addition
 to the fortunes package to let fortune speak Russian.

Package: fortunes-spam
Description-md5: 4e0385368b9c07c8f74dff9250256605
Description-en: fortunes taken from SPAM messages
 This package contains fortunes taken from subjects and bodies
 of SPAM messages (mails, newsgroup posts, etc.); they are not
 edited, except when lines were longer than 72 chars.

Package: fortunes-ubuntu-server
Description-md5: fae705f84cb83d270c1adffe1b64ec16
Description-en: Ubuntu server tips for fortune
 This package provides a set of tips on using Ubuntu server, in a
 fortune database format.

Package: fortunes-zh
Description-md5: acae5325eb4f2e38e4dd8fc603a6b9cb
Description-en: Chinese Data files for fortune
 This package contains the Chinese data files for fortune in
 UTF-8 encoding.
 .
 cookies included in this package:
  * tang300: 300 Tang Poems
  * song100: 100 Song Poems
  * chinese: Misc Chinese Collection

Package: fosfat
Description-md5: f485568ff529dc4b40f588a516354d5b
Description-en: FUSE library to access Smaky formatted disk (ro)
 Fosfat is a C library for providing read-only access to a Smaky
 formatted disk. Currently, only a tool and a FUSE extension that
 use this library can be used for reading a directory and copying
 a file.
 .
 The Smaky is a line of mostly 8-bit personal computers and
 accompanying operating system developed at the EPFL (École
 Polytechnique Federale de Lausanne), in Switzerland, from 1974.

Package: fosfat-dev
Description-md5: 95099093298361294f8ddb523dd0b6ae
Description-en: FUSE library to access Smaky formatted disk, development files
 Fosfat is a C library for providing read-only access to a Smaky
 formatted disk. Currently, only a tool and a FUSE extension that
 use this library can be used for reading a directory and copying
 a file.
 .
 The Smaky is a line of mostly 8-bit personal computers and
 accompanying operating system developed at the EPFL (École
 Polytechnique Federale de Lausanne), in Switzerland, from 1974.
 .
 This package contains the development files for libfosfat0, libfosgra0
 and the corresponding documentation.

Package: fossil
Description-md5: 21b5c8af5ad471c6f1c111bbfb3ccfe7
Description-en: DSCM with built-in wiki, http interface and server, tickets database
 Fossil is an easy-to-use Distributed Source Control Management system
 (DSCM) which supports access and administration over HTTP CGI or via
 a built-in HTTP server, has a built-in wiki, built-in file browsing,
 a built-in tickets system, etc.

Package: fotoxx
Description-md5: 8dfd82003baa16ee8cdbcc8520242ddc
Description-en: easy-to-use digital photo editor
 Fotoxx is a program for improving digital photos. It allows you to navigate
 through large image directories using a window of thumbnail images, create HDR
 (high dynamic range) images by combining bright and dark images to improve
 details visible in both bright and dark areas, create panoramas by joining
 overlapped images, adjust brightness and color intensity independently for
 different underlying brightness levels, reduce fog or haze by removing
 "whiteness" and intensifying colors, rotate an image (level a tilted image or
 turn 90 degrees), remove red-eyes from electronic flash photos, sharpen,
 resize, or crop images, reduce noise in low-light photos, change color depth,
 and stretch an image by dragging the mouse.

Package: fotoxx-common
Description-md5: 1d773adb992b13f98b565a0c39fea840
Description-en: easy-to-use digital photo editor - common files
 Fotoxx is a program for improving digital photos. It allows you to navigate
 through large image directories using a window of thumbnail images, create HDR
 (high dynamic range) images by combining bright and dark images to improve
 details visible in both bright and dark areas, create panoramas by joining
 overlapped images, adjust brightness and color intensity independently for
 different underlying brightness levels, reduce fog or haze by removing
 "whiteness" and intensifying colors, rotate an image (level a tilted image or
 turn 90 degrees), remove red-eyes from electronic flash photos, sharpen,
 resize, or crop images, reduce noise in low-light photos, change color depth,
 and stretch an image by dragging the mouse.
 .
 This package contains architecture independent files such as icons, pixmaps,
 documentation, and translations.

Package: four-in-a-row
Description-md5: a9f68ccae11a12a7064f5b766896bd4f
Description-en: Four in a Row game for GNOME
 The object of the game is to build a line of four of your marbles while
 trying to stop your opponent (human or computer) building a line of his
 or her own. A line can be horizontal, vertical or diagonal.

Package: foxeye
Description-md5: 23bcc56d86fbf500e273302879515ae2
Description-en: Universal modular network agent - binary package
 FoxEye is a multipurpose generic modular base to create clients or servers
 for client-server type networks such as IRC, ICQ, etc.  All what you need
 to make an IRC bot or ICQ client is few modules that implement functionality
 you require.  The FoxEye written in pure C with small resource requirements
 in mind.
 .
 This package contains main daemon and few modules.  Available modules are:
 autolog, irc, irc-channel, irc-ctcp, ircd, logs, lua, modes, tcl, ziplink.
 With this set of modules FoxEye can serve two purposes:
  - IRC bot (similar to Eggdrop);
  - IRC server (ircd, RFC2810...2813 compliant).
 More purposes are under development now and third-party modules are available
 to create using foxeye-dev package.

Package: foxeye-dbg
Description-md5: 9a7c26a812a0ba58961303561a66cac1
Description-en: Universal modular network agent - debugging symbols
 FoxEye is a multipurpose generic modular base to create clients or servers
 for client-server type networks such as IRC, ICQ, etc.  All what you need
 to make an IRC bot or ICQ client is few modules that implement functionality
 you require.  The FoxEye written in pure C with small resource requirements
 in mind.
 .
 This package contains the debugging symbols.

Package: foxeye-dev
Description-md5: 4e854936243e84bb766f3b23f1c50376
Description-en: Universal modular network agent - development package
 FoxEye is a multipurpose generic modular base to create clients or servers
 for client-server type networks such as IRC, ICQ, etc.  All what you need
 to make an IRC bot or ICQ client is few modules that implement functionality
 you require.  The FoxEye written in pure C with small resource requirements
 in mind.
 .
 This package contains development headers required to create modules.

Package: foxtrotgps
Description-md5: e21a55299859dc67931a14808ade6839
Description-en: GTK+ mapping and GPS application
 foxtrotGPS is a map and GPS application. By default, it uses maps from
 OpenStreetMap. The available features include downloading and displaying
 tiled maps, recording GPS tracks, automatic map centering, storing points
 of interest, geocoding photos, setting waypoints, monitoring heartrate via
 Bluetooth, planning travel routes and finding travel routes using external
 services on the Internet based on OpenStreetMap.

Package: fp-compiler
Description-md5: a8d7d8737d893733a2cffaecf2cac458
Description-en: Free Pascal - compiler dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 Extensions have been added to the language, such as function overloading,
 creation and linking of shared libraries, and Delphi language extensions
 including classes, exceptions, ANSI strings, and open arrays.
 .
 This dependency package always depends on the latest available version of
 the package containing the command line compiler.

Package: fp-compiler-3.0.4
Description-md5: 63713eee8e06aca4b4428ee844beaa96
Description-en: Free Pascal - compiler
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 Extensions have been added to the language, such as function overloading,
 creation and linking of shared libraries, and Delphi language extensions
 including classes, exceptions, ANSI strings, and open arrays.
 .
 This package contains the command line compiler.

Package: fp-docs
Description-md5: 87030c98df63171b1a3903d17286416a
Description-en: Free Pascal - documentation dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing documentation for the Free Pascal Compiler in HTML
 format.

Package: fp-docs-3.0.4
Description-md5: 143764395ee871181071ce25cc590993
Description-en: Free Pascal - documentation
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package provides documentation for the Free Pascal Compiler in HTML
 format.

Package: fp-ide
Description-md5: 833771529aa9954efe6d2950e13bffc6
Description-en: Free Pascal - IDE dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 Extensions have been added to the language, such as function overloading,
 creation and linking of shared libraries, and Delphi language extensions
 including classes, exceptions, ANSI strings, and open arrays.
 .
 This dependency package always depends on the latest available version of
 the package containing the Integrated Development Environment (IDE). The IDE
 has an internal compiler.

Package: fp-ide-3.0.4
Description-md5: 0c775e9bd2bab35e68a41e63d2ffaec7
Description-en: Free Pascal - IDE
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 Extensions have been added to the language, such as function overloading,
 creation and linking of shared libraries, and Delphi language extensions
 including classes, exceptions, ANSI strings, and open arrays.
 .
 This package contains the Integrated Development Environment (IDE). The IDE
 has an internal compiler.

Package: fp-units-base
Description-md5: ac8ae251072c9a38e311648315a7b0fc
Description-en: Free Pascal - base units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing Free Pascal units for common libraries (some of which
 are also required by the Free Component Library): NCurses, X11 (Xlib,
 Xutil), and ZLib.

Package: fp-units-base-3.0.4
Description-md5: 1f5b86e2d3012a425e60c02e04941229
Description-en: Free Pascal - base units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains Free Pascal units for common libraries (some of which
 are also required by the Free Component Library): NCurses, X11 (Xlib,
 Xutil), and ZLib.

Package: fp-units-castle-game-engine
Description-md5: c4c4a4fa4d57f7ab210d2f18da4c66e6
Description-en: Castle Game Engine - 3D game engine for FreePascal / Lazarus
 Castle Game Engine is a set of LGPL licenced libraries that are intended to
 ease developing 3D games with FreePascal / Lazarus.
 .
 It provides an excellent support for the VRML / X3D 3D data format. Other 3D
 formats are also supported.
 .
 It features many advanced graphic effects and easy to use API on top of OpenGL.
 .
 This package contains the FPC units and the auxiliary tools castle-curves,
 castle-engine, image-to-pascal, sprite-sheet-to-x3d, and
 texture-font-to-pascal.
 .
 This package suggests the gradle package. Gradle is only necessary if one
 wants to build Android applications, otherwise one can ignore that
 suggestion. Note that, in order to create Android applications, one will also
 need the Android SDK and NDK, and FPC cross-compiler, see
 https://github.com/castle-engine/castle-engine/wiki/Android.

Package: fp-units-db
Description-md5: 7cc1ac6e7f8c271a06030379ab8c6e9d
Description-en: Free Pascal - database-library units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing Free Pascal units with bindings for GDBM, Interbase,
 MySQL, PostgreSQL, ODBC, Oracle, and SQLite.

Package: fp-units-db-3.0.4
Description-md5: fc9677bc51d01a4478e803f7fe8ebdea
Description-en: Free Pascal - database-library units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains Free Pascal units with bindings for GDBM, Interbase,
 MySQL, PostgreSQL, ODBC, Oracle, and SQLite.

Package: fp-units-fcl
Description-md5: 263bb8d2c3929df6845ebc00e421b61c
Description-en: Free Pascal - Free Component Library dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing the Free Component Library for the Free Pascal Compiler.

Package: fp-units-fcl-3.0.4
Description-md5: 998a24bd855977c5f2247590e4fa39fa
Description-en: Free Pascal - Free Component Library
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains the Free Component Library for the Free Pascal Compiler.

Package: fp-units-fv
Description-md5: b01fcc2bc910452afc8844b23d128541
Description-en: Free Pascal - Free Vision units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing the Free Vision units for the Free Pascal Compiler
 (which provide a framework for developing text user interfaces).

Package: fp-units-fv-3.0.4
Description-md5: 4d0968ad113531e9ffaf936115d98458
Description-en: Free Pascal - Free Vision units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains the Free Vision units for the Free Pascal Compiler
 (which provide a framework for developing text user interfaces).

Package: fp-units-gfx
Description-md5: 759f11e7a44580a56a488edd8342a616
Description-en: Free Pascal - graphics-library units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing Free Pascal units with bindings for cairo, forms, ggi,
 graph, libgd, libpng, opengl, and svgalib.
 .
 SVGALib is no longer packaged by Debian and should be installed manually by
 users who want to link against it.

Package: fp-units-gfx-3.0.4
Description-md5: 5004bf55c210eff05cd526d9f1d316dd
Description-en: Free Pascal - graphics-library units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains Free Pascal units with bindings for cairo, forms, ggi,
 graph, libgd, libpng, opengl, and svgalib.
 .
 SVGALib is no longer packaged by Debian and should be installed manually by
 users who want to link against it.

Package: fp-units-gtk2
Description-md5: e564d7cf698380a9efe635d9f2c3d9f6
Description-en: Free Pascal - GTK+ 2.x units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing Free Pascal units and examples to create
 programs with GTK+ 2.x.

Package: fp-units-gtk2-3.0.4
Description-md5: deb572a4c869b97386961af09a7346d7
Description-en: Free Pascal - GTK+ 2.x units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains Free Pascal units and examples to create
 programs with GTK+ 2.x.

Package: fp-units-math
Description-md5: 73e070ec4f1ad53b77254e382bc7856d
Description-en: Free Pascal - math units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing Free Pascal math interfacing units for:
  * gmp (the GNU Multiple Precision arithmetic library);
  * numlib (numerical computing);
  * proj4 (cartographic projections);
  * symbolic (symbolic computing).

Package: fp-units-math-3.0.4
Description-md5: 32f8990183224659357bc760d29e6c7a
Description-en: Free Pascal - math units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains Free Pascal math interfacing units for:
  * gmp (the GNU Multiple Precision arithmetic library);
  * numlib (numerical computing);
  * proj4 (cartographic projections);
  * symbolic (symbolic computing).

Package: fp-units-misc
Description-md5: 8ceac8a7b9ad07aadac92dac389ce805
Description-en: Free Pascal - miscellaneous units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing miscellaneous Free Pascal units: fppkg (the FPC
 packaging system), PasZLib (a Pascal-only zlib implementation), and Utmp.

Package: fp-units-misc-3.0.4
Description-md5: c7020a40d9768d977adda0cf8c053d78
Description-en: Free Pascal - miscellaneous units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains miscellaneous Free Pascal units: fppkg (the FPC
 packaging system), PasZLib (a Pascal-only zlib implementation), and Utmp.

Package: fp-units-multimedia
Description-md5: 6ea49b46460db675563eee2e20685944
Description-en: Free Pascal - multimedia units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing Free Pascal multimedia units: a52, dts, mad, modplug,
 oggvorbis, openal, and vlc.

Package: fp-units-multimedia-3.0.4
Description-md5: 5104ed16e2a6fb716d7e7d859ac1d9d6
Description-en: Free Pascal - multimedia units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains Free Pascal multimedia units: a52, dts, mad, modplug,
 oggvorbis, openal, and vlc.

Package: fp-units-net
Description-md5: c0cbe4cce5252033a0619131316d8893
Description-en: Free Pascal - networking units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing Free Pascal units for creating network tools: D-Bus,
 httpd-1.3, httpd-2.0, httpd-2.2, ldap, libasync, libcurl, netdb, openssl,
 and pcap.

Package: fp-units-net-3.0.4
Description-md5: 817164b48d34728d272d528595974deb
Description-en: Free Pascal - networking units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains Free Pascal units for creating network tools: D-Bus,
 httpd-1.3, httpd-2.0, httpd-2.2, ldap, libasync, libcurl, netdb, openssl,
 and pcap.

Package: fp-units-rtl
Description-md5: 19ba7fc0b1618747f892772b63502125
Description-en: Free Pascal - runtime libraries dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing the RunTime Libraries for the Free Pascal Compiler.

Package: fp-units-rtl-3.0.4
Description-md5: 55969a41e7006e4059f6e696dfead87b
Description-en: Free Pascal - runtime libraries
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains the RunTime Libraries for the Free Pascal Compiler.

Package: fp-utils
Description-md5: 00fc6b3eb34ba1fdf92986ca84a3276e
Description-en: Free Pascal - utilities dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing some handy utilities for use with the Free Pascal
 Compiler:
  * data2inc   convert binary/text data to include files;
  * fpcmake    create Makefile from Makefile.fpc;
  * h2pas      convert .h files to Pascal units;
  * plex/pyacc Pascal Lex and Yacc implementations;
  * ppdep      create a dependency file for use with Makefiles;
  * ppudump    dump the information stored in a .ppu (unit) file;
  * ppufiles   show needed files for units;
  * ppumove    place multiple units in a shared library;
  * ptop       beautify source.

Package: fp-utils-3.0.4
Description-md5: bff84a46907553f97fdee849a7e5a5cf
Description-en: Free Pascal - utilities
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains some handy utilities for use with the Free Pascal
 Compiler:
  * data2inc   convert binary/text data to include files;
  * fpcmake    create Makefile from Makefile.fpc;
  * h2pas      convert .h files to Pascal units;
  * plex/pyacc Pascal Lex and Yacc implementations;
  * ppdep      create a dependency file for use with Makefiles;
  * ppudump    dump the information stored in a .ppu (unit) file;
  * ppufiles   show needed files for units;
  * ppumove    place multiple units in a shared library;
  * ptop       beautify source.

Package: fpart
Description-md5: f48f9e2af73af3e7706bf8a459bea993
Description-en: sort file trees and pack them into bags
 Fpart is a tool that helps you sort file trees and pack them into bags (called
 "partitions"). It is developed in C and available under the BSD license.
 .
 It splits a list of directories and file trees into a certain number of
 partitions, trying to produce partitions with the same size and number of
 files.
 It can also produce partitions with a given number of files or a limited size.
 Once generated, partitions are either printed as file lists to stdout
 (default) or to files. Those lists can then be used by third party programs.
 .
 Fpart also includes a live mode, which allows it to crawl very large
 filesystems and produce partitions in live. Hooks are available to act on
 those partitions  (e.g. immediately start a transfer using rsync(1)) without
 having to wait for the filesystem traversal job to be finished. Used this way,
 fpart can be seen as a powerful data migration tool.

Package: fpc
Description-md5: a512a55eb79abd843319a1d1b6eb771d
Description-en: Free Pascal - SDK suite dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 Extensions have been added to the language, such as function overloading,
 creation and linking of shared libraries, and Delphi language extensions
 including classes, exceptions, ANSI strings, and open arrays.
 .
 This dependency package always depends on the latest available version of
 the metapackage pulling in all the FPC packages provided for this
 architecture. Experienced users may instead prefer to install the particular
 packages they require by hand.

Package: fpc-3.0.4
Description-md5: 802b66716ff3a767b85748440f6f6d3a
Description-en: Free Pascal - SDK-3.0.4 suite
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 Extensions have been added to the language, such as function overloading,
 creation and linking of shared libraries, and Delphi language extensions
 including classes, exceptions, ANSI strings, and open arrays.
 .
 This metapackage pulls in all the FPC packages provided for this
 architecture. Experienced users may instead prefer to install the particular
 packages they require by hand.

Package: fpc-source
Description-md5: b92de8e5e2b0506af9e3e2d19ff92477
Description-en: Free Pascal - SDK source code dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing Free Pascal's own source code. It is meant to be used by
 the Lazarus IDE.

Package: fpc-source-3.0.4
Description-md5: 2ca7ad1302a80136317c534a3c10119d
Description-en: Free Pascal - SDK source code
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains Free Pascal's own source code. It is meant to be used by
 the Lazarus IDE.

Package: fpdns
Description-md5: 192c6f22a08aee660e5115692773a9fc
Description-en: remotely determine DNS server version
 A nameserver basically responds to a query. Interoperability is an
 obvious requirement here. The standard protocol behaviour of different
 DNS implementations is expected to be the same.
 .
 The reality is quite different though. fpdns uses a series of borderline
 DNS queries to determine the vendor, product and version of a nameserver.

Package: fped
Description-md5: 90daffeaaa76e10ffb0a59d3b81378b7
Description-en: Footprint editor
 fped is an editor that allows the interactive creation of footprints of
 electronic components. Footprint definitions are stored in a text format
 that resembles a programming language.
 .
 The language is constrained such that anything that can be expressed in
 the textual definition also has a straightforward equivalent operation
 that can be performed through the GUI.
 .
 A description of the GUI can be found here:
 http://downloads.qi-hardware.com/people/werner/fped/gui.html

Package: fpga-icestorm
Description-md5: 467112f880cf0e1c862337e3cbfb2db9
Description-en: Tools to handle the bitstream format of Lattice iCE40 FPGAs
 Project IceStorm aims at documenting the bitstream format of Lattice iCE40
 FPGAs and providing simple tools for analyzing and creating bitstream files. At
 the moment the focus of the project is on the HX1K-TQ144 and HX8K-CT256
 devices, but most of the information is device-independent.
 .
 This package contains multiple tools needed to handle the bitstream.

Package: fpga-icestorm-chipdb
Description-md5: 8cd8a228d615854d1cf99033abf39687
Description-en: Chip database files for fpga-icestorm
 Project IceStorm aims at documenting the bitstream format of Lattice iCE40
 FPGAs and providing simple tools for analyzing and creating bitstream files. At
 the moment the focus of the project is on the HX1K-TQ144 and HX8K-CT256
 devices, but most of the information is device-independent.
 .
 This package contains the chip database files for fpga-icestorm.

Package: fpgatools
Description-md5: eba3f33668a9bfb50ac15843ac3edf02
Description-en: tool to program field-programmable gate arrays
 fpgatools is a toolchain to program field-programmable gate array
 (FPGA).  The only supported chip at this time is the xc6slx9, a cheap
 but powerful 45nm-generation chip with about 2400 LUTs, block ram and
 multiply-accumulate devices.

Package: fping
Description-md5: 39b9ab73fb3b3bedff57b40efd2819fd
Description-en: sends ICMP ECHO_REQUEST packets to network hosts
 fping is a ping like program which uses the Internet Control Message Protocol
 (ICMP) echo request to determine if a target host is responding.  fping
 differs from ping in that you can specify any number of targets on the command
 line, or specify a file containing the lists of targets to ping.  Instead of
 sending to one target until it times out or replies, fping will send out a
 ping packet and move on to the next target in a round-robin fashion.

Package: fplll-tools
Description-md5: 4b65fe73531630a67fbeabb522c4abe1
Description-en: Tools to compute LLL-reduction of Euclidian lattices
 fpLLL is a library for computing reduced (nearly orthogonal) bases
 for Euclidean lattices using the floating-point LLL algorithm.
 .
 fpLLL contains multiple different implementations of the
 floating-point LLL reduction algorithm, offering multiple different
 speed/guarantees ratios.
 .
 It contains a 'wrapper' that chooses the estimated best sequence of
 variants in order to provide a guaranteed output as fast as possible.
 In the case of the wrapper, the succession of variants is oblivious
 to the user.
 .
 This package contains the base tools using libfplll.

Package: fprobe
Description-md5: 1ce21ac0268ad425b991cbf6d2f12148
Description-en: export captured traffic to remote NetFlow Collector
 This program is a libpcap-based utility which collects network
 traffic and emits it as NetFlow towards a specified collector.
 .
 Homepage: fprobe.sourceforge.net

Package: fqterm
Description-md5: eace309401fa273e8b9768c658824588
Description-en: BBS client written in Qt
 FQTerm is one of the most widely used BBS client in China, it
 supports Telnet/SSH1/SSH2 protocols and can process ANSI control
 sequences. It can be used to login BBS sites or *NIX hosts.
 .
 Almost all the BBSes in Greater China Region are in BIG5 or GBK
 encoding. So FQTerm only support these two encodings and ASCII.

Package: fracplanet
Description-md5: ffa1ea92999e4ee30a7989ae183b971d
Description-en: Fractal planet generator
 This is an interactive tool for creating random fractal planets and terrain
 areas with oceans, rivers, lakes and icecaps. The results can be exported as
 models to POV-Ray and to Blender, or as texture maps for more general usage.

Package: fractalnow
Description-md5: 1e3c1e1299fb91dd288322ac0ec3458d
Description-en: Fast, advanced fractal generator
 FractalNow provides users with tools to generate pictures of various types of
 fractals quickly and easily.
 .
 It is made of both a command line (FractalNow) and a graphical tool
 (QFractalNow).
 .
 The graphical tool, based on Qt library, allows users to explore fractals
 intuitively and generate pictures.
 .
 Both tools are entirely multi-threaded and implement advanced algorithms and
 heuristics that make computation very fast compared to most existing free
 fractal generators.

Package: fractgen
Description-md5: c8b63da71a2378af784b07a8d823c666
Description-en: Extensible Fractal Generator
 FractalGenerator is a Qt-based program to generate fractal images (e.g.
 Mandelbrot set). The size of the calculated images is only limited by the
 computer's virtual memory. That is, images may use the full printer
 resolution !
 .
 It is possible to zoom into images. Image parameters can be saved in XML files
 and loaded from XML files. Calculated images can be exported as PNG files.
 .
 The intention of this program is to generate graphics to be post-processed by
 other image tools, e.g. in order to generate nice screen backgrounds or book
 covers.

Package: fragmaster
Description-md5: b499eccbd789f3de4151f15f8b83865b
Description-en: use of psfrag constructs with pdflatex
 psfrag is a LaTeX package which allows one to replace text elements in
 included EPS graphics by arbitrary LaTeX output. Because psfrag uses
 Postscript for making the replacements, in principle you can't use
 psfrag with pdflatex which doesn't have any interfaces to postscript.
 .
 This package contains the Perl script fragmaster that produces a new
 EPS from your original EPS which already contains all those psfrag
 replacements. This new EPS graphic actually can be converted to PDF
 including all replacements. The resulting "encapsulated" PDF then can
 be used with pdflatex.

Package: frama-c-base
Description-md5: d2143b8bc084709c855b714ba4a8c159
Description-en: Platform dedicated to the analysis of source code written in C (without gui)
 Frama-C gathers several analysis techniques in a single collaborative
 framework, based on analyzers (called "plug-ins") that can build upon the
 results computed by other analyzers in the framework.
 .
 Thanks to this approach, Frama-C provides sophisticated tools, including:
   * an analyzer based on abstract interpretation (Value plug-in);
   * a program proof framework based on weakest precondition calculus (WP plug-in);
   * a program slicer (Slicing plug-in);
   * a tool for verification of temporal (LTL) properties (Aoraï plug-in);
   * several tools for code base exploration and dependency analysis
     (plug-ins From, Impact, Metrics, Occurrence, Scope, etc.).
 .
 These plug-ins communicate between each other via the Frama-C API
 and via ACSL (ANSI/ISO C Specification Language) properties.
 .
 This package provides the library of Frama-c which is useful to build
 plugins for Frama-c and the command-line tools.

Package: frame-tools
Description-md5: 7cb9eae5a916f8c23e99e81a1ed7d538
Description-en: Touch Frame Library - test tools
 This library handles the buildup and synchronization of a set of
 simultaneous touches. The library is input agnostic, with bindings
 for mtdev, frame and XI2.1.
 .
 This package provides some test tools for the frame library.

Package: frameworkintegration
Description-md5: 8a8646cff40c29068c4c3829fddf8a96
Description-en: KF5 cross-framework integration plugins
 Framework Integration is a set of plugins responsible
 for better integration of Qt applications when running
 on a KDE Plasma workspace.

Package: francine
Description-md5: 4c80705d7ec7cd66f9e3057578644604
Description-en: feature rich ansi console login engine
 francine is an easy to configure themeable console login program. Its great
 configurability for per tty access-settings and the posibility to put the
 prompt for username and password anywhere within a nicely colored ANSI-screen
 makes it a greatly enhanced replacement for the old clumpy login.
 .
 You need a getty that is able to run different programs than just login. It is
 suggested to use rungetty for that, but (alternative) getty does suffice. It
 can also be used from the telnetd with the -L option.
 .
 This project is a spin-off from the fancylogin project, which is still
 maintained by Richard Bergmair.

Package: fraqtive
Description-md5: f232e2d9d35118266eb8b336d9d8b7e1
Description-en: draws Mandelbrot and Julia fractals
 Fraqtive is a program for drawing Mandelbrot and Julia fractals.
 It uses a very fast algorithm and generates high quality, smooth
 images. It is fully interactive, allowing for real-time mouse
 navigation and dynamic generation of the Julia fractal preview.
 OpenGL-rendered 3D view of the fractals is also supported.

Package: free42-nologo
Description-md5: e38f379a4a026b23511ddee8b74edea4
Description-en: Free42 is a re-implementation of the HP-42S calculator
 It is a complete rewrite, not using any HP code, and it does not
 require an HP-42S ROM image. Loading and saving programs is possible.
 FPU is required.

Package: freealchemist
Description-md5: 2dafb1046914314ebd152962419eed4d
Description-en: simpler figure block game
 FreeAlchemist is a figure block game written in Python where you have to
 connect blocks.
 .
 The three or more blocks connected with the same shape will be replaced by a
 block with a different shape.

Package: freebayes
Description-md5: b7e8ee9cfe0c2093be3d78a8098290de
Description-en: Bayesian haplotype-based polymorphism discovery and genotyping
 FreeBayes is a Bayesian genetic variant detector designed to find
 small polymorphisms, specifically SNPs (single-nucleotide
 polymorphisms), indels (insertions and deletions), MNPs
 (multi-nucleotide polymorphisms), and complex events (composite
 insertion and substitution events) smaller than the length of a
 short-read sequencing alignment.

Package: freebirth
Description-md5: dc8af4318513edeb863db784c20d0cbd
Description-en: Bass synthesizer/sample player/sequencer
 Freebirth is a free software bass synthesizer / step sequencer / sample player
 similar to Rebirth. The bass synthesizer resembles a 303 but also has other
 capabilities such as
 .
  - Three oscillators (saw, sin, sqr)
  - Phase offsets for each oscillator
  - Separate filter and amplitude envelopes
  - Separate tuning for each oscillator
  - Two effects busses (reverb and delay).

Package: freebirth-data
Description-md5: 2913f78fe982dba44670f298f1aec98b
Description-en: Bass synthesizer/sample player/sequencer -- sound samples
 This package contains sound samples for freebirth.
 .
 Freebirth is a free software bass synthesizer / step sequencer / sample player
 similar to Rebirth. The bass synthesizer resembles the 303 but also has other
 capabilities such as
 .
  - Three oscillators (saw, sin, sqr)
  - Phase offsets for each oscillator
  - Separate filter and amplitude envelopes
  - Separate tuning for each oscillator
  - Two effects busses (reverb and delay).

Package: freeboard
Description-md5: 7bda93501fc49fd628a3d5467560d407
Description-en: dashboard for IoT and web mashups
 open source real-time dashboard builder for IoT and other
 web mashups. A free open-source alternative to Geckoboard.

Package: freebsd-buildutils
Description-md5: 0be074858c7ee1b6e1fa79f10855d9ba
Description-en: Utilities for building FreeBSD sources
 This package contains the FreeBSD counterparts of some standard build
 utilities (make, mkdep, lex ..)
 .
 They have some specific modifications needed to be able to build FreeBSD
 sources.

Package: freebsd-glue
Description-md5: e1e313a81985111bc791acbc408b35eb
Description-en: Emulate a FreeBSD build environment
 This package provides a set of glue headers and symbolic links to emulate
 a FreeBSD build environment.
 .
 Its goal is to make it as easy as possible to build source code written for
 FreeBSD on Debian, by adding the necessary glue so that equivalent interfaces
 in packages like freebsd-buildutils, libbsd-dev or libexpat-dev are directly
 available to pristine FreeBSD code.
 .
 freebsd-glue strives for bug-for-bug compatibility and will even attempt
 to accommodate for unspecified features (such as implicit header inclusion),
 as long as this doesn't cause breakage in other areas.

Package: freebsd-manpages
Description-md5: 5353c1491c05721d4e340b8e1be0ceec
Description-en: Manual pages for a GNU/kFreeBSD system
 This package contains a selection of manual pages from FreeBSD that are
 useful on a GNU/kFreeBSD system:
  2 = System calls (functions provided by the kernel)
  4 = Special files (usually found in /dev)
  9 = Kernel routines

Package: freebsd-mk
Description-md5: 39bccdfeb54ad4484438009c08931039
Description-en: FreeBSD makefile templates for bmake
 This package contains the makefile templates from the FreeBSD project. They
 provide simple rules to build programs, libraries, etc.
 .
 These templates provide an alternative to the default makefile templates
 shipped with bmake. If you want to enable them in your programs, simply
 invoke bmake as follows:
 .
 bmake -m /usr/share/mk-freebsd

Package: freecad
Description-md5: d0806b37ee19ba4b2b3b18d48cda12dd
Description-en: Extensible Open Source CAx program
 FreeCAD is an Open Source CAx RAD based on OpenCasCade, Qt and Python.
 It features some key concepts like macro recording, workbenches, ability
 to run as a server and dynamically loadable application extensions and
 it is designed to be platform independent.
 .
 Currently, FreeCAD can import and display CAD models in IGES, STEP, and
 BRep formats and meshes in STL, BMS, AST and Wavefront OBJ formats.
 Editing and modeling features are currently somewhat limited.
 .
 This is a metapackage of FreeCAD component packages.

Package: freecad-common
Description-md5: f699a5dd72c3b2d3475010d9b8cbbdbd
Description-en: Extensible Open Source CAx program - common files
 FreeCAD is an Open Source CAx RAD based on OpenCasCade, Qt and Python.
 It features some key concepts like macro recording, workbenches, ability
 to run as a server and dynamically loadable application extensions and
 it is designed to be platform independent.
 .
 Currently, FreeCAD can import and display CAD models in IGES, STEP, and
 BRep formats and meshes in STL, BMS, AST and Wavefront OBJ formats.
 Editing and modeling features are currently somewhat limited.
 .
 This package contains FreeCAD common files and resources.

Package: freecad-python3
Description-md5: 32645481e71d8a4ce41c032446e09231
Description-en: Extensible Open Source CAx program - Python 3 binaries
 FreeCAD is an Open Source CAx RAD based on OpenCasCade, Qt and Python.
 It features some key concepts like macro recording, workbenches, ability
 to run as a server and dynamically loadable application extensions and
 it is designed to be platform independent.
 .
 Currently, FreeCAD can import and display CAD models in IGES, STEP, and
 BRep formats and meshes in STL, BMS, AST and Wavefront OBJ formats.
 Editing and modeling features are currently somewhat limited.
 .
 This package contains the FreeCAD binaries built against Python 3.

Package: freecad-runtime
Description-md5: 6bbfc7b85c91a1bd99d13a6b2b01a883
Description-en: Extensible Open Source CAx program - runtime files
 FreeCAD is an Open Source CAx RAD based on OpenCasCade, Qt and Python.
 It features some key concepts like macro recording, workbenches, ability
 to run as a server and dynamically loadable application extensions and
 it is designed to be platform independent.
 .
 Currently, FreeCAD can import and display CAD models in IGES, STEP, and
 BRep formats and meshes in STL, BMS, AST and Wavefront OBJ formats.
 Editing and modeling features are currently somewhat limited.
 .
 This package contains Python 2/3 runtime files.

Package: freecdb
Description-md5: 3c180c3b533714dec12c5c38f2b58eee
Description-en: creating and reading constant databases
 freecdb is a fast, reliable, simple package for creating and reading
 constant databases. Its database structure provides several features:
 .
  * Fast lookups: A successful lookup in a large database normally takes
    just two disk accesses.  An unsuccessful lookup takes only one.
  * Low overhead: A database uses 2048 bytes, plus 24 bytes per record,
    plus the space for keys and data.
  * No random limits: cdb can handle any database up to 4 gigabytes.
    There are no other restrictions; records don't even have to fit into
    memory.  Databases are stored in a machine-independent format.
  * Fast atomic database replacement: cdbmake can rewrite an entire
    database two orders of magnitude faster than other hashing packages.
  * Fast database dumps: cdbdump prints the contents of a database in
    cdbmake-compatible format.
 .
 This package is derived from the cdb package, and uses the original
 cdb library.  See http://cr.yp.to/cdb.html

Package: freecell-solver-bin
Description-md5: 44807d4a8f0517ac2bb4821f9b109fb1
Description-en: Library for solving Freecell games
 Freecell Solver is a library for automatically solving boards of Freecell and
 similar variants of card Solitaire. This package contains the header files and
 static libraries necessary for developing programs using Freecell Solver.
 .
 This package contains the binaries included with freecell-solver

Package: freeciv
Description-md5: 82b82378fdabff25e3cf35835f2be156
Description-en: Civilization turn based strategy game
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 This metapackage will install the recommended client to play Freeciv.

Package: freeciv-client-extras
Description-md5: a1671fc9f7475d650e1a87f4ae4184bb
Description-en: Civilization turn based strategy game (miscellaneous extras)
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 This package includes Freeciv's modpack tool freeciv-mp-gtk3. It allows you to
 select and download custom rulesets and tilesets for the game.

Package: freeciv-client-gtk
Description-md5: 8529c243b34e03e51ede813e251f39df
Description-en: Civilization turn based strategy game (GTK+ client)
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 This is the GTK 2 version of Freeciv. It is recommended to install the
 »freeciv-client-gtk3« package and to use the GTK 3 client unless you really
 want to try this one.

Package: freeciv-client-gtk3
Description-md5: 496c57efd4b26147869354b7e616e8fe
Description-en: Civilization turn based strategy game (GTK 3 client)
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 This is the GTK 3 version of Freeciv. It is the most sophisticated and
 recommended client to play the game.

Package: freeciv-client-qt
Description-md5: 9bd5456acf90e1088ced452ed2bd2aae
Description-en: Civilization turn based strategy game (Qt client)
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 This is the Qt version of Freeciv.

Package: freeciv-client-sdl
Description-md5: 75b48ccbf67951c7557c45415add8139
Description-en: Civilization turn based strategy game (SDL client)
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 This version of Freeciv is based on SDL, the Simple DirectMedia Layer library.
 It is recommended to install the »freeciv-client-gtk3« package and to use the
 GTK 3 client unless you really want to try this one. The SDL client offers a
 different look&feel but lacks some functionality of the GTK 3 client.

Package: freeciv-data
Description-md5: 6c3381570b1bcea531c857542f92e5b7
Description-en: Civilization turn based strategy game (data)
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 These are the common data files for Freeciv.

Package: freeciv-server
Description-md5: 05034be0e9f586e565ca1fa01f7dd762
Description-en: Civilization turn based strategy game (server files)
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 This is the Freeciv game server.

Package: freeciv-sound-standard
Description-md5: 3db6002d0393bff8b3403d51f8f5f7f1
Description-en: Civilization turn based strategy game (standard sound pack)
 Freeciv is a free clone of the turn based strategy game Civilization.
 In this game, each player becomes leader of a civilisation, fighting to
 obtain the ultimate goal: the extinction of all other civilisations.
 .
 This is the standard sound pack for Freeciv. It provides Freeciv clients
 with a set of sounds for different events and actions in the game.

Package: freecol
Description-md5: 1b3e97a15f588b9f72cf816e72ff066c
Description-en: open source remake of the old Colonization
 freecol is a game in the spirit of Civilization but taking place in a
 colonial background. Colonize the new world, build towns, trade or
 fight with natives and other European civilizations, trade with your
 homeland until you're ready to fight for your independence !

Package: freecontact
Description-md5: 8af4abbafedd65eddf0739bd00e97557
Description-en: fast protein contact predictor
 FreeContact is a protein residue contact predictor optimized for speed.
 Its input is a multiple sequence alignment. FreeContact can function as an
 accelerated drop-in for the published contact predictors
 EVfold-mfDCA of DS. Marks (2011) and
 PSICOV of D. Jones (2011).
 .
 FreeContact is accelerated by a combination of vector instructions, multiple
 threads, and faster implementation of key parts.
 Depending on the alignment, 8-fold or higher speedups are possible.
 .
 A sufficiently large alignment is required for meaningful results.
 As a minimum, an alignment with an effective (after-weighting) sequence count
 bigger than the length of the query sequence should be used. Alignments with
 tens of thousands of (effective) sequences are considered good input.
 .
 jackhmmer(1) from the hmmer package, or hhblits(1) from hhsuite
 can be used to generate the alignments, for example.
 .
 This package contains the command line tool freecontact(1).

Package: freediameter
Description-md5: 0ad90958d0f73271c330da7d6581a58b
Description-en: Implementation of the freeDiameter protocol - metapackage
 Diameter is a protocol designed to carry Authentication, Authorization and
 Accounting (AAA) payload. It is an evolution of the RADIUS protocol (as the
 name suggests).
 .
 freeDiameter is an implementation of the Diameter protocol.
 .
 This is a metapackage which will install the shared libraries, the daemon
 and the extensions for freeDiameter.

Package: freediameter-extensions
Description-md5: d01adc0e4006cd366f7f72cb3d912d79
Description-en: Extensions for the Diameter protocol
 Diameter is a protocol designed to carry Authentication, Authorization and
 Accounting (AAA) payload. It is an evolution of the RADIUS protocol (as the
 name suggests).
 .
 freeDiameter is an implementation of the Diameter protocol.
 .
 The extensions provide the mean to augment the features of the freeDiameterd
 framework. Extensions can provide the handling of a Diameter server
 application, but also advanced routing features, peer management, etc.

Package: freediameterd
Description-md5: 05f932bf8d26130521e3288df48e30ab
Description-en: Daemon for the Diameter protocol
 Diameter is a protocol designed to carry Authentication, Authorization and
 Accounting (AAA) payload. It is an evolution of the RADIUS protocol (as the
 name suggests).
 .
 freeDiameter is an implementation of the Diameter protocol.
 .
 This simple daemon parses the command line and initializes the freeDiameter
 framework. Use it for your Diameter server & agent components.  In case of
 Diameter clients, you probably will prefer linking the libfdcore directly with
 your client application that must be made Diameter-aware.

Package: freedict-tools
Description-md5: 9913d9067c914f4377757bb668d5744f
Description-en: Development files for the FreeDict dictionary packages
 This package contains the FreeDict build system and its scripts, used to build
 and distribute dictionaries in the TEI format. FreeDict dictionaries are
 stored in a format independent from the dictionary program, called TEI. These
 utilities and scripts convert the TEI-formatted dictionaries into formats
 understood by dictionary programs.

Package: freedink
Description-md5: 6b3e332b8d3797216c6ab6fef8ce9c72
Description-en: humorous top-down adventure and role-playing game
 Dink Smallwood is an adventure/role-playing game, similar to classic
 Zelda, made by RTsoft. Besides twisted humor, it includes the actual
 game editor, allowing players to create hundreds of new adventures
 called Dink Modules or D-Mods for short.
 .
 GNU FreeDink is a new and portable version of the game engine, which
 runs the original game as well as its D-Mods, with close
 compatibility, under multiple platforms.
 .
 This package is a metapackage to install the game, its data and a
 front-end to manage game options and D-Mods.

Package: freedink-data
Description-md5: 333bab3a1465597c5a86cd8358038e88
Description-en: adventure and role-playing game (assets)
 Dink Smallwood is an adventure/role-playing game, similar to Zelda,
 made by RTsoft. Besides twisted humour, it includes the actual game
 editor, allowing players to create hundreds of new adventures called
 Dink Modules or D-Mods for short.
 .
 This package contains the original game story, along with free sound
 and music replacements.

Package: freedink-dfarc
Description-md5: 638a3055c34a2e86150d066966aa1d6d
Description-en: frontend and .dmod installer for GNU FreeDink
 Dink Smallwood is an adventure/role-playing game, similar to Zelda,
 made by RTsoft. Besides twisted humor, it includes the actual game
 editor, allowing players to create hundreds of new adventures called
 Dink Modules or D-Mods for short.
 .
 DFArc2 makes it easy to play and manage the Dink Smallwood game and
 its numerous D-Mods.

Package: freedink-dfarc-dbg
Description-md5: dbb69a5335dbce7de3b087e4ada6a0b5
Description-en: debugging symbols for dfarc
 Dink Smallwood is an adventure/role-playing game, similar to Zelda,
 made by RTsoft. Besides twisted humor, it includes the actual game
 editor, allowing players to create hundreds of new adventures called
 Dink Modules or D-Mods for short.
 .
 DFArc2 makes it easy to play and manage the Dink Smallwood game and
 its numerous D-Mods.
 .
 This package contains the debugging symbols for dfarc.

Package: freedink-engine
Description-md5: 2758e7f6b8dc68999c3892c14828c0d4
Description-en: humorous top-down adventure and role-playing game (engine)
 Dink Smallwood is an adventure/role-playing game, similar to classic
 Zelda, made by RTsoft. Besides twisted humor, it includes the actual
 game editor, allowing players to create hundreds of new adventures
 called Dink Modules or D-Mods for short.
 .
 GNU FreeDink is a new and portable version of the game engine, which
 runs the original game as well as its D-Mods, with close
 compatibility, under multiple platforms.
 .
 This package contains the game engine alone.

Package: freedm
Description-md5: 1f746c2fbbba005b000c3d97e86aa301
Description-en: multiplayer deathmatch game for Doom-compatible engines
 The Freedoom project aims to produce three base-game data files
 (IWADs) for Doom-compatible engines.  With it comes the
 capability to also play the wide range of mods created for Doom
 by a vibrant community.
 .
 FreeDM is a fast-paced set of levels designed for multiplayer
 deathmatches, part of the Freedoom project.  Challenge your
 friends to the most torturous test of their abilities as you
 navigate through 32 levels stalking and hunting them.
 .
 FreeDM is fully compatible with Doom II mods.

Package: freedom-maker
Description-md5: f50a45a80368bfd371fcf05cdf43742c
Description-en: FreedomBox image builder
 FreedomBox is a personal cloud server which can be installed on single board
 computers and Debian machines.
 .
 Freedom-Maker is a tool to build images for FreedomBox for various supported
 hardware that can then be copied to SD card, USB stick or Hard Disk drive to
 boot into FreedomBox.

Package: freedombox
Description-md5: eada99d45123c79eeb910fb4ebfd4b8a
Description-en: easy to manage, privacy oriented home server
 FreedomBox is designed to be your own inexpensive server at home. It runs free
 software and offers an increasing number of services ranging from a calendar or
 jabber server to a wiki or VPN. A web interface allows you to easily install
 and configure your apps.
 .
 This package provides the FreedomBox Service (Plinth) which installs,
 configures and manages all functions of FreedomBox. The service is managed
 using a web interface available at https://localhost/.

Package: freedombox-setup
Description-md5: 7c9c61ca02ef3743da565d7ef0faa2e8
Description-en: Configure FreedomBox (transitional package)
 FreedomBox is designed to be your own inexpensive server at home. It runs free
 software and offers an increasing number of services ranging from a calendar or
 jabber server to a wiki or VPN. A web interface allows you to easily install
 and configure your apps.
 .
 This package used to setup FreedomBox environment.
 .
 This is a transitional package. It can be removed in favor of freedombox
 package on which it depends.

Package: freedoom
Description-md5: 8b0f88bf5f675079948e5074a4aaf4e8
Description-en: two single player campaigns for Doom-compatible engines
 The Freedoom project aims to produce three base-game data files
 (IWADs) for Doom-compatible engines.  With it comes the
 capability to also play the wide range of mods created for Doom
 by a vibrant community.
 .
 Freedoom: Phase 1 contains four chapters, nine levels each, to
 provide a smoothly-paced first person action game.  In it is a
 wide variety of mazes and enemies to fight and challenge your
 reflexes.
 .
 Freedoom: Phase 2 is a 32-level game, expanding upon Phase 1 and
 adding a double-barrelled shotgun and more enemy types, along
 with even more brutal gameplay to really test your limits.
 .
 Freedoom: Phase 1 is fully compatible with The Ultimate Doom mods.
 Freedoom: Phase 2 is fully compatible with Doom II and Final Doom mods.

Package: freedroid
Description-md5: 39b55e4233f4ba57574ffe5f0f5e9c95
Description-en: Clone of Paradroid - a strategic shoot-em up
 You must clear a spaceship from all droids.  To achieve this your
 device will need to take control of more powerful droids, more able
 to confront the most powerful ones.  But you'll also need to manage
 your energy, as you won't be able to control any droid for an
 indefinite time, and even your own device has a limited lifetime.
 .
 Note that this package is different from the freedroidrpg game,
 developed by the same team, and also available in Debian.

Package: freedroid-data
Description-md5: 553ed9ae41b0b85511d68eced0651512
Description-en: Data files for freedroid - a strategic shoot-em up
 This package includes the sounds, graphics, and level definitions,
 for the freedroid game.

Package: freedroidrpg
Description-md5: 9d8b703f8bd016f9c8cbc5dd655d29c2
Description-en: Isometric RPG influenced by Paradroid
 Freedroid RPG is an RPG with isometric graphics. In it, the player
 is Tux, who must fight rebelling robots in order to restore peace to
 humankind. To do so,Tux may take over robots in a minigame based off the
 classic game Paradroid, or may simply blast them to pieces with a weapon.
 .
 Note that this is not the same game as Freedroid, also available in Debian.

Package: freedroidrpg-data
Description-md5: 10a2085d7405ec87eb3452d592db45ee
Description-en: Data files for freedroidrpg
 Freedroid RPG is an RPG with isometric graphics. In it, the player
 is Tux, who must fight rebelling robots in order to restore peace to
 humankind. To do so,Tux may take over robots in a minigame based off the
 classic game Paradroid, or may simply blast them to pieces with a weapon.
 .
 Note that this is not the same game as Freedroid, also available in Debian.
 .
 This package provides the data files for the game.

Package: freedv
Description-md5: 57c3ed2e5648b9a106b57aa338f2fa0d
Description-en: Software Defined Radio (SDR)
 FreeDV is a digital voice mode intended for transmission and
 reception over high-frequency (HF) radio. It uses a frequency
 division multiplex (FDM) modem with 15 carriers and no forward error
 correction (FEC). A low bit-rate voice coder-decoder (Codec 2)
 provides voice quality without the listener fatigue caused by noise
 and interference normally associated with analog single sideband
 (SSB) voice. A HF SSB transceiver, personal computer and two sound
 cards are required. Path simulation and on-the-air HF testing have
 shown that decoding voice is possible at a signal-to-noise ratio of
 4 dB.
 .
 The FreeDV software was developed by David Rowe (Codec 2, FDM
 modem implementation, integration) and David Witten (GUI,
 architecture design). The FreeDV design and user interface was based
 on the earlier FDMDV program which was developed by Francesco
 Lanza. The FDM modem design and development was supported from Peter
 Martinez.

Package: freefem
Description-md5: 08eaa7f14e1b2ed60a54266e7983a0ef
Description-en: PDE oriented language using Finite Element Method
 FreeFEM is a language adapted to Partial Differential equation. The
 underlying method used  is the Finite Element Method.
 This tool has been successfully used as a teaching tool and even as a
 research tool.

Package: freefem++
Description-md5: 01429493488c740a6366ff810a1828dd
Description-en: Provides the binaries of the FreeFem++ FE suite
 FreeFem++ is an implementation of a language dedicated to the finite
 element method. It enables you to solve Partial Differential
 Equations (PDE) easily.
 .
 Problems involving PDE from several branches of physics such as
 fluid-structure interactions require interpolations of data on
 several meshes and their manipulation within one program. FreeFem++
 includes a fast quadtree-based interpolation algorithm and a language
 for the manipulation of these data on multiple meshes. It contains
 also a powerful mesh generation and adaption tool integrated
 seamlessly in FreeFem++ called bamg.
 .
 FreeFem++ is written in C++ and the FreeFem++ language is a C++ idiom
 allowing for a smooth learning curve.
 .
 This package contains the executables of FreeFem++.

Package: freefem++-doc
Description-md5: 53f265a9a554171b82cd13c41ed83ac9
Description-en: Provides the documentation of the FreeFem++ FE suite
 FreeFem++ is an implementation of a language dedicated to the finite
 element method. It enables you to solve Partial Differential
 Equations (PDE) easily.
 .
 Problems involving PDE from several branches of physics such as
 fluid-structure interactions require interpolations of data on
 several meshes and their manipulation within one program. FreeFem++
 includes a fast quadtree-based interpolation algorithm and a language
 for the manipulation of these data on multiple meshes. It contains
 also a powerful mesh generation and adaption tool integrated
 seamlessly in FreeFem++ called bamg.
 .
 FreeFem++ is written in C++ and the FreeFem++ language is a C++ idiom
 allowing for a smooth learning curve.
 .
 This package contains the documentation files of FreeFem++.

Package: freefem-doc
Description-md5: 0bfc8cfb6077a6b924c7e737142f677e
Description-en: Documentation for FreeFEM (html and pdf)
 FreeFEM is a language adapted to Partial Differential equation. The
 underlying method used  is the Finite Element Method.
 This tool has been successfully used as a teaching tool and even as a
 research tool.

Package: freefem-examples
Description-md5: 9c813f744ed7732faa4464000401cd6b
Description-en: Example files for FreeFEM
 FreeFEM is a language adapted to Partial Differential equation. The
 underlying method used  is the Finite Element Method.
 This tool has been successfully used as a teaching tool and even as a
 research tool.

Package: freegish
Description-md5: 26883d9c6fd9edbe5b35e35e877b8d4e
Description-en: physics based arcade game
 Freegish is a 2D platform game, where the player maneuvers character of a ball
 of tar. Character may become sticky, slick, heavy and can jump.
 .
 The game contains only first seven levels of the single player campaign and few
 multiplayer levels for mini games like sumo or football.
 .
 Freegish is based on open sourced code of the famous game called Gish with
 added free art assets.

Package: freegish-data
Description-md5: 05db4fce49c0b59a2c0e507ce9bcf1e9
Description-en: data for the FreeGish arcade game
 Freegish is a 2D platform game, where the player maneuvers character of a ball
 of tar. Character may become sticky, slick, heavy and can jump.
 .
 The game contains only first five levels of the single player campaign and few
 multiplayer levels for mini games like sumo or football.
 .
 Freegish is based on open sourced code of the famous game called Gish with
 added free art assets.
 .
 This package holds data files needed for Freegish.

Package: freeglut3
Description-md5: a6aaac3592e8283681e07e3278c82c7d
Description-en: OpenGL Utility Toolkit
 GLUT is a window system independent toolkit for writing OpenGL programs,
 implementing a simple windowing API, which makes learning about and
 exploring OpenGL programming very easy.
 .
 GLUT is designed for constructing small to medium sized OpenGL programs,
 however it is not a full-featured toolkit, so large applications requiring
 sophisticated user interfaces are better off using native window system
 toolkits like GTK+ or Motif.

Package: freeglut3-dev
Description-md5: 79f3fc9a50b1fb368d365cbd8188f334
Description-en: OpenGL Utility Toolkit development files
 GLUT is a window system independent toolkit for writing OpenGL programs.
 It implements a simple windowing API, which makes learning about and
 exploring OpenGL programming very easy.
 .
 GLUT is designed for constructing small to medium sized OpenGL programs,
 however it is not a full-featured toolkit, so large applications requiring
 sophisticated user interfaces are better off using native window system
 toolkits like GTK+ or Motif.
 .
 This package contains libraries, and headers suitable for software
 development with GLUT.

Package: freehdl
Description-md5: 88d254977f1c11c7cf5800f0443e2e5a
Description-en: VHDL simulator for Linux
 This is a free VHDL simulator with these features:
  * Has a graphical waveform viewer.
  * Has a source level debugger.
  * Is VHDL-93 compliant.

Package: freeipa-client
Description-md5: 1aa0c0a3f974364e79585d72db619762
Description-en: FreeIPA centralized identity framework -- client
 FreeIPA is an integrated solution to provide centrally managed Identity
 (machine, user, virtual machines, groups, authentication credentials), Policy
 (configuration settings, access control information) and Audit (events,
 logs, analysis thereof).
 .
 This is the client package.

Package: freeipa-client-samba
Description-md5: c96b7178885d6e724e0833a8eaf878ea
Description-en: FreeIPA centralized identity framework -- Samba client
 FreeIPA is an integrated solution to provide centrally managed Identity
 (machine, user, virtual machines, groups, authentication credentials), Policy
 (configuration settings, access control information) and Audit (events,
 logs, analysis thereof).
 .
 This package provides command-line tools to deploy Samba domain member
 on the machine enrolled into a FreeIPA environment.

Package: freeipa-common
Description-md5: 94d7150bfe2c2b72eb5182a3b5ebf3f8
Description-en: FreeIPA centralized identity framework -- common files
 FreeIPA is an integrated solution to provide centrally managed Identity
 (machine, user, virtual machines, groups, authentication credentials), Policy
 (configuration settings, access control information) and Audit (events,
 logs, analysis thereof).
 .
 This package includes common files.

Package: freeipmi
Description-md5: 691cff5182f8f0538ed75a6712f46bcd
Description-en: GNU implementation of the IPMI protocol
 FreeIPMI is a collection of Intelligent Platform Management IPMI
 system software. It provides in-band and out-of-band software and a
 development library conforming to the Intelligent Platform Management
 Interface (IPMI v1.5 and v2.0) standards.
 .
 This metapackage depends on all separate modules of freeipmi.

Package: freeipmi-bmc-watchdog
Description-md5: de829f061dc1ffe58f7abb1eed647eb0
Description-en: GNU implementation of the IPMI protocol - BMC watchdog
 FreeIPMI is a collection of Intelligent Platform Management IPMI
 system software. It provides in-band and out-of-band software and a
 development library conforming to the Intelligent Platform Management
 Interface (IPMI v1.5 and v2.0) standards.
 .
 This package contains a watchdog daemon for hardware BMC watchdogs.

Package: freeipmi-ipmidetect
Description-md5: f8c1d52ac5eb3aad1539b827d381d2dd
Description-en: GNU IPMI - IPMI node detection tool
 FreeIPMI is a collection of Intelligent Platform Management IPMI
 system software. It provides in-band and out-of-band software and a
 development library conforming to the Intelligent Platform Management
 Interface (IPMI v1.5 and v2.0) standards.
 .
 This package contains a tool and a daemon for detecting IPMI nodes.

Package: freeipmi-ipmiseld
Description-md5: b124bef84b88838f40cc0b634b3e466f
Description-en: GNU IPMI - IPMI node detection tool
 FreeIPMI is a collection of Intelligent Platform Management IPMI
 system software. It provides in-band and out-of-band software and a
 development library conforming to the Intelligent Platform Management
 Interface (IPMI v1.5 and v2.0) standards.
 .
 This package contains ipmiseld which takes the system event log from
 the BMC and imports it to syslog

Package: freelan
Description-md5: a2a818e761aa190b96c2e07944278664
Description-en: Peer-to-peer virtual private network daemon
 Freelan is an application to create secure ethernet tunnels over a
 single UDP port. It can be used to create virtual LANs ("Local
 Area Network"), hence the name: "freelan".
 .
 Freelan may create peer-to-peer tunnel connections or rely on a
 more classic client/server layout. The virtual network can be
 shaped to fit exactly the bandwidth or topology constraints,
 providing an optimal virtual private network.
 .
 Freelan is particularly useful for remote sites interconnection and
 gaming.

Package: freenect
Description-md5: 378022b056f3d5950f489fb32cf98918
Description-en: library for accessing Kinect device -- metapackage
 libfreenect is a cross-platform library that provides the necessary interfaces
 to activate, initialize, and communicate data with the Kinect hardware.
 Currently, the library supports access to RGB and depth video streams, motors,
 accelerometer and LED and provide binding in different languages (C++,
 Python...)
 .
 This library is the low level component of the OpenKinect project which is an
 open community of people interested in making use of the Xbox Kinect hardware
 with PCs and other devices.
 .
 This is the metapackage to install all components of the project.

Package: freeorion
Description-md5: 23b79bf7ff13104e92bf18433717f5e5
Description-en: turn-based space empire and galactic conquest game
 FreeOrion is a turn-based space empire and galactic conquest (4X) game being
 designed and built by the FreeOrion project. FreeOrion is inspired by the
 tradition of the Master of Orion games, but is not a clone or remake of that
 series or any other game.
 .
 The game is about the construction of a living, breathing universe in a grand
 campaign model. You can develop your own galactic empire and explore new star
 systems with your fleets as one of several unique human or alien species.
 Colonization of new worlds, researching new technology, managing your
 resources and defending your planets against the AI or other human players on
 a galactic scale are your main objectives.

Package: freeorion-data
Description-md5: a1292d0a565cc8880b0588f84d2e2a4b
Description-en: turn-based space empire and galactic conquest game -- data
 FreeOrion is a turn-based space empire and galactic conquest (4X) game being
 designed and built by the FreeOrion project.
 .
 This package provides architecture-independent data files for FreeOrion,
 hundreds of unique designs for in-game icons, ships and planets, many
 different sounds and an immersive music score.
 .
 Also included are in-game descriptions, translations and game scripts.

Package: freepats
Description-md5: 9cadfa0a175757cf6f4c5442b70e2338
Description-en: Free patch set for MIDI audio synthesis
 Freepats is a free patch set suitable for MIDI audio synthesis.  It is not
 complete, nor comprehensive yet, and most, if not all patches are in the
 old and limited GUS patch format.
 .
 It is, however, the sole DFSG-compliant patch set in existence so far.
 New patches (including those in better formats, such as SF2 SoundFont banks)
 are welcome.

Package: freeplane
Description-md5: b04d10785beb95550531656465c4c240
Description-en: Java program for working with Mind Maps
 Freeplane is a free and open source software application that supports
 thinking, sharing information and getting things done at work, in school
 and at home. The core of the software is tools for mind mapping (also
 known as concept mapping or information mapping) and using mapped
 information.
 .
 Occupying the middle ground between an editor and a diagramming tool,
 Freeplane allows the user to add content as quickly and naturally as they
 would in a text editor, yet producing structured content that can be
 manipulated as easily as a diagram.
 .
 Features include ordering ideas in nodes and freely positionable
 nodes, connecting nodes, automatic/conditional styles, scripting,
 add-ons, LaTeX, search/filtering, different export features, printing,
 password protection of nodes/maps and more.
 .
 See http://freeplane.sourceforge.net/wiki/index.php/Main_Page for a full
 list of applications and features.

Package: freeplane-scripting-api
Description-md5: 5931c13db76ba7831bc648d209efed1e
Description-en: Java program for working with Mind Maps (groovy scripting API)
 Freeplane is a free and open source software application that supports
 thinking, sharing information and getting things done at work, in school
 and at home. The core of the software is tools for mind mapping (also
 known as concept mapping or information mapping) and using mapped
 information.
 .
 This package contains the API documentation for Freeplane's groovy
 scripting interface.

Package: freepwing
Description-md5: cf6255d245ce655931faa7d2c2d2f29d
Description-en: EB to JIS X 4081 converter
 This program translates data in various "Electric Book" (popular in
 Japan) formats into a single JIS X 4081 format.  JIS X 4081 is a
 subset of the EPWING V1, which is the standardized format for electric
 publishing.  Thus after conversion, you can read such data with your
 favorite EPWING viewer without worrying which formats your data
 originally were.

Package: freeradius-iodbc
Description-md5: 6347edefac75f466ab02895aecb1a016
Description-en: iODBC module for FreeRADIUS server
 The FreeRADIUS server can use iODBC to access databases to authenticate users
 and do accounting, and this module is necessary for that.

Package: freeradius-krb5
Description-md5: 3a5c3602207569628c542943459c0429
Description-en: kerberos module for FreeRADIUS server
 The FreeRADIUS server can use Kerberos to authenticate users, and this module
 is necessary for that.

Package: freeradius-ldap
Description-md5: cc9ad4f60cb78e6b940d44e4c3b57d1d
Description-en: LDAP module for FreeRADIUS server
 The FreeRADIUS server can use LDAP to authenticate users, and this module
 is necessary for that.

Package: freeradius-memcached
Description-md5: 6c7415181d540694968e8e8c5fbf1877
Description-en: Memcached module for FreeRADIUS server
 The FreeRADIUS server can cache data in memcached and this package
 contains the required module.

Package: freeradius-mysql
Description-md5: 4423793fbfc997cd42deec2bcb196555
Description-en: MySQL module for FreeRADIUS server
 The FreeRADIUS server can use MySQL to authenticate users and do accounting,
 and this module is necessary for that.

Package: freeradius-postgresql
Description-md5: 21c022ad780dbebcad8db320d076ccd0
Description-en: PostgreSQL module for FreeRADIUS server
 The FreeRADIUS server can use PostgreSQL to authenticate users and do
 accounting, and this module is necessary for that.

Package: freeradius-python3
Description-md5: 80f8197fc7d045314f87c86f25224510
Description-en: Python 3 module for FreeRADIUS server
 This package is required to add Python 3 functionality to the
 FreeRADIUS server.
 .
 It was introduced in FreeRADIUS 3.0.20 as EXPERIMENTAL module. Use at
 your own risk.

Package: freeradius-redis
Description-md5: 409331feca6e7b06facbc4bac2a0f07b
Description-en: Redis module for FreeRADIUS server
 This module is required to enable the FreeRADIUS server to access
 Redis databases.

Package: freeradius-rest
Description-md5: 84efad4f894dd87ee01e51563cfab4f8
Description-en: REST module for FreeRADIUS server
 The FreeRADIUS server can make calls to remote web APIs, and this module
 is necessary for that.

Package: freeradius-yubikey
Description-md5: 085fe9fe99855d39801336fb78e00a38
Description-en: Yubikey module for FreeRADIUS server
 This package is required to add Yubikey functionality to the
 FreeRADIUS server.

Package: freerdp2-shadow-x11
Description-md5: 5e8fef249679625146e6e6f483c3b488
Description-en: FreeRDP x11 shadowing server
 FreeRDP is a libre client/server implementation of the Remote
 Desktop Protocol (RDP).
 .
 This package contains a "shadowing" server that can be used to
 share an already started X11 DISPLAY.

Package: freerdp2-wayland
Description-md5: a5e739176253fe4f397467dba68645a0
Description-en: RDP client for Windows Terminal Services (wayland client)
 FreeRDP is a libre client/server implementation of the Remote
 Desktop Protocol (RDP).
 .
 Currently, the FreeRDP clients supports the following Windows Versions:
 .
  * Windows NT Server
  * Windows 2000 Terminal Server
  * Windows XP
  * Windows 2003 Server
  * Windows Vista
  * Windows 2008/2008r2/2011SBS Server
  * Windows 7
  * Windows 2012/2012r2 Server
  * Windows 8
  * Windows 10
 .
 This package contains the wayland based client.

Package: freerdp2-x11
Description-md5: c4929c6614bb3d2257a1f67d82098bd3
Description-en: RDP client for Windows Terminal Services (X11 client)
 FreeRDP is a libre client/server implementation of the Remote
 Desktop Protocol (RDP).
 .
 Currently, the FreeRDP client supports the following Windows Versions:
 .
  * Windows NT Server
  * Windows 2000 Terminal Server
  * Windows XP
  * Windows 2003 Server
  * Windows Vista
  * Windows 2008/2008r2/2011SBS Server
  * Windows 7
  * Windows 2012/2012r2 Server
  * Windows 8
  * Windows 10
 .
 This package contains the X11 based client.

Package: freesweep
Description-md5: 0a4e1d6d578e5c04dce8433cb70cee1a
Description-en: text-based minesweeper
 Freesweep is an implementation of the popular minesweeper game, where
 one tries to find all the mines without igniting any, based on hints given
 by the computer.  Unlike most implementations of this game, Freesweep
 works in any visual text display - in Linux console, in an xterm, and in
 most text-based terminals currently in use.

Package: freetable
Description-md5: 13762d0f7ace152a70bab4abc21ccccc
Description-en: Facilitates production of HTML tables
 Freetable is a perl script that aims to make the production of HTML
 tables a little easier.  This script works as a filter, reading stdin
 and writing to stdout.
 .
 The input syntax is as roughly follows:
 .
       <wwwtable table-options...>
         initial text (e.g. <caption> ... </caption>).
         (X, Y) options for cell (X, Y)
         text for cell (X,Y)...
         ((X,Y)) options for header cell X,Y
         text for header cell (X,Y)...
       </wwwtable>
 .
 Rows and cells may be specified in any order, numbering starts at 1.
 X and/or Y may be replaced by regular expression, explicit range
 or even arbitrary Perl code to indicate rows or columns.
 Options or text (or both) may be omitted for cells.  Cells
 may be omitted completely if they are empty or fall under the
 rowspan/colspan specifications of another cell.  Cells may contain
 arbitrary HTML text, including other freetable tables.

Package: freetds-bin
Description-md5: ecc81bdba70ea8f8a0ff19107655f93a
Description-en: FreeTDS command-line utilities
 FreeTDS is an implementation of the Tabular DataStream protocol, used for
 connecting to MS SQL and Sybase servers over TCP/IP.
 .
 This package includes the command-line utilities shipped with FreeTDS.

Package: freetennis
Description-md5: 41babcf61be5056ebe27444ca86c8420
Description-en: Free Tennis - simulation game
 Free Tennis is a free software tennis simulation game.  The game can be played
 against an A.I. or human-vs-human via LAN or internet.

Package: freetennis-common
Description-md5: 50887bd6329fb499621bdc53114302d2
Description-en: Free Tennis - simulation game
 Free Tennis is a free software tennis simulation game.  The game can be played
 against an A.I. or human-vs-human via LAN or internet.
 .
 This package contains the architecture independent files.

Package: freetts
Description-md5: a346fe6dcc2c0164ec6b7c3891945e56
Description-en: speech synthesis system
 FreeTTS is a speech synthesis system written entirely in the Java(TM)
 programming language.  It is based upon Flite, a small run-time speech
 synthesis engine developed at Carnegie Mellon University.  Flite in turn
 is derived from the Festival Speech Synthesis System from the University
 of Edinburgh and the FestVox project from Carnegie Mellon University.

Package: freetuxtv
Description-md5: 3615c1dbcc09d1a31e780dd9fbb76ecf
Description-en: Internet television and radio player
 FreetuxTV is a media player for watching and recording TV on a PC. It
 gives access to a large and growing database of free WebTV, WebRadio, and
 WebCam channels in more than 20 languages, along with television services
 provided by ISPs to their subscribers.
 .
 It relies on VLC for its multimedia codecs.

Package: freetype2-demos
Description-md5: de421171a23975b0aade968f02a98dc9
Description-en: FreeType 2 demonstration programs
 The FreeType project is a team of volunteers who develop free,
 portable and high-quality software solutions for digital typography.
 They specifically target embedded systems and focus on providing small,
 efficient and ubiquitous products.
 .
 This package contains some demonstration programs and utilities
 that showcase the features of the FreeType 2 font engine.

Package: freewnn-common
Description-md5: 4ee66fd4b84f645fc33b7d93db5f6719
Description-en: Files shared among the FreeWnn packages
 FreeWnn is a network-extensible Japanese/Chinese/Korean input
 system.  It was jointly developed and released by the Software
 Research Group of Kyoto University Research Institute for
 Mathematical Science, OMRON Corporation and Astec, Inc. and now
 maintained by the FreeWnn Project.
 .
 This package contains files that are shared among the FreeWnn
 packages.

Package: freewnn-cserver
Description-md5: aa303c9cee2af39884694ece281698b8
Description-en: Chinese input system
 FreeWnn cserver (cWnn) is an integrated Chinese input system running
 on Unix workstation. It supports a wide range of input methods,
 satisfying the needs of the Chinese users from all over the world,
 including P.R.China and Taiwan. FreeWnn cserver is capable of
 carrying out Hanzi conversion from an arbitrary Pinyin or Zhuyin
 sequence, hence improving the speed of Pinyin/Zhuyin input.

Package: freewnn-jserver
Description-md5: 7d4caabedcdd8aa63390b028a910af8f
Description-en: Japanese input system
 FreeWnn jserver (Wnn) is a network-extensible Kana-to-Kanji
 conversion system.  It was jointly developed and released by the
 Software Research Group of Kyoto University Research Institute for
 Mathematical Science, OMRON Corporation and Astec, Inc. and now
 maintained by the FreeWnn Project.

Package: freewnn-kserver
Description-md5: 2d18d8669cc65f4dee5e08eee885ea2e
Description-en: Korean input system
 FreeWnn kserver (kWnn) is an integrated Korean input system running
 on Unix workstation. It supports a wide range of input methods,
 satisfying the needs of the Korean users from all over the world.

Package: freezer-api
Description-md5: 6ad4664ed2012a6418ed551c13012dd3
Description-en: OpenStack backup restore and disaster recovery service - common files
 Freezer is a distributed backup restore and disaster recovery as a service
 platform. It is designed to be multi OS (Linux, Windows, OSX, *BSD), focused
 on providing efficiency and flexibility for block based backups, file based
 incremental backups, point-in-time actions, jobs synchronization (i.e.
 backup synchronization over multiple nodes) and many other features.
 It is aimed at being useful for all environments, including large
 ephemeral Clouds.
 .
 This package contains the daemons.

Package: freezer-api-doc
Description-md5: 8a6ba12a82473af7f987f424780d30d6
Description-en: OpenStack backup restore and disaster recovery service - Documentation
 Freezer is a distributed backup restore and disaster recovery as a service
 platform. It is designed to be multi OS (Linux, Windows, OSX, *BSD), focused
 on providing efficiency and flexibility for block based backups, file based
 incremental backups, point-in-time actions, jobs synchronization (i.e.
 backup synchronization over multiple nodes) and many other features.
 It is aimed at being useful for all environments, including large
 ephemeral Clouds.
 .
 This package contains the documentation.

Package: frei0r-plugins
Description-md5: 599b6277ac789dc307b958da496b9c52
Description-en: minimalistic plugin API for video effects, plugins collection
 frei0r is a minimalistic plugin API for video sources and filters. The
 behavior of the effects can be controlled from the host by simple
 parameters. The intent is to solve the recurring reimplementation or
 adaptation issue of standard effects. It is not meant as a generic API
 for all kinds of video applications.
 .
 frei0r plugins are used by several projects (e.g.: LiVES, Veejay, Open
 Movie Editor, FreeJ, Pure Data Visual Junk Tools, MLT framework).
 .
 This package contains a collection of plugins.

Package: frei0r-plugins-dev
Description-md5: 712fcd51202955814f7121a08987bc42
Description-en: minimalistic plugin API for video effects, header files
 frei0r is a minimalistic plugin API for video sources and filters. The
 behavior of the effects can be controlled from the host by simple
 parameters. The intent is to solve the recurring reimplementation or
 adaptation issue of standard effects. It is not meant as a generic API
 for all kinds of video applications.
 .
 frei0r plugins are used by several projects (e.g.: LiVES, Veejay, Open
 Movie Editor, FreeJ, Pure Data Visual Junk Tools, MLT framework).
 .
 This package contains the header needed to enable frei0r in other
 packages at build time and to build your own frei0r plugins.

Package: frei0r-plugins-doc
Description-md5: ccb78b52a1cb4e3160d4e5762dc0085f
Description-en: minimalistic plugin API for video effects, API documentation
 frei0r is a minimalistic plugin API for video sources and filters. The
 behavior of the effects can be controlled from the host by simple
 parameters. The intent is to solve the recurring reimplementation or
 adaptation issue of standard effects. It is not meant as a generic API
 for all kinds of video applications.
 .
 frei0r plugins are used by several projects (e.g.: LiVES, Veejay, Open
 Movie Editor, FreeJ, Pure Data Visual Junk Tools, MLT framework).
 .
 This package contains the API documentation.

Package: frescobaldi
Description-md5: 7e3a8966b55e721550f9e11b9d0e5617
Description-en: LilyPond sheet music text editor
 Frescobaldi is a LilyPond sheet music editor.  It aims to be powerful,
 yet lightweight and easy to use.  Frescobaldi is Free Software, freely
 available under the GNU General Public License.
 .
 Features:
 .
  * Powerful text editor with syntax highlighting and automatic completion
  * Music view with advanced Point & Click
  * MIDI player to proof-listen LilyPond-generated MIDI files
  * MIDI capturing to enter music
  * Powerful Score Wizard to quickly setup a music score
  * Snippet Manager to store and apply text snippets, templates or scripts
  * Use multiple versions of LilyPond, automatically selects the correct version
  * Built-in LilyPond documentation browser and built-in Frescobaldi User Guide
  * Smart layout-control functions like coloring specific objects in the PDF
  * MusicXML, MIDI and ABC import
  * Modern user interface with configurable colors, fonts and keyboard shortcuts
  * Translated into Dutch, English, French, German, Italian, Czech, Russian,
    Spanish, Galician, Turkish, Polish, Brazillian Portuguese and Ukrainian.
 .
 Music functions:
 .
  * Transpose music
  * Change music from relative to absolute and vice versa
  * Change the language used for note names
  * Change the rhythm (double, halve, add/remove dots, copy, paste) etc.
  * Hyphenate lyrics using word-processor hyphenation dictionaries
  * Add spanners, dynamics, articulation easily using the Quick Insert panel
  * Update LilyPond syntax using convert-ly, with display of differences
 .
 In order to use lyric hyphenation, please install your languages' appropriate
 hyphenation packages, e.g. hyphen-fr for French, hyphen-ca for Catalan, etc.
 .
 Frescobaldi is designed to run on all major operating systems (Linux, macOS
 and MS Windows).  It is named after Girolamo Frescobaldi (1583-1643), an
 Italian composer of keyboard music in the late Renaissance and early Baroque
 period.

Package: fretsonfire
Description-md5: 809f92fa5487fdf1f8844286d56bc3ee
Description-en: game of musical skill and fast fingers
 A game of musical skill and fast fingers. The aim of the game is to play
 guitar with the keyboard as accurately as possible.
 .
 Players must press buttons to keep in time with the rhythm of the music,
 shown by markers on screen. An official online high score list allows
 players to show off their skills to competitors around the globe.
 .
 The game comes with an easy to understand tutorial to get players
 started, and a song editor lets players edit and compose songs
 for use in the game.

Package: fretsonfire-game
Description-md5: d6001acc70bd465f07a1b7ffc127d058
Description-en: game of musical skill and fast fingers - Game files
 A game of musical skill and fast fingers. The aim of the game is to
 play guitar with the keyboard as accurately as possible.
 .
 This is the package containing the game executable code. You will need
 working sound and a 3D capable graphics card.

Package: fretsonfire-songs-muldjord
Description-md5: 5a68498003cd2b0990af3bab85e6278e
Description-en: game of musical skill and fast fingers - Songs Package
 Frets on Fire is a game of musical skill and fast fingers. The aim of
 the game is to play guitar with the keyboard as accurately as possible.
 .
 This is a data package of songs written by Muldjord

Package: fretsonfire-songs-sectoid
Description-md5: 64cd993ff5aeb1353a85004391d9e13e
Description-en: game of musical skill and fast fingers - Songs Package
 Frets on Fire is a game of musical skill and fast fingers. The aim of
 the game is to play guitar with the keyboard as accurately as possible.
 .
 This is a data package of songs written by Sectoid

Package: fritzing
Description-md5: 7f056a3679631d4272496446763b4bd9
Description-en: Easy-to-use electronic design software
 Fritzing is an open source project designed to help one transition from a
 prototype to a finished project. Aimed at users who want to produce or document
 circuits and experiments, one starts by building a physical prototype, then
 recreating it with Fritzing’s graphical editor. From there one can generate a
 schematic, PCB artwork, and PCB production files.

Package: fritzing-data
Description-md5: e785ce98bf5abb0260ab40b4807583ac
Description-en: Easy-to-use electronic design software (data files)
 Fritzing is an open source project designed to help one transition from a
 prototype to a finished project. Aimed at users who want to produce or document
 circuits and experiments, one starts by building a physical prototype, then
 recreating it with Fritzing’s graphical editor. From there one can generate a
 schematic, PCB artwork, and PCB production files.
 .
 This package contains the architecture independent data files for Fritzing.

Package: fritzing-parts
Description-md5: 411de9624888631564014b7e61ad4c3a
Description-en: Easy-to-use electronic design software (parts files)
 Fritzing is an open source project designed to help one transition from a
 prototype to a finished project. Aimed at users who want to produce or document
 circuits and experiments, one starts by building a physical prototype, then
 recreating it with Fritzing’s graphical editor. From there one can generate a
 schematic, PCB artwork, and PCB production files.
 .
 This package contains the architecture independent parts files for Fritzing.

Package: frobby
Description-md5: 91cc54fbb40a060d7abceebf6383cf35
Description-en: Computations with monomial ideals
 Frobby is a software system and project for computations with monomial ideals.
 Frobby is free software and it is intended as a vehicle for computational and
 mathematical research on monomial ideals.
 .
 The current functionality includes Euler characteristic, Hilbert series,
 maximal standard monomials, combinatorial optimization on monomial ideals,
 primary decomposition, irreducible decomposition, Alexander dual, associated
 primes, minimization and intersection of monomial ideals as well as the
 computation of Frobenius problems (using 4ti2) with very large numbers. Frobby
 is also able to translate between formats that can be used with several
 different computer systems, such as Macaulay 2, Monos, 4ti2, CoCoA4 and
 Singular. Thus Frobby can be used with any of those systems.

Package: frog
Description-md5: 790ce989c490f71f1d3e2af965b08f58
Description-en: tagger and parser for natural languages (runtime)
 Memory-Based Learning (MBL) is a machine-learning method applicable to a wide
 range of tasks in Natural Language Processing (NLP).
 .
 Frog is a modular system integrating a morphosyntactic tagger, lemmatizer,
 morphological analyzer, and dependency parser for natural languages.  It is
 based upon it's predecessor TADPOLE (TAgger, Dependency Parser, and
 mOrphoLogical analyzEr).  Using Memory-Based Learning techniques, frog
 tokenizes, tags, lemmatizes, and morphologically segments word tokens in
 incoming UTF-8 text files, and assigns a dependency graph to each sentence.
 Frog is particularly targeted at the increasing need for fast, automatic NLP
 systems applicable to very large (multi-million to billion word) document
 collections that are becoming available due to the progressive digitization of
 both new and old textual data.  Up to now, frog has only been tested and used
 using corpora of Dutch natural language (see the frogdata package for samples).
 .
 Frog is a product of the Centre of Language and Speech Technology at
 Radboud University Nijmegen, it subsumes previous work by the
 ILK Research Group (Tilburg University, The Netherlands) and
 the CLiPS Research Centre (University of Antwerp, Belgium).
 .
 If you do scientific research in NLP, Frog will likely be of use to you.

Package: frogdata
Description-md5: e7e3f4bca3574dec01985d9edda8bf72
Description-en: Data files for Frog
 Frog is a modular system integrating a morphosyntactic tagger, lemmatizer,
 morphological analyzer, and dependency parser for the Dutch language.
 .
 This package provided necessary datafiles for running Frog.
 .
 Frog is a product of the ILK Research Group (Tilburg University,
 The Netherlands) and the CLiPS Research Centre (University of Antwerp,
 Belgium).

Package: frogr
Description-md5: b085d9541e6489e8a1e69221a531d61a
Description-en: Flickr Remote Organizer for GNOME
 Frogr is a small application for the GNOME desktop that allows users
 to manage their accounts in the Flickr image hosting website.
 It supports all the basic tasks, including uploading pictures, adding
 descriptions, setting tags and managing sets.

Package: frogr-data
Description-md5: 670f41cf10490dc5131bc996fc0abecf
Description-en: Flickr Remote Organizer for GNOME - data files
 Frogr is a small application for the GNOME desktop that allows users
 to manage their accounts in the Flickr image hosting website.
 It supports all the basic tasks, including uploading pictures, adding
 descriptions, setting tags and managing sets.
 .
 This package contains the architecture-independent data files.

Package: frotz
Description-md5: c334dfec00e6c9946fffab58039f20d2
Description-en: interpreter of Z-code story-files
 Frotz interprets Z-code story-files, which are usually text adventure
 games (although a few arcade-style Z-code games have been written).
 Examples of such story files include the adventure games published by
 Infocom, as well as any games produced by compilers to this format,
 such as Inform.  You can find a number of Inform-compiled games up for
 anonymous FTP at ftp://ftp.ifarchive.org/ or HTTP at http://www.ifarchive.org/
 .
 Frotz complies with the Z Machine specification version 1.0.

Package: frozen-bubble
Description-md5: 3785aec5dfad3884d80489fbf1b4f48a
Description-en: cool game where you pop out the bubbles!
 Frozen-Bubble is a clone of the popular "Puzzle Bobble" game, in which
 you attempt to shoot bubbles into groups of the same color to cause
 them to pop.
 .
 Players compete as penguins and must use the arrow keys to aim a
 colored bubble at groups of bubbles. The objective is to clear all the
 bubbles off the screen before a bubble passes below a line at the
 bottom.
 .
 It features 100 single-player levels, a two-player mode, music and
 striking graphics. A level editor is also included to allow players to
 create and play their own levels.

Package: frozen-bubble-data
Description-md5: e6151b33d0f9e9b8420e0cec8e654913
Description-en: Data files for Frozen-Bubble game
 Frozen-Bubble is a clone of the popular "Puzzle Bobble" game, featuring
 100 single-player levels and a two-player mode.
 .
 This package contains the sound files, graphics and levels for
 Frozen-Bubble.

Package: frr
Description-md5: c38aee356eec3e00fe8b884cce06cfa3
Description-en: FRRouting suite of internet protocols (BGP, OSPF, IS-IS, ...)
 FRRouting implements the routing protocols commonly used in the
 internet and private networks to exchange information between routers.
 Both IP and IPv6 are supported, as are BGP, OSPF, IS-IS, BABEL, EIGRP,
 RIP, LDP, BFD, PIM and NHRP protocols.
 .
 These protocols are used to turn your system into a dynamic router,
 exchanging information about available connections with other routers
 in a standards-compliant way.  The actual packet forwarding
 functionality is provided by the OS kernel.
 .
 FRRouting is a fork of Quagga with an open community model. The main
 git lives on https://github.com/frrouting/frr.git and the project name
 is commonly abbreviated as "FRR."

Package: frr-doc
Description-md5: 9cc0580c649c14bae6e01ec816e5dff9
Description-en: FRRouting suite - user manual
 This provides the FRR user manual in HTML form.  This is the official
 manual maintained as part of the package and is also available online
 at https://frrouting.readthedocs.io/

Package: frr-pythontools
Description-md5: ca3556435c120a76c403dd6d62720c4e
Description-en: FRRouting suite - Python tools
 The FRRouting suite uses a small Python tool to provide configuration
 reload functionality, particularly useful when the interactive configuration
 shell is not used.
 .
 Without this package installed, "reload" (as a systemd or init script
 invocation) will not work for the FRR daemons.

Package: frr-rpki-rtrlib
Description-md5: 30bfd5814687806732d4fe41102d1c00
Description-en: FRRouting suite - BGP RPKI support (rtrlib)
 Adds RPKI support to FRR's bgpd, allowing validation of BGP routes
 against cryptographic information stored in WHOIS databases.  This is
 used to prevent hijacking of networks on the wider internet.  It is only
 relevant to internet service providers using their own autonomous system
 number.

Package: frr-snmp
Description-md5: d919226aa0a132d34a50839afe24cfa6
Description-en: FRRouting suite - SNMP support
 Adds SNMP support to FRR's daemons by attaching to net-snmp's snmpd
 through the AgentX protocol.  Provides read-only access to current
 routing state through standard SNMP MIBs.

Package: fruit
Description-md5: 33b36ff29f460c72d7e34cc5b3ac8971
Description-en: chess engine, to calculate chess moves
 This is a very strong chess engine, placed second in the 13th world
 computer chess championship 2005. It uses the UCI (universal chess
 interface), for chess engines as communication protocol. This means
 to play against it, you will have to use an UCI capable interface,
 like knights. This distribution comes with an opening book of moves
 that the engine can use for the first few moves of the game. This
 increases performance in the chess games opening.

Package: fs-uae
Description-md5: b58c47c3284c20f136586bd74d1ec248
Description-en: Cross-platform Amiga emulator based on UAE/WinUAE
 FS-UAE is a cross-platform Amiga emulator based on updated emulation code
 from WinUAE. FS-UAE uses SDL for input, OpenAL for audio and OpenGL
 for graphics.

Package: fs-uae-arcade
Description-md5: 690418b40718136de2d0a545521b7337
Description-en: Fullscreen game browser for FS-UAE
 FS-UAE is a cross-platform Amiga emulator based on updated emulation code
 from WinUAE. FS-UAE uses SDL for input, OpenAL for audio and OpenGL
 for graphics.
 .
 This package contains FS-UAE Arcade, a fullscreen game browser
 for couch potatoes.

Package: fs-uae-launcher
Description-md5: ca627a4a602b43b6c0d0e5ae897d9494
Description-en: Launcher and configuration program for FS-UAE
 FS-UAE is a cross-platform Amiga emulator based on updated emulation code
 from WinUAE. FS-UAE uses SDL for input, OpenAL for audio and OpenGL
 for graphics.
 .
 This package contains the launcher, a graphical user interface for
 setting up FS-UAE.

Package: fsa
Description-md5: 6250169bc99ea71aef4983021689c512
Description-en: Fast Statistical Alignment of protein, RNA or DNA sequences
 FSA is a probabilistic multiple sequence alignment algorithm which uses
 a "distance-based" approach to aligning homologous protein, RNA or DNA
 sequences. Much as distance-based phylogenetic reconstruction methods
 like Neighbor-Joining build a phylogeny using only pairwise divergence
 estimates, FSA builds a multiple alignment using only pairwise
 estimations of homology. This is made possible by the sequence annealing
 technique for constructing a multiple alignment from pairwise
 comparisons, developed by Ariel Schwartz.
 .
 FSA brings the high accuracies previously available only for
 small-scale analyses of proteins or RNAs to large-scale problems such as
 aligning thousands of sequences or megabase-long sequences. FSA
 introduces several novel methods for constructing better alignments:
  * FSA uses machine-learning techniques to estimate gap and
    substitution parameters on the fly for each set of input sequences.
    This "query-specific learning" alignment method makes FSA very robust:
    it can produce superior alignments of sets of homologous sequences
    which are subject to very different evolutionary constraints.
  * FSA is capable of aligning hundreds or even thousands of sequences
    using a randomized inference algorithm to reduce the computational
    cost of multiple alignment. This randomized inference can be over ten
    times faster than a direct approach with little loss of accuracy.
  * FSA can quickly align very long sequences using the "anchor
    annealing" technique for resolving anchors and projecting them with
    transitive anchoring. It then stitches together the alignment between
    the anchors using the methods described above.
  * The included GUI, MAD (Multiple Alignment Display), can display the
    intermediate alignments produced by FSA, where each character is
    colored according to the probability that it is correctly aligned

Package: fsarchiver
Description-md5: 3da05c8c86b885254877d63de800fc49
Description-en: file system archiver
 FSArchiver is a system tool that allows you to save the contents of a
 file system to a compressed archive file. The file system can be restored
 on a partition which has a different size and it can be restored on a
 different file system.
 Unlike tar/dar, FSArchiver also creates the file system when it extracts
 the data to partitions.
 Everything is checksummed in the archive in order to protect the data. If
 the archive is corrupt, you just lose the current file, not the whole archive.

Package: fscrypt
Description-md5: a00e89ddf41088a1fe352513192a47b3
Description-en: Tool for managing Linux filesystem encryption
 fscrypt is a high-level tool for the management of Linux filesystem
 encryption. This tool manages metadata, key generation, key wrapping,
 PAM integration, and provides a uniform interface for creating and
 modifying encrypted directories.
 .
 To use fscrypt, you must have a filesystem with encryption enabled and
 a kernel that supports reading/writing from that filesystem. Currently,
 ext4, F2FS, and UBIFS support Linux filesystem encryption. Ext4 has
 supported Linux filesystem encryption since v4.1, F2FS added support
 in v4.2, and UBIFS added support in v4.10. Other filesystems may add
 support for native encryption in the future.

Package: fsharp
Description-md5: 3ba7deb8d62724c17dc9096ef7dc8d0c
Description-en: functional-first programming language - compiler for the CLI
 F# is a multi-paradigm programming language, supporting functional,
 imperative, and object-oriented structures.
 .
 This package contains an F# compiler targeting the CLI runtime.

Package: fsm-lite
Description-md5: 7af67c51e54797acde8cd055fccc6bda
Description-en: frequency-based string mining (lite)
 A singe-core implementation of frequency-based substring mining used in
 bioinformatics to extract substrings that discriminate two (or more)
 datasets inside high-throughput sequencing data.

Package: fsmark
Description-md5: 4dccb8c37d09b6e6e745140832422590
Description-en: benchmark for simulating synchronous write workloads
 The fs_mark benchmark tests synchronous write workloads. It can vary
 the number of files, directory depth, etc. It has detailed timings
 for reads, writes, unlinks and fsyncs that make it good for simulating
 mail servers and other setups.

Package: fsniper
Description-md5: c966e17ef2642c5aae6d6a1b061a7f1b
Description-en: Monitors for new files and runs a rule based task
 fsniper is a tool that monitors a given set of directories for new
 files and, based on the new file's type or name, invokes a custom
 command on it.
 .
 Common uses include making a single drop directory for all things from a
 web browser or having semi-intelligent scripts which figure out what
 to do with those files.
 .
 This package comes with no generic rules, so you must write
 them yourself.

Package: fspanel
Description-md5: 9d148223930c6152ef758987e1a40ed4
Description-en: minimalist panel for X
 A panel for X that lists all your windows, while consuming minimal
 disk, memory, and screen space. It works under any GNOME compliant
 window manager (e.g. E, Sawfish, WindowMaker, IceWM, Oroborus) and
 supports KDE's mini icons (the KWM_WIN_ICON atom).

Package: fsprotect
Description-md5: cd145253c311a2d6fcf9a6be7a01eceb
Description-en: Helper scripts to make filesystems immutable
 This is a set of scripts that make immutable the root and other filesystems.
 Using aufs they pack a tmpfs filesystem and the filesystem forcing
 changes to be written to the tmpfs.
 .
 The root filesystem is protected by an initramfs script. Other filesystems
 are protected by an init script. All protected filesystems become read-only
 ensuring their immutability even on power-offs.
 .
 This can be used for public computers to prevent damage or changes.

Package: fspy
Description-md5: 9c49e9212088f22ca1d2f76000fe9d83
Description-en: filesystem activity monitoring tool
 fspy is an easy to use Linux filesystem activity monitoring tool which is
 meant to be small, fast and to handle system resources conservative.
 You can apply filters, use diffing and your own output format in order to get
 the best results.

Package: fssync
Description-md5: fe4ec9632ddd01f746d426579a4630f6
Description-en: File system synchronization tool (1-way, over SSH)
 fssync is a 1-way file-synchronization tool that tracks inodes and maintains a
 local database of files that are on the remote side, making it able to:
  - handle efficiently a huge number of dirs/files
  - detect renames/moves and hard-links
 .
 It aims at minimizing network traffic and synchronizing every detail of a file
 system:
  - all types of inode: file, dir, block/character/fifo, socket, symlink
  - preserve hard links
  - modification time, ownership/permission/ACL, extended attributes
  - sparse files
 .
 Other features:
  - it can be configured to exclude files from synchronization
  - fssync can be interrupted and resumed at any time, making it tolerant to
    random failures (e.g. network error)
  - algorithm to synchronize file content is designed to handle big files
    like VM images efficiently, by updating fixed-size modified blocks in-place
 .
 Main usage of fssync is to prevent data loss in case of hardware failure,
 where RAID1 is not possible (e.g. in laptops).
 .
 On Btrfs file systems, fssync is an useful alternative to `btrfs send` (and
 `receive`) commands, thanks to filtering capabilities. This can be combined
 with Btrfs snapshotting at destination side for a full backup solution.

Package: fst-dev
Description-md5: caabbec77577427640f22663f6ea003d
Description-en: Free Studio Technology for audio plugins (development files)
 Free Studio Technology (FST) is an audio plugin software interface
 that integrates software synthesizer and effects in digital audio
 workstations.
 It is an unencumbered, bona-fide reverse-engineered SDK that aims to be
 compatible with the ubiquitous but proprietary, deprecated and retracted
 VST2 interface.

Package: fstl
Description-md5: dcc64286550ed5e28613d767c2e456a8
Description-en: Viewer for .stl files
 fstl is a viewer for .stl files commonly used in stereolithography,
 rapid prototyping, 3D printing and CAM.
 .
 It is optimized to quickly load and render very high-polygon models.

Package: fstransform
Description-md5: 9dbf17c52e563a4e5cfa9b08013dd0c3
Description-en: Tool for in-place filesystem conversion
 fstransform is a tool to change a file-system from one format to
 another, for example from jfs/xfs/reiser to ext2/ext3/ext4, in-place
 and without the need for backup. Idea from convertfs, but it is
 fully independent code.
 .
 The current version of fstransform is fully functional, and ready for
 testing from the general public. It has been tested on the following
 file-systems, both as source and target: ext2, ext3, ext4, jfs,
 minix, reiserfs, xfs.

Package: fstrcmp
Description-md5: f46525797f96335ca1e9b249ee43c6c7
Description-en: fuzzy comparison of strings
 This package provides a command to make fuzzy string comparisons.

Package: fstrcmp-doc
Description-md5: 88fbff2f3a3af301050c8f8c72340699
Description-en: fuzzy string compare library - documentation
 This package provides a library which may be used to make a variety fuzzy
 comparisons, on strings and arrays of bytes, including wide character strings
 and multi-byte character strings.

Package: fstrm-bin
Description-md5: cacf329efed0035aea24ae25a224c563
Description-en: Frame Streams (fstrm) library (utilities)
 Frame Streams is a light weight, binary clean protocol that allows for the
 transport of arbitrarily encoded data payload sequences with minimal framing
 overhead -- just four bytes per data frame. Frame Streams does not specify an
 encoding format for data frames and can be used with any data serialization
 format that produces byte sequences, such as Protocol Buffers, XML, JSON,
 MessagePack, YAML, etc. Frame Streams can be used as both a streaming
 transport over a reliable byte stream socket (TCP sockets, TLS connections,
 AF_UNIX sockets, etc.) for data in motion as well as a file format for data
 at rest. A "Content Type" header identifies the type of payload being carried
 over an individual Frame Stream and allows cooperating programs to determine
 how to interpret a given sequence of data payloads.
 .
 This is the "fstrm" implementation of Frame Streams in C.
 .
 This package contains the command line utilities.

Package: fsviewer-icons
Description-md5: 0d9931a0fe178c5aaec58688176e138c
Description-en: icons for fsviewer to make it look more like the NeXT FileViewer
 Some nice XPM icons to provide fsviewer with a more NeXT-like look,
 although fsviewer does run without them.
 .
 These icons are only useful if used with fsviewer, which is a
 lightweight standalone (no need for openstep) filemanager
 based on the one included with NeXTSTEP. It integrates well
 with Window Maker but can be used with any WM.
 Features include Browser mode, DND and file operations.
 .
 Icon source files are included in TIFF format.

Package: fsvs
Description-md5: 4b54cb692e73360d3e1a44d6e5c2e769
Description-en: Full system versioning with metadata support
 FSVS is a backup/restore/versioning/deployment tool for whole directory
 trees or filesystems, with a Subversion repository as the backend.
 It can do overlays of multiple repositories, to achieve some content
 separation (base install, local modifications, etc.)

Package: fswatch
Description-md5: 253c4e6aa294af84255f9b87d9c2cea8
Description-en: file change monitor based on inotify
 fswatch is a file change monitor that receives notifications when the contents
 of the specified files or directories are modified. fswatch implements the
 following kinds of monitors:
  * A monitor based on the File System Events API of Apple OS X.
  * A monitor based on kqueue, an event notification interface introduced in
    FreeBSD 4.1 and supported on most *BSD systems (including OS X).
  * A monitor based on inotify, a Linux kernel subsystem that reports file
    system changes to applications.
  * A monitor based on File Events Notification, a Solaris/Illumos kernel API
    that reports file events.
  * A monitor which periodically stats the file system, saves file modification
    times in memory and manually calculates file system changes, which can work
    on any operating system where stat (2) can be used.
 .
 fswatch should build and work correctly on any system shipping either of the
 aforementioned APIs

Package: fswebcam
Description-md5: cb9794b0e2544277ac13ad42bcc4e8d5
Description-en: Tiny and flexible webcam program
 Fswebcam is a tiny and flexible webcam command-line program for capturing
 images from a V4L1/V4L2 device. It accepts a number of formats, can skip
 the first (possibly bad) frames before performing the actual capture, and
 can perform simple manipulation on the captured image, such as resizing,
 averaging multiple frames or overlaying a caption or an image.

Package: ftdi-eeprom
Description-md5: b25f43696d03c67044d74467e49c4b44
Description-en: Tool for reading/erasing/flashing FTDI USB chip EEPROMs
 ftdi-eeprom is a small tool for creating and uploading the configuration
 EEPROM for the FTDI chip. This eeprom contains information such as vendor
 and product ID, manufacturer and product strings, revision, etc.

Package: fte
Description-md5: 416e5a500077be0ae50d1f03062cb432
Description-en: Text editor for programmers - base package
 FTE is a text editor for programmers.  Some of its features are smart
 indentation for C, C++, Java, Perl; color syntax highlighting for many more
 languages; multiple file/window editing; column blocks; configurable menus
 and keyboard bindings; mouse support; undo/redo; regular expression search
 and replace; folding; background compiler execution.
 .
 This package contains files necessary to build a configuration file and
 some elementary documentation.  There is no editor here; please select one
 of the packages in the dependencies.

Package: fte-console
Description-md5: 4ffc9d62c484cfc547f9e09e2ed00cd3
Description-en: Text editor for programmers - console edition, no I18N support
 FTE is a text editor for programmers.  Some of its features are smart
 indentation for C, C++, Java, Perl; color syntax highlighting for many more
 languages; multiple file/window editing; column blocks; configurable menus
 and keyboard bindings; mouse support; undo/redo; regular expression search
 and replace; folding; background compiler execution.
 .
 This is the Linux console edition, without internationalization support.

Package: fte-docs
Description-md5: c8c0c33cb72f13a474fa501c86c7cf6c
Description-en: Documentation and examples for the FTE editor
 FTE is a text editor for programmers.  Some of its features are smart
 indentation for C, C++, Java, Perl; color syntax highlighting for many more
 languages; multiple file/window editing; column blocks; configurable menus
 and keyboard bindings; mouse support; undo/redo; regular expression search
 and replace; folding; background compiler execution.
 .
 This package contains HTML documentation and sample configuration files.

Package: fte-terminal
Description-md5: ec3fbb693916a59035311cd6f190139b
Description-en: Text editor for programmers - version for terminals
 FTE is a text editor for programmers.  Some of its features are smart
 indentation for C, C++, Java, Perl; color syntax highlighting for many more
 languages; multiple file/window editing; column blocks; configurable menus
 and keyboard bindings; mouse support; undo/redo; regular expression search
 and replace; folding; background compiler execution.
 .
 This is the version for terminals (e.g. xterm, vt100).

Package: fte-xwindow
Description-md5: 8b0fe99af804ae1498e6e5340eef9e0c
Description-en: Text editor for programmers - X Window System edition with I18N support
 FTE is a text editor for programmers.  Some of its features are smart
 indentation for C, C++, Java, Perl; color syntax highlighting for many more
 languages; multiple file/window editing; column blocks; configurable menus
 and keyboard bindings; mouse support; undo/redo; regular expression search
 and replace; folding; background compiler execution.
 .
 This is the X Window System edition, with internationalization support.

Package: fteqcc
Description-md5: e65cc25536b17641f57a3e511b84a2e2
Description-en: FTE QuakeC compiler
 fteqcc compiles QuakeC source code to binary, platform-independent
 code that Quake based engines can interpret. QuakeC is the language
 that Quake game logic is developed in and is used for developing
 modifications.
 .
 FTE QCC supports Quake1, QuakeWorld, Hexen2 and FTE Quake, 64bit
 architectures and multithreading.

Package: ftjam
Description-md5: 42128d3ee04f1ec67e98b5d97ef7d69d
Description-en: FreeType version of Jam, a replacement for make
 This is a version of Jam with additional features and bugfixes, which
 is maintained by the FreeType project.
 .
 Perforce's Jam (formerly called Jam/MR, available in package "jam")
 is a powerful and highly customizable utility to build programs and
 other things, that can run on Un*x, Nt, VMS, OS/2 and Macintosh MPW,
 using portable Jamfiles.  It can build large projects spread across
 many directories in one pass, and can run jobs in parallel where make
 would not.
 .
 It takes some time to fully apprehend, especially when one's already
 accustomed to make(1), but there's no comparison in power when
 comparing these two tools.
 .
 Standard rules:
  - can automatically extract header dependencies for C/C++ (you can
 customize for you own language)
  - provide for automatic "clean", "install", "uninstall" rules,
 so that an automake-like tool is not needed

Package: ftnchek
Description-md5: 4e58dca26e03850b7e53f0c09759b8f7
Description-en: Semantic checker for Fortran 77 programs
 ftnchek (short for Fortran checker) is a tool designed to detect
 certain errors in a Fortran program that a compiler usually does not,
 thus assisting users in the debugging of their Fortran programs.
 .
 Unlike syntax errors, semantic errors are legal in the Fortran
 language but are wasteful or may cause incorrect operation. For
 example, variables which are never used may indicate some omission in
 the program; uninitialized variables contain garbage which may cause
 incorrect results to be calculated; and variables which are not
 declared may not have the intended type.
 .
 ftnchek can also be used to provide call-trees, cross-reference of
 subprogram calls and COMMON blocks usage, source listings, symbol
 tables and other things you might find useful when debugging a
 Fortran program.

Package: ftools-fv
Description-md5: b5f8936256bd9de9797fd78367eac736
Description-en: Tool for viewing and editing FITS format files
 Fv provides a graphical user interface to data stored in FITS
 (Flexible Image Transport System) files.  Local files can be created,
 viewed and edited, files on the internet can be opened read-only
 through the http and ftp protocols. Through the POWplot program,
 FITS data can be visualized in a large variety of styles.  An
 interface to the SkyView online database allows for searching,
 downloading, and plotting of images and object lists for a region of
 the sky.

Package: ftools-pow
Description-md5: 373b67b9761887d825eb66e45d132dc6
Description-en: Curve plotting and image display interface tool
 POW is a curve plotting and image display interface tool written and
 distributed by the HEASARC at NASA/GSFC.
 .
 POW features a very flexible developer's interface and a "friendly" end user
 GUI interface. POW is a Tcl/Tk extension and can be called from standard C or
 FORTRAN main programs.

Package: ftp-proxy
Description-md5: 62e06e3e66504bb8dcc3df43dd9668ff
Description-en: application level proxy for the FTP protocol
 FTP-Proxy is a transparent, application-level proxy server for FTP
 connections, designed to protect FTP servers against attacks based on the FTP
 protocol. It is the first (and currently only) component of the SuSE Proxy
 Suite, a set of programs to enhance firewall security.
 .
 FTP-Proxy is much less complex than any current FTP server, has been designed
 with great care and performs chroot(), setuid(), setgid() to avoid possible
 vulnerabilities, and is believed to be immune against current known attacks.
 .
 FTP-Proxy features include:
  o Securely relays FTP connections between clients and servers
  o Can switch connections from active to passive and vice versa
  o Utilizes port ranges for both control and data connections
  o Provides extensive auditing (via syslog or rotating log files)
  o Can separate user related from system triggered audit events
  o Provides command restriction based on logged in user name
  o Allows command argument checking with regular expressions
  o Is able to retrieve configuration data from an LDAP directory
  o Has been thoroughly tested against buffer overflow attacks
  o Fully conforms to RFC 959 and 1123 (the basic FTP RFCs)
  o Planned to support RFC 1579 ("Firewall Friendly FTP")
  o Planned to support RFC 2428 (IPv6 Extensions for FTP)
  o Based on GNU AutoConf, supposed to run on many UNIX systems

Package: ftp-proxy-doc
Description-md5: c6a24aa91cec5d8501d5b000611c9c39
Description-en: documentation for ftp-proxy
 FTP-Proxy is a transparent, application-level proxy server for FTP
 connections, designed to protect FTP servers against attacks based on the FTP
 protocol. It is the first (and currently only) component of the SuSE Proxy
 Suite, a set of programs to enhance firewall security.
 .
 This package contains the documentation in PDF and HTML for ftp-proxy.

Package: ftp-ssl
Description-md5: df54f8993102609570fe5a7e7cfe0c6b
Description-en: FTP client with SSL or TLS encryption support
 ftp is the user interface to the ARPANET standard File Transfer Protocol.
 The program allows a user to transfer files to and from a remote network
 site.
 .
 ftp-ssl replaces normal ftp using SSL or TLS authentication and encryption.
 It interoperates with normal ftpd.
 It checks if the other side is also talking SSL or TLS, if not it falls back
 to normal ftp protocol.
 .
 Advantages over normal ftp(d): Your passwords and the data you send
 will not go in cleartext over the line. Nobody can get it with
 tcpdump or similar tools.

Package: ftp-upload
Description-md5: 608a9b6be46b2c40737b1b93c824a8d0
Description-en: put files with FTP from a script
 ftp-upload transfers local files to another machine using FTP.  It's
 meant to be used by scripts and such rather than interactively.  There's
 no user interface, the program is controlled strictly via the command
 line.  It is disciplined with its exit status.

Package: ftp.app
Description-md5: d79864dd07365a936819d664b8c0f4b4
Description-en: File transfer protocol application for GNUstep
 This is a file transfer application (RFC 765) featuring a compact and easy
 interface. As most applications in GAP it tries to work on both GNUstep and
 MacOS X and retain the utmost possible similarity among the two version to
 ease working in both environments.
 .
 FTP supports different port arbitration modes: "default", standard "PORT"
 (also known as active) and standard "PASV" (also known as passive).

Package: ftpcopy
Description-md5: d08a536f7e94fc6c8e51d60ba074a4e2
Description-en: FTP clients collection
 ftpcopy is a simple FTP client written to copy files or directories
 (recursively) from an FTP server.  It was written to mirror FTP sites
 which support the EPLF directory listing format, but it also supports
 the traditional listing format (/bin/ls).
 .
 ftpls is an FTP client which generates directory listings, either in
 plain text or HTML.
 .
 The tools only support passive mode FTP.  There is no plan to support
 active mode.

Package: ftpd
Description-md5: c8a3d27dbf865e8912a8563dd06a336c
Description-en: File Transfer Protocol (FTP) server
 This is the netkit ftp server. You are recommended to use one of its
 alternatives, such as vsftpd, proftpd, or pure-ftpd.
 .
 This server supports IPv6, and can be used in standalone mode as well
 as in inetd-slave mode, but other servers have better long-term
 security screening.
 .
 The server can be used with GNU/Linux, GNU/kFreeBSD, and GNU/Hurd.

Package: ftpd-ssl
Description-md5: ba2bf4433c602ddae15cc6958f4d117e
Description-en: FTP server with SSL encryption support
 This is the netkit ftp server with encryption support.
 .
 ftpd-ssl replaces normal ftpd using SSL authentication and encryption.
 It interoperates with normal ftp.
 It checks if the other side is also talking SSL, if not it falls back
 to normal ftp protocol.
 .
 Advantages over normal ftp(d): Your passwords and the data you send
 will not go in cleartext over the line. Nobody can get it with
 tcpdump or similar tools.

Package: ftpgrab
Description-md5: 491b78c7cd2ccb92bb7a60aefc9ee941
Description-en: file mirroring utility
 ftpgrab is a utility for maintaining FTP mirrors. In fact not unlike the
 "Mirror" perl program. However ftpgrab is oriented towards the smaller
 site which doesn't have the resources to mirror entire version trees of
 software.
 .
 The primary "plus point" of ftpgrab is that it can base download decisions
 by parsing version numbers out of filenames. For example, ftpgrab will
 recognize that the file "linux-2.2.2.tar.gz" is newer than
 "linux-2.2.1.tar.gz" based on the version string. It will then download
 the new version and delete the old one when it is done, thus saving you
 mirroring 10 kernel versions all at >10Mb each.

Package: ftpmirror
Description-md5: 7d2af59ec05cab964f16fd21e0cee0a9
Description-en: Mirroring directory hierarchy using FTP protocol
 ftpmirror is an utility to copy directory hierarchy (usually
 called 'mirror') using FTP protocol.
 .
 A similar perl script exists, whose name is 'mirror',
 but ftpmirror requires less memory than mirror.

Package: ftpsync
Description-md5: c2cc501db526c4228da93531dee4bb26
Description-en: Debian archive mirror tool
 ftpsync is the preferred tool for maintaining a Debian mirror.  It
 tries to make updates as smooth as possible, without the clients
 experiencing half updated mirrors.  It supports partial mirrors by
 architecture.

Package: ftpwatch
Description-md5: 7ca73259d8bc8442cfe56370a4b336f7
Description-en: Notifies you of changes on remote ftp servers
 This package should be installed as a cron job (for interested users)
 which every week makes a ftp connection to a list of sites and studies
 a set of files or directories and report any changes it finds by email.
 .
 Every user can have his own set of sites and directories to watch.

Package: fullquottel
Description-md5: 1b42f767a33edcbb16c76a0b0362e87c
Description-en: tool for recognizing top-posted e-mail and usenet replies
 Fullquottel performs several tests to determine if a message is written in
 the top-post style (a.k.a. "TOFU" or jeopardy-style quoting) - the full
 response above and a full quote of the original message below.
 .
 Each test is customizable and has an associated score. Fullquottel returns
 the total score for the message in several forms which are useful e.g. for
 sorting e-mail.

Package: funcoeszz
Description-md5: e765a272da5839cc7b2b78dbbfed2c3f
Description-en: script with 170+ useful mini applications
 Funcoes ZZ is a bash script that contains more than 170 useful applications,
 ready to run from command line on UNIX systems (Linux, BSD, Cygwin,
 Mac OS X, and others). This functions includes:
    Conversions and calculations (dates, hours, measure units, CPF, CNPJ)
    File manipulation (change name, extension, content)
    Data manipulation (statistics, filters, password generators)
    Internet site queries (dictionaries, translators, news, searches)
 This script has the default language only brazilian portuguese.

Package: funguloids
Description-md5: 45c91f636fa04b8cb464094b36a5b931
Description-en: space-flying-mushroom-picking-simulator game
 "Those Funny Funguloids!" is actually a nice little piece of entertainment.
 You collect mushrooms, bring them back to your home base and profit! That's
 the basic idea in a nutshell. It has smooth, appealing 3d graphics and nice
 atmospheric sound effects. Go ahead and try it out - it has sounds too!

Package: funguloids-data
Description-md5: ee7f93f8c93114829fdb7c615c9aea5a
Description-en: space-flying-mushroom-picking-simulator game (data files)
 This package contains data files for "Those Funny Funguloids!".
 .
 It contains music, sound effects, textures, models, bitmap fonts,
 shaders, backgrounds, graphics and documentation.

Package: funnelweb
Description-md5: 57a89d87ac07b0d3b60e5bccc693edae
Description-en: literate-programming tool
 Literate-programming allows the programmer to write a program's
 code and the code's documentation, with equal importance accorded
 to both. This helps to produce well-documented code.
 .
 Unlike other literate-programming tools, funnelweb is not derived
 from Knuth's cweb.
 .
 It claims the following technical features:
  * Can be used with any programming language.
  * Runs on most platforms.
  * Mature and essentially bug-free (released 1992).
  * Simple; specifically designed to minimize errors.
  * High speed; doesn't stretch the development cycle.
  * Generates documentation in HTML and TeX forms.
 .
 This package does not include the reference, tutorial, and developer
 manuals.  See package funnelweb-doc for these.

Package: funnelweb-doc
Description-md5: c84dde5247e713cf143cab9d2b2df035
Description-en: Documentation for funnelweb
 This package includes the HTML manuals for the funnelweb
 litterate-programming system.  These are the Tutorial Manual, the
 Reference Manual, and the Developer Manual.

Package: funny-manpages
Description-md5: 7ff5bc98925c65420379ac9eba25b6ef
Description-en: more funny manpages
 A set of miscellaneous humorous manpages (don't take them too seriously!).
 Includes, amongst others, rtfm (1).
 Warning! Some of these manpages might be treated offensive.
 You've been warned.

Package: funnyboat
Description-md5: 00cefc99a3450746d9540362724e8ba2
Description-en: side scrolling arcade shooter game on a steamboat
 Trip on the Funny Boat is a side scrolling arcade shooter game on a
 steamboat equipped with a cannon and the ability to jump. The player will
 need to take advantage of waves to defeat the enemies and dodge hazards.

Package: funtools
Description-md5: d84e51820147f60eb0ff66a8447631b6
Description-en: Minimal buy-in FITS utility package
 Funtools, is a "minimal buy-in" FITS library and utility package developed at
 the High Energy Astrophysics Division of SAO. The Funtools library
 provides simplified access to a wide array of file types: standard
 astronomical FITS images and binary tables, raw arrays and binary event
 lists, and even tables of ASCII column data. A sophisticated region filtering
 library (compatible with ds9) filters images and tables using boolean
 operations between geometric shapes, support world coordinates, etc. Funtools
 also supports advanced capabilities such as optimized data searching using
 index files.
 .
 This package contains the tools.

Package: fuse-convmvfs
Description-md5: 06376e0c1d5d38a4b849c599b65677e0
Description-en: mirrors a whole filesystem tree from one charset to another
 convmvfs is a FUSE (File System in Userspace) utility that transparently
 mirrors a filesystem tree converting the filenames from one charset to another
 on the fly. Only the names of files and directories are converted; the file
 content remains intact. The mirrored tree is mounted at a given mountpoint.

Package: fuse-emulator-common
Description-md5: e53c329366a1468fe9b5b3a18513eb08
Description-en: The Free Unix Spectrum Emulator (common files)
 Fuse is a Sinclair ZX Spectrum emulator. It supports several models
 (including 16K/48K/128K/+2/+2A/+3 and some clones), with quite
 faithful emulation of the display and sound.
 .
 This package provides files common to all versions of the emulator.
 To get the actual emulator, install fuse-emulator-gtk or
 fuse-emulator-sdl.

Package: fuse-emulator-gtk
Description-md5: dbf77f4b222fb4f6cd3009f3851a16c0
Description-en: The Free Unix Spectrum Emulator (GTK+ version)
 Fuse is a Sinclair ZX Spectrum emulator. It supports several models
 (including 16K/48K/128K/+2/+2A/+3 and some clones), with quite
 faithful emulation of the display and sound.
 .
 Its features include:
  * Accurate Spectrum 16K/48K/128K/+2/+2A/+3 emulation.
  * Working Spectrum +3e and SE, Timex TC2048, TC2068 and TS2068,
    Pentagon 128, "512" (Pentagon 128 with extra memory) and 1024 and
    Scorpion ZS 256 emulation.
  * Runs at true Speccy speed on any computer you're likely to try it
    on.
  * It can load Z80, SZX and SNA snapshots, TAP and TZX virtual-tape
    files (including accelerated loading), MDR microdrive images and
    DSK floppy disk images, among many others.
  * Sound.
  * Built-in debugger.
  * Emulation of most of the common joysticks used on the Spectrum
    (including Kempston, Sinclair and Cursor joysticks).
  * Emulation of some of the printers you could attach to a Spectrum.
  * Support for the RZX input recording file format, including
    rollback and 'competition mode'.
  * Recording of movies to FMF files.
  * Emulation of the Currah µSource, DivIDE, DivMMC, Interface 1,
    Kempston mouse, Multiface One/128/3, Spectrum +3e, ZXATASP, ZXCF
    and ZXMMC interfaces.
  * Emulation of the Covox, Fuller audio box, Melodik and SpecDrum
    audio interfaces.
  * Emulation of the Beta 128, +D, Didaktik 80/40, DISCiPLE and Opus
    Discovery disk interfaces.
  * Emulation of the Spectranet and SpeccyBoot network interfaces.
  * Support for the Recreated ZX Spectrum Bluetooth keyboard.
 .
 This package provides binaries for the GTK+ version of Fuse.

Package: fuse-emulator-sdl
Description-md5: 8d1492345a959bc74989f22059217e9f
Description-en: The Free Unix Spectrum Emulator (SDL version)
 Fuse is a Sinclair ZX Spectrum emulator. It supports several models
 (including 16K/48K/128K/+2/+2A/+3 and some clones), with quite
 faithful emulation of the display and sound.
 .
 Its features include:
  * Accurate Spectrum 16K/48K/128K/+2/+2A/+3 emulation.
  * Working Spectrum +3e and SE, Timex TC2048, TC2068 and TS2068,
    Pentagon 128, "512" (Pentagon 128 with extra memory) and 1024 and
    Scorpion ZS 256 emulation.
  * Runs at true Speccy speed on any computer you're likely to try it
    on.
  * It can load Z80, SZX and SNA snapshots, TAP and TZX virtual-tape
    files (including accelerated loading), MDR microdrive images and
    DSK floppy disk images, among many others.
  * Sound.
  * Built-in debugger.
  * Emulation of most of the common joysticks used on the Spectrum
    (including Kempston, Sinclair and Cursor joysticks).
  * Emulation of some of the printers you could attach to a Spectrum.
  * Support for the RZX input recording file format, including
    rollback and 'competition mode'.
  * Recording of movies to FMF files.
  * Emulation of the Currah µSource, DivIDE, DivMMC, Interface 1,
    Kempston mouse, Multiface One/128/3, Spectrum +3e, ZXATASP, ZXCF
    and ZXMMC interfaces.
  * Emulation of the Covox, Fuller audio box, Melodik and SpecDrum
    audio interfaces.
  * Emulation of the Beta 128, +D, Didaktik 80/40, DISCiPLE and Opus
    Discovery disk interfaces.
  * Emulation of the Spectranet and SpeccyBoot network interfaces.
  * Support for the Recreated ZX Spectrum Bluetooth keyboard.
 .
 This package provides binaries for the SDL version of Fuse.

Package: fuse-emulator-utils
Description-md5: 2330a74c60effac5a078acaefd7e11d9
Description-en: The Free Unix Spectrum Emulator - Utilities
 The Fuse utilities are a few tools which may be of occasional use when
 dealing with ZX Spectrum emulator files. They were originally
 distributed with Fuse, the Free Unix Spectrum Emulator, but are now
 independent of Fuse and can be used on their own.
 .
 The available utilities are:
   * audio2tape: convert an audio file to tape format.
   * createhdf: create an empty .hdf IDE hard disk image.
   * fmfconv: converter tool for FMF movie files.
   * listbasic: list the BASIC in a snapshot or tape file.
   * profile2map: convert Fuse profiler output to Z80-style
     map format.
   * raw2hdf: create a .hdf IDE hard disk image from another file.
   * rzxcheck: verify the digital signature in an RZX file.
   * rzxdump: list the contents of an RZX input recording file.
   * rzxtool: add, extract or remove the embedded snapshot from
     an RZX file, or compress or uncompress the file.
   * scl2trd: convert .scl disk images to .trd disk images.
   * snap2tzx: convert snapshots to TZX tape images.
   * snapconv: convert between snapshot formats.
   * snapdump: list contents of snapshot files.
   * tape2pulses: dumps the pulse information from tape images to text
     files.
   * tape2wav: convert a tape file to .wav audio format.
   * tapeconv: convert between .tzx and .tap files.
   * tzxlist: list the contents of a TZX, TAP, PZX or Warajevo TAP
     file.

Package: fuse-overlayfs
Description-md5: c4e052d72c2adc350355e396b2fd9e47
Description-en: implementation of overlay+shiftfs in FUSE for rootless containers
 This package provides an overlayfs FUSE implementation so that it can
 be used since Linux 4.18 by unprivileged users in an user namespace.

Package: fuse-posixovl
Description-md5: 8ad2e903e57718ce373f9c4b34d1d2f9
Description-en: FUSE file system that provides POSIX functionality
 With posixovl it is possible to mount FAT, VFAT, NTFS
 file system so that it behaves like POSIX. File permissions,
 symbolic links etc. are supported transparently. An extra file
 stores the information and the file system itself stays unmodified.
 It is a modern equivalent of the UMSDOS file system.
 .
 Typical use: Mount an USB FAT32 drive with posixovl and your
 files retain their permission settings.
 .
 This package extends mount and provides option '-t posixovl'.

Package: fuse-zip
Description-md5: 0f83ee6670b38295835acf55689c89f4
Description-en: ZIP archive mounter based on FUSE
 fuse-zip is a FUSE file system to navigate, extract, create and modify ZIP
 archives. With fuse-zip, you can work with ZIP archives as real directories.
 .
 fuse-zip is faster that other known FUSE implementations of ZIP directories,
 and includes full write support.

Package: fuse2fs
Description-md5: f46223c6f3fa81ecb1aab45af7c4f382
Description-en: ext2 / ext3 / ext4 file system driver for FUSE
 fuse2fs is a FUSE file system client that supports reading and
 writing from devices or image files containing ext2, ext3, and ext4
 file systems.

Package: fuse3
Description-md5: 1dc2bd9cb9743525c3eff838f436b6a8
Description-en: Filesystem in Userspace (3.x version)
 Filesystem in Userspace (FUSE) is a simple interface for userspace programs to
 export a virtual filesystem to the Linux kernel. It also aims to provide a
 secure method for non privileged users to create and mount their own filesystem
 implementations.

Package: fusecram
Description-md5: 98a14eab874bab7c9992e65bd9235580
Description-en: FUSE module to mount cramfs image files
 This package provides a module to mount cramfs filesystem images using FUSE
 (Filesystem in Userspace). See http://fuse.sourceforge.net for more infos on
 the FUSE project.

Package: fuseext2
Description-md5: 262c364fbdecec469f19ae2e22a01527
Description-en: File System in User Space - Module for ext2
 This module for the FUSE kernel service allows any FUSE-enabled user to mount
 Second Extended file systems, e.g. disk images.
 .
 The module has been initially written for UMView, the user-mode
 implementation of View-OS. If you want to allow completely user-mode disk
 images mounting (with no kernel/superuser support at all), take a look at
 umview-mod-umfuseext2 and its dependencies.

Package: fusefat
Description-md5: f6ee1ab1b61b3e87caf314cbfd75302a
Description-en: File System in User Space - Module for FAT
 This module for the FUSE kernel service allows any FUSE-enabled user to mount
 FAT file systems.
 .
 The module has been initially written for UMView, the user-mode
 implementation of View-OS. If you want to allow completely user-mode disk
 images mounting (with no kernel/superuser support at all), take a look at
 umview-mod-umfusefat and its dependencies.

Package: fuseiso
Description-md5: ed981e9aa4f7032565f4f02511f85fe4
Description-en: FUSE module to mount ISO filesystem images
 This package provides a module to mount ISO filesystem images
 using FUSE.
 With FUSE it is possible to implement a fully functional
 filesystem in a userspace program.
 .
 It can also mount single-tracks .BIN, .MDF, .IMG and .NRG.

Package: fuseiso9660
Description-md5: 3131d419f2fc6cdebfe4a1fb266d6b80
Description-en: File System in User Space - Module for ISO9660
 This module for the FUSE kernel service allows any FUSE-enabled user to mount
 ISO9660 file systems, e.g. CDROM disk images.
 .
 The module has been initially written for UMView, the user-mode
 implementation of View-OS. If you want to allow completely user-mode disk
 images mounting (with no kernel/superuser support at all), take a look at
 umview-mod-umfuseiso9660 and its dependencies.

Package: fusesmb
Description-md5: d40719e9392c93f927906bdbc354af4c
Description-en: filesystem client based on the SMB file transfer protocol
 fusesmb is a filesystem client based on the SMB file transfer protocol. This
 gives the ability to transparently exchange files with Microsoft Windows
 servers and Unix servers running Samba.
 .
 It is based on FUSE (userspace filesystem framework for Linux), thus you will
 have to prepare fuse kernel module to be able to use it.

Package: fusion-icon
Description-md5: ced6b819abcd5881dda1ccdd5c1e5159
Description-en: tray icon to launch and manage Compiz
 The OpenCompositing Project brings 3D desktop visual effects that
 improve the usability and eye candy of the X Window System and provide
 increased productivity.
 .
 This package contains a tray icon that allows you to easily enable, disable and
 restart Compiz, and change the currently used window manager and/or window
 decorator.

Package: fusiondirectory
Description-md5: 80d4ea53a23561f1fcf05a768a1d906b
Description-en: Web Based LDAP Administration Program
 Provided is access to posix, shadow, samba, proxy, pureftp and
 kerberos accounts. It is able to manage the postfix/cyrus server
 combination and can write user adapted sieve scripts.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-alias
Description-md5: ac66ccb9d75e40a0e0b7f34e7709322d
Description-en: alias plugin for FusionDirectory
 This plugin is designed to configure mail aliases for postfix.
 It provide description and expiration Date
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-alias-schema
Description-md5: 2f7e68f2e13459a671a4f7642ac6457c
Description-en: LDAP schema for FusionDirectory alias plugin
 This package includes the LDAP schema needed by the FusionDirectory
 alias plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-applications
Description-md5: 077ce5edbed698574ca5a0c641d0ed89
Description-en: Applications management plugin for FusionDirectory
 Application management plugin for desktop and web.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-applications-schema
Description-md5: 8c2933a14228d2ce0ef1dbf2d82419ce
Description-en: LDAP schema for FusionDirectory application management plugin
 This package includes the LDAP schema needed by the FusionDirectory
 application management plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-argonaut
Description-md5: e7081e80cd14435201b0af6476b68b6f
Description-en: Argonaut plugin for FusionDirectory
 Store all the configuration for the Argonaut deployment system.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-argonaut-schema
Description-md5: 6957e10ad0e589538c75d4ff0e7700ee
Description-en: LDAP schema for FusionDirectory Argonaut plugin
 This package includes the LDAP schema needed by the FusionDirectory
 Argonaut plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-audit
Description-md5: df692a9f3bd0098964ab8d6e396ea120
Description-en: audit plugin for FusionDirectory
 This package contains the audit plugin for FusionDirectory
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-audit-schema
Description-md5: cab5562037b723cb7c946ba75c9b0ac1
Description-en: LDAP schema for FusionDirectory audit plugin
 This package includes the LDAP schema needed by the FusionDirectory
 audit plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-autofs
Description-md5: f36f200ef8a1c4edeab153309419ff1d
Description-en: autofs plugin for FusionDirectory
 Automount management plugin for FusionDirectory
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-autofs-schema
Description-md5: 75e4339a5cd4a001c5466c25788d652e
Description-en: LDAP schema for FusionDirectory autofs plugin
 This package includes the LDAP schema needed by the FusionDirectory
 autofs plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-certificates
Description-md5: feb6a3121a2a19468fc13e88a1acf339
Description-en: certificates plugin for FusionDirectory
 Allow storage of SSL certificates in the user entries.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-community
Description-md5: ecec8171030150c117fe8702c5f240bd
Description-en: community plugin for FusionDirectory
 Community and Organization management plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-community-schema
Description-md5: 2fcbb49a11d247f2cc6b8c527ce9aaf2
Description-en: LDAP schema for FusionDirectory community plugin
 This package includes the LDAP schema needed by the FusionDirectory
 community plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-cyrus
Description-md5: 546fa8d50984e7c33ef796e81e156546
Description-en: cyrus plugin for FusionDirectory
 Cyrus account management plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-cyrus-schema
Description-md5: 5aabe410cc7b97dc0c66a2c87806c535
Description-en: LDAP schema for FusionDirectory cyrus plugin
 This package includes the LDAP schema needed by the FusionDirectory
 cyrus plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-debconf
Description-md5: 24abebe712b7a674f6429dc50ef034ba
Description-en: Debconf plugin for FusionDirectory
 Simple debconf plugin for FusionDirectory.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-debconf-schema
Description-md5: 8749d8ed80e5e2dd072331e3f27d2632
Description-en: LDAP schema for FusionDirectory Debconf Plugin
 This package includes the LDAP schema needed by the FusionDirectory
 Debconf Plugin. It is the same LDAP schema as distributed in the
 debconf-doc package for the Debconf's basic, built-in LDAP driver.
 .
 FusionDirectory is a combination of system-administrator and end-user
 web interface, designed to handle LDAP-based setups.

Package: fusiondirectory-plugin-developers
Description-md5: 89ef517bf33e7359627968e3c8ad70b4
Description-en: Provide doc and tools for FusionDirectory development
 This package provides codesniffer templates for code conformity,
 a plugin to show reference between classes, and a simple plugin
 example to show the basic use of the API and a doxyfile to generate API
 from sourcecode.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-dhcp
Description-md5: 178cf50a11e045ed34956afbeb54f2eb
Description-en: dhcp plugin for FusionDirectory
 DHCP service management plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-dhcp-schema
Description-md5: f258538d54ed9ea17808c150ce8e7448
Description-en: LDAP schema for FusionDirectory dhcp plugin
 This package includes the LDAP schema needed by the FusionDirectory
 dhcp plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-dns
Description-md5: 471b8cb108fbaa3124530f8644d283dd
Description-en: dns plugin for FusionDirectory
 DNS service management plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-dns-schema
Description-md5: 0797ccc72984cc56efb4c1c100246a1b
Description-en: LDAP schema for FusionDirectory dns plugin
 This package includes the LDAP schema needed by the FusionDirectory
 dns plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-dovecot
Description-md5: 0cd999e5a1c45c2e1633805b527b0c82
Description-en: dovecot plugin for FusionDirectory
 Dovecot account management plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-dovecot-schema
Description-md5: 91600e571dcdb6d88c19cc8984089052
Description-en: LDAP schema for FusionDirectory dovecot plugin
 This package includes the LDAP schema needed by the FusionDirectory
 dovecot plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-dsa
Description-md5: 4ce8fb925e0df7a9dd8e69ba3c62a664
Description-en: dsa plugin for FusionDirectory
 This plugin is designed to maintain the dsa branch of your LDAP directory.
 The dsa branch is the one tha contains the security account for LDAP clients
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-dsa-schema
Description-md5: 85ec51160c836733fb05f661b4d3ba79
Description-en: LDAP schema for FusionDirectory dsa plugin
 This package includes the LDAP schema needed by the FusionDirectory
 dsa plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-ejbca
Description-md5: 99a3f5d241a2291f27ec31bbe143ae26
Description-en: ejbca plugin for FusionDirectory
 This plugin is designed to show the certificates for servers and users
 stored by ejbca inside LDAP.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-ejbca-schema
Description-md5: 58141d2d2c947ee2227c2d968d94bac5
Description-en: LDAP schema for FusionDirectory ejbca plugin
 This package includes the LDAP schema needed by the FusionDirectory
 ejbca plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-fai
Description-md5: 38b2929cf41e33a2cc4fd129e55e64db
Description-en: fai plugin for FusionDirectory
 FAI plugin for managing Linux system deployment.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-fai-schema
Description-md5: 78fdca68caac250db8666ab925b569e2
Description-en: LDAP schema for FusionDirectory fai plugin
 This package includes the LDAP schema needed by the FusionDirectory
 fai plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-freeradius
Description-md5: e06ebaebcfc22d9055e782c5eb114695
Description-en: freeradius plugin for FusionDirectory
 This package adds FreeRADIUS management to FusionDirectory.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-freeradius-schema
Description-md5: 1ee0fd0321160e6ea3c67c60850b4d89
Description-en: LDAP schema for FusionDirectory freeradius plugin
 This package includes the LDAP schema needed by the FusionDirectory
 freeradius plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-fusioninventory
Description-md5: afd03a84305cfb985c0bbe93a835f065
Description-en: FusionInventory plugin for FusionDirectory
 This plugin allow you to manage your inventories with the fusioninventory
 agent.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-fusioninventory-schema
Description-md5: 90d65da9b757e43eba4bc6c1230791c3
Description-en: LDAP schema for FusionDirectory fusioninventory plugin
 This package includes the LDAP schema needed by the FusionDirectory
 fusioninventory plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-gpg
Description-md5: 1ef1e410aaa9976bf39406318896f4f0
Description-en: gpg plugin for FusionDirectory
 This plugin allow you to manage gpg key for the user in your LDAP tree.
 It also allow you to configure a gpg server to fetch his key from the
 LDAP server.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-gpg-schema
Description-md5: 6f1dccd14d71ad72c54201e978528eff
Description-en: LDAP schema for FusionDirectory gpg plugin
 This package includes the LDAP schema needed by the FusionDirectory
 gpg plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-ipmi
Description-md5: 38bdf8b5984548a328b85664ba01d3e6
Description-en: ipmi plugin for FusionDirectory
 This plugin allow you to manage ipmi services.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-ipmi-schema
Description-md5: 79e55d23e31d7713c78abf4de45dc105
Description-en: LDAP schema for FusionDirectory ipmi plugin
 This package includes the LDAP schema needed by the FusionDirectory
 ipmi plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-ldapdump
Description-md5: 4069d8d9db09beb519786311a6dc01cc
Description-en: ldapdump plugin for FusionDirectory
 Show raw LDAP data
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-ldapmanager
Description-md5: cc492a15afebf9000575cd0c54c67c72
Description-en: ldapmanager plugin for FusionDirectory
 LDAP import and export management plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-mail
Description-md5: e8e738013f47733ad7aaf386f945de31
Description-en: base mail plugin for FusionDirectory
 Mail management base plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-mail-schema
Description-md5: d1684d325e43a68d646ef1f024ad3c6a
Description-en: LDAP schema for FusionDirectory mail plugin
 This package includes the LDAP schema needed by the FusionDirectory
 mail plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-mixedgroups
Description-md5: af8942a46312e4243b274ccb06e5b89f
Description-en: plugin to manage groups mixing memberuid and member
 Member and memberuid mixed in the same groups, this need specific
 modified core ldap schema
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-nagios
Description-md5: 0af6e531afd6495432de18841d582534
Description-en: nagios plugin for FusionDirectory
 Nagios account settings management
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-nagios-schema
Description-md5: 08a54b5621ea08f9972d6af53aa614a9
Description-en: LDAP schema for FusionDirectory nagios plugin
 This package includes the LDAP schema needed by the FusionDirectory
 nagios plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-netgroups
Description-md5: b40d4fb3d6eec70f71e37f8d015a1555
Description-en: netgroup plugin for FusionDirectory
 Nis Netgroups account management plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-netgroups-schema
Description-md5: 4ee9163909a4bd52790027f73d2ebe8d
Description-en: LDAP schema for FusionDirectory netgroups plugin
 This package includes the LDAP schema needed by the FusionDirectory
 netgroups plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-newsletter
Description-md5: 4b100e559cf3c9ab1feeb9acd0b335d8
Description-en: newsletter plugin for FusionDirectory
 Newsletter account management plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-newsletter-schema
Description-md5: a0a0c8b31504fd571bbab984c8fa62c2
Description-en: LDAP schema for FusionDirectory newsletter plugin
 This package includes the LDAP schema needed by the FusionDirectory
 newsletter plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-opsi
Description-md5: 0f6b6b6d9e690597262f447233386e90
Description-en: opsi plugin for FusionDirectory
 Opsi management plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-opsi-schema
Description-md5: 9fe81ebc471e934dc56238bca8ec1faf
Description-en: LDAP schema for FusionDirectory opsi plugin
 This package includes the LDAP schema needed by the FusionDirectory
 opsi plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-personal
Description-md5: f02abdfe9fcbeea1b2c372230e33730c
Description-en: Personal plugin for FusionDirectory
 The personal plugin for FusionDirectory is used to stored personal data,
 like twitter, facebook, private email addresses and nickname.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-personal-schema
Description-md5: c3f524a4bfa5010561a28722d4d876b0
Description-en: LDAP schema for FusionDirectory personal Plugin
 This package includes the LDAP schema needed by the FusionDirectory
 personal Plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user
 web interface, designed to handle LDAP-based setups.

Package: fusiondirectory-plugin-posix
Description-md5: abec611cbc2b9897e4e4c64ee74718d9
Description-en: posix account and group plugin for FusionDirectory
 Manage the posix account and groups via FusionDirectory.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-postfix
Description-md5: 8750b1ce40c1513791c41c97c0871025
Description-en: postfix service plugin for FusionDirectory
 Postfix service plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-postfix-schema
Description-md5: 8bc50fd3572877f9a10a24d2355a3eb8
Description-en: LDAP schema for FusionDirectory postfix plugin
 This package includes the LDAP schema needed by the FusionDirectory
 postfix plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-ppolicy
Description-md5: 825a821e0fa486bb63eab9fa81457895
Description-en: ppolicy overlay module plugin for FusionDirectory
 Manage the LDAP ppolicy overlay via FusionDirectory. Ppolicy provides enhanced
 password management capabilities that are applied to non-rootdn bind attempts
 in OpenLDAP.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-ppolicy-schema
Description-md5: 154ed27637c33622699702f5542e5202
Description-en: LDAP schema for FusionDirectory ppolicy Plugin
 This package includes the LDAP schema needed by the FusionDirectory
 ppolicy Plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user
 web interface, designed to handle LDAP-based setups.

Package: fusiondirectory-plugin-puppet
Description-md5: fba39e9754296329ba90a92b11a0552b
Description-en: Puppet plugin for FusionDirectory
 Simple puppet plugin for FusionDirectory.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-puppet-schema
Description-md5: 1a5b2aaf871cc08b007ff30240c515d1
Description-en: LDAP schema for FusionDirectory puppet Plugin
 This package includes the LDAP schema needed by the FusionDirectory
 Puppet Plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user
 web interface, designed to handle LDAP-based setups.

Package: fusiondirectory-plugin-pureftpd
Description-md5: 6cb7cc7bf7bd15e10c8f67e7df4e1d17
Description-en: pureftpd plugin for FusionDirectory
 PureFTPD plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-pureftpd-schema
Description-md5: f3403ded18d2d12d7787c68499fc5ebc
Description-en: LDAP schema for FusionDirectory pureftpd plugin
 This package includes the LDAP schema needed by the FusionDirectory
 pureftpd plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-quota
Description-md5: 670d7683b23c101e4b451de0ce710a5e
Description-en: quota plugin for FusionDirectory
 Linux Quota plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-quota-schema
Description-md5: 20ba093b3627e1d59d33b285306cb18e
Description-en: LDAP schema for FusionDirectory quota plugin
 This package includes the LDAP schema needed by the FusionDirectory
 quota plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-renater-partage
Description-md5: df56c79bc6c9348ff6f2b9fbae6a8240
Description-en: Renater partage integration plugin for FusionDirectory
 Renater partage plugin for https://partage.renater.fr/
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-renater-partage-schema
Description-md5: 8d5e5f7d8c59f166dbcbd22b92395971
Description-en: LDAP schema for FusionDirectory renater partage plugin
 This package includes the LDAP schema needed by the FusionDirectory
 renater partage plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-repository
Description-md5: c936678cf5a3abc2f392982b2ec69b90
Description-en: repository plugin for FusionDirectory
 Repository plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-repository-schema
Description-md5: 002c2b2dccb928293b4b4de2928e792e
Description-en: LDAP schema for FusionDirectory repository plugin
 This package includes the LDAP schema needed by the FusionDirectory
 repository plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-samba
Description-md5: 520a38bf3c40fd49c8108d17291be0e3
Description-en: samba3 plugin for FusionDirectory
 Plugin for Samba 3 management.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-samba-schema
Description-md5: 9b13aec20ec8d3144d23e92d19545e41
Description-en: LDAP schema for FusionDirectory samba plugin
 This package includes the LDAP schema needed by the FusionDirectory
 samba plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-sogo
Description-md5: 143fea0f385b5b2ca4e86150eee886b3
Description-en: SOGo plugin for FusionDirectory
 SOGo resource management plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-sogo-schema
Description-md5: a99898543d39ed9e2659e9be3b36fa0a
Description-en: LDAP schema for FusionDirectory SOgo plugin
 This package includes the LDAP schemas needed by the FusionDirectory
 SOGo plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-spamassassin
Description-md5: 98a857c27dafc23cc4a3ef1d01998598
Description-en: spamassassin plugin for FusionDirectory
 spamassassin plugin
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-spamassassin-schema
Description-md5: e7bf142566b11c90c6b16863bfa6dbb4
Description-en: LDAP schema for FusionDirectory spamassassin plugin
 This package includes the LDAP schema needed by the FusionDirectory
 spamassassin plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-squid
Description-md5: c39f149aaad805d698d3e8704cad4ff9
Description-en: squid plugin for FusionDirectory
 Squid plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-squid-schema
Description-md5: 2f57304469d206951aeadc676807646b
Description-en: LDAP schema for FusionDirectory squid plugin
 This package includes the LDAP schema needed by the FusionDirectory
 squid plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-ssh
Description-md5: 49ebe7ba5a4001be97b08240d6a68252
Description-en: ssh plugin for FusionDirectory
 SSH key management plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-ssh-schema
Description-md5: 93df1d843427e2c0b09ebb3476b87b94
Description-en: LDAP schema for FusionDirectory ssh plugin
 This package includes the LDAP schema needed by the FusionDirectory
 ssh plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-subcontracting
Description-md5: 1b6982fb66231c58746099d51a98febf
Description-en: subcontracting plugin for FusionDirectory
 This package includes the subcontracting plugin for FusionDirectory.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-subcontracting-schema
Description-md5: c68cae12ef1e7076ea57d46ebefe1b4e
Description-en: LDAP schema for FusionDirectory subcontracting plugin
 This package includes the LDAP schema needed by the FusionDirectory
 subcontracting plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-sudo
Description-md5: 86eaa4faf1e68a3ddefb37d9e943e3cb
Description-en: sudo plugin for FusionDirectory
 Sudo management plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-sudo-schema
Description-md5: aadd21678905ee9eef29ff49506efc34
Description-en: LDAP schema for FusionDirectory sudo plugin
 This package includes the LDAP schema needed by the FusionDirectory
 sudo plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-supann
Description-md5: 22be747e923f6dceefd9aa10094c7702
Description-en: supann plugin for FusionDirectory
 Supann management plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-supann-schema
Description-md5: 199e38898e72cc0977981e9094c7687f
Description-en: LDAP schema for FusionDirectory supann plugin
 This package includes the LDAP schema needed by the FusionDirectory
 supann plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-sympa
Description-md5: 93d078e2cd519bebac2e2b18f0aadca2
Description-en: sympa plugin for FusionDirectory
 This plugin is designed to configure basic sympa lists.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-sympa-schema
Description-md5: 8e45e77506bcd6f1d027f56b46432fae
Description-en: LDAP schema for FusionDirectory sympa plugin
 This package includes the LDAP schema needed by the FusionDirectory
 sympa plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-systems
Description-md5: d68420a20585b5a1ae7fc3cd69765e3d
Description-en: systems plugin for FusionDirectory
 Systems management base plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-systems-schema
Description-md5: d1a18cd56397cfc639f6344e5d4cbe05
Description-en: LDAP schema for FusionDirectory systems plugin
 This package includes the LDAP schema needed by the FusionDirectory
 systems plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-user-reminder
Description-md5: d30202f9d7c81f66b9e329d798153a2d
Description-en: user reminder plugin for FusionDirectory
 The user reminder plugin allows you to configure a reminder for expiring
 account to ask user if they want to keep the account open or not.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-user-reminder-schema
Description-md5: 3f5d8d07fce64a56ef08811b6edf4b77
Description-en: LDAP schema for FusionDirectory user reminder plugin
 This package includes the LDAP schema needed by the FusionDirectory
 user-reminder plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-weblink
Description-md5: 4217035c331d4531b14aa7f262ed0e85
Description-en: weblink plugin for FusionDirectory
 The weblink plugin allows you to add a link to systems pointing
 to their web interface.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-plugin-weblink-schema
Description-md5: 6f40ca4e212eace5334d4e90fc1d3a8e
Description-en: LDAP schema for FusionDirectory weblink plugin
 This package includes the LDAP schema needed by the FusionDirectory
 weblink plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-webservice
Description-md5: dfb1cf9ff6afe0ac3f0ea70a92ea6019
Description-en: webservice plugin for FusionDirectory
 This plugin is designed to manage FusionDirectory with a webservice.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-plugin-webservice-schema
Description-md5: 1b4deb74eeba3c2187badfe3cb61b7e8
Description-en: schema for the webservice plugin for FusionDirectory
 This package includes the LDAP schema needed by the FusionDirectory
 webservice plugin.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusiondirectory-schema
Description-md5: 915f5633f1ce93592de7b1c8b4d2fd8a
Description-en: LDAP schema for FusionDirectory
 This package includes the basics LDAP schemas needed by FusionDirectory.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-smarty3-acl-render
Description-md5: efe56bb1b8cb88292375c4cfe20528d5
Description-en: Provide FusionDirectory ACL based rendering for Smarty3
 This package provides acl based rendering support for Smarty3,
 the popular PHP templating engine (http://smarty.php.net/). This
 module is mainly used by FusionDirectory.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based network infrastructures.

Package: fusiondirectory-theme-oxygen
Description-md5: da5cb99f62a85b18a52e88082456c71c
Description-en: Icon theme Oxygen for FusionDirectory
 This package makes Oxygen icon theme available in FusionDirectory.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups

Package: fusiondirectory-webservice-shell
Description-md5: c5d5f430b9494f4b86a06433c92033f2
Description-en: webservice shell for FusionDirectory
 This is the conmand line shell for the FusionDirectory with a webservice.
 .
 FusionDirectory is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: fusioninventory-agent
Description-md5: 3809bb6cd3b5308ad770093abffee62f
Description-en: hardware and software inventory tool (client)
 FusionInventory Agent is an application designed to help a network
 or system administrator to keep track of the hardware and software
 configurations of devices.
 This agent can collect information about from:
  - the local machine (Inventory)
  - the network using SNMP
  - an VMware ESX or vCenter server
 The agent can be driven from different asset management software
 including GLPI, Rudder, Uranos, OCSInventory.
 .
 The agent can also be used to install new software and configuration
 changes.

Package: fusioninventory-agent-task-collect
Description-md5: 5afe50f3ac307de20f0483be217a66df
Description-en: collect task support for FusionInventory
 With this module, FusionInventory can collect desired datas, essentially
 files under Linux.
 .
 The plugin depends on FusionInventory for GLPI on server side.

Package: fusioninventory-agent-task-deploy
Description-md5: 900457bf0e6d3824bbc7836b38181127
Description-en: software deployment support for FusionInventory
 With this module, FusionInventory can accept software deployment
 request from an GLPI server with the FusionInventory plugin.
 This module uses SSL certificate to authentificate the server.
 .
 If the P2P option is turned on, the agent will looks for peer in its
 network to reduce the bandwidth usage with the primary server.

Package: fusioninventory-agent-task-esx
Description-md5: b8e60d7db69efc23d10c1e1a67474a65
Description-en: ESX and ESXi inventory for FusionInventory Agent
 Do remote inventory of your ESX, ESXi, vCenter server using the SOAP interface.
 You can import the generated .ocs files in:
  - GLPI with FusionInventory for GLPI
  - ocsinventory
  - Uranos
 This module can also be controlled from GLPI using the FusionInventory for GLPI
 plugin.

Package: fusioninventory-agent-task-network
Description-md5: 164a5ef9f517c219a4accb7da881c9f0
Description-en: network device discovery for FusionInventory Agent
 This module scans your networks to quickly identify its hosts with SNMP,
 Echo Ping, TimeStamp ping, arp table lookup and NetBIOS request:
  - networking devices discovery within an IP range
  - identify unknown MAC addresses
 .
 The plugin depends on FusionInventory for GLPI on server side.

Package: futatabi
Description-md5: 2224e349e94d81d55a89255e4be7fa10
Description-en: multicamera slow motion video server
 Futatabi is a video server and multitrack recorder, made to work in tandem
 with Nageru. It can record multiple video tracks in sync and play back
 selected clips from them in slow motion. Futatabi uses GPU-based interpolation
 to provide smooth motion without the use of a high-speed camera (the quality
 will depend on the type of content and on the speed of the GPU in use).

Package: fuzz
Description-md5: ff3ef1b766f8b8faf96d0fbb67bed0cc
Description-en: stress-test programs by giving them random input
 The fuzz generator is designed to attack certain kinds of software and
 expose one particular kind of bug common in software. This is the
 situation where the programmer implicitly makes some assumptions about
 the data stream that the program will be parsing. If the data stream
 is substantially different then the program might not be able to deal
 with it.

Package: fuzzylite
Description-md5: c9a162d6dfd61855d12c2cdfbbd3f00f
Description-en: fuzzy logic control binary
 fuzzylite is a fuzzy logic control library which allows one to easily
 create fuzzy logic controllers in a few steps utilizing object-oriented
 programming. It supports five controller types (Mamdani, Takagi-Sugeno,
 Larsen, Tsukamoto, Inverse Tsukamoto), 20 linguistic terms, five
 integral and two weighted defuzzifiers, six hedge types, three import
 types (FuzzyLite Language, Fuzzy Inference System and Fuzzy Control
 Language) and six export types (C++, Java, FuzzyLite Language, FuzzyLite
 Dataset, Fuzzy Inference System, Fuzzy Control Language). It comes
 bundled with more than thirty examples for Mamdani, Takagi-Sugeno and
 Tsukamoto controllers from fuzzylite, octave and matlab, each in all
 supported export formats.
 .
 This package contains the runtime binary

Package: fuzzyocr
Description-md5: 50093164b0342019e76e49a47581a109
Description-en: spamassassin plugin to check image attachments
 This Spamassassin plugin checks for specific keywords in image/gif,
 image/jpeg or image/png attachments, using gocr (an optical character
 recognition program).  This plugin can be used to detect spam that
 puts all the real spam content in an attached image, while the mail
 itself is only random text and random html, without any URL's or
 identifiable information.  Additionally to the normal OcrPlugin, it
 can do approximate matches on words, so errors in recognition or
 attempts to obfuscate the text inside the image will not cause the
 detection to fail.
 .
 This is a development version from SVN. Currently, this SVN
 version is the only one that works with spamassassin 3.2.

Package: fvwm
Description-md5: 50cb43691819694c8a5a0f6835f2bc86
Description-en: F(?) Virtual Window Manager
 FVWM is a powerful ICCCM2 compliant multiple virtual desktop window manager
 for the X Window System. FVWM requires relatively little memory.
 .
 This 2.6 version includes new features like full support of the EWMH
 (Extended Window Manager Hints) specification, internationalization,
 improved window decoration code (no flickering anymore),
 bi-directional asian text support, FreeType font support
 (antialiasing), image rendering, Perl based module library, support
 for PNG images, side titles and much more.

Package: fvwm-icons
Description-md5: 87fe87904cccda0823946ad03585f38d
Description-en: icon *.xpm files from fvwm development site
 This package contains small icons for use with window manager menus.
 The icons include generic symbols (balls, books, hexagons) and descriptive
 icons for programs (file manager, netscape logo etc.). Note, that these
 icons are quite basic and do not include any shadow effects.
 .
 Originally the icons were for FVWM which is a small memory footprint
 ICCCM2 compliant multiple virtual desktop window manager.

Package: fvwm1
Description-md5: 8cbea410b7e0960d29ff3c45b20d94c7
Description-en: Old version of the F(?) Virtual Window Manager
 This package contains the old version of the FVWM windows manager.
 It is no longer supported upstream, having been replaced by FVWM 2,
 and so no non-Debian-related bugs will be fixed unless someone
 else provides a patch.
 .
 You probably only want to use this package instead of the fvwm
 package if you know what you are doing or have very specific
 requirements.
 .
 FVWM is a fairly small window manager which provides
 a three-dimensional appearance and a virtual desktop,
 complete with colour icons.
 .
 You will need to install the fvwm-common package if you want the
 xpmroot command and some icons, along with manual pages for the modules.

Package: fwanalog
Description-md5: 2c4c07b64c1a11fc9e70bd43accc6f31
Description-en: firewall log-file report generator (using analog)
 fwanalog is a shell script that parses and summarizes firewall logfiles.
 It is able to work on firewall logs from ipchains (Linux 2.2), iptables
 (Linux 2.4), ipf (OpenBSD, FreeBSD and NetBSD) and some ZyXEL/NetGear
 routers.
 .
 It uses analog to generate the log-files, which includes daily statistics and
 such,  and is both formatted in html and text (for online perusing, and a
 daily email, for instance).

Package: fwbuilder
Description-md5: 73dde9e92370efbba3953188b1d6e1e9
Description-en: Firewall administration tool GUI
 Firewall Builder consists of an object-oriented GUI and a set of policy
 compilers for various firewall platforms. In Firewall Builder, firewall
 policy is a set of rules, each rule consists of abstract objects which
 represent real network objects and services (hosts, routers, firewalls,
 networks, protocols). Firewall Builder helps the user maintain a database
 of objects and allows policy editing using simple drag-and-drop operations.
 .
 This is the GUI part of fwbuilder

Package: fwbuilder-common
Description-md5: 09409ea0c99cd17f604ad3e2324d57db
Description-en: Firewall administration tool GUI (common files)
 Firewall Builder consists of an object-oriented GUI and a set of policy
 compilers for various firewall platforms. In Firewall Builder, firewall
 policy is a set of rules, each rule consists of abstract objects which
 represent real network objects and services (hosts, routers, firewalls,
 networks, protocols). Firewall Builder helps the user maintain a database
 of objects and allows policy editing using simple drag-and-drop operations.
 .
 This is the arch independent common parts of fwbuilder

Package: fwbuilder-doc
Description-md5: 1ece524d35eaa9573476a061ed386d25
Description-en: Firewall administration tool GUI documentation
 Firewall Builder consists of an object-oriented GUI and a set of policy
 compilers for various firewall platforms. In Firewall Builder, firewall
 policy is a set of rules, each rule consists of abstract objects which
 represent real network objects and services (hosts, routers, firewalls,
 networks, protocols). Firewall Builder helps the user maintain a database
 of objects and allows policy editing using simple drag-and-drop operations.
 .
 This is the documentation of fwbuilder

Package: fweb
Description-md5: 25b776157225c1c1293a4f74bf74ee6a
Description-en: literate-programming tool for C/C++/Fortran/Ratfor
 Literate-programming allows the programmer to write a program's
 code and its code's documentation, with equal importance accorded
 to both. This helps producing a well-documented code.
 .
 FWEB has grown out of Knuth and Levy's CWEB; it is far more
 configurable and customizable than the original, uses LaTeX to
 typeset documented code, provides a very powerful macro processor...
 .
 Ratfor programmers may appreciate the builtin Ratfor-to-Fortran
 translator if they don't have the right compiler.
 .
 Other languages than those cited may be used, but without code
 pretty-printing.

Package: fweb-doc
Description-md5: fc2be0de6e6d691ba89cf24c8163de8d
Description-en: Documentation for literate-programming tool Fweb
 Literate-programming allows the programmer to write a program's
 code and its code's documentation, with equal importance accorded
 to both. This helps producing a well-documented code.
 .
 This is the documentation for fweb in HTML, info, and texinfo
 formats.

Package: fwknop-apparmor-profile
Description-md5: 2f89436d74e4f698ba3448ea0b627773
Description-en: FireWall KNock OPerator - Apparmor profile
 The FireWall KNock OPerator implements an authorization scheme called
 Single Packet Authorization (SPA), based on Netfilter and libpcap.
 .
 Its main application is to protect services such as OpenSSH with
 an additional layer of security in order to make the exploitation of
 vulnerabilities (both 0-day and unpatched code) much more difficult.
 .
 This is the apparmor profile for the FireWall KNock OPerator server.

Package: fwknop-client
Description-md5: 051dcec7cc09ad4f9dc52338bee09ff1
Description-en: FireWall KNock OPerator client side - C version
 The FireWall KNock OPerator implements an authorization scheme called
 Single Packet Authorization (SPA), based on Netfilter and libpcap.
 .
 Its main application is to protect services such as OpenSSH with
 an additional layer of security in order to make the exploitation of
 vulnerabilities (both 0-day and unpatched code) much more difficult.
 .
 This is the client program responsible for accepting password input
 from the user, constructing SPA packets that conform to the fwknop
 packet format, and encrypting packet data.

Package: fwknop-server
Description-md5: c694b7b8c77e0124be3c4eafe1bebec8
Description-en: FireWall KNock OPerator server side - C version
 The FireWall KNock OPerator implements an authorization scheme called
 Single Packet Authorization (SPA), based on Netfilter and libpcap.
 .
 Its main application is to protect services such as OpenSSH with
 an additional layer of security in order to make the exploitation of
 vulnerabilities (both 0-day and unpatched code) much more difficult.
 .
 The authorization server passively listens for authorization packets via
 libpcap, thus preventing any connections from being processed on the
 traditional port. Access to a protected service is only granted after a
 valid encrypted and non-replayed packet is detected.

Package: fwlogwatch
Description-md5: 9fb0eca840377b5b84c214320b65b9ff
Description-en: Firewall log analyzer
 fwlogwatch produces ipchains, netfilter/iptables, ipfilter, Cisco IOS and
 Cisco PIX log summary reports in text and HTML form and has a lot of
 options to find and display relevant patterns in connection attempts. With
 the data found it can also generate customizable incident reports from a
 template and send them to abuse contacts at offending sites or CERT
 coordination centers. Finally, it can also run as daemon and report
 anomalies or start countermeasures.

Package: fwsnort
Description-md5: 3f77207b07b2a015a7e47d90389b17d0
Description-en: Snort-to-iptables rule translator
 Fwsnort translates Snort rules into equivalent iptables rules and
 generates a shell script that implements the resulting iptables
 commands.
 .
 This allows network traffic that matches Snort signatures to be logged
 and/or dropped by iptables directly without putting any interface into
 promiscuous mode or queuing packets from kernel to user space.

Package: fwts
Description-md5: 2ced4a548490b16630bde449e3861f20
Description-en: FirmWare Test Suite
 This is a firmware test suite that performs sanity checks on system
 firmware. It is intended to identify BIOS and ACPI errors and
 if appropriate it will try to explain the errors and give advice to
 help workaround or fix firmware bugs.  It is primarily intended to
 be a Linux-centric firmware troubleshooting tool.

Package: fwts-efi-runtime-dkms
Description-md5: 872c3fa1034eabae1745eeec37ab3e38
Description-en: Firmware Test Suite UEFI Runtime Service kernel driver
 This package provides the efi_runtime kernel driver in DKMS format,
 which is required for accessing UEFI Runtime Services.

Package: fwts-frontend
Description-md5: fc98ee1ccd80b6332c879648cc78e424
Description-en: Firmware Test Suite frontend interface
 This is a dialog based interface designed to provide an easy to use method
 to execute tests via Firmware Test Suite and is also used as the primary
 interface within Firmware Test Suite live images.

Package: fwupd-amd64-signed-template
Description-md5: 19d35f78650aadabde42d595495fc832
Description-en: Template for signed fwupd package
 This package is used to control code signing by the Debian signing
 service.

Package: fwupd-tests
Description-md5: 1abe6bd33c8afb4b3f7315f379d19a7f
Description-en: Test suite for firmware update daemon
 fwupd is a daemon to allow session software to update device firmware.
 You can either use a GUI software manager like GNOME Software to view and
 apply updates, the command-line tool or the system D-Bus interface directly.
 Firmware updates are supported for a variety of technologies.
 See <https://github.com/fwupd/fwupd> for details
 .
 This package provides a set of installed tests that can be run to validate
 the daemon in a continuous integration system.

Package: fwupdate
Description-md5: e66ac7d8cabdca74901822fe463887c4
Description-en: Transitional package for fwupd
 This is a transitional package to move users of fwupdate 12-5 or earlier to fuwpd.

Package: fxload
Description-md5: 6b1a58fe75ba5ced6a731e6b2d3affdf
Description-en: Firmware download to EZ-USB devices
 This program is conveniently able to download firmware into FX and FX2
 ez-usb devices. It is intended to be invoked by hotplug scripts when
 the unprogrammed device appears on the bus.

Package: fxt-tools
Description-md5: 5b3a50b332cac944942cf5f9473177f3
Description-en: Multithreaded tracing library
 FxT is a library and associated tools that can be used to analyze the
 performance of multithreaded programs which can potentially use a
 hybrid thread scheduler (i.e. a user-level scheduler on top of a
 kernel-level one). The Marcel thread library can take full profit from
 this library.
 .
 FxT is based on the offline analysis of traces (sequence of events recorded at
 run time).
 .
 This package contains tools.

Package: fyre
Description-md5: 6a3c10a8d722b13e6b32408483268dbd
Description-en: interactively renders Peter de Jong maps (chaotic functions)
 Fyre provides a rendering of the Peter de Jong map, with an interactive
 GTK+ frontend and a command line interface for easy and efficient rendering
 of high-resolution, high quality images.

Package: fzf
Description-md5: a0bfeecf96a19354d63fcb504b7530c9
Description-en: general-purpose command-line fuzzy finder
 It's an interactive Unix filter for command-line that can be used with
 any list; files, command history, processes, hostnames, bookmarks, git
 commits, etc.
 .
 Refer /usr/share/doc/fzf/README.Debian for quick instructions on how to
 add keybindings for Bash, Zsh, Fish to call fzf.

Package: fzy
Description-md5: 960432c9a7525503b9f84e76bf2671c9
Description-en: fast, simple fuzzy text selector
 fzy is a fast, simple fuzzy text selector for the terminal with an
 advanced scoring algorithm.

Package: g++-10
Description-md5: 0a3fad3367cb994bd16c61b0e16a8b9c
Description-en: GNU C++ compiler
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.

Package: g++-10-aarch64-linux-gnu
Description-md5: a7f8482a0c83b82b8aaf4e0cb957d5d0
Description-en: GNU C++ compiler (cross compiler for arm64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for arm64 architecture.

Package: g++-10-alpha-linux-gnu
Description-md5: c0bc62cb25b56097db947d9f27d6e7a0
Description-en: GNU C++ compiler (cross compiler for alpha architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for alpha architecture.

Package: g++-10-arm-linux-gnueabi
Description-md5: 5046662fa8830ee3b7e41bd1fb621aa8
Description-en: GNU C++ compiler (cross compiler for armel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for armel architecture.

Package: g++-10-arm-linux-gnueabihf
Description-md5: 466636539965b105eeffa2a5b48e2850
Description-en: GNU C++ compiler (cross compiler for armhf architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for armhf architecture.

Package: g++-10-hppa-linux-gnu
Description-md5: 400423dfeca689996725f7a1e6baa2d7
Description-en: GNU C++ compiler (cross compiler for hppa architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for hppa architecture.

Package: g++-10-i686-linux-gnu
Description-md5: afff2dc72e2284224d811d1b7d6a3051
Description-en: GNU C++ compiler (cross compiler for i386 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for i386 architecture.

Package: g++-10-m68k-linux-gnu
Description-md5: 7ddb89c61f3eaef3ccfc87c6339568e1
Description-en: GNU C++ compiler (cross compiler for m68k architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for m68k architecture.

Package: g++-10-mips-linux-gnu
Description-md5: e230f2df7a8b7b03010a200ed0aae05a
Description-en: GNU C++ compiler (cross compiler for mips architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips architecture.

Package: g++-10-mips64-linux-gnuabi64
Description-md5: 9606db375e8c22b84abbadfcf1166d7a
Description-en: GNU C++ compiler (cross compiler for mips64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips64 architecture.

Package: g++-10-mips64el-linux-gnuabi64
Description-md5: dfb5e6af734c0f14e9923330c988cbfb
Description-en: GNU C++ compiler (cross compiler for mips64el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips64el architecture.

Package: g++-10-mipsel-linux-gnu
Description-md5: 0deb158ff6df6c399b2420d149aec82d
Description-en: GNU C++ compiler (cross compiler for mipsel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mipsel architecture.

Package: g++-10-mipsisa32r6-linux-gnu
Description-md5: 48f77e64bde1d8bbb67f4db2cb860830
Description-en: GNU C++ compiler (cross compiler for mipsr6 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mipsr6 architecture.

Package: g++-10-mipsisa32r6el-linux-gnu
Description-md5: c0470ff0c0a96aca4ab8f77ccd6438f5
Description-en: GNU C++ compiler (cross compiler for mipsr6el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mipsr6el architecture.

Package: g++-10-mipsisa64r6-linux-gnuabi64
Description-md5: f48105f87f101613b15f1296f2e88e60
Description-en: GNU C++ compiler (cross compiler for mips64r6 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips64r6 architecture.

Package: g++-10-mipsisa64r6el-linux-gnuabi64
Description-md5: 074f65b03802d0f712936bf38941d9d6
Description-en: GNU C++ compiler (cross compiler for mips64r6el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips64r6el architecture.

Package: g++-10-multilib
Description-md5: 291f2f15035d2eb62358c5157bbae10c
Description-en: GNU C++ compiler (multilib support)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-arm-linux-gnueabi
Description-md5: 84a48a685c386b0627ebb4867abd4aec
Description-en: GNU C++ compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-arm-linux-gnueabihf
Description-md5: 3d352c8508ce6f7439f981af9f6c4320
Description-en: GNU C++ compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-i686-linux-gnu
Description-md5: 1b477be30862e6844809da2c63f23bde
Description-en: GNU C++ compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-mips-linux-gnu
Description-md5: f1a14ea5c44a1b00e7ce244533431ce6
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-mips64-linux-gnuabi64
Description-md5: e975a9f2674f872a0122d765bf2dce46
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-mips64el-linux-gnuabi64
Description-md5: 6a525f086691aa79b492acb926b45201
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-mipsel-linux-gnu
Description-md5: 7eb41eebe27c031222436d32ac893474
Description-en: GNU C++ compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-mipsisa32r6-linux-gnu
Description-md5: f7bd6135b12f3b14a1b6fec92a55773d
Description-en: GNU C++ compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-mipsisa32r6el-linux-gnu
Description-md5: a20d01381807fbebfca4180d8cf84858
Description-en: GNU C++ compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 7a0cd15a959fcf5a0b08064a559e6bcf
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 5f0ee3cba997ff80d446b3b8402a0258
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-powerpc-linux-gnu
Description-md5: ce976239962eb02dc6cc11004274270f
Description-en: GNU C++ compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-powerpc64-linux-gnu
Description-md5: 00e742f4472bbb037b93ad698bf36caa
Description-en: GNU C++ compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-s390x-linux-gnu
Description-md5: 2cec0f98c0acc54a45419dbd2fbb00a5
Description-en: GNU C++ compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-sparc64-linux-gnu
Description-md5: 66228665f9926c448f8cc0efd590015e
Description-en: GNU C++ compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-multilib-x86-64-linux-gnux32
Description-md5: 2cb052e946be1564825b901c0f5ab14c
Description-en: GNU C++ compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-powerpc-linux-gnu
Description-md5: b6993fa4a5bfc950233d89bb6922d796
Description-en: GNU C++ compiler (cross compiler for powerpc architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for powerpc architecture.

Package: g++-10-powerpc64-linux-gnu
Description-md5: 8773af1a015cc0fff329800b1c8eb18b
Description-en: GNU C++ compiler (cross compiler for ppc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for ppc64 architecture.

Package: g++-10-powerpc64le-linux-gnu
Description-md5: 9d3a342fa9bb1664c1a3228fb97d3eae
Description-en: GNU C++ compiler (cross compiler for ppc64el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for ppc64el architecture.

Package: g++-10-riscv64-linux-gnu
Description-md5: 3c417007b0cbe0fdbdbd2d7eb5e639b2
Description-en: GNU C++ compiler (cross compiler for riscv64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for riscv64 architecture.

Package: g++-10-s390x-linux-gnu
Description-md5: 6504513b0451939eb03345282ca3aacc
Description-en: GNU C++ compiler (cross compiler for s390x architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for s390x architecture.

Package: g++-10-sh4-linux-gnu
Description-md5: 0f5474e825fb350a71fabbb1c3dfc2cc
Description-en: GNU C++ compiler (cross compiler for sh4 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for sh4 architecture.

Package: g++-10-sparc64-linux-gnu
Description-md5: 0ca69794eaa79de76832bd3e72334574
Description-en: GNU C++ compiler (cross compiler for sparc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for sparc64 architecture.

Package: g++-10-x86-64-linux-gnux32
Description-md5: 056b1050ba2a52d3ec4a46a6f4ee9140
Description-en: GNU C++ compiler (cross compiler for x32 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for x32 architecture.

Package: g++-7
Description-md5: 0a3fad3367cb994bd16c61b0e16a8b9c
Description-en: GNU C++ compiler
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.

Package: g++-7-multilib
Description-md5: 291f2f15035d2eb62358c5157bbae10c
Description-en: GNU C++ compiler (multilib support)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8
Description-md5: 0a3fad3367cb994bd16c61b0e16a8b9c
Description-en: GNU C++ compiler
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.

Package: g++-8-aarch64-linux-gnu
Description-md5: a7f8482a0c83b82b8aaf4e0cb957d5d0
Description-en: GNU C++ compiler (cross compiler for arm64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for arm64 architecture.

Package: g++-8-alpha-linux-gnu
Description-md5: c0bc62cb25b56097db947d9f27d6e7a0
Description-en: GNU C++ compiler (cross compiler for alpha architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for alpha architecture.

Package: g++-8-arm-linux-gnueabi
Description-md5: 5046662fa8830ee3b7e41bd1fb621aa8
Description-en: GNU C++ compiler (cross compiler for armel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for armel architecture.

Package: g++-8-arm-linux-gnueabihf
Description-md5: 466636539965b105eeffa2a5b48e2850
Description-en: GNU C++ compiler (cross compiler for armhf architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for armhf architecture.

Package: g++-8-hppa-linux-gnu
Description-md5: 400423dfeca689996725f7a1e6baa2d7
Description-en: GNU C++ compiler (cross compiler for hppa architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for hppa architecture.

Package: g++-8-i686-linux-gnu
Description-md5: afff2dc72e2284224d811d1b7d6a3051
Description-en: GNU C++ compiler (cross compiler for i386 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for i386 architecture.

Package: g++-8-m68k-linux-gnu
Description-md5: 7ddb89c61f3eaef3ccfc87c6339568e1
Description-en: GNU C++ compiler (cross compiler for m68k architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for m68k architecture.

Package: g++-8-multilib
Description-md5: 291f2f15035d2eb62358c5157bbae10c
Description-en: GNU C++ compiler (multilib support)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-multilib-arm-linux-gnueabi
Description-md5: 84a48a685c386b0627ebb4867abd4aec
Description-en: GNU C++ compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-multilib-arm-linux-gnueabihf
Description-md5: 3d352c8508ce6f7439f981af9f6c4320
Description-en: GNU C++ compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-multilib-i686-linux-gnu
Description-md5: 1b477be30862e6844809da2c63f23bde
Description-en: GNU C++ compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-multilib-powerpc-linux-gnu
Description-md5: ce976239962eb02dc6cc11004274270f
Description-en: GNU C++ compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-multilib-powerpc64-linux-gnu
Description-md5: 00e742f4472bbb037b93ad698bf36caa
Description-en: GNU C++ compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-multilib-s390x-linux-gnu
Description-md5: 2cec0f98c0acc54a45419dbd2fbb00a5
Description-en: GNU C++ compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-multilib-sparc64-linux-gnu
Description-md5: 66228665f9926c448f8cc0efd590015e
Description-en: GNU C++ compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-multilib-x86-64-linux-gnux32
Description-md5: 2cb052e946be1564825b901c0f5ab14c
Description-en: GNU C++ compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-powerpc-linux-gnu
Description-md5: b6993fa4a5bfc950233d89bb6922d796
Description-en: GNU C++ compiler (cross compiler for powerpc architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for powerpc architecture.

Package: g++-8-powerpc64-linux-gnu
Description-md5: 8773af1a015cc0fff329800b1c8eb18b
Description-en: GNU C++ compiler (cross compiler for ppc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for ppc64 architecture.

Package: g++-8-powerpc64le-linux-gnu
Description-md5: 9d3a342fa9bb1664c1a3228fb97d3eae
Description-en: GNU C++ compiler (cross compiler for ppc64el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for ppc64el architecture.

Package: g++-8-riscv64-linux-gnu
Description-md5: 3c417007b0cbe0fdbdbd2d7eb5e639b2
Description-en: GNU C++ compiler (cross compiler for riscv64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for riscv64 architecture.

Package: g++-8-s390x-linux-gnu
Description-md5: 6504513b0451939eb03345282ca3aacc
Description-en: GNU C++ compiler (cross compiler for s390x architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for s390x architecture.

Package: g++-8-sh4-linux-gnu
Description-md5: 0f5474e825fb350a71fabbb1c3dfc2cc
Description-en: GNU C++ compiler (cross compiler for sh4 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for sh4 architecture.

Package: g++-8-sparc64-linux-gnu
Description-md5: 0ca69794eaa79de76832bd3e72334574
Description-en: GNU C++ compiler (cross compiler for sparc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for sparc64 architecture.

Package: g++-8-x86-64-linux-gnux32
Description-md5: 056b1050ba2a52d3ec4a46a6f4ee9140
Description-en: GNU C++ compiler (cross compiler for x32 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for x32 architecture.

Package: g++-9-alpha-linux-gnu
Description-md5: c0bc62cb25b56097db947d9f27d6e7a0
Description-en: GNU C++ compiler (cross compiler for alpha architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for alpha architecture.

Package: g++-9-arm-linux-gnueabi
Description-md5: 5046662fa8830ee3b7e41bd1fb621aa8
Description-en: GNU C++ compiler (cross compiler for armel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for armel architecture.

Package: g++-9-hppa-linux-gnu
Description-md5: 400423dfeca689996725f7a1e6baa2d7
Description-en: GNU C++ compiler (cross compiler for hppa architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for hppa architecture.

Package: g++-9-i686-linux-gnu
Description-md5: afff2dc72e2284224d811d1b7d6a3051
Description-en: GNU C++ compiler (cross compiler for i386 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for i386 architecture.

Package: g++-9-m68k-linux-gnu
Description-md5: 7ddb89c61f3eaef3ccfc87c6339568e1
Description-en: GNU C++ compiler (cross compiler for m68k architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for m68k architecture.

Package: g++-9-mips-linux-gnu
Description-md5: e230f2df7a8b7b03010a200ed0aae05a
Description-en: GNU C++ compiler (cross compiler for mips architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips architecture.

Package: g++-9-mips64-linux-gnuabi64
Description-md5: 9606db375e8c22b84abbadfcf1166d7a
Description-en: GNU C++ compiler (cross compiler for mips64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips64 architecture.

Package: g++-9-mips64el-linux-gnuabi64
Description-md5: dfb5e6af734c0f14e9923330c988cbfb
Description-en: GNU C++ compiler (cross compiler for mips64el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips64el architecture.

Package: g++-9-mipsel-linux-gnu
Description-md5: 0deb158ff6df6c399b2420d149aec82d
Description-en: GNU C++ compiler (cross compiler for mipsel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mipsel architecture.

Package: g++-9-mipsisa32r6-linux-gnu
Description-md5: 48f77e64bde1d8bbb67f4db2cb860830
Description-en: GNU C++ compiler (cross compiler for mipsr6 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mipsr6 architecture.

Package: g++-9-mipsisa32r6el-linux-gnu
Description-md5: c0470ff0c0a96aca4ab8f77ccd6438f5
Description-en: GNU C++ compiler (cross compiler for mipsr6el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mipsr6el architecture.

Package: g++-9-mipsisa64r6-linux-gnuabi64
Description-md5: f48105f87f101613b15f1296f2e88e60
Description-en: GNU C++ compiler (cross compiler for mips64r6 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips64r6 architecture.

Package: g++-9-mipsisa64r6el-linux-gnuabi64
Description-md5: 074f65b03802d0f712936bf38941d9d6
Description-en: GNU C++ compiler (cross compiler for mips64r6el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for mips64r6el architecture.

Package: g++-9-multilib-arm-linux-gnueabi
Description-md5: 84a48a685c386b0627ebb4867abd4aec
Description-en: GNU C++ compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-arm-linux-gnueabihf
Description-md5: 3d352c8508ce6f7439f981af9f6c4320
Description-en: GNU C++ compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-i686-linux-gnu
Description-md5: 1b477be30862e6844809da2c63f23bde
Description-en: GNU C++ compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-mips-linux-gnu
Description-md5: f1a14ea5c44a1b00e7ce244533431ce6
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-mips64-linux-gnuabi64
Description-md5: e975a9f2674f872a0122d765bf2dce46
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-mips64el-linux-gnuabi64
Description-md5: 6a525f086691aa79b492acb926b45201
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-mipsel-linux-gnu
Description-md5: 7eb41eebe27c031222436d32ac893474
Description-en: GNU C++ compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-mipsisa32r6-linux-gnu
Description-md5: f7bd6135b12f3b14a1b6fec92a55773d
Description-en: GNU C++ compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-mipsisa32r6el-linux-gnu
Description-md5: a20d01381807fbebfca4180d8cf84858
Description-en: GNU C++ compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 7a0cd15a959fcf5a0b08064a559e6bcf
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 5f0ee3cba997ff80d446b3b8402a0258
Description-en: GNU C++ compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-powerpc-linux-gnu
Description-md5: ce976239962eb02dc6cc11004274270f
Description-en: GNU C++ compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-powerpc64-linux-gnu
Description-md5: 00e742f4472bbb037b93ad698bf36caa
Description-en: GNU C++ compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-s390x-linux-gnu
Description-md5: 2cec0f98c0acc54a45419dbd2fbb00a5
Description-en: GNU C++ compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-sparc64-linux-gnu
Description-md5: 66228665f9926c448f8cc0efd590015e
Description-en: GNU C++ compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-multilib-x86-64-linux-gnux32
Description-md5: 2cb052e946be1564825b901c0f5ab14c
Description-en: GNU C++ compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-powerpc-linux-gnu
Description-md5: b6993fa4a5bfc950233d89bb6922d796
Description-en: GNU C++ compiler (cross compiler for powerpc architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for powerpc architecture.

Package: g++-9-powerpc64-linux-gnu
Description-md5: 8773af1a015cc0fff329800b1c8eb18b
Description-en: GNU C++ compiler (cross compiler for ppc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for ppc64 architecture.

Package: g++-9-riscv64-linux-gnu
Description-md5: 3c417007b0cbe0fdbdbd2d7eb5e639b2
Description-en: GNU C++ compiler (cross compiler for riscv64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for riscv64 architecture.

Package: g++-9-sh4-linux-gnu
Description-md5: 0f5474e825fb350a71fabbb1c3dfc2cc
Description-en: GNU C++ compiler (cross compiler for sh4 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for sh4 architecture.

Package: g++-9-sparc64-linux-gnu
Description-md5: 0ca69794eaa79de76832bd3e72334574
Description-en: GNU C++ compiler (cross compiler for sparc64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for sparc64 architecture.

Package: g++-9-x86-64-linux-gnux32
Description-md5: 056b1050ba2a52d3ec4a46a6f4ee9140
Description-en: GNU C++ compiler (cross compiler for x32 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for x32 architecture.

Package: g++-alpha-linux-gnu
Description-md5: 63455f6f87778fa475c4784e9a7d06d1
Description-en: GNU C++ compiler for the alpha architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the alpha architecture.

Package: g++-arm-linux-gnueabi
Description-md5: 05df4fea4d3956fb12eda5f6640a9368
Description-en: GNU C++ compiler for the armel architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the armel architecture.

Package: g++-hppa-linux-gnu
Description-md5: 905a8313956ffa9b5f950bb5b7d1877e
Description-en: GNU C++ compiler for the hppa architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the hppa architecture.

Package: g++-i686-linux-gnu
Description-md5: 026c455987cbc4640005a144b55494cf
Description-en: GNU C++ compiler for the i386 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the i386 architecture.

Package: g++-m68k-linux-gnu
Description-md5: db3172d6c0f5b027c5611f948d711fbc
Description-en: GNU C++ compiler for the m68k architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the m68k architecture.

Package: g++-mingw-w64
Description-md5: be80ce4b74c17089adf52fd4e6f4d519
Description-en: GNU C++ compiler for MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This metapackage provides the C++ compiler, supporting
 cross-compiling to 32- and 64-bit MinGW-w64 targets.

Package: g++-mingw-w64-i686
Description-md5: 0f0d25baeb4b375c10e0c1e59059baaf
Description-en: GNU C++ compiler for MinGW-w64 targeting Win32
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the C++ compiler, supporting
 cross-compiling to 32-bit MinGW-w64 targets.

Package: g++-mingw-w64-x86-64
Description-md5: b62105f997aea79d5d50938d6865911c
Description-en: GNU C++ compiler for MinGW-w64 targeting Win64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the C++ compiler, supporting
 cross-compiling to 64-bit MinGW-w64 targets.

Package: g++-mips-linux-gnu
Description-md5: 7d0f5a2fd60fad3c51072d2ce11a6d33
Description-en: GNU C++ compiler for the mips architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the mips architecture.

Package: g++-mips64-linux-gnuabi64
Description-md5: 781a7c262ab4284bf910c04dfdbfe58b
Description-en: GNU C++ compiler for the mips64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the mips64 architecture.

Package: g++-mips64el-linux-gnuabi64
Description-md5: a926829d443670257a23b76629c17678
Description-en: GNU C++ compiler for the mips64el architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the mips64el architecture.

Package: g++-mipsel-linux-gnu
Description-md5: a78509f9da485fd866d51fcfdb80a191
Description-en: GNU C++ compiler for the mipsel architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the mipsel architecture.

Package: g++-mipsisa32r6-linux-gnu
Description-md5: c80754ff6fbd109f98795a98a2a03564
Description-en: GNU C++ compiler for the mipsr6 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the mipsr6 architecture.

Package: g++-mipsisa32r6el-linux-gnu
Description-md5: e25c74ef7acdeeade436873720a2695b
Description-en: GNU C++ compiler for the mipsr6el architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the mipsr6el architecture.

Package: g++-mipsisa64r6-linux-gnuabi64
Description-md5: 448dfb10e3333fbcdd6a22dee7c10c3f
Description-en: GNU C++ compiler for the mips64r6 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the mips64r6 architecture.

Package: g++-mipsisa64r6el-linux-gnuabi64
Description-md5: 27e46bc2c27621328eeff80121ed2706
Description-en: GNU C++ compiler for the mips64r6el architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the mips64r6el architecture.

Package: g++-multilib-arm-linux-gnueabi
Description-md5: 91b0388d2b3cbf8bef208a4882d3a7da
Description-en: GNU C++ compiler for the armel architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the armel architecture.

Package: g++-multilib-arm-linux-gnueabihf
Description-md5: 319506281ce6c554e4fc88d15dc1e1ac
Description-en: GNU C++ compiler for the armhf architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the armhf architecture.

Package: g++-multilib-i686-linux-gnu
Description-md5: 21d062243171427488240851c399824b
Description-en: GNU C++ compiler for the i386 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the i386 architecture.

Package: g++-multilib-mips-linux-gnu
Description-md5: 4500c93654c87411789a52ddac7f25e7
Description-en: GNU C++ compiler for the mips architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the mips architecture.

Package: g++-multilib-mips64-linux-gnuabi64
Description-md5: cf9010cf4f93dc94ac432e8911adee0d
Description-en: GNU C++ compiler for the mips64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the mips64 architecture.

Package: g++-multilib-mips64el-linux-gnuabi64
Description-md5: f5c55516384cc7459f3887503eafb0af
Description-en: GNU C++ compiler for the mips64el architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the mips64el architecture.

Package: g++-multilib-mipsel-linux-gnu
Description-md5: 8e79848fc2d9c5a3cb72658e63a467b9
Description-en: GNU C++ compiler for the mipsel architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the mipsel architecture.

Package: g++-multilib-mipsisa32r6-linux-gnu
Description-md5: f2a173e17dc839e26ae12d64acd51756
Description-en: GNU C++ compiler for the mipsr6 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the mipsr6 architecture.

Package: g++-multilib-mipsisa32r6el-linux-gnu
Description-md5: e599652f0dca841f89ace356925c0614
Description-en: GNU C++ compiler for the mipsr6el architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the mipsr6el architecture.

Package: g++-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 4f54d78ca038c080270319d0b84ba254
Description-en: GNU C++ compiler for the mips64r6 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the mips64r6 architecture.

Package: g++-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: d7c8f9e18117276aebf77f2dbe05e028
Description-en: GNU C++ compiler for the mips64r6el architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the mips64r6el architecture.

Package: g++-multilib-powerpc-linux-gnu
Description-md5: 4fc6dc02333543ee6db964e755540b56
Description-en: GNU C++ compiler for the powerpc architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the powerpc architecture.

Package: g++-multilib-powerpc64-linux-gnu
Description-md5: b8cb59982684379a36c19e6abe4f591f
Description-en: GNU C++ compiler for the ppc64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the ppc64 architecture.

Package: g++-multilib-s390x-linux-gnu
Description-md5: 923d5d98e01f79c8853e01090403d09a
Description-en: GNU C++ compiler for the s390x architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the s390x architecture.

Package: g++-multilib-sparc64-linux-gnu
Description-md5: 66ae43da9a032d1752d97ec3b62d296e
Description-en: GNU C++ compiler for the sparc64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the sparc64 architecture.

Package: g++-multilib-x86-64-linux-gnux32
Description-md5: dbb207d5c6757c026380fba16058c9ce
Description-en: GNU C++ compiler for the x32 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the x32 architecture.

Package: g++-powerpc-linux-gnu
Description-md5: f9aeda628b0db2328f1e8a1d68ba71ce
Description-en: GNU C++ compiler for the powerpc architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the powerpc architecture.

Package: g++-powerpc64-linux-gnu
Description-md5: 3a3c7919ff09612e0528b8e93af74355
Description-en: GNU C++ compiler for the ppc64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the ppc64 architecture.

Package: g++-riscv64-linux-gnu
Description-md5: ebbf506aca8c79a0d53f2d5b1879a38c
Description-en: GNU C++ compiler for the riscv64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the riscv64 architecture.

Package: g++-sh4-linux-gnu
Description-md5: c3fee81ad277f72de39cc9578b38e026
Description-en: GNU C++ compiler for the sh4 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the sh4 architecture.

Package: g++-sparc64-linux-gnu
Description-md5: 2fd5de3ec4816eb88005bbefa7b13a03
Description-en: GNU C++ compiler for the sparc64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the sparc64 architecture.

Package: g++-x86-64-linux-gnux32
Description-md5: ca674cd5b7ed6d5bdcc6aac8d37327aa
Description-en: GNU C++ compiler for the x32 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the x32 architecture.

Package: g-wrap
Description-md5: c7c2bd9277521362b3f2191659ca8c54
Description-en: scripting interface generator for C
 G-Wrap is a tool (and Guile library) for generating function wrappers
 for inter-language calls. It currently only supports generating Guile
 wrappers for C functions.
 .
 G-Wrap takes a set of interface declarations (written in Scheme) and
 wraps the described interface for Guile.

Package: g10k
Description-md5: 63d579582dc520dd780c0fa5fbf6e921
Description-en: Puppet environment and module deployment
 g10k provides a general purpose toolset for deploying Puppet
 environments and modules. It implements the Puppetfile format and
 provides a native implementation of Puppet dynamic environments.
 g10k is a rewrite of puppetlabs/r10k in Go with additional
 optimizations such as:
 - caching support
 - distinct SSH keys for each source
 - parallel cloning and checkout
 - enhanced Puppetfile options
 It only supports Forge modules and Git repositories.

Package: g15daemon
Description-md5: dfec4ae2baf2729f619bd25f49fea736
Description-en: LCD multiplexer for Logitech G15 Keyboard
 G15daemon provides multiple virtual screens for the LCD on the Logitech
 G15 keyboard and Z10 speakers. It also allows the use of all additional
 keys of G11 and G15 keyboards, even if the kernel does not support them.

Package: g2p-sk
Description-md5: cbf936b7d9397440de30518b6f0acb30
Description-en: Phonetic transcription for Slovak language
 g2p-sk implements rule based approach for the
 phonetic transcription. Each input word represented by the
 sequence of the graphemes is transcribed to the sequence of
 of the phones in the SAMPA coding.

Package: g3data
Description-md5: 3e0bdf7ced0d74103777305f169e8d45
Description-en: extract data from scanned graphs
 g3data is used for extracting data from graphs.  For example, graphs
 are typically published in scientific journals without tables of the
 actual data; g3data makes the process of extracting these data easy.

Package: g3dviewer
Description-md5: 69cb62e324e72990377e5dff73f2c1d7
Description-en: 3D model viewer for GTK+
 G3DViewer is a 3D file viewer for GTK+ supporting a variety of file types by
 using the LibG3D plugin facility. Models can be inspected and rendered using
 OpenGL. Rendering options includes wireframe rendering, shadows, isometric
 view, specular lightning, and textures.
 .
 See 'libg3d-plugin*' for supported formats.

Package: g810-led
Description-md5: 0a43a3e56724fa81b436a377cd4a8d50
Description-en: LED configuration tool for Logitech Gx10 keyboards
 g810-led is a configuration tool for the LEDs on Logitech Gx10 gaming
 keyboards: G213, G410, G413, G512, G513, G610, G810, G910, and G Pro.
 The LEDs can be configured in a variety of ways, depending on the
 keyboards' capabilities:
 .
  * pre-defined effects (breathing, colour-cycling, waves)
  * individual key colours and/or intensities
  * key group colours and/or intensities

Package: gabedit
Description-md5: ccf6aa1f63017c31a03fc191870b8421
Description-en: graphical user interface to Ab Initio packages
 Gabedit is a graphical user interface to computational chemistry
 packages like:
 .
  - MPQC
  - GAMESS-US
  - Gaussian
  - Molcas
  - Molpro
  - Q-Chem
 .
 These Ab Initio software packages might run locally or on a remote
 server (supporting FTP, RSH and SSH). Gabedit can display a variety
 of calculation results including most major molecular file formats.
 The advanced "Molecule Builder" allows one to rapidly sketch in
 molecules and examine them in 3D. Graphics can further be exported
 to various formats, including animations.

Package: gadmin-bind
Description-md5: 34a08df07c1641e146442c61bba26da2
Description-en: GTK+ configuration tool for bind9
 gadmin-bind is an easy to use GTK+ frontend for ISC BIND. It handles multiple
 domains and can switch from master to slave domain in three clicks. It can
 change the domain name for entire domains and subdomains, including domain
 resources such as MX, A, AAAA, CNAME, and NS.
 .
 gadmin-bind can also generate and set up secret keys for rndc, construct a
 chroot environment, and handle DDNS operations.

Package: gadmin-bind-dbg
Description-md5: ff4ec6787b27accbb8103239dba45c1c
Description-en: GTK+ configuration tool for bind9 (debug)
 gadmin-bind is an easy to use GTK+ frontend for ISC BIND. It handles multiple
 domains and can switch from master to slave domain in three clicks. It can
 change the domain name for entire domains and subdomains, including domain
 resources such as MX, A, AAAA, CNAME, and NS.
 .
 This package contains the debugging symbols.

Package: gadmin-openvpn-client
Description-md5: a6a1fca46e8ced70be5cdab7ce9fd3b3
Description-en: GTK+ configuration tool for openvpn (client)
 gadmin-openvpn-client is a GTK+-based administration tool for
 the OpenVPN client. It allows one to manage multiple configuration
 profiles, easily (de)activate connections and view client-related
 logs.

Package: gadmin-openvpn-server
Description-md5: 14d9a950237043784c2eb260661d44e9
Description-en: GTK+ configuration tool for openvpn (server)
 gadmin-openvpn-server is a fast and easy to use GTK+ administration tool for
 the OpenVPN server.

Package: gadmin-openvpn-server-dbg
Description-md5: eb6cc0285eb977f4327e3b999ea7fa71
Description-en: GTK+ configuration tool for openvpn (debug for server)
 gadmin-openvpn-server is a fast and easy to use GTK+ administration tool for
 the OpenVPN server.
 .
 This package contains the debugging symbols.

Package: gadmin-proftpd
Description-md5: ae7962f4aba4b536480d4719228e114f
Description-en: GTK+ configuration tool for proftpd
 gadmin-proftpd is a fast and easy to use GTK+ administration tool for the
 Proftpd standalone server.
 .
 gadmin-proftpd gives admins easy access to virtual hosting, 8 layers of
 security including chrooted users and encrypted transfers on both the data
 and/or control channels.

Package: gadmin-proftpd-dbg
Description-md5: f0408eb08d99c7f31cc867486ffdaea0
Description-en: GTK+ configuration tool for proftpd debug package
 gadmin-proftpd is a fast and easy to use GTK+ administration tool for the
 Proftpd standalone server.
 .
 This package contains the debugging symbols.

Package: gadmin-rsync
Description-md5: 838b474c4f3cf0d6c8f618bebdb24ccd
Description-en: GTK+ configuration tool for rsync
 gadmin-rsync is an easy to use GTK+ frontend for the rsync server.
 .
 Feature:
  - Multiple backup sets that can be scheduled to run at specific times via
    cron.
  - Each of these backup sets can contain multiple remote or local data
    backups.
  - Backup sets that are started via cron schedules will generate log files
    for each of the data backups in the backup sets.
  - The backup logfiles will have the same names as the individual backup sets.
  - Individual backup sets can be run manually and the progress can be viewed
    in the progress tab.
  - Remote backups are fully automatic, differential and encrypted.
  - Keys are created for each host, uploaded and then installed on the remote
    hosts using a combination of ssh-keygen/scp and ssh.
  - Makes remote synchronizations scheduleable.

Package: gadmin-rsync-dbg
Description-md5: 3dfa569436e5b58b8522c8802dc135d6
Description-en: GTK+ configuration tool for rsync (debug)
 gadmin-rsync is an easy to use GTK+ frontend for the rsync server.
 .
 Feature:
  - Multiple backup sets that can be scheduled to run at specific times via
    cron.
  - Each of these backup sets can contain multiple remote or local data
    backups.
  - Backup sets that are started via cron schedules will generate log files
    for each of the data backups in the backup sets.
  - The backup logfiles will have the same names as the individual backup sets.
  - Individual backup sets can be run manually and the progress can be viewed
    in the progress tab.
  - Remote backups are fully automatic, differential and encrypted.
  - Keys are created for each host, uploaded and then installed on the remote
    hosts using a combination of ssh-keygen/scp and ssh.
  - Makes remote synchronizations scheduleable.
 .
 This package contains the debugging symbols.

Package: gadmin-samba
Description-md5: c4aec7e4a2cf67d9af47ccbd5d684d46
Description-en: GTK+ configuration tool for samba
 gadmin-samba is an easy to use GTK+ frontend for the SAMBA file and print
 server. It features multiple local and remote user and group imports, on the
 fly share creation and user handling, including randomization of usernames and
 passwords. PDF printing to shared/private directories or email. It also
 features three levels of domain management strategies.

Package: gadmin-samba-dbg
Description-md5: 0a0d180ce22af29be4fd8652e96577cd
Description-en: GTK+ configuration tool for samba (debug)
 gadmin-samba is an easy to use GTK+ frontend for the SAMBA file and print
 server. It features multiple local and remote user and group imports, on the
 fly share creation and user handling, including randomization of usernames and
 passwords. PDF printing to shared/private directories or email. It also
 features three levels of domain management strategies.
 .
 This package contains the debugging symbols.

Package: gadmintools
Description-md5: 21dbba7f6f6ce65722ffbec964c4213c
Description-en: GTK+ server administration tools (meta-package)
 Gadmintools consists of several easy to use GTK+ server administration tools
 for the following programs:
 .
  * gadmin-bind - ISC BIND DNS server
  * gadmin-openvpn-client - OpenVPN client
  * gadmin-openvpn-server - OpenVPN Server
  * gadmin-proftpd - Proftpd FTP server
  * gadmin-rsync - Rsync server
  * gadmin-samba - Samba SMB server

Package: gaffitter
Description-md5: 3c819e08a886c29f3674ec44be7f5eb0
Description-en: File subsets extractor based on genetic algorithms
 Genetic Algorithm File Fitter (gaffitter) is a command-line software
 written in C++ that extracts --via genetic algorithm-- subsets of an
 input list of files/directories that best fit the given volume size
 (target), such as CD, DVD and others.
 .
 gaffitter provides an intelligent manner (thanks to Genetic Algorithm)
 to minimize the error between the desired target size and the selected
 candidates of files/directories. Gaffitter highlights:
 .
   * Uses a global meta-heuristic (Genetic Algorithm search).
   * The command-line interface provides high integration (via pipe) with
     other tools, i.e. works as a "filter".
   * Allow the user to enter 'size identifier' pairs directly instead
     of file/dir names.
   * Pretty configurable. gaffitter have many input parameters to
     control/adjust its behavior (including GA params).

Package: gaiksaurus
Description-md5: cd7a9422f7b395eced20807348b25418
Description-en: graphical interface to the Aiksaurus toolkit (GTK+ frontend)
 This is a graphical interface to the Aiksaurus English language thesaurus
 programming toolkit, meant to be embedded in other applications.
 .
 This contains gaiksaurus, a standalone GTK+ frontend for AiksaurusGTK.

Package: gajim
Description-md5: f8af1272b3830104f81a829f08d6afb3
Description-en: GTK+-based Jabber client
 Gajim is a Jabber client. It has a tabbed user interface with normal chats,
 group chats, and has many features such as, TLS, GPG, SSL, multiple accounts,
 avatars, file transfers, audio/video call, D-Bus and Metacontacts.

Package: gajim-antispam
Description-md5: 97e6562be1085a4fd444a96fb183e7ad
Description-en: Gajim plugin to block some incoming messages
 With this plugin, Gajim users can block:
  * Incoming PubSub messages
  * Incoming Messages from Contacts not in your Roster
  * You can set a question that has to be answered from contacts
    not in your roster, before they can pass the spam filter
 Note, that the plugin might interact badly with some IRC gateways.

Package: gajim-appindicatorintegration
Description-md5: 4f7bee0c6295090288e5d136b6e35991
Description-en: integrates Gajim with the appindicator
 This Gajim plugin integrates with the Ayatana appindicator used
 by various modern desktop environments.

Package: gajim-lengthnotifier
Description-md5: e91dd02341aa77a59c4aebce57bf32fd
Description-en: displays character count and notifies when maximum length is reached
 User will be notified when the length of the message you are typing
 reaches a configured limit. A character counter can optionally be
 displayed.

Package: gajim-omemo
Description-md5: 6ce144e50f069a3ea6cc631c614dcc30
Description-en: Gajim plugin for OMEMO Multi-End Message and Object Encryption
 This Plugin adds support for the OMEMO Encryption to Gajim.
 .
 OMEMO encryption (OMEMO Multi-End Message and Object Encryption) gives
 you all the advantages you would expect from a modern-day encryption
 protocol like Future and Forward Secrecy and deniability while
 allowing you to keep the benefits of message synchronization and
 offline delivery.
 .
 It is compatible with the Android XMPP client Conversations.

Package: gajim-openpgp
Description-md5: 07444a0f9bbe715ca4bec9a2c721292c
Description-en: Gajim plugin for OpenPGP encryption
 This Plugin adds support for OpenPGP encryption to Gajim
 according to XEP-0373.
 Note, that this plugin is still in an experimental state.

Package: gajim-pgp
Description-md5: 6df648df5919fd06b6cd34657cc56e23
Description-en: Gajim plugin for PGP encryption
 This Plugin adds support for the PGP encryption to Gajim
 according to XEP-0027.

Package: gajim-plugininstaller
Description-md5: b2b2cddca4f1e9ae62230f717c461787
Description-en: Gajim plugin to install plugins from ftp.gajim.org
 This plugin allows one to install and upgrade new plugins from
 Gajim's FTP server.
 .
 Note, that this plugin is not needed to install the plugins, that are
 already in Debian, only for additional plugins. Installing plugins
 directly from Debian, not using this plugin, is the preferred way.
 If unsure, do not install this plugin.

Package: gajim-rostertweaks
Description-md5: f3fdf8dc42e35ecfefe6dcaad8975fa2
Description-en: tweak Gajim roster window appearance
 Allows user to tweak roster window appearance (eg. make it compact).
 This is especially helpful for people running Gajim on small screens,
 such as the PocketCHIP.

Package: gajim-triggers
Description-md5: fffccbcae5c023bec3133fc9bd766f89
Description-en: configure Gajim's behaviour for each contact
 With this plugin you will be able to configure precisely Gajim's
 behaviour when you receive a message or a presence from a given
 contact.

Package: gajim-urlimagepreview
Description-md5: c3bad56d49925c6d4bfad4b015236ee4
Description-en: displays images inside Gajim chat window
 This Gajim plugin let the user display images inside the chat window.
 If they right click on the picture they have several options:
  - save the picture to a location of their choice
  - open the picture with their file manager
  - open the link with their browser
 Privacy Warning:
 With this plugin enabled, links received by you will be followed
 automatically. This can be abused by others to find out your IP address
 or other information. In case of doubt, leave this plugin disabled.

Package: galculator
Description-md5: 992ad4b83e818cdeb37f04be8222edfa
Description-en: scientific calculator
 galculator is a scientific calculator. It supports different number
 bases (DEC/HEX/OCT/BIN) and angles bases (DEG/RAD/GRAD) and features a
 wide range of mathematical (basic arithmetic operations, trigonometric
 functions, etc) and other useful functions (memory, etc) at the moment.
 galculator can be used in algebraic mode as well as in Reverse Polish
 Notation (RPN).

Package: galera-3
Description-md5: 305dccc0f9754e846e70b12984d25861
Description-en: Replication framework for transactional applications
 Galera is a fast synchronous multimaster wsrep provider (replication engine)
 for transactional databases and similar applications. For more information
 about wsrep API see https://launchpad.net/wsrep. For a description of Galera
 replication engine see http://galeracluster.com.
 .
 This package contains the Galera library/plugin.

Package: galera-4
Description-md5: 305dccc0f9754e846e70b12984d25861
Description-en: Replication framework for transactional applications
 Galera is a fast synchronous multimaster wsrep provider (replication engine)
 for transactional databases and similar applications. For more information
 about wsrep API see https://launchpad.net/wsrep. For a description of Galera
 replication engine see http://galeracluster.com.
 .
 This package contains the Galera library/plugin.

Package: galera-arbitrator-3
Description-md5: 20d304fb2749389309a06dc8ad372268
Description-en: Galera arbitrator daemon
 Galera is a fast synchronous multimaster wsrep provider (replication engine)
 for transactional databases and similar applications. For more information
 about wsrep API see http://launchpad.net/wsrep. For a description of Galera
 replication engine see http://galeracluster.com.
 .
 This package contains the Galera arbitrator daemon (garbd).

Package: galera-arbitrator-4
Description-md5: 20d304fb2749389309a06dc8ad372268
Description-en: Galera arbitrator daemon
 Galera is a fast synchronous multimaster wsrep provider (replication engine)
 for transactional databases and similar applications. For more information
 about wsrep API see http://launchpad.net/wsrep. For a description of Galera
 replication engine see http://galeracluster.com.
 .
 This package contains the Galera arbitrator daemon (garbd).

Package: galileo
Description-md5: 19384a5a652701d9c6499a762726fbec
Description-en: Utility to securely synchronize a Fitbit device with the Fitbit web service
 Galileo is a Python utility to securely synchronize a Fitbit device with the
 Fitbit web service. It allows you to browse your data on their website, and
 compatible applications. The main features of Galileo are: Synchronize your
 fitbit tracker with the fitbit server using the provided dongle; Securely
 communicate (using HTTPS) with the fitbit server; Save all your dumps locally
 for possible later analyse. Galileo supports the following trackers:
  - Fitbit One
  - Fitbit Zip
  - Fitbit Flex
  - Fitbit Force
  - Fitbit Charge
  - Fitbit Charge HR

Package: galileo-daemon
Description-md5: 84c9985c535fe71e1f5bf52f32ad69be
Description-en: Utility to securely synchronize a Fitbit device - daemon
 Galileo is a Python utility to securely synchronize a Fitbit device with the
 Fitbit web service. It allows you to browse your data on their website, and
 compatible applications. The main features of Galileo are: Synchronize your
 fitbit tracker with the fitbit server using the provided dongle; Securely
 communicate (using HTTPS) with the fitbit server; Save all your dumps locally
 for possible later analyse. Galileo supports the following trackers:
  - Fitbit One
  - Fitbit Zip
  - Fitbit Flex
  - Fitbit Force
  - Fitbit Charge
  - Fitbit Charge HR
 .
 This package installs galileo as a systemd daemon.

Package: galleta
Description-md5: 268afe709e5163f5f9705e3402ee1c8e
Description-en: Internet Explorer cookie forensic analysis tool
 Galleta is a forensics tool that examines the content of cookie files
 produced by Microsoft Internet Explorer (MSIE). It parses the file and
 outputs a field separated that can be loaded in a spreadsheet.

Package: galois
Description-md5: 0ce654ff47c1d20c1fc46d1d50b064d6
Description-en: falling blocks game with blocks of various shapes and sizes
 Galois is a computer game of the "falling blocks" type, but with
 unique features. Unlike most other games of that type, it is not
 limited to blocks made of four two-dimensional, square bricks: you
 can choose among several different brick shapes, blocks composed of
 more or fewer bricks, and even between two- and three-dimensional
 games.

Package: galternatives
Description-md5: e2b6c21c44fe667505646d7a6160ea36
Description-en: graphical setup tool for the alternatives system
 A GUI to help the system administrator to choose what program
 should provide a given service.
 .
 This is a graphical front-end to the update-alternatives
 program shipped with dpkg.

Package: gamazons
Description-md5: 6e441e85edd8685cfb42b3fc45178903
Description-en: Amazons boardgame for GNOME
 Amazons is a game played on a 10x10 chess board. Each side has four pieces
 (amazons) that move like chess queens (in a straight line in any direction).
 Instead of capturing pieces like in chess, the game is determined based on who
 moves last.
 .
 Each move consists of two parts. First an amazon moves to a new square and
 then fires an arrow to another square (the arrow is fired in a straight line
 in any direction from the square the amazon landed on). The square the arrow
 lands on becomes a permenant block for the rest of the game. No one can move
 over it, or fire an arrow over it. Every turn an amazon must move and fire an
 arrow, so every turn there is one less square available on the board. Try and
 block in your opponent or section off a good chunk of the board for yourself.

Package: gambas3
Description-md5: cc5e0a2176ff6f19e09e92981866a40f
Description-en: Complete visual development environment for Gambas
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 With Gambas, you can quickly design your program GUI, access MySQL or
 PostgreSQL databases, pilot KDE applications with DCOP, translate your
 program into many languages, and so on...
 .
 This package doesn't include anything: it is a metapackage to install the
 IDE and all the available Gambas components except deprecated ones and
 the gambas3-gb-gtk* components.

Package: gambas3-dev
Description-md5: 8bcbebd475066eae5a04d323bc067d0f
Description-en: Gambas compilation tools
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes the Gambas compiler, archiver and informer.

Package: gambas3-examples
Description-md5: 71504aa5c3479cdd811d32a92f87b849
Description-en: Gambas examples
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides the examples.

Package: gambas3-gb-args
Description-md5: e7189b87d714de43e09406c6f89a8800
Description-en: Gambas arguments parser
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides a command-line options analyzer, and automatically
 handles the --help option.

Package: gambas3-gb-cairo
Description-md5: e08bd95d6b5e276fda8cdc5e6e68e8b0
Description-en: Gambas bindings for cairo
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes Gambas bindings for cairo.

Package: gambas3-gb-chart
Description-md5: 4fa836fbd70c314a72b9ae8ec2098b55
Description-en: Gambas charting component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a native Gambas component to draw charts.

Package: gambas3-gb-clipper
Description-md5: efb6d9ef3ff37dd773ecf0169e727526
Description-en: Gambas Clipper component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes a component based on the Clipper library,
 http://www.angusj.com/delphi/clipper.php

Package: gambas3-gb-complex
Description-md5: 74f773b3eae249f9b4c65fa704c2e76c
Description-en: Gambas Complex component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package brings complex numbers support to the interpreter.

Package: gambas3-gb-compress
Description-md5: f40a6331e684566b700f0812517be248
Description-en: Gambas compression component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package has the needed base libraries for the other compression
 components.

Package: gambas3-gb-compress-bzlib2
Description-md5: 21476428edc422b6604718b9890beee4
Description-en: Gambas bzlib2 component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to compress/uncompress data or files with
 the bzip2 algorithm.

Package: gambas3-gb-compress-zlib
Description-md5: d0c994ab314fe0a63fd0dcb580ddd63b
Description-en: Gambas zlib compression component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to compress/uncompress data or files with
 the gzip and PKZIP algorithm.

Package: gambas3-gb-crypt
Description-md5: de0975ab9ba5eefd2e169dd1a240bf62
Description-en: Gambas crypt encription component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows one to use the crypt() glib function in gambas.

Package: gambas3-gb-data
Description-md5: d0d2834a60337d643eb1cd614a38d7ff
Description-en: Gambas abstract datatypes component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides abstract datatypes implementations for Gambas.

Package: gambas3-gb-db
Description-md5: 039df58feebabf2a177d855af6367d09
Description-en: Gambas database access common libraries
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides the needed common libraries for data access.

Package: gambas3-gb-db-form
Description-md5: af63f29643d24c401de030839dda4a12
Description-en: Gambas database bound controls
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a native Gambas component that implements data bound controls.
 It provides the following new controls: DataSource, DataBrowser,
 DataView, DataControl and DataCombo.

Package: gambas3-gb-db-mysql
Description-md5: f409fe345065dfd4702195432be333f9
Description-en: MySQL driver for the Gambas database
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to access MySQL databases.

Package: gambas3-gb-db-odbc
Description-md5: 634853ba34fbacd32ce0ff836460c66b
Description-en: ODBC driver for the Gambas database
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to access to databases via unixODBC drivers
 from Gambas code.

Package: gambas3-gb-db-postgresql
Description-md5: 6b142e34962dae5204cd16ed7647efc2
Description-en: PostgreSQL driver for the Gambas database
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to access PostgreSQL databases.

Package: gambas3-gb-db-sqlite3
Description-md5: f76f5bbda23c3f7f2cc995b314cb10ea
Description-en: Gambas sqlite3 driver database
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to access to sqlite3 databases from Gambas code.

Package: gambas3-gb-dbus
Description-md5: f8ea1f5a2b770839d30893a927b2ca4d
Description-en: Gambas bindings for DBUS
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes Gambas bindings for the DBUS system.

Package: gambas3-gb-dbus-trayicon
Description-md5: ae953954eecd9f8a819935239203842d
Description-en: System tray icon management for Gambas
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This component allows one to display a tray icon inside the system tray.

Package: gambas3-gb-desktop
Description-md5: 36eefd8c37426686698c41658a93e925
Description-en: Gambas Portland project compatibility component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides access to the xdg utilities.

Package: gambas3-gb-desktop-x11
Description-md5: 0ebf8450eab091660e26bedb51eb3181
Description-en: Gambas Portland project compatibility component for X11
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides access to the xdg X11 utilities.

Package: gambas3-gb-eval-highlight
Description-md5: 4cd48f53f458398a3bcffa3c978ab355
Description-en: Gambas syntax highlighting component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes the component for syntax highlighting.

Package: gambas3-gb-form
Description-md5: 57b9a07640d6782a565c9d3be818055e
Description-en: Gambas native form component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a native gambas component to serve as base for graphic components.

Package: gambas3-gb-form-dialog
Description-md5: 03189fb497dafcc2e74c6cdfe53abf32
Description-en: Gambas native dialog form component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a native gambas component that implements the Workspace dialog
 control.

Package: gambas3-gb-form-editor
Description-md5: ebb795776c69146ee445d18d3f7c7c3d
Description-en: Gambas native editor form component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This component provides the TextEditor control, which is a text editor
 with syntax highlighting support.

Package: gambas3-gb-form-mdi
Description-md5: 98fd67e1838957962cf016cb20f4c97c
Description-en: Gambas native mdi form component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a native gambas component that implements the Workspace mdi control.

Package: gambas3-gb-form-print
Description-md5: b5a9230acf7bd9dd149bf71f85fed995
Description-en: Gambas print form component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a gambas component that provides a generic print preview and a print
 dialog.

Package: gambas3-gb-form-stock
Description-md5: 6115495b4de23e17e7bf732f843865eb
Description-en: Gambas form stock icons
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes support for stock form icons.

Package: gambas3-gb-form-terminal
Description-md5: 82909cf5c0258516978f8e2ff9666051
Description-en: Gambas terminal form component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 A GUI component that provides a VT100-type terminal emulator widget.

Package: gambas3-gb-gmp
Description-md5: 5e500714bca83471b3040caf1ef19883
Description-en: Gambas GMP component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides an interface to the GNU Multiple Precision Arithmetic
 Library.

Package: gambas3-gb-gsl
Description-md5: 4165c04bfaa47087cc608952bda49d05
Description-en: Gambas GNU Scientific Library component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package uses the GNU Scientific Library.

Package: gambas3-gb-gtk
Description-md5: ce65756885c1f20ed7831d5cff7e17bf
Description-en: Gambas GTK+ component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 These are the GTK+ components for Gambas.

Package: gambas3-gb-gtk-opengl
Description-md5: 4566f8fdf56c1d7c8f3fd13d46cf442c
Description-en: Gambas GTK+ OpenGL component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to use the gb.opengl component in GTK+ applications.

Package: gambas3-gb-gtk3
Description-md5: 3d9f18b7d5ce5aef3d48f1d761c89bfe
Description-en: Gambas GTK+3 component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 These are the GTK+3 components for Gambas.

Package: gambas3-gb-gui
Description-md5: 15a00b0ded1ce4df5b3e222ca8d4dc36
Description-en: Gambas graphical toolkit selector
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package just loads gb.gtk, gb.gtk3 or gb.qt5.
 It will make your application more desktop-friendly!
 If the GB_GUI environment variable is set, then gb.gui will load the
 component specified by its contents.

Package: gambas3-gb-gui-opengl
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: gambas3-gb-gui-qt
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: gambas3-gb-gui-qt-webkit
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: gambas3-gb-gui-trayicon
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: gambas3-gb-httpd
Description-md5: fc9abe91540650b65fe9befac55e7049
Description-en: Gambas HTTP server
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides an embedded HTTP server for the interpreter.

Package: gambas3-gb-image
Description-md5: 0350d9bb584463a20e3e38e76108adad
Description-en: Gambas image effects
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a new component for applying many various effects on images.
 The effects source code was ported from the KDE libkdefx library, which
 includes itself some ImageMagick algorithms, and from the KolourPaint
 program.

Package: gambas3-gb-image-effect
Description-md5: ead666dffb7f9ce7f7b49cecf27e73db
Description-en: Gambas image effects: effects
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 Subcomponent for the image component: image effects.

Package: gambas3-gb-image-imlib
Description-md5: 4301839cbcb334c79daabbc29bbdfd84
Description-en: Gambas image effects: IMLIB bindings
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 Subcomponent for the image component: bings to IMLIB.

Package: gambas3-gb-image-io
Description-md5: b2787684e119c7eb11cf40f362968cfa
Description-en: Gambas image effects: I/O
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 Subcomponent for the image component: image I/O.

Package: gambas3-gb-inotify
Description-md5: 68663167333b304e0df49080ec3e39e2
Description-en: Gambas filesystem events monitoring component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to monitor filesystem events.

Package: gambas3-gb-jit
Description-md5: 336f89d7ce67813aa7b33df53f9799cf
Description-en: Gambas Just-In-Time compiler component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides a Just-In-Time compiler for Gambas.

Package: gambas3-gb-libxml
Description-md5: 882e38eb1c1bc5f158b0a910e510f10d
Description-en: Gambas libxml component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package brings the power of the libxml libraries to Gambas.

Package: gambas3-gb-logging
Description-md5: 8edb32cd5ebac876c6c1de3e42006f47
Description-en: Gambas logging system component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package brings a flexible logging system for Gambas applications.

Package: gambas3-gb-map
Description-md5: 99ab81fc668fcdd64c4c6e881a7425c4
Description-en: Gambas online map viewer
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allowing to display maps from many different sources
 (Google Maps, OpenStreetMap).

Package: gambas3-gb-markdown
Description-md5: 14b7fcc798d6905d7cba414f99f14f82
Description-en: Gambas markdown convert component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to convert gambas markdown syntax to HTML.

Package: gambas3-gb-media
Description-md5: 3abd51afc84d7b5a2b9f34a64c569373
Description-en: Gambas media component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package is a simplified interface to the GStreamer library.

Package: gambas3-gb-media-form
Description-md5: aa68c4f761e47a20ab6f8b487dee37e2
Description-en: Gambas media player controls
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This component provides media player control elements.

Package: gambas3-gb-memcached
Description-md5: b841fb492b3c9c138bb0a82becfaf035
Description-en: Gambas memcached client
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides a memcached client.

Package: gambas3-gb-mime
Description-md5: ea532f6a9de06a7a742bb81ff18c090d
Description-en: Gambas MIME message management
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package parses a string and converts it to a MIME message
 and the reverse.

Package: gambas3-gb-mysql
Description-md5: 3aebe36f91e177fb941b686bd430df07
Description-en: Gambas MySQL component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package creates MySQL statements and executes them.

Package: gambas3-gb-ncurses
Description-md5: 0e49774913039b09262e90ba3debff7a
Description-en: Gambas NCurses component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package uses the NCurses library.

Package: gambas3-gb-net
Description-md5: a3654c86d0b052703c593bebd359866a
Description-en: Gambas networking component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to use TCP/IP and UDP sockets, and to access
 any serial ports.

Package: gambas3-gb-net-curl
Description-md5: 0e731bf3398b471acaa7161453e020dc
Description-en: Gambas advanced networking component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows your programs to easily become FTP or HTTP clients.

Package: gambas3-gb-net-pop3
Description-md5: 56f8de152f23e27484aea9027d9f1b3b
Description-en: Gambas POP3 client implementation
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package retrieves mails using the POP3 protocol.

Package: gambas3-gb-net-smtp
Description-md5: 92208c5fc351d5dbc8fbdc9c498d8901
Description-en: Gambas smtp protocol component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows sending emails using smtp protocol.
 It contains one control, SmtpClient, that is used for defining the SMTP
 server, the SMTP port, the recipients, the sender, the subject, the mail
 contents, some attachments, and for finally sending the mail.

Package: gambas3-gb-openal
Description-md5: c8e82a17464cf109e6b62085a3dc1d40
Description-en: Gambas OpenAL component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes an interface to the OpenAL 3D Audio library.

Package: gambas3-gb-opengl
Description-md5: 7ca05a7b4ec31fdac1c8f258f1687f76
Description-en: Gambas OpenGL component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you 3D programming with OpenGL in gambas.

Package: gambas3-gb-opengl-glsl
Description-md5: 77055f5352b0f53131864567aab85667
Description-en: Gambas OpenGL component: GL Shading Language subcomponent
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package accesses the OPenGL Shading Language.

Package: gambas3-gb-opengl-glu
Description-md5: f52de93851be88109eb6d46ca9ab67b9
Description-en: Gambas OpenGL utility
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to use the Mesa libraries to do 3D operations.

Package: gambas3-gb-opengl-sge
Description-md5: 5dec7e7c1fe18cdc3424fb2518c32117
Description-en: Gambas SDL Game Engine
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides a simple OpenGL game engine based on the MD2 format.

Package: gambas3-gb-openssl
Description-md5: 9ffbc303a685771b001f517437d6f1f5
Description-en: Gambas OpenSSL component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package provides the cryptographic functions available in OpenSSL.

Package: gambas3-gb-option
Description-md5: 5dbbf9a2a9962b63fe5575f5e53d6a89
Description-en: Gambas option component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes the component for option parsing.

Package: gambas3-gb-pcre
Description-md5: 70f30e0b51c00cd9c6b911912349f1c0
Description-en: Gambas regexp component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This experimental component allows you to use Perl compatible regular
 expressions within gambas code.

Package: gambas3-gb-pdf
Description-md5: 64f15a4330ca9e6f52ddfeeb9a49126c
Description-en: Gambas pdf component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 PDF renderer component based on Poppler library.

Package: gambas3-gb-qt5
Description-md5: cf84ba399c7eeae7b46c1131084b1751
Description-en: Gambas Qt5 GUI component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes the Gambas QT5 GUI component.

Package: gambas3-gb-qt5-ext
Description-md5: 7c06048d5e2e598efb688a5770f4da22
Description-en: Gambas extended Qt GUI component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 Extension widgets for Qt5: currently only a rich text editor widget.

Package: gambas3-gb-qt5-opengl
Description-md5: 78cb15004c74731daea398eef62d0b1a
Description-en: Gambas OpenGL component with QT5 toolkit
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you integrate OpenGL in qt5 applications.

Package: gambas3-gb-qt5-webkit
Description-md5: 74b57f638fcee9c42f9a1d2eec0aa29a
Description-en: Gambas WebKit component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to use the WebKit browser component from Qt5.

Package: gambas3-gb-report
Description-md5: 7cdbd7c55ea7a236753fb00c47920c79
Description-en: Gambas report component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package gives the tools and methods to create reports using Gambas.

Package: gambas3-gb-report2
Description-md5: e69cd59e636186c19b95c8fa0607d217
Description-en: Gambas report2 component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package gives the tools and methods to create reports using Gambas
 report 2 package.

Package: gambas3-gb-scanner
Description-md5: 103a3f11766f5982e5d9cdc21b9d6339
Description-en: Gambas scanner component
 The Gambas scanner management library is a frontend of the ScanImage
 program provided by the sane toolkit. It allows one to easily manage scanner
 devices in Gambas code.
 .
 This package allows you to use and manage scanner devices in Gambas code.

Package: gambas3-gb-sdl
Description-md5: c0b60a20b6bf40741bf7b7797defd1f5
Description-en: Gambas SDL component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package use the ttf fonts parts of the SDL library.
 If opengl drivers are installed it uses it to accelerate 2D and 3D drawing.

Package: gambas3-gb-sdl-sound
Description-md5: ad8bab1eb564f49720fe5a6e1d551553
Description-en: Gambas SDL sound component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package use the sound image parts of the SDL library.
 It allows you to simultaneously play many sounds and a music stored in a file.

Package: gambas3-gb-sdl2
Description-md5: 7a9c9ed626231ef2a29da57f19e1463c
Description-en: Gambas SDL2 component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package use the ttf fonts parts of the SDL2 library.
 If opengl drivers are installed it uses it to accelerate 2D and 3D drawing.

Package: gambas3-gb-sdl2-audio
Description-md5: 08f83f43b0d536a1e82bbab4a726e2f8
Description-en: Gambas SDL2 audio component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package use the audio image parts of the SDL2 library.
 It allows you to simultaneously play many sounds and a music stored in a file.

Package: gambas3-gb-settings
Description-md5: 306322693bef7e6b543771154faf34f1
Description-en: Gambas utilities class
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a component made in Gambas providing several utilities.

Package: gambas3-gb-signal
Description-md5: eab27e5e094ef8fec507d329626df415
Description-en: Gambas OS signal library
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes the component for access to OS signals.

Package: gambas3-gb-term
Description-md5: 51cfc2cc1e1ba49a4ee142b5590ab860
Description-en: Terminal manager for Gambas
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This component allows one to manage terminals, with an API very close to
 the one provided by the operating system.

Package: gambas3-gb-term-form
Description-md5: 04047bd26dd3fe2fad1153a19be50792
Description-en: Gambas GUI terminal form component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 A component for making the GUI of terminal applications.

Package: gambas3-gb-util
Description-md5: 1bb722c780ea2eb30a370f9c52321d92
Description-en: This component provides many useful utility methods or classes
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to use many useful utilities methods or classes, such
 as: CSV file read and decode, date and time functions, file utility functions,
 shell methods, string utility functions.

Package: gambas3-gb-util-web
Description-md5: c7ed7c4ebf33bc1bebbd73519a4b2c2f
Description-en: This component provides many utilities useful for web applications
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows you to use many utilities useful for web applications, such
 as: decode and encode the JSON format and dealing with URL strings.

Package: gambas3-gb-v4l
Description-md5: 410a97a5c8471b7eafd5b9186794a272
Description-en: Gambas video for Linux component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a component to use v4l with gambas.

Package: gambas3-gb-vb
Description-md5: 86ba2c1dddc3babf966a3079afd5390b
Description-en: Gambas Visual Basic(tm) compatibility component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a component to give compatibility with some MS Visual Basic
 functions.

Package: gambas3-gb-web
Description-md5: 33d00ec5a9cf1b88ae068c5385cb4688
Description-en: Gambas CGI for web applications
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This is a component for making CGI web applications using gambas,
 with an ASP-like interface.

Package: gambas3-gb-web-feed
Description-md5: 876a7132a10279d029ffe20dcc7366be
Description-en: Gambas web feed parser and generator
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This component allows one to parse and generate a web feed.

Package: gambas3-gb-web-form
Description-md5: 44af7617764f4c74df938d174d859d48
Description-en: Gambas web application GUI component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This component allows one to make the GUI of a web application with the
 IDE form editor.

Package: gambas3-gb-xml
Description-md5: cedeedc9dd02ddc6e5cffe2d32deb852
Description-en: Gambas XML component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package brings the power of the xml libraries to Gambas.

Package: gambas3-gb-xml-html
Description-md5: a7eadaf2dc201a87673e16b6eaa1c18d
Description-en: Gambas HTML component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows using HTML generator.

Package: gambas3-gb-xml-rpc
Description-md5: e2cedab517ba7767a839955f20846623
Description-en: Gambas RPC component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package allows using RPC from a Gambas application.

Package: gambas3-gb-xml-xslt
Description-md5: 51b5ec811a19abb92acaeda56eda2983
Description-en: Gambas XSLT component
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 This package brings the power of the libxslt libraries to Gambas.

Package: gambas3-ide
Description-md5: 473e5a716aa1548d2eabd6260aa08130
Description-en: Visual development environment for the Gambas programming language
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes the Gambas Development Environment.

Package: gambas3-runtime
Description-md5: e3f7113f62122b80c5acf2c6b73ec718
Description-en: Gambas runtime interpreter
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes the Gambas interpreter needed to run Gambas applications.

Package: gambas3-script
Description-md5: 2707920b7db74b56b53dcd256d975617
Description-en: Gambas scripter
 Gambas is a free development environment based on a Basic interpreter
 with object extensions, like Visual Basic(tm) (but it is NOT a clone!).
 .
 This package includes the needed files to use Gambas as a scripting language.

Package: gambas3-templates
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: gambc
Description-md5: 4d6f2c7c06d97ccfc0591e7c7b1bdc75
Description-en: Gambit Scheme interpreter and compiler
 The gambc package includes a Scheme interpreter and a Scheme compiler which
 can be used to build standalone executables. The thread system is very
 efficient and can support millions of concurrent processes.
 .
 The Gambit system conforms to the R4RS, R5RS and IEEE Scheme standards. The
 full numeric tower is implemented, including: infinite precision integers
 (bignums), rationals, inexact reals (floating point numbers), and complex
 numbers.  Gambit supports a number of extensions to the standards.

Package: gambc-doc
Description-md5: 171aca45cef1d77dbe47c26ad3a199a7
Description-en: documentation for the Gambit interpreter and compiler
 The package includes info, .pdf, and text versions of the Gambit manual,
 a 200+ page document containing a complete description of the interpreter
 and compiler suite.  Also included are numerous examples, benchmark code,
 and an implementation of syntax-case for Gambit.

Package: gameconqueror
Description-md5: 12ade4a31d438dc39cf310762815ea05
Description-en: locate and modify a variable in a running process (GUI)
 GameConqueror is a GUI based on Python and GTK+ using scanmem to locate
 the address of a variable in a running process. This can be used for the
 analysis or modification of a hostile process on a compromised machine, for
 reverse engineering, or as a "pokefinder" to cheat at video games.
 .
 GameConqueror provides a CheatEngine-alike user-friendly interface with more
 features than scanmem.

Package: games-adventure
Description-md5: acd31e11d2d1d2327558472ff6ee4d9d
Description-en: Debian's adventure games
 This metapackage will install adventure games, interpreter and engines.

Package: games-all
Description-md5: 65d63f2dc4690c8f0a96f1e32fd4b14d
Description-en: Default selection of tasks for Debian Games
 This package is part of the Debian Games Pure Blend and installs all
 tasks for a default installation of this blend.

Package: games-arcade
Description-md5: d43dfa7d93ba7a7cb7f7d6665e04a2a0
Description-en: Debian's arcade games
 This metapackage will install arcade games.

Package: games-board
Description-md5: 8feb09342be2819a1e4ddea8391da2bb
Description-en: Debian's board games
 This metapackage will install board games.

Package: games-c++-dev
Description-md5: dca4c326b86c503f73934e4a585f97b0
Description-en: development of games in C/C++/D
 This metapackage will install a selection of suitable tools and packages to
 develop games in the C/C++ and D programming languages. Example games written
 in C/C++ and D are suggested.

Package: games-card
Description-md5: 7423a85b865ed02390b4034e17b857a0
Description-en: Debian's card games
 This metapackage will install card games.

Package: games-chess
Description-md5: 9de0bde832e0a948c57350ace1845a8d
Description-en: Debian's chess games
 This metapackage will install chess games and helper tools.

Package: games-console
Description-md5: 1f81481a28a50b7d38297c4420db7e4f
Description-en: Debian's console games
 This metapackage will install text console games designed to be used via a
 text-only computer interface, such as a text terminal.

Package: games-content-dev
Description-md5: 498c542fa9177668867ab571ed552306
Description-en: development of game content
 This metapackage will install a selection of suitable tools and packages for
 developing and editing game content like graphics, audio and video material. It
 enables artists to draw complex bitmap and vector images, create animated
 sprites and pixel art or render 3D images. It can also transform your computer
 into a full-featured audio workstation.

Package: games-education
Description-md5: b41e7b6683c0d5728e0c5a9546413135
Description-en: Debian's educational games
 This metapackage will install educational games for young and older children.

Package: games-emulator
Description-md5: b462f8a53dbd719ac1e25de3cc0042e6
Description-en: Debian's emulators for games
 This metapackage will install emulators.

Package: games-finest
Description-md5: ea9fa95d502bca330fc1deb7d63b7a9f
Description-en: Debian's finest games
 This metapackage will install a selection of outstanding Debian games
 representing almost all genres and styles. They were chosen based on multiple
 factors namely popularity (popcon value), unique gameplay, look and feel,
 aesthetics, fun factor and a good portion of subjectivity.
 .
 Please be prepared that the installation of all games might take a long time
 depending on your internet connection and hardware. Some games also require a
 hardware accelerated video card.

Package: games-finest-light
Description-md5: db3d33dc103fd266ee94dd95f7c27ee4
Description-en: Debian's finest games (light version)
 This metapackage will install a selection of outstanding Debian games that are
 suitable for low-end computers without hardware accelerated video cards. This
 package is also a subset of »games-finest«.
 .
 It represents almost all genres and styles. The games were chosen based on
 multiple factors namely popularity (popcon value), unique gameplay, look and
 feel, aesthetics, fun factor and a good portion of subjectivity.
 .
 Please be prepared that the installation of all games might take a while but
 it should take considerably less time than installing »games-finest«.

Package: games-fps
Description-md5: c7e95dd985e6901c9aa2397c027c3aa0
Description-en: Debian's first-person shooter games
 This metapackage will install fps games or closely related ones, engines and
 tools which are useful to create or modify game data.

Package: games-java-dev
Description-md5: 9b4385f7fea015a82e4a2ce0082ee75c
Description-en: development of games in Java
 This metapackage will install a selection of suitable tools and packages to
 develop games in the Java programming language. Example games written in Java
 are suggested.

Package: games-minesweeper
Description-md5: 6977540484a771a40b402d1f7be8ed80
Description-en: Debian's minesweeper games
 This metapackage will install minesweeper games.

Package: games-mud
Description-md5: a84a8e1f5bddd1dbceeb3b0ce61ab79a
Description-en: Debian's multi-user-dungeon games
 This metapackage will install MUD (Multi-User-Dungeon) games.

Package: games-perl-dev
Description-md5: 965bbdda44c48ec50358b839ed40ada2
Description-en: development of games in Perl
 This metapackage will install a selection of suitable tools and packages to
 develop games in the Perl programming language. Example games written in Perl
 are suggested.

Package: games-platform
Description-md5: b643e5f76de3277f8c146df62a166f46
Description-en: Debian's platform games
 This metapackage will install platform games.

Package: games-programming
Description-md5: dbdea0b7ea59e858df1fb6b8f5d7bfbf
Description-en: Debian's programming games
 This metapackage will install programming games aiming to teach programming
 through entertainment.

Package: games-puzzle
Description-md5: 8666de31f0a0074cbf2252e4e51b270b
Description-en: Debian's puzzle games
 This metapackage will install puzzle and logic games.

Package: games-python2-dev
Description-md5: 4c9064f7f7240944b13bed70b0ea1121
Description-en: development of games in Python 2
 This metapackage will install a selection of suitable tools and packages to
 develop games in the Python 2 programming language. Example games written in
 Python 2 are suggested.

Package: games-python3-dev
Description-md5: 6974c2facfee0989abd3f2d0d76e8f1b
Description-en: development of games in Python 3
 This metapackage will install a selection of suitable tools and packages to
 develop games in the Python 3 programming language. Example games written in
 Python 3 are suggested.

Package: games-racing
Description-md5: 26fbc50f9d9382c7ccb3808a1e450ea1
Description-en: Debian's racing games
 This metapackage will install racing games.

Package: games-rogue
Description-md5: f7f74006c49a7db1a21163fe9a171a1e
Description-en: Debian's roguelike games
 This metapackage will install dungeon crawling games in the spirit of Rogue.

Package: games-rpg
Description-md5: a038ba7f31a1a474d8f8e019ef9acfbe
Description-en: Debian's roleplaying games
 This metapackage will install rpg games.

Package: games-shootemup
Description-md5: 02e8a0ffd825f69b29c2c668a3c466f4
Description-en: Debian's shoot 'em up games
 This metapackage will install mainly shoot 'em up games also known as shmup
 or STG and some closely related shooter games.
 Shmups are a subgenre of the shooter genre of video games. They often involve a
 spacecraft or aircraft shooting large numbers of enemies while dodging their
 attacks.

Package: games-simulation
Description-md5: b081a326a972f47973eb2ec243f463ac
Description-en: Debian's simulation games
 This metapackage will install simulation games.

Package: games-sport
Description-md5: f1996472b534dd1ba625e0fff696dd00
Description-en: Debian's sport games
 This metapackage will install sport games.

Package: games-strategy
Description-md5: c0924d80fb121b09f00ab0553dee6dc6
Description-en: Debian's strategy games
 This metapackage will install strategy games.

Package: games-tasks
Description-md5: 50a50bdfc4b8923af4b9e33e2c36e139
Description-en: Debian Games tasks for tasksel
 This package provides Debian Games tasks in tasksel.
 .
 These tasks are described in detail at
 http://blends.debian.org/games/tasks/

Package: games-tetris
Description-md5: 3be7b61968b7a3e20b97d2ce2ef3aef2
Description-en: Debian's tetris-like games
 This metapackage will install tetris-like games.

Package: games-thumbnails
Description-md5: 5376da52589877e240654ed4aee14388
Description-en: thumbnails of games in Debian
 This package contains thumbnails of different games in Debian. The images
 intend to show something visually representative from the game, and are
 derived from real screenshots. They are stored in .png and .jpg graphic
 formats with a 320x240 pixels resolution.

Package: games-toys
Description-md5: dbee8d0f16703f7234fd194740520fcb
Description-en: Debian's toy games
 This metapackage will install a collection of desktop toys and console
 programs that often modify the appearance of your computer and text in a
 humorous way.

Package: games-typing
Description-md5: 0530a2bfeab01b5220afac7f716c6995
Description-en: Debian's typing games
 This metapackage will install typing games.

Package: gamgi
Description-md5: 67835a000d04a5124b61b19079f1fa37
Description-en: General Atomistic Modelling Graphic Interface (GAMGI)
 The General Atomistic Modelling Graphic Interface (GAMGI) provides
 a graphical interface to build, view and analyze atomic structures.
 The program is aimed at the scientific community and provides a
 graphical interface to study atomic structures and to prepare images
 for presentations, and for teaching the atomic structure of matter.

Package: gamgi-data
Description-md5: 06b995e782cca2e4c4fe9945bb20e12a
Description-en: General Atomistic Modelling Graphic Interface (data)
 The General Atomistic Modelling Graphic Interface (GAMGI) provides
 a graphical interface to build, view and analyze atomic structures.
 .
 This package contains various data, example and script files.

Package: gamgi-doc
Description-md5: 7306539af0a6e662a1d46a7d5d8dcde6
Description-en: General Atomistic Modelling Graphic Interface (documentation)
 The General Atomistic Modelling Graphic Interface (GAMGI) provides
 a graphical interface to build, view and analyze atomic structures.
 .
 This package contains the HTML documentation.

Package: gamin
Description-md5: c04501e5781daec3e4a91c67a2b0e018
Description-en: File and directory monitoring system
 Gamin is a file and directory monitoring system which allows
 applications to detect when a file or a directory has been added,
 removed or modified by somebody else.
 .
 It can be used by desktops like KDE, GNOME or Xfce to have their
 virtual file systems keep track of changes to files and directories.
 For example, if a file manager displays a directory to the user, and
 the user removes one of the files via the command-line, gamin will
 notify the file manager of this change so that it can update the
 directory display.
 .
 Gamin has been designed as a drop-in replacement for FAM with security
 and maintainability in mind and can use Linux's advanced inotify
 service when available.

Package: gamine
Description-md5: 03d8be163375b08e650a6b987a3dc08a
Description-en: Interactive game for young children
 Gamine is a game designed for 2 years old children who are not able to use
 a keyboard.
 .
 The child uses the mouse to draw coloured dots and lines on the screen.

Package: gamine-data
Description-md5: 4546cef32c0cfa4e4296bab63a71ef0d
Description-en: data files for gamine game
 gamine is a game for young children.
 .
 This package contains sound and level data for the game.
 .
 You need the gamine package to use these data files.

Package: gaminggear-utils
Description-md5: 270ef07888630e04d9be1bcc0c5a4570
Description-en: Utilities from libgaminggear
 Includes the following binaries:
 * gaminggearfxinfo
 * gaminggearfxcontrol
 .
 This package contains the runtime binaries that allows one to get and control
 some of the visual effects that gaming devices might provide, such as LEDS.

Package: gammaray
Description-md5: a94b1d7900b1873c06348fe2f3b56605
Description-en: Tool for examining the internals of Qt application
 GammaRay is a tool for examining the internals of a Qt application and
 to some extent also manipulate it. GammaRay uses injection methods to
 hook into an application at runtime and provide access to a wide variety
 of interesting information. It provides easy ways of navigating through
 the complex internal structures you find in some Qt frameworks, such as
 QGraphicsView, model/view, QTextDocument, state machines and more.

Package: gammaray-dev
Description-md5: 77aaccdf9352880e954c0868f7ed3540
Description-en: GammaRay plugin development files
 GammaRay is a tool for examining the internals of a Qt application and
 to some extent also manipulate it. GammaRay uses injection methods to
 hook into an application at runtime and provide access to a wide variety
 of interesting information. It provides easy ways of navigating through
 the complex internal structures you find in some Qt frameworks, such as
 QGraphicsView, model/view, QTextDocument, state machines and more.
 .
 This package contains header files used for building 3rd party GammaRay
 plugins.

Package: gammaray-plugin-bluetooth
Description-md5: dcebbb439ceee57d836617715cc2549d
Description-en: QtBluetooth type support for GammaRay
 This plugin adds support for QtBluetooth types into GammaRay.

Package: gammaray-plugin-kjobtracker
Description-md5: 1349fecadcf1b1546cae5fcc2742d3bc
Description-en: KJob tracker plugin for GammaRay
 This plugin can be used to monitor KJob instances within a KDE-based
 application.

Package: gammaray-plugin-positioning
Description-md5: 3a9c8729834c1babe82bddeab28d9386
Description-en: Qt5Positioning type support for GammaRay
 This plugin adds support for Qt5Positioning types into GammaRay.

Package: gammaray-plugin-quickinspector
Description-md5: 144443c79d767d7df4585a3495d1dd9f
Description-en: GammaRay plugin for inspecting QtQuick2 applications
 This plugin provides the user with the following inspection and debugging
 facilities:
 .
  * Tree view of all QQuickItems in the scene, marking invisible items
    and items having focus.
  * Object inspector allowing to see all the properties, inspect
    signal-slot connections, and directly invoke slots and Q_INVOKABLE
    methods.
  * Live-preview of the QtQuick scene inside the GammaRay window.
  * Qt Quick scene graph inspector.

Package: gammaray-plugin-waylandinspector
Description-md5: e1d11c246e302caacc4b4453ca7819c9
Description-en: Wayland compositor inspector plugin for GammaRay
 The Wayland compositor inspector allows one to browse QWaylandClient
 instances and their associated resources, as well as observe Wayland
 events.

Package: gammu
Description-md5: 12551c0ae5fdb3bc3de3855bc96d1015
Description-en: mobile phone management utility
 Gammu is command line utility and library to work with mobile phones
 from many vendors. Support for different models differs, but basic
 functions should work with majority of them. Program can work with
 contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem,
 integrated radio, camera, etc. It also supports daemon mode to send and
 receive SMSes.
 .
 Currently supported phones include:
 .
  * Many Nokia models.
  * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735).
  * AT capable phones (Siemens, Nokia, Alcatel, IPAQ).
  * OBEX and IrMC capable phones (Sony-Ericsson, Motorola).
  * Symbian phones through gnapplet.
 .
 This package contains Gammu binary as well as some examples.

Package: gammu-doc
Description-md5: 134d10d3d22ac60cd01e546ffa9518dd
Description-en: Gammu Manual
 This provides gammu module, that can work with any phone Gammu
 supports - many Nokias, Siemens, Alcatel, ...
 .
 This package contains The Gammu Manual, comprehensive documentation covering
 Gammu command line utilities, Gammu SMS Daemon, Python bindings for Gammu and
 libGammu library API.

Package: gammu-smsd
Description-md5: e36e4d0f7657805b68533f3d10f281f5
Description-en: SMS message daemon
 Gammu is command line utility and library to work with mobile phones
 from many vendors. Support for different models differs, but basic
 functions should work with majority of them. Program can work with
 contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem,
 integrated radio, camera, etc. It also supports daemon mode to send and
 receive SMSes.
 .
 Currently supported phones include:
 .
  * Many Nokia models.
  * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735).
  * AT capable phones (Siemens, Nokia, Alcatel, IPAQ).
  * OBEX and IrMC capable phones (Sony-Ericsson, Motorola).
  * Symbian phones through gnapplet.
 .
 This package contains Gammu SMS Daemon and tool to inject messages
 into the queue.

Package: gandi-cli
Description-md5: 5bd5bf6ce9b60ad01decbc1e446156ab
Description-en: command-line interface for Gandi service
 The ‘gandi’ command-line interface provides a tool for Gandi
 customers to manage web services:
 .
  * Register a domain name.
  * Create a virtual machine.
  * Deploy a web application with Simple Hosting.
  * Create a SSL Certificate.
  * Add a Web Application vhost with SSL.
  * Create a Private VLAN.
 .
 Gandi is a provider of domain name registration, web hosting, and
 related services.

Package: ganeti-instance-debootstrap
Description-md5: 4151b928fa185ae4b3808e5a7dc7119e
Description-en: debootstrap-based instance OS definition for ganeti
 Ganeti is a virtual server cluster management software tool built on
 top of the Xen virtual machine monitor and other Open Source software.
 After setting it up it will provide you with an automated environment
 to manage highly available virtual machine instances.
 .
 This package provides an OS definition for ganeti that will allow
 installation of Debian (and possibly Unbuntu) instances via
 debootstrap.

Package: ganeti-os-noop
Description-md5: c5e2e48358b84dbf9f77b8897d6128c0
Description-en: Dummy no-op OS provider for Ganeti
 Ganeti is a virtual server cluster management software tool built on top
 of the Xen and KVM virtualization technologies and other Free Software.
 After setting it up it will provide you with an automated environment to
 manage highly available virtual machine instances.
 .
 This package provides a no-op OS for Ganeti. If virtual machines use this
 no-op OS, then a "Reinstall" action in Ganeti will not have any effect,
 virtual machines will not be re-installed (i.e., wiped) if a reinstall
 action is requested via gnt-instance.
 .
 Setting the OS of an instance to "no-op" is ideal for virtual machines
 that have been installed from ISO CD/DVD image.

Package: ganglia-modules-linux
Description-md5: f6e5fc69cb0377b8384fe9b15ba28d9b
Description-en: Ganglia extra modules for Linux (IO, filesystems, multicpu)
 Ganglia's core modules provide essential metrics like RAM and CPU load
 and most of them are implemented for any platform where Ganglia runs.
 The ganglia-modules-linux project is not constrained by the requirements
 to support all the Ganglia platforms: these modules are implemented
 exclusively for Linux users.  The implementations are high-performance
 C code.  The exact modules currently included are IO statistics
 (similar to the statistics from iostat), individual filesystem capacity
 statistics and per-core CPU metrics.

Package: ganglia-monitor
Description-md5: e6167c4774ceeb94f240d06dbcc5d219
Description-en: cluster monitoring toolkit - node daemon
 Ganglia is a scalable, real-time cluster monitoring environment
 that collects cluster statistics in an open and well-defined XML format.
 .
 This package contains the monitor core program.

Package: ganglia-monitor-python
Description-md5: 402d0c4be48aee75899ac9782f6b5283
Description-en: cluster monitoring toolkit - python modules
 Ganglia is a scalable, real-time cluster monitoring environment
 that collects cluster statistics in an open and well-defined XML format.
 .
 This package contains the monitor core python plugins.

Package: ganglia-webfrontend
Description-md5: f719aaf51aa7e3a1fb1f45be87114c1c
Description-en: cluster monitoring toolkit - web front-end
 Ganglia is a scalable, real-time cluster monitoring environment
 that collects cluster statistics in an open and well-defined XML
 format.
 .
 This package contains the PHP-based web front-end, which displays
 information gathered by 'gmetad'.

Package: gant
Description-md5: 0c08ee805ee1d36ed84cfcc98afa6a8d
Description-en: Groovy build framework based on scripting Ant tasks
 Gant is a build tool for scripting Ant tasks using Groovy instead of
 XML to specify the build logic. A Gant build specification is a
 Groovy script and so can bring all the power of Groovy to bear
 directly, something not possible with Ant scripts. Whilst it might be
 seen as a competitor to Ant, Gant uses Ant tasks for many of the
 actions, so Gant is really an alternative way of doing builds using
 Ant, but using a programming language rather than XML to specify the
 build rules.

Package: ganyremote
Description-md5: cb7bb8b68527d536d19659cede32b9c6
Description-en: GTK+ frontend for anyRemote
 gAnyRemote package is GTK+ GUI frontend for anyRemote
 (http://anyremote.sourceforge.net/). The overall goal of this project is to
 provide remote control service on Linux through Bluetooth, InfraRed, Wi-Fi
 or TCP/IP connection.

Package: gap
Description-md5: 7710bf392357fe2388d0b4d8cdf04d7f
Description-en: computer algebra system for Groups, Algorithms and Programming
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This is a dummy package that depends on the standard GAP components.

Package: gap-alnuth
Description-md5: 5c1f72602c185790857271a8e5273945
Description-en: GAP Alnuth - Algebraic number theory and an interface to PARI/GP
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 Alnuth is a package for algebraic number theory and a GAP interface to PARI/GP
 by Bjoern Assmann, Andreas Distler, and Bettina Eick.

Package: gap-atlasrep
Description-md5: 225ddd6b3bd1c1301f495c49c0994d4f
Description-en: GAP AtlasRep - A GAP Interface to the Atlas of Group Representations
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 Atlasrep is a GAP Interface to the Atlas of Group Representations by
 Thomas Breuer and Simon Nickerson.

Package: gap-autodoc
Description-md5: 7a2d24b05aa3ffcb9daef14108d93fb2
Description-en: GAP AutoDoc - Generate documentation from GAP source code
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package is used to generate documentation directly from GAP source code.

Package: gap-autpgrp
Description-md5: 2125c850afe098b0fa4ce0f37c303013
Description-en: GAP AutPGrp - Computing the Automorphism Group of a p-Group
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 AutPGrp is a GAP package for computing automorphism groups of p-groups
 by Bettina Eick and Eamonn O'Brien.

Package: gap-character-tables
Description-md5: 5cb92789c42570bd863d72f380dec0bd
Description-en: GAP Library of character tables
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the Character Table Library by Thomas Breuer.

Package: gap-core
Description-md5: e04fbbf7954e09ea6fad5540f2ec8378
Description-en: GAP computer algebra system, core components
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the core GAP system.

Package: gap-design
Description-md5: 5ec0767093f2a16868117e584cbf291d
Description-en: block designs for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This package provides for GAP routines for constructing, classifying,
 partitioning and studying block designs.

Package: gap-dev
Description-md5: 4f3ab591e60975613e71e33fd0324211
Description-en: GAP computer algebra system, compiler and development files
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the GAP compiler and the headers files and
 objects files necessary to build GAP packages.
 .
 The GAP compiler (GAC) creates C code from GAP code and then calls
 the system's C compiler to produce machine code from it. This can
 result in a speedup.

Package: gap-doc
Description-md5: 0cc26b835acd1acca4116a9587fd6afa
Description-en: GAP computer algebra system, documentation
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the documentation in HTML and PDF formats.

Package: gap-factint
Description-md5: a5f8b79d61f1eaab0de81869784aa4b0
Description-en: advanced methods for factoring integers for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This package brings to GAP routines for factoring integers, in particular:
  - Pollard's p-1
  - Williams' p+1
  - Elliptic Curves Method (ECM)
  - Continued Fraction Algorithm (CFRAC)
  - Multiple Polynomial Quadratic Sieve (MPQS)
 .
 It also provides access to Richard P. Brent's tables of factors of integers
 of the form b^k +/- 1.

Package: gap-float
Description-md5: 7e0c4df02b4ee88b4929ab169dcb61fd
Description-en: multi-precision floating-point computation for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This GAP package brings to GAP floating-point numbers with arbitrary
 precision; it is based on MPFR, MPFI, MPC, CXSC, and FPLLL.

Package: gap-gapdoc
Description-md5: 9f23cd586ec9568ee5618c55ce6c9612
Description-en: GAPDoc - A Meta Package for GAP Documentation
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains GAPDoc, "A Meta Package for GAP Documentation", by
 Frank Lübeck and Max Neunhöffer, which is used to manage GAP documentation.

Package: gap-grape
Description-md5: 701b210ec4d52136ba2de99550b30d51
Description-en: GRaph Algorithms using PErmutation groups for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This package implements computing with graphs and groups for GAP.
 GRAPE is primarily designed for constructing and analysing graphs
 related to groups, finite geometries, and designs; special emphasis
 is placed on the determination of regularity properties and subgraph
 structure.

Package: gap-guava
Description-md5: ceb439f8b673e1389bd13bb8900cf658
Description-en: coding theory library for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This GAP package brings to GAP computing with error-correcting codes.

Package: gap-guava-bin
Description-md5: da9b5c6828187117be42a3fa29fd0d87
Description-en: coding theory library for GAP (arch-dep files)
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This GAP package brings to GAP computing with error-correcting codes.
 .
 This Debian package contains the architecture-dependent files for the
 gap-guava Debian package.

Package: gap-io
Description-md5: aa88ef4366830f577a7abbdf340344ed
Description-en: low level C library IO bindings for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This package allows GAP to access UNIX I/O C-library functions.

Package: gap-laguna
Description-md5: 5650c213729ad5242456ba03a2f522e7
Description-en: LAGUNA GAP package
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 LAGUNA stands for `Lie AlGebras and UNits of group Algebras'.
 .
 This package provides GAP with functionality for calculation of the
 normalized unit group of the modular group algebra of the finite p-group
 and for investigation of Lie algebra associated with group algebras and
 other associative algebras.

Package: gap-libs
Description-md5: f3745a50525f5b9cb958d38c8f44d14f
Description-en: GAP computer algebra system, essential GAP libraries
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the essential GAP libraries (lib and grp).

Package: gap-online-help
Description-md5: 52975261a681ca6422f57344e873de51
Description-en: GAP computer algebra system, online help
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the documentation in TeX format needed for the online
 help system.

Package: gap-openmath
Description-md5: f59ec08307cca6886af0005d79956368
Description-en: OpenMath phrasebook for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This package allows GAP to import and export mathematical objects
 encoded in OpenMath, for the purpose of exchanging them with other
 applications that are OpenMath enabled.

Package: gap-polycyclic
Description-md5: f17bc3fe0f4823eac1c7bc93806d9406
Description-en: GAP Polycyclic - Computation with polycyclic groups
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 GAP Polycyclic is a package for computation with polycyclic groups, by Bettina
 Eick, Max Horn and Werner Nickel.

Package: gap-primgrp
Description-md5: e95cedded81507bcce7053e0171e8d30
Description-en: GAP PrimGrp - GAP Primitive Permutation Groups Library
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the Primitive Permutation Groups Library.

Package: gap-radiroot
Description-md5: 1ed00e72d3bc71fd161b1e16bee57234
Description-en: GAP RadiRoot - Roots of a Polynomial as Radicals
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 RadiRoot is a package for computing the roots of a polynomial as radicals by
 Andreas Distler.

Package: gap-scscp
Description-md5: 6327efe12800b326b4e303a7c56744fb
Description-en: SCSCP protocol in GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This package implements the Symbolic Computation Software
 Composability Protocol (SCSCP) for GAP.

Package: gap-smallgrp
Description-md5: a68a134437e6e51f657f7f166d49b092
Description-en: GAP SmallGrp - The GAP Small Groups Library
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 The GAP Small Groups Library is a catalogue of groups of `small' order.
 This package contains the groups data and identification routines for groups
 of order up to 1000 except 512, 768 and groups whose order factorises in at
 most 3 primes.
 .
 Note that data for order 512, 768 and between 1000 and 2000 except 1024,
 and some larger orders are available separately in the gap-smallgrp-extra
 packages.

Package: gap-smallgrp-extra
Description-md5: c410f9ea89b308b077da461b948e4274
Description-en: GAP SmallGrp - The GAP Small Groups Library
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 The GAP Small Groups Library is a catalogue of groups of `small' order.
 This package contains the groups data and identification routines for groups
 .
   * of order at most 2000 except 1024.
   * of cubefree order at most 50 000.
   * of order p^n for n <= 6 and all primes p.
   * of squarefree order.
   * whose order factorises in at most 3 primes.
   * of order q^n * p for q^n dividing 2^8, 3^6, 5^5, 7^4 and p prime
     different to q
   * of order p^7 with p = 3,5,7,11.
 .
 The Small Groups Library provides access to these groups and a method to
 identify the catalogue number of a given group.

Package: gap-sonata
Description-md5: 76ab164719af5a5d0ed713b75f1bd6fe
Description-en: Systems Of Nearrings And Their Applications for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This package provides to GAP methods for the construction and analysis
 of finite nearrings.

Package: gap-table-of-marks
Description-md5: 324bfeea025ea11479b472ecd1966b21
Description-en: GAP TomLib - The GAP Library of Tables of Marks
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the GAP Library of Tables of Marks by L. Naughton and G.
 Pfeiffer.

Package: gap-toric
Description-md5: f57e934d8dee9eb25295e8eceab65adc
Description-en: toric variety for GAP
 GAP is a system for computational discrete algebra with particular
 emphasis on computational group theory, but which has already proved
 useful also in other areas.
 .
 This package provides for GAP routines for working with toric varieties
 and dealing with cones and related combinatorial geometric object.

Package: gap-transgrp
Description-md5: e2898bc615db8878f9180fb9cbdc0323
Description-en: GAP TransGrp - Transitive Groups Library
 GAP is a system for computational discrete algebra, with particular emphasis
 on Computational Group Theory. GAP provides a programming language, a library
 of thousands of functions implementing algebraic algorithms written in the GAP
 language as well as large data libraries of algebraic objects. GAP is used in
 research and teaching for studying groups and their representations, rings,
 vector spaces, algebras, combinatorial structures, and more.
 .
 This package contains the Transitive Groups Library

Package: garagemq
Description-md5: d4950b53964cb8946902a8db08b77684
Description-en: AMQP message broker implemented with golang
 GarageMQ is a message broker that implement the Advanced Message Queuing
 Protocol (AMQP). Compatible with any AMQP or RabbitMQ clients (tested
 streadway/amqp and php-amqp lib)
 .
 The GarageMQ project is also knowns an KubeMQ (https://kubemq.io).
 .
 This package does not contain the admin-frontend/build files
 since debian packaging npm modules is "complicated". You can,
 after installing this package, download the files from github and
 `cp -a $SRCDIR/admin-frontend/build /var/lib/garagemq/admin-frontend/`
 to be able to use the admin frontend as intended.

Package: garden-of-coloured-lights
Description-md5: 5698ce47c850dd7d36932427d8952b3b
Description-en: abstract vertical shooter with music elements
 The game is basically a vertical shooter with music elements. The
 enemies, in fact, are kind of musical. Linley has added a simple background
 tune, that gets mixed with the diffetent notes played when enemies shoot.
 .
 Part of what stands out about Garden of Coloured Lights are its graphics,
 that even though are kept quite simple, are also carefully taken care of.
 Every ship still has moving parts and mechanisms that open and close, and
 every level is different, even though all of them share a common theme.
 .
 Your ship comes equipped with 3 options, each with its own unique weapon.
 There are a few pre-designed schemes to play with, or you can create your
 own combination of weapons.
 .
 Garden of Coloured Lights was Linley Henzel's entry for the SHMUP-DEV
 Competition 2k7 Round 2.

Package: garden-of-coloured-lights-data
Description-md5: ae2566f66a4ee120fccab234e1deadc8
Description-en: abstract vertical shooter with music elements (data)
 The game is basically a vertical shooter with music elements. The
 enemies, in fact, are kind of musical. Linley has added a simple background
 tune, that gets mixed with the diffetent notes played when enemies shoot.
 .
 Part of what stands out about Garden of Coloured Lights are its graphics,
 that even though are kept quite simple, are also carefully taken care of.
 Every ship still has moving parts and mechanisms that open and close, and
 every level is different, even though all of them share a common theme.
 .
 Your ship comes equipped with 3 options, each with its own unique weapon.
 There are a few pre-designed schemes to play with, or you can create your
 own combination of weapons.
 .
 Garden of Coloured Lights was Linley Henzel's entry for the SHMUP-DEV
 Competition 2k7 Round 2.
 .
 This package contains the data files for Garden of Coloured Lights.

Package: gargoyle-free
Description-md5: 5957be10cfe3a6170eada51f798b2676
Description-en: graphical player for Interactive Fiction games
 Gargoyle is an Interactive Fiction (text adventure) player that
 supports all the major interactive fiction formats.
 .
 Most interactive fiction is distributed as portable game files. These
 portable game files come in many formats. In the past, you used to
 have to download a separate player (interpreter) for each format of
 IF you wanted to play. Instead, Gargoyle provides unified player.
 .
 Gargoyle is based on the standard interpreters for the formats it
 supports: .taf (Adrift games, played with Scare), .dat (AdvSys),
 *.agx/.d$$ (AGiliTy), .a3c (Alan3), .asl/.cas (Quest games, played
 with Geas), .jacl/.j2 (JACL), .l9/.sna (Level 9), .mag (Magnetic),
 *.saga (Scott Adams Grand Adventures), .gam/.t3 (TADS),
 *.z1/.z2/.z3/.z4/.z5/.z6/.z7/.z8 (Inform Z-Machine games, played with
 Frotz, Nitfol or Bocfel), .ulx/.blb/.blorb/.glb/.gblorb (Inform or
 Superglús games compiled to the Glulxe VM in Blorb archives, played
 with Git or Glulxe), .zlb, .zblorb (Inform Z-Machine games in Blorb
 archives, played with Frotz).
 .
 (note: do not confuse the Git Glux interpreter with the Git DVCS or
 the GNU Interactive Tools)
 .
 Gargoyle also features graphics, sounds and Unicode support.
 .
 Technically all the bundled interpreters support the Glk API to
 manage I/O (keyboard, graphics, sounds, file) in IF games. Gargoyle
 provides a Glk implementation called garglk that displays texts and
 images in a graphical Gtk window, with care on typography.
 .
 Limitations:
 .
 * This free version of gargoyle does not include the non-free Hugo
 interpreter (and uses a different, free monospace font).
 .
 * While Gargoyle can display in-game pictures, it does not provide a
 way to display the cover art present in some Blorb archives.
 .
 * The TADS interpreter doesn't support HTML TADS; you can play
 the games, but will miss the hyperlinks.

Package: garli
Description-md5: 26bd1f70ecc029da7a39ce42be819b22
Description-en: phylogenetic analysis of molecular sequence data using maximum-likelihood
 GARLI, Genetic Algorithm for Rapid Likelihood Inference is a program for
 inferring phylogenetic trees. Using an approach similar to a classical
 genetic algorithm, it rapidly searches the space of evolutionary trees
 and model parameters to find the solution maximizing the likelihood
 score. It implements nucleotide, amino acid and codon-based models of
 sequence evolution, and runs on all platforms. The latest version adds
 support for partitioned models and morphology-like datatypes.

Package: garli-examples
Description-md5: 0edf68e52e3e7dd1a026db9b6fdb2621
Description-en: phylogenetic analysis of molecular sequence data (examples)
 GARLI, Genetic Algorithm for Rapid Likelihood Inference is a program for
 inferring phylogenetic trees. Using an approach similar to a classical
 genetic algorithm, it rapidly searches the space of evolutionary trees
 and model parameters to find the solution maximizing the likelihood
 score. It implements nucleotide, amino acid and codon-based models of
 sequence evolution, and runs on all platforms. The latest version adds
 support for partitioned models and morphology-like datatypes.
 .
 This package contains example data for garli.

Package: garli-mpi
Description-md5: ee7b598933ca6c4be7968b793f32d680
Description-en: phylogenetic analysis of molecular sequence data using maximum-likelihood (MPI)
 GARLI, Genetic Algorithm for Rapid Likelihood Inference is a program for
 inferring phylogenetic trees. Using an approach similar to a classical
 genetic algorithm, it rapidly searches the space of evolutionary trees
 and model parameters to find the solution maximizing the likelihood
 score. It implements nucleotide, amino acid and codon-based models of
 sequence evolution, and runs on all platforms. The latest version adds
 support for partitioned models and morphology-like datatypes.
 .
 This version of Garli is using MPI.

Package: garlic
Description-md5: 9a8e5d3dc375d53b824110c22a526364
Description-en: visualization program for biomolecules
 Garlic is written for the investigation of membrane proteins. It may be
 used to visualize other proteins, as well as some geometric objects.
 This version of garlic recognizes PDB format version 2.1. Garlic may
 also be used to analyze protein sequences.
 .
 It only depends on the X libraries, no other libraries are needed.
 .
 Features include:
  - The slab position and thickness are visible in a small window.
  - Atomic bonds as well as atoms are treated as independent drawable
    objects.
  - The atomic and bond colors depend on position. Five mapping modes
    are available (as for slab).
  - Capable to display stereo image.
  - Capable to display other geometric objects, like membrane.
  - Atomic information is available for atom covered by the mouse
    pointer. No click required, just move the mouse pointer over the
    structure!
  - Capable to load more than one structure.
  - Capable to draw Ramachandran plot, helical wheel, Venn diagram,
    averaged hydrophobicity and hydrophobic moment plot.
  - The command prompt is available at the bottom of the main window.
    It is able to display one error message and one command string.

Package: garlic-doc
Description-md5: a050e251856d4f8ebcc887964f93c8cb
Description-en: [Chemistry] a molecular visualization program - documents
 This is the documentation package for Garlic.
 .
 Garlic is probably the most portable molecular visualization program
 in the Unix world. It's written for the investigation of membrane
 proteins. It may be used to visualize other proteins, as well as some
 geometric objects. The name should has something to do with the
 structure and operation of this program. This version of garlic
 recognizes PDB format version 2.1. Garlic may also be used to analyze
 protein sequences.
 .
 Features include (but not limited to):
  o The slab position and thickness are visible in a small window.
  o Atomic bonds as well as atoms are treated as independent drawable
    objects.
  o The atomic and bond colors depend on position. Five mapping modes
    are available (as for slab).
  o Capable to display stereo image.
  o Capable to display other geometric objects, like membrane.
  o Atomic information is available for atom covered by the mouse
    pointer. No click required, just move the mouse pointer over the
    structure!
  o Capable to load more than one structure.
  o Capable to draw Ramachandran plot, helical wheel, Venn diagram,
    averaged hydrophobicity and hydrophobic moment plot.
  o The command prompt is available at the bottom of the main window.
    It is able to display one error message and one command string.

Package: garmin-forerunner-tools
Description-md5: d8bacd2a04be1f86793bf0d2b78db39d
Description-en: retrieve data from Garmin Forerunner/Edge GPS devices
 This package contains command-line tools to retrieve data from GPS
 devices from the Garmin Forerunner series (these are
 wristwatch-like devices that allow you to register your exact
 itinerary when doing outdoor sports) or the Garmin Edge series (the
 same for cycling computers). It works with the Forerunner 205 and 305
 models and with the Edge 305 model, and possibly others. Track data
 can be translated into an XML format and into gpx, and into a
 polyline format for use with Google maps.
 .
 In contrast to the gpsbabel software, this software also downloads
 data specific to usage in sports like maximal speed, lap summary,
 calorie consumption, etc.

Package: gasic
Description-md5: bdb1fbe60cfde219955bdb0d4f72e27c
Description-en: genome abundance similarity correction
 One goal of sequencing based metagenomic analysis is the quantitative
 taxonomic assessment of microbial community compositions. However, the
 majority of approaches either quantify at low resolution (e.g. at phylum
 level) or have severe problems discerning highly similar species. Yet,
 accurate quantification on species level is desirable in applications
 such as metagenomic diagnostics or community comparison. GASiC is a
 method to correct read alignment results for the ambiguities imposed by
 similarities of genomes. It has superior performance over existing
 methods.

Package: gastman
Description-md5: 2c1aa29435abcc439108956d4c579b17
Description-en: GUI tool for Asterisk administration and monitoring
 Gastman is a graphical Manager interface for Asterisk. It uses
 the GTK+ library, and so is usually run under XWindow, although
 GTK+ environments also exist for Windows and Mac OS X.

Package: gatb-core
Description-md5: 7fb8b874b800dfaad088eff4ae5d0206
Description-en: Genome Analysis Toolbox with de-Bruijn graph
 The GATB-CORE project provides a set of highly efficient
 algorithms to analyse NGS data sets. These methods enable
 the analysis of data sets of any size on multi-core desktop
 computers, including very huge amount of reads data coming
 from any kind of organisms such as bacteria, plants,
 animals and even complex samples (e.g. metagenomes).
 Read more about GATB at https://gatb.inria.fr/.
 By itself GATB-CORE is not an NGS data analysis tool.
 However, it can be used to create such tools. There already
 exist a set of ready-to-use tools relying on GATB-CORE
 library: see https://gatb.inria.fr/software/

Package: gatb-core-testdata
Description-md5: 7e23156c0c905f1e67407bbf95e7d7e7
Description-en: Genome Analysis Toolbox with de-Bruijn graph (test data)
 The GATB-CORE project provides a set of highly efficient
 algorithms to analyse NGS data sets. These methods enable
 the analysis of data sets of any size on multi-core desktop
 computers, including very huge amount of reads data coming
 from any kind of organisms such as bacteria, plants,
 animals and even complex samples (e.g. metagenomes).
 Read more about GATB at https://gatb.inria.fr/.
 By itself GATB-CORE is not an NGS data analysis tool.
 However, it can be used to create such tools. There already
 exist a set of ready-to-use tools relying on GATB-CORE
 library: see https://gatb.inria.fr/software/
 .
 This package contains some data to test the library.

Package: gatling
Description-md5: 2d4fcc5a5efd9b650ca03fd82da3516a
Description-en: high performance web server and file server
 Features:
    * Small (125k Linux-x86 binary with HTTP, FTP and SMB support)
    * Fast (measure for yourself, please)
    * Scalable
    * Uses platform-specific performance and scalability APIs
    * connection keep-alive
    * IPv6 support
    * transparent content negotiation
    * With optional directory index generation
    * Will only serve world readable files
    * Supports FTP and FTP upload as well
    * CGI support for HTTP, also SCGI and FastCGI (over IP sockets)
    * .htaccess support
    * Can detect some common mime types itself, like file(1)
    * Read-only SMB support

Package: gauche
Description-md5: 9451ae867037d866900fcca9c81edc77
Description-en: Scheme script engine
 Gauche is a Scheme implementation developed to be a handy script
 interpreter, which allows programmers and system administrators to
 write small to large scripts for their daily chores. Quick startup,
 built-in system interface, native multilingual support are some of
 the author's goals.

Package: gauche-c-wrapper
Description-md5: ab711e57730e5d8da815b6c98af5ce2f
Description-en: Foreign function interface for Gauche to C libraries
 c-wrapper is a foreign function interface for C and Objective-C
 libraries.  It can parse C header files, so you don't need to define
 functions, global variables and constants to use libraries.

Package: gauche-dev
Description-md5: 550e79b47a8b1a9603517de1ec8d8cbf
Description-en: Development files for Gauche
 Gauche is a Scheme implementation developed to be a handy script
 interpreter, which allows programmers and system administrators to
 write small to large scripts for their daily chores. Quick startup,
 built-in system interface, native multilingual support are some of
 the author's goals.
 .
 This package contains development files of Gauche.

Package: gauche-doc
Description-md5: 2e37fdd7a6fbd6db45c2853bf59ec6a8
Description-en: Reference manual of Gauche
 Gauche is a Scheme implementation developed to be a handy script
 interpreter, which allows programmers and system administrators to
 write small to large scripts for their daily chores. Quick startup,
 built-in system interface, native multilingual support are some of
 the author's goals.
 .
 This package contains info documents of the reference manual of Gauche
 (English, Japanese).

Package: gauche-gdbm
Description-md5: 395302d3e3d46b02c3c3c624cad3b52b
Description-en: gdbm binding for Gauche
 Gauche is a Scheme implementation developed to be a handy script
 interpreter, which allows programmers and system administrators to
 write small to large scripts for their daily chores. Quick startup,
 built-in system interface, native multilingual support are some of
 the author's goals.
 .
 This package contains GDBM binding modules for Gauche.

Package: gauche-gl
Description-md5: 51ab7dacdc2de9d003d3ff8f0d233fd1
Description-en: Gauche bindings for OpenGL
 Gauche-gl is an extension module of Gauche Scheme implementation.
 It provides gl, gl.glut, and gl.math3d modules.

Package: gauche-gtk
Description-md5: 17ffa2efdabead85964c17ea2052b7f4
Description-en: Gauche bindings for GTK+ GUI Toolkit
 Gauche-gtk is an extension module of Gauche Scheme implementation.
 It provides gtk, gtk.gl, and gtk.glgd modules.

Package: gauche-zlib
Description-md5: d5e6c47bbf77b0c890c7db39cb069a5e
Description-en: zlib binding for Gauche
 Gauche is a Scheme implementation developed to be a handy script
 interpreter, which allows programmers and system administrators to
 write small to large scripts for their daily chores. Quick startup,
 built-in system interface, native multilingual support are some of
 the author's goals.
 .
 This package contains zlib binding modules for Gauche.

Package: gaupol
Description-md5: cc7a23011862f3776d478085982806b9
Description-en: subtitle editor for text-based subtitle files
 Text-based subtitles are commonly used with DivX video. Gaupol supports
 multiple subtitle file formats and provides means of text corrections and time
 manipulations. Gaupol's user interface is designed with attention to batch
 processing of multiple documents and convenient translating.
 .
 Supported formats are:
   * Advanced Sub Station Alpha (.ssa)
   * MicroDVD (.sub)
   * MPL2 (.txt)
   * SubRip (.srt)
   * Sub Station Alpha (.ssa)
   * SubViewer2 (.sub)
   * TMPlayer (.txt)
 .
 VobSubs (image-based subtitles used in DVDs) are NOT supported.

Package: gausssum
Description-md5: a0468ecb791a7b7a78ab3eb0fb7e2534
Description-en: parse and display Gaussian, GAMESS, and etc's output
 GaussSum parses the output files of ADF, GAMESS, GAMESS-UK, Gaussian, Jaguar
 and PC GAMESS calculations to extract useful information.
 .
 GaussSum uses GNUPlot to display the progress of geometry optimisations,
 density of states spectrum, UV-VIS spectra, IR spectra, Raman spectra,
 and electron density difference maps. It can also display all lines containing
 an arbitrary phrase and more.

Package: gav
Description-md5: 07ea36d89b6cf17e94312f54a7cb7da2
Description-en: GPL Arcade Volleyball
 GAV stands for GPL Arcade Volleyball, and is an SDL remake of the old DOS game
 Arcade Volleyball. It includes multiplayer, networking, and themeable graphics
 support.
 .
 More information, as well as additional themes, can be found at
 <http://gav.sourceforge.net>.

Package: gav-themes
Description-md5: 7202e01d31b831403eb7c0021644e865
Description-en: Extra themes for GPL Arcade Volleyball
 This package includes many additional themes for GPL Arcade Volleyball.

Package: gaviotatb
Description-md5: 0a6d79b53c31bcb7fe98647482a7ca19
Description-en: Gaviota chess endgame tablebases
 Gaviota is a chess engine. Gaviota generates and uses its own Endgame
 Tablebases (EGTBs) with its own format. It contains "distance to
 mate" information, which is how many moves are needed to mate the
 opponent, or to be mated. This package provides the pre-generated
 Gaviota endgame tablebases.

Package: gawk-doc
Description-md5: a0ccd75275de725a7e5b09acd72e2ce2
Description-en: Documentation for GNU awk
 `awk' is a program that you can use to select particular records in a
 file and perform operations upon them.
 .
 Gawk is the GNU Project's implementation of the AWK programming language.
 This package contains edition 4 of `GAWK: Effective AWK Programming: A User's
 Guide for GNU Awk' and edition 1.3 of `TCP/IP Internetworking With Gawk'.

Package: gazebo9
Description-md5: 8910685271c891310088924a539e8e2f
Description-en: Open Source Robotics Simulator - Binaries
 Gazebo is a multi-robot simulator for outdoor environments. Like
 Stage (part of the Player project), it is capable of simulating a
 population of robots, sensors and objects, but does so in a
 three-dimensional world. It generates both realistic sensor feedback
 and physically plausible interactions between objects (it includes an
 accurate simulation of rigid-body physics).
 .
 This package contains then main application binaries

Package: gazebo9-common
Description-md5: 350fb032e1c388d3be7d2e3bd8627b3c
Description-en: Open Source Robotics Simulator - Shared files
 Gazebo is a multi-robot simulator for outdoor environments. Like
 Stage (part of the Player project), it is capable of simulating a
 population of robots, sensors and objects, but does so in a
 three-dimensional world. It generates both realistic sensor feedback
 and physically plausible interactions between objects (it includes an
 accurate simulation of rigid-body physics).
 .
 This package contains shared files such as 3d models, worlds and
 robots.

Package: gazebo9-doc
Description-md5: a029f3fc3c9c9f0bdd16be8dd0b63d6d
Description-en: Open Source Robotics Simulator - Documentation
 Gazebo is a multi-robot simulator for outdoor environments. Like
 Stage (part of the Player project), it is capable of simulating a
 population of robots, sensors and objects, but does so in a
 three-dimensional world. It generates both realistic sensor feedback
 and physically plausible interactions between objects (it includes an
 accurate simulation of rigid-body physics).
 .
 This package contains the program documentation.

Package: gazebo9-plugin-base
Description-md5: 4a981de41f082d179dbeebceeb5b6108
Description-en: Open Source Robotics Simulator - base plug-ins
 Gazebo is a multi-robot simulator for outdoor environments. Like
 Stage (part of the Player project), it is capable of simulating a
 population of robots, sensors and objects, but does so in a
 three-dimensional world. It generates both realistic sensor feedback
 and physically plausible interactions between objects (it includes an
 accurate simulation of rigid-body physics).
 .
 This package gathers built-in plugins.

Package: gbase
Description-md5: a80b1644aba5038ed9d772f741017384
Description-en: small numeric base converter
 This simple program converts numbers between bases 2 (binary),
 8 (octal), 10 (decimal) and 16 (hexadecimal).
 It can be used both from console or via a small GTK+-based X interface.

Package: gbatnav
Description-md5: 61ebd79e1018b6594b219d803edee664
Description-en: networked BattleShip game
 Batalla Naval is a networked BattleShip game. It supports multiple players
 and multiple robots at the same time.

Package: gbdfed
Description-md5: 3708569d0a7d5498e009d47f298a1be9
Description-en: X11 font editor
 gbdfed lets you interactively create new bitmap font files or modify existing
 ones. It allows editing multiple fonts and multiple glyphs, it allows cut and
 paste operations between fonts and glyphs and editing font properties. The
 editor works natively with BDF fonts.
 .
 This editor is the successor of xmbdfed and is using the GTK+ toolkit instead
 of the Motif toolkit for better user experience.

Package: gbemol
Description-md5: 29e4d94b1f184fa10bc7f4e13b81ebba
Description-en: Graphical frontend for the Music Player Daemon (MPD)
 gbemol allows you to use MPD with a userfriendly interface. Features include
 an easy-to-use, tag-oriented library browser, a two mode playlist, cover art
 support (APIC tag only, for now), system tray icon docking, song, album and
 artist information.
 .
 Music Player Daemon is a server that allows remote access for playing audio
 files, streams and managing playlists. The daemon is controlled through a
 client which need not run on the same computer mpd runs on.

Package: gbgoffice
Description-md5: d064da4ffe6aaca5f43f436b263b2806
Description-en: bgoffice dictionary frontend (GTK2)
 bgoffice is a project aimed on creating a full-featured desktop environment,
 translated and localized for Bulgarian users.
 .
 This package contains gbgoffice - a GTK2 program for working with all
 dictionaries, contained in bgoffice. It has clean interface, features GNOME
 notification area integration and clipboard monitoring. All features are
 customizable.
 .
 For Qt/KDE version of the program, see kbedic.

Package: gbonds
Description-md5: 08cc8581a66fc2ee392369a9b2c67287
Description-en: U.S. Savings Bond inventory program for GNOME
 GBonds is a U.S. Savings Bond inventory program for the GNOME desktop
 environment.  It allows you to track the current redemption value and
 performance of your U.S. Savings Bonds and keep a valuable record of the
 bonds you own.
 .
 Features:
 .
   * Tracks savings notes and series E, EE, and I savings bonds.
   * Uses U.S. Treasury Department redemption files without modification.
   * Imports inventories created with Savings Bond Wizard.
   * Tracks current value of both individual bonds and an entire inventory.
   * Prints inventories.  It is important to keep a permanent record of your
     bonds in a separate location in case you ever need to replace them.

Package: gbonds-data
Description-md5: 5794b0776c9765495f831f41cda01731
Description-en: GBonds data files
 This package contains architecture-independent supporting data files required
 for use with GBonds, such as documentation, icons, and the Savings Bond
 redemption data files.

Package: gbrainy
Description-md5: b662f07324ca462d98512dea3a76495c
Description-en: brain teaser game and trainer to have fun and to keep your brain trained
 gbrainy is a platform to train memory, arithmetical and logical
 capabilities with many sorts of different exercises of different
 difficulty levels. It should have something for all ages and purposes:
 kids whose parents want them to develop their capabilities, adults that
 want to keep their mind in form or just try it out for fun, older people
 that might need to do some memory exercises, etc.
 .
 It provides the following types of games:
 .
  * Logic Puzzles: games designed to challenge your reasoning and
    thinking skills.
  * Mental Calculation: games based on arithmetical operations designed
    to prove your mental calculation skills.
  * Memory Trainers: games designed to challenge your short term
    memory.
  * Verbal Analogies: games that challenge your verbal aptitude.

Package: gbrowse
Description-md5: 0aa2912bfc190ad55fe33a0ecfc2aabe
Description-en: GMOD Generic Genome Browser
 Generic Genome Browser is a simple but highly
 configurable web-based genome browser. It is a component of the
 Generic Model Organism Systems Database project (GMOD).
 Some of its features:
  * Simultaneous bird's eye and detailed views of the genome;
  * Scroll, zoom, center;
  * Attach arbitrary URLs to any annotation;
  * Order and appearance of tracks are customizable by administrator and
    end-user;
  * Search by annotation ID, name, or comment;
  * Supports third party annotation using GFF formats;
  * Settings persist across sessions;
  * DNA and GFF dumps;
  * Connectivity to different databases, including BioSQL and Chado;
  * Multi-language support;
  * Third-party feature loading;
  * Customizable plug-in architecture (e.g. run BLAST, dump & import many
    formats, find oligonucleotides, design primers, create restriction maps,
    edit features).

Package: gbrowse-calign
Description-md5: 52106883c9df300bab833de801839ea2
Description-en: CAlign helper
 Generic Genome Browser is a simple but highly
 configurable web-based genome browser. It is a component of the
 Generic Model Organism Systems Database project (GMOD).
 .
 This package provides the CAlign helper
 for use with Realign. It speeds up Smith-Waterman alignment.

Package: gbrowse-data
Description-md5: 10ce158783e67e4316b3c5112e31af99
Description-en: Sample data to use GBrowse
 Generic Genome Browser is a simple but highly
 configurable web-based genome browser. It is a component of the
 Generic Model Organism Systems Database project (GMOD).
 .
 This package contains sample data to test the gbrowse tool
 with the Yeast genome.

Package: gbsplay
Description-md5: f7203982f7c777ff49743467cfcf4bf5
Description-en: Gameboy sound player
 This program emulates the sound hardware of the Nintendo Gameboy.  It
 is able to play the sounds from a Gameboy module dump (.GBS format).
 Also included is the gbsinfo tool which displays information about
 a .GBS file.

Package: gbutils
Description-md5: 3b4d19311a60415c9864e3f1ef3dc21e
Description-en: utilities for command line econometrics
 A set of command line utilities for the manipulation and statistical analysis
 of data. These utilities read data from standard input in an ASCII format and
 print the result in ASCII format to standard output.

Package: gcab
Description-md5: 96bfb738184b7dc60be13cb7a3a13d3a
Description-en: Microsoft Cabinet file manipulation tool
 gcab can list, extract and create cabinet (.cab) files, commonly used
 as archives to distribute software on Windows.
 .
 gcab is similar to cabextract but can create cabinet files.

Package: gcal
Description-md5: d68f4287a5ce806da0696a2c1a27fd3c
Description-en: program for calculating and printing calendars
 Gcal displays a calendar for a month or a year, eternal holiday lists
 and fixed date lists, in many ways. The program correctly omits the
 dates that were skipped when the current Gregorian calendar replaced
 the earlier Julian calendar.
 .
 Apart from the usual and well known calendar functions like the
 output of a month or a year calendar sheet, or the output of an eternal
 holiday list, Gcal offers the facility to display fixed dates on the
 day of their occurrence and to remind or inform the user about them.
 So it is possible for users to receive an on-screen notification, at bootup
 or login, of all holidays or appointments which take place on that day.
 Notification by electronic mail is also possible.

Package: gcal-common
Description-md5: 6f85358ef41630bf4ec231f1556b23f3
Description-en: gcal architecture independent files
 This package contains architecture independent files needed for gcal to
 run properly. Therefore, unless you have 'gcal' package installed, you will
 hardly find this package useful.

Package: gcalcli
Description-md5: 89a8bec70c5a4cfd128a59d0e0efe053
Description-en: Google Calendar Command Line Interface
 gcalcli is a Python application that allows you to access your Google
 Calendar from a command line. It's easy to get your agenda, search for
 events, and quickly add new events. Additionally gcalcli can be used as
 a reminder service to execute any application you want.

Package: gcap
Description-md5: 2d0e94d2a75c1349e4b93b27e55a4be0
Description-en: YouTube closed caption retriever
 gcap is a command line tool for retrieving YouTube closed captions.
 The retrieved closed captions are saved in SubRip (srt) file format.

Package: gcc-10
Description-md5: 394374e688b1afb3af5f419895d29698
Description-en: GNU C compiler
 This is the GNU C compiler, a fairly portable optimizing compiler for C.

Package: gcc-10-aarch64-linux-gnu
Description-md5: 6605467979c7b4a27b8a1c6ed7aabd06
Description-en: GNU C compiler (cross compiler for arm64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for arm64 architecture.

Package: gcc-10-aarch64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-alpha-linux-gnu
Description-md5: 88aca54a1627a3fd7711d3f9d7ac5f33
Description-en: GNU C compiler (cross compiler for alpha architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for alpha architecture.

Package: gcc-10-alpha-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-arm-linux-gnueabi
Description-md5: 6d4f736a5f9df9e4e9a88a6f23fb803f
Description-en: GNU C compiler (cross compiler for armel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for armel architecture.

Package: gcc-10-arm-linux-gnueabi-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-arm-linux-gnueabihf
Description-md5: 81e320f4fe3cb20f4878142f5988397e
Description-en: GNU C compiler (cross compiler for armhf architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for armhf architecture.

Package: gcc-10-arm-linux-gnueabihf-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-cross-base-mipsen
Description-md5: 4c157f495e05e11e4ad2e3074ac017e0
Description-en: GCC, the GNU Compiler Collection (library base package)
 This empty package contains changelog and copyright files common to
 all libraries contained in the GNU Compiler Collection (GCC).

Package: gcc-10-cross-base-ports
Description-md5: 4c157f495e05e11e4ad2e3074ac017e0
Description-en: GCC, the GNU Compiler Collection (library base package)
 This empty package contains changelog and copyright files common to
 all libraries contained in the GNU Compiler Collection (GCC).

Package: gcc-10-doc
Description-md5: bf320d6d806b62ff03b567626d36b1fb
Description-en: Documentation for the GNU compilers (gcc, gobjc, g++)
 Documentation for the GNU compilers in info format.

Package: gcc-10-hppa-linux-gnu
Description-md5: cef7613d51735832c53f1b1325a89812
Description-en: GNU C compiler (cross compiler for hppa architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for hppa architecture.

Package: gcc-10-hppa-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-hppa64-linux-gnu
Description-md5: 0ee0959bbc343ff940f7f385554ffc51
Description-en: GNU C compiler (cross compiler for hppa64)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.

Package: gcc-10-i686-linux-gnu
Description-md5: e08cbb27b980ce408f7cf6b470382377
Description-en: GNU C compiler (cross compiler for i386 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for i386 architecture.

Package: gcc-10-i686-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-locales
Description-md5: eb5e72cb380341d4ed2ad2d24c34f31d
Description-en: GCC, the GNU compiler collection (native language support files)
 Native language support for GCC. Lets GCC speak your language,
 if translations are available.
 .
 Please do NOT submit bug reports in other languages than "C".
 Always reset your language settings to use the "C" locales.

Package: gcc-10-m68k-linux-gnu
Description-md5: 593d004b0e996a576ee189070dfc0bcb
Description-en: GNU C compiler (cross compiler for m68k architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for m68k architecture.

Package: gcc-10-m68k-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-mips-linux-gnu
Description-md5: be6d2cc3e9b8c1d0308b373005b63a10
Description-en: GNU C compiler (cross compiler for mips architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips architecture.

Package: gcc-10-mips-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-mips64-linux-gnuabi64
Description-md5: ad8b0dd5eb608c299c86040d36ffd88e
Description-en: GNU C compiler (cross compiler for mips64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips64 architecture.

Package: gcc-10-mips64-linux-gnuabi64-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-mips64el-linux-gnuabi64
Description-md5: cca731d17203fa2912fe9c3749d8d7c3
Description-en: GNU C compiler (cross compiler for mips64el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips64el architecture.

Package: gcc-10-mips64el-linux-gnuabi64-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-mipsel-linux-gnu
Description-md5: 1ed845ccbb41e0438f6d587cf734705e
Description-en: GNU C compiler (cross compiler for mipsel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mipsel architecture.

Package: gcc-10-mipsel-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-mipsisa32r6-linux-gnu
Description-md5: fdc8a83a68b1c6cf719040dd928ffb1c
Description-en: GNU C compiler (cross compiler for mipsr6 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mipsr6 architecture.

Package: gcc-10-mipsisa32r6-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-mipsisa32r6el-linux-gnu
Description-md5: 8bb57d57871d799af6f8ede51702ad43
Description-en: GNU C compiler (cross compiler for mipsr6el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mipsr6el architecture.

Package: gcc-10-mipsisa32r6el-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-mipsisa64r6-linux-gnuabi64
Description-md5: 37280f5f5f1a2bac28b43466c7bf16e5
Description-en: GNU C compiler (cross compiler for mips64r6 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips64r6 architecture.

Package: gcc-10-mipsisa64r6-linux-gnuabi64-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-mipsisa64r6el-linux-gnuabi64
Description-md5: 24eb3234afc7c305b6afe67eb54cae9f
Description-en: GNU C compiler (cross compiler for mips64r6el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips64r6el architecture.

Package: gcc-10-mipsisa64r6el-linux-gnuabi64-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-multilib
Description-md5: d1bddfa375b62324197c575c04fb2e4e
Description-en: GNU C compiler (multilib support)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-arm-linux-gnueabi
Description-md5: fbfcfeacd3a6c24140a631ba4fda9360
Description-en: GNU C compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-arm-linux-gnueabihf
Description-md5: 4cb538840e60e5bf55300e2af7cc4bb4
Description-en: GNU C compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-i686-linux-gnu
Description-md5: 8f1710ddb22efe64f00eb522d643b878
Description-en: GNU C compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-mips-linux-gnu
Description-md5: 352bce4d6af0ef3e2260adabe2ff173d
Description-en: GNU C compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-mips64-linux-gnuabi64
Description-md5: 0ca7032ee3e71a85bdbed44c6b99bc87
Description-en: GNU C compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-mips64el-linux-gnuabi64
Description-md5: f4cfcd14632c3b026966af8e9ac60b0a
Description-en: GNU C compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-mipsel-linux-gnu
Description-md5: d3e65e9a4d8569516c54407d74e93560
Description-en: GNU C compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-mipsisa32r6-linux-gnu
Description-md5: bc2f903f4b7148f2e04662815719a85c
Description-en: GNU C compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-mipsisa32r6el-linux-gnu
Description-md5: 8b7ebc685cd7f591c8ed78fae9e06285
Description-en: GNU C compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: f75ec31d220e018a7619e3f92623e417
Description-en: GNU C compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 22c98144720069ea253e0cf6d91a5dcb
Description-en: GNU C compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-powerpc-linux-gnu
Description-md5: 8f8bcf0982eb50ed5e013710e4fba34f
Description-en: GNU C compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-powerpc64-linux-gnu
Description-md5: 6b4f4449535347d777e4be09c0f60a83
Description-en: GNU C compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-s390x-linux-gnu
Description-md5: b66121d6f9ac00f634e35356c94f9fa6
Description-en: GNU C compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-sparc64-linux-gnu
Description-md5: 64868a8c5f326ebc1205d38ac2f1c47b
Description-en: GNU C compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-multilib-x86-64-linux-gnux32
Description-md5: ce32998e15b35da4bb9d55103c9869ed
Description-en: GNU C compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-offload-amdgcn
Description-md5: e96e628ccd58c5a2bf9ff080281b9496
Description-en: GCC offloading compiler to GCN
 The package provides offloading support for AMD GCN.  OpenMP and OpenACC
 programs linked with -fopenmp will by default add GCN code into the binaries,
 which can be offloaded to AMD GCN capable devices if available.

Package: gcc-10-offload-nvptx
Description-md5: 3c146096ed88f2f061e433f8b7d0e37c
Description-en: GCC offloading compiler to NVPTX
 The package provides offloading support for NVidia PTX.  OpenMP and OpenACC
 programs linked with -fopenmp will by default add PTX code into the binaries,
 which can be offloaded to NVidia PTX capable devices if available.

Package: gcc-10-plugin-dev
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-aarch64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-alpha-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-arm-linux-gnueabi
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-arm-linux-gnueabihf
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-hppa-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-i686-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-m68k-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-mips-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-mips64-linux-gnuabi64
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-mips64el-linux-gnuabi64
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-mipsel-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-mipsisa32r6-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-mipsisa32r6el-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-mipsisa64r6-linux-gnuabi64
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-mipsisa64r6el-linux-gnuabi64
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-powerpc-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-powerpc64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-powerpc64le-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-riscv64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-s390x-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-sh4-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-sparc64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-plugin-dev-x86-64-linux-gnux32
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-powerpc-linux-gnu
Description-md5: 45b8bb0736af15d214f67dae5a33875a
Description-en: GNU C compiler (cross compiler for powerpc architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for powerpc architecture.

Package: gcc-10-powerpc-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-powerpc64-linux-gnu
Description-md5: 5576cbb75735e5752bbbd9f1338288a0
Description-en: GNU C compiler (cross compiler for ppc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for ppc64 architecture.

Package: gcc-10-powerpc64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-powerpc64le-linux-gnu
Description-md5: 02948f686f57b7661fd57acbd2976475
Description-en: GNU C compiler (cross compiler for ppc64el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for ppc64el architecture.

Package: gcc-10-powerpc64le-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-riscv64-linux-gnu
Description-md5: 0d14114bfdde50833447e5afa7c0bea2
Description-en: GNU C compiler (cross compiler for riscv64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for riscv64 architecture.

Package: gcc-10-riscv64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-s390x-linux-gnu
Description-md5: 7061b5561490b87818d8a46702f2fd78
Description-en: GNU C compiler (cross compiler for s390x architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for s390x architecture.

Package: gcc-10-s390x-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-sh4-linux-gnu
Description-md5: 539edebbf17a1829a278772b09e95d80
Description-en: GNU C compiler (cross compiler for sh4 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for sh4 architecture.

Package: gcc-10-sh4-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-source
Description-md5: cb00049993a8c14b73bb041c050eb8c5
Description-en: Source of the GNU Compiler Collection
 This package contains the sources and patches which are needed to
 build the GNU Compiler Collection (GCC).

Package: gcc-10-sparc64-linux-gnu
Description-md5: 4c65ae04634ee085bae81d947ec77b16
Description-en: GNU C compiler (cross compiler for sparc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for sparc64 architecture.

Package: gcc-10-sparc64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-10-test-results
Description-md5: dc68b9fb54342c9f71afbc0efcf1ade0
Description-en: Test results for the GCC test suite
 This package contains the test results for running the GCC test suite
 for a post build analysis.

Package: gcc-10-x86-64-linux-gnux32
Description-md5: ce9301ad842c3273341fa161f1a958ae
Description-en: GNU C compiler (cross compiler for x32 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for x32 architecture.

Package: gcc-10-x86-64-linux-gnux32-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-7
Description-md5: 394374e688b1afb3af5f419895d29698
Description-en: GNU C compiler
 This is the GNU C compiler, a fairly portable optimizing compiler for C.

Package: gcc-7-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-7-doc
Description-md5: bf320d6d806b62ff03b567626d36b1fb
Description-en: Documentation for the GNU compilers (gcc, gobjc, g++)
 Documentation for the GNU compilers in info format.

Package: gcc-7-hppa64-linux-gnu
Description-md5: 0ee0959bbc343ff940f7f385554ffc51
Description-en: GNU C compiler (cross compiler for hppa64)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.

Package: gcc-7-locales
Description-md5: eb5e72cb380341d4ed2ad2d24c34f31d
Description-en: GCC, the GNU compiler collection (native language support files)
 Native language support for GCC. Lets GCC speak your language,
 if translations are available.
 .
 Please do NOT submit bug reports in other languages than "C".
 Always reset your language settings to use the "C" locales.

Package: gcc-7-multilib
Description-md5: d1bddfa375b62324197c575c04fb2e4e
Description-en: GNU C compiler (multilib support)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-7-offload-nvptx
Description-md5: 3c146096ed88f2f061e433f8b7d0e37c
Description-en: GCC offloading compiler to NVPTX
 The package provides offloading support for NVidia PTX.  OpenMP and OpenACC
 programs linked with -fopenmp will by default add PTX code into the binaries,
 which can be offloaded to NVidia PTX capable devices if available.

Package: gcc-7-plugin-dev
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-7-source
Description-md5: cb00049993a8c14b73bb041c050eb8c5
Description-en: Source of the GNU Compiler Collection
 This package contains the sources and patches which are needed to
 build the GNU Compiler Collection (GCC).

Package: gcc-7-test-results
Description-md5: dc68b9fb54342c9f71afbc0efcf1ade0
Description-en: Test results for the GCC test suite
 This package contains the test results for running the GCC test suite
 for a post build analysis.

Package: gcc-8
Description-md5: 394374e688b1afb3af5f419895d29698
Description-en: GNU C compiler
 This is the GNU C compiler, a fairly portable optimizing compiler for C.

Package: gcc-8-aarch64-linux-gnu
Description-md5: 6605467979c7b4a27b8a1c6ed7aabd06
Description-en: GNU C compiler (cross compiler for arm64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for arm64 architecture.

Package: gcc-8-aarch64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-alpha-linux-gnu
Description-md5: 88aca54a1627a3fd7711d3f9d7ac5f33
Description-en: GNU C compiler (cross compiler for alpha architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for alpha architecture.

Package: gcc-8-alpha-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-arm-linux-gnueabi
Description-md5: 6d4f736a5f9df9e4e9a88a6f23fb803f
Description-en: GNU C compiler (cross compiler for armel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for armel architecture.

Package: gcc-8-arm-linux-gnueabi-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-arm-linux-gnueabihf
Description-md5: 81e320f4fe3cb20f4878142f5988397e
Description-en: GNU C compiler (cross compiler for armhf architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for armhf architecture.

Package: gcc-8-arm-linux-gnueabihf-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-cross-base
Description-md5: 4c157f495e05e11e4ad2e3074ac017e0
Description-en: GCC, the GNU Compiler Collection (library base package)
 This empty package contains changelog and copyright files common to
 all libraries contained in the GNU Compiler Collection (GCC).

Package: gcc-8-cross-base-ports
Description-md5: 4c157f495e05e11e4ad2e3074ac017e0
Description-en: GCC, the GNU Compiler Collection (library base package)
 This empty package contains changelog and copyright files common to
 all libraries contained in the GNU Compiler Collection (GCC).

Package: gcc-8-doc
Description-md5: bf320d6d806b62ff03b567626d36b1fb
Description-en: Documentation for the GNU compilers (gcc, gobjc, g++)
 Documentation for the GNU compilers in info format.

Package: gcc-8-hppa-linux-gnu
Description-md5: cef7613d51735832c53f1b1325a89812
Description-en: GNU C compiler (cross compiler for hppa architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for hppa architecture.

Package: gcc-8-hppa-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-hppa64-linux-gnu
Description-md5: 0ee0959bbc343ff940f7f385554ffc51
Description-en: GNU C compiler (cross compiler for hppa64)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.

Package: gcc-8-i686-linux-gnu
Description-md5: e08cbb27b980ce408f7cf6b470382377
Description-en: GNU C compiler (cross compiler for i386 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for i386 architecture.

Package: gcc-8-i686-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-locales
Description-md5: eb5e72cb380341d4ed2ad2d24c34f31d
Description-en: GCC, the GNU compiler collection (native language support files)
 Native language support for GCC. Lets GCC speak your language,
 if translations are available.
 .
 Please do NOT submit bug reports in other languages than "C".
 Always reset your language settings to use the "C" locales.

Package: gcc-8-m68k-linux-gnu
Description-md5: 593d004b0e996a576ee189070dfc0bcb
Description-en: GNU C compiler (cross compiler for m68k architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for m68k architecture.

Package: gcc-8-m68k-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-multilib
Description-md5: d1bddfa375b62324197c575c04fb2e4e
Description-en: GNU C compiler (multilib support)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-multilib-arm-linux-gnueabi
Description-md5: fbfcfeacd3a6c24140a631ba4fda9360
Description-en: GNU C compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-multilib-arm-linux-gnueabihf
Description-md5: 4cb538840e60e5bf55300e2af7cc4bb4
Description-en: GNU C compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-multilib-i686-linux-gnu
Description-md5: 8f1710ddb22efe64f00eb522d643b878
Description-en: GNU C compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-multilib-powerpc-linux-gnu
Description-md5: 8f8bcf0982eb50ed5e013710e4fba34f
Description-en: GNU C compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-multilib-powerpc64-linux-gnu
Description-md5: 6b4f4449535347d777e4be09c0f60a83
Description-en: GNU C compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-multilib-s390x-linux-gnu
Description-md5: b66121d6f9ac00f634e35356c94f9fa6
Description-en: GNU C compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-multilib-sparc64-linux-gnu
Description-md5: 64868a8c5f326ebc1205d38ac2f1c47b
Description-en: GNU C compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-multilib-x86-64-linux-gnux32
Description-md5: ce32998e15b35da4bb9d55103c9869ed
Description-en: GNU C compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-offload-nvptx
Description-md5: 3c146096ed88f2f061e433f8b7d0e37c
Description-en: GCC offloading compiler to NVPTX
 The package provides offloading support for NVidia PTX.  OpenMP and OpenACC
 programs linked with -fopenmp will by default add PTX code into the binaries,
 which can be offloaded to NVidia PTX capable devices if available.

Package: gcc-8-plugin-dev
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-aarch64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-alpha-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-arm-linux-gnueabi
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-arm-linux-gnueabihf
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-hppa-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-i686-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-m68k-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-powerpc-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-powerpc64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-powerpc64le-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-riscv64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-s390x-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-sh4-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-sparc64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-plugin-dev-x86-64-linux-gnux32
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-powerpc-linux-gnu
Description-md5: 45b8bb0736af15d214f67dae5a33875a
Description-en: GNU C compiler (cross compiler for powerpc architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for powerpc architecture.

Package: gcc-8-powerpc-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-powerpc64-linux-gnu
Description-md5: 5576cbb75735e5752bbbd9f1338288a0
Description-en: GNU C compiler (cross compiler for ppc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for ppc64 architecture.

Package: gcc-8-powerpc64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-powerpc64le-linux-gnu
Description-md5: 02948f686f57b7661fd57acbd2976475
Description-en: GNU C compiler (cross compiler for ppc64el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for ppc64el architecture.

Package: gcc-8-powerpc64le-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-riscv64-linux-gnu
Description-md5: 0d14114bfdde50833447e5afa7c0bea2
Description-en: GNU C compiler (cross compiler for riscv64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for riscv64 architecture.

Package: gcc-8-riscv64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-s390x-linux-gnu
Description-md5: 7061b5561490b87818d8a46702f2fd78
Description-en: GNU C compiler (cross compiler for s390x architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for s390x architecture.

Package: gcc-8-s390x-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-sh4-linux-gnu
Description-md5: 539edebbf17a1829a278772b09e95d80
Description-en: GNU C compiler (cross compiler for sh4 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for sh4 architecture.

Package: gcc-8-sh4-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-source
Description-md5: cb00049993a8c14b73bb041c050eb8c5
Description-en: Source of the GNU Compiler Collection
 This package contains the sources and patches which are needed to
 build the GNU Compiler Collection (GCC).

Package: gcc-8-sparc64-linux-gnu
Description-md5: 4c65ae04634ee085bae81d947ec77b16
Description-en: GNU C compiler (cross compiler for sparc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for sparc64 architecture.

Package: gcc-8-sparc64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-test-results
Description-md5: dc68b9fb54342c9f71afbc0efcf1ade0
Description-en: Test results for the GCC test suite
 This package contains the test results for running the GCC test suite
 for a post build analysis.

Package: gcc-8-x86-64-linux-gnux32
Description-md5: ce9301ad842c3273341fa161f1a958ae
Description-en: GNU C compiler (cross compiler for x32 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for x32 architecture.

Package: gcc-8-x86-64-linux-gnux32-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-alpha-linux-gnu
Description-md5: 88aca54a1627a3fd7711d3f9d7ac5f33
Description-en: GNU C compiler (cross compiler for alpha architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for alpha architecture.

Package: gcc-9-alpha-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-arm-linux-gnueabi
Description-md5: 6d4f736a5f9df9e4e9a88a6f23fb803f
Description-en: GNU C compiler (cross compiler for armel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for armel architecture.

Package: gcc-9-arm-linux-gnueabi-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-cross-base-mipsen
Description-md5: 4c157f495e05e11e4ad2e3074ac017e0
Description-en: GCC, the GNU Compiler Collection (library base package)
 This empty package contains changelog and copyright files common to
 all libraries contained in the GNU Compiler Collection (GCC).

Package: gcc-9-cross-base-ports
Description-md5: 4c157f495e05e11e4ad2e3074ac017e0
Description-en: GCC, the GNU Compiler Collection (library base package)
 This empty package contains changelog and copyright files common to
 all libraries contained in the GNU Compiler Collection (GCC).

Package: gcc-9-hppa-linux-gnu
Description-md5: cef7613d51735832c53f1b1325a89812
Description-en: GNU C compiler (cross compiler for hppa architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for hppa architecture.

Package: gcc-9-hppa-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-hppa64-linux-gnu
Description-md5: 0ee0959bbc343ff940f7f385554ffc51
Description-en: GNU C compiler (cross compiler for hppa64)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.

Package: gcc-9-i686-linux-gnu
Description-md5: e08cbb27b980ce408f7cf6b470382377
Description-en: GNU C compiler (cross compiler for i386 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for i386 architecture.

Package: gcc-9-i686-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-locales
Description-md5: eb5e72cb380341d4ed2ad2d24c34f31d
Description-en: GCC, the GNU compiler collection (native language support files)
 Native language support for GCC. Lets GCC speak your language,
 if translations are available.
 .
 Please do NOT submit bug reports in other languages than "C".
 Always reset your language settings to use the "C" locales.

Package: gcc-9-m68k-linux-gnu
Description-md5: 593d004b0e996a576ee189070dfc0bcb
Description-en: GNU C compiler (cross compiler for m68k architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for m68k architecture.

Package: gcc-9-m68k-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-mips-linux-gnu
Description-md5: be6d2cc3e9b8c1d0308b373005b63a10
Description-en: GNU C compiler (cross compiler for mips architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips architecture.

Package: gcc-9-mips-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-mips64-linux-gnuabi64
Description-md5: ad8b0dd5eb608c299c86040d36ffd88e
Description-en: GNU C compiler (cross compiler for mips64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips64 architecture.

Package: gcc-9-mips64-linux-gnuabi64-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-mips64el-linux-gnuabi64
Description-md5: cca731d17203fa2912fe9c3749d8d7c3
Description-en: GNU C compiler (cross compiler for mips64el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips64el architecture.

Package: gcc-9-mips64el-linux-gnuabi64-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-mipsel-linux-gnu
Description-md5: 1ed845ccbb41e0438f6d587cf734705e
Description-en: GNU C compiler (cross compiler for mipsel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mipsel architecture.

Package: gcc-9-mipsel-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-mipsisa32r6-linux-gnu
Description-md5: fdc8a83a68b1c6cf719040dd928ffb1c
Description-en: GNU C compiler (cross compiler for mipsr6 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mipsr6 architecture.

Package: gcc-9-mipsisa32r6-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-mipsisa32r6el-linux-gnu
Description-md5: 8bb57d57871d799af6f8ede51702ad43
Description-en: GNU C compiler (cross compiler for mipsr6el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mipsr6el architecture.

Package: gcc-9-mipsisa32r6el-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-mipsisa64r6-linux-gnuabi64
Description-md5: 37280f5f5f1a2bac28b43466c7bf16e5
Description-en: GNU C compiler (cross compiler for mips64r6 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips64r6 architecture.

Package: gcc-9-mipsisa64r6-linux-gnuabi64-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-mipsisa64r6el-linux-gnuabi64
Description-md5: 24eb3234afc7c305b6afe67eb54cae9f
Description-en: GNU C compiler (cross compiler for mips64r6el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for mips64r6el architecture.

Package: gcc-9-mipsisa64r6el-linux-gnuabi64-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-multilib-arm-linux-gnueabi
Description-md5: fbfcfeacd3a6c24140a631ba4fda9360
Description-en: GNU C compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-arm-linux-gnueabihf
Description-md5: 4cb538840e60e5bf55300e2af7cc4bb4
Description-en: GNU C compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-i686-linux-gnu
Description-md5: 8f1710ddb22efe64f00eb522d643b878
Description-en: GNU C compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-mips-linux-gnu
Description-md5: 352bce4d6af0ef3e2260adabe2ff173d
Description-en: GNU C compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-mips64-linux-gnuabi64
Description-md5: 0ca7032ee3e71a85bdbed44c6b99bc87
Description-en: GNU C compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-mips64el-linux-gnuabi64
Description-md5: f4cfcd14632c3b026966af8e9ac60b0a
Description-en: GNU C compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-mipsel-linux-gnu
Description-md5: d3e65e9a4d8569516c54407d74e93560
Description-en: GNU C compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-mipsisa32r6-linux-gnu
Description-md5: bc2f903f4b7148f2e04662815719a85c
Description-en: GNU C compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-mipsisa32r6el-linux-gnu
Description-md5: 8b7ebc685cd7f591c8ed78fae9e06285
Description-en: GNU C compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: f75ec31d220e018a7619e3f92623e417
Description-en: GNU C compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 22c98144720069ea253e0cf6d91a5dcb
Description-en: GNU C compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-powerpc-linux-gnu
Description-md5: 8f8bcf0982eb50ed5e013710e4fba34f
Description-en: GNU C compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-powerpc64-linux-gnu
Description-md5: 6b4f4449535347d777e4be09c0f60a83
Description-en: GNU C compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-s390x-linux-gnu
Description-md5: b66121d6f9ac00f634e35356c94f9fa6
Description-en: GNU C compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-sparc64-linux-gnu
Description-md5: 64868a8c5f326ebc1205d38ac2f1c47b
Description-en: GNU C compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-multilib-x86-64-linux-gnux32
Description-md5: ce32998e15b35da4bb9d55103c9869ed
Description-en: GNU C compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-offload-nvptx
Description-md5: 3c146096ed88f2f061e433f8b7d0e37c
Description-en: GCC offloading compiler to NVPTX
 The package provides offloading support for NVidia PTX.  OpenMP and OpenACC
 programs linked with -fopenmp will by default add PTX code into the binaries,
 which can be offloaded to NVidia PTX capable devices if available.

Package: gcc-9-plugin-dev
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-aarch64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-alpha-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-arm-linux-gnueabi
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-arm-linux-gnueabihf
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-hppa-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-i686-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-m68k-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-mips-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-mips64-linux-gnuabi64
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-mips64el-linux-gnuabi64
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-mipsel-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-mipsisa32r6-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-mipsisa32r6el-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-mipsisa64r6-linux-gnuabi64
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-mipsisa64r6el-linux-gnuabi64
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-powerpc-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-powerpc64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-powerpc64le-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-riscv64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-s390x-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-sh4-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-sparc64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-plugin-dev-x86-64-linux-gnux32
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-powerpc-linux-gnu
Description-md5: 45b8bb0736af15d214f67dae5a33875a
Description-en: GNU C compiler (cross compiler for powerpc architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for powerpc architecture.

Package: gcc-9-powerpc-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-powerpc64-linux-gnu
Description-md5: 5576cbb75735e5752bbbd9f1338288a0
Description-en: GNU C compiler (cross compiler for ppc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for ppc64 architecture.

Package: gcc-9-powerpc64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-riscv64-linux-gnu
Description-md5: 0d14114bfdde50833447e5afa7c0bea2
Description-en: GNU C compiler (cross compiler for riscv64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for riscv64 architecture.

Package: gcc-9-riscv64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-sh4-linux-gnu
Description-md5: 539edebbf17a1829a278772b09e95d80
Description-en: GNU C compiler (cross compiler for sh4 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for sh4 architecture.

Package: gcc-9-sh4-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-source
Description-md5: cb00049993a8c14b73bb041c050eb8c5
Description-en: Source of the GNU Compiler Collection
 This package contains the sources and patches which are needed to
 build the GNU Compiler Collection (GCC).

Package: gcc-9-sparc64-linux-gnu
Description-md5: 4c65ae04634ee085bae81d947ec77b16
Description-en: GNU C compiler (cross compiler for sparc64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for sparc64 architecture.

Package: gcc-9-sparc64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-test-results
Description-md5: dc68b9fb54342c9f71afbc0efcf1ade0
Description-en: Test results for the GCC test suite
 This package contains the test results for running the GCC test suite
 for a post build analysis.

Package: gcc-9-x86-64-linux-gnux32
Description-md5: ce9301ad842c3273341fa161f1a958ae
Description-en: GNU C compiler (cross compiler for x32 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for x32 architecture.

Package: gcc-9-x86-64-linux-gnux32-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-alpha-linux-gnu
Description-md5: 0250fe7da8f99f3dd8036156b9b7d8b9
Description-en: GNU C compiler for the alpha architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the alpha architecture.

Package: gcc-arm-linux-gnueabi
Description-md5: 2110a2ee344f1a65d3401a41cc0d42a7
Description-en: GNU C compiler for the armel architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the armel architecture.

Package: gcc-arm-none-eabi
Description-md5: 9da50871a8c83af046cd3b2d2c25fba2
Description-en: GCC cross compiler for ARM Cortex-R/M processors
 Bare metal C and C++ compiler for embedded ARM chips using Cortex-M, and
 Cortex-R processors.
 This package is based on the GNU ARM toolchain provided by ARM.

Package: gcc-arm-none-eabi-source
Description-md5: 8e7ec8e77660318baa356aae00688625
Description-en: GCC cross compiler for ARM Cortex-R/M processors (source)
 Bare metal C and C++ compiler for embedded ARM chips using Cortex-M, and
 Cortex-R processors.
 This package is based on the GNU ARM toolchain provided by ARM.
 .
 This package contains the sources and patches which are needed
 to build the GNU Compiler Collection (GCC) for embedded ARM chips.

Package: gcc-avr
Description-md5: 4f2d9a1e3db47f1ff1dc62bc336adcec
Description-en: GNU C compiler (cross compiler for avr)
 This is the GNU C compiler, a fairly portable optimizing compiler which
 supports multiple languages.  This package includes support for C.

Package: gcc-h8300-hms
Description-md5: d91901416f9dfbb090eaa1f5d4083486
Description-en: GNU C compiler (cross compiler for h8300-hitachi-coff)
 This is the GNU C compiler, a fairly portable optimizing compiler which
 supports multiple languages.  This package includes support for C.

Package: gcc-hppa-linux-gnu
Description-md5: 9b4cc0d6ef3204d23b1dfbb24fbea4a0
Description-en: GNU C compiler for the hppa architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the hppa architecture.

Package: gcc-hppa64-linux-gnu
Description-md5: 7507773089a56145bb979560f068e036
Description-en: GNU C compiler (cross compiler for hppa64-linux-gnu)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the hppa64 architecture.

Package: gcc-i686-linux-gnu
Description-md5: 87162302fcdfe23fcf402bcdae36f6e9
Description-en: GNU C compiler for the i386 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the i386 architecture.

Package: gcc-m68hc1x
Description-md5: 55553d33648eabf0c0f1be4fe3f47f4c
Description-en: GNU C compiler for the Motorola 68HC11/12 processors
 This is the GNU C compiler, a fairly portable optimizing compiler which
 supports multiple languages. This package includes support for C for
 cross-compiling to a Motorola 68HC11/12 microcontroller-based target.

Package: gcc-m68k-linux-gnu
Description-md5: 62b0578005db4ff12f60b4093fece105
Description-en: GNU C compiler for the m68k architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the m68k architecture.

Package: gcc-mingw-w64
Description-md5: 186a2a61eb56afd112def7e23ba6c0ba
Description-en: GNU C compiler for MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This metapackage provides the C compiler, supporting
 cross-compiling to 32- and 64-bit MinGW-w64 targets.

Package: gcc-mingw-w64-base
Description-md5: c672bcae021d4c3e369501f16896add5
Description-en: GNU Compiler Collection for MinGW-w64 (base package)
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This empty package contains the documentation common to all
 gcc-mingw-w64 packages.

Package: gcc-mingw-w64-i686
Description-md5: 611e4c52f0fe7a0cd82c5c0d30c5600d
Description-en: GNU C compiler for MinGW-w64 targeting Win32
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the C compiler, supporting
 cross-compiling to 32-bit MinGW-w64 targets.

Package: gcc-mingw-w64-x86-64
Description-md5: 5c2bba92c98e959d558a7f9930287499
Description-en: GNU C compiler for MinGW-w64 targeting Win64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the C compiler, supporting
 cross-compiling to 64-bit MinGW-w64 targets.

Package: gcc-mips-linux-gnu
Description-md5: c1649e66b611f7e490e4187f67900cf1
Description-en: GNU C compiler for the mips architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips architecture.

Package: gcc-mips64-linux-gnuabi64
Description-md5: 10a3aee250947d2b88ff880085b70f93
Description-en: GNU C compiler for the mips64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips64 architecture.

Package: gcc-mips64el-linux-gnuabi64
Description-md5: bf957c91207d05b289c20c7079f38391
Description-en: GNU C compiler for the mips64el architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips64el architecture.

Package: gcc-mipsel-linux-gnu
Description-md5: 89d5822bf9c4a0f274590d1e6da9bf9b
Description-en: GNU C compiler for the mipsel architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mipsel architecture.

Package: gcc-mipsisa32r6-linux-gnu
Description-md5: 3c6715034714e250586ffcff8e12f811
Description-en: GNU C compiler for the mipsr6 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mipsr6 architecture.

Package: gcc-mipsisa32r6el-linux-gnu
Description-md5: 86d24c98b9152741e198261a18f6fcbe
Description-en: GNU C compiler for the mipsr6el architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mipsr6el architecture.

Package: gcc-mipsisa64r6-linux-gnuabi64
Description-md5: ccbee6bf549895b4a8fdbe171c6c374d
Description-en: GNU C compiler for the mips64r6 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips64r6 architecture.

Package: gcc-mipsisa64r6el-linux-gnuabi64
Description-md5: 9da9bb886c4c5d5dae08f21c44aba879
Description-en: GNU C compiler for the mips64r6el architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips64r6el architecture.

Package: gcc-msp430
Description-md5: 33d7cd36e9449f7e475b88b344e10c36
Description-en: GNU C compiler (cross compiler for MSP430)
 This is the GNU C compiler, a fairly portable optimizing compiler for C
 for TI's MSP430 architecture.  This package is primarily for MSP430
 developers and cross-compilers and is not needed by normal users.
 .
 BEWARE: due to a bug in the memory layout reference of FRAM-based chips,
 this package DOES NOT WORK with MSP430FR5xxx chips (eg. FraunchPad devkit).
 DO NOT use gcc-msp430 on that chip series, as you will lose access to
 JTAG and BSL, and permanently BRICK your chip!

Package: gcc-multilib-arm-linux-gnueabi
Description-md5: 2110a2ee344f1a65d3401a41cc0d42a7
Description-en: GNU C compiler for the armel architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the armel architecture.

Package: gcc-multilib-arm-linux-gnueabihf
Description-md5: 341bee5927aa97cd38931c348b808c55
Description-en: GNU C compiler for the armhf architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the armhf architecture.

Package: gcc-multilib-i686-linux-gnu
Description-md5: 87162302fcdfe23fcf402bcdae36f6e9
Description-en: GNU C compiler for the i386 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the i386 architecture.

Package: gcc-multilib-mips-linux-gnu
Description-md5: c1649e66b611f7e490e4187f67900cf1
Description-en: GNU C compiler for the mips architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips architecture.

Package: gcc-multilib-mips64-linux-gnuabi64
Description-md5: 10a3aee250947d2b88ff880085b70f93
Description-en: GNU C compiler for the mips64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips64 architecture.

Package: gcc-multilib-mips64el-linux-gnuabi64
Description-md5: bf957c91207d05b289c20c7079f38391
Description-en: GNU C compiler for the mips64el architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips64el architecture.

Package: gcc-multilib-mipsel-linux-gnu
Description-md5: 89d5822bf9c4a0f274590d1e6da9bf9b
Description-en: GNU C compiler for the mipsel architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mipsel architecture.

Package: gcc-multilib-mipsisa32r6-linux-gnu
Description-md5: 3c6715034714e250586ffcff8e12f811
Description-en: GNU C compiler for the mipsr6 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mipsr6 architecture.

Package: gcc-multilib-mipsisa32r6el-linux-gnu
Description-md5: 86d24c98b9152741e198261a18f6fcbe
Description-en: GNU C compiler for the mipsr6el architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mipsr6el architecture.

Package: gcc-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: ccbee6bf549895b4a8fdbe171c6c374d
Description-en: GNU C compiler for the mips64r6 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips64r6 architecture.

Package: gcc-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 9da9bb886c4c5d5dae08f21c44aba879
Description-en: GNU C compiler for the mips64r6el architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the mips64r6el architecture.

Package: gcc-multilib-powerpc-linux-gnu
Description-md5: f04c912445b670b2ab129b21d4a47762
Description-en: GNU C compiler for the powerpc architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the powerpc architecture.

Package: gcc-multilib-powerpc64-linux-gnu
Description-md5: 3f3a4a778f1fff5d21b07b98acde1dd2
Description-en: GNU C compiler for the ppc64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the ppc64 architecture.

Package: gcc-multilib-s390x-linux-gnu
Description-md5: 0e9bad3b62304d1f54438e18e774f9bd
Description-en: GNU C compiler for the s390x architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the s390x architecture.

Package: gcc-multilib-sparc64-linux-gnu
Description-md5: 8a8521de32d5effc17c6a89a9ab1b005
Description-en: GNU C compiler for the sparc64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the sparc64 architecture.

Package: gcc-multilib-x86-64-linux-gnux32
Description-md5: b4211c1f0ee569d26c5442d9627cb0ed
Description-en: GNU C compiler for the x32 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the x32 architecture.

Package: gcc-offload-nvptx
Description-md5: c1a31b9ac549c15ced65477b36aa4639
Description-en: GCC offloading compiler to NVPTX
 This package contains libgomp plugin for offloading to NVidia
 PTX. The plugin needs libcuda.so.1 shared library that has to be
 installed separately.
 .
 This is a dependency package providing the default GNU Objective-C compiler.

Package: gcc-opt
Description-md5: 467f839ca3ec13d3f1fc29a0807d16e8
Description-en: allow global optimization flags for gcc, g++
 set global flags for gcc, g++

Package: gcc-powerpc-linux-gnu
Description-md5: f04c912445b670b2ab129b21d4a47762
Description-en: GNU C compiler for the powerpc architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the powerpc architecture.

Package: gcc-powerpc64-linux-gnu
Description-md5: 3f3a4a778f1fff5d21b07b98acde1dd2
Description-en: GNU C compiler for the ppc64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the ppc64 architecture.

Package: gcc-python-plugin-doc
Description-md5: 6fb2f22f9b8144ff91cf438f9b20d14d
Description-en: plugin for GCC to invoke Python scripts from inside the compiler
 The GCC Python plugin is a plugin for GCC, which links against libpython,
 and allows you to invoke arbitrary Python scripts from inside the compiler.
 The aim is to allow you to write GCC plugins in Python.
 .
 This package contains the documentation.

Package: gcc-python3-dbg-plugin
Description-md5: bf9203960fc8716fdacdf014816878a5
Description-en: plugin for GCC to invoke Python scripts from inside the compiler
 The GCC Python plugin is a plugin for GCC, which links against libpython,
 and allows you to invoke arbitrary Python scripts from inside the compiler.
 The aim is to allow you to write GCC plugins in Python.

Package: gcc-python3-plugin
Description-md5: bf9203960fc8716fdacdf014816878a5
Description-en: plugin for GCC to invoke Python scripts from inside the compiler
 The GCC Python plugin is a plugin for GCC, which links against libpython,
 and allows you to invoke arbitrary Python scripts from inside the compiler.
 The aim is to allow you to write GCC plugins in Python.

Package: gcc-riscv64-linux-gnu
Description-md5: 076e3ddf958518fce5f91a3ca856f2b9
Description-en: GNU C compiler for the riscv64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the riscv64 architecture.

Package: gcc-riscv64-unknown-elf
Description-md5: 8c76c86be2969ece060a85d74ea1714d
Description-en: GCC compiler for embedded RISC-V chips
 Bare metal compiler for embedded RISC-V chips supporting both 64 and
 32 bit architectures. This package includes patches for
 vendor-specific ISA extensions.

Package: gcc-sh4-linux-gnu
Description-md5: 890c6dc590c651e561e51c27cd990372
Description-en: GNU C compiler for the sh4 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the sh4 architecture.

Package: gcc-snapshot
Description-md5: 04205e24a49d2983b9b94e973a23f42a
Description-en: SNAPSHOT of the GNU Compiler Collection
 This package contains a recent development SNAPSHOT of all files
 contained in the GNU Compiler Collection (GCC).
 .
 The source code for this package has been exported from SVN trunk.
 .
 DO NOT USE THIS SNAPSHOT FOR BUILDING DEBIAN PACKAGES!
 .
 This package will NEVER hit the testing distribution. It is used for
 tracking gcc bugs submitted to the Debian BTS in recent development
 versions of gcc.

Package: gcc-sparc64-linux-gnu
Description-md5: 8a8521de32d5effc17c6a89a9ab1b005
Description-en: GNU C compiler for the sparc64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the sparc64 architecture.

Package: gcc-x86-64-linux-gnux32
Description-md5: b4211c1f0ee569d26c5442d9627cb0ed
Description-en: GNU C compiler for the x32 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the x32 architecture.

Package: gcc-xtensa-lx106
Description-md5: 27a3cb8ce15944f5519980467d42d040
Description-en: GNU C compiler for Xtensa lx106 core
 Bare metal C cross compiler for chips using the Xtensa lx106 core, such
 as the Espressif ESP8266 wireless SoCs. This package is primarily for
 those developing for the ESP8266 platform and is not needed by normal
 users or developers.

Package: gccbrig
Description-md5: 32f82fc2f824cee361cb93b4883e9844
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.  The consumed format is a binary
 representation. The textual HSAIL can be compiled to it with a separate
 assembler.
 .
 This is a dependency package providing the default GNU Objective-C compiler.

Package: gccbrig-10
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-10-i686-linux-gnu
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-10-x86-64-linux-gnux32
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-7
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-8
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-8-i686-linux-gnu
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-8-x86-64-linux-gnux32
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-9
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-9-i686-linux-gnu
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-9-x86-64-linux-gnux32
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccgo
Description-md5: 9d336d49adc1ff59921be0a9229b7c40
Description-en: Go compiler, based on the GCC backend
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go compiler.

Package: gccgo-10
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-aarch64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-alpha-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-arm-linux-gnueabi
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-arm-linux-gnueabihf
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-doc
Description-md5: 76326180b2469131aa9547f46e5b3105
Description-en: Documentation for the GNU Go compiler (gccgo)
 Documentation for the GNU Go compiler in info format.

Package: gccgo-10-i686-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-mips-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-mips64-linux-gnuabi64
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-mips64el-linux-gnuabi64
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-mipsel-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-mipsisa32r6-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-mipsisa32r6el-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-mipsisa64r6-linux-gnuabi64
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-mipsisa64r6el-linux-gnuabi64
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-multilib
Description-md5: 25e9a6929ec801c16cd933e711c7f1ed
Description-en: GNU Go compiler (multilib support)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-i686-linux-gnu
Description-md5: 8e39a60725a1919aef4ede9601a6f1c4
Description-en: GNU Go compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-mips-linux-gnu
Description-md5: 31c7e6f83b1e912304558fb0161e8b9a
Description-en: GNU Go compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-mips64-linux-gnuabi64
Description-md5: 1e4f8bd6274cd11c9b788b05bbea1797
Description-en: GNU Go compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-mips64el-linux-gnuabi64
Description-md5: a432a3ac640f6f5d3ed877f49757522a
Description-en: GNU Go compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-mipsel-linux-gnu
Description-md5: a5ca73caf9a29e5a07321e8b7f726e84
Description-en: GNU Go compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-mipsisa32r6-linux-gnu
Description-md5: ee209ee976aed37e683bc7766268ad31
Description-en: GNU Go compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-mipsisa32r6el-linux-gnu
Description-md5: 096f1e40f487a1659281fc36ccb7c464
Description-en: GNU Go compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 456d34ae92bfe5a6677ae1b1766ce2a5
Description-en: GNU Go compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: b9b7c7a0770c48e26bd904916ee71433
Description-en: GNU Go compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-powerpc-linux-gnu
Description-md5: bf216cee702df562439849ee3b90f2dc
Description-en: GNU Go compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-powerpc64-linux-gnu
Description-md5: 333f91c515824319cb3844392eb34c06
Description-en: GNU Go compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-s390x-linux-gnu
Description-md5: b5c358b8c4d92ec36b62b55a31e3d1ad
Description-en: GNU Go compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-sparc64-linux-gnu
Description-md5: 21918b36ba77bb1e333acfedc4877dc8
Description-en: GNU Go compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-multilib-x86-64-linux-gnux32
Description-md5: af0104b589ec1438a54f3445f1b91709
Description-en: GNU Go compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-powerpc-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-powerpc64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-powerpc64le-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-riscv64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-s390x-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-sh4-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-sparc64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-10-x86-64-linux-gnux32
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-7
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-7-doc
Description-md5: 76326180b2469131aa9547f46e5b3105
Description-en: Documentation for the GNU Go compiler (gccgo)
 Documentation for the GNU Go compiler in info format.

Package: gccgo-7-multilib
Description-md5: 25e9a6929ec801c16cd933e711c7f1ed
Description-en: GNU Go compiler (multilib support)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-aarch64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-alpha-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-arm-linux-gnueabi
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-arm-linux-gnueabihf
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-doc
Description-md5: 76326180b2469131aa9547f46e5b3105
Description-en: Documentation for the GNU Go compiler (gccgo)
 Documentation for the GNU Go compiler in info format.

Package: gccgo-8-i686-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-multilib
Description-md5: 25e9a6929ec801c16cd933e711c7f1ed
Description-en: GNU Go compiler (multilib support)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8-multilib-i686-linux-gnu
Description-md5: 8e39a60725a1919aef4ede9601a6f1c4
Description-en: GNU Go compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8-multilib-powerpc-linux-gnu
Description-md5: bf216cee702df562439849ee3b90f2dc
Description-en: GNU Go compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8-multilib-powerpc64-linux-gnu
Description-md5: 333f91c515824319cb3844392eb34c06
Description-en: GNU Go compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8-multilib-s390x-linux-gnu
Description-md5: b5c358b8c4d92ec36b62b55a31e3d1ad
Description-en: GNU Go compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8-multilib-sparc64-linux-gnu
Description-md5: 21918b36ba77bb1e333acfedc4877dc8
Description-en: GNU Go compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8-multilib-x86-64-linux-gnux32
Description-md5: af0104b589ec1438a54f3445f1b91709
Description-en: GNU Go compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8-powerpc-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-powerpc64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-powerpc64le-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-riscv64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-s390x-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-sparc64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-x86-64-linux-gnux32
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-aarch64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-alpha-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-arm-linux-gnueabi
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-arm-linux-gnueabihf
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-doc
Description-md5: 76326180b2469131aa9547f46e5b3105
Description-en: Documentation for the GNU Go compiler (gccgo)
 Documentation for the GNU Go compiler in info format.

Package: gccgo-9-i686-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-mips-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-mips64-linux-gnuabi64
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-mips64el-linux-gnuabi64
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-mipsel-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-mipsisa32r6-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-mipsisa32r6el-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-mipsisa64r6-linux-gnuabi64
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-mipsisa64r6el-linux-gnuabi64
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-multilib
Description-md5: 25e9a6929ec801c16cd933e711c7f1ed
Description-en: GNU Go compiler (multilib support)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-i686-linux-gnu
Description-md5: 8e39a60725a1919aef4ede9601a6f1c4
Description-en: GNU Go compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-mips-linux-gnu
Description-md5: 31c7e6f83b1e912304558fb0161e8b9a
Description-en: GNU Go compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-mips64-linux-gnuabi64
Description-md5: 1e4f8bd6274cd11c9b788b05bbea1797
Description-en: GNU Go compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-mips64el-linux-gnuabi64
Description-md5: a432a3ac640f6f5d3ed877f49757522a
Description-en: GNU Go compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-mipsel-linux-gnu
Description-md5: a5ca73caf9a29e5a07321e8b7f726e84
Description-en: GNU Go compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-mipsisa32r6-linux-gnu
Description-md5: ee209ee976aed37e683bc7766268ad31
Description-en: GNU Go compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-mipsisa32r6el-linux-gnu
Description-md5: 096f1e40f487a1659281fc36ccb7c464
Description-en: GNU Go compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 456d34ae92bfe5a6677ae1b1766ce2a5
Description-en: GNU Go compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: b9b7c7a0770c48e26bd904916ee71433
Description-en: GNU Go compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-powerpc-linux-gnu
Description-md5: bf216cee702df562439849ee3b90f2dc
Description-en: GNU Go compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-powerpc64-linux-gnu
Description-md5: 333f91c515824319cb3844392eb34c06
Description-en: GNU Go compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-s390x-linux-gnu
Description-md5: b5c358b8c4d92ec36b62b55a31e3d1ad
Description-en: GNU Go compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-sparc64-linux-gnu
Description-md5: 21918b36ba77bb1e333acfedc4877dc8
Description-en: GNU Go compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-multilib-x86-64-linux-gnux32
Description-md5: af0104b589ec1438a54f3445f1b91709
Description-en: GNU Go compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-powerpc-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-powerpc64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-powerpc64le-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-riscv64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-s390x-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-sh4-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-sparc64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-x86-64-linux-gnux32
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-aarch64-linux-gnu
Description-md5: 49ffa3c97d58d095ce1a2cad8bc0e665
Description-en: Go compiler (based on GCC) for the arm64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the arm64 architecture.

Package: gccgo-alpha-linux-gnu
Description-md5: 7f25fc7b39e552a8ff1428c96d8a596a
Description-en: Go compiler (based on GCC) for the alpha architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the alpha architecture.

Package: gccgo-arm-linux-gnueabi
Description-md5: 724a2cc4146b8fca0618b8695a620cd4
Description-en: Go compiler (based on GCC) for the armel architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the armel architecture.

Package: gccgo-arm-linux-gnueabihf
Description-md5: aef6da534a688c20fe679734aecba741
Description-en: Go compiler (based on GCC) for the armhf architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the armhf architecture.

Package: gccgo-doc
Description-md5: 0eaec438c07175b82a04f00f7787443a
Description-en: Documentation for the GNU Go compiler
 Documentation for the GNU Go compiler in info format (dependency package).

Package: gccgo-go
Description-md5: e9367fd5d4bee8931b5073c4b70ed278
Description-en: Go programming language -- gccgo
 The Go programming language is an open source project to make programmers more
 productive. Go is expressive, concise, clean, and efficient. Its concurrency
 mechanisms make it easy to write programs that get the most out of multicore
 and networked machines, while its novel type system enables flexible and
 modular program construction. Go compiles quickly to machine code yet has the
 convenience of garbage collection and the power of run-time reflection. It's a
 fast, statically typed, compiled language that feels like a dynamically typed,
 interpreted language.
 .
 Installing this package will install the gccgo implementation as /usr/bin/go.
 It conflicts with golang-go.

Package: gccgo-i686-linux-gnu
Description-md5: f2f28293a5aeb4b9bababfb8a408b8cc
Description-en: Go compiler (based on GCC) for the i386 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the i386 architecture.

Package: gccgo-mips-linux-gnu
Description-md5: e0549694c80d0430ed6a82a95e2fa792
Description-en: Go compiler (based on GCC) for the mips architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips architecture.

Package: gccgo-mips64-linux-gnuabi64
Description-md5: 1e9feb6f71fa2b19b0f860c08835d471
Description-en: Go compiler (based on GCC) for the mips64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips64 architecture.

Package: gccgo-mips64el-linux-gnuabi64
Description-md5: 71391cfdde82fb8bef82e92e7cbea123
Description-en: Go compiler (based on GCC) for the mips64el architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips64el architecture.

Package: gccgo-mipsel-linux-gnu
Description-md5: bc62f1f370e2df6a0895a0e421854c3d
Description-en: Go compiler (based on GCC) for the mipsel architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mipsel architecture.

Package: gccgo-mipsisa32r6-linux-gnu
Description-md5: 2f5e8125bed4007d74ea36319e316845
Description-en: Go compiler (based on GCC) for the mipsr6 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mipsr6 architecture.

Package: gccgo-mipsisa32r6el-linux-gnu
Description-md5: 9a4f863ef6fb22fa1f30d0fada112951
Description-en: Go compiler (based on GCC) for the mipsr6el architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mipsr6el architecture.

Package: gccgo-mipsisa64r6-linux-gnuabi64
Description-md5: f62a351ccd60fbc307f0bbca3b55e39f
Description-en: Go compiler (based on GCC) for the mips64r6 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips64r6 architecture.

Package: gccgo-mipsisa64r6el-linux-gnuabi64
Description-md5: 1beaa848f2c648eb613148a64e06b566
Description-en: Go compiler (based on GCC) for the mips64r6el architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips64r6el architecture.

Package: gccgo-multilib
Description-md5: 471dd036e567b77f4b0772d851db6d8d
Description-en: Go compiler, based on the GCC backend (multilib files)
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 A dependency package on architectures with multilib support; the package
 contains dependencies for the non-default multilib architecture(s).

Package: gccgo-multilib-i686-linux-gnu
Description-md5: f2f28293a5aeb4b9bababfb8a408b8cc
Description-en: Go compiler (based on GCC) for the i386 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the i386 architecture.

Package: gccgo-multilib-mips-linux-gnu
Description-md5: e0549694c80d0430ed6a82a95e2fa792
Description-en: Go compiler (based on GCC) for the mips architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips architecture.

Package: gccgo-multilib-mips64-linux-gnuabi64
Description-md5: 1e9feb6f71fa2b19b0f860c08835d471
Description-en: Go compiler (based on GCC) for the mips64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips64 architecture.

Package: gccgo-multilib-mips64el-linux-gnuabi64
Description-md5: 71391cfdde82fb8bef82e92e7cbea123
Description-en: Go compiler (based on GCC) for the mips64el architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips64el architecture.

Package: gccgo-multilib-mipsel-linux-gnu
Description-md5: bc62f1f370e2df6a0895a0e421854c3d
Description-en: Go compiler (based on GCC) for the mipsel architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mipsel architecture.

Package: gccgo-multilib-mipsisa32r6-linux-gnu
Description-md5: 2f5e8125bed4007d74ea36319e316845
Description-en: Go compiler (based on GCC) for the mipsr6 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mipsr6 architecture.

Package: gccgo-multilib-mipsisa32r6el-linux-gnu
Description-md5: 9a4f863ef6fb22fa1f30d0fada112951
Description-en: Go compiler (based on GCC) for the mipsr6el architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mipsr6el architecture.

Package: gccgo-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: f62a351ccd60fbc307f0bbca3b55e39f
Description-en: Go compiler (based on GCC) for the mips64r6 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips64r6 architecture.

Package: gccgo-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 1beaa848f2c648eb613148a64e06b566
Description-en: Go compiler (based on GCC) for the mips64r6el architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the mips64r6el architecture.

Package: gccgo-multilib-powerpc-linux-gnu
Description-md5: dc62e8a42fffd8f66a460ae857201552
Description-en: Go compiler (based on GCC) for the powerpc architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the powerpc architecture.

Package: gccgo-multilib-powerpc64-linux-gnu
Description-md5: 22d86fd138603b3887d783b53160f0c9
Description-en: Go compiler (based on GCC) for the ppc64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the ppc64 architecture.

Package: gccgo-multilib-s390x-linux-gnu
Description-md5: 5dc2e2488b9da8f7067ca11682396532
Description-en: Go compiler (based on GCC) for the s390x architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the s390x architecture.

Package: gccgo-multilib-sparc64-linux-gnu
Description-md5: e795261a9580712a94c24d634e2ac50a
Description-en: Go compiler (based on GCC) for the sparc64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the sparc64 architecture.

Package: gccgo-multilib-x86-64-linux-gnux32
Description-md5: 29423891180a50868fee8ad6af6dafef
Description-en: Go compiler (based on GCC) for the x32 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the x32 architecture.

Package: gccgo-powerpc-linux-gnu
Description-md5: dc62e8a42fffd8f66a460ae857201552
Description-en: Go compiler (based on GCC) for the powerpc architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the powerpc architecture.

Package: gccgo-powerpc64-linux-gnu
Description-md5: 22d86fd138603b3887d783b53160f0c9
Description-en: Go compiler (based on GCC) for the ppc64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the ppc64 architecture.

Package: gccgo-powerpc64le-linux-gnu
Description-md5: 3bd9f09f65dbd3aa881890a8da6701e3
Description-en: Go compiler (based on GCC) for the ppc64el architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the ppc64el architecture.

Package: gccgo-riscv64-linux-gnu
Description-md5: 0d3cc71e786849332bd811c9803dd673
Description-en: Go compiler (based on GCC) for the riscv64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the riscv64 architecture.

Package: gccgo-s390x-linux-gnu
Description-md5: 5dc2e2488b9da8f7067ca11682396532
Description-en: Go compiler (based on GCC) for the s390x architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the s390x architecture.

Package: gccgo-sparc64-linux-gnu
Description-md5: e795261a9580712a94c24d634e2ac50a
Description-en: Go compiler (based on GCC) for the sparc64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the sparc64 architecture.

Package: gccgo-x86-64-linux-gnux32
Description-md5: 29423891180a50868fee8ad6af6dafef
Description-en: Go compiler (based on GCC) for the x32 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the x32 architecture.

Package: gccintro
Description-md5: 8da751ff4e745d103d8211095d61e308
Description-en: Introduction to GCC by Brian J. Gough
 This manual provides a tutorial introduction to the GNU C and C++
 compilers, gcc and g++.
 .
 Many books teach the C and C++ languages, this book explains how to use
 the compiler itself. Based on years of observation of questions posted
 on mailing lists, it guides the reader straight to the important options
 of GCC!
 .
 Concisely written, with numerous easy-to-follow "Hello World" examples.
 .
 Now with extensive new sections on error messages, portability and
 floating point arithmetic!
 .
 Features a special foreword by Richard M. Stallman, principal developer
 of GCC and founder of the GNU Project.
 .
 The content of this Debian packaged version has some minor adjustments to
 match learning experiences under the Debian environment.
 .
 Please note the Debian package version tracks on the upstream tarball
 version.  When upstream releases a new version as a patch file, the Debian
 package version stays with the upstream tarball version.
 .
 The actual current upstream version is 1.1.

Package: gchempaint
Description-md5: 93366c1abde3e6faf5074d4ac2087817
Description-en: 2D chemical structures editor for the GNOME2 desktop
 GChemPaint is an editor for 2D chemical structures with a multiple
 document interface. Drawn molecules can be searched at NIST Webbook
 and PubChem.

Package: gcin
Description-md5: 76aafdefb6c4738db36a21e1d9cbbd66
Description-en: GTK+ based input method for Chinese users
 gcin is a GTK+ based input method which focused mainly on Traditional
 Chinese. However, it is also very useful for Simplified Chinese, Japanese,
 and many other languages.
 .
 To use gcin, the correspondence immodule packages also need to be installed.
 They are:
 .
  * gcin-gtk2-immodule for GTK2
  * gcin-gtk3-immodule for GTK3
  * gcin-qt5-immodule for Qt5

Package: gcin-anthy
Description-md5: 4c536c3e43101619a9a80eaa2dfd2f6b
Description-en: support library to use Anthy in gcin
 gcin is a GTK+ based input method which focused mainly on Traditional
 Chinese. However, it is also very useful for Simplified Chinese, Japanese,
 and many other languages.
 .
 This package is the support library to use anthy in gcin.

Package: gcin-data
Description-md5: df99f0ed19376dce96e764842e1e9334
Description-en: icons and scripts for gcin
 gcin is a GTK+ based input method which focused mainly on Traditional
 Chinese. However, it is also very useful for Simplified Chinese, Japanese,
 and many other languages.
 .
 This package contains icons, scripts and other architecture-independent
 things.

Package: gcin-gtk2-immodule
Description-md5: 7185dac38716d3678f078a67ce57249a
Description-en: GTK2 input method module with gcin as backend
 gcin is a GTK+ based input method which focused mainly on Traditional
 Chinese. However, it is also very useful for Simplified Chinese, Japanese,
 and many other languages.
 .
 This package is the GTK2 input method module (immodule) for gcin.

Package: gcin-gtk3-immodule
Description-md5: 9f6d772990da66bfd1fe3978f8102f76
Description-en: GTK3 input method module with gcin as backend
 gcin is a GTK+ based input method which focused mainly on Traditional
 Chinese. However, it is also very useful for Simplified Chinese, Japanese,
 and many other languages.
 .
 This package is the GTK3 input method module (immodule) for gcin.

Package: gcin-qt5-immodule
Description-md5: 47a564ee5f7aa4d010076956b05f5557
Description-en: Qt5 input method module with gcin as backend
 gcin is a GTK+ based input method which focused mainly on Traditional
 Chinese. However, it is also very useful for Simplified Chinese, Japanese,
 and many other languages.
 .
 This package is the Qt5 input method module (immodule) for gcin.

Package: gcin-tables
Description-md5: f0ffb01141ee45898b43f6fe35c1a919
Description-en: input method tables for gcin
 gcin is a GTK+ based input method which focused mainly on Traditional
 Chinese. However, it is also very useful for Simplified Chinese, Japanese,
 and many other languages.
 .
 This package contains architecture-dependent input method tables.

Package: gcin-voice
Description-md5: 87bea643b71b7519fdf7d171a9536296
Description-en: gcin voice data
 This package provides gcin voice data. The data contain ogg sound for every
 Chinese word. With it, gcin can play sound during typing to enhance typing
 performance.

Package: gcl
Description-md5: f493367acf500ea1be0a017b9c0f0fb8
Description-en: GNU Common Lisp compiler
 GNU Common Lisp (GCL) is a Common Lisp compiler and interpreter
 implemented in C, and complying mostly with the standard set
 forth in the book "Common Lisp, the Language I".  It attempts
 to strike a useful middle ground in performance and portability
 from its design around C.
 .
 This package contains the Lisp system itself.  Documentation
 is provided in the gcl-doc package.

Package: gcl-doc
Description-md5: ed4ba6cd7211ec9c7d9827fa0f043b7d
Description-en: Documentation for GNU Common Lisp
 GNU Common Lisp (GCL) is a Common Lisp compiler and interpreter
 implemented in C, and complying mostly with the standard set
 forth in the book "Common Lisp, the Language I".  It attempts
 to strike a useful middle ground in performance and portability
 from its design around C.
 .
 This package contains Documentation in info format of both the
 system internals, as well as the graphical interface currently
 implemented in Tcl/Tk.

Package: gcompris
Description-md5: 2741d7fc82878fe75068fdd52bb79769
Description-en: transitional package for gcompris-qt
 GCompris is a high quality educational software suite, including a large
 number of activities for children aged 2 to 10. Some of the activities
 are game orientated, but nonetheless still educational.
 .
 This is a transitional package that depends on the new series of GCompris
 releases (rewritten using Qt). It can be safely removed once gcompris-qt is
 installed.

Package: gcompris-qt
Description-md5: 7bf17fc809f33c7c47ed4c4fec9ddef3
Description-en: educational games for small children
 GCompris is a high quality educational software suite, including a large
 number of activities for children aged 2 to 10. Some of the activities
 are game orientated, but nonetheless still educational.

Package: gcompris-qt-data
Description-md5: 4065c4fca2370e5b8a8bf96595ab1583
Description-en: data files for gcompris-qt
 GCompris is a high quality educational software suite, including a large
 number of activities for children aged 2 to 10. Some of the activities
 are game orientated, but nonetheless still educational.
 .
 This package contains the data file for gcompris-qt.

Package: gconf-defaults-service
Description-md5: 1b835b7f7bb1078e5e4ad82ddeb99b7e
Description-en: GNOME configuration database system (system defaults service)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package contains the PolicyKit service that allows users to edit the
 system-wide defaults from a user session.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: gconf-editor
Description-md5: 786c7f9b1f3d21cb03e3387a3f5b3166
Description-en: editor for the GConf configuration system
 GConf-Editor is a tool used for editing the GConf configuration database.
 This is not the recommended way of setting desktop preferences, but it might
 be useful when the proper configuration utility for some software provides no
 way of changing some option.

Package: gconf-service
Description-md5: f145e17023f12fab51c99fa5fe8ba918
Description-en: GNOME configuration database system (D-Bus service)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package provides the D-Bus enabled daemon that is used internally
 by the GConf library to access configuration data.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: gconf-service-backend
Description-md5: bed955216fb5fa381f3812c7de667c52
Description-en: GNOME configuration database system (D-Bus service)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package contains the D-Bus enabled daemon that is used internally
 by the GConf library to access configuration data.  It is an internal
 implementation of gconf used to work around multiarch-related upgrade
 problems, and will eventually be merged back into gconf-service.

Package: gconf2
Description-md5: 1fdcdc51c6136210bb96e1a0d6dc4697
Description-en: GNOME configuration database system (support tools)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package contains the command line tools: gconftool and
 gconf-merge-tree.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: gconf2-common
Description-md5: 627eb0ed196c978d8c37891961b3892e
Description-en: GNOME configuration database system (common files)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package contains the default configuration and localization files.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: gconjugue
Description-md5: 95fd8526e369cde97e43394d2bd96bb7
Description-en: GTK+ program to conjugate Brazilian verbs
 Graphical program to conjugate Portuguese verbs as spoken in Brazil.
 .
 If the entered expression is not a verb, GConjugue tries to find it
 among the conjugated forms of known verbs. It also has the option of
 conjugating verbs as ordinary Brazilians do. In fact, Brazilians of
 different regions deviate from the normative grammar in different ways,
 but the conjugation displayed will already help foreigners to grasp
 what Brazilians are saying.
 .
 This program is a graphical interface. Alternatively, you can use
 conjugar, the text only version for gconjugue.

Package: gconjugue-common
Description-md5: 679d9aa4aad1c160665dc4e8a3712b8f
Description-en: common files to gconjugue and conjugar
 gconjugue and conjugar are packages that provide programs to conjugate
 Portuguese verbs as spoken in Brazil.
 .
 This package provides common files used by gconjugue and conjugar.

Package: gcovr
Description-md5: 5c2d1a4ba4a30566be2d66dfed280a92
Description-en: Manages the compilation of coverage information from gcov
 The gcovr command provides a utility for running the gcov command and
 summarizing code coverage results. This command is inspired by the
 Python coverage.py package, which provides a similar utility in
 Python. Further, gcovr can be viewed as a command-line alternative of
 the lcov utility, which runs gcov and generates an HTML output.

Package: gcp
Description-md5: d790e24c75c02a3f4a830e77d5345814
Description-en: advanced command line file copy system
 gcp is an advanced command line file copy system with an interface similar to
 that of cp. It features:
  - transfer progression indication
  - continuous copying on error (skip to next file)
  - copy status logging
  - name mangling to handle target filesystem limitations
  - forced copy serialization
  - transfer lists management

Package: gcpegg
Description-md5: 1b8171c61ad1e889d3b000afb35533f9
Description-en: Global Consciousness Project EGG Software
 The GCP studies data from random event generators in widely distributed
 locations, to discern non-random patterns that may result from the
 interaction of human consciousness with the generators.
 .
 This package provides the software required to operate a random event
 generator and return data to the project.  Unless you are registered
 with the GCP and have received event generator hardware, this package
 will be useless to you.  If you have no idea what this means, don't
 bother installing this package!
 .
 More GCP information is available at http://global-mind.org

Package: gcrystal
Description-md5: 8c9307f621a93786ff7055f00efca985
Description-en: lightweight crystal structures visualizer
 GNOME Crystal is a light model visualizer for crystal-structures. It
 is based on the GNOME Chemistry Utils and should display models of all
 sorts of crystal microscopic structures using OpenGL.

Package: gcu-bin
Description-md5: 865eb56dfd921b44bea990365e4c3800
Description-en: GNOME chemistry utils (helper applications)
 The GNOME Chemistry Utils provide C++ classes and Gtk+-2 widgets
 related to chemistry. They will be used in future versions of both
 gcrystal and gchempaint.
 .
 This package provides 4 applications:
 .
  * a molecular structures viewer (GChem3D)
  * a molar mass calculator (GChemCalc)
  * a periodic table of the elements (GChemTable)
  * a spectra viewer (GSpectrum)

Package: gcx
Description-md5: a4d8469ee96c3b54814f530ea29abe13
Description-en: astronomical image processing and photometry gtk+ application
 Gcx is an astronomical image processing and data reduction tool,
 with an easy to use graphical user interface. It provides a
 complete set of data reduction functions for CCD photometry,
 with frame WCS fitting, automatic star identification, aperture
 photometry of target and standard stars, single-frame ensemble
 photometry solution finding, multi-frame color coefficient
 fitting, extinction coefficient fitting, and all-sky photometry;
 as well as general-purpose astronomical image processing functions
 (bias, dark, flat, frame alignment and stacking); It can function
 as a FITS viewer.
 .
 The program can control CCD cameras and telescopes, and implement
 automatic observation scripting. Cameras are controlled through a
 hardware-specific server, to which gcx connects through a TCP socket.
 It generates FITS files with comprehensive header information.

Package: gdal-bin
Description-md5: 9b991dff688fb509bc873ba688a660b5
Description-en: Geospatial Data Abstraction Library - Utility programs
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports 40+ popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains utility programs, based on GDAL/OGR library,
 namely gdal_translate, gdalinfo, gdaladdo, gdalwarp, ogr2ogr, ogrinfo,
 ogrtindex.

Package: gdal-data
Description-md5: 81ef266f0ab038b3120be125843fe901
Description-en: Geospatial Data Abstraction Library - Data files
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports 40+ popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains the GDAL data files.

Package: gdb-avr
Description-md5: 00bb6d3dc3fd0f112f7564aef6ca4795
Description-en: GNU Debugger for avr
 This package has been compiled to target the  avr architecture.
 GDB is a source-level debugger, capable of breaking programs at
 any specific line, displaying variable values, and determining
 where errors occurred. Currently, it works for C, C++, Fortran
 Modula 2 and Java programs. A must-have for any serious
 programmer.
 This package is primarily for avr developers and cross-compilers and
 is not needed by normal users or developers.

Package: gdb-mingw-w64
Description-md5: 6a3066f4bdac9f68da5abaa309ac29cd
Description-en: Cross-debugger for Win32 and Win64 using MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit Windows applications using the GNU Compiler Collection (gcc).
 .
 This package contains the gdb debugger which can be used with a
 Windows-hosted gdbserver to debug programs running on Windows hosts.
 .
 The gdb-mingw-w64-target package contains gdbserver and gdbreplay for
 32- and 64-bit Windows.
 .
 The non-free gdb-doc package contains the manual pages for gdb.

Package: gdb-mingw-w64-target
Description-md5: 8d2afcfecd35bd19f1b2f9d53b2857dd
Description-en: Cross-debugger server for Win32 and Win64 using MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit Windows applications using the GNU Compiler Collection (gcc).
 .
 This package contains gdbserver and gdbreplay for 32- and 64-bit
 Windows.

Package: gdb-msp430
Description-md5: e93eef6ac0b17fb54ec175efe680c766
Description-en: The GNU debugger for MSP430
 GDB is a source-level debugger, capable of breaking programs at
 any specific line, displaying variable values, and determining
 where errors occurred. Currently, it works for C, C++, Fortran
 Modula 2 and Java programs. A must-have for any serious
 programmer.
 This package is primarily for MSP430 developers and cross-compilers and
 is not needed by normal users or developers.
 .
 This package has been compiled to target the TI's MSP430 architecture.

Package: gdb-multiarch
Description-md5: a1817b57c8908df620117da195d633bc
Description-en: GNU Debugger (with support for multiple architectures)
 GDB is a source-level debugger, capable of breaking programs at
 any specific line, displaying variable values, and determining
 where errors occurred. Currently, gdb supports C, C++, D,
 Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2,
 Go, and Ada. A must-have for any serious programmer.
 .
 This package contains a version of GDB which supports multiple
 target architectures.

Package: gdb-source
Description-md5: f6e1298a18996d699ccfb824f5342e59
Description-en: GNU Debugger (source)
 GDB is a source-level debugger, capable of breaking programs at
 any specific line, displaying variable values, and determining
 where errors occurred. Currently, gdb supports C, C++, D,
 Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2,
 Go, and Ada. A must-have for any serious programmer.
 .
 This package contains the sources and patches which are needed
 to build GDB.

Package: gdbm-l10n
Description-md5: aa2a52be54900dc162c147abda843d34
Description-en: GNU dbm database routines (translation files) 
 GNU dbm ('gdbm') is a library of database functions that use extendible
 hashing and works similarly to the standard UNIX 'dbm' functions.
 .
 The basic use of 'gdbm' is to store key/data pairs in a data file, thus
 providing a persistent version of the 'dictionary' Abstract Data Type
 ('hash' to perl programmers).
 This package provides translations for messages, generated by
 library routines and programs, provided by 'gdbtool' package.

Package: gdbmtool
Description-md5: edf59b3b5639d37ee5d1370be915d3da
Description-en: GNU dbm database routines (command line tools) 
 GNU dbm ('gdbm') is a library of database functions that use extendible
 hashing and works similarly to the standard UNIX 'dbm' functions.
 .
 The basic use of 'gdbm' is to store key/data pairs in a data file, thus
 providing a persistent version of the 'dictionary' Abstract Data Type
 ('hash' to perl programmers).
 .
 This package includes following command line tools:
 .
  * gdbm_dump -- dump database content into system-independant format
  * gdbm_load -- recreate database from dump, created by gdbm_dump
  * gdbmtool -- view and modify an existing database or to create a new one.

Package: gdc
Description-md5: 27f6160b2c7f699452e12cc82b2f85f5
Description-en: D compiler (language version 2), based on the GCC backend
 This is a dependency package providing the default D compiler.
 Per policy, all packages that contain D sources must use this package
 in their Build-Depends line.
 .
 This compiler supports D language version 2.

Package: gdc-10
Description-md5: 864a87c3c14c54bac8e1eca97a6eb9a7
Description-en: GNU D compiler (version 2)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-aarch64-linux-gnu
Description-md5: 54afe9dbd0c996a5f73f9329e04d8a6b
Description-en: GNU D compiler (version 2) (cross compiler for arm64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-alpha-linux-gnu
Description-md5: 13df938bd28a94a9469de6290976ea39
Description-en: GNU D compiler (version 2) (cross compiler for alpha architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-arm-linux-gnueabi
Description-md5: 8060fb04c597b0e7981acfbb06745307
Description-en: GNU D compiler (version 2) (cross compiler for armel architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-arm-linux-gnueabihf
Description-md5: 57b2f398e7a83699ba6ff31ce4f4917f
Description-en: GNU D compiler (version 2) (cross compiler for armhf architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-hppa-linux-gnu
Description-md5: fa3e435124258cc5f8bee2ceb4970e68
Description-en: GNU D compiler (version 2) (cross compiler for hppa architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-i686-linux-gnu
Description-md5: c9338e4728dd8dfbcde207c6b4b805ef
Description-en: GNU D compiler (version 2) (cross compiler for i386 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-m68k-linux-gnu
Description-md5: f1622a63a9ae61acf98829b6f914462d
Description-en: GNU D compiler (version 2) (cross compiler for m68k architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-mips-linux-gnu
Description-md5: de3634f4cc3064980414c38ae3a25567
Description-en: GNU D compiler (version 2) (cross compiler for mips architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-mips64-linux-gnuabi64
Description-md5: 15aa76351e8ad72ae8c34323e56a0da6
Description-en: GNU D compiler (version 2) (cross compiler for mips64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-mips64el-linux-gnuabi64
Description-md5: 6f801fec6e545fd0ae8d4e83474a1e4c
Description-en: GNU D compiler (version 2) (cross compiler for mips64el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-mipsel-linux-gnu
Description-md5: 2da11da24eb8a449dbff3eac7e2a90cf
Description-en: GNU D compiler (version 2) (cross compiler for mipsel architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-mipsisa32r6-linux-gnu
Description-md5: f701e7aa9d7d57092329b8819255a557
Description-en: GNU D compiler (version 2) (cross compiler for mipsr6 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-mipsisa32r6el-linux-gnu
Description-md5: 9ce2171241aa063c0ca98a882d9e41de
Description-en: GNU D compiler (version 2) (cross compiler for mipsr6el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-mipsisa64r6-linux-gnuabi64
Description-md5: ad7a1b7a7f1982431a5502877658f671
Description-en: GNU D compiler (version 2) (cross compiler for mips64r6 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-mipsisa64r6el-linux-gnuabi64
Description-md5: 3b6e400057f152f99f88aea7865ffcb1
Description-en: GNU D compiler (version 2) (cross compiler for mips64r6el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-multilib
Description-md5: 5bd997d730190f1d6151d11f184d631d
Description-en: GNU D compiler (version 2, multilib support)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-arm-linux-gnueabihf
Description-md5: 47796bb92a854599280ddd18a93b4af6
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for armhf architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-i686-linux-gnu
Description-md5: 05ee3fb0e881cb9ba07ca5ab351fe6d6
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for i386 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-mips-linux-gnu
Description-md5: 862e8ceb69f95492f02b98bf033f52fe
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-mips64-linux-gnuabi64
Description-md5: 2b15c36aaca8595bb3c0f3bbaa910a59
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-mips64el-linux-gnuabi64
Description-md5: 5db98e4225ed95ce3867c405cabffd3c
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips64el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-mipsel-linux-gnu
Description-md5: 9ef53c1fd44bcc47a0f78e4b597c079c
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mipsel architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-mipsisa32r6-linux-gnu
Description-md5: 16b2cd644304cdd56fc835950d60ac59
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-mipsisa32r6el-linux-gnu
Description-md5: 7b7a4d8f930ca9aa7241cf8965a4d6d5
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: a316ce6252131b4b6fc0a69c903e9ee7
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 64eaa7498c2c7d3ce35473db7558e568
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-powerpc-linux-gnu
Description-md5: 151e9ee7f17fab15f4323761a74199a1
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for powerpc architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-powerpc64-linux-gnu
Description-md5: 4f3a71a87f3edcb50ac3b11b8f4dd0b7
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for ppc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-s390x-linux-gnu
Description-md5: 302fb1e60449075de63a29f9a1615d6a
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for s390x architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-sparc64-linux-gnu
Description-md5: caed5db8d87e52e990ff11efbb445a68
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for sparc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-multilib-x86-64-linux-gnux32
Description-md5: 96eb93fd85f4ee93985aca43489d5f8c
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for x32 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-powerpc-linux-gnu
Description-md5: b7505a290b440d6bd565f9a0a4513c63
Description-en: GNU D compiler (version 2) (cross compiler for powerpc architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-powerpc64-linux-gnu
Description-md5: 8a6ae6d1d45381e92de3dba838cbf352
Description-en: GNU D compiler (version 2) (cross compiler for ppc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-powerpc64le-linux-gnu
Description-md5: a4dee6d7250735908c2c95959b4db0be
Description-en: GNU D compiler (version 2) (cross compiler for ppc64el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-riscv64-linux-gnu
Description-md5: 5980c97b06468d61f3b0b9db73ff657b
Description-en: GNU D compiler (version 2) (cross compiler for riscv64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-s390x-linux-gnu
Description-md5: 258a88a16c8e99c7484ff0337f5f54c7
Description-en: GNU D compiler (version 2) (cross compiler for s390x architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-sh4-linux-gnu
Description-md5: 15b7d7e7acafed8899d08cfb8684dbd0
Description-en: GNU D compiler (version 2) (cross compiler for sh4 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-sparc64-linux-gnu
Description-md5: 3ac6c1cab84d31f7534836141af86500
Description-en: GNU D compiler (version 2) (cross compiler for sparc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-10-x86-64-linux-gnux32
Description-md5: 30ffcd5766b4ea8db5fecf79e86144f3
Description-en: GNU D compiler (version 2) (cross compiler for x32 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-7
Description-md5: 864a87c3c14c54bac8e1eca97a6eb9a7
Description-en: GNU D compiler (version 2)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-7-multilib
Description-md5: 5bd997d730190f1d6151d11f184d631d
Description-en: GNU D compiler (version 2, multilib support)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8
Description-md5: 864a87c3c14c54bac8e1eca97a6eb9a7
Description-en: GNU D compiler (version 2)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-aarch64-linux-gnu
Description-md5: 54afe9dbd0c996a5f73f9329e04d8a6b
Description-en: GNU D compiler (version 2) (cross compiler for arm64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-alpha-linux-gnu
Description-md5: 13df938bd28a94a9469de6290976ea39
Description-en: GNU D compiler (version 2) (cross compiler for alpha architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-arm-linux-gnueabi
Description-md5: 8060fb04c597b0e7981acfbb06745307
Description-en: GNU D compiler (version 2) (cross compiler for armel architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-arm-linux-gnueabihf
Description-md5: 57b2f398e7a83699ba6ff31ce4f4917f
Description-en: GNU D compiler (version 2) (cross compiler for armhf architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-hppa-linux-gnu
Description-md5: fa3e435124258cc5f8bee2ceb4970e68
Description-en: GNU D compiler (version 2) (cross compiler for hppa architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-i686-linux-gnu
Description-md5: c9338e4728dd8dfbcde207c6b4b805ef
Description-en: GNU D compiler (version 2) (cross compiler for i386 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-m68k-linux-gnu
Description-md5: f1622a63a9ae61acf98829b6f914462d
Description-en: GNU D compiler (version 2) (cross compiler for m68k architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-multilib
Description-md5: 5bd997d730190f1d6151d11f184d631d
Description-en: GNU D compiler (version 2, multilib support)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-multilib-arm-linux-gnueabihf
Description-md5: 47796bb92a854599280ddd18a93b4af6
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for armhf architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-multilib-i686-linux-gnu
Description-md5: 05ee3fb0e881cb9ba07ca5ab351fe6d6
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for i386 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-multilib-powerpc-linux-gnu
Description-md5: 151e9ee7f17fab15f4323761a74199a1
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for powerpc architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-multilib-powerpc64-linux-gnu
Description-md5: 4f3a71a87f3edcb50ac3b11b8f4dd0b7
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for ppc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-multilib-s390x-linux-gnu
Description-md5: 302fb1e60449075de63a29f9a1615d6a
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for s390x architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-multilib-sparc64-linux-gnu
Description-md5: caed5db8d87e52e990ff11efbb445a68
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for sparc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-multilib-x86-64-linux-gnux32
Description-md5: 96eb93fd85f4ee93985aca43489d5f8c
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for x32 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-powerpc-linux-gnu
Description-md5: b7505a290b440d6bd565f9a0a4513c63
Description-en: GNU D compiler (version 2) (cross compiler for powerpc architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-powerpc64-linux-gnu
Description-md5: 8a6ae6d1d45381e92de3dba838cbf352
Description-en: GNU D compiler (version 2) (cross compiler for ppc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-powerpc64le-linux-gnu
Description-md5: a4dee6d7250735908c2c95959b4db0be
Description-en: GNU D compiler (version 2) (cross compiler for ppc64el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-riscv64-linux-gnu
Description-md5: 5980c97b06468d61f3b0b9db73ff657b
Description-en: GNU D compiler (version 2) (cross compiler for riscv64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-s390x-linux-gnu
Description-md5: 258a88a16c8e99c7484ff0337f5f54c7
Description-en: GNU D compiler (version 2) (cross compiler for s390x architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-sh4-linux-gnu
Description-md5: 15b7d7e7acafed8899d08cfb8684dbd0
Description-en: GNU D compiler (version 2) (cross compiler for sh4 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-sparc64-linux-gnu
Description-md5: 3ac6c1cab84d31f7534836141af86500
Description-en: GNU D compiler (version 2) (cross compiler for sparc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-x86-64-linux-gnux32
Description-md5: 30ffcd5766b4ea8db5fecf79e86144f3
Description-en: GNU D compiler (version 2) (cross compiler for x32 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9
Description-md5: 864a87c3c14c54bac8e1eca97a6eb9a7
Description-en: GNU D compiler (version 2)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-aarch64-linux-gnu
Description-md5: 54afe9dbd0c996a5f73f9329e04d8a6b
Description-en: GNU D compiler (version 2) (cross compiler for arm64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-alpha-linux-gnu
Description-md5: 13df938bd28a94a9469de6290976ea39
Description-en: GNU D compiler (version 2) (cross compiler for alpha architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-arm-linux-gnueabi
Description-md5: 8060fb04c597b0e7981acfbb06745307
Description-en: GNU D compiler (version 2) (cross compiler for armel architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-arm-linux-gnueabihf
Description-md5: 57b2f398e7a83699ba6ff31ce4f4917f
Description-en: GNU D compiler (version 2) (cross compiler for armhf architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-hppa-linux-gnu
Description-md5: fa3e435124258cc5f8bee2ceb4970e68
Description-en: GNU D compiler (version 2) (cross compiler for hppa architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-i686-linux-gnu
Description-md5: c9338e4728dd8dfbcde207c6b4b805ef
Description-en: GNU D compiler (version 2) (cross compiler for i386 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-m68k-linux-gnu
Description-md5: f1622a63a9ae61acf98829b6f914462d
Description-en: GNU D compiler (version 2) (cross compiler for m68k architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-mips-linux-gnu
Description-md5: de3634f4cc3064980414c38ae3a25567
Description-en: GNU D compiler (version 2) (cross compiler for mips architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-mips64-linux-gnuabi64
Description-md5: 15aa76351e8ad72ae8c34323e56a0da6
Description-en: GNU D compiler (version 2) (cross compiler for mips64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-mips64el-linux-gnuabi64
Description-md5: 6f801fec6e545fd0ae8d4e83474a1e4c
Description-en: GNU D compiler (version 2) (cross compiler for mips64el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-mipsel-linux-gnu
Description-md5: 2da11da24eb8a449dbff3eac7e2a90cf
Description-en: GNU D compiler (version 2) (cross compiler for mipsel architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-mipsisa32r6-linux-gnu
Description-md5: f701e7aa9d7d57092329b8819255a557
Description-en: GNU D compiler (version 2) (cross compiler for mipsr6 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-mipsisa32r6el-linux-gnu
Description-md5: 9ce2171241aa063c0ca98a882d9e41de
Description-en: GNU D compiler (version 2) (cross compiler for mipsr6el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-mipsisa64r6-linux-gnuabi64
Description-md5: ad7a1b7a7f1982431a5502877658f671
Description-en: GNU D compiler (version 2) (cross compiler for mips64r6 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-mipsisa64r6el-linux-gnuabi64
Description-md5: 3b6e400057f152f99f88aea7865ffcb1
Description-en: GNU D compiler (version 2) (cross compiler for mips64r6el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-multilib
Description-md5: 5bd997d730190f1d6151d11f184d631d
Description-en: GNU D compiler (version 2, multilib support)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-arm-linux-gnueabihf
Description-md5: 47796bb92a854599280ddd18a93b4af6
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for armhf architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-i686-linux-gnu
Description-md5: 05ee3fb0e881cb9ba07ca5ab351fe6d6
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for i386 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-mips-linux-gnu
Description-md5: 862e8ceb69f95492f02b98bf033f52fe
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-mips64-linux-gnuabi64
Description-md5: 2b15c36aaca8595bb3c0f3bbaa910a59
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-mips64el-linux-gnuabi64
Description-md5: 5db98e4225ed95ce3867c405cabffd3c
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips64el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-mipsel-linux-gnu
Description-md5: 9ef53c1fd44bcc47a0f78e4b597c079c
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mipsel architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-mipsisa32r6-linux-gnu
Description-md5: 16b2cd644304cdd56fc835950d60ac59
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-mipsisa32r6el-linux-gnu
Description-md5: 7b7a4d8f930ca9aa7241cf8965a4d6d5
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: a316ce6252131b4b6fc0a69c903e9ee7
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 64eaa7498c2c7d3ce35473db7558e568
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-powerpc-linux-gnu
Description-md5: 151e9ee7f17fab15f4323761a74199a1
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for powerpc architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-powerpc64-linux-gnu
Description-md5: 4f3a71a87f3edcb50ac3b11b8f4dd0b7
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for ppc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-s390x-linux-gnu
Description-md5: 302fb1e60449075de63a29f9a1615d6a
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for s390x architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-sparc64-linux-gnu
Description-md5: caed5db8d87e52e990ff11efbb445a68
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for sparc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-multilib-x86-64-linux-gnux32
Description-md5: 96eb93fd85f4ee93985aca43489d5f8c
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for x32 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-powerpc-linux-gnu
Description-md5: b7505a290b440d6bd565f9a0a4513c63
Description-en: GNU D compiler (version 2) (cross compiler for powerpc architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-powerpc64-linux-gnu
Description-md5: 8a6ae6d1d45381e92de3dba838cbf352
Description-en: GNU D compiler (version 2) (cross compiler for ppc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-powerpc64le-linux-gnu
Description-md5: a4dee6d7250735908c2c95959b4db0be
Description-en: GNU D compiler (version 2) (cross compiler for ppc64el architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-riscv64-linux-gnu
Description-md5: 5980c97b06468d61f3b0b9db73ff657b
Description-en: GNU D compiler (version 2) (cross compiler for riscv64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-s390x-linux-gnu
Description-md5: 258a88a16c8e99c7484ff0337f5f54c7
Description-en: GNU D compiler (version 2) (cross compiler for s390x architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-sh4-linux-gnu
Description-md5: 15b7d7e7acafed8899d08cfb8684dbd0
Description-en: GNU D compiler (version 2) (cross compiler for sh4 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-sparc64-linux-gnu
Description-md5: 3ac6c1cab84d31f7534836141af86500
Description-en: GNU D compiler (version 2) (cross compiler for sparc64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-x86-64-linux-gnux32
Description-md5: 30ffcd5766b4ea8db5fecf79e86144f3
Description-en: GNU D compiler (version 2) (cross compiler for x32 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-aarch64-linux-gnu
Description-md5: 2f9c33e0aaf40e4207a1a79c243fc268
Description-en: GNU D compiler (based on GCC) for the arm64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the arm64 architecture.

Package: gdc-alpha-linux-gnu
Description-md5: 05f3b7764a033199773cc07ab82fc88f
Description-en: GNU D compiler (based on GCC) for the alpha architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the alpha architecture.

Package: gdc-arm-linux-gnueabi
Description-md5: c8661d2b4e69f78c27ffacf6036e1fd6
Description-en: GNU D compiler (based on GCC) for the armel architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the armel architecture.

Package: gdc-arm-linux-gnueabihf
Description-md5: 9d82ae2217bc3bb2c06ed67ddfe48081
Description-en: GNU D compiler (based on GCC) for the armhf architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the armhf architecture.

Package: gdc-hppa-linux-gnu
Description-md5: c9387ba03d71b3ff86d0fb37f6b0a3a7
Description-en: GNU D compiler (based on GCC) for the hppa architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the hppa architecture.

Package: gdc-i686-linux-gnu
Description-md5: 3d5ce953b8674a9270811aab16fdc554
Description-en: GNU D compiler (based on GCC) for the i386 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the i386 architecture.

Package: gdc-m68k-linux-gnu
Description-md5: d93c18bc8cd7e4f4f91cec100692f42a
Description-en: GNU D compiler (based on GCC) for the m68k architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the m68k architecture.

Package: gdc-mips-linux-gnu
Description-md5: 4f17c50f7b458a66643323188fe827c4
Description-en: GNU D compiler (based on GCC) for the mips architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips architecture.

Package: gdc-mips64-linux-gnuabi64
Description-md5: ca2c35a9c1ca38af88d01e517e59854e
Description-en: GNU D compiler (based on GCC) for the mips64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips64 architecture.

Package: gdc-mips64el-linux-gnuabi64
Description-md5: 30b8d23713dca97d6b9439a5b5332832
Description-en: GNU D compiler (based on GCC) for the mips64el architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips64el architecture.

Package: gdc-mipsel-linux-gnu
Description-md5: d9d6ff8a398518385061e7285b5e0068
Description-en: GNU D compiler (based on GCC) for the mipsel architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mipsel architecture.

Package: gdc-mipsisa32r6-linux-gnu
Description-md5: 2b16b54a69716893407f5af23e1a3ec9
Description-en: GNU D compiler (based on GCC) for the mipsr6 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mipsr6 architecture.

Package: gdc-mipsisa32r6el-linux-gnu
Description-md5: 105f9df460cee75e5e5cc6cdae7486f6
Description-en: GNU D compiler (based on GCC) for the mipsr6el architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mipsr6el architecture.

Package: gdc-mipsisa64r6-linux-gnuabi64
Description-md5: 6d69425ed30eb27b444cec41b13f3316
Description-en: GNU D compiler (based on GCC) for the mips64r6 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips64r6 architecture.

Package: gdc-mipsisa64r6el-linux-gnuabi64
Description-md5: 7842ca5dfcbde84270dc03a0751a5dab
Description-en: GNU D compiler (based on GCC) for the mips64r6el architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips64r6el architecture.

Package: gdc-multilib
Description-md5: daca504b8cf779b565285690bdc990a8
Description-en: D compiler (language version 2) (multilib files)
 This compiler supports D language version 2.
 .
 A dependency package on architectures with multilib support; the package
 contains dependencies for the non-default multilib architecture(s).

Package: gdc-multilib-arm-linux-gnueabihf
Description-md5: 9d82ae2217bc3bb2c06ed67ddfe48081
Description-en: GNU D compiler (based on GCC) for the armhf architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the armhf architecture.

Package: gdc-multilib-i686-linux-gnu
Description-md5: 3d5ce953b8674a9270811aab16fdc554
Description-en: GNU D compiler (based on GCC) for the i386 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the i386 architecture.

Package: gdc-multilib-mips-linux-gnu
Description-md5: 4f17c50f7b458a66643323188fe827c4
Description-en: GNU D compiler (based on GCC) for the mips architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips architecture.

Package: gdc-multilib-mips64-linux-gnuabi64
Description-md5: ca2c35a9c1ca38af88d01e517e59854e
Description-en: GNU D compiler (based on GCC) for the mips64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips64 architecture.

Package: gdc-multilib-mips64el-linux-gnuabi64
Description-md5: 30b8d23713dca97d6b9439a5b5332832
Description-en: GNU D compiler (based on GCC) for the mips64el architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips64el architecture.

Package: gdc-multilib-mipsel-linux-gnu
Description-md5: d9d6ff8a398518385061e7285b5e0068
Description-en: GNU D compiler (based on GCC) for the mipsel architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mipsel architecture.

Package: gdc-multilib-mipsisa32r6-linux-gnu
Description-md5: 2b16b54a69716893407f5af23e1a3ec9
Description-en: GNU D compiler (based on GCC) for the mipsr6 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mipsr6 architecture.

Package: gdc-multilib-mipsisa32r6el-linux-gnu
Description-md5: 105f9df460cee75e5e5cc6cdae7486f6
Description-en: GNU D compiler (based on GCC) for the mipsr6el architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mipsr6el architecture.

Package: gdc-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 6d69425ed30eb27b444cec41b13f3316
Description-en: GNU D compiler (based on GCC) for the mips64r6 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips64r6 architecture.

Package: gdc-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 7842ca5dfcbde84270dc03a0751a5dab
Description-en: GNU D compiler (based on GCC) for the mips64r6el architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the mips64r6el architecture.

Package: gdc-multilib-powerpc-linux-gnu
Description-md5: 8898052d0e76daac6f30c3453cf310dd
Description-en: GNU D compiler (based on GCC) for the powerpc architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the powerpc architecture.

Package: gdc-multilib-powerpc64-linux-gnu
Description-md5: d91eb16f063ce1d5b51ed09938116ae4
Description-en: GNU D compiler (based on GCC) for the ppc64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the ppc64 architecture.

Package: gdc-multilib-s390x-linux-gnu
Description-md5: 9d9a18fcec25416f531d9890b329b4e9
Description-en: GNU D compiler (based on GCC) for the s390x architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the s390x architecture.

Package: gdc-multilib-sparc64-linux-gnu
Description-md5: 9b3d4c75accd020a2666678e6244e7ff
Description-en: GNU D compiler (based on GCC) for the sparc64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the sparc64 architecture.

Package: gdc-multilib-x86-64-linux-gnux32
Description-md5: b2a878206dea26fc63ed5a2bee78b6ff
Description-en: GNU D compiler (based on GCC) for the x32 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the x32 architecture.

Package: gdc-powerpc-linux-gnu
Description-md5: 8898052d0e76daac6f30c3453cf310dd
Description-en: GNU D compiler (based on GCC) for the powerpc architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the powerpc architecture.

Package: gdc-powerpc64-linux-gnu
Description-md5: d91eb16f063ce1d5b51ed09938116ae4
Description-en: GNU D compiler (based on GCC) for the ppc64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the ppc64 architecture.

Package: gdc-powerpc64le-linux-gnu
Description-md5: 527cdb354aebbb914777dbb901a7ff97
Description-en: GNU D compiler (based on GCC) for the ppc64el architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the ppc64el architecture.

Package: gdc-riscv64-linux-gnu
Description-md5: 0ce0dc2c3133cc38c663adbb2ae01be4
Description-en: GNU D compiler (based on GCC) for the riscv64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the riscv64 architecture.

Package: gdc-s390x-linux-gnu
Description-md5: 9d9a18fcec25416f531d9890b329b4e9
Description-en: GNU D compiler (based on GCC) for the s390x architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the s390x architecture.

Package: gdc-sh4-linux-gnu
Description-md5: 4c94feb4d841cc1173d932ed1d38d103
Description-en: GNU D compiler (based on GCC) for the sh4 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the sh4 architecture.

Package: gdc-sparc64-linux-gnu
Description-md5: 9b3d4c75accd020a2666678e6244e7ff
Description-en: GNU D compiler (based on GCC) for the sparc64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the sparc64 architecture.

Package: gdc-x86-64-linux-gnux32
Description-md5: b2a878206dea26fc63ed5a2bee78b6ff
Description-en: GNU D compiler (based on GCC) for the x32 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the x32 architecture.

Package: gdcm-doc
Description-md5: 60ddd072efcde96554cd2a0e12edf531
Description-en: Grassroots DICOM documentation
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 This is the documentation for gdcm and vtkgdcm

Package: gddccontrol
Description-md5: 230512d347910986616da4777d340cf3
Description-en: program to control monitor parameters (graphical interface)
 DDCcontrol is a tool used to control monitor parameters, like brightness
 and contrast, without using the OSD (On Screen Display) and the buttons
 in front of the monitor.
 .
 This package provides a graphical user interface.

Package: gddrescue
Description-md5: 95448f9ab04e163adf1889e7beca3fda
Description-en: GNU data recovery tool
 The gddrescue tool copies data from one file or block device
 (hard disc, cdrom, etc) to another, trying hard to rescue data
 in case of read errors.
 .
 gddrescue does not truncate the output file if not asked to.
 So, every time you run it on the same output file, it tries to
 fill in the gaps.
 .
 The basic operation of gddrescue is fully automatic. That is,
 you don't have to wait for an error, stop the program, read the
 log, run it in reverse mode, etc. If you use the logfile
 feature of gddrescue, the data is rescued very efficiently (only
 the needed blocks are read). Also you can interrupt the rescue
 at any time and resume it later at the same point.
 .
 Automatic merging of backups: If you have two or more damaged
 copies of a file, cdrom, etc, and run gddrescue on all of them,
 one at a time, with the same output file, you will probably
 obtain a complete and error-free file. This is so because the
 probability of having damaged areas at the same places on
 different input files is very low. Using the logfile, only the
 needed blocks are read from the second and successive copies.
 .
 The logfile is periodically saved to disc. So in case of a crash
 you can resume the rescue with little recopying. Also, the same
 logfile can be used for multiple commands that copy different
 areas of the file, and for multiple recovery attempts over
 different subsets.
 .
 gddrescue aligns its I/O buffer to the sector size so that it
 can be used to read from raw devices. For efficiency reasons,
 also aligns it to the memory page size if page size is a
 multiple of sector size.
 .
 Please note that this is the GNU ddrescue version providing the
 ddrescue executable. The package is named gddrescue because the
 ddrescue version of Kurt Garloff used to have the ddrescue
 package name already.

Package: gdebi
Description-md5: b35bbc94cd7094a087497e1d8ae3d6da
Description-en: simple tool to view and install deb files - GNOME GUI
 gdebi lets you install local deb packages resolving and installing
 its dependencies. apt does the same, but only for remote (http, ftp)
 located packages.
 .
 The package is also scanned via lintian before the install and its
 possible to inspect the control and data members of the packages.
 .
 This package contains the graphical user interface.

Package: gdebi-core
Description-md5: be54e484aff287af04dab9e4a2dae2d9
Description-en: simple tool to install deb files
 gdebi lets you install local deb packages resolving and installing
 its dependencies. apt does the same, but only for remote (http, ftp)
 located packages.
 .
 It can also resolve build-depends of local debian/control files.
 .
 This package contains the libraries and command-line utility.

Package: gdf-tools
Description-md5: 79615942e5e933b31c61079ba1e6b2d2
Description-en: IO library for the GDF -- helper tools
 GDF (General Dataformat for Biosignals) is intended to provide a generic
 storage for biosignals, such as EEG, ECG, MEG etc.
 .
 This package provides the tool shipped with the library
 (gdf_merger).

Package: gdigi
Description-md5: 78714e1c959e62b484f276c9b61893ab
Description-en: utility to control DigiTech effect pedals
 gdigi is a tool aimed to provide X-Edit functionality to Linux users
 .
 Supported devices:
    * RP150
    * RP155
    * RP250
    * RP255
    * RP355
    * RP500
    * RP1000
    * GNX3000
    * GNX4K

Package: gdis
Description-md5: 6e1876488f4b33174a7e154365c1bae9
Description-en: molecular and crystal model viewer
 A GTK+ based program for the display and manipulation of
 isolated molecules, periodic systems and crystalline habits.
 It is in development, but is nonetheless fairly functional.
 It has the following features:
 .
  * Support for several file types (CIF, BIOSYM, XYZ,
    XTL, MARVIN, and GULP)
  * A simple molecular creation and manipulation tool
  * A dialogue for creating starting configurations for
    molecular dynamics simulations
  * Assorted tools for visualization (geometry information,
    region highlighting, etc.)
  * Animation of BIOSYM files (also rendered animations,
    see below)
 .
 GDIS also allows you to perform the following functions
 through other packages:
 .
  * Model rendering (courtesy of POVRay)
  * Energy minimization (courtesy of GULP)
  * Morphology calculation (courtesy of cdd)
  * Space group processing (courtesy of SgInfo)
  * View the Periodic Table (courtesy of GPeriodic)
  * Load additional filetypes, such as PDB (courtesy of Babel)

Package: gdis-data
Description-md5: a7c3a0b567c7d49cc4a4c50901388e71
Description-en: molecular and crystal model viewer (data files)
 A GTK+ based program for the display and manipulation of
 isolated molecules, periodic systems and crystalline habits.
 It is in development, but is nonetheless fairly functional.
 .
 This package contains the GDIS data files.

Package: gdl-mpfit
Description-md5: ee8f9e8d972a6b3b9c388076df0e0b39
Description-en: Robust non-linear least squares curve fitting for GDL
 These GDL routines provide a robust and relatively fast way to perform
 least-squares curve and surface fitting. The algorithms are translated from
 MINPACK-1, which is a rugged minimization routine found on Netlib, and
 distributed with permission. This algorithm is more desirable than CURVEFIT
 because it is generally more stable and less likely to crash than the
 brute-force approach taken by CURVEFIT, which is based upon Numerical
 Recipes.
 .
 MPFIT has additional capabilities not found in CURVEFIT. Model parameters can
 be "frozen" (that is, held constant during the fitting process). Simple
 boundary constraints can be imposed on parameter values, which can be helpful
 to keep parameters from becoming negative, for example. Please see the
 documentation for the PARINFO keyword if you wish to use this facility.

Package: gdmap
Description-md5: 93ea5aa6327beb3d9ae9f37897f90dbd
Description-en: Tool to visualize diskspace
 GdMap is a tool which allows you to visualize disk space. Ever
 wondered why your hard disk is full or what directory and files take
 up most of the space? With GdMap these questions can be answered
 quickly. To display directory structures cushion treemaps are used
 which visualize a complete folder or even the whole hard drive with
 one picture.

Package: gdmd
Description-md5: 3c65205d4a32439a2054c4f0688cf240
Description-en: DMD-like wrapper for GDC
 This package provides `gdmd`, an interface to the GNU D compiler (GDC), which
 recognizes flags normally used with the DMD D compiler and translates them to
 flags GDC can understand.
 This makes `gdmd` a drop-in replacement to the `dmd` compiler invocation.
 .
 The GNU D compiler compiles D sources using the gcc backend to generate
 highly optimized binaries.

Package: gdnsd
Description-md5: 8e5795b188d6058bec0d54ee9a9d2961
Description-en: authoritative domain name server
 gdnsd is an Authoritative-only DNS server. The initial g stands for
 Geographic, as gdnsd offers a plugin system for geographic (or other sorts of)
 balancing, redirection, and service-state-conscious failover.
 .
 gdnsd has a strong focus on high performance, low latency service. It does
 not offer any form of caching or recursive service, and does not support
 DNSSEC.
 .
 This package provides the core gdnsd daemon and basic plugins.

Package: gdnsd-dev
Description-md5: 9e07a2a2507b12936660ff6f9944ce6d
Description-en: authoritative domain name server (development files)
 gdnsd is an Authoritative-only DNS server. The initial g stands for
 Geographic, as gdnsd offers a plugin system for geographic (or other sorts of)
 balancing, redirection, and service-state-conscious failover.
 .
 gdnsd has a strong focus on high performance, low latency service. It does
 not offer any form of caching or recursive service, and does not support
 DNSSEC.
 .
 This package provides header files useful to build third-party plugins.

Package: gdpc
Description-md5: 7e42947cfd96e1e6bdd6abed40a7dc3e
Description-en: visualiser of molecular dynamic simulations
 gpdc is a graphical program for visualising output data from
 molecular dynamics simulations. It reads input in the standard xyz
 format, as well as other custom formats, and can output pictures of
 each frame in JPG or PNG format.

Package: gdpc-examples
Description-md5: 0e62d6b7e58c857e227ae358511dc3a2
Description-en: example files for the gdpc program
 gpdc is a graphical program for visualising output data from
 molecular dynamics simulations. It reads input in the standard xyz
 format, as well as other custom formats, and can output pictures of
 each frame in JPG or PNG format.
 .
 This package contains examples to be used by the gdpc program.

Package: gdsiiconvert
Description-md5: 7b63f4723c1b57d95025816e9a77c557
Description-en: Convert GDSII geometries and report geometry statistics
 The command line tool can be used for reporting statistics on GDSII geometries
 and export them to other file formats, notably including the GMSH geometry
 format.
 .
 It is the "example application" for libGDSII which is a C++ library for
 working with GDSII binary data files.
 .
 This package also contains example GDSII files.

Package: gdspy-common
Description-md5: 5ff1680636d76b39e4012bee4214fc21
Description-en: Images used by gdspy
 Gdspy is a Python module for creating/importing/merging GDSII stream files. It
 includes key libraries for creating complex CAD layouts.
 .
 Features:
  - Boolean operations on polygons (AND, OR, NOT, XOR) based on clipping
    algorithm
  - Polygon offset (inward and outward rescaling of polygons)
  - Efficient point-in-polygon solutions for large array sets
 .
 This package contains arch-independent images which are needed by both
 python-gdspy and python3-gdspy.

Package: gdspy-doc
Description-md5: 83635403e21ad9ca53a0ee3bee43ecd3
Description-en: Documentation for gdspy (Python library for GDSII handling)
 Gdspy is a Python module for creating/importing/merging GDSII stream files. It
 includes key libraries for creating complex CAD layouts.
 .
 Features:
  - Boolean operations on polygons (AND, OR, NOT, XOR) based on clipping
    algorithm
  - Polygon offset (inward and outward rescaling of polygons)
  - Efficient point-in-polygon solutions for large array sets
 .
 This is the common documentation package containing HTML pages and the man
 page.

Package: geant321
Description-md5: 3c99d8d31f6dcdd54b3fa161672d4f74
Description-en: [Physics] Particle detector description and simulation tool
 GEANT is a framework for simulating the passage of subatomic particles
 through matter, for instance, particle detectors.  For maximum flexibility,
 GEANT simulations are performed by linking FORTRAN code supplied by the user
 with the GEANT library, then running the resulting executable.
 .
 This package includes gxint, a script that makes this linking step more
 convenient.

Package: geant321-data
Description-md5: 85b092877fcf6916e346423ca7b026ff
Description-en: [Physics] Data for GEANT 3.21 detector simulator
 GEANT is a framework for simulating the passage of subatomic particles
 through matter, for instance, particle detectors.  For maximum flexibility,
 GEANT simulations are performed by linking FORTRAN code supplied by the user
 with the GEANT library, then running the resulting executable.
 .
 This package includes neutron cross-section data for use by GEANT.

Package: geant321-doc
Description-md5: 8fa3d8b102eccb2b69c1a6f477280823
Description-en: [Physics] Documentation for GEANT 3.21
 GEANT is a framework for simulating the passage of subatomic particles
 through matter, for instance, particle detectors.  For maximum flexibility,
 GEANT simulations are performed by linking FORTRAN code supplied by the user
 with the GEANT library, then running the resulting executable.
 .
 This package includes some documentation in text format about GEANT 3.21.

Package: geany
Description-md5: aa1d12968850dd51e074624cc3783871
Description-en: fast and lightweight IDE
 Geany is a small and lightweight integrated development environment.
 It was developed to provide a small and fast IDE, which has only a
 few dependencies from other packages. It is using only the GTK2 toolkit
 and therefore you need only the GTK2 runtime libraries to run Geany.
 .
 The basic features of Geany are:
  - syntax highlighting
  - code completion
  - auto completion of constructs like if, for and while, XML and HTML
  - call tips
  - folding
  - many supported filetypes like C, Java, PHP, HTML, Python, Perl, Pascal
  - symbol lists
  - embedded terminal emulation

Package: geany-common
Description-md5: b028a1c94ed477dc63b5bfad38bfa1ce
Description-en: fast and lightweight IDE -- common files
 Geany is a small and lightweight integrated development environment.
 It was developed to provide a small and fast IDE, which has only a
 few dependencies from other packages. It is using only the GTK2 toolkit
 and therefore you need only the GTK2 runtime libraries to run Geany.
 .
 The basic features of Geany are:
  - syntax highlighting
  - code completion
  - auto completion of constructs like if, for and while, XML and HTML
  - call tips
  - folding
  - many supported filetypes like C, Java, PHP, HTML, Python, Perl, Pascal
  - symbol lists
  - embedded terminal emulation
 .
 This package contains arch independent files.

Package: geany-plugin-addons
Description-md5: 75fdfcea8f55dff2e3dbb5f23e681825
Description-en: miscellaneous plugins for Geany
 This plugin adds various small addons to Geany which aren't worth an
 individual plugin, but might still be useful for people.
  * DocList: This addon places a new item in the toolbar and when clicked
    offers a menu listing all open files plus the 'Close All' and 'Close Other
    Documents' menu items. This can be useful to quickly access open files and
    switch to them.
  * OpenURI: Adds 'Open URI' and 'Copy URI' menu items to the editor menu when
    the word under the cursor looks like a URI. 'Open URI' uses the browser
    command configured in Geany to open it.
  * Tasks: The tasks plugin goes through a file being edited and picks out
    lines with "TODO" or "FIXME" in them. It collects the text after those words
    and puts them in a new "Tasks" tab in the message window. Clicking on a task
    in that tab takes you to the line in the file where the task was defined.
  * Systray: Adds a status icon to the notification area (systray) and
    provides a simple popup menu with some basic actions. It can also be used
    to quickly show and hide the Geany main window.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-autoclose
Description-md5: b493756d62cf77d299b875cc4e5a8ee2
Description-en: auto-closing plugin for Geany
 This plugin enables auto-closing features. Auto-closing works while you typing
 and intellectually helps you to write code.
 .
 Features:
  * auto-close for: { }, [ ], ( ), " ", ' ', < >, ` `
  * customizeable auto-closing inside strings and comments
  * delete pairing character if you pressed BackSpace
  * suppress inserting one char twice (if you type "{}" you will get "{}", not
    "{}}")
  * enclose selected text into brackets instead of removing selection (select
    text and type "(" or ")" to enclose selection into "()")
  * keep selection when enclosing
  * for C-like languages enclosing selection into "{}" makes auto-indentation
    (select text and type "{" or "}" - text will be enclosed and indented)
  * enclosing in {} moves cursor to beginning (before "{" character)
  * for C-like languages to insert {}-block you do not need to select text
    precisely: plugin detects boundaries automatically, just ensure that
    selection covers lines you need to indent (works like TAB indentation)
  * fix auto-indent inside {} (makes full indent for this block)
  * auto-close curly bracket by pressing Enter
  * auto-close functions (``"sin(|" -> "sin(|);"``) with doubling suppression
    (for C/C++ languages only)
  * remove paring brace when pressing Shift+BackSpace, unindent {}-blocks
  * add semicolon after ``struct {|};`` and ``class {|};``
  * move cursor to closed char by pressing Tab
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-automark
Description-md5: 276fd7c2093c3d559ab9356cca12298b
Description-en: auto-mark plugin for Geany
 This is a simple plugin that highlights all words that match current word
 under cursor (see screenshot).
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-codenav
Description-md5: 6b0da2015ec2feb22215e285bf09b66f
Description-en: code navigation plugin for Geany
 This plugin adds some facilities for navigating in code using Geany, making it
 possible to:
  * Switch between header and implementation
  * Go to a file by typing its name
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-commander
Description-md5: eaa0e0da896c001d0c9a8e4143a79deb
Description-en: command panel plugin for Geany
 This plugin adds a command panel to Geany for rapid access to any action.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-ctags
Description-md5: 478a74c53c3a50316de86a33db345039
Description-en: ctags plugin for Geany
 GeanyCtags adds a simple support for generating and querying ctags files
 for a Geany project.
 .
 Even though Geany supports symbol definition searching by itself within the
 open files (and with a plugin support within the whole project), tag
 regeneration can become too slow for really big projects. This is why this
 plugin was created. It makes it possible to generate the tag file only once
 and just query it when searching for a particular symbol
 definition/declaration. This approach is fine for big projects where most
 of the codebase remains unchanged and the tag positions remain more or
 less static.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-defineformat
Description-md5: 1d132e7a3e33ca7b4aebad773d39192a
Description-en: on-the-fly #define prettyprinter plugin for Geany
 This plugin will help you to write multiline defines with aligned backslash.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-doc
Description-md5: ed02b5e16b093a00133da998f5b78a97
Description-en: documentation plugin for Geany
 Geanydoc is a plugin for the Geany IDE which is intended to be used to search
 for documentation API from different sources. It allows execution of specified
 commands on the current word at the cursor position or otherwise specified via
 a dialog to obtain this documentation. This documentation is displayed in the
 geany buffer as a tab called *DOC*, or may be displayed in an external program.
 .
 After installing this package, you'll need to enable the "Doc" plugin
 and then setup a keyword binding for it in Geany's preferences dialogue.
 .
 Geany is a small and lightweight integrated development environment using the
 GTK+ toolkit.

Package: geany-plugin-extrasel
Description-md5: ce60499bae36ea8f6e33bdda608b6029
Description-en: extra selection plugin for Geany
 The Extra Selection plugin adds the following functions to Geany:-
  * Go to matching brace and select (select to matching brace)
  * Go to line and select (select to line)
  * Ctrl+Shift+Alt+Left/Right/Home/End key - same as Ctrl+Shift, but for
    rectangular selection.
  * Column mode - while active, all (Ctrl)-Shift-Arrow keys do rectangle
    selection instead of stream.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-gendoc
Description-md5: 94de8df62993353138871351153d3578
Description-en: documentation generation plugin for Geany
 GeanyGenDoc is a plugin for Geany which provides support for automatically
 generating documentation based on comments in the source code.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-geniuspaste
Description-md5: 2c872c9e0e9407146214a73b8b920c66
Description-en: GeniusPaste plugin for Geany
 This plugin allows the user to paste the code from Geany into five different
 pastebins. At the moment it supports this services:
  - codepad.org
  - tinypaste.com
  - pastebin.geany.org
  - dpaste.de
  - sprunge.us
 .
 GeniusPaste detects automatically the syntax of the code and paste it with
 syntax highlighting enabled. It can also display the pasted code opening a new
 browser tab.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-git-changebar
Description-md5: 49c92ffb8f02f81b01252e771a709641
Description-en: git change bar plugin for Geany
 This plugin highlights uncommitted changes to files tracked with Git, and
 allows one to navigate through the hunks.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-gproject
Description-md5: c1fab65fa7554c80c2cb2aba6f2f7064
Description-en: transitional dummy package for geany-plugin-projectorganizer
 This is a transitional dummy package. It can safely be removed.

Package: geany-plugin-insertnum
Description-md5: 1909ae858e8e868c264aa2f4ed64627d
Description-en: number inserting plugin for Geany
 This plugin for Geany replaces a (possibly zero-width) rectangular selection
 with integer numbers, using start/step/base etc. specified by the user. For
 practical reasons, the number of lines is limited to 500000.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-keyrecord
Description-md5: ed9a4b064efbc6fb006bbbd321a1cab5
Description-en: git change bar plugin for Geany
 This plugin allows you to record a sequence of keystrokes and to replay it
 several times.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-latex
Description-md5: 100ff1ff400ba321d88badad9dc75cef
Description-en: improved LaTeX support plugin for Geany
 GeanyLaTeX is a plugin for the Geany IDE to improve work with LaTeX. Features
 include:
  * Wizard for creating a new LaTeX-document
  * Frontend for easy input of \ref{} and \label{}
  * Easy adding of special characters and environments through plugin menu entry
  * Support for adding new items to BibTeX database
  * Toolbar with commonly used format options
  * Bulk replacement and input replacement of special characters
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-lineoperations
Description-md5: 325a2c0de38437db83563a094a80ba2e
Description-en: Line Operations plugin for Geany
 Line Operations is an assortment of simple line functions that can be applied
 to an open file.
 .
 Features
 .
  * Remove Duplicate Lines, sorted
  * Remove Duplicate Lines, ordered
  * Remove Unique Lines
  * Remove Empty Lines
  * Remove Whitespace Lines
  * Sort Lines Ascending
  * Sort Lines Descending
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-lipsum
Description-md5: 47bd32f7e2d6cb5514f97bee3b545cf8
Description-en: Lorem Ipsum generator plugin for Geany
 GeanyLipsum is a plugin for Geany which implements a Lorem Ipsum generator to
 insert placeholder text into your document.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-lua
Description-md5: ad635f7b27849a73abdcfc5fdddf9dbb
Description-en: Lua scripting plugin for Geany
 GeanyLua is a plugin which provides a Lua scripting interface for the Geany
 IDE.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-macro
Description-md5: 55b1d9a3a3fd46ef6f1aea0557975a62
Description-en: macro plugin for Geany
 Geanymacro is a plugin to provide user defined macros for Geany.
 This plugin allows you to record and use your own macros. Macros are
 sequences of actions that can then be repeated with a single key
 combination. So if you had dozens of lines where you wanted to delete
 the last 2 characters, you could simple start recording, press End,
 Backspace, Backspace, down line and then stop recording. Then simply
 trigger the macro and it would automatically edit the line and move to
 the next.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-miniscript
Description-md5: 770056820a34913c5b90cd7d75594a88
Description-en: Geany Mini-Script filter plugin
 This plugin is a tool to apply a script filter on:
  - the text selection,
  - the current document,
  - all documents of the current session.
 .
 The filter type can be:
  - Unix shell script,
  - Perl script,
  - Python script,
  - sed commands,
  - awk script.
 .
 The output can be:
  - the selection of the current document,
  - all the current document,
  - or a new document.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-numberedbookmarks
Description-md5: 8340bc2f32555be087c4c23d732ac50a
Description-en: numbered bookmarks plugin for Geany
 Geanynumberedbookmarks is a plugin to provide users with 10 numbered
 bookmarks (in addition to the usual bookkmarks).
 Normally if you had more than one bookmark, you would have to cycle
 through them until you reached the one you wanted. With this plugin you
 can go straight to the bookmark that you want with a single key
 combination.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-overview
Description-md5: 58a772626e1c85d16177a6d7f4543bac
Description-en: overview plugin for Geany
 This plugin provides an overview of the active document.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-pairtaghighlighter
Description-md5: da3b5575bb9ab908baec9b3b9ee94d71
Description-en: tag pair highlighter plugin for Geany
 Finds and highlights matching opening/closing HTML tag by clicking or
 moving cursor inside a tag.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-pg
Description-md5: ec2bfa67797fdf4ab6a7bbf552d17306
Description-en: pg plugin for Geany
 GeanyPG is a plugin for Geany that allows the user to encrypt, decrypt
 and verify signatures with GnuPG.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-pohelper
Description-md5: aff4592deef57b9b5395e33c0509c4d5
Description-en: Geany plugin for improved support for GetText translation files
 Pohelper is a plugin for Geany that improves the support for
 GetText translation files
 .
 Features:
  * Navigation between all, untranslated or fuzzy messages
  * Reformatting of the translation (reflow)
  * Toggling the fuzziness of a translation
  * Pasting of the untranslated string to the translation
  * Automatic updating of the translation metadata
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-prettyprinter
Description-md5: c31ba25f6bee6788d34429a7f8a4039f
Description-en: XML pretty printer for Geany
 This plugin gives Geany XML pretty-printing functionality, allowing it to
 beautify and enhance the readability of XML files.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-prj
Description-md5: 3a8fa0c6882602994f2aa3e91e832fbf
Description-en: alternative project manager for Geany
 GeanyPrj is a plugin for Geany that provides an alternative method for managing
 projects in Geany, which moves away from Geany's default project management
 style, which is session-based, instead implementing a system which
 automatically opens a project when oen of its files are opened.
 .
 Geany is a small and lightweight integrated development environment using the
 GTK+ toolkit.

Package: geany-plugin-projectorganizer
Description-md5: 070e3278fe7b4e369bce8698d545e284
Description-en: projectorganizer plugin for Geany
 Project Organizer is an extension of Geany's project management displaying
 a tree of files belonging to the project in the sidebar. In addition, it
 enables complete indexing of the project files (and having code completion,
 syntax highlighting and tag  definition/declaration jumps for the whole
 project) quick swapping between header and source files, improved opening
 of includes, searching project files by name and more. External
 directories can be attached to the project to extend the plugin's
 functionality to related directories outside the project tree. The plugin
 was created with big projects in mind so everything works fast enough
 even with projects consisting of tens of thousands of files.
 .
 Geany is a small and lightweight integrated development environment using the
 GTK+ toolkit.

Package: geany-plugin-sendmail
Description-md5: 3574b1e38a01ba9a40884a7dc33d15a1
Description-en: mailer plugin for Geany
 GeanySendMail is a plugin to send a document as attachment using the
 preferred mail client from inside Geany. It is similar to the envelope symbol
 of most office tools and requires a mail client that is supporting remote
 calls.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-shiftcolumn
Description-md5: 3a4926a898f3d7bbd1bfa06f78c451fb
Description-en: text column shifting plugin for Geany
 ShiftColumn is a plugin which allows text to be shifted horizontally in the
 Geany IDE.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-spellcheck
Description-md5: 53d2dc921440db7405aafc40529fe94b
Description-en: spellcheck plugin for Geany
 Spellcheck is a plugin which  checks the content of the current document in
 Geany with the spell check library Enchant. This plugin allows the whole
 document, or only a selection to be checked for spelling mistakes. Misspelt
 words are highlighted with a red squiggly underline, and wrong words are
 printed in Geany's messages window along with available suggestions.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-tableconvert
Description-md5: 36b9ae15e3fd1a2cf2321cf7bb74e3bd
Description-en: table convert plugin for Geany
 Tableconvert is a plugin which helps on converting a tabulator
 separated selection into a table.
 Currently the plugin supports:
  * HTML
  * LaTeX
  * SQL
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-treebrowser
Description-md5: 01b37f1d864a44fa1a800649c0a75d12
Description-en: tree browser plugin for Geany
 This plugin adds a tree browser to Geany, allowing the user to browse files
 using a tree view of the directory being browsed.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-updatechecker
Description-md5: 37b8994011f31812671b272b6c22b896
Description-en: update checker plugin for Geany
 This plugin adds an update checker plugin to Geany, allowing users to check
 whether there is a more recent version of Geany available.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-vc
Description-md5: 5deed53a61c1c7be3d1da6d6d15f6479
Description-en: VCS plugin for Geany
 GeanyVC is a plugin for Geany that provides a uniform way of accessing the
 different version-control systems inside the Geany IDE. Only a small subset of
 vc operations are implemented, which are:
  * diff
  * log
  * status
  * revert
  * commit
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-vimode
Description-md5: 7e8aaec7b44805404be0d56f6d8296d6
Description-en: Vim-mode plugin for Geany
 The vimode plugin is a Vim-mode plugin for Geany.
 .
 Vim is another UNIX editor.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-workbench
Description-md5: 1f99cb169d6250a9ea85bd519e783c45
Description-en: workbench plugin for Geany
 The Workbench plugin is an extension that makes it possible to manage multiple
 projects in geany. You can add geany projects to a workbench. From there you
 can add directories to the project to manage the files belonging to the
 project.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugin-xmlsnippets
Description-md5: 6fa9e233ed59b6513856a160496e3ceb
Description-en: XMLSnippets plugin for Geany
 This plugin extends XML/HTML tag autocompletion provided by Geany.
 It automatically inserts a matching snippet after you type an opening tag.
 .
 Geany is a small and lightweight integrated development environment using the
 Gtk+ toolkit.

Package: geany-plugins
Description-md5: 175bac6ab6c326ef6021cb24f34557dc
Description-en: set of plugins for Geany
 This is a metapackage which installs the whole set of plugins for Geany.
 .
 Geany is a fast and lightweight IDE.

Package: geany-plugins-common
Description-md5: 20deb6c15435fd40b4d0314ace9266b6
Description-en: set of plugins for Geany (translations)
 This package contains translations for the Geany Plugins. You probably do not
 want to install this package directly, but instead install one of the other
 plugins packages.

Package: gearhead
Description-md5: c9ab827971ec37ad383fea9ad9b3f3cc
Description-en: roguelike mecha role playing game, console version
 A century and a half ago the Earth was nearly destroyed by nuclear
 war. Now, a federation of free city-states has begun to restore
 civilization. However, there are forces operating in the darkness
 which will unleash the horrors of the past age in a bid to determine
 the future of the human race.
 .
 Features of the game include random storyline generation, richly
 detailed character generation, complex NPC interaction, and of course
 over 150 different mechanical designs ranging from jet fighters to
 giant robots to city-smashing tanks.
 .
 This is the console version.  For graphics, use gearhead-sdl instead.

Package: gearhead-data
Description-md5: dc953530262004a24193ad4cd4c2294a
Description-en: data files for gearhead
 A century and a half ago the Earth was nearly destroyed by nuclear
 war. Now, a federation of free city-states has begun to restore
 civilization. However, there are forces operating in the darkness
 which will unleash the horrors of the past age in a bid to determine
 the future of the human race.
 .
 Features of the game include random storyline generation, richly
 detailed character generation, complex NPC interaction, and of course
 over 150 different mechanical designs ranging from jet fighters to
 giant robots to city-smashing tanks.
 .
 These are the common files for gearhead.

Package: gearhead-sdl
Description-md5: 22aad5315bb83d0e071957f61b4682de
Description-en: roguelike mecha role playing game, SDL version
 A century and a half ago the Earth was nearly destroyed by nuclear
 war. Now, a federation of free city-states has begun to restore
 civilization. However, there are forces operating in the darkness
 which will unleash the horrors of the past age in a bid to determine
 the future of the human race.
 .
 Features of the game include random storyline generation, richly
 detailed character generation, complex NPC interaction, and of course
 over 150 different mechanical designs ranging from jet fighters to
 giant robots to city-smashing tanks.
 .
 This is the SDL version.  For console, use gearhead instead.

Package: gearhead2
Description-md5: dcf1bb07b4749686833b062d83d78c18
Description-en: roguelike mecha role playing game in space
 Set a century and a half after nuclear war, you can explore a world
 where various factions compete to determine the future of the human
 race. Major features include random plot generation, a detailed
 character system, and over two hundred customizable mecha designs.
 .
 GearHead 2 is set five years after the events of GearHead 1. It is
 currently under development and is initially set in the L5 Orbital
 Pattern.
 .
 This is the console version.  For graphics, use gearhead2-sdl
 instead.

Package: gearhead2-data
Description-md5: 285afa765784656159ead368ecd75d65
Description-en: data files for gearhead2
 Set a century and a half after nuclear war, you can explore a world
 where various factions compete to determine the future of the human
 race. Major features include random plot generation, a detailed
 character system, and over two hundred customizable mecha designs.
 .
 GearHead 2 is set five years after the events of GearHead 1. It is
 currently under development and is initially set in the L5 Orbital
 Pattern.
 .
 This package contains the data files for gearhead2.

Package: gearhead2-sdl
Description-md5: 809ab98d7a30636a8c7c24a901cf0c6c
Description-en: roguelike mecha role playing game in space
 Set a century and a half after nuclear war, you can explore a world
 where various factions compete to determine the future of the human
 race. Major features include random plot generation, a detailed
 character system, and over two hundred customizable mecha designs.
 .
 GearHead 2 is set five years after the events of GearHead 1. It is
 currently under development and is initially set in the L5 Orbital
 Pattern.
 .
 This is the SDL version.  For the console version, use gearhead
 instead.

Package: gearman
Description-md5: fa6766ee62d622a4698745c58ef106f9
Description-en: Distributed job queue
 Gearman is a system to farm out work to other machines, dispatching function
 calls to machines that are better suited to do work, to do work in parallel,
 to load balance lots of function calls, or to call functions between languages.
 .
 This package is an empty package that depends on both the client and the
 server.

Package: gearman-job-server
Description-md5: f1497cc9463c528ddc67462ff143594d
Description-en: Job server for the Gearman distributed job queue
 Gearman is a system to farm out work to other machines, dispatching function
 calls to machines that are better suited to do work, to do work in parallel,
 to load balance lots of function calls, or to call functions between languages.
 .
 This package contains the C reimplementation of the job server daemon

Package: gearman-server
Description-md5: e7597f47edd569f62c875c5965350fc9
Description-en: Gearman distributed job server and Perl interface
 Gearman is a system to farm out work to other machines, dispatching function
 calls to machines that are better suited to do work, to do work in parallel,
 to load balance lots of function calls, or even to call functions between
 languages.
 .
 This package contains both the Gearman server as well as the corresponding
 Perl bindings.

Package: gearman-tools
Description-md5: bd89e9e6b98b528ff8da8b6d4149b229
Description-en: Tools for the Gearman distributed job queue
 Gearman is a system to farm out work to other machines, dispatching function
 calls to machines that are better suited to do work, to do work in parallel,
 to load balance lots of function calls, or to call functions between languages.
 .
 This package contains some command line tools for manipulating gearman jobs.

Package: geary
Description-md5: 6a6881196e68bf9cefed2acbee9bf940
Description-en: lightweight email client designed for the GNOME desktop
 Geary is an email reader for GNOME designed to let you read your email
 quickly and effortlessly. Its interface is based on conversations, so you
 can easily read an entire discussion without having to click from message
 to message.

Package: gedit-latex-plugin
Description-md5: c72fbffd4dd3548fac3ecbabfabd7bd5
Description-en: gedit plugin for composing and compiling LaTeX documents
 This plugin assist you in a number of task:
  - Code Completion: if you type a prefix it shows you all matching commands
    and the structure and meaning of their arguments. If possible it shows
    options for the argument the cursor is in.
  - Assistants: there are several assistants for frequent tasks like creating
    the body of a new LaTeX file, inserting a graphics, inserting a table or a
    matrix, inserting source code listings, inserting BibTeX entries.
  - BibTeX Integration: an outline view is created for BibTeX files and the
    LaTeX completion is aware of bibliographies included per \bibliography and
    proposes their entries at the \cite command. BibTeX entries may be inserted
    with the help of a dialog.
  - Build System: The build system uses profiles like "PDF", "DVI" or
    "PostScript". Per default the plugin uses rubber for automated document
    compiling, but you may create your own profiles invoking the LaTeX command
    chain directly.

Package: gedit-plugin-bookmarks
Description-md5: 7b248b718fa8a3e9fb49c531d353a263
Description-en: Bookmarks plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables easy document navigation with bookmarks.

Package: gedit-plugin-bracket-completion
Description-md5: 71cdc8c26a8686e1dcd9ac67035e4a53
Description-en: Bracket Completion plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin automatically adds closing brackets.

Package: gedit-plugin-character-map
Description-md5: 8dcccf4ca154c24da657da3e3b841b0e
Description-en: Character Map plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin allows inserting special characters just by clicking on them.

Package: gedit-plugin-code-comment
Description-md5: 9572f4145b5214f31b020ff99d8c3eb1
Description-en: Code Comment plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables commenting or uncommenting blocks of code.

Package: gedit-plugin-color-picker
Description-md5: 7c387a0b7dbbc1f2de7a4d61c2641153
Description-en: Color Picker plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin inserts the hexademical representation of a selected color.

Package: gedit-plugin-color-schemer
Description-md5: 78158c2ea1f4754a7db7d7da1895ac7e
Description-en: Color Schemer plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables editing the color scheme used to display source code.

Package: gedit-plugin-commander
Description-md5: 10536d92f200099c31aca78fcb56e68a
Description-en: Commander plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin adds a command line interface for advanced editing.

Package: gedit-plugin-draw-spaces
Description-md5: 3c73bfcd70bd9e5f58be6fa5b974256f
Description-en: Draw Spaces plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin shows a visual representation of spaces and tabs.

Package: gedit-plugin-find-in-files
Description-md5: 433e7f0f7dbc558881b50a2caf671702
Description-en: Find in Files plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables searching for text in all files of a folder.

Package: gedit-plugin-git
Description-md5: 181186eed7831dec72dc77768399cd24
Description-en: Git plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin highlight lines that have been changed since the last git commit.

Package: gedit-plugin-join-lines
Description-md5: 6987663f7e00c0f1bc24a6e19df557e9
Description-en: Join/Split Lines plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables joining several lines or splitting long lines.

Package: gedit-plugin-multi-edit
Description-md5: f7303a63f84c53654916b553d9d4274c
Description-en: Multi Edit plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables editing a document in multiple places at once.

Package: gedit-plugin-session-saver
Description-md5: bd5d1a92f17f13ff3ab6b17b2efbb8e8
Description-en: Session Saver plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables saving and restoring a working session.

Package: gedit-plugin-smart-spaces
Description-md5: ba6bc20ebe730120460251c7d01ebf13
Description-en: Smart Spaces plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin inserts spaces automatically when pressing the tab key.

Package: gedit-plugin-synctex
Description-md5: 4caef4951f89e64ae11ce2d09fd99f5f
Description-en: SyncTex plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin synchronizes between LaTex (using gedit) and PDF (using evince).

Package: gedit-plugin-terminal
Description-md5: 1de88db701df9fb08311ea9d2ebeb076
Description-en: Terminal plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin embeds a terminal in the bottom pane.

Package: gedit-plugin-text-size
Description-md5: f32dc1289ed56352f6be9a58592ecf7d
Description-en: Text Size plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables easily increasing and decreasing the text size.

Package: gedit-plugin-translate
Description-md5: db910b77dd1e140ba9ed7bd982fce5b3
Description-en: Translate plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin enables translates text into different languages.

Package: gedit-plugin-word-completion
Description-md5: ee7eb9f21c57444b150ae13a8adf6643
Description-en: Word Completion plugin for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This plugin offers word completion using the completion framework.

Package: gedit-plugins
Description-md5: b28816ed92feb7d0d10a6fe95fea2529
Description-en: set of plugins for gedit
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 The plugins are now split in separate packages; this metapackage brings all
 of them but they can be installed separately.

Package: gedit-plugins-common
Description-md5: 8d12c02ff9660826c0ede23abf24b798
Description-en: common files for gedit-plugins
 gedit-plugins contain a set of plugins for gedit, GNOME's text editor.
 .
 This package contains common data files and translations.

Package: gedit-source-code-browser-plugin
Description-md5: 69dda685ddfe8dddeb2e557a6e6fc2d0
Description-en: source code class and function browser plugin for Gedit
 This plugin will add a new tab to the side pane in the Gedit text editor which
 shows symbols (functions, classes, variables, etc.) for the active document.
 Clicking a symbol in the list will jump to the line on which that symbol is
 defined.

Package: geekcode
Description-md5: 4242d920bf7da11ddb9922bdca14d2c2
Description-en: Program for generating geekcode
 This is a program for generating the geekcode.
 See http://www.geekcode.com for more info and for discovering
 if you need the geekcode.

Package: geeqie
Description-md5: d54bfbbaf76572caf1fcc02be235dc50
Description-en: image viewer using GTK+
 Geeqie is a browser for graphics files offering single click viewing of
 your graphics files. It includes thumbnail view, zoom, filtering
 features and external editor support.

Package: geeqie-common
Description-md5: 5c92b3de58f07d9b507f898e80fbd0a5
Description-en: data files for Geeqie
 Geeqie is a browser for graphics files offering single click viewing of
 your graphics files. It includes thumbnail view, zoom, filtering
 features and external editor support.
 .
 This package contains data files for Geeqie such as documentation or
 locales.

Package: geg
Description-md5: de75ad9e66ea625cc2bd1f9f681d43f9
Description-en: GTK+ Equation Grapher
 geg is a very simple utility for parsing and plotting 2D-functions, eg
 f(x) = 3 + sin(x), and much more complicated functions of course.
 It is written with GTK+ and provides a neat, configurable user interface.

Package: gegl
Description-md5: 5206828be61b14bd4518d1342f2a56e8
Description-en: Generic Graphics Library Test Program
 GEGL (Generic Graphics Library) is a graph based image processing
 framework.
 .
 GEGL's original design was made to scratch GIMP's itches for a new
 compositing and processing core. This core is being designed to have
 minimal dependencies and a simple well defined API.
 .
 This package contains a bunch of test programs.

Package: geis-tools
Description-md5: 32f36eb668194701fb29ca06b17e402a
Description-en: Gesture engine interface support - test tools
 A common API for clients of a systemwide gesture recognition and propagation
 engine.
 .
 Tools that can be used to verify gesture API behaviour.

Package: geiser
Description-md5: 4f712ccfd6952c445d3f91859f7461b7
Description-en: Transition Package, geiser to elpa-geiser
 The geiser emacs addon has been elpafied.  This dummy package
 helps ease transition from geiser to elpa-geiser.

Package: geki2
Description-md5: 702c083e71276a9d08ce170a576c4aec
Description-en: Xenon-like vertical shoot'em-up
 Geki 2 is a vertical shoot'em-up game similar to classic arcade games such
 as Xenon, Target Renegade or Gunhed. It features six levels and two different
 weapons.

Package: geki3
Description-md5: 48c7fff4f597610fc55c90b450c508dd
Description-en: R-Type-like horizontal shoot'em-up
 Geki 3 is a horizontal shoot'em-up game similar to classic arcade games
 such as R-Type or Zero "All Your Base Are Belong To Us" Wing. It features
 four levels and various weapons.

Package: gelemental
Description-md5: 468fd0349dc4ca2dab37e28198d6a2b0
Description-en: Periodic Table viewer
 gElemental is a GTK+ periodic table viewer that provides detailed information
 about chemical elements.
 .
 It features a table view which allows the elements to be coloured thematically
 by several properties, a sortable list view and an element properties
 dialog, displaying a variety of information, including historical,
 thermodynamic, electrochemical, and crystallographic properties.
 .
 This package contains the main application.

Package: gem
Description-md5: 36a8e97cbc8b96acc75b5f0c96f78d8b
Description-en: Graphics Environment for Multimedia - Pure Data library
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package contains the core library.
 If you want to use external data (live video capture, film footage, still
 images,...), you have to install one (or all) of the gem-plugin-* packages.

Package: gem-dev
Description-md5: 5ab0bcf8dfffa768e5b12dfbd77ced18
Description-en: Graphics Environment for Multimedia (development files)
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides the header-files for compiling externals (plugins) for
 Gem.

Package: gem-doc
Description-md5: 248ed9cd67f988465b5dcb4ec39d2ed2
Description-en: Graphics Environment for Multimedia (documentation)
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package includes the documentation for Gem.

Package: gem-extra
Description-md5: 2631f815a487aef7b6c13ef2b35a036e
Description-en: Graphics Environment for Multimedia - extra objects
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package includes some contrib objects, mainly for tracking.
 Currently included objects are:
 [pix_mano], [pix_drum] tracking objects by Jaime Oliver
 [pix_fiducialtrack] reacTIVision-like tracking

Package: gem-plugin-assimp
Description-md5: 2cb4bbe47baa9de2d99c93a0076d2364
Description-en: Graphics Environment for Multimedia - Assimp support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides model loading using Assimp.

Package: gem-plugin-dc1394
Description-md5: 809dc083b48443c2e7d4a359028f2079
Description-en: Graphics Environment for Multimedia - DC1394 support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides video capturing using dc1394, allowing you to capture
 from your industry grade ("firewire") IIDC camera

Package: gem-plugin-dv4l
Description-md5: 81bd7aee18117ac1df26dd1c06677c27
Description-en: Graphics Environment for Multimedia - DV support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides video capturing using libdv, allowing you to capture from
 your consumer-grade ("firewire") DV-camcorder.

Package: gem-plugin-glfw3
Description-md5: 296e5a75f026e50e77378a73af441788
Description-en: Graphics Environment for Multimedia - GLFW3 support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides windowing using GLFW3.

Package: gem-plugin-glut
Description-md5: e8faf0863e69bf91d6bf67f2f38d8ae9
Description-en: Graphics Environment for Multimedia - GLUT support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides windowing using GLUT.

Package: gem-plugin-gmerlin
Description-md5: 31de2c9ee3a78c8693acb7c1dd7bd311
Description-en: Graphics Environment for Multimedia - GMERLIN support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides film/movie decoding using the gmerlin-avdecoder library.

Package: gem-plugin-jpeg
Description-md5: a8b010a22b8f65f3c61b7e7964ddaaec
Description-en: Graphics Environment for Multimedia - JPEG support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides image input and output using the libjpeg library.
 If you have installed the gem-plugin-magick package as well, you might find
 that you don't need this package as you can already read and write jpeg images.

Package: gem-plugin-lqt
Description-md5: e359a47ef863114275ed0c9ea6a6bf13
Description-en: Graphics Environment for Multimedia - LQT support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides film/movie input and output using the libquicktime
 library.

Package: gem-plugin-magick
Description-md5: ecb07097215819f8f9fda73c550fc7e7
Description-en: Graphics Environment for Multimedia - ImageMagick support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides image input and output using the ImageMagick library.

Package: gem-plugin-mpeg3
Description-md5: 0d15eda23f4928acdc86a98efab54d5b
Description-en: Graphics Environment for Multimedia - MPEG support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides film/movie decoding using the libmpeg3 library (allowing
 you to read MPEG-1 and MPEG-2 video files).
 .
 NOTE: the gem-plugin-gmerlin package allows you to read those files as well
 in a more consistent/stable manner.

Package: gem-plugin-sdl
Description-md5: 410ac0e175eb69ebb8c893046a2a7382
Description-en: Graphics Environment for Multimedia - SDL support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides windowing using SDL.

Package: gem-plugin-sgi
Description-md5: 3e254b814bb5b05693a2f799ce2a40f9
Description-en: Graphics Environment for Multimedia - SGI support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides image loading for SGI images.
 If you have installed the gem-plugin-magick package as well, you might find
 that you don't need this package as you can already read and write(!) SGI
 images.

Package: gem-plugin-tiff
Description-md5: 18bb323199c2240ce61a8dc55898c962
Description-en: Graphics Environment for Multimedia - TIFF support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides image input and output using the libtiff library.
 If you have installed the gem-plugin-magick package as well, you might find
 that you don't need this package as you can already read and write TIFF images.

Package: gem-plugin-unicap
Description-md5: dbdad23ef8e4c9616421ac0e4ea6e11d
Description-en: Graphics Environment for Multimedia - unicap support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides video capturing using unicap.

Package: gem-plugin-v4l2
Description-md5: 8ad179c790ef5e00d4fa8f9e8c835e97
Description-en: Graphics Environment for Multimedia - V4L2 output support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides video input and output using V4L2.
 .
 For video output, you might want to use a loopback device, for which you will
 have to install the v4l2loopback kernel module as well.

Package: gem-plugin-vlc
Description-md5: 4233421cf30151e84c790c138f1d3b41
Description-en: Graphics Environment for Multimedia - VLC support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides video capturing using the VideoLAN-Client engine

Package: gem-plugin-vnc
Description-md5: 4716f77b68ca2ab345c877a4603c759a
Description-en: Graphics Environment for Multimedia - VNC support
 Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics
 rendering and animation to Pd.  Pd is a graphical programming language and
 computer music system.
 .
 This package provides video capturing using vncserver

Package: gem2deb
Description-md5: 3ed6a615741be6d1ca15c4b2a22b42c3
Description-en: Debian Ruby packaging suite
 gem2deb is a set of tools to create Debian package from ruby software
 distributed with Rubygems. gem2tgz converts the .gem file to a tar archive,
 then dh-make-ruby creates a Debian source package from that archive. That
 Debian package uses the included Debhelper7 helper, dh_ruby, to generate
 a proper Debian package. Both pure-ruby and native packages are supported.

Package: gem2deb-test-runner
Description-md5: 2d73a89e49a09feafa108fa3c3236787
Description-en: Debian Ruby packaging suite - tool to run tests
 gem2deb is a set of tools to create Debian package from ruby software
 distributed with Rubygems.
 .
 This package contains the tool to run the tests.

Package: gemdropx
Description-md5: c63363bdc902cb3072b3a753afe7af8b
Description-en: Gem Drop X is an interesting one-player puzzle game for X11
 The game is played with YOU at the bottom of the screen.  At the
 top is a random assortment of colored shapes ("gems").  As time
 goes on, more gems appear at the very top of the screen, pushing the
 rest downwards.  The game is over when the gems reach the bottom.
 .
 It's your job to keep the screen from filling up.  You do this by
 "grabbing" gems from the top of the screen, carrying them around,
 if need be, and "throwing" them back up.
 .
 If, when you throw some gems back up, you create a "match" of 3 or more
 gems in a vertical column, they disappear (with a cool little explosion).
 At this point, if there are any "matches" of the same gem to the left or
 right of this column, they disappear too!  And so on.  This is great for
 cool chain-reactions! You also get more points for the more gems that
 disappear in a match.  (Matching four gives as many points as matching
 three twice, for example.)

Package: gemma
Description-md5: b7ae9da559267220e691d02459f93998
Description-en: Genome-wide Efficient Mixed Model Association
 GEMMA is the software implementing the Genome-wide Efficient Mixed
 Model Association algorithm for a standard linear mixed model and some
 of its close relatives for genome-wide association studies (GWAS):
 .
  * It fits a univariate linear mixed model (LMM) for marker association
    tests with a single phenotype to account for population stratification
    and sample structure, and for estimating the proportion of variance in
    phenotypes explained (PVE) by typed genotypes (i.e. "chip heritability").
  * It fits a multivariate linear mixed model (mvLMM) for testing marker
    associations with multiple phenotypes simultaneously while controlling
    for population stratification, and for estimating genetic correlations
    among complex phenotypes.
  * It fits a Bayesian sparse linear mixed model (BSLMM) using Markov
    chain Monte Carlo (MCMC) for estimating PVE by typed genotypes,
    predicting phenotypes, and identifying associated markers by jointly
    modeling all markers while controlling for population structure.
  * It estimates variance component/chip heritability, and partitions
    it by different SNP functional categories. In particular, it uses HE
    regression or REML AI algorithm to estimate variance components when
    individual-level data are available. It uses MQS to estimate variance
    components when only summary statisics are available.
 .
 GEMMA is computationally efficient for large scale GWAS and uses freely
 available open-source numerical libraries.

Package: gemma-doc
Description-md5: 6e2aaf9c191eccf43db6eb28b7beddfc
Description-en: Example folder for GEMMA
 This package ships example data for the Genome-wide Efficient Mixed
 Model Association.

Package: gems
Description-md5: a22577f4162e2017a9d9b77484b0f49d
Description-en: Shows a console session in several terminals
 The gems system is a client/server application that allows one to show a
 single console session in different computers or terminals in real time. It
 can also be used to transmit any other kind of data to more than one computer
 at the same time, via a network connection.
 .
 It was designed as an educational tool for teachers that have to show in
 a computer lab how to do certain things with the console. Using the
 gems system, each student can observe in his/her own terminal everything the
 teacher does.

Package: gendarme
Description-md5: d28e613abd7718d710a9b3188c8c85c4
Description-en: extensible rule-based checker for CLI assemblies
 Gendarme is a extensible rule-based tool to find problems in .NET
 applications and libraries. Gendarme inspects programs and libraries
 that contain code in ECMA CIL format (Mono and .NET) and looks for
 common problems with the code, problems that compiler do not typically
 check or have not historically checked.

Package: genders
Description-md5: 05788d4e0fe4eae94f19b79462bf87d7
Description-en: cluster configuration management database tools
 Genders is a static cluster configuration database used for cluster
 configuration management.  It is used by a variety of tools and
 scripts for management of large clusters.  The genders database is
 typically replicated on every node of the cluster. It describes the
 layout and configuration of the cluster so that tools and scripts can
 sense the variations of cluster nodes. By abstracting this information
 into a plain text file, it becomes possible to change the
 configuration of a cluster by modifying only one file.

Package: geneagrapher
Description-md5: 18cdb679913f6c96eee565150be5f7e9
Description-en: Create tree from Mathematics Genealogy Project
 The Mathematics Genealogy Grapher (Geneagrapher) is a software tool to gather
 the information for building math genealogy trees with data from the
 Mathematics Genealogy Project. The information extracted is stored in dot file
 format, which can then be passed to Graphviz to generate a graph.

Package: generator-scripting-language
Description-md5: 5a179059900204995bfc9ec6467ff829
Description-en: Generator Scripting Language code generator
 GSL/4.1 is a code construction tool.  It will generate code in all
 languages and for all purposes.  If this sounds too good to be true,
 welcome to 1996, when we invented these techniques.  Magic is simply
 technology that is twenty years ahead of its time. In addition to
 code construction, GSL has been used to generate database schema
 definitions, user interfaces, reports, system administration tools
 and much more.

Package: generator-scripting-language-examples
Description-md5: 7ef0b275b5917668f88f21def15261fc
Description-en: Examples for the Generator Scripting Language code generator
 GSL/4.1 is a code construction tool.  It will generate code in all
 languages and for all purposes.  If this sounds too good to be true,
 welcome to 1996, when we invented these techniques.  Magic is simply
 technology that is twenty years ahead of its time. In addition to
 code construction, GSL has been used to generate database schema
 definitions, user interfaces, reports, system administration tools
 and much more.
 .
 This package contains examples to get started with gsl.

Package: geneweb
Description-md5: ebd34881ac6bb5b5eb627e9f8d7fcb14
Description-en: genealogy software with web interface
 Geneweb allows keeping track of ancestral data. It is a powerful
 system for maintaining a set of data about your family history. It
 supports much of the GEDCOM tag system for data storage, and can be
 used either as your primary system for archiving genealogical data,
 or as a web service (through a CGI interface) for publishing your
 data for others to use.

Package: geneweb-gui
Description-md5: 3153ca3812cabbf04376a9ecc3de2ec3
Description-en: graphical user interface to Geneweb genealogy software
 Geneweb allows keeping track of ancestral data. It is a powerful
 system for maintaining a set of data about your family history. It
 supports much of the GEDCOM tag system for data storage, and can be
 used either as your primary system for archiving genealogical data,
 or as a web service (through a CGI interface) for publishing your
 data for others to use.
 .
 This package provides a graphical interface to geneweb (assuming the
 database is hosted on the local machine).

Package: genext2fs
Description-md5: da14ae0f39cc44d3688a7cd107f79a2a
Description-en: ext2 filesystem generator for embedded systems
 genext2fs is meant to generate an ext2 filesystem  as a normal
 (non-root) user. It doesn't require you to mount the image file
 to copy files on it. It doesn't even require you to be the
 superuser to make device nodes.

Package: gengetopt
Description-md5: c50673848039bac7d04eeaa74fc2ea7d
Description-en: skeleton main.c generator
 gengetopt reads an interface description file, and writes a file containing
 a command-line parser function.  gengetopt supports: long and short options,
 11 types of parameters (including flag, int, double, string, and function
 call), and a usage message.

Package: genius
Description-md5: 91ce686a0384efccfc97b0de617f8732
Description-en: advanced general purpose calculator program (CLI frontend)
 Genius is a general purpose calculator program similar in some aspects to
 BC, Matlab or Maple. It is useful both as a simple calculator and as a
 research or educational tool. The syntax is very intuitive and is designed
 to mimic how mathematics is usually written.
 .
 This package contains a command line interface for genius.

Package: genius-common
Description-md5: 048c5e28eb3e6c47d14e560941043f7d
Description-en: advanced general purpose calculator program (common files)
 Genius is a general purpose calculator program similar in some aspects to
 BC, Matlab or Maple. It is useful both as a simple calculator and as a
 research or educational tool. The syntax is very intuitive and is designed
 to mimic how mathematics is usually written.
 .
 This package contains common files for genius.

Package: genius-dev
Description-md5: b52728361e93cbe046ff1882db840b5b
Description-en: advanced general purpose calculator program (development files)
 Genius is a general purpose calculator program similar in some aspects to
 BC, Matlab or Maple. It is useful both as a simple calculator and as a
 research or educational tool. The syntax is very intuitive and is designed
 to mimic how mathematics is usually written.
 .
 This package contains development files needed for developing and building
 plugins.

Package: genometester
Description-md5: 3c679b6fcf4c5f8458c173887f22d60c
Description-en: toolkit for performing set operations on k-mer lists
 Toolkit for performing set operations - union, intersection and
 complement - on k-mer lists.
 .
 GenomeTester4 toolkit, which contains a novel tool GListCompare for
 performing union, intersection and complement (difference) set
 operations on k-mer lists. It contains examples of how these
 general operations can be combined to solve a variety of biological
 analysis tasks.

Package: genomethreader
Description-md5: 10343b593bae7c426badf0d09d241389
Description-en: software tool to compute gene structure predictions
 GenomeThreader is a software tool to compute gene structure predictions.
 The gene structure predictions are calculated using a similarity-based
 approach where additional cDNA/EST and/or protein sequences are used to
 predict gene structures via spliced alignments. GenomeThreader was motivated
 by disabling limitations in GeneSeqer, a popular gene prediction program
 which is widely used for plant genome annotation.

Package: genometools
Description-md5: 00c8a03659977f199801c7a670b057b3
Description-en: versatile genome analysis toolkit
 The GenomeTools contains a collection of useful tools for biological
 sequence analysis and -presentation combined into a single binary.
 .
 The toolkit contains binaries for sequence and annotation handling, sequence
 compression, index structure generation and access, annotation visualization,
 and much more.

Package: genometools-common
Description-md5: 7e1f44f32080d3bc8b45b3614b40c53b
Description-en: shared data files for GenomeTools
 This package contains configuration files such as alphabet
 transformations, style files, etc. required to use the GenomeTools
 executable and/or library.

Package: genometools-doc
Description-md5: 526c1492d63f7117ba842af153eff979
Description-en: documentation for GenomeTools
 This package contains API documentation and tool documentation for
 GenomeTools. The GenomeTools toolkit contains binaries for sequence and
 annotation handling, sequence compression, index structure generation and
 access, annotation visualization, and much more.

Package: genparse
Description-md5: 44e4f19ce9c468b54741f759e2f04137
Description-en: command line parser generator
 From simple and concise specification file, you can define
 the command line parameters and switches that you would like
 to be able to pass to your program.
 Genparse creates the C code of the parser for you, which you can then
 compile as a separate file and link with your program.

Package: genromfs
Description-md5: 78329ad9986d0af74d7f7bad45155ed8
Description-en: This is the mkfs equivalent for romfs filesystem
 You need it to build a romfs filesystem.  romfs is a small,
 read-only filesystem intended for installation/rescue
 disks or "embedded" applications.
 .
 This filesystem is supported by Linux 2.1.25 and later.

Package: gentoo
Description-md5: 9ad3e891b5d22b3d284de9f8f8926b5b
Description-en: fully GUI-configurable, two-pane X file manager
 gentoo is a two-pane file manager for the X Window System. gentoo lets the
 user do (almost) all of the configuration and customizing from within the
 program itself. If you still prefer to hand-edit configuration files,
 they're fairly easy to work with since they are written in an XML format.
 .
 gentoo features a fairly complex and powerful file identification system,
 coupled to an object-oriented style system, which together give you a lot
 of control over how files of different types are displayed and acted upon.
 Additionally, over a hundred pixmap images are available for use in file
 type descriptions.
 .
 gentoo was written from scratch in ANSI C, and it utilizes the GTK+ toolkit
 for its interface.

Package: genwqe-tools
Description-md5: 2df62bffda3a05a5d04ac79b7e33d99c
Description-en: utilities for accelerated libz implementation
 Accelerated libz implementation uses FPGA based PCIe cards
 (e.g. zEDC) to accelerate compression/decompression.
 .
 This package provides utilities to use libzADC, as well as
 gzip/gunzip names for genwqe utilities in a subdirectory not in
 $PATH.

Package: genxdr
Description-md5: 29b5b38eacd22965261b9a3609a89212
Description-en: Go XDR enc/decoder - code generation utility
 Package xdr is an XDR marshalling/unmarshalling library.
 It uses code generation and not reflection.
 .
 This package contains the CLI utility to generate go code.

Package: geoclue-2-demo
Description-md5: 175c2d757e1b1e531728d6cb56c2ec97
Description-en: geoinformation service (demonstration programs)
 GeoClue is a D-Bus geoinformation service. The goal of the Geoclue project
 is to make creating location-aware applications as simple as possible.
 .
 This package contains the demonstration programs.

Package: geocode-glib-tests
Description-md5: 1a903b330e890d730e2bf27510d42495
Description-en: installed tests for the geocode-glib library
 Geocode-glib allows you to do geocoding (going from a place name,
 to a longitude/latitude pair) and reverse geocoding (finding a place
 name from coordinates) using the Nominatim service.
 .
 This package contains the tests for the geocode-glib library.

Package: geogebra
Description-md5: 4052b3c2eb5b3b676ccc0050e499fd3b
Description-en: Dynamic mathematics software for education
 GeoGebra is a dynamic geometry program. You can do constructions with points,
 vectors, segments, lines, conic sections as well as functions and change
 them dynamically afterwards. On the other hand, equations and coordinates
 can be entered directly.
 .
 Support for many geometric constructions is provided, as well as support
 for many calculus-based tools (derivatives, osculating circle, ...).
 .
 GeoGebra files can be exported in many different formats, or as interactive
 applets for web pages.

Package: geogebra-gnome
Description-md5: 019e1eead091a2430cabce888355afb9
Description-en: GNOME integration layer for GeoGebra
 GeoGebra is a dynamic geometry system. You can do constructions with points,
 vectors, segments, lines, conic sections as well as functions and change
 them dynamically afterwards. On the other hand, equations and coordinates
 can be entered directly.
 .
 Support for many geometric constructions is provided, as well as support
 for many elementary calculus-based tools (derivatives, osculating circle, ...).
 .
 GeoGebra files can be exported in many different formats, or as interactive
 applets for web pages.
 .
 This package contains the GNOME thumbnailer for the GeoGebra file format.

Package: geographiclib-doc
Description-md5: 33836e81bc51dbad9396395444981791
Description-en: C++ library to solve some geodesic problems -- documentation
 GeographicLib is a small set of C++ classes for converting between
 geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates,
 for geoid calculations, and for computing geodesic. It is a suitable
 replacement for the core functionality provided by NGA Geotrans.
 .
 This package contains the documentation for the GeographicLib tools.

Package: geographiclib-tools
Description-md5: caa68d5c22672d6e7c6261b33b7a9bd1
Description-en: C++ library to solve some geodesic problems -- tools
 GeographicLib is a small set of C++ classes for converting between
 geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates,
 for geoid calculations, and for computing geodesic. It is a suitable
 replacement for the core functionality provided by NGA Geotrans.
 .
 This package contains some core tools based on the GeographicLib library.

Package: geoip-bin
Description-md5: e058df40dc45f1ddd083b2822302409e
Description-en: IP lookup command line tools that use the GeoIP library
 GeoIP is a C library that enables the user to find the country that any
 IP address or hostname originates from. It uses a file based database.
 .
 This database simply contains IP blocks as keys, and countries as values and
 it should be more complete and accurate than using reverse DNS lookups.
 .
 This package contains the command line utilities to resolve the IP numbers
 using the GeoIP library.

Package: geoip-database
Description-md5: 3bfa5b4c9f973261799fb4d9355f3b6c
Description-en: IP lookup command line tools that use the GeoIP library (country database)
 GeoIP is a C library that enables the user to find the country that any
 IP address or hostname originates from. It uses a file based database.
 .
 This database simply contains IP blocks as keys, and countries as values and
 it should be more complete and accurate than using reverse DNS lookups.
 .
 This package contains the free GeoLiteCountry database.

Package: geomet
Description-md5: c73e3a55e8f0f9ab97e41a242b450123
Description-en: convert GeoJSON to/from WKT/WKB
 Geomet converts GeoJSON to/from WKT/WKB (Well-Known Text/Binary), and vice
 versa.

Package: geomview
Description-md5: 5aad241dc92af9959f86b1427a4df0c9
Description-en: interactive geometry viewing program
 Geomview is interactive geometry software which is
 particularly appropriate for mathematics research and education.
 In particular, geomview can display things in hyperbolic and
 spherical space as well as Euclidean space.
 .
 Geomview allows multiple independently controllable objects and
 cameras.  It provides interactive control for motion, appearances
 (including lighting, shading, and materials), picking on an
 object, edge or vertex level, snapshots in SGI image file or
 Renderman RIB format, and adding or deleting objects is provided
 through direct mouse manipulation, control panels, and keyboard
 shortcuts.  External programs can drive desired aspects of the
 viewer (such as continually loading changing geometry or
 controlling the motion of certain objects) while allowing
 interactive control of everything else.

Package: geophar
Description-md5: 971117da8b20b95c2d539a1b80b1dde7
Description-en: Swiss army knife for the math teacher
 this application contains every tool you would like to find when
 preparing math courses, exercises or their keys. Features:
 - symbolic calculus
 - tables of variations, LaTeX syntax
 - dynamic geometry
 - probability trees
 - graphs
 - function plotting
 - histograms, etc.

Package: geotiff-bin
Description-md5: 577ca1f78223e22cbb23753bfc95d8fd
Description-en: GeoTIFF (geografic enabled TIFF) library -- tools
 This C library supports TIFF 6.0 based interchange format for georeferenced
 raster imagery. The GeoTIFF standard has been developed for reading, and
 writing geographic meta-information tags on top of TIFF raster.
 .
 The GeoTIFF library comes with two utility programs here included:
 .
  listgeo - dumps the metadata of a GeoTIFF file.
  geotifcp - applies metadata to a TIFF file, making it a GeoTIFF file.

Package: geotranz
Description-md5: a75e334e6a2c7982ca00ad5257331237
Description-en: GEOgraphic coordinates TRANslator
 GEOTRANZ (Geographic Translator) is an application program which allows
 you to easily convert geographic coordinates among a wide variety of
 coordinate systems, map projections, and datums. Currently, twenty-five
 different coordinate systems, map projections, grids, and coding
 schemes, and over two hundred different datums, are supported.
 .
 The user interface of GEOTRANZ is similar to that of a calculator, but
 can also be used to efficiently convert large numbers of coordinates
 contained in text files.
 .
 GEOTRANZ is the Debian name of GEOTRANS, a product of the National
 Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research
 and Development Center.
 .
 This package contains the geotranz java graphical interface.

Package: geotranz-doc
Description-md5: 8cbaa2f206de2ee426ed55e8a6ae3f0f
Description-en: GEOgraphic coordinates TRANslator (documentation)
 GEOTRANZ (Geographic Translator) is an application program which allows
 you to easily convert geographic coordinates among a wide variety of
 coordinate systems, map projections, and datums. Currently, twenty-five
 different coordinate systems, map projections, grids, and coding
 schemes, and over two hundred different datums, are supported.
 .
 GEOTRANZ is the Debian name of GEOTRANS, a product of the National
 Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research
 and Development Center.
 .
 This package contains a lot of documentation and examples.

Package: geotranz-help
Description-md5: 0e8483fd31011c0dcfb0ff7bedc8f9e0
Description-en: GEOgraphic coordinates TRANslator (help files)
 GEOTRANZ (Geographic Translator) is an application program which allows
 you to easily convert geographic coordinates among a wide variety of
 coordinate systems, map projections, and datums. Currently, twenty-five
 different coordinate systems, map projections, grids, and coding
 schemes, and over two hundred different datums, are supported.
 .
 GEOTRANZ is the Debian name of GEOTRANS, a product of the National
 Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research
 and Development Center.
 .
 This package contains the help files for the GEOTRANZ graphical interface.

Package: gerbera
Description-md5: d3a4a05b1b9119d17bca6783c9b00fef
Description-en: UPnP MediaServer
 Gerbera is an UPnP MediaServer with a nice web user interface based on
 MediaTomb. It allows you to stream your digital media through your home
 network and listen to/watch it on a variety of UPnP compatible devices.
 .
 Gerbera implements the UPnP MediaServer V 1.0 specification that can be found
 on http://www.upnp.org/. The current implementation focuses on parts that are
 required by the specification, however Gerbera's functionality is extended to
 cover the optional parts of the spec as well.

Package: gerbv
Description-md5: 707f6027417af4968d51640a43048142
Description-en: Gerber file viewer (only RS 274 X format)
 gerbv is a utility for viewing Gerber RS-274X files, Excellon drill files,
 and CSV files for pick-and-place files. Gerber files are used for
 communicating printed circuit board (PCB) designs to PCB manufacturers.

Package: gerris
Description-md5: ba5cedc197e01a3fbd3cfa805dac8abf
Description-en: Fluid Flow Solver
 Gerris is a system for the solution of the partial differential
 equations describing fluid flow.
 .
 A brief summary of its main (current) features:
 .
   * Solves the time-dependent incompressible variable-density Euler,
     Stokes or Navier-Stokes equations
   * Adaptive mesh refinement: the resolution is adapted dynamically to
     the features of the flow
   * Entirely automatic mesh generation in complex geometries
   * Second-order in space and time
   * Unlimited number of advected/diffused passive tracers
   * Flexible specification of additional source terms
   * Portable parallel support using the MPI library
   * Volume of Fluid advection scheme for interfacial flows
 .
 This package has MPI support built in.

Package: gerstensaft
Description-md5: 3bc745a748e6c3d442dd711b77fd06b6
Description-en: Frontend for Simple Asynchronous File Transfer
 Gerstensaft is an easy to use graphical oriented frontend for
 sendfile(1).  It features sending files and directories and provides a
 history for addresses.

Package: gertty
Description-md5: a968a70e3a48f761e4f8e7e8c102962b
Description-en: Console-based interface to Gerrit
 Gertty is a console-based interface to the Gerrit Code Review system.
 As compared to the web interface, the main advantages are:
 .
 Workflow -- the interface is designed to support a workflow similar
 to reading network news or mail.  In particular, it is designed to
 deal with a large number of review requests across a large number
 of projects.
 .
 Offline Use -- Gertty syncs information about changes in subscribed
 projects to a local database and local git repos.  All review
 operations are performed against that database and then synced back
 to Gerrit.
 .
 Speed -- user actions modify locally cached content and need not
 wait for server interaction.
 .
 Convenience -- because Gertty downloads all changes to local git
 repos, a single command instructs it to checkout a change into that
 repo for detailed examination or testing of larger changes.

Package: ges1.0-tools
Description-md5: c59e8d2cae5d7d4114d74760a82cde3f
Description-en: Tools for use with the GStreamer editing services
 The GStreamer multimedia framework and the accompanying NLE set of
 plugins for non-linear editing offer all the building blocks for:
 * Decoding and encoding to a wide variety of formats, through all the
   available GStreamer plugins.
 * Easily choosing segments of streams and arranging them through time
   through the NLE set of plugins.
 But all those building blocks only offer stream-level access, which
 results in developers who want to write non-linear editors to write a
 consequent amount of code to get to the level of non-linear editing
 notions which are closer and more meaningful for the end-user
 (and therefore the application).
 .
 The GStreamer Editing Services (GES) aims to fill the gap between
 GStreamer/NLE and the application developer by offering a series
 of classes to simplify the creation of many kind of editing-related
 applications.
 .
 This package contains command-line tools for GStreamer editing
 services.

Package: gesftpserver
Description-md5: 011bf3c9cfc00eeed577f085cca831a8
Description-en: sftp server submodule for OpenSSH
 Green End SFTP Server is an SFTP server
 supporting up to protocol version 6.
 It is possible to use it
 as a drop-in replacement for the OpenSSH server
 (which supports only protocol version 3).
 .
  * Protocol versions 3 and higher:
    * Upload and download files
    * List files
    * Create directories and symbolic links
    * Rename and delete files
  * Protocol versions 4 and higher:
    * Filename encoding translation
    * Text mode transfers
    * String owner/group names (instead of numeric)
    * Sub-second timestamps (where supported by server OS)
  * Protocol versions 5 and higher:
    * Extended rename semantics (e.g. atomic overwrite)
  * Protocol versions 6 and higher:
    * Create hard links
  * Several SFTP extensions
 .
 Features of SFTP protocol versions are listed more detailed
 at <http://www.greenend.org.uk/rjk/sftp/sftpversions.html>.
 .
 Features beyond the v3 set depend on suitable client support.
 A list of clients and the versions they support
 is at <http://www.greenend.org.uk/rjk/sftp/sftpimpls.html>.

Package: get-flash-videos
Description-md5: a8971e9929da8e3861b1a68a16806092
Description-en: video downloader for various Flash-based video hosting sites
 get-flash-videos download videos from various Flash-based video hosting
 sites, without having to use the Flash player. Handy for saving videos for
 watching offline, and means you don't have to keep upgrading Flash for sites
 that insist on a newer version of the player.
 .
 Includes support for the following sites/players (and more!):
 .
 YouTube, eHow, Brightcove (used by many sites like Channel 4, Daily
 Telegraph ...), BBC (news, etc), Metacafe, 5min, Google, fliqz,
 nicovideo, vimeo, Blip, Break, Collegehumor, Muzu, Sevenload, Megavideo,
 Wat.tv.
 .
 Also includes a 'generic' method which works on many other sites.

Package: getdata
Description-md5: fb035bf5507d538227efe72335e2445a
Description-en: management of external databases
 Many scientific communities share the problem of regularly updating
 external databases. With every update, also various tasks need to
 be performed for the update of indices that need to be recreated.
 This work depends on the tools that are available locally and is
 not always completely simple.
 .
 This package provides the getData Perl script, which in some not
 so complicated manner performs the invocation to wget to download
 data and then knows how to perform the indexing. There is only
 a hash table to be filled with the commands to be executed.
 Maintainers of scientific packages that are strongly coupled to
 public datasets are invited to add a runtime dependency to this
 package and add instructions for getData to follow.

Package: getdns-utils
Description-md5: 41d2c2ba09e7ad281762f48fd0860eb6
Description-en: modern asynchronous DNS API (utils)
 getdns is a modern asynchronous DNS API.  It implements DNS entry
 points from a design developed and vetted by application developers,
 in an API specification edited by Paul Hoffman.  This API intends to
 offer application developers a modernized and flexible way to access
 DNS security (DNSSEC) and other powerful new DNS features; a
 particular hope is to inspire application developers towards
 innovative security solutions in their applications.
 .
 This package contains getdns-query utility.

Package: getdp
Description-md5: d37be89327ef07aeaf1bd960a9d14b69
Description-en: general environment for the treatment of discrete problems
 GetDP is a general finite element solver using mixed elements to
 discretize de Rham-type complexes in one, two and three dimensions.
 .
 The main feature of GetDP is the closeness between the input data
 defining discrete problems (written by the user in ASCII data files)
 and the symbolic mathematical expressions of these problems.
 .
 See GetDP's reference manual for a more thorough overview of GetDP's
 capabilities: http://www.geuz.org/getdp

Package: getdp-sparskit
Description-md5: c238adac56d31689c87d19ae4f434b5b
Description-en: general environment for the treatment of discrete problems
 GetDP is a general finite element solver using mixed elements to
 discretize de Rham-type complexes in one, two and three dimensions.
 .
 The main feature of GetDP is the closeness between the input data
 defining discrete problems (written by the user in ASCII data files)
 and the symbolic mathematical expressions of these problems.
 .
 See GetDP's reference manual for a more thorough overview of GetDP's
 capabilities: http://www.geuz.org/getdp
 .
 Getdp is compiled with SPARSKIT support

Package: getmail
Description-md5: b2f05486f9ac795b073488022d1ce77d
Description-en: mail retriever with support for POP3, IMAP4 and SDPS
 getmail is intended as a simple replacement for fetchmail.
 It retrieves mail (either all messages, or only unread messages)
 from one or more POP3/IMAP4/SDPS servers for one or more email
 accounts, and reliably delivers into a qmail-style Maildir, mbox
 file or to a command (pipe delivery) like maildrop or procmail,
 specified on a per-account basis. getmail also has support for
 domain (multidrop) mailboxes.
 .
 Supported protocols:
 POP3, POP3-over-SSL, IMAP4, IMAP4-over-SSL, and SDPS mail.

Package: getmail4
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: getstream
Description-md5: 870f28d960d09c9377bec095b8a75b6f
Description-en: DVB streaming application
 getstream is a small utility which is able to pull a MPEG
 transport stream from a DVB card and stream it to the local
 area network. It is written to replace VLC, and uses much less
 CPU and memory than VLC.

Package: gettext-el
Description-md5: a9d28f54d889f7c47e492c902c81accf
Description-en: Emacs po-mode for editing gettext .po files
 This package contains po-mode.el for easy .po editing using emacs.

Package: getty-run
Description-md5: 1aea4fdf45185b585473659872e22b7f
Description-en: runscripts to supervise getty processes
 runit is a collection of tools to provide system-wide service supervision
 and to manage services.  Contrary to sysv init, it not only cares about
 starting and stopping services, but also supervises the service daemons
 while they are running.  Amongst other things, it provides a reliable
 interface to send signals to service daemons without the need for pid-files,
 and a log facility with automatic log file rotation and disk space limits.
 .
 This package provides scripts to supervise getty processes, allowing
 local login.

Package: gexec
Description-md5: 2c1dca112603f212e2e1442f022e8524
Description-en: Small command executer with autocompletion using GTK+
 gexec is a small and simple command executer using GTK+. It features
 autocompletition and a command history. Furthermore gexec can run
 the chosen command as root or in a terminal emulator.

Package: gf-complete-tools
Description-md5: 0fa153ff05c3216fad947a2f993a4048
Description-en: Galois Field Arithmetic - tools
 Galois Field arithmetic forms the backbone of erasure-coded storage systems,
 most famously the Reed-Solomon erasure code. A Galois Field is defined over
 w-bit words and is termed GF(2w). As such, the elements of a Galois Field are
 the integers 0, 1, . . ., 2^w − 1. Galois Field arithmetic defines addition
 and multiplication over these closed sets of integers in such a way that they
 work as you would hope they would work. Specifically, every number has a
 unique multiplicative inverse. Moreover, there is a value, typically the value
 2, which has the property that you can enumerate all of the non-zero elements
 of the field by taking that value to successively higher powers.
 .
 This package contains miscellaneous tools for working with gf-complete.

Package: gfal2
Description-md5: 7a3fa6327570ef18a7480a37da38fb99
Description-en: Grid file access library 2.0
 GFAL 2.0 offers an a single and simple POSIX-like API for the file
 operations in grids and cloud environments. The set of supported
 protocols depends on the gfal2 installed plugins.

Package: gfal2-doc
Description-md5: 3310a2e3e6fad528a5698e1b345bb0ff
Description-en: Documentation for gfal2
 Documentation, doxygen and examples of gfal2.

Package: gfal2-plugin-dcap
Description-md5: bc3a546a3c97b9a058b0a89e9196475f
Description-en: Provides dcap support for gfal2
 Provides the dcap support (gsidcap://, dcap://) for gfal2. The dcap
 plugin provides the POSIX operations for the dcap URLs, the dcap
 protocol is used on the DCACHE storage system.

Package: gfal2-plugin-file
Description-md5: ba3e5fbe395f43c022888d8e1dc0b9c3
Description-en: Provides file support for gfal2
 Provides the file support (file://) for gfal2. The file plugin
 provides local file operations, as copying from local to remote or
 the other way around.

Package: gfal2-plugin-gridftp
Description-md5: dcef722123b755d14d1df142218a17f0
Description-en: Provides the gridftp support for gfal2
 Provides the gridftp support (gsiftp://) for gfal2. The gridftp plugin
 provides the POSIX operations and the third party transfer support on
 the GSIFTP URLs.

Package: gfal2-plugin-http
Description-md5: 4abc95acd22eb8ad10b9cce1bb58d61c
Description-en: Provides HTTP and DAV support for gfal2
 Provides the HTTP (http[s]://) and WebDAV (dav[s]://) support for
 gfal2. This plugin is able to do third-party copy with WebDAV if the
 storage supports it.

Package: gfal2-plugin-mock
Description-md5: 4acf8701f01b43c5fd2dcd968d70908c
Description-en: Provides a mock dummy protocol for gfal2
 Provides a dummy mock:// protocol for gfal2.

Package: gfal2-plugin-sftp
Description-md5: 04ed4d28c4505de832d9b9cdf1a0ed89
Description-en: Provides sftp support for gfal2
 Provides the sftp (sftp://) support for gfal2.

Package: gfal2-plugin-srm
Description-md5: 2ad936635e7b4c61ce8579cf186b8c4c
Description-en: Provides srm support for gfal2
 Provides the srm support (srm://) for gfal2. The srm plugin provides
 the POSIX operations and the third party transfer support on the SRM
 URLs.

Package: gfan
Description-md5: d092548058a4cab7081af8b7a993454f
Description-en: program for computing with Groebner fans
 Gfan is a software package for computing Groebner fans and tropical
 varieties. These are polyhedral fans associated to polynomial
 ideals. The maximal cones of a Groebner fan are in bijection with
 the marked reduced Groebner bases of its defining ideal. The
 software computes all marked reduced Groebner bases of an ideal.
 Their union is a universal Groebner basis. The tropical variety of a
 polynomial ideal is a certain subcomplex of the Groebner fan. Gfan
 contains algorithms for computing this complex for general ideals and
 specialized algorithms for tropical curves, tropical hypersurfaces
 and tropical varieties of prime ideals. In addition to the above core
 functions the package contains many tools which are useful in the
 study of Groebner bases, initial ideals and tropical geometry. Among
 these are an interactive traversal program for Groebner fans and
 programs for graphical renderings.
 .
 For ordinary Groebner basis computations Gfan is not competitive in
 speed compared to programs such as CoCoA, Singular and Macaulay2.

Package: gfarm-client
Description-md5: 53c09bb5deaa4cef06699deaddd7dfc8
Description-en: Gfarm file system clients
 The Gfarm file system is designed to turn commodity PCs into nodes of
 a distributed storage network, implementing the Grid Datafarm
 architecture for global petascale data-intensive computing. It solves
 performance and reliability problems in NFS and AFS by means of
 multiple file replicas, and not only prevents performance degradation
 due to access concentration, but also supports fault tolerance and
 disaster recovery.
 .
 This package provides client utilities for Gfarm.

Package: gfarm-doc
Description-md5: 4e27e9c979f85a1396efdf5604e32e26
Description-en: Gfarm file system documentation
 The Gfarm file system is designed to turn commodity PCs into nodes of
 a distributed storage network, implementing the Grid Datafarm
 architecture for global petascale data-intensive computing. It solves
 performance and reliability problems in NFS and AFS by means of
 multiple file replicas, and not only prevents performance degradation
 due to access concentration, but also supports fault tolerance and
 disaster recovery.
 .
 This package provides documentation for Gfarm.

Package: gfarm2fs
Description-md5: c4765b0a58340d3c4bb8b3cbaff4dada
Description-en: FUSE program to mount the Gfarm file system
 gfarm2fs is a FUSE client to provide access to the Gfarm file system.
 .
 The Gfarm file system is designed to turn commodity PCs into nodes of
 a distributed storage network, implementing the Grid Datafarm
 architecture for global petascale data-intensive computing. It solves
 performance and reliability problems in NFS and AFS by means of
 multiple file replicas, and not only prevents performance degradation
 due to access concentration, but also supports fault tolerance and
 disaster recovery.

Package: gff2aplot
Description-md5: f6913bf739701d38714a2d126513514d
Description-en: pair-wise alignment-plots for genomic sequences in PostScript
 A program to visualize the alignment of two genomic sequences together with
 their annotations. From GFF-format input files it produces PostScript figures
 for that alignment.
 The following menu lists many features of gff2aplot:
  * Comprehensive alignment plots for any GFF-feature. Attributes are defined
    separately so you can modify only whatsoever attributes for a given file or
    share same customization across different data-sets.
  * All parameters are set by default within the program, but it can be also
    fully configured via gff2ps-like flexible customization files. Program can
    handle several of such files, summarizing all the settings before producing
    the corresponding figure. Moreover, all customization parameters can be set
    via command-line switches, which allows users to play with those parameters
    before adding any to a customization file.
  * Source order is taken from input files, if you swap file order you can
    visualize alignment and its annotation with the new input arrangement.
  * All alignment scores can be visualized in a PiP box below gff2aplot area,
    using grey-color scale, user-defined color scale or score-dependent
    gradients.
  * Scalable fonts, which can also be chosen among the basic PostScript default
    fonts. Feature and group labels can be rotated to improve readability in
    both annotation axes.
  * The program is still defined as a Unix filter so it can handle data from
    files, redirections and pipes, writing output to standard-output and
    warnings to standard error.
  * gff2aplot is able to manage many physical page formats (from A0 to A10, and
    more -see available page sizes in its manual-), including user-defined ones.
    This allows, for instance, the generation of poster size genomic maps, or
    the use of a continuous-paper supporting plotting device, either in portrait
    or landscape.
  * You can draw different alignments on same alignment plot and distinguish
    them by using different colors for each.
  * Shape dictionary has been expanded, so that further feature shapes are now
    available (see manual).
  * Annotation projections through alignment plots (so called ribbons) emulate
    transparencies via complementary color fill patterns. This feature allows
    one to show color pseudo-blending when horizontal and vertical ribbons
    overlap.

Package: gff2ps
Description-md5: 4465e6c0db1ba009aa3566978fbb690c
Description-en: produces PostScript graphical output from GFF-files
 gff2ps is a script program developed with the aim of converting gff-formatted
 records into high quality one-dimensional plots in PostScript. Such plots
 maybe useful for comparing genomic structures and to visualizing outputs from
 genome annotation programs.
 It can be used in a very simple way, because it assumes that the GFF file
 itself carries enough formatting information, but it also allows through a
 number of options and/or a configuration file, for a great degree of
 customization.

Package: gffread
Description-md5: 1be102725540f468e3758d7769e6d196
Description-en: GFF/GTF format conversions, region filtering, FASTA sequence extraction
 Gffread is a GFF/GTF parsing utility providing format conversions,
 region filtering, FASTA sequence extraction and more.

Package: gfio
Description-md5: 9bb718a074c8f77e491c6cd74410d4cf
Description-en: flexible I/O tester - gui frontend
 fio is a tool that will spawn a number of threads or processes doing a
 particular type of I/O action as specified by the user. fio takes a
 number of global parameters, each inherited by the thread unless
 otherwise parameters given to them overriding that setting is given.
 The typical use of fio is to write a job file matching the I/O load
 one wants to simulate.
 .
 This package contains the GTK+ based gui frontend for fio. The package
 fio contains the command line version of fio which acts as a backend
 to the GUI frontend. The frontend can talk to a locally or remotely
 running fio server.

Package: gfm
Description-md5: 158f059d16a6f906fdf93b48693665e1
Description-en: Texas Instruments hand-helds file manipulation program for X
 The GFM is an application allowing to manipulate single/group/tigroup files of
 all Texas Instruments hand-helds. It can create a new file, open an existing
 file, save file, rename variables, remove variables, create folders, group
 files into a group/tigroup file, ungroup a group/tigroup file into single
 files.

Package: gfmd
Description-md5: 3f0f7dcd15050d2800c055dcb24b3001
Description-en: Gfarm file system metadata server
 The Gfarm file system is designed to turn commodity PCs into nodes of
 a distributed storage network, implementing the Grid Datafarm
 architecture for global petascale data-intensive computing. It solves
 performance and reliability problems in NFS and AFS by means of
 multiple file replicas, and not only prevents performance degradation
 due to access concentration, but also supports fault tolerance and
 disaster recovery.
 .
 This package provides the Gfarm metadata server, which equips a
 host to function as a server node managing file system metadata.

Package: gforth
Description-md5: 879c20778a3c6fac5211956f6320afb4
Description-en: GNU Forth Language Environment
 This is the GNU'ish implementation of a Forth programming environment.
 .
 Forth, as a language, is best known for being stack-based, and completely
 extensible.  Each Forth environment provides one or more dictionaries of
 pre-defined words, and programming in Forth consists of defining and
 executing new words that are combinations of previously defined words.  It
 has been said that learning Forth changes forever the way you think about
 writing programs.
 .
 For more information about Forth, visit the Forth Interest Group web site
 at http://www.forth.org/fig.html.

Package: gforth-common
Description-md5: 641a991859b25d863ee9f7719f729955
Description-en: GNU Forth architecture-independent dictionaries
 This is the GNU'ish implementation of a Forth programming environment.
 .
 Forth, as a language, is best known for being stack-based, and completely
 extensible.  Each Forth environment provides one or more dictionaries of
 pre-defined words, and programming in Forth consists of defining and
 executing new words that are combinations of previously defined words.  It
 has been said that learning Forth changes forever the way you think about
 writing programs.
 .
 This package provides the architecture-independent Forth dictionaries.

Package: gforth-lib
Description-md5: 8127efe6e9aa2b501b1742f2c6af0d12
Description-en: GNU Forth Language Environment architecture-dependent files
 This is the GNU'ish implementation of a Forth programming environment.
 .
 Forth, as a language, is best known for being stack-based, and completely
 extensible.  Each Forth environment provides one or more dictionaries of
 pre-defined words, and programming in Forth consists of defining and
 executing new words that are combinations of previously defined words.  It
 has been said that learning Forth changes forever the way you think about
 writing programs.
 .
 This package provides the architecture-dependent precompiled libraries.

Package: gfortran-10
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-aarch64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-alpha-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-arm-linux-gnueabi
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-arm-linux-gnueabihf
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-doc
Description-md5: 17a01a9ada93e104865a01e6615e2401
Description-en: Documentation for the GNU Fortran compiler (gfortran)
 Documentation for the GNU Fortran compiler in info format.

Package: gfortran-10-hppa-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-i686-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-m68k-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-mips-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-mips64-linux-gnuabi64
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-mips64el-linux-gnuabi64
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-mipsel-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-mipsisa32r6-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-mipsisa32r6el-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-mipsisa64r6-linux-gnuabi64
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-mipsisa64r6el-linux-gnuabi64
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-multilib
Description-md5: ad5cf91f123889c593b105572b4a958c
Description-en: GNU Fortran compiler (multilib support)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-arm-linux-gnueabi
Description-md5: 6fad9c85882fc8e7a938e36944fa372f
Description-en: GNU Fortran compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-arm-linux-gnueabihf
Description-md5: 0b03746e9dfcf7e44e54cf12c45ae464
Description-en: GNU Fortran compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-i686-linux-gnu
Description-md5: a6370152d33c73991053fd0ccd0f96a1
Description-en: GNU Fortran compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-mips-linux-gnu
Description-md5: a575796e8c15aad3e18728eafbea2ffa
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-mips64-linux-gnuabi64
Description-md5: 4cd41ee4b17cc4d161fc517ef5c59188
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-mips64el-linux-gnuabi64
Description-md5: 9bc497dd7fff616391ddf77d3bd673d5
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-mipsel-linux-gnu
Description-md5: 8bdae2ac8bda8901456fc909dcf45e49
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-mipsisa32r6-linux-gnu
Description-md5: 323c8a80fd36c81041fdd91845ca4036
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-mipsisa32r6el-linux-gnu
Description-md5: 5b82b25ac8e52b82cd9209dc6cea8058
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 500a1015df2e4f8cf83521a9ccbd41bb
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: e704867ef88c606c7480017ecf3015f0
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-powerpc-linux-gnu
Description-md5: 8ca0d2d3b434392060f6439cccaaf800
Description-en: GNU Fortran compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-powerpc64-linux-gnu
Description-md5: 5ba1e22a329c6f46d7881f3e8202c6d1
Description-en: GNU Fortran compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-s390x-linux-gnu
Description-md5: cdf1338eada70b056385899bef888cbf
Description-en: GNU Fortran compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-sparc64-linux-gnu
Description-md5: fc27aea099406231cbd73adc1a457896
Description-en: GNU Fortran compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-multilib-x86-64-linux-gnux32
Description-md5: 3b7d0a784bfc0e1afc6c83c2c50884a3
Description-en: GNU Fortran compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-powerpc-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-powerpc64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-powerpc64le-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-riscv64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-s390x-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-sh4-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-sparc64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-10-x86-64-linux-gnux32
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-7
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-7-doc
Description-md5: 17a01a9ada93e104865a01e6615e2401
Description-en: Documentation for the GNU Fortran compiler (gfortran)
 Documentation for the GNU Fortran compiler in info format.

Package: gfortran-7-multilib
Description-md5: ad5cf91f123889c593b105572b4a958c
Description-en: GNU Fortran compiler (multilib support)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-aarch64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-alpha-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-arm-linux-gnueabi
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-arm-linux-gnueabihf
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-doc
Description-md5: 17a01a9ada93e104865a01e6615e2401
Description-en: Documentation for the GNU Fortran compiler (gfortran)
 Documentation for the GNU Fortran compiler in info format.

Package: gfortran-8-hppa-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-i686-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-m68k-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-multilib
Description-md5: ad5cf91f123889c593b105572b4a958c
Description-en: GNU Fortran compiler (multilib support)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-multilib-arm-linux-gnueabi
Description-md5: 6fad9c85882fc8e7a938e36944fa372f
Description-en: GNU Fortran compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-multilib-arm-linux-gnueabihf
Description-md5: 0b03746e9dfcf7e44e54cf12c45ae464
Description-en: GNU Fortran compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-multilib-i686-linux-gnu
Description-md5: a6370152d33c73991053fd0ccd0f96a1
Description-en: GNU Fortran compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-multilib-powerpc-linux-gnu
Description-md5: 8ca0d2d3b434392060f6439cccaaf800
Description-en: GNU Fortran compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-multilib-powerpc64-linux-gnu
Description-md5: 5ba1e22a329c6f46d7881f3e8202c6d1
Description-en: GNU Fortran compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-multilib-s390x-linux-gnu
Description-md5: cdf1338eada70b056385899bef888cbf
Description-en: GNU Fortran compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-multilib-sparc64-linux-gnu
Description-md5: fc27aea099406231cbd73adc1a457896
Description-en: GNU Fortran compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-multilib-x86-64-linux-gnux32
Description-md5: 3b7d0a784bfc0e1afc6c83c2c50884a3
Description-en: GNU Fortran compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-powerpc-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-powerpc64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-powerpc64le-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-riscv64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-s390x-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-sh4-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-sparc64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-x86-64-linux-gnux32
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-aarch64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-alpha-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-arm-linux-gnueabi
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-arm-linux-gnueabihf
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-hppa-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-i686-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-m68k-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-mips-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-mips64-linux-gnuabi64
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-mips64el-linux-gnuabi64
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-mipsel-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-mipsisa32r6-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-mipsisa32r6el-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-mipsisa64r6-linux-gnuabi64
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-mipsisa64r6el-linux-gnuabi64
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-multilib-arm-linux-gnueabi
Description-md5: 6fad9c85882fc8e7a938e36944fa372f
Description-en: GNU Fortran compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-arm-linux-gnueabihf
Description-md5: 0b03746e9dfcf7e44e54cf12c45ae464
Description-en: GNU Fortran compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-i686-linux-gnu
Description-md5: a6370152d33c73991053fd0ccd0f96a1
Description-en: GNU Fortran compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-mips-linux-gnu
Description-md5: a575796e8c15aad3e18728eafbea2ffa
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-mips64-linux-gnuabi64
Description-md5: 4cd41ee4b17cc4d161fc517ef5c59188
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-mips64el-linux-gnuabi64
Description-md5: 9bc497dd7fff616391ddf77d3bd673d5
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-mipsel-linux-gnu
Description-md5: 8bdae2ac8bda8901456fc909dcf45e49
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-mipsisa32r6-linux-gnu
Description-md5: 323c8a80fd36c81041fdd91845ca4036
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-mipsisa32r6el-linux-gnu
Description-md5: 5b82b25ac8e52b82cd9209dc6cea8058
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 500a1015df2e4f8cf83521a9ccbd41bb
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: e704867ef88c606c7480017ecf3015f0
Description-en: GNU Fortran compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-powerpc-linux-gnu
Description-md5: 8ca0d2d3b434392060f6439cccaaf800
Description-en: GNU Fortran compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-powerpc64-linux-gnu
Description-md5: 5ba1e22a329c6f46d7881f3e8202c6d1
Description-en: GNU Fortran compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-s390x-linux-gnu
Description-md5: cdf1338eada70b056385899bef888cbf
Description-en: GNU Fortran compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-sparc64-linux-gnu
Description-md5: fc27aea099406231cbd73adc1a457896
Description-en: GNU Fortran compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-multilib-x86-64-linux-gnux32
Description-md5: 3b7d0a784bfc0e1afc6c83c2c50884a3
Description-en: GNU Fortran compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-powerpc-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-powerpc64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-powerpc64le-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-riscv64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-s390x-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-sh4-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-sparc64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-x86-64-linux-gnux32
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-aarch64-linux-gnu
Description-md5: 120eb5471ff675fc8ae06a2f862ebad9
Description-en: GNU Fortran 95 compiler for the arm64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the arm64 architecture.

Package: gfortran-alpha-linux-gnu
Description-md5: 4b2555ce16307943017b9b686f87f2f1
Description-en: GNU Fortran 95 compiler for the alpha architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the alpha architecture.

Package: gfortran-arm-linux-gnueabi
Description-md5: b6c1872ade483e562292856476781f92
Description-en: GNU Fortran 95 compiler for the armel architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the armel architecture.

Package: gfortran-arm-linux-gnueabihf
Description-md5: 5d16a7114e25278a6c90c50ac5a66ddd
Description-en: GNU Fortran 95 compiler for the armhf architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the armhf architecture.

Package: gfortran-hppa-linux-gnu
Description-md5: 818c09fa3031d6682148e4c02ed37109
Description-en: GNU Fortran 95 compiler for the hppa architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the hppa architecture.

Package: gfortran-i686-linux-gnu
Description-md5: e6a8377677253a6fcfbcec127830c10c
Description-en: GNU Fortran 95 compiler for the i386 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the i386 architecture.

Package: gfortran-m68k-linux-gnu
Description-md5: effd2b861b8854414502b85a9b7d7562
Description-en: GNU Fortran 95 compiler for the m68k architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the m68k architecture.

Package: gfortran-mingw-w64
Description-md5: 226bdce350b0262f3c77b87c36299c88
Description-en: GNU Fortran compiler for MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This metapackage provides the Fortran compiler, supporting
 cross-compiling to 32- and 64-bit MinGW-w64 targets.

Package: gfortran-mingw-w64-i686
Description-md5: b4e23a7bc2662df6f69791f74082e838
Description-en: GNU Fortran compiler for MinGW-w64 targeting Win32
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the Fortran compiler, supporting
 cross-compiling to 32-bit MinGW-w64 targets.

Package: gfortran-mingw-w64-x86-64
Description-md5: 31dcb027cc22f7aa1404bdf95fdf2501
Description-en: GNU Fortran compiler for MinGW-w64 targeting Win64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the Fortran compiler, supporting
 cross-compiling to 64-bit MinGW-w64 targets.

Package: gfortran-mips-linux-gnu
Description-md5: 76f9f1ca2941c610751639bfb397fe3c
Description-en: GNU Fortran 95 compiler for the mips architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips architecture.

Package: gfortran-mips64-linux-gnuabi64
Description-md5: 11afab54b9cfdb08bf5ece936b4eab87
Description-en: GNU Fortran 95 compiler for the mips64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips64 architecture.

Package: gfortran-mips64el-linux-gnuabi64
Description-md5: 1a025c7963c623de84d29c8f4ae016ef
Description-en: GNU Fortran 95 compiler for the mips64el architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips64el architecture.

Package: gfortran-mipsel-linux-gnu
Description-md5: 72d58fa88998bee1410d94f3da623b30
Description-en: GNU Fortran 95 compiler for the mipsel architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mipsel architecture.

Package: gfortran-mipsisa32r6-linux-gnu
Description-md5: 64567d9b88eb705a10f71a0111aa40d7
Description-en: GNU Fortran 95 compiler for the mipsr6 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mipsr6 architecture.

Package: gfortran-mipsisa32r6el-linux-gnu
Description-md5: cc756218601b1612c8ce60c7feb91d96
Description-en: GNU Fortran 95 compiler for the mipsr6el architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mipsr6el architecture.

Package: gfortran-mipsisa64r6-linux-gnuabi64
Description-md5: 1f6174a54bab176a8bd0efd35a182e47
Description-en: GNU Fortran 95 compiler for the mips64r6 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips64r6 architecture.

Package: gfortran-mipsisa64r6el-linux-gnuabi64
Description-md5: 92aad2b55a56158d6cfd26e739850395
Description-en: GNU Fortran 95 compiler for the mips64r6el architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips64r6el architecture.

Package: gfortran-multilib-arm-linux-gnueabi
Description-md5: b6c1872ade483e562292856476781f92
Description-en: GNU Fortran 95 compiler for the armel architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the armel architecture.

Package: gfortran-multilib-arm-linux-gnueabihf
Description-md5: 5d16a7114e25278a6c90c50ac5a66ddd
Description-en: GNU Fortran 95 compiler for the armhf architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the armhf architecture.

Package: gfortran-multilib-i686-linux-gnu
Description-md5: e6a8377677253a6fcfbcec127830c10c
Description-en: GNU Fortran 95 compiler for the i386 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the i386 architecture.

Package: gfortran-multilib-mips-linux-gnu
Description-md5: 76f9f1ca2941c610751639bfb397fe3c
Description-en: GNU Fortran 95 compiler for the mips architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips architecture.

Package: gfortran-multilib-mips64-linux-gnuabi64
Description-md5: 11afab54b9cfdb08bf5ece936b4eab87
Description-en: GNU Fortran 95 compiler for the mips64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips64 architecture.

Package: gfortran-multilib-mips64el-linux-gnuabi64
Description-md5: 1a025c7963c623de84d29c8f4ae016ef
Description-en: GNU Fortran 95 compiler for the mips64el architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips64el architecture.

Package: gfortran-multilib-mipsel-linux-gnu
Description-md5: 72d58fa88998bee1410d94f3da623b30
Description-en: GNU Fortran 95 compiler for the mipsel architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mipsel architecture.

Package: gfortran-multilib-mipsisa32r6-linux-gnu
Description-md5: 64567d9b88eb705a10f71a0111aa40d7
Description-en: GNU Fortran 95 compiler for the mipsr6 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mipsr6 architecture.

Package: gfortran-multilib-mipsisa32r6el-linux-gnu
Description-md5: cc756218601b1612c8ce60c7feb91d96
Description-en: GNU Fortran 95 compiler for the mipsr6el architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mipsr6el architecture.

Package: gfortran-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 1f6174a54bab176a8bd0efd35a182e47
Description-en: GNU Fortran 95 compiler for the mips64r6 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips64r6 architecture.

Package: gfortran-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 92aad2b55a56158d6cfd26e739850395
Description-en: GNU Fortran 95 compiler for the mips64r6el architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the mips64r6el architecture.

Package: gfortran-multilib-powerpc-linux-gnu
Description-md5: 3003b6a78f1d31c9fc5376219a9df124
Description-en: GNU Fortran 95 compiler for the powerpc architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the powerpc architecture.

Package: gfortran-multilib-powerpc64-linux-gnu
Description-md5: b2b37d3b896ff77d3d2b76f33c41927a
Description-en: GNU Fortran 95 compiler for the ppc64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the ppc64 architecture.

Package: gfortran-multilib-s390x-linux-gnu
Description-md5: 7ee8d0923f5e1041f75f8903b3531b7f
Description-en: GNU Fortran 95 compiler for the s390x architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the s390x architecture.

Package: gfortran-multilib-sparc64-linux-gnu
Description-md5: 37dbd73b244a3a5e25ccc41fcfd3b2f0
Description-en: GNU Fortran 95 compiler for the sparc64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the sparc64 architecture.

Package: gfortran-multilib-x86-64-linux-gnux32
Description-md5: 2d224a9f6711ef713a9e6862f17ab378
Description-en: GNU Fortran 95 compiler for the x32 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the x32 architecture.

Package: gfortran-powerpc-linux-gnu
Description-md5: 3003b6a78f1d31c9fc5376219a9df124
Description-en: GNU Fortran 95 compiler for the powerpc architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the powerpc architecture.

Package: gfortran-powerpc64-linux-gnu
Description-md5: b2b37d3b896ff77d3d2b76f33c41927a
Description-en: GNU Fortran 95 compiler for the ppc64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the ppc64 architecture.

Package: gfortran-powerpc64le-linux-gnu
Description-md5: 0695ad0036182f6d5640ef91470c1146
Description-en: GNU Fortran 95 compiler for the ppc64el architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the ppc64el architecture.

Package: gfortran-riscv64-linux-gnu
Description-md5: a4e4aa685c3d7ccafd943004e4f6149f
Description-en: GNU Fortran 95 compiler for the riscv64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the riscv64 architecture.

Package: gfortran-s390x-linux-gnu
Description-md5: 7ee8d0923f5e1041f75f8903b3531b7f
Description-en: GNU Fortran 95 compiler for the s390x architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the s390x architecture.

Package: gfortran-sh4-linux-gnu
Description-md5: 42e4699be1d9d6b0b95746357d1a49c6
Description-en: GNU Fortran 95 compiler for the sh4 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the sh4 architecture.

Package: gfortran-sparc64-linux-gnu
Description-md5: 37dbd73b244a3a5e25ccc41fcfd3b2f0
Description-en: GNU Fortran 95 compiler for the sparc64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the sparc64 architecture.

Package: gfortran-x86-64-linux-gnux32
Description-md5: 2d224a9f6711ef713a9e6862f17ab378
Description-en: GNU Fortran 95 compiler for the x32 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the x32 architecture.

Package: gfpoken
Description-md5: 76d8ccb519cd263327d7785a3db6aa2b
Description-en: Recreate a grid of mirrors from clues given by tests
 Send balls through an invisible grid of mirrors (and other interesting
 widgets) and observe where they end up.  Then, try to recreate the same
 grid from the results you just obtained.

Package: gfs2-utils
Description-md5: f08c6010a5375543fdf3b2495d21348a
Description-en: Global File System 2 - filesystem tools
 The Global File System allows a cluster of machines to concurrently access
 shared storage hardware like SANs or iSCSI and network block devices. GFS
 can be deployed to build high-availability services without the single point
 of failure of a file server.
 .
 This package contains tools for creating and managing global file systems.
 GFS itself is a set of kernel modules.

Package: gfsd
Description-md5: 94f134ae149cc59a9344c11b5c99568e
Description-en: Gfarm file system daemon
 The Gfarm file system is designed to turn commodity PCs into nodes of
 a distributed storage network, implementing the Grid Datafarm
 architecture for global petascale data-intensive computing. It solves
 performance and reliability problems in NFS and AFS by means of
 multiple file replicas, and not only prevents performance degradation
 due to access concentration, but also supports fault tolerance and
 disaster recovery.
 .
 This package provides the Gfarm file system daemon, which equips a
 host to function as a storage node for the network.

Package: gfsecret
Description-md5: 6f94813b71d907fb4f0ff64c33e6effd
Description-en: Tools to make secret sharing easier
 Gfsecret is a set of tools to facilitate secret sharing according to the
 Adi Shamir’s secret sharing scheme.
 .
 Two tools are provided: gfsec-split will split a file into several shares,
 and gfsec-use will reconstruct the original file from some of the shares.
 Both tools use the concept of a share URI, which is a way of describing a
 share with a URI-like syntax. The gfsec-split program uses them to know where
 to dispatch the generated shares, and gfsec-use uses them to know where to
 search for shares in order to reconstruct the original file.
 .
 The package also provide a script wrapper around gfsec-split: gfsec-split-gpg
 to facilitate splitting your GnuPG private primary key which can be combine
 with gfsec-use.

Package: gfsview
Description-md5: 85b566a298a53bbe0ad9cdd985de896f
Description-en: graphical viewer for Gerris simulation files
 Gerris is a system for the solution of the partial differential
 equations describing fluid flow.
 .
 GfsView displays the results of 2D and 3D Gerris simulations.
 A brief summary of its main features:
 .
    * Scalar and vector cross-sections.
    * Isosurfaces.
    * Streamlines.
    * User-defined functions.
    * Fast adaptive display (using the multiresolution data
      representation of Gerris).
    * Scriptable.
    * Offline image generation.
    * Quality PostScript, PDF and bitmap outputs.

Package: gfsview-batch
Description-md5: 915d95bf1624995c637d12bf6501d53b
Description-en: batch-version of viewer for Gerris simulation files
 Gerris is a system for the solution of the partial differential
 equations describing fluid flow.
 .
 GfsView displays the results of 2D and 3D Gerris simulations.
 A brief summary of its main features:
 .
    * Scalar and vector cross-sections.
    * Isosurfaces.
    * Streamlines.
    * User-defined functions.
    * Fast adaptive display (using the multiresolution data
      representation of Gerris).
    * Scriptable.
    * Offline image generation.
    * Quality PostScript, PDF and bitmap outputs.

Package: gftp
Description-md5: f3f8443e8fa994889b0ea7a2ec06e4de
Description-en: X/GTK+ and console FTP client (metapackage)
 gFTP is a multithreaded FTP client, available in two versions:
  * version for X, written using GLib and GTK+
  * version for the console, using only GLib
 .
 This is an upgrade convenience package, it's only useful for depending on.

Package: gftp-common
Description-md5: 34c73533f2cc7f62279001239ab41c33
Description-en: shared files for other gFTP packages
 gFTP is a multithreaded FTP client. This package contains the locale data
 used by both gftp-gtk and gftp-text, along with a common manual page.
 .
 gFTP features:
   * simultaneous downloads,
   * resuming of interrupted file transfers,
   * file transfer queues,
   * downloading of entire directories,
   * FTP and HTTP proxy support,
   * remote directory caching,
   * passive and non-passive file transfers,
   * drag-n-drop support,
   * bookmarks menu,
   * support for SSH and SSH2 file transfers,
   * support FXP transferts,
   * stop button, and many more features.
 .
  Author:   Brian Masney <masneyb@gftp.org>

Package: gftp-gtk
Description-md5: a3dedb61c9b4885161f8e474b6af93be
Description-en: X/GTK+ FTP client
 gFTP graphical version is a multithreaded FTP client running under X and
 written using GLib/GTK+.
 .
 gFTP features:
   * simultaneous downloads,
   * resuming of interrupted file transfers,
   * file transfer queues,
   * downloading of entire directories,
   * FTP and HTTP proxy support,
   * remote directory caching,
   * passive and non-passive file transfers,
   * drag-n-drop support,
   * bookmarks menu,
   * support for SSH and SSH2 file transfers,
   * support FXP transfers,
   * stop button, and many more features.
 .
  Author:   Brian Masney <masneyb@gftp.org>

Package: gftp-text
Description-md5: bd45566b490840de91b6a4a58e8c3409
Description-en: colored FTP client using GLib
 gFTP text version is a multithreaded FTP client running under console and
 written using GLib.
 .
 gFTP features:
   * simultaneous downloads,
   * resuming of interrupted file transfers,
   * file transfer queues,
   * downloading of entire directories,
   * FTP and HTTP proxy support,
   * remote directory caching,
   * passive and non-passive file transfers,
   * drag-n-drop support,
   * bookmarks menu,
   * support for SSH and SSH2 file transfers,
   * support FXP transferts,
   * stop button, and many more features.
 .
  Author:   Brian Masney <masneyb@gftp.org>

Package: gfxboot-themes
Description-md5: 146d4e88b2775ea6d58fc9ebcf6a0668
Description-en: tool to test and create graphical boot logos (themes)
 gfxboot is a tool to test and create graphical boot logos for gfxboot compliant
 boot loaders. Currently, this includes grub, lilo, and syslinux (all payloads).
 .
 This package contains full featured themes (KDE, openSUSE, SLED, SLES, and
 upstream).

Package: ggcov
Description-md5: 81c8d03ae622c8487319380da3851bf0
Description-en: Graphical tool for displaying gcov test coverage data
 This is a simple GUI for browsing C test coverage data gathered
 by programs instrumented with "gcc --coverage".  Hence it's a
 graphical replacement for the "gcov" program that comes with gcc.

Package: ggobi
Description-md5: afaca479a05f0b9b7ce8cdd16085dea3
Description-en: Data visualization system for high-dimensional data
 GGobi is an open source visualization program for exploring
 high-dimensional data. It provides highly dynamic and interactive
 graphics such as tours, as well as familiar graphics such as the
 scatterplot, barchart and parallel coordinates plots. Plots are
 interactive and linked with brushing and identification.
 .
 See http://www.ggobi.org for more information.

Package: ghc
Description-md5: d696780bb03e075568c919469ec4c73c
Description-en: The Glasgow Haskell Compilation system
 The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for
 Haskell.
 .
 Haskell is "the" standard lazy functional programming language.  The language
 definition and additional documentation can be found in the `haskell-doc'
 package.  Alternatively, there is an online version at
 http://haskell.org/onlinereport/.

Package: ghc-doc
Description-md5: f6d8f365d7d976951c2fecf51293c7d2
Description-en: Documentation for the Glasgow Haskell Compilation system
 The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for
 Haskell.
 .
 Haskell is "the" standard lazy functional programming language.  The language
 definition and additional documentation can be found in the `haskell-doc'
 package.  Alternatively, there is an online version at
 http://haskell.org/onlinereport/.
 .
 This package includes HTML, DVI and PS versions of the SGML-based
 documentation around GHC.

Package: ghc-prof
Description-md5: 74e38146bd09329c4a7f5742fc64dce4
Description-en: Profiling libraries for the Glasgow Haskell Compilation system
 The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for
 Haskell.
 .
 Haskell is "the" standard lazy functional programming language.  The language
 definition and additional documentation can be found in the `haskell-doc'
 package.  Alternatively, there is an online version at
 http://haskell.org/onlinereport/.
 .
 This package contains additional profiling libraries. They are only needed,
 if you want to take a closer look on where exactly your program burns CPU
 cycles.

Package: ghdl
Description-md5: 37a6336fa0f035ba8d2d2bfe1020151c
Description-en: VHDL compiler/simulator
 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 GHDL offers three machine code generation backends: one based on GCC, one
 using the LLVM compiler suite and a GHDL specific one called mcode. These are
 available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively.
 Both the GCC and LLVM backends create highly optimized code for excellent
 simulation performance while simulations compiled with the GCC backend also
 allow coverage testing using gcov. The mcode backend creates less performant
 code but makes up for it with much faster compilation. It is therefore
 preferable for smaller projects without large or long running simulations.
 .
 Multiple backends can be installed at the same time and selected by either
 invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or
 by providing a GHDL_BACKEND environment variable (containing gcc, llvm or
 mcode) while invoking ghdl.
 .
 This package contains the common files for all backends and requires at least
 one backend to be installed.

Package: ghdl-gcc
Description-md5: ec1284d70cac706d2656e20b43505771
Description-en: VHDL compiler/simulator (GCC backend)
 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains the compiler with the GCC backend.

Package: ghdl-llvm
Description-md5: d7156d37c756669e593cfa7d02043035
Description-en: VHDL compiler/simulator (LLVM backend)
 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains the compiler with the LLVM backend.

Package: ghdl-mcode
Description-md5: a43d648f2765b04ca5f3eada73656aac
Description-en: VHDL compiler/simulator (mcode backend)
 GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
 GHDL is not an interpreter: it allows you to analyse and elaborate sources to
 generate machine code from your design. Native program execution is the only
 way for high speed simulation.
 .
 This package contains the compiler with the mcode backend.

Package: ghemical
Description-md5: 76c86d75148969090fa41603dc0a2684
Description-en: GNOME molecular modelling environment
 Ghemical is a computational chemistry software package written in C++.
 It has a graphical user interface and it supports both quantum-
 mechanics (semi-empirical) models and molecular mechanics models.
 Geometry optimization, molecular dynamics and a large set of
 visualization tools using OpenGL are currently available.
 .
 Ghemical relies on external code to provide the quantum-mechanical
 calculations. Semi-empirical methods MNDO, MINDO/3, AM1 and PM3 come
 from the MOPAC7 package (Public Domain), and are included in the
 package. The MPQC package is used to provide ab initio methods: the
 methods based on Hartree-Fock theory are currently supported with
 basis sets ranging from STO-3G to 6-31G**.

Package: ghex
Description-md5: 67cf246af8ab12639e8fc0d8c020bd11
Description-en: GNOME Hex editor for files
 The GHex program can view and edit files in two ways, hex or ascii. Good
 for editing saved game files.

Package: ghi
Description-md5: 9e272186c516294e262657e706ce0dfa
Description-en: GitHub issue tracker command line interface (CLI)
 Control GitHub issues on the command line using `$EDITOR`, not browser.
 .
 This package provides "ghi" command line utility and Ruby library.

Package: ghkl
Description-md5: edc3e8a23ed4ecf703e455dd79835036
Description-en: diffractometer computation control application
 The hkl library is a framework for diffraction computation and
 diffractometer control, heavily used at the SOLEIL synchrotron. It
 supports various types of diffractometer geometry: Eulerian 4-circle,
 Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis
 geometry. For each of these it provides several numerically computed
 modes, such as bisector and constant psi.
 .
 This package provides a gui on top of the hkl library.

Package: ghmm
Description-md5: 63083fc12d8c6202abfa1dd52fd2451c
Description-en: General Hidden-Markov-Model library - tools
 The General Hidden Markov Model Library (GHMM) is a C library with
 additional Python bindings implementing a wide range of types of
 Hidden Markov Models and algorithms: discrete, continuous emissions,
 basic training, HMM clustering, HMM mixtures.
 .
 This package contains some tools using the library.

Package: ghostess
Description-md5: b962ef27c8639bb56b3915b22587e635
Description-en: A graphical DSSI plugin host
 A graphical DSSI host, based on jack-dssi-host, but
 capable of saving and restoring plugin configuration,
 as well as specifying MIDI channels and layering synths.

Package: ghostwriter
Description-md5: 56da59e1199bfd4a4c41bbab4c7490f4
Description-en: Distraction-free, themeable Markdown editor
 ghostwriter is a Markdown editor that provides a themable,
 distraction-free writing environment, along with a live HTML
 preview as you type, easy document navigation with an outline HUD,
 and export to popular document formats with Sundown, Pandoc,
 MultiMarkdown, Discount, cmark, or cmark-gfm processors.  It also
 features a live word count and auto-save. Eliminate distractions in
 fullscreen mode, or concentrate on the current text you are writing
 in focus mode.  It even remembers your last opened file and position
 within the file, so you can pick up where you last left off.

Package: ghp-import
Description-md5: d6f290a71579c682d20b77fec880cdfe
Description-en: Easily import docs to your gh-pages branch
 ghp-import is a Python script meant to make using GitHub's gh-pages
 branches for hosting documentation simple.
 .
 Inside your repository just run `ghp-import $DOCS_DIR` where $DOCS_DIR
 is the path to the built documentation. This will write a commit to your
 gh-pages branch with the current documents in it.

Package: giac-doc
Description-md5: 5af510ce13a92a7db02406508b8d4e3e
Description-en: Computer Algebra System - documentation
 Giac is a computer algebra system, following the development of the CAS for HP
 calculators. It has fast implementation of algorithms for polynomial
 operations, and compatibility mode with Maple or Mupad CAS as well as TI
 calculators.
 .
 This package contains static user documentation for giac and xcas.

Package: giada
Description-md5: df2709dfded3b8dd4cad233cde9064ec
Description-en: Hardcore Loop Machine
 free, minimal, hardcore audio tool for DJs, live performers and electronic
 musicians. Pick up your channel, fill it with samples or MIDI events and start
 the show by using this tiny piece of software as a loop machine, drum machine,
 sequencer, live sampler or yet as a plugin/effect host.
 .
 Giada aims to be a compact and portable virtual device for production use and
 live sets.

Package: giblib-dev
Description-md5: 2a447ea8793b652594e680da9ec050bc
Description-en: headers for giblib
 headers and static libraries for giblib, a library of handy stuff.
 .
 giblib contains an imlib2 wrapper to avoid the context stuff,
 doubly-linked lists and font styles.

Package: giblib1
Description-md5: 5543c82416b0a963ce8047a055613fc0
Description-en: wrapper library for imlib2, and other stuff
 giblib is a library of handy stuff. Contains an imlib2 wrapper to avoid the
 context stuff, doubly-linked lists and font styles.

Package: giella-core
Description-md5: 35938649ca74a72cdb8fe3a59cb1ca6a
Description-en: GTCORE files for building Giellatekno language packages
 This package contains GTCORE files for building all Giellatekno language
 packages.

Package: giella-sme
Description-md5: 14fb945d5307e43160cf2bb434591c7c
Description-en: Giellatekno single language data for North Saami
 Data package providing Giellatekno language resources for North Saami.

Package: giella-sme-dev
Description-md5: ec5fb5688a4f6f5da1d5cd227fc7837e
Description-en: Giellatekno single language data for North Saami (dev extras)
 Data package providing Giellatekno language resources for North Saami.
 .
 This package provides extra development files.

Package: gif2apng
Description-md5: e2dcc55a41873b23feafa04a7ad24634
Description-en: tool for converting animated GIF images to APNG format
 This package provides a command line tool for converting images from
 animated GIF to Animated PNG format.
 .
 The Animated Portable Network Graphics (APNG) file format is an
 extension to the Portable Network Graphics (PNG) specification. It
 allows for animated PNG files that work similarly to animated GIF
 files, while retaining backward compatibility with non-animated PNG
 files and adding support for 8-bit transparency and 24-bit images.

Package: giflib-tools
Description-md5: a19e8498a460f459fbf8306ec5aabc61
Description-en: library for GIF images (utilities)
 GIFLIB is a package of portable tools and library routines for working with GIF
 images.
 .
 This package contains additional utilities.

Package: gifshuffle
Description-md5: f26ef88af9bee5d92377ffeb49910820
Description-en: Steganography program to gif images
 gifshuffle is a program that allows one to hide encrypted messages within
 images in GIF format. Its use in security can be considered in the forensics
 field. Cryptography students can also benefit from this program is considering
 its applicability in steganography.
 .
 Used to conceal messages in GIF images by shuffling the colourmap, which
 leaves the image visibly unchanged. gifshuffle works with all GIF images,
 including those with transparency and animation, and in addition provides
 compression and encryption of the concealed message.

Package: gifsicle
Description-md5: 21e5dccca81f71ca93f11a8488189381
Description-en: Tool for manipulating GIF images
 This is a tool for manipulating GIF image files. It has good
 support for transparency and colormap manipulation, simple image
 transformations (cropping, flipping), and creating, deconstructing,
 and editing GIF animations, which it can also optimize for space.

Package: gifti-bin
Description-md5: f0f940dbb5976ff7387b3eae3ecbd19d
Description-en: tools shipped with the GIFTI library
 GIFTI is an XML-based file format for cortical surface data. This reference
 IO implementation is developed by the Neuroimaging Informatics Technology
 Initiative (NIfTI).
 .
 This package provides the tools that are shipped with the library
 (gifti_tool and gifti_test).

Package: giftrans
Description-md5: db4b40d2e95827792ae00b7fd10b38e3
Description-en: Convert any GIF file into a GIF89a
 Allows for setting a specific transparent or background color of GIF images
 as well as changing colors, adding or removing comments. Also provides the
 ability to analyze GIF contents.

Package: gigalomania
Description-md5: b1bb3e013ae65bfd091b8c68574b81f6
Description-en: Mega-Lo-Mania-like god game
 Gigalomania is an open source 2D Real Time Strategy god game.
 The gameplay consists of researching and developing new technology with which
 to conquer your enemies, from rocks and sticks to nuclear weapons and
 spaceships. You can advance through ten different ages, from the stone age to
 the future. There are 28 different maps to play through.
 .
 This package contains the main program.

Package: gigalomania-data
Description-md5: c0febdaf95f58c2b30708720414d349a
Description-en: Mega-Lo-Mania-like god game (data files)
 Gigalomania is an open source 2D Real Time Strategy god game.
 The gameplay consists of researching and developing new technology with which
 to conquer your enemies, from rocks and sticks to nuclear weapons and
 spaceships. You can advance through ten different ages, from the stone age to
 the future. There are 28 different maps to play through.
 .
 This package contains gigalomania data files.

Package: gigedit
Description-md5: 015caad9da7bc5fd447e02ede88170bd
Description-en: instrument editor for Gigasampler files
 gigedit is an instrument editor allowing to modify existing Gigasampler
 files, as well as creating new ones from scratch. The GUI is based on
 the GTK+ (gtkmm) toolkit. Even though it is created as a subproject of
 the LinuxSampler project, it is currently a completely independent
 stand-alone editor.

Package: giggle
Description-md5: a8823bf69389c46ab82d63486fd41ce7
Description-en: GTK+ frontend for the git directory tracker
 Giggle is a GTK+ frontend to the git directory tracker.
 With Giggle you will be able to visualize and browse easily
 the revision tree, view changed files and differences between
 revisions, visualize summarized info for the project, commit
 changes and other useful tasks for any git projects contributor.

Package: giggle-personal-details-plugin
Description-md5: c06a3621023be5f9a6a0bbcfbfa459fc
Description-en: GTK+ frontend for the git directory tracker - personal details plugin
 Giggle is a GTK+ frontend to the git directory tracker.
 With Giggle you will be able to visualize and browse easily
 the revision tree, view changed files and differences between
 revisions, visualize summarized info for the project, commit
 changes and other useful tasks for any git projects contributor.
 .
 This package provides integration with evolution addressbooks

Package: giggle-terminal-view-plugin
Description-md5: 1fa4a1b6b3bdd0dcb076251b6c66445f
Description-en: GTK+ frontend for the git directory tracker - terminal plugin
 Giggle is a GTK+ frontend to the git directory tracker.
 With Giggle you will be able to visualize and browse easily
 the revision tree, view changed files and differences between
 revisions, visualize summarized info for the project, commit
 changes and other useful tasks for any git projects contributor.
 .
 This package contains the terminal plugin

Package: gigolo
Description-md5: febd62208284054635976501942ff045
Description-en: frontend to manage connections to remote filesystems using GIO/GVfs
 Gigolo is a frontend to easily manage connections to remote filesystems
 using GIO/GVfs. It allows you to quickly connect/mount a remote filesystem
 and manage bookmarks of such.

Package: gigtools
Description-md5: d8a4bdc27dbadc6909ec54391b64d937
Description-en: command line tools for Gigasampler and DLS Level 1/2 files
 Raw file handling for audio sampler files based on DLS Level 1/2 and
 Gigasampler.
 These files are typically used in modern day audio waveform samplers.
 This package contains the following command line tools:
 .
 gigdump:
   Prints out the content of a .gig file.
 gigextract:
   Extracts samples from a .gig file.
 dlsdump:
   Prints out the content of a DLS file.
 rifftree:
   Prints out the RIFF tree of an arbitrary RIFF container file.
 sf2dump:
   Prints out the content of a .sf2 file.
 sf2extract:
   Extracts audio samples from a .sf2 file.
 korgdump:
   Prints out the content of KORG sound files (.KSF, .KMP).
 korg2gig:
   Converts KORG (.KSF, .KMP) sound files to GigaStudio (.gig) files.
 akaidump:
   Dump an AKAI media i.e. from a CDROM drive as disk image file to your HD.
 akaiextract:
   Extracts audio samples from an AKAI media or from an AKAI disk image file.

Package: gimagereader
Description-md5: b425af609591a54a8c34a609d9118e1e
Description-en: Graphical GTK+ front-end to tesseract-ocr
 gImageReader is a simple GTK+ front-end to tesseract-ocr. Tesseract is probably
 the most accurate open source optical character recognition (OCR) software and
 can recognize text in over 60 languages.
 .
 gImageReader supports automatic page layout detection but the user can also
 manually define and adjust the recognition regions. It is possible to import
 images from disk, scanning devices, clipboard and screenshots. gImageReader
 also supports multipage PDF documents. Recognized text is displayed directly
 next to the image and basic text editing including search/replace and removing
 of line breaks is possible. Spellchecking for the output text is also supported
 if the corresponding dictionaries are installed.

Package: gimp
Description-md5: 0c13253910a0a1bd77c64d38c07351a0
Description-en: GNU Image Manipulation Program
 GIMP is an advanced picture editor. You can use it to edit, enhance, and
 retouch photos and scans, create drawings, and make your own images.
 It has a large collection of professional-level editing tools and
 filters, similar to the ones you might find in Photoshop. Numerous
 fine-control settings and features like layers, paths, masks, and
 scripting give you total control over your images.
 .
 Many image file formats are supported, including JPEG, Photoshop (.psd),
 and Paint Shop Pro (.psp) files. It can also be used to scan and print
 photos.
 .
 To open files remotely (like over HTTP), install the gvfs-backends
 package.
 .
 To use a MIDI device (like a musical keyboard) as an input controller in GIMP,
 install libasound2 and read the how-to at /usr/share/doc/gimp/README.MIDI

Package: gimp-cbmplugs
Description-md5: 83bb05f36ed18e38e4dd73094c6e3a00
Description-en: plugins for The GIMP to import/export Commodore 64 files
 This set of plugins provides The GIMP with support for importing from
 and exporting to several different file-formats used on the Commodore 64.
 A palette that tries to imitate the colours of the Commodore 64 as closely
 as possible is also included.

Package: gimp-data
Description-md5: f70d84aecd044d27d27535082275ce75
Description-en: Data files for GIMP
 This package contains architecture-independent supporting data files
 for use with GIMP.

Package: gimp-data-extras
Description-md5: fb40cb5b160ef5dcb3464d75fad1315e
Description-en: Extra brushes and patterns for GIMP
 This package contains extra brushes and patterns for more GIMPy artistic
 enjoyment.

Package: gimp-dcraw
Description-md5: 9433ff9c39876008e4c48588e730e2e2
Description-en: GIMP plug-in for loading RAW digital photos
 This is a plug-in for the GIMP which uses dcraw to load the
 RAW format files used by certain digital cameras (see dcraw for
 supported models). It is by the same author as dcraw itself.

Package: gimp-dds
Description-md5: b872bebee511c1543081dbb8239d3490
Description-en: DDS (DirectDraw Surface) plugin for GIMP
 gimp-dds is a plugin for GIMP that lets you manipulate Microsoft
 DirectDraw surfaces. These kind of files are widely used in 3D games for
 textures and the like.

Package: gimp-gap
Description-md5: 219de1d5020be9e5c9f8c5636cad3c69
Description-en: animation package for the GIMP
 The GIMP Animation Package (GAP) is a collection of plug-ins to
 extend the GIMP with capabilities to edit and create animations and
 movies as sequences of single frames. It adds a Video menu to image
 windows in the GIMP.

Package: gimp-gluas
Description-md5: f5ad479233aa48f2620aa457710c6eed
Description-en: Lua environment plug-in for GIMP
 Gluas is a GIMP plug-in providing an environment for testing algorithms for
 image processing, using the Lua interpreter. The environment contains a simple
 editor for entering the algorithms.

Package: gimp-gmic
Description-md5: 8b208fa23bef640155b429d4dd2f2c1b
Description-en: GREYC's Magic for Image Computing - GIMP Plugin
 G'MIC is an open and full-featured framework for image processing,
 providing several different user interfaces to
 convert/manipulate/filter/visualize generic image datasets, from 1d
 scalar signals to 3d+t sequences of multi-spectral volumetric images.
 .
 This package contains the GIMP plugin.

Package: gimp-gutenprint
Description-md5: 86cd87bea6547c9da804d9b56bb0344f
Description-en: print plugin for the GIMP
 This package includes the Gutenprint Print plugin for the GIMP.
 .
 Gutenprint is the print facility for the GIMP, and in addition a
 suite of drivers that may be used with common UNIX spooling systems
 using GhostScript or CUPS.  These drivers provide printing quality
 for UNIX/Linux on a par with proprietary vendor-supplied drivers in
 many cases, and can be used for many of the most demanding printing
 tasks.  Gutenprint was formerly known as Gimp-Print.

Package: gimp-help-ca
Description-md5: e865a8137a5d865877a6ca815ce981bf
Description-en: Documentation for the GIMP (Catalan)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Catalan.

Package: gimp-help-common
Description-md5: c622b6265a4154156ac063b049290303
Description-en: Data files for the GIMP documentation
 This package contains necessary files common to all GIMP help
 packages, such as graphics and screenshots.

Package: gimp-help-de
Description-md5: c2f5a03af9efa144296c9c42f7c63d19
Description-en: Documentation for the GIMP (German)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in German.

Package: gimp-help-el
Description-md5: efb99fc50c416e092f47286937e2d024
Description-en: Documentation for the GIMP (Greek)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Greek.

Package: gimp-help-en
Description-md5: 44a9ca52b03dfcc2c9c4595709a17943
Description-en: Documentation for the GIMP (English)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in English.

Package: gimp-help-es
Description-md5: 8de727556a253519c345cb654483a3da
Description-en: Documentation for the GIMP (Spanish)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Spanish.

Package: gimp-help-fr
Description-md5: d56fa51bce3855e6068629e20ce6278b
Description-en: Documentation for the GIMP (French)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in French.

Package: gimp-help-it
Description-md5: 0cc37908ae6e1669de9a5a8f41a62095
Description-en: Documentation for the GIMP (Italian)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Italian.

Package: gimp-help-ja
Description-md5: 583a3263c9523ab19e60143ba82a9793
Description-en: Documentation for the GIMP (Japanese)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Japanese.

Package: gimp-help-ko
Description-md5: e91196af2a2dea242371d6453abb7ff0
Description-en: Documentation for the GIMP (Korean)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Korean.

Package: gimp-help-nl
Description-md5: 21a6a448fddd7bf5a39aa142a86a4b19
Description-en: Documentation for the GIMP (Dutch)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Dutch.

Package: gimp-help-nn
Description-md5: 77bfe274a90f6b7f8c1326bcd0b85342
Description-en: Documentation for the GIMP (Norwegian)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Norwegian.

Package: gimp-help-pt
Description-md5: f0e3268f1cfe352a55c2a59c2c828cb2
Description-en: Documentation for the GIMP (Portuguese)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Portuguese.

Package: gimp-help-ru
Description-md5: e7ac773da975d41de40cfe41fc7fd0e8
Description-en: Documentation for the GIMP (Russian)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Russian.

Package: gimp-help-sl
Description-md5: bab42b753dba4eeca45bb5362395e9bd
Description-en: Documentation for the GIMP (Slovenian)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Slovenian.

Package: gimp-help-sv
Description-md5: 8f04b34bd98f7e7f37a59c0a5f429049
Description-en: Documentation for the GIMP (Swedish)
 This package contains the documentation files for the GIMP designed for use
 with the internal GIMP help browser or external web browsers.
 .
 This package contains the documentation for the GIMP in Swedish.

Package: gimp-lensfun
Description-md5: 5b12ad4ef6f1ec51d38d3279f6b75a84
Description-en: Gimp plugin to correct lens distortion using the lensfun library
 GimpLensfun uses the lensfun library to correct lens distortion of common
 cameras and lenses.

Package: gimp-normalmap
Description-md5: 282812254defb82ad24c95efdae300fc
Description-en: Normal map plugin for GIMP
 This is a plugin for GIMP version 2.0+. It allows you to convert images into
 RGB normal maps for use in per-pixel lighting applications. The goal is to
 completely clone NVIDIA's photoshop plugin, with a few new useful features.

Package: gimp-plugin-registry
Description-md5: bd36c6aef355bf739bbf27b6b5d24988
Description-en: repository of optional extensions for GIMP
 The package contains the following plugins:
 .
   * DBP (1.1.9): David's Batch Processor
     A simple batch processing plugin for The Gimp - it allows
     the user to automatically perform operations (such as resize)
     on a collection of image files.
   * add-filmgrain (2.8): Add Film Grain
     Helps adding realistic film grain to BW images.
   * btn4ws (0.8.0.1): btn4ws
     Generates a series of buttons in three states (passive, active, pressed)
     with various selectable effects on them, as well as XHTML, CSS and
     JavaScript code for using the buttons.
   * bw-simulation (1.1): Black and White Film Simulation
     Converts the selected layer into Black and White using
     the channel mixer. Tries to produce results resembling
     tonal qualities of film.
   * cmyk-tiff-2-pdf (20090321): CMYK Tiff 2 PDF for Gimp
     This plugin completes the prepress workflow of using Separate+ to generate
     CMYK Tiff images by allowing you to convert the saved CMYK Tiff image into
     a PDF file.
   * contact-sheet (2.16): Contact Sheet
     Generates a contact sheet(s) for a directory of images.
   * diana-holga2 (c): Diana-Holga2
     Diana/Holga Toys Cameras effect simulator.
   * elsamuko: El Samuko GIMP Scripts:
     * Antique Photo Border Script:
       This script simulates a yellowed and slightly jagged border
       like these of old photographies.
     * Che Guevara Script:
       This script generates a poster like the famous Che Guevara
       one from Jim Fitzpatrick.
     * Cyanotype Script:
       This script simulates the Cyanotype printing process.
     * Difference Layer Script
       This script generates two difference layers from the two layers
       on the top. It's similar to GIMP's built-in Grain Extract/Merge
       function, but the tonal range is bigger.
       Now you can apply further editing and "switch on/off" the
       adjustment by switching the visibility of the subtractive and
       the additive layer.
       Also you can change the modification intensity by changing the
       intensity of these two layers.
     * Erosion Sharpen:
       Sharpens the image with erosion and dilation.
     * Escape Line Script:
       This script creates escaping lines from any point. It's similar
       in function to the built-in Line-Nova Script, but much more
       flexible. So you can chose the center, the thickness, the angle,
       the length, the offset and the randomness.
     * Film Grain Script:
       This is yet another script which simulates the typical film grain
       of high ISO pictures.
     * First Photo Border Script:
       This script simulates the cut-off of the first picture of a film
       roll of cheap cameras like Lomo.
     * Lomo Script with Old Style Colors
       This script simulates the Lomo effect.
     * Movie 300 Script:
       This script simulates the color style of the movie "300".
     * National Geographic Script:
       This script simulates a high quality (portrait) photo like
       these from the National Geographic.
     * Obama "HOPE" Script:
       This script generates a poster like the famous Obama "HOPE"
       one from Shepard Fairey.
     * Rainy Landscape Script:
       This script changes a dry landscape to a wet one.
     * Photochrom Script:
       This script simulates a photochrom image, a lithographic printing
       process from the 1890's.
     * Sprocket Hole Script:
       This script simulates complete exposed 35mm film strips with frame
       numbers, lettering, overexposed sprocketholes and DX film edge barcodes.
     * Sunny Landscape Script:
       This script changes a rainy landscape to a sunny one.
     * Technicolor 2 Color Script:
       This script simulates the 2 Color Technicolor effect.
     * Technicolor 3 Color Script:
       This script simulates the 3 Color Technicolor effect.
     * Vintage Look Script:
       This script simulates a 70s vintage look.
   * exposure-blend (1.3b): Exposure Blend
     Prompt for 3 images in a bracketed exposure series (e.g. 0,-2,+2 EV)
     and blend these into a contrast enhanced image.
   * ez-perspective: EZ Perspective:
     Specialized tool for easily correcting or changing perspective.
   * fix-ca (3.0.2): Fix-CA
     Corrects chromatic aberration in photos
   * gimp-fx-foundry (r111): GIMP FX Foundry
     Probably the largest script collection available for The GIMP.
   * gimp-mask: GIMP-Mask:
     Do and undo several popular image masking (that is, censoring)
     methods (CP, FL, Q0, MEKO).
   * hdroberts-tone-adjust (May 24, 2010): Warming and Cooling Filters
     Warm or cool an image using one of several methods:
     Wratten, Roy's Warm, Brauer's Warm, Pasty Cadaveric Look
   * layer-effects (4/12/2012): Layer-Effects
     This is a series of scripts that implement various layer effects:
     Drop Shadow, Inner Shadow, Outer Glow, Inner Glow, Bevel and Emboss,
     Satin, Color Overlay, Gradient Overlay, Pattern Overlay, Stroke
   * lqr (0.7.1): Liquid Rescale
     Content-aware rescaling. Keeps the features of the image while
     rescaling along a single direction.
   * openraster (20110529-1d32622): OpenRaster load/save handler
     OpenRaster is an effort by the Create project[1] to offer a standardized
     and open interchange format for raster-based applications. This plugin
     allows one to load and save files in the OpenRaster format.
   * planet-render (1-2): Planet Render
     Creates a planet. Color, size and sun orientation
     can be set.
   * resynthesizer (2.0.3): Resynthesizer
     Gimp plugin for texture synthesis
     This gimp plugin takes samples of textures, and synthesizes larger textures
     from them.  It can be used to extend textures (including making tileable
     textures), remove objects from textures, and make themed images.
   * safe-for-web (0.29.0): Save for Web
     Allows to experiment with various popular web format options. It shows
     an automatically updated preview and file size statistics.
   * separate+ (0.5.8): Separate+
     Separate+ is a plug-in that generates color separations from an RGB
     image, proofs CMYK colors on the monitor and exports the CMYK TIFF file.
   * smart-seperate-sharpen (2.8): Smart Seperate Sharpening
     This script implements a new version of smart sharpening (redux)
     combined with separate sharpen to give better results.
     You can find more about Smart Sharpening at
     http://www.gimpguru.org/Tutorials/SmartSharpening2/
   * streak (0.6): Streak-Camera simulation
     A streak camera images an object through a slit -
     thus getting a "one dimensional image". This image is
     propagated along the second dimension of the image plane
     at a constant speed. The result is a picture of the time
     dependency of the object.
   * traditional-orton: Traditional Orton:
     This is an effect invented by Michael Orton in the 1990s, which
     consists of taking two copies of an image, one blurred, and one sharp,
     and mixing them to produce an image with a dreamy quality. It is
     especially well suited to landscape and flower photography.
   * wavelet-denoise (0.3.1): Wavelet Denoise
     The wavelet denoise plugin is a tool to selectively reduce noise in
     individual channels of an image with optional RGB<->YCbCr conversion.
     It has a user interface to adjust the amount of denoising applied. The
     wavelet nature of the algorithm makes the processing quite fast.

Package: gimp-texturize
Description-md5: caea498e85d324ce29ff03e67c08ce1e
Description-en: generates large textures from a small sample
 Gimp-texturize is a plug-in for the GIMP, a famous picture editor and
 manipulator.
 .
 A few images are designed to be copy-pasted one next to another and still
 look natural, but the result is usually periodic and very monotonous. The
 Texturize plugin allows you to have a realistic pseudo-periodicity.
 .
 After install, the  plugin will be available at Filters > Map > Texturize.

Package: ginac-tools
Description-md5: 3c7d3cfd0ba2efeb745a11f0b3171b14
Description-en: GiNaC symbolic framework support tools
 GiNaC (which stands for "GiNaC is Not a CAS (Computer Algebra System)") is a
 library for doing symbolic (i.e. non-numeric) computation directly in the C++
 programming language.
 .
 This package provides some additional tools, like the popular ginsh (GiNaC
 interactive shell) and viewgar (for inspecting GiNaC archive files).

Package: ginga
Description-md5: 972fb915e6622a9c7b415eaaef76f0f9
Description-en: Astronomical image viewer
 Ginga is a toolkit designed for building viewers for scientific image
 data in Python, visualizing 2D pixel data in numpy arrays.
 It can view astronomical data such as contained in files based on the
 FITS (Flexible Image Transport System) file format.  It is written and
 is maintained by software engineers at the Subaru Telescope, National
 Astronomical Observatory of Japan.
 .
 The Ginga toolkit centers around an image display object which supports
 zooming and panning, color and intensity mapping, a choice of several
 automatic cut levels algorithms and canvases for plotting scalable
 geometric forms.  In addition to this widget, a general purpose
 "reference" FITS viewer is provided, based on a plugin framework.
 A fairly complete set of standard plugins are provided for features
 that is expected from a modern FITS viewer: panning and zooming windows,
 star catalog access, cuts, star pick/fwhm, thumbnails, etc.
 .
 This package contains the image viewer based on Python 3.

Package: ginkgocadx
Description-md5: 3294f74ad58c4747067ae6ad4cfd8968
Description-en: Medical Imaging Software and complete DICOM Viewer
 Ginkgo CADx provides a complete DICOM viewer solution with advanced
 capabilities and support for extensions.
 .
  * Easy and customizable interface through profiles.
  * Full featured DICOM image visualization.
  * Complete tool set (measure, markers, text, ...).
  * Multiple modalities support (Neurological, Radiological, Dermatological,
    Ophthalmological, Ultrasound, Endoscopy, ...)
  * Dicomization support from JPEG, PNG, GIF and TIFF.
  * Full EMH integration support: HL7 standard and IHE compliant workflows.
  * PACS Workstation (C-FIND, C-MOVE, C-STORE...)
  * Extensible through custom extensions.
    - Retinal image mosaic composition.
    - Automatic retinal analysis diagnostics.
    - Psoriasis automatic diagnostics.

Package: ginn
Description-md5: fa37b40bd2ba0428b6ab89994a63e232
Description-en: Gesture Injector: No-GEIS, No-Toolkits
 A daemon with jinn-like wish-granting capabilities: it gives applications the
 ability to support a subset of multi-touch gestures without having to integrate
 GEIS or multi-touch GTK/Qt libs.

Package: gip
Description-md5: 9d695873e7ea0829367f670bb7e5239b
Description-en: IP calculator for GNOME desktop environment
 Gip provides system administrators with tools for IP address based
 calculations. For example, an administrator who needs to find out which IP
 prefix length equals the IP netmask 255.255.240.0, just types in the mask
 and gets the prefix length presented. But many more advanced calculations
 can be made. Gip can convert an address range into a list of prefix lengths.
 It can also split subnets using a given IP netmask or IP prefix length.
 Many more calculations are possible.

Package: gir-to-d
Description-md5: 90c17ef30a28a2fce3a4a14842121350
Description-en: Create D bindings from GObject introspection files
 GObject Introspection provides machine readable introspection data
 of the API of C libraries.
 .
 GirToD is a command-line tool which builds bindings for the
 D programming language from GObject Introspection (GIR) data.

Package: gir1.2-a11yprofilemanager-0.1
Description-md5: 0031feb0e33ec51943f506faa28d5f32
Description-en: Accessibility Profile Manager - GObject introspection
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings

Package: gir1.2-abi-3.0
Description-md5: 3080f9492437b653f33ad601202f0ae1
Description-en: GObject introspection data for libabiword
 This package contains introspection data for libabiword.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-accounts-1.0
Description-md5: 030c7e7e113a19718bd61ed39007d4f7
Description-en: typelib file for libaccounts-glib0
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings for libaccounts-glib0

Package: gir1.2-ags-3.0
Description-md5: 7a22cc2d9aef49daaa1ecb967d4f7141
Description-en: Advanced Gtk+ Sequencer core library -- gir bindings
 libags is a general purpose application context library built upon GObject
 providing persistence and configuration. It allows you to organize threads in
 a tree hierarchy that is synced 1000 times per second per default. Since it is
 written in a object orientated manner you might want to override its default
 behaviour.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-agsaudio-3.0
Description-md5: 229d5c2142fad03fe8eed0dbf64805d8
Description-en: Advanced Gtk+ Sequencer audio processing engine library -- gir bindings
 libags_audio is a tree based audio processing library built upon GObject and
 libags. It allows  you to do audio processing supporting LADSPA, DSSI and Lv2
 plugin support. Audio data is processed multi-threaded.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-agsgui-3.0
Description-md5: 147fc860c654e7c70182d620a791a9aa
Description-en: Advanced Gtk+ Sequencer widget library -- gir bindings
 libags_gui is a widget library built upon Gtk+-3.0.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-anjuta-3.0
Description-md5: 70b5cb3bc54d3cee1644a8afb2438cf7
Description-en: GObject introspection data for the Anjuta libraries
 This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy
 debugging, management of code and GUI design by providing a simple and
 usable user interface. It also integrates with version control systems
 like CVS, Git or Subversion.
 .
 This package contains introspection data for the Anjuta libraries.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-aravis-0.6
Description-md5: e07bdbd9796906e6004548100b13c627
Description-en: GObject introspection data for Aravis
 Binary type libraries which can be used by non-C language bindings,
 and other tools, to introspect or wrap the original aravis C libraries.
 It contains the metadata files providing bindings to call into the C library.

Package: gir1.2-atril
Description-md5: 4b8e3c167dbfbb2a26bc71aa8782fbf0
Description-en: GObject introspection data for libatril* (transitional package)
 This package is a transitional package to gir1.2-atrildocument-1.5.0 and
 gir1.2-atrilview-1.5.0. This package can be safely removed.

Package: gir1.2-atrildocument-1.5.0
Description-md5: 966af77454cf43882366a05eebbeca95
Description-en: GObject introspection data for libatrildocument
 Atril is a simple multi-page document viewer.  It can display
 and print PostScript (PS), Encapsulated PostScript (EPS), DJVU, DVI and
 Portable Document Format (PDF) files.
 .
 This package contains introspection data for libatrildocument.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-atrilview-1.5.0
Description-md5: 96b3da9e803ace00ba45a6d092248020
Description-en: GObject introspection data for libatrilview
 Atril is a simple multi-page document viewer.  It can display
 and print PostScript (PS), Encapsulated PostScript (EPS), DJVU, DVI and
 Portable Document Format (PDF) files.
 .
 This package contains introspection data for libatrilview.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-ayatanaappindicator-0.1
Description-md5: f8018bd00614cfcd0266f3099e475657
Description-en: Typelib files for libayatana-appindicator1 (GTK-2+ version)
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package can be used by other packages using the GIRepository format
 to generate dynamic bindings (GTK-2+ version).

Package: gir1.2-ayatanaappindicator3-0.1
Description-md5: 9c8870a8c4344092acc1a2790bc00537
Description-en: Typelib files for libayatana-appindicator3-1 (GTK-3+ version)
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package can be used by other packages using the GIRepository format
 to generate dynamic bindings (GTK-3+ version).

Package: gir1.2-ayatanaido3-0.4
Description-md5: 6b14e7541ee67c9dcb14fff2f787d79b
Description-en: Widgets and other objects used for Ayatana Indicators - Typelib file
 Shared library providing extra gtk menu items for display in system
 Ayatana Indicators.
 .
 This package can be used by other packages using the GIRepository format
 to generate dynamic bindings for libayatana-ido3-0.1.

Package: gir1.2-babl-0.1
Description-md5: f0d0e6406e6a3e5398c1906c13be6481
Description-en: GObject introspection data for Babl library
 Babl is a dynamic, any to any, pixel format conversion library. It
 provides conversions between the myriad of buffer types images can be
 stored in. Babl doesn't only help with existing pixel formats, but
 also facilitates creation of new and uncommon ones.
 .
 This package contains introspection data for the library.
 .
 This package can be used by other packages using the GIRepository format
 to generate dynamic bindings.

Package: gir1.2-bamf-3
Description-md5: 3b453325c374db76b542a9f2cc19639d
Description-en: GObject introspection data for the Bamf library
 This package contains introspection data for the Bamf library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-brasero-3.1
Description-md5: 7c1eeb238606ff6f5c6a9ecdcd3121f5
Description-en: CD/DVD burning library for GNOME - GObject introspection data
 This package contains introspection data for the GNOME CD/DVD burning library
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-budgie-1.0
Description-md5: ef470e44d1fcfcf9314c35611c496bc0
Description-en: GNOME introspection library for budgie-desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This is the introspection library and allows creating plugins in
 python3, C and Vala

Package: gir1.2-burner-3.1
Description-md5: 79bf2825e9a753c35457ffef652c3c6a
Description-en: CD/DVD burning library for UKUI - GObject introspection data
 This package contains introspection data for the GNOME CD/DVD burning library
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-caja
Description-md5: 06fdbf6c519a92ac17b0f6575859a0c0
Description-en: GObject introspection data for Caja (transitional package)
 This package is a transitional package to gir1.2-caja-2.0. The package
 can be safely removed.

Package: gir1.2-caja-2.0
Description-md5: d2d03b886d3ddf07dc0cfb9d52676537
Description-en: GObject introspection data for Caja
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.
 .
 This package contains introspection data for Caja.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-caribou-1.0
Description-md5: 97d5c7ddb72f0e3b66d546ba93287aa5
Description-en: GObject introspection for the Caribou library
 An input assistive technology intended for switch and pointer users.
 .
 This package contains introspection data for the libcaribou library. It
 can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-cattle-1.0
Description-md5: 58b90f7d7310e521a29682c7c8dfb1fb
Description-en: Brainfuck language toolkit (introspection files)
 Cattle is a GObject-based library that allows one to inspect
 Brainfuck programs in various ways, and to easily embed a
 full-featured Brainfuck interpreter into any application.
 .
 This package contains the introspection files needed to
 provide dynamic bindings for Cattle.

Package: gir1.2-champlain-0.12
Description-md5: 9c7e95a9986f7a554d0327656e9f93a5
Description-en: C library providing ClutterActor to display maps (GObject introspection)
 Libchamplain is a C library providing a ClutterActor to
 display maps.
 .
 It supports numerous free map sources such as
 OpenStreetMap (default), OpenArialMap and Maps for free.
 .
 This package contains the GObject introspection file for libchamplain.

Package: gir1.2-cinnamondesktop-3.0
Description-md5: 3f75c79d7d007d1a1096d351b0f120b3
Description-en: Introspection data for CinnamonDesktop
 This package contains the introspection data for CinnamonDesktop.

Package: gir1.2-cloudproviders-0.3.0
Description-md5: caff6e041a306c4559779ca7ef1e41c5
Description-en: cloud provider library - introspection data
 libcloudproviders is a glib based library that makes it easier to implement
 a cloud provider server or client.
 .
 libcloudproviders also provides a DBus API that allows cloud storage sync
 clients to expose their services. Clients such as file managers and desktop
 environments can then provide integrated access to the cloud providers
 services.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-cmenu-3.0
Description-md5: 470940cb47d163c760be2233f0210494
Description-en: GObject introspection data for the Cinnamon menu library
 This package contains introspection data for Cinnamon menu, an
 implementation of the desktop menu specification from freedesktop.org.
 .
 It can be used by languages supporting dynamic bindings with
 the GIRepository format.

Package: gir1.2-cryptui-0.0
Description-md5: 713041a789462f30bf9aba1494554459
Description-en: GObject introspection data for the CryptUI library
 CryptUI is a library to manage OpenPGP prompts on GUI applications.
 .
 This package contains introspection data for the CryptUI library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-cvc-1.0
Description-md5: 2a9b5ddab080b7b95ea96cba397ddf2b
Description-en: Introspection data for Cinnamon pulseaudio abstraction
 This package contains the introspection data for Cinnamon pulseaudio
 abstraction.

Package: gir1.2-dbusmenu-gtk-0.4
Description-md5: 3f3980e25152e413f88a2d127cddbec2
Description-en: typelib file for libdbusmenu-gtk4
 libdbusmenu passes a menu structure across DBus so that a program can
 create a menu simply without worrying about how it is displayed on the
 other side of the bus.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings for libdbusmenu-gtk4.

Package: gir1.2-devhelp-3.0
Description-md5: 3e420f6a7f9261f6833e69f044209820
Description-en: GObject introspection data for the devhelp library
 This library provides embedable widgets from the Devhelp program to
 be integrated in tools like the Anjuta IDE for browsing API reference
 documentation.
 .
 This package contains introspection data for the devhelp library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-diodon-1.0
Description-md5: 26288f6aa64b8cd07d1ebf6f5f0c9d57
Description-en: GTK+ Clipboard manager (GObject introspection data)
 Diodon is a lightweight clipboard manager for Linux written in Vala which
 "aims to be the best integrated clipboard manager for the Gnome/Unity desktop".
 .
 Diodon features include Ubuntu indicator, clipboard sync (primary selection
 and Ctrl+C / Ctrl+V clipboard) and a zeitgeist integration for an infinite
 clipboard history.
 .
 This package contains introspection data for Diodon.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-endless-0
Description-md5: b1c365c73f3cef1075036795d24b8e73
Description-en: GObject introspection data for the Endless SDK
 Endless OS is a Linux-based operating system which provides a simplified
 and streamlined user experience using a customised desktop environment
 based on GNOME. Endless OS uses a read-only root file system managed
 by OSTree with application bundles overlaid on top.
 .
 Endless SDK provides libraries and development tools for building
 applications for Endless OS.
 .
 This package provides GObject introspection data for Endless SDK.

Package: gir1.2-entangle-0.1
Description-md5: 8475005c3da71913c0727cf03691ee45
Description-en: GObject introspection data for entangle
 Entangle provides a graphical interface for "tethered shooting", aka
 taking photographs with a digital camera completely controlled from the
 computer.
 .
 This package can be used by other packages using the GIRepository format
 to generate dynamic bindings.

Package: gir1.2-eom
Description-md5: 78da4a05d6af85fa552f7e240e54ee30
Description-en: GObject introspection data for eom (transitional package)
 This package is a transitional package to gir1.2-eom-1.0. The package
 can be safely removed.

Package: gir1.2-eom-1.0
Description-md5: d175524c4976112db93b9a73ea99d412
Description-en: GObject introspection data for the eom library
 eom or the Eye of MATE is a simple graphics viewer for the MATE
 desktop which uses the gdk-pixbuf library.  It can deal with large
 images, and zoom and scroll with constant memory usage.  Its goals are
 simplicity and standards compliance.
 .
 This package contains introspection data for eom.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-evd-0.2
Description-md5: 02d0dacb62ba2a6d2e8061c33554a998
Description-en: Peer-to-peer inter-process communication library - GObject introspection data
 EventDance is an open source library for interconnecting
 heterogeneous applications in a simple, secure and scalable
 fashion. It provides a nice API to send and receive data among
 distributed applications over different types of transports. This and
 other features like cryptography, make EventDance a perfect choice
 for peer-to-peer application development.
 .
 This package contains the GObject introspection data. It can be used
 by packages using the GIRepository format to generate dynamic
 bindings.

Package: gir1.2-farstream-0.2
Description-md5: 372775129a67708a288c83d61f8d289c
Description-en: Audio/Video communications framework: GObject-Introspection
 The Farstream project is an effort to create a framework to deal with all
 known audio/video conferencing protocols. On one side it offers a generic
 API that makes it possible to write plugins for different streaming
 protocols, on the other side it offers an API for clients to use those
 plugins.
 .
 It can be used by packages using the GIRepository format to generate dynamic
 bindings.

Package: gir1.2-fcitx-1.0
Description-md5: a847e891676be13462147f5d3903788a
Description-en: GObject introspection data for fcitx
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-fcitxg-1.0
Description-md5: d280d828e14dcfa0751c8d1aafa22657
Description-en: GObject introspection data for fcitx5
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package contains introspection data for Fcitx5.
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-flatpak-1.0
Description-md5: d56a77ab2a71ace5e8bdb87dcec05c28
Description-en: Application deployment framework for desktop apps (introspection)
 Flatpak installs, manages and runs sandboxed desktop application bundles.
 See the flatpak package for a more comprehensive description.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings for libflatpak0.

Package: gir1.2-folks-0.6
Description-md5: 101a0cc9448dd2bd027680cded24f583
Description-en: library to aggregates people into metacontacts - GObject-Introspection
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 It can be used by packages using the GIRepository format to generate dynamic
 bindings.

Package: gir1.2-ganv-1.0
Description-md5: 869e62208d069ff0c58f58f13c3ab19b
Description-en: GObject Introspection data for Ganv
 Ganv is an interactive Gtk canvas widget for graph-based interfaces
 (patchers, modular synthesizers, finite state automata, interactive
 graphs, etc).
 .
 This package provides the introspection data for the Ganv library.

Package: gir1.2-gconf-2.0
Description-md5: 1268956fd1a3637618828db77c2ef43c
Description-en: GNOME configuration database system (GObject-Introspection)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package contains introspection data for GConf. It can be used by
 packages using the GIRepository format to generate dynamic bindings.

Package: gir1.2-gda-5.0
Description-md5: d5ac64d27e1fb0047b64887210de5f4f
Description-en: data abstraction library based on GLib -- GObject Introspection
 libgda is a (relatively small) database abstraction/access library
 integrated on the GLib object model. It can be used as a metadata
 extractor, to get information about all database objects in a common
 way, and as an ODBC-like wrapper to access data in different engines
 through an easier API.
 .
 This package contains introspection data for libgda.

Package: gir1.2-gdl-3
Description-md5: a9947e542a8e766c28089ac113832377
Description-en: GObject introspection data for the GDL library
 This package contains introspection data for the GNOME DevTool libraries,
 a support library for GNOME development tools.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-gegl-0.4
Description-md5: def3db62057a14fb7c982483ac3392b6
Description-en: GObject introspection data for the Generic Graphics Library
 GEGL (Generic Graphics Library) is a graph based image processing
 framework.
 .
 GEGL's original design was made to scratch GIMP's itches for a new
 compositing and processing core. This core is being designed to have
 minimal dependencies and a simple well defined API.
 .
 This package contains introspection data for the library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-gepub-0.6
Description-md5: ac4f3b9403447b1c529c19294c5e3f7a
Description-en: GObject introspection data for the libgepub library
 libgepub is a GObject based library for handling and rendering epub
 documents.
 .
 This package contains the introspection data for the libgepub library.

Package: gir1.2-ges-1.0
Description-md5: 6becd314b8c159acf979f724d8fa3df7
Description-en: GObject introspection data for the GES library
 The GStreamer multimedia framework and the accompanying NLE set of
 plugins for non-linear editing offer all the building blocks for:
 * Decoding and encoding to a wide variety of formats, through all the
   available GStreamer plugins.
 * Easily choosing segments of streams and arranging them through time
   through the NLE set of plugins.
 But all those building blocks only offer stream-level access, which
 results in developers who want to write non-linear editors to write a
 consequent amount of code to get to the level of non-linear editing
 notions which are closer and more meaningful for the end-user
 (and therefore the application).
 .
 The GStreamer Editing Services (GES) aims to fill the gap between
 GStreamer/NLE and the application developer by offering a series
 of classes to simplify the creation of many kind of editing-related
 applications.
 .
 This package contains introspection data for the GStreamer editing
 services.

Package: gir1.2-gfbgraph-0.2
Description-md5: 99f153dabd6be338de972503c1729df2
Description-en: GObject library for Facebook Graph API - GObject introspection
 GFBGraph is a GLib/GObject wrapper for the Facebook API.
 .
 This package contains the GObject introspection typelib.

Package: gir1.2-ggit-1.0
Description-md5: c1d6744b5adc64ebcfb3df54958e6052
Description-en: GObject introspection data for the git2-glib-1.0 library
 This package contains introspection data for the git2-glib-1.0 library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-gladeui-2.0
Description-md5: e7342a083294f60fa73d831c99cad50c
Description-en: GObject introspection data for the GTK+ User Interface library
 Glade is a RAD tool to enable quick and easy development of user
 interfaces for the GTK+ toolkit.
 .
 Glade is, since its "3.0" major version, highly modular, and composed
 of widgets which can be used by other applications to integrate
 functionality similar to the one provided by the Glade application
 itself.
 .
 This package contains introspection data for the GTK+ User Interface
 Build core library.

Package: gir1.2-gmime-2.6
Description-md5: 60c644395b6858496c1ca5a3d57ce3ba
Description-en: MIME parser and creator (old 2.6 version) - GObject introspection data
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains data for using the older version of GMime under
 GObject introspection (e.g. Python).
 .
 It is recommended to use gir1.2-gmime-3.0 instead of this package.

Package: gir1.2-gmime-3.0
Description-md5: fda8f4176f0180c3bda172062828aa0d
Description-en: MIME message parser and creator library - GObject introspection data
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains data for using GMime under GObject
 introspection (e.g. Python).

Package: gir1.2-gnumeric
Description-md5: b3f5baac1cd2d2f1a57cf8e15ff9f294
Description-en: GObject introspection data for the Gnumeric spreadsheet
 This package contains introspection data for the Gnumeric spreadsheet.
 With it one can use gnumeric API from scripting languages like Python or
 others.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-goffice-0.10
Description-md5: 26d1fc91df62af54233351294de2591e
Description-en: GObject introspection data for the GOffice library
 This package contains introspection data for the GOffice library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-goocanvas-2.0
Description-md5: 6bf7bf4a931e28616d61ab3d92a437c2
Description-en: GObject introspection data for GooCanvas - gir bindings
 GooCanvas is a canvas widget for GTK+ that uses the Cairo 2D library for
 drawing. It has a model/view split, and uses interfaces for canvas items and
 views, so you can easily turn any application object into canvas items.
 .
 This package contains the GObject introspection data.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-govirt-1.0
Description-md5: 53038ce1b062fd08c562b83d19f572b2
Description-en: GObject introspection data for libgovirt
 libgovirt is a library that allows applications to use oVirt REST API
 to list VMs managed by an oVirt instance, and to get the connection
 parameters needed to make a SPICE/VNC connection to them.
 .
 This package contains introspection data for the libgovirt library.

Package: gir1.2-gpaste-1.0
Description-md5: 9db5e2e19c3f1da710a62b3f364340c3
Description-en: GObject introspection data for the libgpaste11 library
 GPaste manages clipboard history and allows easy access to it using
 keyboard shortcuts, gnome-shell extension, command-line tools, gir
 bindings.
 .
 This package contains introspection data for the libgpaste11 library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-granite-1.0
Description-md5: 2e0ed8472431f4131cf1b613e667fdf0
Description-en: extension of GTK+ libraries (introspection files)
 Granite is an extension of GTK+. Among other things, it provides
 complex widgets and convenience functions designed for use in apps
 built for elementary OS.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-grss-0.7
Description-md5: 665ce5701f840277957da2bfa3b0cd58
Description-en: GObject introspection data for libgrss
 libgrss is a Glib abstraction library for handling feeds in RSS, Atom and
 other formats. It is intended to be used to manage syndication of feeds in a
 convenient way.
 .
 This package contains introspection data for libgrss.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-gst-plugins-bad-1.0
Description-md5: 8dab6f0721a81295bbb56dd6445f5f5b
Description-en: GObject introspection data for the GStreamer libraries from the "bad" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared
 to the rest. They might be close to being good quality, but they're missing
 something - be it a good code review, some documentation, a set of tests, a
 real live maintainer, or some actual wide use.
 .
 This package contains introspection data for the GStreamer libraries from
 the "bad" set. It can be used by packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-gst-rtsp-server-1.0
Description-md5: 86caaabae9e614dcf85cc74d17bd9192
Description-en: GObject introspection data for the GStreamer RTSP Server
 gst-rtsp-server is a library on top of GStreamer for building an RTSP
 server or embedding RTSP server capabilities into applications.
 .
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains introspection data for the GStreamer RTSP Server.

Package: gir1.2-gtk-2.0
Description-md5: 0e856cde3e86eb181a419da2e80d941c
Description-en: GTK graphical user interface library -- gir bindings
 GTK is a multi-platform toolkit for creating graphical user
 interfaces. Offering a complete set of widgets, GTK is suitable
 for projects ranging from small one-off tools to complete application
 suites.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-gtk-vnc-2.0
Description-md5: 94a704f0a9b22ca2b780aec8bba8f812
Description-en: GObject introspection data for GTK-VNC
 This package contains introspection data for the GTK-VNC library.
 .
 It is built using coroutines, allowing it to be completely asynchronous while
 remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the
 VeNCrypt authentication extension providing SSL/TLS encryption with x509
 certificate authentication.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-gtkchamplain-0.12
Description-md5: 15858d4f39649c1f73b9f728dbe3aecd
Description-en: Gtk+ widget to display maps (GObject introspection)
 Libchamplain-gtk is a C library aimed to provide a Gtk+ widget
 to display rasterized maps and markers.
 .
 It supports numerous free map sources such as
 OpenStreetMap (default), OpenArialMap and Maps for free.
 .
 This package contains the GObject introspection file for libchamplain-gtk.

Package: gir1.2-gtklayershell-0.1
Description-md5: 537ee2d120637ed803bb5a5390bd0dfa
Description-en: Wayland Layer Shell protocol desktop component library -- gir bindings
 A library to write GTK applications that use Layer Shell. This library only
 makes sense on Wayland compositors that support Layer Shell, and will not work
 on X11. It supports all Layer Shell features including popups and popovers (GTK
 popups Just Work™). Please open issues for any bugs you come across.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-gtksource-3.0
Description-md5: 74d917ec90593676d195bbd2ead30327
Description-en: gir files for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains GObject introspection information.

Package: gir1.2-gtkspell3-3.0
Description-md5: 794a4b720b7e0b743b6bef5e77f3ca22
Description-en: GObject introspection data for the GtkSpell library
 This package contains introspection data for GtkSpell, a spell-checking
 library for GTK+. They can be used to generate dynamic bindings.
 .
 GtkSpell provides Microsoft Word/OS X-style highlighting of misspelled
 words in a GtkTextView widget.  Right-clicking a misspelled word pops up a
 menu of suggested replacements.

Package: gir1.2-gucharmap-2.90
Description-md5: d5fe6cccb59d33ea7708000a049fedf5
Description-en: GObject introspection data for the Unicode browser widget library
 The libgucharmap library contains a Unicode browser widget; it is
 most prominently used by the "gucharmap" program.  See the package of
 the same name for more information.
 .
 This package contains introspection data for the Unicode browser widget
 library.

Package: gir1.2-guestfs-1.0
Description-md5: 10c3e57f26ba608aa3690bd897efa916
Description-en: guest disk image management system - GObject introspection files
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the GObject introspection data.

Package: gir1.2-gupnpigd-1.0
Description-md5: 81f4ea8b350871b0d020a0e2ca6ee32a
Description-en: GObject introspection data for the GUPnP IGD library
 This package contains introspection data for GUPnP IGD, a UPnP
 Internet Gateway Device library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-hinawa-2.0
Description-md5: 0d61b4350876950d75ffb121d1ad8ceb
Description-en: GObjet introspection data for libhinawa1
 This package contains introspection data for libhinawa1. It can be
 used by packages using the GIRepository format to generate dynamic
 bindings, or it can be used by interpreters understanding the
 GIReporsitory format to libhinawa1.

Package: gir1.2-hkl-5.0
Description-md5: a13bf0bac070fed87aa6a8b8ac4d3cd6
Description-en: diffractometer computation control library - gir binding
 The hkl library is a framework for diffraction computation and
 diffractometer control, heavily used at the SOLEIL synchrotron. It
 supports various types of diffractometer geometry: Eulerian 4-circle,
 Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis
 geometry. For each of these it provides several numerically computed
 modes, such as bisector and constant psi.
 .
  This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-hud-2
Description-md5: 132e2d7534ebba5bd9dd3565bfef0fb3
Description-en: typelib file for libhud2
 Allows applications to add items into the HUD without requiring a
 menubar or other parsed GUI elements.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings for libdbusmenu-gtk4.

Package: gir1.2-hud-client-2
Description-md5: e3ebed3ce56a206c3d4e01263fac4f0d
Description-en: typelib file for libhud-client-2
 Interface for querying the HUD and getting results.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings for libdbusmenu-gtk4.

Package: gir1.2-ido3-0.1
Description-md5: bb7f368e7bdb21f88c804bac9be64243
Description-en: Typelib file for libido3-0.1
 Shared library providing extra gtk menu items for display in system indicators.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings for libido3-0.1.

Package: gir1.2-inputpad-1.0
Description-md5: 83b56be0e6ebda4d9cfc023b87d5af6e
Description-en: On-screen Input Pad to Send Characters with Mouse - introspection data
 The input pad is a tool to send a character to text applications when the
 corresponging button is pressed. It provides the GTK+ based GUI and can send
 characters when the GTK+ buttons are pressed.
 .
 This package contains the GObject introspection data of input-pad.

Package: gir1.2-isocodes-1.2
Description-md5: f26a218c6661e85604fb6be002ff2fd1
Description-en: access of iso-codes data and translations - GObject introspection
 This library can be used to easily access XML data of the iso-codes
 package. It will provide an abstraction layer to handle both the
 version 3 and the upcoming version 4 of iso-codes. Moreover, all
 available translations can be used as well.
 .
 This library makes use of the GObject introspection features, so that
 it is accessible from a variety of programming languages, for example
 C, Vala, Ruby, Python, Perl, Lua, JavaScript, PHP and many more.
 .
 This package contains introspection data for the isocodes libraries.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-itl-1.0
Description-md5: 2f28551251fab78b86672bc989de43ea
Description-en: GObject introspection data for Itl 1.0 library
 This library is a GObject bindings library for libitl (Islamic tools &
 library project), libitl allows applications to convert between
 Hijri/Gregorian dates and compute Muslim prayer times and Qibla direction
 based on multiple methods of calculation.
 .
 This package contains introspection data for the GObject bindings library.

Package: gir1.2-jcat-1.0
Description-md5: dcd3a0fea45bc7d1ee8fe484af3c2654
Description-en: GObject introspection data for libjcat
 This package provides the introspection data for libjcat.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-jsonrpc-1.0
Description-md5: e018f35484046dca4c9f4a8997e8c446
Description-en: GObject introspection data for jsonrpc-glib
 This package contains GObject introspection information.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-keybinder-0.0
Description-md5: 6819aab1e528387c3da39ae70bd8b0e1
Description-en: registers global key bindings for applications - introspection data
 keybinder is a library for registering global keyboard shortcuts to be used by
 GTK-based applications under the X Window System.
 .
 When a combination of key is pressed, keybinder notifies it to the registering
 application, which can execute one or more operations based on the event
 previously registered.
 .
 Originally written as part of the Tomboy project, keybinder has been
 distributed as stand-alone library let other applications to use key binding.
 .
 This package contains introspection data.

Package: gir1.2-keybinder-3.0
Description-md5: 00e3b16fb93868b36ef920520dd7f4ed
Description-en: registers global key bindings for applications - Gtk+3 - typelib
 keybinder is a library for registering global keyboard shortcuts to be used by
 GTK-based applications under the X Window System.
 .
 When a combination of key is pressed, keybinder notifies it to the registering
 application, which can execute one or more operations based on the event
 previously registered.
 .
 Originally written as part of the Tomboy project, keybinder has been
 distributed as stand-alone library let other applications to use key binding.
 .
 This package contains libkeybinder introspection typelib.
 .
 This is the Gtk+3 version of the library.

Package: gir1.2-kkc-1.0
Description-md5: 41e34aaf8b03f8f71b35e9f40b686651
Description-en: GObject introspection data for libkkc
 libkkc provides a converter from Japanese Kana-string to
 Kana-Kanji-mixed-string. It was named after kkc.el in GNU Emacs, a simple Kana
 Kanji converter, while libkkc tries to convert sentences in a bit more complex
 way using N-gram language models.
 .
 This package contains introspection data for libkkc.

Package: gir1.2-libinsane-1.0
Description-md5: a56d77734fd073282ed0e868b1f74b97
Description-en: Library to access scanner - GObject bindings
 A cross-platform, cross-programming languages, cross scanner library
 that takes care of all the quirks of scanners.
 .
 This package contains the GObject bindings.

Package: gir1.2-libmsi-1.0
Description-md5: 2dd5b6328a53bf18283f0dfc14ecd3e8
Description-en: Windows Installer file manipulation library - gir bindings
 libmsi provides functions to manipulate Windows Installer (.msi)
 files, both for reading and writing their contents and querying their
 databases.
 .
 This package contains the GObject introspection repository bindings.

Package: gir1.2-libosinfo-1.0
Description-md5: c776cd29cda0a7b28893d84644dad78a
Description-en: GObject introspection data for libosinfo
 This package contains introspection data for the libosinfo library.
 .
 libosinfo is a GObject based library API for managing information about
 operating systems, hypervisors and the (virtual) hardware devices they
 can support. It includes a database containing device metadata and
 provides APIs to match/identify optimal devices for deploying an
 operating system on a hypervisor.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-libvirt-glib-1.0
Description-md5: bace3dfcf24949094b3ae4398ebbb644
Description-en: GObject introspection files for the libvirt-glib library
 The libvirt-glib library eases integration of libvirt's events and API into
 GLib and GObject based applications.
 .
 This package contains the GObject-introspection data in binary typelib format.

Package: gir1.2-libvirt-sandbox-1.0
Description-md5: 01d9d27cac17f993d1c2f7791abc75d3
Description-en: GObject introspection files for the libvirt-sandbox library
 The Libvirt Sandbox project is an effort to facilitate the use of libvirt
 virtualization drivers for the purpose of sandboxing applications. It
 supports LXC and QEMU/KVM.
 .
 It provides a high level API to enable the construction of sandboxes
 and isolation from the need to understand the virtualization technology
 configuration.
 .
 It allows integration with systemd to setup service unit files inside a
 sandbox.
 .
 This package contains the GObject-introspection data in binary typelib format.

Package: gir1.2-lightdm-1
Description-md5: 15edc3a87b41d0dccba5699c5b28aa73
Description-en: Typelib file for liblightdm-1
 A GObject based library for LightDM clients to use to interface with LightDM.

Package: gir1.2-lunar-date-2.0
Description-md5: ec8b0abda3ce2311c80e7d8cd6ce9ea8
Description-en: GObject Introspection for lunar-date
 Lunar-date is a Chinese Lunar library based on GObject, which can
 covert between Chinese lunar calendar and Gregorian calendar.
 .
 This package contains GObject Introspection for lunar-date.

Package: gir1.2-maliit-1.0
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: gir1.2-manette-0.2
Description-md5: 550e1d1705d49a0ad1689f12265144fd
Description-en: GObject introspection data for libmanette
 This package contains GObject introspection information.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-mate-desktop
Description-md5: 889dd343bb17bec4e9a1bcdead8159ab
Description-en: GObject introspection data for libmate-desktop (transitional package)
 This package is a transitional package to gir1.2-matedesktop-2.0. The package
 can be safely removed.

Package: gir1.2-mate-menu
Description-md5: a7c793f017d40e6626945b8cab29ddc3
Description-en: GObject introspection data for libmatemenu (transitional package)
 This package is a transitional package to gir1.2-matemenu-2.0. The package
 can be safely removed.

Package: gir1.2-mate-panel
Description-md5: 81848f3c2fd1593abd0567e0feba7674
Description-en: GObject introspection data for libmate-panel-applet4-1 (transitional package)
 This package is a transitional package to gir1.2-matepanelapplet-4.0. The
 package can be safely removed.

Package: gir1.2-matedesktop-2.0
Description-md5: 9587d13bbedea4b417ad0c7a5a289e4c
Description-en: GObject introspection data for the libmate-desktop library
 The MATE component mate-desktop contains the libmate-desktop library, the
 mate-about program, as well as some desktop-wide documents.
 .
 The libmate-desktop library provides an API shared by several applications
 on the desktop, but that cannot live in the platform for various
 reasons.
 .
 This package contains introspection data for the library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-matekbd
Description-md5: af79e0a239237d3aed5e50abdad9c4f7
Description-en: GObject introspection data for libmatekbd (transitional package)
 This package is a transitional package to gir1.2-matekbd-1.0. The package
 can be safely removed.

Package: gir1.2-matekbd-1.0
Description-md5: b5b57ebd921fc4f773f284f0f84c8abe
Description-en: GObject introspection data for libmatekbd and libmatekbdui
 libmatekbd offers an API to manage the keyboard in MATE applications.
 .
 libmatekbdui offers an API to display a graphical user interface for
 libmatekbd operations.
 .
 This package contains introspection data for the libmatekbd and the
 libmatekbdui libraries.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-matemenu-2.0
Description-md5: 8d14bf35d9d4453147c321f3cc89a591
Description-en: GObject introspection data for the MATE menu specification
 This package contains introspection data for MATE menu specification,
 an implementation of the draft "Desktop Menu Specification" from
 freedesktop.org.
 .
 It can be used by packages using the GIRepository format to generate dynamic
 bindings.

Package: gir1.2-matepanelapplet-4.0
Description-md5: 12a2ed6912010aa913dd6a3692ce50ac
Description-en: GObject introspection data for MATE panel
 The MATE Panel is an essential part of the MATE Desktop, providing
 toolbar-like “panels” which can be attached to the sides of your desktop.
 They are used to launch applications and embed a number of other
 functions, such as quick launch icons, the clock, the notification area,
 volume controls and the battery charge indicator, and utilities ranging
 from weather forecast to system monitoring.
 .
 This package contains introspection data for MATE panel.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-meta-muffin-0.0
Description-md5: 48182b5a3eaf1b5525a1455403d87c67
Description-en: GObject introspection data for Muffin
 Muffin is a small window manager performing compositing as well based on
 GTK+ and Clutter and used in desktop environment such as Cinnamon.
 .
 It is fairly extensible by the mean of plugins, providing new or customized
 visual effects as well as a flexibility in the behavior of the window
 manager.
 .
 This package contains the GObject introspection data which may be
 used to generate dynamic bindings.

Package: gir1.2-mypaint-1.5
Description-md5: b3b7d594a26303f34b229aff3d77b57d
Description-en: GObject introspection data for libmypaint
 This package contains GObject introspection information.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-nemo-3.0
Description-md5: c4827a75ac412d89715206ac95b437d4
Description-en: libraries for nemo components - gir bindings
 Nemo is the official file manager for the Cinnamon desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the Cinnamon
 desktop. It works on local and remote filesystems.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-nice-0.1
Description-md5: febfa47e406fb824db026ce3e6e57540
Description-en: ICE library (GObject introspection)
 Nice is an implementation of the IETF's draft Interactive Connectivity
 Establishment standard (ICE).  ICE is useful for applications that want to
 establish peer-to-peer UDP data streams. It automates the process of
 traversing NATs and provides security against some attacks.
 .
 Existing standards that use ICE include the Session Initiation Protocol (SIP)
 and the Jingle XMPP extension for audio/video calls.
 .
 Nice includes integration with GStreamer.
 .
 This package provides the GObject introspection binding.

Package: gir1.2-osmgpsmap-1.0
Description-md5: dcc9fc0d2b5fd370912103088f0adfb1
Description-en: GTK+ library to embed OpenStreetMap maps - Python bindings
 libosmgpsmap is a library to embed maps in applications that when given GPS
 co-ordinates, draws a GPS track, and points of interest on a moving map
 display. Downloads map data from a number of websites, including
 openstreetmap.org, openaerialmap.org and others.
 .
 This package provides the Python bindings for the library.

Package: gir1.2-ostree-1.0
Description-md5: a8a0c2ac9194d894a8dd2584c5f178a3
Description-en: content-addressed filesystem for operating system binaries (introspection)
 libostree provides a library and tools for managing bootable, immutable,
 versioned filesystem trees. See the ostree package's description for
 more details.
 .
 This package contains GObject-Introspection metadata, which can be used
 to generate dynamic bindings into languages such as Python and JavaScript.

Package: gir1.2-parlatype-2.0
Description-md5: 86455c9c793652c989ba44f149945a37
Description-en: Library for Parlatype - gir bindings
 Provides the GStreamer backend for Parlatype, a wave loader and a wave viewer
 widget. Mainly used by Parlatype but open for other programs as well.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-playerctl-2.0
Description-md5: 731ec286ea31821d25b597c2fc94066c
Description-en: utility to control media players via MPRIS (gir bindings)
 Playerctl is a command-line utility and library for controlling media players
 that implement the MPRIS D-Bus Interface Specification. Compatible players
 include audacious, cmus, mopidy, mpd, quod libet, rhythmbox, spotify, vlc and
 xmms2.
 .
 Playerctl makes it easy to bind player actions, such as play and pause,
 to media keys. Playerctl also provides an introspectable library accessible
 in many popular scripting languages that allows more detailed control like
 the ability to subscribe to media player events or get metadata such as
 artist and title for the playing track.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-pluma-1.0
Description-md5: a6863cbddd2436d69fc13e9a0d103e7d
Description-en: GObject introspection data for Pluma
 Pluma is a text editor which supports most standard editor features,
 extending this basic functionality with other features not usually
 found in simple text editors. Pluma is a graphical application which
 supports editing multiple text files in one window (known sometimes as
 tabs or MDI).
 .
 Pluma fully supports international text through its use of the
 Unicode UTF-8 encoding in edited files. Its core feature set includes syntax
 highlighting of source code, auto indentation and printing and print preview
 support.
 .
 Pluma is also extensible through its plugin system, which currently
 includes support for spell checking, comparing files, viewing CVS
 ChangeLogs, and adjusting indentation levels.
 .
 This package contains introspection data for Pluma.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-rda-1.0
Description-md5: 16b1f594f4140b30421f0c9329a4d840
Description-en: GObject introspection data for librda
 This library provides an API for detecting if a desktop session
 is running inside a remote desktop session (e.g. X2Go).
 .
 This package contains introspection data for the librda library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-retro-0.14
Description-md5: 928f35d67e1172565b2341d25edb2fb1
Description-en: GObject introspection data for retro-gtk
 This package contains GObject introspection information.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-signon-1.0
Description-md5: 4c90684f152719c7772c481917663d90
Description-en: GObject introspection data for the Signon library
 This package contains introspection data for the Signon library.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-skk-1.0
Description-md5: d9ff39c490975bfcd43632b300062127
Description-en: library to deal with Japanese kana-kanji conversion method - introspection data
 Libskk is a library that provides GObject-based interface of Japanese
 input methods.  Currently it supports SKK (Simple Kana Kanji) with
 various typing rules including romaji-to-kana, AZIK, ACT, TUT-Code,
 T-Code, and NICOLA.
 .
 This package contains the GObject introspection data.

Package: gir1.2-spiceclientglib-2.0
Description-md5: 655cdb51ace9931e2b86211077e11903
Description-en: GObject for communicating with Spice servers (GObject-Introspection)
 libspice-glib4 provides glib objects for spice protocol
 decoding and surface rendering
 .
 This package contains the GObject-introspection data in binary typelib format.

Package: gir1.2-spiceclientgtk-3.0
Description-md5: d0af48004124f8ccc16e5ddfe6111df3
Description-en: GTK3 widget for SPICE clients (GObject-Introspection)
 libspice-gtk3 provides gtk3 widget to show spice display
 and accept user input.
 .
 This package contains the GObject-introspection data in binary typelib format.

Package: gir1.2-sugarext-1.0
Description-md5: 02d34e9e747379667547ea556cd92621
Description-en: Sugar Learning Platform - toolkit GObject introspection
 Sugar Learning Platform promotes collaborative learning
 through Sugar Activities that encourage critical thinking,
 the heart of a quality education.
 Designed from the ground up especially for children,
 Sugar offers an alternative to traditional "office-desktop" software.
 .
 The sugar3 library contains a set of widgets
 to build HIG compliant applications and interfaces
 to interact with system services like presence and the datastore.
 .
 This package can be used
 by other packages using the GIRepository format
 to generate dynamic bindings.

Package: gir1.2-telepathyglib-0.12
Description-md5: 79b134317a8965965a687e169f21caea
Description-en: GLib Telepathy connection manager library (GObject-Introspection)
 This package contains introspection data for telepathy-glib, which can be used
 to generate dynamic bindings for Telepathy components.
 .
 These GObject-Introspection bindings are considered to be experimental by the
 maintainers of telepathy-glib, and might receive incompatible changes until
 at least telepathy-glib 0.14.0.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: gir1.2-telepathylogger-0.2
Description-md5: 9d322246efc6be61a33d36f696cd71ec
Description-en: Telepathy logger service - introspection
 This package contains the introspection data for Telepathy logger.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: gir1.2-template-1.0
Description-md5: 44871af8ea6317ddbc075beaace2ce70
Description-en: GObject introspection data for template-glib
 This package contains GObject introspection information.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-ubuntu-app-launch-3
Description-md5: 3e453169a5bc3d320e8498b847529e87
Description-en: typelib file for libubuntu-app-launch4
 Interface for starting apps and getting info on them.
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings for libubuntu-app-launch4.

Package: gir1.2-ufo-0.0
Description-md5: 4d5f975667b50bd500f13925a6bb25bd
Description-en: Library for high-performance, GPU-based computing - gir binding
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 A gobject-instrospection binding is also provided to write scripts or
 user interfaces.
 .
 This package can be used by other packages using the GIRepository
 format to generate dynamic bindings.

Package: gir1.2-uhm-0.0
Description-md5: 20894e041d417b9a50ed79377f6780ac
Description-en: HTTP web service mocking - development files
 uhttpmock is a HTTP web service mocking project for projects which use
 libsoup. It is still in its infancy, but is already used by libgdata
 for unit testing.
 .
 This package contains the gobject-introspection typelib.

Package: gir1.2-ukuimenu-2.0
Description-md5: c094be1dc94a2a65fb99e01042e385b1
Description-en: GObject introspection data for the UKUI menu specification
 This package contains introspection data for UKUI menu specification,
 an implementation of the draft "Desktop Menu Specification" from
 freedesktop.org
 .
 It can be used by packages using the GIRepository format to generate dynamic
 bindings.

Package: gir1.2-ukwm-1
Description-md5: 5a17bc86d55c537036d2ed8eecb6cdfb
Description-en: GObject introspection data for Ukwm
 Ukwm is a small window manager, using GTK+ and Clutter to do
 everything.
 .
 Ukwm is the clutter-based evolution of Metacity, which, as the
 author says, is a "Boring window manager for the adult in you. Many
 window managers are like Marshmallow Froot Loops; Metacity is like
 Cheerios."
 .
 This package contains the GObject introspection data which may be
 used to generate dynamic bindings.

Package: gir1.2-umockdev-1.0
Description-md5: fbb61fba8c934a3abb2dd226ec23d275
Description-en: introspection data for umockdev library
 umockdev mocks hardware devices for creating unit tests for libraries and
 programs that handle Linux hardware devices.
 .
 This package contains the introspection data for the libumockdev library.

Package: gir1.2-urfkill-glib0
Description-md5: 102e8c387593fa1c66f1baa13d3613bd
Description-en: GObject introspection data for the urfkill library
 This package contains introspection data for liburfkill. It provides
 the management of the wireless killswitches
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-v-sim-1.0
Description-md5: b88785f37cb67c6dabe2c223cc211dfe
Description-en: Visualize atomic structures (gir bindings)
 V_Sim visualizes atomic structures such as crystals, grain boundaries,
 molecules and so on (either in binary format, or in plain text format).
 .
 This package can be used by other packages using the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-vips-8.0
Description-md5: 6a5a50d6b91f7bd84add8c9d061eb07d
Description-en: GObject introspection data for VIPS
 VIPS is an image processing system designed with efficiency in mind.
 It is good with large images (ones that larger than the amount of RAM in
 your machine), and for working with colour.  It can perform many
 image manipulation tasks much faster than other packages such as
 ImageMagick and the GIMP and includes some special features such as
 creating single "mosaic" images from multiple parts.
 .
 VIPS consists of two main components: an image processing library
 with some command-line tools and a spreadsheet-like graphical user
 interface.  The graphical interface is available in the nip2 package.
 .
 This package provides the introspection data for VIPS library.

Package: gir1.2-wnck-1.0
Description-md5: 1a246049f3338409141af2b0e7cc29a5
Description-en: GObject introspection data for the WNCK library
 A library to use for writing pagers and task lists. This variant
 of libwnck provides WNCK for GTK-2 based applications.
 .
 This package contains introspection data for Window Navigator
 Construction Kit, a library to interact with window managers.
 .
 It can be used by packages using the GIRepository format to generate
 dynamic bindings.

Package: gir1.2-xapp-1.0
Description-md5: cd11763cad28e22896f2e168ea48d58f
Description-en: Introspection data for Xapp
 Xapp provides components which are common to multiple desktop environments
 and required to implement cross-DE solutions.
 .
 This package contains the introspection data for Xapp.

Package: gir1.2-zeitgeist-2.0
Description-md5: 6157cfe1df2ef33c06494e3d8ead6c2b
Description-en: library to access Zeitgeist - GObject introspection data
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 Libzeitgeist is a client library to access and manage the Zeitgeist event
 log from languages such as C and Vala.
 .
 This package can be used by languages supporting the GIRepository format to
 generate dynamic bindings.

Package: gir1.2-zpj-0.0
Description-md5: d07f95af4fd2576262059a71a2206eb6
Description-en: GObject introspection data for the libzapojit library
 libzapojit is a GLib-based library for accessing online service APIs using
 the Microsoft SkyDrive and Hotmail REST protocols.
 .
 This package contains introspection data for the libzapojit
 library. It can be used by packages using the GIRepository format to
 generate dynamic bindings.

Package: gis-all
Description-md5: d3116a6dd1bfb436c5ab9464d34d1441
Description-en: Default selection of tasks for Debian Gis
 This package is part of the Debian Gis Pure Blend and installs all
 tasks for a default installation of this blend.

Package: gis-data
Description-md5: 3e268b40d18e97280a29da91627d3be3
Description-en: Debian GIS data
 This metapackage will install some packages providing data that can
 be used by different GIS applications.

Package: gis-devel
Description-md5: fba29321e08ef1de37118f4fef651e58
Description-en: Geographic Information Systems (GIS) development
 This task sets up your system for GIS development.

Package: gis-gps
Description-md5: 6c9f1415e6a60b6b0ae748ce0c106ca0
Description-en: GPS related programs
 Set of Debian packages which are dealing with GPS devices
 and data.

Package: gis-osm
Description-md5: e3ff8efd54c498ac51912fbd06d17577
Description-en: OpenStreetMap related programs
 Set of Debian packages which are dealing with OpenStreetMap
 data.

Package: gis-remotesensing
Description-md5: 686b5bee2b6c2b68cdb17add2aa229ab
Description-en: Remote sensing and earth observation
 Debian packages which are dealing with Remote Sensing (for instance
 Synthetic Aperture Radar -- SAR)
 processing (interferometry, polarimetry, data visualization, etc) and
 earth observation.

Package: gis-statistics
Description-md5: b442a3d7e1f777faf0d4efb23148c7f5
Description-en: Statistics with geographical data
 Set of Debian packages which are useful for doing statistics
 with geographical data.

Package: gis-tasks
Description-md5: 6fd38bd500a777770056aeaf658d985a
Description-en: Debian GIS tasks for tasksel
 This package provides Debian GIS tasks in tasksel.
 .
 These tasks are described in detail at
 http://blends.debian.org/gis/tasks/

Package: gis-web
Description-md5: f0f6179ff4878bb34b20e24de1181ba1
Description-en: Present geographic information via web map server
 Debian packages which are dealing with geographical information
 to be presented for the web on so called map tile servers.  These
 are pretty useful when trying to setup an OpenStreetMap tile server
 but not restricted to OpenStreetMap data only.

Package: gis-workstation
Description-md5: 6aa5cb6bc9affe7eb7475349bcae42f9
Description-en: Geographic Information Systems (GIS) workstation
 This task sets up your system to be a GIS workstation to process
 geographical information and make maps.

Package: gist
Description-md5: fa74b3e335fe6f0a14708d78b3f259f5
Description-en: Upload gists to gist.github.com
 Allows you to convienently create gists ("pastes").
 .
 Also provides a Ruby library to do this.
 .
 The "gist" image viewer from yorick is in the yorick package,
 not in this package.

Package: git-all
Description-md5: 7eb276543374432338c2d9bf7a76e9b6
Description-en: fast, scalable, distributed revision control system (all subpackages)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This is a dummy package which brings in all subpackages.

Package: git-annex
Description-md5: ed39e4e4c2d1afd0f4612868aabeeec0
Description-en: manage files with git, without checking their contents into git
 git-annex allows managing files with git, without checking the file
 contents into git. While that may seem paradoxical, it is useful when
 dealing with files larger than git can currently easily handle, whether due
 to limitations in memory, time, or disk space.
 .
 It can store large files in many places, from local hard drives, to a
 large number of cloud storage services, including S3, WebDAV,
 and rsync, with a dozen cloud storage providers usable via plugins.
 Files can be stored encrypted with gpg, so that the cloud storage
 provider cannot see your data. git-annex keeps track of where each file
 is stored, so it knows how many copies are available, and has many
 facilities to ensure your data is preserved.
 .
 git-annex can also be used to keep a folder in sync between computers,
 noticing when files are changed, and automatically committing them
 to git and transferring them to other computers. The git-annex webapp
 makes it easy to set up and use git-annex this way.

Package: git-annex-remote-rclone
Description-md5: 7efed9cfb6dc88401f7ce7f9f0d1f968
Description-en: rclone-based git annex special remote
 This is a wrapper around rclone to make any destination supported by rclone
 usable with git-annex.
 .
 Cloud storage providers supported by rclone currently include:
   * Google Drive
   * Amazon S3
   * Openstack Swift / Rackspace cloud files / Memset Memstore
   * Dropbox
   * Google Cloud Storage
   * Microsoft One Drive
   * Hubic
   * Backblaze B2
   * Yandex Disk
 .
 Note: although Amazon Cloud Drive support is implemented, it is broken ATM
 see https://github.com/DanielDent/git-annex-remote-rclone/issues/22 .

Package: git-build-recipe
Description-md5: 3cd2d262bb49a2f679b4055287869562
Description-en: construct a Git branch from a recipe
 git-build-recipe takes a "recipe" which specifies several Git branches to
 combine in a certain fashion as input for a Debian-format source package,
 and provides the resulting branch as output.

Package: git-buildpackage
Description-md5: 60db179fa0e5d2f7db92daded0ba6874
Description-en: Suite to help with Debian packages in Git repositories
 This package contains the following tools:
  * gbp buildpackage: build a package out of a git repository, check for local
    modifications and tag appropriately
  * gbp import-orig: import a new upstream version into the git repository
  * gbp export-orig: export an upstream tarball from the git repository
  * gbp import-{dsc,dscs}: import existing Debian source packages into a git
    repository
  * gbp dch: generate Debian changelog entries from Git commit messages
  * gbp {pull,clone}: clone and pull from remote repos
  * gbp pq: manage debian/patches easily
  * gbp create-remote-repo: create remote repositories
  * gbp push: push content to remote repositories
  * gbp tag: tag a Debian package in git
  * gbp pristine-tar: create pristine-tar commits

Package: git-buildpackage-rpm
Description-md5: bb34be0ae56b9a1ec204088f9cfd4e4a
Description-en: Suite to help with RPM packages in Git repositories
 This package contains the following tools:
  * gbp buildpackage-rpm: build a package out of a git repository, check for
    local modifications and tag appropriately
  * gbp import-srpm: import existing RPM source packages into a git
    repository
  * gbp pq-rpm: manage patches easily
 .
 These tools are currently in an experimental state. Branch names and
 repository layouts might change without lots of prior warning.

Package: git-cola
Description-md5: 9324e28ad53a522b449eb332a4b93078
Description-en: highly caffeinated git GUI
 Git-cola is a git GUI optimized for working with the git index.
 .
 Git-cola can compare arbitrary commits using standard merge tools.
 With it you can search commit messages, content, authors, paths,
 data ranges etc. and it makes it easy to interactively edit the
 index.

Package: git-crecord
Description-md5: b848f1c72f7d0570cc9cd16a929b6fcb
Description-en: interactively select chunks to commit with Git
 git-crecord is a Git subcommand which allows users to interactively
 select changes to commit or stage using a ncurses-based text user
 interface.  It is a port of the Mercurial crecord extension originally
 written by Mark Edgington.
 .
 git-crecord allows you to interactively choose among the changes you
 have made (with line-level granularity), and commit, stage or unstage
 only those changes you select.  After committing or staging the selected
 changes, the unselected changes are still present in your working copy,
 so you can use crecord multiple times to split large changes into
 several smaller changesets.

Package: git-crypt
Description-md5: 776bb5d5d6e2b6a3e3837fc4e70afa57
Description-en: Transparent file encryption in git
 git-crypt enables transparent encryption and decryption of files in a
 git repository.  Files which you choose to protect are encrypted when
 committed, and decrypted when checked out.  git-crypt lets you freely
 share a repository containing a mix of public and private content.
 git-crypt gracefully degrades, so developers without the secret key
 can still clone and commit to a repository with encrypted files.
 This lets you store your secret material (such as keys or passwords)
 in the same repository as your code, without requiring you to lock down
 your entire repository.

Package: git-cvs
Description-md5: 5c201c3115375a8b8f735f8e7775526c
Description-en: fast, scalable, distributed revision control system (cvs interoperability)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This package provides the git cvsimport, cvsexportcommit, and cvsserver
 tools, which allow Git to read from and write to CVS repositories and
 offer access over CVS protocol to Git repositories.
 .
 The git cvsimport tool can incrementally import from a repository that
 is being actively developed and only requires remote access over CVS
 protocol. Unfortunately, in many situations the import leads to
 incorrect results. For reliable, one-shot imports, cvs2git from the
 cvs2svn package or parsecvs may be a better fit.

Package: git-daemon-run
Description-md5: f22f55ff44557b91f4d1ad32ba7df1be
Description-en: fast, scalable, distributed revision control system (git-daemon service)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 git-daemon, as provided by the git package, is a simple server for git
 repositories, ideally suited for read-only updates, i.e. pulling from git
 repositories through the network.  This package provides a runit service
 for running git-daemon permanently.  This configuration is simpler and
 more reliable than git-daemon-sysvinit, at a cost of being less
 familiar for administrators accustomed to sysvinit.

Package: git-daemon-sysvinit
Description-md5: b9035bef841508be61c9ba878690987d
Description-en: fast, scalable, distributed revision control system (git-daemon service)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 git-daemon, as provided by the git package, is a simple server for git
 repositories, ideally suited for read-only updates, i.e. pulling from git
 repositories through the network.  This package provides a sysvinit service
 for running git-daemon permanently.  Unlike git-daemon-run, this
 package provides the usual sysvinit service management commands
 ("service git-daemon start/stop") for git-daemon.

Package: git-debpush
Description-md5: f81a25b032f095406615f34eb49d78cb
Description-en: client script for git pushing to Debian-style archives
 git-debpush is a script to create and push a specially formatted
 signed git tag.  The metadata in the tag indicates that the tagged
 commit should be pushed (or "uploaded") to a Debian-style archive.
 .
 Tags generated by this script can be read by an intermediary service,
 which performs any conversion that's needed (such as producing and
 signing a .dsc and .changes), and then uploads the result to the
 Debian-style archive on your behalf.

Package: git-debrebase
Description-md5: e4745a1c73d8cbbfb3a0f6cbfa82eeaf
Description-en: rebasing git workflow tool for Debian packaging
 git-debrebase is a tool for representing in git, and manipulating,
 Debian packages based on upstream source code.
 .
 git-debrebase is an alternative to workflows including git-dpm,
 gbp pq, and direct use of quilt patches.

Package: git-dpm
Description-md5: 2e056c0a17325271b8379b7f70e2c0da
Description-en: git Debian package manager
 Manage Debian source packages in an git archive, storing
 possible changes to the upstream source as git commits that
 are stored in "3.0 (quilt)" format patch series.

Package: git-el
Description-md5: 9f7473878d8650bdef3ba35cdca37738
Description-en: fast, scalable, distributed revision control system (emacs support)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This transitional package provides two modules that previously could be
 used for integration with Emacs: git.el and git-blame.el. Now the
 modules print an error message with instructions that users can use to
 migrate to Emacs's VC-mode backend for Git or Magit.

Package: git-email
Description-md5: 5e0c38a8609078b06fbbe0e8e9e432b4
Description-en: fast, scalable, distributed revision control system (email add-on)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This package provides the git-send-email program for sending series of
 patch emails.

Package: git-extras
Description-md5: 586868900a4b72be0cda7cfc3efa7582
Description-en: Extra commands for git
 This package provides extra git commands to easily solve typical tasks such as
 managing remote branches, managing feature/refactor/bug workflows and generate
 some repository stats.

Package: git-flow
Description-md5: a70ea9ad1caa8f066cb306497edb7d1e
Description-en: Git extension to provide a high-level branching model
 A set of scripts that provide high-level repository operations for
 managing feature/release/hotfix branches in a Git repository,
 particularly suited to be utilised to follow Vincent Driessen's
 branching model, described at
 <https://nvie.com/posts/a-successful-git-branching-model/>.
 .
 This package follows the AVH edition.

Package: git-ftp
Description-md5: 867456da420db6ff0d35b8a0f38b9d3b
Description-en: Git powered FTP client written as shell script
 git-ftp is a shell script for uploading Git tracked files to a FTP server. By
 default, it uploads only those files which have changed since the last upload.
 This saves time and bandwidth. It can even work with different branches.
 See manpage for more options.

Package: git-gui
Description-md5: 699969d903b4df59976ab85a9e250838
Description-en: fast, scalable, distributed revision control system (GUI)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This package provides the git graphical user interface.
 .
 If aspell is installed, it can check the spelling of commit messages
 as the user types.
 .
 If meld is installed, it can be used for displaying diffs and for
 interactive merge conflict resolution.

Package: git-lfs
Description-md5: d562e9a57f2fdda4249d506e8e3646f9
Description-en: Git Large File Support
 An open source Git extension for versioning large files.
 Git Large File Storage (LFS) replaces large files such as
 audio samples, videos, datasets, and graphics with text
 pointers inside Git, while storing the file contents on
 a remote server.

Package: git-mediawiki
Description-md5: 68f824126adee99ee64bf2b96e49bb2c
Description-en: fast, scalable, distributed revision control system (MediaWiki remote helper)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This package provides the mediawiki remote helper, which allows Git to
 read from and write to a wiki such as Wikipedia as though it were a
 remote Git repository, and a 'git mw' command that can show a preview
 of how wiki markup will be rendered before pushing.

Package: git-merge-changelog
Description-md5: 7f0c01842e0b7082290afb8a754cb31e
Description-en: git merge driver for GNU ChangeLog files
 ChangeLog files *always* foul up most version control systems in
 their default configuration.
 .
 git-merge-changelog is a tool from gnulib designed to help with this
 for the case of GNU-style ChangeLogs; it can be used with at least
 git, bzr, and hg.

Package: git-phab
Description-md5: 9546eba025e53cf868454c6f44ee68a8
Description-en: Git subcommand to integrate with Phabricator.
 Tool to help out pushing Git changes into Phabricator Differential.

Package: git-publish
Description-md5: a1358afc7de20b96226ca69659a52a91
Description-en: git command to prepare and store patch revisions as git tags
 git-publish prepares patches consistently and stores them as git tags for
 future reference.
 .
 Features:
  - It works with individual patches as well as patch series.
  - No constraints are placed on git workflow, both vanilla git commands and
  custom workflow scripts are compatible with git-publish.
  - Email sending and pull requests are fully integrated so that publishing
  patches can be done in a single command.
  - Hook scripts called during patch preparation can be used to add custom
  format checking or automated test runs.

Package: git-quick-stats
Description-md5: 2e47a1d13f13108f786a9767ae385d32
Description-en: simple and efficient way to access various statistics in git repository
 Git quick statistics is a simple and efficient way to access various
 statistics in git repository.

Package: git-reintegrate
Description-md5: f4c76c0e9b91f34ac7abcd52e52858b0
Description-en: Git extension to manage integration branches
 This tools allows one to define which feature branches will be part
 of your integration branches, and help you update the latter as new
 versions of the feature branches are available.

Package: git-remote-gcrypt
Description-md5: c69165daf88f527f2448e6c1a38d2490
Description-en: encrypted git repositories
 This lets git store git repositories in encrypted form.
 It supports storing repositories on rsync or sftp servers.
 It can also store the encrypted git repository inside a remote git
 repository. All the regular git commands like git push and git pull
 can be used to operate on such an encrypted repository.
 .
 The aim is to provide confidential, authenticated git storage and
 collaboration using typical untrusted file hosts or services.

Package: git-repair
Description-md5: 36b21dca712d59325250d3295c6ba8d9
Description-en: repair various forms of damage to git repositories
 git-repair can repair various forms of damage to git repositories.
 .
 It is a complement to git fsck, which finds problems, but does not fix them.
 .
 As well as avoiding the need to rm -rf a damaged repository and re-clone,
 using git-repair can help rescue commits you've made to the damaged
 repository and not yet pushed out.

Package: git-restore-mtime
Description-md5: b92d869a86b63cc4017d219aa4e8341f
Description-en: set timestamps to the date of a file's last commit
 This utility can set timestamps in a git checkout to the last commit that
 changes a given file.  This is useful whenever meaningful mtimes are wanted,
 as "last change" is better than "last checkout".  Use cases include syncing
 timestamps on a web server's contents, preparing a release tarball, etc.
 Pretty much, every scenario other than an unclean source tree where you're
 about to type "make" without "make clean".
 .
 When called from a .git/hooks/post-checkout trigger, this is the equivalent
 of Subversion's "use-commit-times".
 .
 Unlike metastore, git restore-mtime gives you only commit times rather than
 the true original timestamp; on the other hand it works retroactively and
 doesn't require a manual action by every contributor in every working copy.
 .
 This package includes three other utilities:
  * git clone-subset - clones only some files from a repository (inc. history)
  * git find-uncommitted-repos - recursively searches for unclean git repos
  * git strip-merge - filters away some files during a merge

Package: git-review
Description-md5: c8aa8354000e086523e1a4af03abd557
Description-en: git command for submitting branches to Gerrit
 git-review is a tool that helps submitting git branches to gerrit for review.
 It is used extensively for the development and maintenance of OpenStack, but
 it can be used for any project using Gerrit.

Package: git-revise
Description-md5: 4853d9135358657442b5a2e767b8924b
Description-en: handy git tool for doing efficient in-memory commit rebases & fixups
 git revise is a git subcommand to efficiently update, split, and
 rearrange commits. It is heavily inspired by git rebase, however it
 tries to be more efficient and ergonomic for patch-stack oriented
 workflows.
 .
 By default, git revise will apply staged changes to a target commit,
 then update HEAD to point at the revised history. It also supports
 splitting commits and rewording commit messages.
 .
 Unlike git rebase, git revise avoids modifying the working directory
 or the index state, performing all merges in-memory and only writing
 them when necessary. This allows it to be significantly faster on
 large codebases and avoids unnecessarily invalidating builds.

Package: git-secret
Description-md5: 8cfa713de5e4a805da10965e74e8052a
Description-en: store encrypted credential inside source code git repository
 git-secret is designed to solve the problem where to store the credential file
 (e.g. password, token, ...) for a service. With git-secret, Encrypted
 credential can be stored along with source code in git repository.

Package: git-secrets
Description-md5: 05d772e323e9636935eca58c196c53fb
Description-en: Prevents accidental commits of credentials
 git-secrets scans commits, commit messages, and --no-ff merges
 to prevent adding secrets into your git repositories. If a commit,
 commit message, or any commit in a --no-ff merge history matches
 one of your configured prohibited regular expression patterns,
 then the commit is rejected.

Package: git-sizer
Description-md5: 1c0c146611840d2203c9babedf76a4b1
Description-en: compute various size metrics for a Git repository
 git-sizer computes various size metrics for a Git repository, flagging
 those that might cause problems or inconvenience. For example:
 .
  • Is the repository too big overall?
  • Does the repository have too many references (branches and/or tags)?
  • Does the repository include too many objects?
  • Does the repository include gigantic blobs (files)?
  • Does the repository include many slightly different large text files?
  • Does the repository include gigantic trees (directories)?
  • Does the repository have many identical files?
  • Does the repository include absurdly long path names?
  • Are there other bizarre and questionable things in the repository?
    • Annotated tags pointing at one another in long chains?
    • Octopus merges with dozens of parents?
    • Commits with gigantic log messages?
 .
 git-sizer can help to reveal the problems described above.

Package: git-svn
Description-md5: fe9279673cf6573640700aabf410ef25
Description-en: fast, scalable, distributed revision control system (svn interoperability)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This package provides tools for interoperating with Subversion repositories,
 and importing SVN development history.

Package: git2cl
Description-md5: 77c2b4a45820e6a8ee9a5dd3bbdf6276
Description-en: Simple tool to convert git logs to GNU ChangeLog format
 git2cl is used to automatically generate GNU ChangeLogs
 from git logs.
 .
 GNU ChangeLog format specification is available from:
 http://www.gnu.org/prep/standards/html_node/Change-Logs.html

Package: gitano
Description-md5: b5e0bd34043abe462111d6d867e9a71f
Description-en: Git service manager
 Gitano is a Git service manager along similar lines to Gitosos or Gitolite.
 Gitano is focussed on being configured entirely via Git and can be commanded
 over SSH.  Gitano has support for running hooks with limited functionality in
 a sandbox so as to allow for untrusted parties writing hook code.  Gitano also
 has a fully-fledged ACL system which allows individual projects to create
 their own rulesets, along with supporting complex delegable permissions.

Package: gitbatch
Description-md5: 0be5deefd7e56a10238815ecf6b979b6
Description-en: Manage git repositories in one place
 Managing multiple git repositories is easier than ever. Often one would end
 up working on many directories and manually pulling updates etc. To make
 this routine faster, gitbatch was created, a simple tool to handle this job.
 Although the focus is batch jobs, one can still do de facto micro management of
 git repositories (e.g add/reset, stash, commit etc.)

Package: gitbrute
Description-md5: c6f26d940cb8628735383c7ba8a818fe
Description-en: brute-force a git commit hash
 gitbrute brute-forces a pair of author+committer timestamps such that the
 resulting git commit has your desired prefix.

Package: gitg
Description-md5: c1ee196f3ae343517446c3cde4ed71ec
Description-en: git repository viewer
 gitg is a fast git repository browser.
 It currently features:
 .
  * Loading large repositories very fast
  * Show/browse repository history
  * Show highlighted revision diff
  * Browse file tree of a revision and export by drag and drop
  * Search in the revision history on subject, author or hash
  * Switch between history view of branches easily
  * Commit view providing per hunk stage/unstage and commit

Package: github-backup
Description-md5: c8a64eee2394e9b6e3718d06fa3e1bb2
Description-en: backs up data from GitHub
 github-backup is a simple tool you run in a git repository you cloned from
 GitHub. It backs up everything GitHub publishes about the repository,
 including other forks, issues, comments, wikis, milestones, pull requests,
 and watchers.
 .
 Also includes gitriddance, which can be used to close all open issues and
 pull requests.

Package: gitinspector
Description-md5: 9528c4709d2627624b2023926dacf13e
Description-en: statistical analysis tool for git repositories
 gitinspector is a statistical analysis tool for git repositories. It creates
 informative and visually appealling reports in various output formats.
 .
 Its features include:
  * Shows cumulative work by each author in the history
  * Filters results by extension
  * Can display a statistical timeline analysis
  * Scans for all filetypes (by extension) found in the repository
  * Multi-threaded; uses multiple instances of git to speed up analysis
  * Supports HTML, XML and plain text (terminal) output

Package: gitit
Description-md5: b0ae5c318d5dc466c0476d49f57d2813
Description-en: Wiki engine backed by a git or darcs filestore
 Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files
 can be modified either directly via the VCS's command-line tools or through
 the wiki's web interface. Pandoc is used for markup processing, so pages may
 be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate
 Haskell, and exported in ten different formats, including LaTeX, ConTeXt,
 DocBook, RTF, OpenOffice ODT, and MediaWiki markup.
 .
 Other features include
   * plugins: dynamically loaded page transformations written in Haskell;
   * categories;
   * support for Unicode;
   * TeX math using texmath;
   * syntax highlighting of source code files and code snippets using
     highlighting-kate;
   * wiki pages can be viewed as slide shows;
   * caching;
   * Atom feeds (site-wide and per-page);
   * a library, Network.Gitit, that makes it simple to include a gitit wiki in
     any happstack application;
   * pages can be written directly in literate Haskell.

Package: gitk
Description-md5: 09d5ab78b8aa2f432bbf841a62bb9abc
Description-en: fast, scalable, distributed revision control system (revision tree visualizer)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This package provides the gitk program, a tcl/tk revision tree visualizer.

Package: gitlab-cli
Description-md5: 412bdac9c82be27deef7b4a6014aa8b8
Description-en: GitLab command-line client
 Command-line tool to interact with the GitLab v4 server API.
 The executable is named python-gitlab.

Package: gitlab-runner
Description-md5: 2f6079c04b1072db4116e00502d07f2f
Description-en: GitLab Runner - runs continuous integration (CI) jobs
 GitLab Runner runs tests and sends the results to GitLab.
 GitLab Continuous Integration (CI) service (included with GitLab)
 coordinates the testing.

Package: gitlab-shell
Description-md5: 115d2003dd9f71b99d2a0bac3d467b38
Description-en: handles git commands for GitLab
 GitLab Shell handles git commands for GitLab and modifies the list of
 authorized keys. GitLab Shell is not a Unix shell nor a replacement for Bash
 or Zsh.

Package: gitlab-workhorse
Description-md5: 2bb8cd8fdf5f9d70e359dc6e41cbadae
Description-en: unloads Git HTTP traffic from the GitLab Rails app (Unicorn)
 gitlab-workhorse was designed to unload Git HTTP traffic from the GitLab Rails
 app (Unicorn) to a separate daemon. It also serves 'git archive' downloads for
 GitLab. All authentication and authorization logic is still handled by the
 GitLab Rails app.
 .
 Architecture: Git client -> NGINX -> gitlab-workhorse (makes auth request to
 GitLab Rails app) -> git-upload-pack

Package: gitlabracadabra
Description-md5: e289cad21c5a6ffc4e084b2db8cd345a
Description-en: Configure GitLab from a YAML file
 GitLab'racadabra is a way to configure a GitLab instance
 from a YAML configuration, using the API.
 .
 It is able to create GitLab's groups, projects, users and application
 settings.

Package: gitless
Description-md5: 2df30127ab3b00e6dac1b1a4bc5fd24b
Description-en: version control system on top of Git
 Gitless is an experimental version control system built on top of Git.
 Many people complain that Git is hard to use.  The Gitless authors think
 the problem lies deeper than the user interface, in the concepts
 underlying Git.  Gitless is an experiment to see what happens if
 a simple veneer is put on an app that changes the underlying concepts.
 Because Gitless is implemented on top of Git (could be considered what
 Git pros call a "porcelain" of Git), you can always fall back on Git.
 And of course your coworkers you share a repo with need never know that
 you're not a Git aficionado.

Package: gitlint
Description-md5: f153535f9ccdb45d19bf57dd07cc2c84
Description-en: Git commit message linter written in Python
 Git commit message linter written in Python, checks your
 commit messages for style.
 .
 Great for use as a commit-msg Git hook or as part of your
 gating script in a CI pipeline (e.g. Jenkins).

Package: gitmagic
Description-md5: 7b6a51d3bbb3c61da31903c50b8ccf26
Description-en: guide about Git version control system
 Git is a version control Swiss army knife. A reliable versatile multipurpose
 revision control tool whose extraordinary flexibility makes it tricky to learn,
 let alone master.
 .
 This is easier to understand guide for Git than the official Git user manual.

Package: gitolite3
Description-md5: 458db366714b53b29b08a7091183f01b
Description-en: SSH-based gatekeeper for git repositories (version 3)
 Gitolite is an SSH-based gatekeeper providing access control for a server that
 hosts many git repositories. Without gitolite,
 each developer needing to push to one of the repositories hosted would need a
 user account on that server; gitolite lets you do that just using
 SSH public keys tied to a single, common, user that hosts all the
 repositories.
 .
 Gitolite can restrict who can read (clone/fetch) from or write
 (push) to a repository, and who can push to what branch or tag - an
 important issue in corporate environments. Other features include:
   * access control by branch-name or by modified file/directory;
   * per-developer "personal namespace" prefixes;
   * simple but powerful configuration file syntax (with validation);
   * config files (and authority for maintaining them) can be split;
   * easy integration with gitweb;
   * comprehensive logging;
   * easy migration from gitosis.

Package: gitpkg
Description-md5: 57ae8c97d20a1a87539651805ecee9aa
Description-en: tools for maintaining Debian packages with git
 This package provides tools and automation to assist with maintaining Debian
 packages in git.
 .
  gitpkg        - creates a source package from specified repository versions.
  git-debimport - creates a git repository from a set of existing packages.
 .
 No particular repository layout is required for gitpkg to export source from
 it, existing repositories should require no modification.  If there is a valid
 Debian source tree in there then gitpkg can export a package from any revision
 of it for you.  In the Grand Old Manner these tools are intended to simplify
 specific parts of your existing packaging process and integrate smoothly with
 it, not to replace that with its own singular constraints on how you may work.
 Since not every packaging style suits every package, gitpkg aims to be able to
 work equally well with all of them by sticking to just its part of the job and
 staying out of your way entirely until that job needs to be done.  Hook points
 are provided for performing additional package and user specific operations as
 you may desire or require at export time.

Package: gitso
Description-md5: f7e3e8dc0fb18c90f2804489216ae5ef
Description-en: simple frontend for reverse VNC connections (remote assistance)
 Gitso is a cross-platform (Linux, OS X and Windows) graphical program to
 easily connect one person to another person's screen, keyboard and mouse.
 This makes it straight-forward to get help or give technical support.
 .
 In a simple two-step process, the person giving support first sets up port
 forwarding and firewalling on his end, if necessary, and starts Gitso to
 listen for incoming connections on port 5500. Then, the person looking for
 help uses Gitso to connect to the supporter's address (IP or domain name),
 making their screen remotely visible and allowing the supporter to use their
 keyboard and mouse.

Package: gitsome
Description-md5: f55a0cea697e7b7a60902b8bb52eeb1a
Description-en: Supercharged Git/Shell Autocompleter with GitHub Integration
 gitsome provides direct integration with GitHub and GitHub Enterprise in
 a terminal.
 It includes not only GitHub integrated commands that work with all
 shells but also provides following functions.
 .
  - Git and GitHub Autocompleter With Interactive Help
  - Fish-Style Auto-Suggestions
  - General Autocompleter
  - Python REPL
  - Command History
  - Customizable Highlighting

Package: gitweb
Description-md5: 2140d89702ec1cd621b41c71a95a910a
Description-en: fast, scalable, distributed revision control system (web interface)
 Git is popular version control system designed to handle very large
 projects with speed and efficiency; it is used for many high profile
 open source projects, most notably the Linux kernel.
 .
 Git falls in the category of distributed source code management tools.
 Every Git working directory is a full-fledged repository with full
 revision tracking capabilities, not dependent on network access or a
 central server.
 .
 This package configures a web interface for browsing git repositories.
 .
 If apache2 is installed, the web interface is automatically made
 available at http://localhost/gitweb.  Other servers that support CGI
 or mod_perl are supported through manual configuration.
 .
 If libcgi-fast-perl is installed, gitweb can also be run over FastCGI
 (and served by nginx, for example).

Package: givaro-dev-doc
Description-md5: 5a3a83f28a437cd139b75cf071de5ce5
Description-en: Developer Documentation for Givaro (obsolete)
 Givaro is a C++ library for arithmetic and algebraic computations.
 Its main features are implementations of the basic arithmetic of many
 mathematical entities: Primes fields, Extensions Fields, Finite
 Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary
 precision integers and rationals (C++ wrappers over gmp).
 .
 Givaro also provides data-structures and templated classes for the
 manipulation of basic algebraic objects, such as vectors, matrices
 (dense, sparse, structured), univariate polynomials (and therefore
 recursive multivariate).
 .
 It contains different program modules and is fully compatible with
 the LinBox linear algebra library and the Athapascan environment,
 which permits parallel programming.
 .
 This is a transitional dummy package, Debian no longer ships Developer
 Documentation for Givaro. This 'givaro-dev-doc' package can be safely
 removed from the system if no other package depends on it.

Package: givaro-user-doc
Description-md5: b7c8a13ef16e317ea7a36cd4aeb2cbae
Description-en: User Documentation for Givaro (obsolete)
 Givaro is a C++ library for arithmetic and algebraic computations.
 Its main features are implementations of the basic arithmetic of many
 mathematical entities: Primes fields, Extensions Fields, Finite
 Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary
 precision integers and rationals (C++ wrappers over gmp).
 .
 Givaro also provides data-structures and templated classes for the
 manipulation of basic algebraic objects, such as vectors, matrices
 (dense, sparse, structured), univariate polynomials (and therefore
 recursive multivariate).
 .
 It contains different program modules and is fully compatible with
 the LinBox linear algebra library and the Athapascan environment,
 which permits parallel programming.
 .
 This is a transitional dummy package. The 'givaro-user-doc' package
 has been renamed to 'libgivaro-doc', which has been installed
 automatically. This 'givaro-user-doc' package can be safely removed
 from the system if no other package depends on it.

Package: giza-dev
Description-md5: ca34b9c59f95462ffbbcf2300982e226
Description-en: Lightweight scientific plotting library (development files)
 Giza is a lightweight scientific plotting library built on top of cairo that
 provides uniform output to multiple devices. Giza also provides a
 drop-in, modern replacement for the PGPLOT graphics library.
 .
 This package contains the development files.

Package: gjacktransport
Description-md5: 31138853a627b69da761b6d449b36b2c
Description-en: access to the JACK's transport mechanism as touchable slider
 G. JACK Transport is a standalone application that provides access
 to the Jack Audio Connection Kit's, JACK transport mechanism via a
 dynamic graphical slider.
 .
 In other words: this software allows one to seek Audio/Video media
 files when they are played along jack transport. Intended for
 audio-engineers or A/V editors that work with Ardour, Ecasound,
 Hydrogen, Xjadeo, etc.
 .
 Additionally it provides G. JACK Clock, a "Big Clock" display for
 jack-transport.

Package: gjay
Description-md5: afa4145e8deecffcf384bddfb27288c0
Description-en: An automatic and learning DJ for audacious
 GJay (Gtk+ DJ) generates playlists across a collection of music (mp3, ogg,
 wav) such that each song sounds good following the previous song. Matches are
 based on both automatically analyzed song characteristics (BPM, frequency) as
 well as user-assigned categorizations (song 'color' and rating). It is ideal
 for DJs planning a set list or home users who want a non-random way to wander
 large collections.
 .
 All you have to do is tell GJay the base directory where you store your music
 files. It will then queue every file in the directory for analysis. Analysis
 is done in a separate process. When you quit GJay, you can choose to let this
 analysis process continue in the background. You can also choose to run GJay
 as a daemon, without any user interface.

Package: gjiten
Description-md5: 72c4ee469b51a8b64dec1de01933d4d2
Description-en: Japanese dictionary for GNOME
 Gjiten is a Japanese dictionary for GNOME with advanced word and kanji lookup
 features. Requires dictionary files (edict, kanjidic) to function.
 See http://gjiten.sourceforge.net for more dictionary files and updates.

Package: gjs-tests
Description-md5: 65d2f8378592f443ca7bfee4656f3e90
Description-en: Mozilla-based javascript bindings for the GNOME platform
 Makes it possible for applications to use all of GNOME's platform
 libraries using the JavaScript language. It's mainly based on the
 Mozilla JavaScript engine and the GObject introspection framework.
 .
 This package contains test programs, designed to be run as part of a
 regression testsuite.

Package: gkamus
Description-md5: f2ac6ca3c4d9fa8ca17ff572c3b5c46f
Description-en: Indonesian English dictionary
 Indonesian English dictionary that contains 23000 words and definitions,
 similar to gidic or Linguist.
 .
 It allows users to add more words/definitions or to edit words/definitions
 that exist in database.

Package: gkamus-data
Description-md5: dc0e65564ca1e5dc56d9b9b368572dda
Description-en: Indonesian English dictionary - data files
 Indonesian English dictionary that contains 23000 words and definitions,
 similar to gidic or Linguist.
 .
 It allows users to add more words/definitions or to edit words/definitions
 that exist in database.
 .
 This package contains data files.

Package: gkamus-dbg
Description-md5: 9c7678fc977263e2980092497c38d1a0
Description-en: gkamus Indonesian English dictionary - debug symbols
 Indonesian English dictionary that contains 23000 words and definitions,
 similar to gidic or Linguist.
 .
 It allows users to add more words/definitions or to edit words/definitions
 that exist in database.
 .
 This package contains the debugging symbols.

Package: gkdebconf
Description-md5: fb90f5c2f8aecab41e9466d02bd9f0b3
Description-en: Helper to reconfigure packages with Debconf
 This is a program that helps one using the "dpkg-reconfigure" tool.
 It is basically a graphical frontend. It makes life easier showing
 a simple menu of packages which can be reconfigured with Debconf and
 the Debconf frontends that can be used for the reconfiguration.

Package: gkermit
Description-md5: 87078cd7155254171352b000e9fb35fb
Description-en: A serial and network communications package
 G-Kermit is a GPL'd kermit package. It offers medium-independent terminal
 session and file transfer. The non-free package ckermit adds connection
 establishment, character-set translation and scripting features.

Package: gkrellkam
Description-md5: 64477b1935b0b09454647c88a807fb46
Description-en: GKrellM plugin that displays a periodically updating image
 GKrellKam is basically wmGrabImage in a GKrellM panel, except that it
 is more flexible (you can specify a local or remote image, or a list of
 local or remote images, or a script that outputs the right image, etc).
 The image (any format or size) is resized to a thumbnail and placed in
 a GKrellM panel, where it is updated periodically. Multiple images are
 rotated.
 .
 This plugin is useful for keeping track of webcams, weather maps, or
 any other kind of changing image information.

Package: gkrellm
Description-md5: 73229655651f0072be499e6775eada06
Description-en: GNU Krell Monitors
 With a single process, gkrellm manages multiple stacked monitors and supports
 applying themes to match the monitors appearance to your window manager, Gtk,
 or any other theme.

Package: gkrellm-bfm
Description-md5: 533975de70ebeba8dff14f31a86ffc00
Description-en: system load plugin for gkrellm with a duck
 A load monitor plugin for gkrellm, descended from wmfishtime and bubblemon.
 Features include fish representing network traffic, bubbles representing
 CPU usage, and a duck representing a duck.

Package: gkrellm-cpufreq
Description-md5: 2ff4eb4894ae08c62101aa6d72cabd46
Description-en: CPU frequency plugin for GKrellM
 gkrellm-cpufreq is an additional plugin for GKrellM that allows one
 to view and set the CPU clock frequency. It also displays the currently
 active CPU gouverner which has been set for cpufreq such as on-demand,
 performance  and powersave. The plugin is very useful when using
 tiling window managers such as awesome together with GKrellM.

Package: gkrellm-gkrellmpc
Description-md5: 983bd2500d9385bf6f1c0056e5df9799
Description-en: GKrellM plugin for controlling MPD
 This GKrellM plugin works as a client for Music Player Daemon (MPD). It shows
 the current song and allows one to control the playback and change the
 playlist.

Package: gkrellm-hdplop
Description-md5: 9fd2e8c10f05b85038f383c90415ed00
Description-en: hard drive activity monitor GKrellM plugin
 It monitors your hard drives by sending visual stimuli to your cortex
 each time your /dev/hdx writes or reads anything. Try to launch
 openoffice and enjoy the wmhdplop show.
 .
 gkrellm-hdplop is a port of wmhdplop for grkellm.

Package: gkrellm-ibam
Description-md5: 9f5a8a0bf919b08ce5dcef65aac1e2d2
Description-en: Advanced battery monitor for laptops - gkrellm plugin
 This is the ibam plugin for gkrellm.
 .
 IBAM is an advanced battery monitor for laptops, which uses statistical and
 adaptive linear methods to provide accurate estimations of minutes of
 battery left or of the time needed until full recharge. It requires APM, ACPI
 or PMU.

Package: gkrellm-leds
Description-md5: bd8aa72c86ff7957c7d7f8db50790473
Description-en: Keyboard LED monitor for GKrellM
 gkrellm-leds (aka gkleds) is a GKrellM plugin which monitors the
 CapsLock, NumLock and ScrollLock keys and reports their current
 status via on screen LEDs.  This is useful for people who have
 keyboards without LEDs (typically cordless keyboards).

Package: gkrellm-mailwatch
Description-md5: b65c4936bece7d2e2ffa29eeb4b938cd
Description-en: GKrellM plugin to watch mailboxes in multiple panels
 A GKrellM plugin to monitor mbox, maildir and MH style mailboxes in
 multiple gkrellm panels.

Package: gkrellm-radio
Description-md5: cae0f1b8336b7afc34003d21e1f0a2b3
Description-en: FM radio tuner for GKrellM
 A gkrellm plugin to control radio tuners on linux. It allows you to define
 and jump between a number of radio stations.  With a mouse wheel you can dial
 tune to any frequency.

Package: gkrellm-reminder
Description-md5: 13ce17e5bdfe69cfe206fc53b88587e7
Description-en: useful reminder plugin for gkrellm
 A useful reminder plugin for gkrellm that can remind you of important
 events. Events can be scheduled inside gkrellm's configuration and
 will be displayed in gkrellm-reminder's panel.
 .
  * Events can be scheduled to repeat over an interval of days.
  * Events can repeat after a certain number of days or based on the
    day of the week
  * Reminders can be set to display early to ensure you'll be on time
  * Reminders can be repeated later, if necessary
  * Runs entirely within gkrellm

Package: gkrellm-thinkbat
Description-md5: 52226f8b104a7d27d7d9148e8a9b5f01
Description-en: ThinkPad laptops battery status indicator for GKrellM
 This plugin displays:
  * power consumption
  * time estimation
  * remaining capacity percentage
  * charging information
 .
 tp_smapi kernel module is required as source of information about battery.

Package: gkrellm-tz
Description-md5: ffc254145674af7a843fa9384439dcc6
Description-en: Plugin for gkrellm to show different timezones
 This plugin displays the current time in several configurable
 timezones. It uses the standard names of timezones found under
 /usr/share/zoneinfo/, e.g. UTC. Timezones can also have descriptive
 labels which are shown as tooltips. Furthermore it's possible to define
 a custom time format.

Package: gkrellm-volume
Description-md5: a391694c1ae05c11b6557d9e84410e51
Description-en: A mixer plugin for GKrellM
 This GKrellM plugin allows you to control the mixer of your soundcard.

Package: gkrellm-x86info
Description-md5: fc827fd80e4590d2013b834c6578d6ba
Description-en: gkrellm plugin displaying the current processor speed
 This gkrellm plugin calculates the current cpu clock speed and displays it as
 a gkrellm monitor. This is useful on Notebooks with broken ACPI and varying
 CPU speeds.

Package: gkrellm-xkb
Description-md5: 4e605d76fd2cb22b28856861a295602a
Description-en: Keyboard layout indicator plugin for GKrellM
 This GKrellM plugin indicates active X keyboard layout with country
 flag, lists configured layouts, allows you to switch between them, and
 also indicates Caps lock and Num lock status.

Package: gkrellmapcupsd
Description-md5: 603c04fa3fa42b8c1f5e386821cd82c1
Description-en: gkrellm plugin displaying the current processor speed
 This gkrellm plugin shows information about your UPS controlled by
 apcupsd. apcupsd.status must be enabled and STATTIME should be set
 to a low value.

Package: gkrellmd
Description-md5: 08607ba309948e20134f4aded447b339
Description-en: GNU Krell Monitors Server
 Gkrellmd Listens for connections from gkrellm clients. When a gkrellm client
 connects to a gkrellmd server all builtin monitors collect their data from the
 server.

Package: gkrellmitime
Description-md5: a0a23a373025ab74619315cae26880ac
Description-en: Internet time plugin for gkrellm
 Gkrellm Itime is the internet time plugin for Gkrellm.
 .
 Internet time is a concept by Swatch that divides the virtual and real
 day into 1000 "beats".  A beat is 1 minute and 26.4 seconds long. This is
 a global concept, therefore no timezones are needed.
 .
 Swatch created a new meridian in Biel, Switzerland, the home of the
 company.  This meridian is called Biel Mean Time (BMT) and will be the
 universal reference for the Internet time.
 .
 The internet time is represented with an "@" before the number of beats.
 A day in the internet time begins at midnight BMT (@000) in Central Europe
 wintertime, and 12 o'clock noon in Biel, Switzerland, happens at @500 BMT.

Package: gkrellmoon
Description-md5: 93bdfb7f91503a31c128a7da382802e3
Description-en: Gkrellm Moon Clock Plugin
 Adds a moon clock to your gkrellm.

Package: gkrellmwireless
Description-md5: 42d330a3f2b6064408ce11bd3f6cecde
Description-en: 802.11 wireless link monitor plugin for GKrellM
 This GKrellM plugin allows you to monitor the status of an 802.11 wireless
 ethernet link.

Package: gkrellshoot
Description-md5: 64306555cbfc37198b8d75a1fdec59c7
Description-en: Plugin for gkrellm to lock the screen and make screenshots
 This plugin gives easy access to screenlock and screenshot facilities.
 For screenshot it uses ImageMagick. The plugin displays animations
 (like a screensaver) along with 'Lock' and 'Shoot' buttons to be
 clicked on. With the 'Shoot' button one can either grab a window,
 selected area or the whole screen. It's also possible to delay the
 screenshot by a given number of seconds. Another feature is that
 grabbed images can be viewed with your preferred image viewer. Pressing
 'Lock' button will lock the screen and start your screen saver. (You
 need to have xscreensaver installed to use this feature.)

Package: gkrelltop
Description-md5: 9e4d9bf232e5eeb91171c37be9e9d138
Description-en: Top three intensive processes plugin for gkrellm
 Plugin for gkrellm that displays the top three cpu
 or memory intensive processes in a small window
 inside gkrellm, similar to wmtop. Useful to check
 out anytime what processes are consuming most cpu
 memory, or IO resources on your machine.

Package: gkrelltopd
Description-md5: 4cf6cbe7963d0d9f16995b9fef6cbe5f
Description-en: Top three intensive processes plugin for gkrellmd
 Plugin for gkrellmd. This is the server end
 plugin of gkrelltop. Gkrelltop displays the top
 three cpu intensive processes in a small window
 inside gkrellm, similar to wmtop. Useful to check
 out anytime what processes are consuming most cpu
 memory, or IO resources on your machine.

Package: gkrelluim
Description-md5: f95bc6680fc04e7c07e1fe726de3bac3
Description-en: GKrellM plugin for uim
 A GKrellM plugin for an input method module library uim.
 It allows you to monitor and configure uim on GKrellM.
 .
 You can watch uim conversion status on GKrellM panel
 and change uim conversion mode on GKrellM button.
 In addition, you can launch uim related tools on it.

Package: gkrellweather
Description-md5: 5b63b846dbf6e0aa1f0b634255ed22bc
Description-en: A weather monitor plugin for GKrellM
 GKrellWeather is a plugin for GKrellM that monitors the weather information
 given a METAR station identification code. Features include:
  - Temperature, dew point, pressure, relative humidity, sky condition,
    wind direction and speed.
  - Temperatures in degrees Fahrenheit or Celsius
  - Pressure in kPa, hPa or mmHg
  - Wind speeds in kmph, mps or Beaufort scale

Package: gkrellxmms2
Description-md5: fb4cc3cfa568ea6bc9ed42bdceceaec0
Description-en: GKrellM plugin to control xmms2
 gkrellxmms2 is a plugin for GKrellM2, written in C, to control the XMMS2 audio
 player. It supports basic controls such as play, pause, skip etc. and it has
 an integrated playlist editor with a media library search and browser.

Package: gl-117
Description-md5: cc2d2ebcb2e055f9abe0c4334ebf9134
Description-en: action flight simulator
 gl-117 is a 3D action flight simulator featuring 20 missions, different
 fighters, a random terrain generator, lighting effects, sound effects, music,
 and joystick support.

Package: gl-117-data
Description-md5: 9806500f4e40f1260df9c25cfd8fecfe
Description-en: data files for gl-117
 gl-117 is a 3D action flight simulator featuring 20 missions, different
 fighters, a random terrain generator, lighting effects, sound effects, music,
 and joystick support.
 .
 This package contains music and sound files as well as models and textures
 for gl-117.

Package: gla11y
Description-md5: 2eb4bcff14cca018b6cf229ac5707845
Description-en: Automatic check of accessibility of .ui files
 This tool checks accessibility of GtkBuilder .ui files produced e.g. by glade
 It looks for various issues, and notably missing or bogus labelling
 relations.
 .
 It can for instance be used in Continuous Integration checks to make sure not
 to introduce accessibility regressions.

Package: glabels
Description-md5: 5a7fafb9272f7f4431c32b89e80ec465
Description-en: label, business card and media cover creation program for GNOME
 gLabels is a lightweight program for creating labels, barcodes, business
 cards and media covers for the GNOME desktop environment. It is designed to
 work with various laser/ink-jet peel-off label and business card sheets that
 you'll find at most office supply stores.
 .
 gLabels also supports mail merge from sources such as CSV files, vCards and
 Evolution data servers.

Package: glabels-data
Description-md5: c7d1e053fb772abea9492f906df31cef
Description-en: data files for gLabels
 gLabels is a lightweight program for creating labels, barcodes, business
 cards and media covers for the GNOME desktop environment.
 .
 This package contains gLabel's default set of label, business card and media
 cover templates.

Package: glabels-dev
Description-md5: 04761f42be7aa98ad492b00a0956d24b
Description-en: development documentation and library files for gLabels
 gLabels is a lightweight program for creating labels, barcodes, business
 cards and media covers for the GNOME desktop environment.
 .
 This package provides the development environment for libglabels,
 which is meant to facilitate 3rd party use of glabels templates.

Package: glade
Description-md5: 7fee85b5998c3b40e065a5d752be3041
Description-en: GTK+ User Interface Builder
 Glade is a RAD tool to enable quick and easy development of user
 interfaces for the GTK+ toolkit.
 .
 The user interfaces designed in Glade are stored in the well-known
 XML format, enabling easy integration with external tools. You will
 probably want to use it with tools such as libglade, which can load
 the XML files and create the interfaces at runtime.
 .
 This version is more modular than previous ones, so you can install
 modules to add additional widgets for Glade to use.

Package: gladtex
Description-md5: 6c5c3786c5a3cf806cfbb55f40a4f640
Description-en: Embed LaTeX equations in HTML files
 GladTeX is a preprocessor that enables the use of LaTeX equations within HTML
 files. The equations, embedded in <EQ>...</EQ> tags, as if within $$..$$ in
 LaTeX, is fed through LaTeX and replaced by images.
 .
 Additionally all images get an alt-tag for alternative texts that contains the
 LaTeX-equivalent of the image. This is handy for text-mode browsers or blind
 people using a screen reader.

Package: glam2
Description-md5: b561393fce63bb2ee2eb86b39b134c71
Description-en: gapped protein motifs from unaligned sequences
 GLAM2 is a software package for finding motifs in sequences, typically
 amino-acid or nucleotide sequences. A motif is a re-occurring sequence
 pattern: typical examples are the TATA box and the CAAX prenylation motif. The
 main innovation of GLAM2 is that it allows insertions and deletions in motifs.
 .
 This package includes programs for discovering motifs shared by a set of
 sequences and finding matches to these motifs in a sequence database, as well
 as utilities for converting glam2 motifs to standard alignment formats,
 masking glam2 motifs out of sequences so that weaker motifs can be found, and
 removing highly similar members of a set of sequences.
 .
 The package includes these programs:
  glam2:       discovering motifs shared by a set of sequences;
  glam2scan:   finding matches, in a sequence database, to a motif discovered
               by glam2;
  glam2format: converting glam2 motifs to  standard alignment formats;
  glam2mask:   masking glam2 motifs out of sequences, so that weaker motifs
               can be found;
  glam2-purge: removing highly similar members of a set of sequences.
 .
 In this binary package, the fast Fourier algorithm (FFT) was enabled for the
 glam2 program.

Package: glances
Description-md5: b985e0253103aa5027d5b25058344340
Description-en: Curses-based monitoring tool
 Glances is a curses-based monitoring tool for GNU/Linux or BSD OS.
 Glances uses the PsUtil library to get information from your system.
 .
 It monitors CPU, load, memory, network bandwidth, disk I/O, disk use, process.

Package: glances-doc
Description-md5: 86b356cef08ba1aad85b54aa12b3f27b
Description-en: Documentation for glances Curses-based monitoring tool
 This package provides all information about glances and how to use it
 Glances is  a cross-platform curses-based monitoring tool which aims
 to present a maximum of information in a minimum of space, ideally to
 fit in a classical 80x24 terminal or higher to have additional information.
 Also work in client/server mode. Remote monitoring could be done via terminal
 or web interface

Package: glare-api
Description-md5: c18e8ff97588e1970490aeeaa8fe346b
Description-en: OpenStack Artifact Repository - API server
 The Glance project provides services for discovering, registering, and
 retrieving virtual machine images over the cloud. They may be stand-alone
 services, or may be used to deliver images from object stores, such as
 OpenStack's Swift service, to Nova's compute nodes.
 .
 This package contains the Glare API server.

Package: glare-common
Description-md5: a2f502fbf8b70bb6747128f4241abe8e
Description-en: OpenStack Artifact Repository - common files
 Glare (from GLare Artifact REpository) is a service that provides access
 to a unified catalog of structured meta-information as well as related
 binary data (these structures are also called 'artifacts').
 .
 This package contains common files for Glare.

Package: glaurung
Description-md5: fd630cb5e0b404b71e22995e4b216518
Description-en: free UCI chess engine, to calculate chess moves
 This is a very strong chess engine, finished 4th place at internatonal
 ChessWar X. It uses the UCI (universal chess interface), for chess
 engines as communication protocol. This means to play against it,
 you have to use an UCI capable interface, like knights. Or an
 xboard adapter like polyglot.

Package: glbinding-doc
Description-md5: 9cc62a22189bfc1d2f5461ce20de800f
Description-en: documentation for glbinding
 glbinding leverages modern C++11 features like enum classes,
 lambdas, and variadic templates, instead of relying on macros;
 all OpenGL symbols are real functions and variables.
 .
 It provides type-safe parameters, per feature API header, lazy
 function resolution, multi-context and multi-thread support,
 global and local function callbacks, meta information about the
 generated OpenGL binding and the OpenGL runtime, as well as tools
 and examples for quick-starting your projects.
 .
 This package provides the documentation.

Package: glbinding-tools
Description-md5: 0733f9be11e923d90786e089fc7c9a02
Description-en: command-line tools for glbinding
 glbinding leverages modern C++11 features like enum classes,
 lambdas, and variadic templates, instead of relying on macros;
 all OpenGL symbols are real functions and variables.
 .
 It provides type-safe parameters, per feature API header, lazy
 function resolution, multi-context and multi-thread support,
 global and local function callbacks, meta information about the
 generated OpenGL binding and the OpenGL runtime, as well as tools
 and examples for quick-starting your projects.
 .
 This package provides the command-line tools.

Package: glbsp
Description-md5: a501ce22d47de8a8e772c8c1416eab84
Description-en: nodes builder for Doom-style games; has support for OpenGL
 glBSP is a node builder specially designed to be used with OpenGL ports of
 the DOOM game engine. It adheres to the "GL-Friendly Nodes" specification,
 which means it adds some new special nodes to a WAD file that makes it very
 easy (and fast!) for an OpenGL DOOM engine to compute the polygons needed
 for drawing the levels.
 .
 There are many DOOM ports that understand the GL Nodes which glBSP creates,
 including EDGE, the Doomsday engine (JDOOM), Doom3D, PrBoom, and Vavoom.

Package: gle-doc
Description-md5: 6d681eb1d39ad738d2faa6e974f18622
Description-en: OpenGL tubing and extrusion library documentation
 The GLE Tubing and Extrusion library is an extension to OpenGL for drawing
 tubing and extrusions, including surfaces of revolution, sweeps, tubes,
 polycones, polycylinders, and helicoids.  Generally the extruded surface
 is specified with a 2D polyline that is extruded along a 3D path.  A local
 coordinate system allows for additional flexibility in the primitives
 drawn.  Extrusions may be texture mapped in a variety of ways.
 .
 This package contains documentation and example code.

Package: glew-utils
Description-md5: 15c5e8926747f3fed8892dfd11b341af
Description-en: OpenGL Extension Wrangler - utilities
 For more information about GLEW please refer to the description of the
 libglew-dev package.
 .
 This package contains the utilities which can be used to query the
 supported OpenGL extensions.

Package: glewlwyd
Description-md5: e42100f5cd1acb3115b87e02154cd8e2
Description-en: OAuth2 authentication server providing Json Web Tokens
 Lightweight, fast and easy to install on small systems. Requires a
 MySql or SQLite3 database. Handles LDAP or database for users backend.
 .
 The API backend is fully written in language C, it's based on Ulfius
 HTTP framework, Hoel database framework and Libjwt JSON Web Tokens
 library.

Package: glewlwyd-common
Description-md5: cea96e11906847fdcf4cf3b698d99b16
Description-en: OAuth2 authentication server providing Json Web Tokens - common files
 Lightweight, fast and easy to install on small systems. Requires a
 MySql or SQLite3 database. Handles LDAP or database for users backend.
 .
 The API backend is fully written in language C, it's based on Ulfius
 HTTP framework, Hoel database framework and Libjwt JSON Web Tokens
 library.
 .
 This package contains the architecture independent files.

Package: glfer
Description-md5: bea3856e81cf7eb4b9454a20e796fa65
Description-en: program for reception and transmission of QRSS/DFCW signals
 Glfer is composed of two main parts:
 a spectrogram window, where you can see the spectrum of the received
 signal vs. time and transmission functions, to emit cw characters at
 a slow but precisely controlled speed, using the QRSS (slow CW) or
 DFCW (Dual Frequency CW) modes.

Package: glhack
Description-md5: 8dfef1a1ec65b1120b25706114dd858a
Description-en: Fullscreen SDL/OpenGL version of NetHack
 glHack is a port of the game NetHack, using hardware acceleration via
 OpenGL(R) to render the 2D tile graphics.  It uses LibSDL as the video
 and input library.  There is good support for software rendering
 (without OpenGL) as well.
 .
 glHack is just one of the many NetHack front-ends.  Others include the
 original text-terminal (TTY) version, one for the X Window System, and
 one for GNOME called GnomeHack.
 .
 See the official NetHack website for complete information about the actual
 game.

Package: glib-networking-tests
Description-md5: 29c11a56653d19186378a0027c69788a
Description-en: network-related giomodules for GLib - installed tests
 This package contains test programs, designed to be run as part of a
 regression testsuite.

Package: glibc-source
Description-md5: 1892ef83f2f36db0d236ccc041158b32
Description-en: GNU C Library: sources
 This package contains the sources and patches which are needed to
 build glibc.

Package: glimpse
Description-md5: 0463901d5ce746156ec7ac9968d6e014
Description-en: search quickly through entire file systems
 Glimpse, which stands for GLobal IMPlicit SEarch, is a very popular
 UNIX indexing and query system that allows you to search through a
 large set of files very quickly. Glimpse supports most of agrep's
 options (agrep is our powerful version of grep) including approximate
 matching (e.g., finding misspelled words), Boolean queries, and even
 some limited forms of regular expressions.

Package: glirc
Description-md5: 78e66520f6981a6f208ed25a63391cdb
Description-en: console IRC client
 glirc is a console IRC client with an emphasis on providing
 dynamic views into the model of your IRC connections.

Package: gliv
Description-md5: cdcf0c79eeba3dc4c14d3965851d570b
Description-en: image viewer using gdk-pixbuf and OpenGL
 GLiv is an image viewer that uses gdk-pixbuf to load images, and OpenGL to
 render them. Moving and zooming is very fast and smooth if you have an
 OpenGL board.

Package: glmark2
Description-md5: 65d7be6ea5ccdac687bda351afeabe60
Description-en: OpenGL 2.0 X11 benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the OpenGL 2.0 variant of the benchmark for X11.

Package: glmark2-data
Description-md5: 98a707bbe913f36eb6ea8f14a5525031
Description-en: data files for the glmark2 OpenGL (ES) 2.0 benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the data files used by the glmark2 benchmark.

Package: glmark2-drm
Description-md5: 35bcc300f341fceabf793c52c1a98eb7
Description-en: OpenGL 2.0 DRM benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the OpenGL 2.0 variant of the benchmark for DRM.

Package: glmark2-es2
Description-md5: 3372a7e1384648391271d1fe4f2d2bfc
Description-en: OpenGL ES 2.0 X11 benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the OpenGL ES 2.0 variant of the benchmark for X11.

Package: glmark2-es2-drm
Description-md5: 14b3eb11ba9adfb65dfedafdcceeee83
Description-en: OpenGL ES 2.0 DRM benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the OpenGL ES 2.0 variant of the benchmark for DRM.

Package: glmark2-es2-mir
Description-md5: 71f480fea6d5fe0246c31bd9085ff0bd
Description-en: OpenGL ES 2.0 Mir benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the OpenGL ES 2.0 variant of the benchmark for Mir.

Package: glmark2-es2-wayland
Description-md5: 4c9b361bef32d92d540eabb96dccea0c
Description-en: OpenGL ES 2.0 Wayland benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the OpenGL ES 2.0 variant of the benchmark for Wayland.

Package: glmark2-mir
Description-md5: 338c2d15e80f59b9f272773aa39f1c20
Description-en: OpenGL 2.0 Mir benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the OpenGL 2.0 variant of the benchmark for Mir.

Package: glmark2-wayland
Description-md5: 2cdc3411c3a0cd9f6a63d3c7a8de989c
Description-en: OpenGL 2.0 Wayland benchmark
 A benchmark for OpenGL (ES) 2.0 that uses only the OpenGL ES 2.0 compatible
 API. It contains tests for standard OpenGL (ES) 2.0 features, such as vertex
 arrays, VBOs, texturing and shaders.
 .
 This package contains the OpenGL 2.0 variant of the benchmark for Wayland.

Package: glmemperf
Description-md5: 27f8fb850ddee52c35ad1151608b5035
Description-en: benchmark for measuring OpenGL ES 2.0 rendering performance
 This benchmark aims to measure the texture memory bandwidth performance of an
 OpenGL ES 2.0 implementation using a number of different texture storage
 formats and methods.

Package: glmemperf-data
Description-md5: 9185d17fa65dadbe1d026641f62c64dd
Description-en: data files for the GLMemPerf benchmark
 This package contains data files (images) used by the GLMemPerf performance
 measurement application.

Package: glob2
Description-md5: b38ff913791741efa07b5bd47dbb1f7e
Description-en: innovative Real Time Strategy game
 Globulation 2 is an ongoing, multi-platform project to create innovative
 high-quality RTS gameplay, minimizing micro-management and assigning tasks
 to the units automatically. You just have to order the number of units you
 want for a selected task and the units will do their best to satisfy your
 requirements.
 .
 Glob2 can be played by a single player, through your Local Area Network,
 or over the Internet, thanks to the Ysagoon Online Gaming meta-server. It
 also features a scripting language for versatile gameplay and an integrated
 map editor.

Package: glob2-data
Description-md5: f3b699d25365d6bf68c7cdc0ee60c4c4
Description-en: innovative Real Time Strategy game - data files
 Globulation 2 is an ongoing, multi-platform project to create innovative
 high-quality RTS gameplay, minimizing micro-management and assigning tasks
 to the units automatically. You just have to order the number of units you
 want for a selected task and the units will do their best to satisfy your
 requirements.
 .
 This package provides the images, maps, and other architecture-independent
 data files for Globulation 2.

Package: global
Description-md5: 7b39302720a0b16de2d66cdad9ea1a3a
Description-en: Source code search and browse tools
 GNU GLOBAL is a source code tag system that works the same way across
 diverse environments. Currently, it supports the following:
 .
   o command line, and the 'globash' query subshell.
   o vim plugin, and support for other vi clones.
   o less
   o emacs
   o web browser
 .
 Supported languages are C, C++, yacc and Java.
 You can locate a specified function in the source files and move
 there easily. It is especially useful for hacking large projects
 containing many subdirectories, many '#ifdef' and many main()
 functions, like X or kernel source.
 .
 Doxygen now supports using global as its source browser, with the
 USE_HTAGS option.

Package: globjects-doc
Description-md5: b630bddfd7d2db2dac84c956a4a3717b
Description-en: documentation for globjects
 globjects provides object-oriented interfaces to the OpenGL API (3.0 and
 higher). The main goals are much reduced code to use OpenGL in your
 rendering software and fewer errors due to the underlying glbinding and
 further abstraction levels on top. Typical processes are automated and
 missing features in the used OpenGL driver are partially simulated or
 even emulated.
 .
 This package provides the documentation.

Package: globus-common-progs
Description-md5: 3f33c1313556f172f7a3763e260d757f
Description-en: Grid Community Toolkit - Common Library Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-common-progs package contains:
 Common Library Programs

Package: globus-gass-cache-program
Description-md5: acd1751630d6cb03b27f43abc9dd07ee
Description-en: Grid Community Toolkit - Tools to manipulate local and remote GASS caches
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gass-cache-program package contains:
 Tools to manipulate local and remote GASS caches

Package: globus-gass-copy-progs
Description-md5: 2cdaf5e90dbe39356ad6251834ae536e
Description-en: Grid Community Toolkit - Globus Gass Copy Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gass-copy-progs package contains:
 Globus Gass Copy Programs

Package: globus-gass-server-ez-progs
Description-md5: e8243927a847a2920e6199c8e1e174a2
Description-en: Grid Community Toolkit - Globus Gass Server_ez Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gass-server-ez-progs package contains:
 Globus Gass Server_ez Programs

Package: globus-gatekeeper
Description-md5: 47cddeb16ed2d46fdbc8a3c59c8f2fc1
Description-en: Grid Community Toolkit - Globus Gatekeeper
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gatekeeper package contains:
 Globus Gatekeeper

Package: globus-gfork-progs
Description-md5: 61b46950a26c299f1b4b262331eb5f3a
Description-en: Grid Community Toolkit - GFork Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gfork-progs package contains:
 GFork Programs - GFork is user configurable super-server daemon very similar
 to xinetd. It listens on a TCP port. When clients connect to a port it runs an
 administrator defined program which services that client connection, just as
 x/inetd do.

Package: globus-gram-audit
Description-md5: 53a3dd4379b3063606e45db248c8a8c4
Description-en: Grid Community Toolkit - GRAM Jobmanager Auditing
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-audit package contains:
 GRAM Jobmanager Auditing

Package: globus-gram-client-tools
Description-md5: 0c47e8ce3d36c2875ca73d8a2ff1e298
Description-en: Grid Community Toolkit - Job Management Tools (globusrun)
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-client-tools package contains:
 Job Management Tools (globusrun)

Package: globus-gram-job-manager
Description-md5: e12cf5fc33d4f30357b603c508e99173
Description-en: Grid Community Toolkit - GRAM Jobmanager
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager package contains:
 GRAM Jobmanager

Package: globus-gram-job-manager-condor
Description-md5: 2a8f71c48e37657e36ebdf8ff2caad7a
Description-en: Grid Community Toolkit - Condor Job Manager Support
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-condor package contains:
 Condor Job Manager Support

Package: globus-gram-job-manager-fork
Description-md5: 9c0ea12dd714b7d42e72dfa51bc3b2c2
Description-en: Grid Community Toolkit - Fork Job Manager Support
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-fork package contains:
 Fork Job Manager Support

Package: globus-gram-job-manager-fork-setup-poll
Description-md5: 62950b7c7599dd4b48c9e6350b92c273
Description-en: Grid Community Toolkit - Fork Job Manager Support using polling
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-fork-setup-poll package contains:
 Fork Job Manager Support using polling to monitor job state

Package: globus-gram-job-manager-fork-setup-seg
Description-md5: 108c85e5f4336bfbd03283a94be3c999
Description-en: Grid Community Toolkit - Fork Job Manager Support using SEG
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-fork-setup-seg package contains:
 Fork Job Manager Support using the scheduler event generator to monitor job
 state

Package: globus-gram-job-manager-lsf
Description-md5: 9630efa3187169f4e06d05b7d45f51fc
Description-en: Grid Community Toolkit - LSF Job Manager Support
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-lsf package contains:
 LSF Job Manager Support

Package: globus-gram-job-manager-lsf-setup-poll
Description-md5: f30d745f3073dd3f92b968d3af674def
Description-en: Grid Community Toolkit - LSF Job Manager Support using polling
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-lsf-setup-poll package contains:
 LSF Job Manager Support using polling to monitor job state

Package: globus-gram-job-manager-lsf-setup-seg
Description-md5: 358a14357296374bb2c780a61944310d
Description-en: Grid Community Toolkit - LSF Job Manager Support using SEG
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-lsf-setup-seg package contains:
 LSF Job Manager Support using the scheduler event generator to monitor job
 state

Package: globus-gram-job-manager-pbs
Description-md5: 123570d0b5d7fc29d088b6f696063809
Description-en: Grid Community Toolkit - PBS Job Manager Support
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-pbs package contains:
 PBS Job Manager Support

Package: globus-gram-job-manager-pbs-setup-poll
Description-md5: e54b3715cb4881730c683a46bda42eae
Description-en: Grid Community Toolkit - PBS Job Manager Support using polling
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-pbs-setup-poll package contains:
 PBS Job Manager Support using polling to monitor job state

Package: globus-gram-job-manager-pbs-setup-seg
Description-md5: d51ba23ba497d6b1ddf5485087a601e0
Description-en: Grid Community Toolkit - PBS Job Manager Support using SEG
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-pbs-setup-seg package contains:
 PBS Job Manager Support using the scheduler event generator to monitor job
 state

Package: globus-gram-job-manager-scripts
Description-md5: 6c194e10f2b8045e0b6963bb7b18d90c
Description-en: Grid Community Toolkit - GRAM Job ManagerScripts
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-scripts package contains:
 GRAM Job ManagerScripts

Package: globus-gram-job-manager-scripts-doc
Description-md5: 937d1baa9f72ba5e20c76f480210df2b
Description-en: Grid Community Toolkit - GRAM Job ManagerScripts Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-scripts-doc package contains:
 GRAM Job ManagerScripts Documentation Files

Package: globus-gram-job-manager-sge
Description-md5: c0a026c26e9841232e410f72a1c286c2
Description-en: Grid Community Toolkit - Grid Engine Job Manager Support
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-sge package contains:
 Grid Engine Job Manager Support

Package: globus-gram-job-manager-sge-setup-poll
Description-md5: 543b82abc70103df04517ab83bb843c9
Description-en: Grid Community Toolkit - Grid Engine Job Manager Support using polling
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-sge-setup-poll package contains:
 Grid Engine Job Manager Support using polling to monitor job state

Package: globus-gram-job-manager-sge-setup-seg
Description-md5: 605c35314efb150d612cb342a96e569b
Description-en: Grid Community Toolkit - Grid Engine Job Manager Support using SEG
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-sge-setup-seg package contains:
 Grid Engine Job Manager Support using the scheduler event generator to monitor
 job state

Package: globus-gram-job-manager-slurm
Description-md5: 3a68f1eed53427cc84ac4ba75db81182
Description-en: Grid Community Toolkit - SLURM Job Manager Support
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gram-job-manager-slurm package contains:
 SLURM Job Manager Support

Package: globus-gridftp-server-progs
Description-md5: e51f9a20d893ee7a31a85b380d9f372f
Description-en: Grid Community Toolkit - Globus GridFTP Server Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gridftp-server-progs package contains:
 Globus GridFTP Server Programs

Package: globus-gsi-cert-utils-progs
Description-md5: 7678185f2f413ef168001f67d286f0ca
Description-en: Grid Community Toolkit - Globus GSI Cert Utils Library Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gsi-cert-utils-progs package contains:
 Globus GSI Cert Utils Library Programs

Package: globus-gss-assist-progs
Description-md5: 9329b4a94ad968144560280cb969fe72
Description-en: Grid Community Toolkit - GSSAPI Assist library Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-gss-assist-progs package contains:
 GSSAPI Assist library Programs

Package: globus-proxy-utils
Description-md5: d01366f35c864b71392b2d7c1132922e
Description-en: Grid Community Toolkit - Globus GSI Proxy Utility Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-proxy-utils package contains:
 Globus GSI Proxy Utility Programs

Package: globus-scheduler-event-generator-progs
Description-md5: 232c457ce6b0b76d8045fab06db28e57
Description-en: Grid Community Toolkit - Scheduler Event Generator Programs
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-scheduler-event-generator-progs package contains:
 Scheduler Event Generator Programs

Package: globus-seg-job-manager
Description-md5: bc65fefbda1b1ebbfcd4deaa08e99cc5
Description-en: Grid Community Toolkit - Scheduler Event Generator Job Manager
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-seg-job-manager package contains:
 Scheduler Event Generator Job Manager

Package: globus-simple-ca
Description-md5: f661198e7ea08e8a73116e6e8508e63d
Description-en: Grid Community Toolkit - Simple CA Utility
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-simple-ca package contains:
 Simple CA Utility

Package: globus-xioperf
Description-md5: 942f2b451bdcb384db500848bfffa01e
Description-en: Grid Community Toolkit - XIO Performance Tool
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The globus-xioperf package contains:
 XIO Performance Tool

Package: glogg
Description-md5: 1723d09f25ee852e6520a3f06c0df428
Description-en: Smart interactive log explorer using Qt
 glogg is a multi-platform GUI application to browse and search through long or
 complex log files. It is designed with programmers and system administrators
 in mind and can be seen as a graphical, interactive combination of grep and
 less.
 .
 Main features include:
  * Accept egrep regular expression to search or filter the log.
  * Interactively display results of the search in a second, filtered window.
  * Colorize the log and the search results.
  * Read the file directly from disk, without loading it into memory.
  * Happily handle big (>4 GiB) files.
  * Read UTF-8 and ISO-8859-1 encoded files.
  * Follow a log in real-time as it is written to disk.
  * Allow one to insert marks in the logs to interesting lines.
  * Support less/vim like keyboard commands to move around the file.

Package: glogic
Description-md5: 8d2344a432c3e7d1a8e14a301502ca20
Description-en: graphical logic circuit simulator
 gLogic is an educational graphical logic circuit simulator developed with
 Python and GTK+. This program simulates logic circuits containing basic
 components (e.g. NOT, AND, OR) and many advanced components like flip-flop.

Package: glom
Description-md5: b1066ca296c38c1682afc13bed403a30
Description-en: database designer and user interface
 With Glom you can design table definitions and the relationships between
 them, plus arrange the fields on the screen. You can edit and search the
 data in those tables, and specify field values in terms of other fields.
 It's as easy as it should be.
 .
 The design is loosely based on FileMaker Pro, with the added advantage of
 separation between interface and data. Its simple framework should be enough
 to implement most database applications. Without Glom these systems normally
 consist of lots of repetitive, unmaintainable code.

Package: glom-doc
Description-md5: 50c4efacb4167159890984406d1c7447
Description-en: database designer and user interface - documentation
 With Glom you can design table definitions and the relationships between
 them, plus arrange the fields on the screen. You can edit and search the
 data in those tables, and specify field values in terms of other fields.
 It's as easy as it should be.
 .
 The design is loosely based on FileMaker Pro, with the added advantage of
 separation between interface and data. Its simple framework should be enough
 to implement most database applications. Without Glom these systems normally
 consist of lots of repetitive, unmaintainable code.
 .
 This package contains the documentation and examples.

Package: glom-utils
Description-md5: 5f1d172fca9b052792cb6c9a35b6bf6d
Description-en: Command-line utilities for Glom
 With Glom you can design table definitions and the relationships between
 them, plus arrange the fields on the screen. You can edit and search the
 data in those tables, and specify field values in terms of other fields.
 It's as easy as it should be.
 .
 The design is loosely based on FileMaker Pro, with the added advantage of
 separation between interface and data. Its simple framework should be enough
 to implement most database applications. Without Glom these systems normally
 consist of lots of repetitive, unmaintainable code.
 .
 This package contains the command-line tools.

Package: glosstex
Description-md5: d484fb15a9e5ab22ec5e0200f8064581
Description-en: prepare glossaries and lists of acronyms
 GlossTeX is a tool for the automatic preparation of glossaries, lists
 of  acronyms and  sorted  lists in  general  for use  with LaTeX  and
 MakeIndex.  GlossTeX combines the  functionality of  acronym, nomencl
 and GloTeX. Like GloTeX, it  uses the same format glossary definition
 files. GlossTeX can be used together with nomencl, nevertheless.

Package: glpeces
Description-md5: e3e2e9a451e2ec237c2696ef489d143a
Description-en: Tangram (puzzle) game clone
 The Tangram is an ancient Chinese puzzle game where the player has to arrange
 a set of figures with 7 polygonal pieces.
 Peces allows playing 40 different modalities of tangrams and it includes more
 than 31,000 figures to solve. Also, it allows creating new figures and
 competitions. glpeces is especially designed to use in education.

Package: glpeces-data
Description-md5: 4f0fb7282f9cfe33cf6afa3f933b463c
Description-en: Data files for glpeces (Tangram puzzle)
 The Tangram is an ancient Chinese puzzle game where the player has to arrange
 a set of figures with 7 polygonal pieces.
 Peces allows playing 40 different modalities of tangram and it includes more
 than 31,000 figures to solve. Also, it allows creating new figures and
 competitions. glpeces is especially designed to use in education.
 .
 This package contains architecture-independent
 required files for glpeces package.
 The files contain data for the construction of the figures
 of the game, help, locales and images.

Package: glpk-doc
Description-md5: 79f28e454558e5c4f5ae4fc0cb119d88
Description-en: linear programming kit - documentation files
 GLPK (GNU Linear Programming Kit) is intended for solving large-scale
 linear programming (LP), mixed integer programming (MIP), and other
 related problems. It is a set of routines written in ANSI C and
 organized in the form of a callable library.
 .
 This package contains the C API reference manual and the GNU MathProg
 modeling language manual.

Package: glpk-utils
Description-md5: 859e10cd030ac3d469c3454c2a4638bb
Description-en: linear programming kit - utility files
 GLPK (GNU Linear Programming Kit) is intended for solving large-scale
 linear programming (LP), mixed integer programming (MIP), and other
 related problems. It is a set of routines written in ANSI C and
 organized in the form of a callable library.
 .
 This package contains a stand-alone LP/MIP solver (glpsol) and several example
 problems.

Package: glslang-dev
Description-md5: 04542cc7e13fee10f8534e6ee27b8dc0
Description-en: OpenGL and OpenGL ES shader front end and validator -- development files
 glslang is the official reference compiler front end for the OpenGL ES
 and OpenGL shading languages. It implements a strict
 interpretation of the specifications for these languages.
 .
 This package includes files for development.

Package: glslang-tools
Description-md5: 8ba235a4d72a4a6f229d9c5567687090
Description-en: OpenGL and OpenGL ES shader front end and validator -- tools
 glslang is the official reference compiler front end for the OpenGL ES
 and OpenGL shading languages. It implements a strict
 interpretation of the specifications for these languages.
 .
 This package includes the validator tools.

Package: gltron
Description-md5: 680ad0001d3f130a0ed731643e9fd8c3
Description-en: 3D lightcycle game
 glTron is a tron-like lightcycle game with a nice 3D perspective.
 3D acceleration is recommended.

Package: glue-schema
Description-md5: 211bbcde0a2827c2905cdad50ae7de32
Description-en: LDAP schema files for the GLUE 1.3 and GLUE 2.0 Schema
 The GLUE specification is an information model for Grid entities such
 as computing clusters and data storage facilities. As a conceptual
 model, it is designed to be independent from the concrete data models
 adopted for its implementation. The specification can be rendered to
 several concrete data models such as XML Schema, LDAP Schema or SQL.
 .
 This package provides LDAP schema files for the GLUE 1.3 and GLUE 2.0
 Schema.

Package: glue-sprite
Description-md5: 406686f6b510f434a7c1dde266f3ce71
Description-en: Simple command line tool to generate CSS sprites
 Glue is a simple command line tool to generate CSS sprites using any
 kind of source images like PNG, JPEG or GIF. Glue will generate a
 unique PNG file containing every source image and a CSS file
 including the necessary CSS classes to use the sprite.

Package: glueviz
Description-md5: 28876d13d89e9669a18bf964b5abb473
Description-en: Linked data visualization
 Glue is a Python project to link visualizations of scientific datasets across
 many files. Some of its features are:
 .
  * Interactive, linked statistical graphics of multiple files.
  * Support for many file formats including common image formats,
    ascii tables, astronomical image and table formats (fits, vot, ipac), and
    HDF5. Custom data loaders can also be easily added.
  * Highly scriptable and extendable.

Package: glulxe
Description-md5: 7ed367c63754aa644212d10665c20f90
Description-en: Interpreter for glulx interactive fiction
 glulxe is the authoritative interpreter for the Glulx interactive fiction
 VM, which is a 32-bit update of the older Z-Machine standard.
 .
 This program can play games ending with .ulx, .gblorb, .glb, .blorb,
 and .blb.  glulxe needs only a terminal; the optional graphics in some Glulx
 games can be shown by using the package gargoyle-free instead.

Package: glurp
Description-md5: 06d404959e879569bfa20ad154f31099
Description-en: GTK+ frontend to the Music Player Daemon (MPD)
 glurp is a GTK+ frontend to the MPD music daemon. It features:
   * Playlist support with id3 tags display.
   * Password-protected connection to MPD.
   * Easy switching of MPD's audio output device.
   * Easy operations with MPD's database/playlists/streams.

Package: glusterfs-client
Description-md5: 8efac921cc08866346a58b8e20a68019
Description-en: clustered file-system (client package)
 GlusterFS is a clustered file-system capable of scaling to several
 peta-bytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package provides the FUSE based GlusterFS tool.

Package: glusterfs-common
Description-md5: 14fb61656fcb482fb70b2a8d7b3de9e4
Description-en: GlusterFS common libraries and translator modules
 GlusterFS is a clustered file-system capable of scaling to several
 petabytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package includes libglusterfs and glusterfs translator modules
 common to both GlusterFS server and client framework.

Package: glusterfs-server
Description-md5: 86750d22647555f4666d8172dd62fdae
Description-en: clustered file-system (server package)
 GlusterFS is a clustered file-system capable of scaling to several
 peta-bytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package installs init scripts and configuration files to turn
 GlusterFS into a fully fledged file server.

Package: glymur-bin
Description-md5: 75117c122213f5e20612385d4e02321c
Description-en: Python tools for accessing JPEG2000 files - scripts
 Glymur is an interface to the OpenJPEG library which allows one to
 read and write JPEG 2000 files from Python.
 Glymur supports both reading and writing of JPEG 2000 images,
 but writing JPEG 2000 images is currently limited to images that
 can fit in memory.
 Glymur can read images using OpenJPEG library versions as far back
 as 1.3, but it is strongly recommended to use at least version 2.1.2.
 .
 In regards to metadata, most JP2 boxes are properly interpreted.
 Certain optional JP2 boxes can also be written, including XML boxes
 and XMP UUIDs.
 There is incomplete support for reading JPX metadata.
 .
 Glymur will look to use lxml when processing boxes with XML content,
 but can fall back upon the standard library’s ElementTree if lxml
 is not available.
 .
 This package provides utilities and executable scripts.

Package: glyphsinfo
Description-md5: 2c694bd186d03ae7655e0eb9967912f4
Description-en: Glyphs info used inside Glyphs.app
 This contains XML files of glyphs names and categories used in Glyphs.app.

Package: glyphslib
Description-md5: e077170d9a99ab863636786fd991453b
Description-en: Convert between Glyphs files (.glyphs) and UFOs
 This tool provides conversions between Glyphs source files (.glyphs) and
 Unified Font Objects (UFOs) via defcon.
 .
 This package provides the executable program.

Package: glyrc
Description-md5: 77c8b244c018d87101b811df186fbaa1
Description-en: command-line interface to libglyr
 Glyr is a library to retrieve music-related metadata from several
 online providers (Amazon, Discogs, Musicbrainz...).
 .
 It can be used in media player programs to download cover art, lyrics,
 biography and much more.
 .
 This package contains a command-line interface to the library.

Package: gm2
Description-md5: 94f753ea379d66bad841234959bfd853
Description-en: GNU Modula-2 compiler, based on the GCC backend
 This is a dependency package providing the default GNU Modula-2 compiler.

Package: gm2-10
Description-md5: 30035702cc3ba14baf3e36ae95a9b1c6
Description-en: GNU Modula-2 compiler
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-aarch64-linux-gnu
Description-md5: 5cc4ff8d642850847c56aab4824ec631
Description-en: GNU Modula-2 compiler (cross compiler for arm64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-alpha-linux-gnu
Description-md5: 7ff1c11ec5c39e822d16479a0776c805
Description-en: GNU Modula-2 compiler (cross compiler for alpha architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-arm-linux-gnueabi
Description-md5: 0caca10205b1e0cc1365e02ec82dc784
Description-en: GNU Modula-2 compiler (cross compiler for armel architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-arm-linux-gnueabihf
Description-md5: ba9e3c569f590a7b6bbed17e4c8f0d13
Description-en: GNU Modula-2 compiler (cross compiler for armhf architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-hppa-linux-gnu
Description-md5: b0fd5346b4266298e8ce36ce605f03d0
Description-en: GNU Modula-2 compiler (cross compiler for hppa architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-i686-linux-gnu
Description-md5: a8545466960b45afc181e45a875928b4
Description-en: GNU Modula-2 compiler (cross compiler for i386 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-m68k-linux-gnu
Description-md5: 54f610b73610d57b794836ee780980b7
Description-en: GNU Modula-2 compiler (cross compiler for m68k architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-mips-linux-gnu
Description-md5: 6bfb5bbaa5b28be8d8f7defe9d152f6f
Description-en: GNU Modula-2 compiler (cross compiler for mips architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-mips64-linux-gnuabi64
Description-md5: c571fb1ea00216bb49707101cfd37237
Description-en: GNU Modula-2 compiler (cross compiler for mips64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-mips64el-linux-gnuabi64
Description-md5: 2a686595d30df36a6b41ff851a7bd217
Description-en: GNU Modula-2 compiler (cross compiler for mips64el architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-mipsel-linux-gnu
Description-md5: db101a6d0054fe1df4d7e1b5c226ca6f
Description-en: GNU Modula-2 compiler (cross compiler for mipsel architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-mipsisa32r6-linux-gnu
Description-md5: 926cb178d4b0d925de884db96c248def
Description-en: GNU Modula-2 compiler (cross compiler for mipsr6 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-mipsisa32r6el-linux-gnu
Description-md5: 703d89827b27ac577b23a176d9b634a7
Description-en: GNU Modula-2 compiler (cross compiler for mipsr6el architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-mipsisa64r6-linux-gnuabi64
Description-md5: 47d5fa38d2c2b57579552a7ed91e55d4
Description-en: GNU Modula-2 compiler (cross compiler for mips64r6 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-mipsisa64r6el-linux-gnuabi64
Description-md5: 1c2e34843b3824d85566711a7d21de0d
Description-en: GNU Modula-2 compiler (cross compiler for mips64r6el architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-powerpc64le-linux-gnu
Description-md5: 8157996c99c977ed3175a63d3a524173
Description-en: GNU Modula-2 compiler (cross compiler for ppc64el architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-riscv64-linux-gnu
Description-md5: db1b77a3452dddadead1e1a436298f2b
Description-en: GNU Modula-2 compiler (cross compiler for riscv64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-s390x-linux-gnu
Description-md5: 7f27a7b93f15cf9d58207d6098f490b2
Description-en: GNU Modula-2 compiler (cross compiler for s390x architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-sparc64-linux-gnu
Description-md5: b0c60d24f1e8b2b3885d6ea8c39c08ec
Description-en: GNU Modula-2 compiler (cross compiler for sparc64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-10-x86-64-linux-gnux32
Description-md5: 5d60cd5b775c433228f5df0709a827bb
Description-en: GNU Modula-2 compiler (cross compiler for x32 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9
Description-md5: 30035702cc3ba14baf3e36ae95a9b1c6
Description-en: GNU Modula-2 compiler
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-aarch64-linux-gnu
Description-md5: 5cc4ff8d642850847c56aab4824ec631
Description-en: GNU Modula-2 compiler (cross compiler for arm64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-alpha-linux-gnu
Description-md5: 7ff1c11ec5c39e822d16479a0776c805
Description-en: GNU Modula-2 compiler (cross compiler for alpha architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-arm-linux-gnueabi
Description-md5: 0caca10205b1e0cc1365e02ec82dc784
Description-en: GNU Modula-2 compiler (cross compiler for armel architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-arm-linux-gnueabihf
Description-md5: ba9e3c569f590a7b6bbed17e4c8f0d13
Description-en: GNU Modula-2 compiler (cross compiler for armhf architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-hppa-linux-gnu
Description-md5: b0fd5346b4266298e8ce36ce605f03d0
Description-en: GNU Modula-2 compiler (cross compiler for hppa architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-i686-linux-gnu
Description-md5: a8545466960b45afc181e45a875928b4
Description-en: GNU Modula-2 compiler (cross compiler for i386 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-m68k-linux-gnu
Description-md5: 54f610b73610d57b794836ee780980b7
Description-en: GNU Modula-2 compiler (cross compiler for m68k architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-mips-linux-gnu
Description-md5: 6bfb5bbaa5b28be8d8f7defe9d152f6f
Description-en: GNU Modula-2 compiler (cross compiler for mips architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-mips64-linux-gnuabi64
Description-md5: c571fb1ea00216bb49707101cfd37237
Description-en: GNU Modula-2 compiler (cross compiler for mips64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-mips64el-linux-gnuabi64
Description-md5: 2a686595d30df36a6b41ff851a7bd217
Description-en: GNU Modula-2 compiler (cross compiler for mips64el architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-mipsel-linux-gnu
Description-md5: db101a6d0054fe1df4d7e1b5c226ca6f
Description-en: GNU Modula-2 compiler (cross compiler for mipsel architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-mipsisa32r6-linux-gnu
Description-md5: 926cb178d4b0d925de884db96c248def
Description-en: GNU Modula-2 compiler (cross compiler for mipsr6 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-mipsisa32r6el-linux-gnu
Description-md5: 703d89827b27ac577b23a176d9b634a7
Description-en: GNU Modula-2 compiler (cross compiler for mipsr6el architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-mipsisa64r6-linux-gnuabi64
Description-md5: 47d5fa38d2c2b57579552a7ed91e55d4
Description-en: GNU Modula-2 compiler (cross compiler for mips64r6 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-mipsisa64r6el-linux-gnuabi64
Description-md5: 1c2e34843b3824d85566711a7d21de0d
Description-en: GNU Modula-2 compiler (cross compiler for mips64r6el architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-powerpc64le-linux-gnu
Description-md5: 8157996c99c977ed3175a63d3a524173
Description-en: GNU Modula-2 compiler (cross compiler for ppc64el architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-riscv64-linux-gnu
Description-md5: db1b77a3452dddadead1e1a436298f2b
Description-en: GNU Modula-2 compiler (cross compiler for riscv64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-s390x-linux-gnu
Description-md5: 7f27a7b93f15cf9d58207d6098f490b2
Description-en: GNU Modula-2 compiler (cross compiler for s390x architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-sparc64-linux-gnu
Description-md5: b0c60d24f1e8b2b3885d6ea8c39c08ec
Description-en: GNU Modula-2 compiler (cross compiler for sparc64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-x86-64-linux-gnux32
Description-md5: 5d60cd5b775c433228f5df0709a827bb
Description-en: GNU Modula-2 compiler (cross compiler for x32 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-aarch64-linux-gnu
Description-md5: ace98d6deb0979a19be897c9c1f77248
Description-en: GNU Modula-2 compiler (based on GCC) for the arm64 architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the arm64 architecture.

Package: gm2-alpha-linux-gnu
Description-md5: 657ffd7e7eb39185f0f1fc80a719e079
Description-en: GNU Modula-2 compiler (based on GCC) for the alpha architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the alpha architecture.

Package: gm2-arm-linux-gnueabi
Description-md5: 51685ca9d623af694934d840f05cbe4b
Description-en: GNU Modula-2 compiler (based on GCC) for the armel architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the armel architecture.

Package: gm2-arm-linux-gnueabihf
Description-md5: ea10c738817c07a79e5ea3be5359ab7e
Description-en: GNU Modula-2 compiler (based on GCC) for the armhf architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the armhf architecture.

Package: gm2-hppa-linux-gnu
Description-md5: 486849f50fe042d0960cbbe3f9a248ca
Description-en: GNU Modula-2 compiler (based on GCC) for the hppa architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the hppa architecture.

Package: gm2-i686-linux-gnu
Description-md5: 7db760cd9415ca9cbf2b9ea8016510da
Description-en: GNU Modula-2 compiler (based on GCC) for the i386 architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the i386 architecture.

Package: gm2-m68k-linux-gnu
Description-md5: 7db12a72cc7d4fccf4c378b23b895c0e
Description-en: GNU Modula-2 compiler (based on GCC) for the m68k architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the m68k architecture.

Package: gm2-mips-linux-gnu
Description-md5: 93c274ec0e0f8b27b389569f88af62d1
Description-en: GNU Modula-2 compiler (based on GCC) for the mips architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the mips architecture.

Package: gm2-mips64-linux-gnuabi64
Description-md5: 7712e01a6b61db97f9c52707ccc2bc6c
Description-en: GNU Modula-2 compiler (based on GCC) for the mips64 architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the mips64 architecture.

Package: gm2-mips64el-linux-gnuabi64
Description-md5: fd662f97063d7463b994c792ad362d35
Description-en: GNU Modula-2 compiler (based on GCC) for the mips64el architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the mips64el architecture.

Package: gm2-mipsel-linux-gnu
Description-md5: 42a09285961381f38d8313964762acb0
Description-en: GNU Modula-2 compiler (based on GCC) for the mipsel architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the mipsel architecture.

Package: gm2-mipsisa32r6-linux-gnu
Description-md5: a4f683074210721eb6c4e5e58a0ea040
Description-en: GNU Modula-2 compiler (based on GCC) for the mipsr6 architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the mipsr6 architecture.

Package: gm2-mipsisa32r6el-linux-gnu
Description-md5: b54f0fa52e7141e0fe45138920e0f07e
Description-en: GNU Modula-2 compiler (based on GCC) for the mipsr6el architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the mipsr6el architecture.

Package: gm2-mipsisa64r6-linux-gnuabi64
Description-md5: b15ce104991c7737e197558548bb499e
Description-en: GNU Modula-2 compiler (based on GCC) for the mips64r6 architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the mips64r6 architecture.

Package: gm2-mipsisa64r6el-linux-gnuabi64
Description-md5: fbde670f0eac689fc5778b5578d270a1
Description-en: GNU Modula-2 compiler (based on GCC) for the mips64r6el architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the mips64r6el architecture.

Package: gm2-powerpc64le-linux-gnu
Description-md5: be4a55544f0cb3ba8085dfa06efeb5ea
Description-en: GNU Modula-2 compiler (based on GCC) for the ppc64el architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the ppc64el architecture.

Package: gm2-riscv64-linux-gnu
Description-md5: 37218ef74789fa12d575226b50be1b7f
Description-en: GNU Modula-2 compiler (based on GCC) for the riscv64 architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the riscv64 architecture.

Package: gm2-s390x-linux-gnu
Description-md5: 48c4a2a74901d2dcdea6977c2885e360
Description-en: GNU Modula-2 compiler (based on GCC) for the s390x architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the s390x architecture.

Package: gm2-sparc64-linux-gnu
Description-md5: 5e7650e5aa87c6774ec480292ea50dd2
Description-en: GNU Modula-2 compiler (based on GCC) for the sparc64 architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the sparc64 architecture.

Package: gmailieer
Description-md5: cca45ca7f9af1476f884914f24e9807d
Description-en: Fast fetch and two-way tag synchronization between notmuch and GMail
 This program can pull email and labels (and changes to labels) from your
 GMail account and store them locally in a maildir with the labels synchronized
 with a notmuch database. The changes to tags in the notmuch database may be
 pushed back remotely to your GMail account.
 .
 It will not and can not:
 * Add or delete messages on your remote account
 * Modify messages other than their labels

Package: gman
Description-md5: 9cc9558b28d4081f5728505fc5247605
Description-en: small man(1) front-end for X
 Gman is a simple front-end for the manual page system. The most basic job
 of gman is to build a database for all the man pages and display them
 (or part of them) on the screen. When user decides to read a man page,
 gman will launch an external viewer to display the manual page. More than
 one external viewer windows can be launched at the same time.
 .
 The default manual page viewer is a terminal window with the original
 man(1). It can also launch gv, evince, or a link to a CGI script which
 utilizes man2html, for viewing manual pages using a web browser.
 .
 There is an index search function to look for the man pages that one needs.
 It's simple, but it's useful.

Package: gmanedit
Description-md5: 12e2d21eb66c81a368e0fc3fcddc7a08
Description-en: GTK+ man pages editor
 Gtk+ Manpages Editor is an editor for man pages that runs on X with GTK+.
 .
 Gmanedit is an application which allows you to edit manual pages (man) on
 Linux/Unix systems.
 .
 It is like most common HTML editors but more easy. You need to know manpages
 format.

Package: gmap
Description-md5: d1daaf58e59d1f735c184407a7d778b3
Description-en: spliced and SNP-tolerant alignment for mRNA and short reads
 This package contains the programs GMAP and GSNAP as well as
 utilities to manage genome databases in GMAP/GSNAP format.
 GMAP (Genomic Mapping and Alignment Program) is a tool for aligning
 EST, mRNA and cDNA sequences.
 GSNAP (Genomic Short-read Nucleotide Alignment Program) is a tool for
 aligning single-end and paired-end transcriptome reads.
 Both tools can use a database of
  * known splice sites and identify novel splice sites.
  * known single-nucleotide polymorphisms (SNPs).
 GSNAP can align bisulfite-treated DNA.

Package: gmediarender
Description-md5: 22bc7578d815e1e0f92e4c3af8a98477
Description-en: Small headless UPnP media renderer
 gmediarender is a UPnP media renderer for POSIX-compliant
 systems, such as Linux or UNIX. It implements the server
 component that provides UPnP controllers a means to render media
 content (audio, video and images) from a UPnP media server.
 .
 gmrender-resurrect is a fork from GMediaRender which was abandoned upstream.

Package: gmemusage
Description-md5: 779bfc68dc326f28699bba0f98f73e59
Description-en: Displays a graph detailing memory usage of each process
 Gmemusage is a graphical program modelled after the Silicon Graphics Inc.
 program of the same name. Gmemusage displays a window with a stacked bar.
 Areas on the bar correspond to individual processes running on the system
 and are updated periodically. Multiple copies of the same program
 (actually, programs with the same name) are merged into one area on the
 stack. Sizes of areas in the stack correspond to resident sizes of the
 processes.

Package: gmerlin
Description-md5: 53aacbd3ebad7fc78298d5f29ed554e8
Description-en: multiformat media player
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 The applications include
 .
  o The GUI player: A multiformat media player with tree-like virtual
    directory structure, where you can save your files, webstreams or
    whatever. Gmerlin handles even large media collections gracefully.
    Hardware devices appear also in the tree so you can open Audio-CDs,
    (S)VCDs, DVDs and DVB-broadcasts.
  o Gmerlin transcoder: Feature rich transcoder, which supports audio,
    video, subtitles and chapters.
  o Gmerlin alsamixer: An Alsa mixer with support for multiple cards and
    detachable mixer controls.
  o Gmerlin visualizer: Run visualization plugins from your soundcard
  o Plugin configurator: Configure gmerlin plugins for programs which can't
    do this themselves.

Package: gmerlin-data
Description-md5: 5332027db6bd89852bcfd7e5a7ccc824
Description-en: multiformat media player - data files
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 This package contains data files (theme,icons files) for gmerlin.

Package: gmerlin-encoders-extra
Description-md5: a089a3a3d9dd74c3a18cdc46727d09ec
Description-en: MJPEG encoders for Gmerlin
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 This package includes the "extra" set of Gmerlin's plugins, which
 contains the MJPEG encoders.

Package: gmerlin-encoders-ffmpeg
Description-md5: 4fbe98d4155221c3cb71c5bf5d4d200f
Description-en: ffmpeg encoders for Gmerlin
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 This package includes ffmpeg based encoders to be used by Gmerlin,
 enabling gmerlin-transcoder to encode more file formats.

Package: gmerlin-encoders-good
Description-md5: 4b7b9ef409e843dc5bfa6672594edf33
Description-en: patent-free encoders for Gmerlin
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 This package includes the "good" set of Gmerlin's plugins, which
 contains only non-proprietary and patent-free encoders.

Package: gmerlin-plugins-avdecoder
Description-md5: f7a29d664cbea1151a4005d77facbca8
Description-en: avdecoder plugins for Gmerlin
 Gmerlin_avdecoder is a general purpose media decoding library. It was
 written as a support library for gmerlin, but it can also be used by other
 applications.
 .
 This package contains the decoder plugins for gmerlin that are based on
 Gmerlin_avdecoder.

Package: gmerlin-plugins-base
Description-md5: 507abefb012dbe2d9e9a6a4b330ac0e4
Description-en: gmerlin plugins from the "base" set
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 This package provides the gmerlin plugins from the "base" set.

Package: gmetad
Description-md5: 5e5209d08a482599749da28322511fed
Description-en: cluster monitoring toolkit - Ganglia Meta-Daemon
 Ganglia is a scalable, real-time cluster monitoring environment
 that collects cluster statistics in an open and well-defined XML format.
 .
 This package contains the 'gmetad' daemon, which collects information from
 ganglia monitor clients and writes it to RRD databases.

Package: gmic
Description-md5: 93a8cff2a45238493290117e4f75696c
Description-en: GREYC's Magic for Image Computing
 G'MIC is an open and full-featured framework for image processing,
 providing several different user interfaces to
 convert/manipulate/filter/visualize generic image datasets, from 1d
 scalar signals to 3d+t sequences of multi-spectral volumetric images.
 .
 This package contains the stand-alone gmic binary.

Package: gmic-zart
Description-md5: 269f12f758a31e56ab9c725ab54ac459
Description-en: GREYC's Magic for Image Computing - ZArt
 G'MIC is an open and full-featured framework for image processing,
 providing several different user interfaces to
 convert/manipulate/filter/visualize generic image datasets, from 1d
 scalar signals to 3d+t sequences of multi-spectral volumetric images.
 .
 This package contains ZArt, a program whose purpose is to demonstrate
 the possibilities of the G'MIC image processing language by offering
 the choice of several manipulations on a video stream acquired from a
 webcam. In other words, ZArt is a GUI for G'MIC real-time manipulations
 on the output of a webcam.

Package: gmime-bin
Description-md5: 2ec1369982943d2a9aa72e602752c17c
Description-en: MIME message parser and creator library - runtime binaries
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains binary tools for encoding and decoding messages.

Package: gmlive
Description-md5: 05a4a1e26996699bdd4736a9bc3d78fa
Description-en: live video frontend for mplayer
 gmlive is a mplayer frontend designed to play live video. It
 provides simple user interface for playing video, and is able
 to play several live video stream including PPStream and PPLive
 when the non-free library from the service provider is available.

Package: gmotionlive
Description-md5: ceda5f577b726e909b092dd53d8c533d
Description-en: Simple multipart/x-mixed-replace viewer
 gmotionlive is a simple gtk viewer for streaming webcams that use
 multipart/x-mixed-replace streams.
 .
 It was written for viewing streams from the motion program but should be
 usable for other sources such as network cameras.

Package: gmp-ecm
Description-md5: aa8a1ad2382ce8ce2808bef77751b023
Description-en: Factor integers using the Elliptic Curve Method
 gmp-ecm is a free implementation of the Elliptic Curve Method (ECM)
 for integer factorization.
 .
 The original purpose of the ECMNET project was to make Richard Brent's
 prediction true, i.e. to find a factor of 50 digits or more by
 ECM. This goal was attained on September 14, 1998, when Conrad Curry
 found a 53-digit factor of 2^677-1 c150 using George Woltman's mprime
 program. The new goal of ECMNET is now to find other large factors by
 ecm, mainly by contributing to the Cunningham project, most likely the
 longest, ongoing computational project in history according to Bob
 Silverman. A new record was set by Nik Lygeros and Michel Mizony, who
 found in December 1999 a prime factor of 54 digits using GMP-ECM.
 .
 See http://www.loria.fr/~zimmerma/records/ecmnet.html for more
 information about ecmnet.
 .
 This package provides the command line utility.

Package: gmpc
Description-md5: bbbec091f0b170dc293858b6c780781c
Description-en: GNOME Music Player Client (graphical interface to MPD)
 A graphical client for Music Player Daemon. Features include:
  * Support for loading/saving playlists
  * File Browser
  * Browser based on ID3 information
  * Search
  * Current playlist viewer with search
  * ID3 Information
  * Cover art (via plugins)

Package: gmpc-data
Description-md5: 4ea0f02fd01790618ce95db3bd948086
Description-en: GNOME Music Player Client - data files
 A graphical client for Music Player Daemon. Features include:
  * Support for loading/saving playlists
  * File Browser
  * Browser based on ID3 information
  * Search
  * Current playlist viewer with search
  * ID3 Information
  * Cover art (via plugins)
 .
 This package contains architecture-independent data for gmpc.

Package: gmpc-dev
Description-md5: 1f53ee023abdf49f107cb4b5c5a7b88b
Description-en: GNOME Music Player Client (plugin development files)
 A graphical client for Music Player Daemon. Features include:
  * Support for loading/saving playlists
  * File Browser
  * Browser based on ID3 information
  * Search
  * Current playlist viewer with search
  * ID3 Information
  * Cover art (via plugins)
 .
 This package contains the necessary header files if you wish to compile
 plugins for gmpc.

Package: gmpc-plugins
Description-md5: 51322efbea9fcccef4c8899723fcdd2a
Description-en: Plugins for the GNOME Music Player Client
 gmpc-plugins is a set of plugins that enhance functionality of the GNOME
 Music Player Clients. Plugins are:
  - alarm, allows basic events to be scheduled
  - avahi, zeroconf profile scanning
  - coveramazon, disc cover fetcher from the internet
  - jamendo, Jamendo stream browser
  - lirc, remote control interface
  - lyrics, a lyrics fetcher from multiple sources
  - lyricwiki, add lyricwiki.org as lyrics source
  - magnatune, Magnatune stream browser
  - mdcover, display disc cover found in file metadata
  - osd, On screen display using xosd
  - shout, stream shout-cast

Package: gmrun
Description-md5: 5505941869c9571f5d71af98bd7ebcec
Description-en: Featureful CLI-like GTK+ application launcher
 This is gmrun; a small fast, yet featureful application launcher for use
 under X11, which uses GTK+ widget toolkit. Some features include tab-
 completion of file names and programs, history, easy x-terminal-emulator
 launching, and URL handling.

Package: gmsh
Description-md5: ec9c5a42a6097cc4bf803c56d0463756
Description-en: Three-dimensional finite element mesh generator
 Gmsh is a 3D finite element grid generator with a build-in CAD engine
 and post-processor. Its design goal is to provide a fast, light and
 user-friendly meshing tool with parametric input and advanced
 visualization capabilities. Gmsh is built around four modules: geometry,
 mesh, solver and post-processing. The specification of any input to
 these modules is done either interactively using the graphical user
 interface or in ASCII text files using Gmsh's own scripting language.
 .
 See Gmsh's reference manual for a more thorough overview of Gmsh's
 capabilities.

Package: gmsh-doc
Description-md5: ffe6fece0443158074b37b450e33efdb
Description-en: Three-dimensional finite element mesh generator documentation
 Gmsh is a 3D finite element grid generator with a build-in CAD engine
 and post-processor. Its design goal is to provide a fast, light and
 user-friendly meshing tool with parametric input and advanced
 visualization capabilities. Gmsh is built around four modules: geometry,
 mesh, solver and post-processing. The specification of any input to
 these modules is done either interactively using the graphical user
 interface or in ASCII text files using Gmsh's own scripting language.
 .
 See Gmsh's reference manual for a more thorough overview of Gmsh's
 capabilities.
 .
 The package contains documentation and examples.

Package: gmsl
Description-md5: 58b3fcae3b30fae3e718562105d6a1ba
Description-en: extra functions to extend functionality of GNU Makefiles
 The GNU Make Standard Library (GMSL) is a collection of functions implemented
 using native GNU Make functionality that provide list and string manipulation,
 integer arithmetic, associative arrays, stacks, and debugging facilities.
 .
 Note that despite the name of this project, this library is NOT standard and is
 NOT written or distributed by the GNU project.

Package: gmt
Description-md5: 8ac67adc3c58bce0682536c089d9c7ce
Description-en: Generic Mapping Tools
 GMT is a collection of tools that allow users to manipulate
 (x,y) and (x,y,z) data sets (including filtering, trend fitting, gridding,
 projecting, etc.) and produce Encapsulated PostScript File (EPS) illustrations
 ranging from simple x-y plots through contour maps to artificially illuminated
 surfaces and 3-D perspective views in black and white, gray tone, hachure
 patterns, and 24-bit color.
 .
 GMT supports many common map projections plus linear, log, and power scaling,
 and comes with support data such as coastlines, rivers, and political
 boundaries.

Package: gmt-common
Description-md5: dcf5e74fa34468ea764f0d7dcdb33f3c
Description-en: Generic Mapping Tools - Architecture-independent files
 GMT is a collection of tools that allow users to manipulate
 (x,y) and (x,y,z) data sets (including filtering, trend fitting, gridding,
 projecting, etc.) and produce Encapsulated PostScript File (EPS) illustrations
 ranging from simple x-y plots through contour maps to artificially illuminated
 surfaces and 3-D perspective views in black and white, gray tone, hachure
 patterns, and 24-bit color.
 .
 GMT supports many common map projections plus linear, log, and power scaling,
 and comes with support data such as coastlines, rivers, and political
 boundaries.
 .
 This package contains the architecture-independent files for GMT.

Package: gmt-dcw
Description-md5: cc14790de092056784a41a473e7dc3e0
Description-en: Digital Chart of the World (DCW) for GMT
 DCW-GMT is an enhancement to the original 1:1,000,000 scale vector basemap
 of the world available from the Princeton University Digital Map and
 Geospatial Information Center and from GeoCommunity at
 http://data.geocomm.com/readme/dcw/dcw.html.
 This data is for use by GMT, the Generic Mapping Tools.

Package: gmt-gshhg
Description-md5: 4af0656ff7b0903c248c921aa9b78ffd
Description-en: Global Self-consistent Hierarchical High-resolution Geography (GSHHG)
 GSHHG is a high-resolution shoreline data set amalgamated from two databases:
 Global Self-consistent Hierarchical High-resolution Shorelines (GSHHS) and
 CIA World Data Bank II (WDBII). GSHHG contains vector descriptions at five
 different resolutions of land outlines, lakes, rivers, and political
 boundaries. This data is for use by GMT, the Generic Mapping Tools.
 .
 This metapackage depends on all resolution specific GSHHG packages:
 .
  * gmt-gshhg-low   Low  resolution coastlines for the Generic Mapping Tools
  * gmt-gshhg-high  High resolution coastlines for the Generic Mapping Tools
  * gmt-gshhg-full  Full resolution coastlines for the Generic Mapping Tools
 .
 Use gmt-gshhg-low for the crude, low and intermediate resolution coastlines
 which will be enough to get you started.
 .
 Use gmt-gshhg-high or gmt-gshhg-full to create better quality maps at
 an higher resolution, but be aware that the full maps take space on
 your disk.

Package: gmt-gshhg-full
Description-md5: a85bd18b2b270e8772e5c2e40adffa27
Description-en: Full resolution coastlines for the Generic Mapping Tools
 GSHHG is a high-resolution shoreline data set amalgamated from two databases:
 Global Self-consistent Hierarchical High-resolution Shorelines (GSHHS) and
 CIA World Data Bank II (WDBII). GSHHG contains vector descriptions at five
 different resolutions of land outlines, lakes, rivers, and political
 boundaries. This data is for use by GMT, the Generic Mapping Tools.
 .
 This package contains the full resolution coastlines which are required
 to create best quality maps with GMT.

Package: gmt-gshhg-high
Description-md5: 6d4e18ea54eff011f802308958350224
Description-en: High resolution coastlines for the Generic Mapping Tools
 GSHHG is a high-resolution shoreline data set amalgamated from two databases:
 Global Self-consistent Hierarchical High-resolution Shorelines (GSHHS) and
 CIA World Data Bank II (WDBII). GSHHG contains vector descriptions at five
 different resolutions of land outlines, lakes, rivers, and political
 boundaries. This data is for use by GMT, the Generic Mapping Tools.
 .
 This package contains the high resolution coastlines which are required
 to create high quality maps with GMT.

Package: gmt-gshhg-low
Description-md5: 020054a6f25d188543bfb462abf73009
Description-en: Low resolution coastlines for the Generic Mapping Tools
 GSHHG is a high-resolution shoreline data set amalgamated from two databases:
 Global Self-consistent Hierarchical High-resolution Shorelines (GSHHS) and
 CIA World Data Bank II (WDBII). GSHHG contains vector descriptions at five
 different resolutions of land outlines, lakes, rivers, and political
 boundaries. This data is for use by GMT, the Generic Mapping Tools.
 .
 This package contains the crude, low and intermediate resolution coastlines
 which will be enough to get you started.
 .
 Use gmt-gshhg-high or gmt-gshhg-full to create better quality maps at
 an higher resolution, but be aware that the full maps take space on
 your disk.

Package: gmtkbabel
Description-md5: a83786a83af06eff9ab2c4fb9f300318
Description-en: graphical interface for mtkbabel
 gmtkbabel consists of a set of shell scripts which use zenity to
 provide a graphical user interface to mtkbabel. Mtkbabel is a
 command-line tool to operate GPS-unit with MTK (Mediatek) chipsets.

Package: gmtp
Description-md5: 88d669b7b00d6b9d0d7ede03f4fa1524
Description-en: simple file transfer program for MTP based devices
 gMTP is a simple and light-weight interface to the features provided
 by libmtp, which allows users to manage the files stored on any MTP
 music player supported by the libraries, including those with
 multiple storage devices (e.g. mobile phones).
 .
 It doesn't provide complex features such as playlist management,
 it just makes transferring files from and to devices easy, by
 allowing users to upload, download and remove files on the device
 with a fast and easy-to-use graphical interface.

Package: gmult
Description-md5: e10630ec1a6168ad7775672e7509834c
Description-en: figure out which letters are which numbers
 Multiplication Puzzle is a simple GTK+ 2 game that emulates the
 multiplication game found in Emacs.  Basically, a multiplication
 problem is shown with all digits replaced by letters.  Your job
 is to guess which letter represents which number.

Package: gnarwl
Description-md5: 47575bcbaf01d49fa49a934634cd93bd
Description-en: Email autoresponder based on LDAP
 Gnarwl is an email autoresponder. Unlike the original vacation program,
 gnarwl is based on LDAP, so that there's no need for users to have unix
 accounts on the mail server.

Package: gnat
Description-md5: 427f6897ee4efebdcecc99b90129a4c7
Description-en: GNU Ada compiler
 GNAT is a full-featured Ada 2012 compiler.  A quote from
 http://www.adaic.org says: "Easily reused and maintained, readable
 and user friendly, Ada code facilitates such massive software
 projects as the Space Station and the Paris Metro. It has proven to
 be extraordinarily robust in decades' worth of daily field tests
 under the most rigorous conditions in which millions of lives have
 been at stake."  Ada is the language for real-world, mission-critical
 programming.
 .
 At the same time, Ada's radical type safety helps novice programmers
 avoid many common mistakes and deliver their software on time (see
 http://www.adaic.org/atwork/trains.html).
 .
 This empty package depends on the default version of the Ada compiler
 for Debian, which is part of the GNU Compiler Collection. Its
 enforces the same version for all Ada compilations, as described in
 the Debian Ada Policy.

Package: gnat-10
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-aarch64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-alpha-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-arm-linux-gnueabi
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-arm-linux-gnueabihf
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-doc
Description-md5: 8139d6afb41aa31e17dbd1884d4ab725
Description-en: GNU Ada compiler (documentation)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the documentation in info format.

Package: gnat-10-hppa-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-i686-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-m68k-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-powerpc-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-powerpc64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-powerpc64le-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-riscv64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-s390x-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-sh4-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-sparc64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-10-x86-64-linux-gnux32
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-7
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-7-doc
Description-md5: 8139d6afb41aa31e17dbd1884d4ab725
Description-en: GNU Ada compiler (documentation)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the documentation in info format.

Package: gnat-7-sjlj
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-aarch64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-alpha-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-arm-linux-gnueabi
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-arm-linux-gnueabihf
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-doc
Description-md5: 8139d6afb41aa31e17dbd1884d4ab725
Description-en: GNU Ada compiler (documentation)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the documentation in info format.

Package: gnat-8-hppa-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-i686-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-m68k-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-powerpc-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-powerpc64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-powerpc64le-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-s390x-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-sh4-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-sjlj
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-aarch64-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-alpha-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-arm-linux-gnueabi
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-arm-linux-gnueabihf
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-hppa-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-i686-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-m68k-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-powerpc-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-powerpc64-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-powerpc64le-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-s390x-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-sh4-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-sparc64-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sjlj-x86-64-linux-gnux32
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-sparc64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-x86-64-linux-gnux32
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-aarch64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-alpha-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-arm-linux-gnueabi
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-arm-linux-gnueabihf
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-doc
Description-md5: 8139d6afb41aa31e17dbd1884d4ab725
Description-en: GNU Ada compiler (documentation)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the documentation in info format.

Package: gnat-9-hppa-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-i686-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-m68k-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-mips-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-mips64-linux-gnuabi64
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-mips64el-linux-gnuabi64
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-mipsel-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-mipsisa32r6-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-mipsisa32r6el-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-mipsisa64r6-linux-gnuabi64
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-mipsisa64r6el-linux-gnuabi64
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-powerpc-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-powerpc64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-powerpc64le-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-riscv64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-s390x-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-sh4-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-sparc64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-x86-64-linux-gnux32
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-doc
Description-md5: f0afe4104f3bd76b0518f10234020c3a
Description-en: Documentation for the GNU Ada compiler
 GNAT is a full-featured Ada 2012 compiler.  A quote from
 http://www.adaic.org says: "Easily reused and maintained, readable
 and user friendly, Ada code facilitates such massive software
 projects as the Space Station and the Paris Metro. It has proven to
 be extraordinarily robust in decades' worth of daily field tests
 under the most rigorous conditions in which millions of lives have
 been at stake."  Ada is the language for real-world, mission-critical
 programming.
 .
 At the same time, Ada's radical type safety helps novice programmers
 avoid many common mistakes and deliver their software on time (see
 http://www.adaic.org/atwork/trains.html).
 .
 This is an empty package that depends on the documentation package
 for the default Ada compiler for Debian, which is part of the GNU
 Compiler Collection.

Package: gnat-gps
Description-md5: 636a3fa9bc039b00d8c24b639f8f774b
Description-en: integrated development environment for C and Ada
 GNAT Programming Studio is a complete integrated development environment
 that gives access to a wide range of tools and integrates them smoothly.
 .
 GPS gives access to built-in file editing; HTML based help system;
 complete compile/build/run cycle; intelligent source navigation;
 project management; general graph technology giving access to many
 different browsers such as source dependency, project dependency, call
 graphs, etc.; fully integrated visual debugger, based on the GVD
 technology, and enhanced for inclusion in GPS; generic version control
 system, providing access to CVS, ClearCase, and possibly others in the
 future; many other tools such as a visual comparison, automatic
 generation of files, source reformatting.
 .
 GPS is fully customizable, providing several levels of customizations:
 a first level, available through the preferences dialog; a second
 level, which allows you to customize your menu items, tool bar and key
 bindings; a third level, which allows you to automate processing
 through scripts; and a fourth level, which allows any kind of very
 specific or tight integration, due to the open nature of GPS, and to
 its architecture.
 .
 GPS also integrates with existing editors such as Emacs and Vi.
 .
 This package also provides related command line tools:
  - gnatdoc extracts documentation from comments in Ada sources
  - gnatspark runs a spark tool according to a GNAT project
  - gps_cli is a command-line interface for gnat-gps

Package: gnat-gps-common
Description-md5: d58843c1676c219cab772a6a47f483c4
Description-en: integrated development environment for C and Ada (common files)
 GNAT Programming Studio is a complete integrated development environment
 that gives access to a wide range of tools and integrates them smoothly.
 .
 This package contains images, templates and plug-ins required at run time
 by GPS.

Package: gnat-gps-doc
Description-md5: b6019fe644bdc4031fbf4dd12b950413
Description-en: integrated development environment for C and Ada (documentation)
 GNAT Programming Studio is a complete integrated development environment
 that gives access to a wide range of tools and integrates them smoothly.
 .
 This package contains the documentation in HTML and PDF formats.
 This documentation consists of:
  - the GPS Tutorial: building Hello, World with the GPS
  - a User's Guide: using the GPS for multi-language projects
  - a Programmer's Manual: extending the GPS with new modules
  - an user's guide for the gnatdoc command line tool

Package: gnat-mingw-w64
Description-md5: 1562dadaf2bdac519bb9c18b81e84dc7
Description-en: GNU Ada compiler for MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This metapackage provides the Ada compiler, supporting
 cross-compiling to 32- and 64-bit MinGW-w64 targets.

Package: gnat-mingw-w64-i686
Description-md5: affec909b8321c4b85262ddcf50aecdd
Description-en: GNU Ada compiler for MinGW-w64 targeting Win32
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the Ada compiler, supporting
 cross-compiling to 32-bit MinGW-w64 targets.

Package: gnat-mingw-w64-x86-64
Description-md5: f11f0833d7e6a06000703019935b54c7
Description-en: GNU Ada compiler for MinGW-w64 targeting Win64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the Ada compiler, supporting
 cross-compiling to 64-bit MinGW-w64 targets.

Package: gngb
Description-md5: abe54f14f2fcbb49a10bb37ced4c1ca7
Description-en: Color Gameboy emulator
 Gngb is an emulator for GameBoy Classic, Color and others.
 .
 It uses the SDL library and OpenGL. This software supports joystick
 and keyboard as input.

Package: gniall
Description-md5: 0b18655df454b77d98b2b11f422e205a
Description-en: program that tries to learn a human language
 gNiall attempts to learn whatever language you try to teach it. It is
 basically a dissociator: it collects statistics on sentences you type
 and tries to construct meaningful replies. gNiall is inspired by Niall,
 an Amiga program by Matthew Peck.

Package: gnocchi-api
Description-md5: e7c870b77ca712a5618255b3e2532740
Description-en: Metric as a Service - API daemon
 Gnocchi is a service for managing a set of resources and storing metrics about
 them, in a scalable and resilient way. Its functionalities are exposed over an
 HTTP REST API.
 .
 This package contains the API server.

Package: gnocchi-common
Description-md5: 1bd56e577a579993460d9e1bb5621308
Description-en: Metric as a Service - common files
 Gnocchi is a service for managing a set of resources and storing metrics about
 them, in a scalable and resilient way. Its functionalities are exposed over an
 HTTP REST API.
 .
 This package contains the common files.

Package: gnocchi-metricd
Description-md5: 0e7f1b702365aa5a9abad215e79c0cc1
Description-en: Metric as a Service - metric daemon
 Gnocchi is a service for managing a set of resources and storing metrics about
 them, in a scalable and resilient way. Its functionalities are exposed over an
 HTTP REST API.
 .
 This package contains the metric daemon.

Package: gnocchi-statsd
Description-md5: fb97bffff7f28c5b8a79dfb320b1120d
Description-en: Metric as a Service - statsd daemon
 Gnocchi is a service for managing a set of resources and storing metrics about
 them, in a scalable and resilient way. Its functionalities are exposed over an
 HTTP REST API.
 .
 This package contains the metric daemon.

Package: gnokii
Description-md5: 85bb299fd9d08f741d528ad8a20e5b0a
Description-en: Datasuite for mobile phone management
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This metapackage installs all the non-daemon programs.

Package: gnokii-cli
Description-md5: 7914338b25a606b1bacc5f717e1d8564
Description-en: Datasuite for mobile phone management (console interface)
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This package provides the command line interface and the virtual modem daemon
 for non-AT-capable phones.

Package: gnokii-common
Description-md5: e22c0b7686cbae9b6e1478e301b30f73
Description-en: Datasuite for mobile phone management (base files)
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This package contains the base files for gnokii

Package: gnokii-dbg
Description-md5: 505e5c874f865d92a8b0dc461e7a758e
Description-en: Datasuite for mobile phone management (debug symbols
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This package provides the debug symbols for the various gnokii programs.

Package: gnokii-smsd
Description-md5: 58df5c859d539bd489a397fa53e4956a
Description-en: SMS Daemon for mobile phones
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 The SMSD (SMS daemon) handles automated sending and receiving of short messages
 using a storage backend. It is designed to use modules (plugins) to work with
 different backends, and shares Gnokii's runtime configuration and libraries.
 .
 This package contains the actual daemon and a file based backend. You will
 need to install the appropriate module packages to add support for other
 backends.

Package: gnokii-smsd-mysql
Description-md5: 5f88f96ca50b2da31f0631ea14776e23
Description-en: SMSD plugin for MySQL storage backend
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 The SMSD (SMS daemon) handles automated sending and receiving of short messages
 using a storage backend. It is designed to use modules (plugins) to work with
 different backends, and shares Gnokii's runtime configuration and libraries.
 .
 This package provides support for the MySQL storage backend.

Package: gnokii-smsd-pgsql
Description-md5: bf5b18f06e0393a8e54b6c35174064ea
Description-en: SMSD plugin for PostgreSQL storage backend
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 The SMSD (SMS daemon) handles automated sending and receiving of short messages
 using a storage backend. It is designed to use modules (plugins) to work with
 different backends, and shares Gnokii's runtime configuration and libraries.
 .
 This package provides support for the PostgreSQL storage backend.

Package: gnomad2
Description-md5: fd2c2fc131b7ffddb24adbcabac3fbcd
Description-en: Manage a Creative Labs Nomad Jukebox
 Gnomad 2 is a GUI built on top of GTK/GNOME 2, id3lib and libnjb that
 makes it possible to transfer tracks and files from/to a Creative
 Nomad Jukebox (all brands). It is designed much like an ordinary
 graphical FTP program.

Package: gnome
Description-md5: 984c5028c0ccad6f8854b311229d0985
Description-en: Full GNOME Desktop Environment, with extra components
 This is the GNOME Desktop environment, an intuitive and attractive
 desktop, with extra components.
 .
 This metapackage depends on the standard distribution of the GNOME
 desktop environment, plus a complete range of plugins and other
 applications integrating with GNOME and Debian, providing the best
 possible environment to date.

Package: gnome-2048
Description-md5: a3d300be73ca94aa6e50c3d3dded85c1
Description-en: sliding tile puzzle game
 GNOME 2048 is a clone of Gabriele Cirulli's 2048, a puzzle game based on
 Veewo Studios' 1024.
 .
 Move the sliding tiles with one of the four arrow keys on your keyboard.
 When two tiles with the same number touch, they combine into a single tile
 and the numbers are added together.

Package: gnome-accessibility-profiles
Description-md5: de3da7211d3d4d8e53a0fe9b7ed040e3
Description-en: Accessibility Profile Manager - GNOME profile data
 This package contains accessibility profiles for use with GNOME

Package: gnome-api-docs
Description-md5: 496b00afa16f37c71dba791811e45f2a
Description-en: API reference documentation for the GNOME libraries
 This metapackage brings all available API documentation for the
 libraries of the GNOME platform, as well as their important
 dependencies. This should be most of the documentation you need to
 write GNOME applications.
 .
 This documentation is best viewed within the devhelp documentation
 browser.

Package: gnome-applets
Description-md5: 5d13015ca02656c90aa50f2ab1c5bd1a
Description-en: Various applets for the GNOME panel - binary files
 Keyboard Accessibility Status: indicates keyboard accessibility settings,
 including the current state of the keyboard, if those features are in use.
 .
 Battery Charge Monitor: monitors the power subsystem on a laptop.
 .
 Brightness: provides a way to adjust screen brightness.
 .
 Character palette: provides a convenient way to access non-standard
 characters, such as accented characters, mathematical symbols, special
 symbols, and punctuation marks.
 .
 Command: shows the output of a command.
 .
 CPUFreq: CPU frequency scaling monitor.
 .
 Disk Mounter: lets you mount and unmount drives and file systems.
 .
 Eyes: pair of eyes which follow the mouse pointer around the screen.
 .
 Weather Report: shows the current weather conditions, and forecasts.
 .
 Inhibit: allows you to inhibit automatic power saving.
 .
 System Monitor: a system load indicator.
 .
 Network Monitor (Netspeed): shows how much traffic occurs on a network
 device (ethernet card, wireless LAN card, or dial-up).
 .
 Sticky Notes: lets you create, view, and manage sticky notes on the
 desktop.
 .
 Search Bar: allows you to find your data quickly using Tracker.
 .
 Timer: lets you start a timer and receive a notification when it is
 finished.
 .
 Trash: lets you drag items to the trash folder.
 .
 Window Buttons: shows window controls (minimize, restore, close) in the
 panel for maximized windows.
 .
 Window Picker applet: window switcher showing only icons, not titles.
 .
 Window Title: shows window title in the panel for maximized windows.

Package: gnome-applets-data
Description-md5: ee365d5f47182bbf8d8d6a9844b020e2
Description-en: Various applets for the GNOME panel - data files
 This package contains data files (pixmaps, icons, documentations) needed by
 the gnome-applets package.

Package: gnome-audio
Description-md5: e834ac5c4f069d1165c6a47143d20c9b
Description-en: Audio files for GNOME
 GNOME is the "GNU Network Object Model Environment"
 .
 It is a project to build a complete, user-friendly desktop based
 entirely on free software.
 .
 This package contains a collection of sounds for use with GNOME.

Package: gnome-backgrounds
Description-md5: bbd107a68b59c707d044b0ede730b33a
Description-en: Set of backgrounds packaged with the GNOME desktop
 This is a collection of desktop wallpapers created with GNOME users in
 mind.

Package: gnome-books
Description-md5: c0456375a5b57ba76841220b1509c585
Description-en: ebook reader for GNOME
 GNOME Books is a simple application to access and organize your ebooks.
 It is meant to be a simple and elegant replacement for using a
 file manager to deal with ebooks. The app supports comic books
 and ePub books.

Package: gnome-boxes
Description-md5: 21904b7dceabc5964a6cd50a41645603
Description-en: Simple GNOME app to access remote or virtual systems
 GNOME Boxes is a desktop client to view or use local virtual machines,
 remote physical machines, or remote virtual machines. Boxes is
 intentionally simple and easy to use.
 .
 Hardware virtualization is required to use local virtual machines.

Package: gnome-brave-icon-theme
Description-md5: 339d98d8c47a1b1089cdb97c24740bb4
Description-en: blue variation of the GNOME-Colors icon theme
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides the GNOME-Brave (Blue) variation of the
 GNOME-Colors icon theme.

Package: gnome-breakout
Description-md5: 7dfcdef19c992a2b058fe74815e487cd
Description-en: Clone of the classic game Breakout, written for GNOME
 A clone of the classic game "Breakout". Control a paddle at the
 bottom of the playfield and bounce a ball against bricks at the
 top to destroy them.

Package: gnome-builder
Description-md5: ab40b3eb334588b66259c6735e3f7577
Description-en: IDE for GNOME
 Builder is a new IDE for GNOME that is focused on bringing the power of
 our platform to more developers than ever before.
 .
 Builder attempts to be an IDE for writing software for GNOME. It does not try
 to be a generic IDE, but one specialized for GNOME application developers.

Package: gnome-cards-data
Description-md5: 1f8504d0f05c243dbfe3b777245653d9
Description-en: data files for the GNOME card games
 This package contains pictures of card decks used by the card-based
 GNOME games. Different themes are provided.

Package: gnome-chess
Description-md5: 06725d6e3f88a846b7b2929994c06b91
Description-en: simple chess game
 This is the chess game from the GNOME desktop. It allows one to play
 with most existing chess engines, or against a human opponent.

Package: gnome-clocks
Description-md5: bbf69cded4fb480ce6764edb86df0880
Description-en: Simple GNOME app with stopwatch, timer, and world clock support
 GNOME Clocks is a simple application to show the time and date in multiple
 locations and set alarms or timers. A stopwatch is also included.

Package: gnome-color-manager
Description-md5: 4124fcb8f3feffc0ec2080cdd607623c
Description-en: Color management integration for the GNOME desktop environment
 GNOME Color Manager is a set of graphical utilities for color
 management to be used in the GNOME desktop.  With the help of
 ArgyllCMS, it can create and apply display ICC color profiles.

Package: gnome-colors
Description-md5: 91642e0ff322744a3d783d15c9f72769
Description-en: set of GNOME icon themes
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides seven variations of the GNOME-Colors icon theme:
 .
 GNOME-Brave (Blue), GNOME-Dust (Chocolate), GNOME-Human (Orange),
 GNOME-Illustrious (Pink),
 GNOME-Noble (Purple), GNOME-Wine (Red), and GNOME-Wise (Green)

Package: gnome-colors-common
Description-md5: 19da390c306d9ae9d8706c3de1bb3731
Description-en: common icons for all GNOME-Colors icon themes
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides the common icons used by all variants of the
 GNOME-Colors icon theme.

Package: gnome-common
Description-md5: 1c22ca15aa3a851a0b1118ceed08aff6
Description-en: common scripts and macros to develop with GNOME
 gnome-common is an extension to autoconf, automake and libtool for the GNOME
 environment and GNOME using applications. Included are gnome-autogen.sh and
 several macros to help in GNOME source trees.

Package: gnome-contacts
Description-md5: 79d9d8cdf2b5dd4c7e214e8397694bcd
Description-en: Contacts manager for GNOME
 GNOME Contacts is a standalone application to view and manage contacts
 provided by all available contacts sources (Evolution, Empathy, etc).

Package: gnome-core
Description-md5: fcab6512392d98bcda40d798ee6af273
Description-en: GNOME Desktop Environment -- essential components
 These are the core components of the GNOME Desktop environment, an
 intuitive and attractive desktop.
 .
 This metapackage depends on a basic set of programs, including a file
 manager, an image viewer, a web browser, a video player and other
 tools.
 .
 It contains the official “core” modules of the GNOME desktop.

Package: gnome-desktop-testing
Description-md5: 5d4e60a125fb25e6989d99556dd65c84
Description-en: runner for GNOME installed tests
 The GNOME desktop testing runner provides an execution harness for GNOME
 installed tests.
 .
 These tests are useful for verifying the functionality of software as
 installed and packaged, and complement rather than replace build-time
 ('make check') tests. For example you may wish to run the installed
 tests from a DEP-8 autopkgtest testsuite as a form of regression
 testing.

Package: gnome-devel
Description-md5: 4b80f7ee218a9bd537ce4990cb1bc0fd
Description-en: GNOME Desktop Environment -- development tools
 These are the development tools of the GNOME Desktop environment, an
 intuitive and attractive desktop.
 .
 This metapackage depends on a recommended set of applications to
 develop new programs for GNOME.

Package: gnome-devel-docs
Description-md5: 1124765014bad1829a5ff59dd23e8741
Description-en: GNOME developer documentation
 Documentation for developing for the GNOME desktop environment.
 It contains:
  * Platform Overview
  * Accessibility Guide
  * Integration Guide
  * Optimization Guide
  * Human Interface Guidelines
  * GNOME Developer Demos

Package: gnome-dictionary
Description-md5: a1c2d24db9e410967b65da6e70e9eddc
Description-en: GNOME dictionary application
 This program can look for the definition or translation of a word in
 existing databases over the Internet

Package: gnome-documents
Description-md5: 037eb12accd9c20f0b95a1939da9c9a5
Description-en: Document manager for GNOME
 GNOME Documents is a standalone application to find, organize and view
 your documents.

Package: gnome-dust-icon-theme
Description-md5: d0ba3af13bff75425fc2f4850e153cb4
Description-en: chocolate variation of the GNOME-Colors icon theme
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides the GNOME-Dust (Chocolate) variation of the
 GNOME-Colors icon theme.

Package: gnome-dvb-client
Description-md5: 9908bb1b708f8100bdd367937e24ec2c
Description-en: client for gnome-dvb-daemon
 GNOME DVB Daemon is a GStreamer based daemon to setup your
 DVB devices, record and/or watch TV shows and browse EPG.
 .
 This package contains the Python GTK+ client, which speaks
 to the daemon via DBUS.

Package: gnome-dvb-daemon
Description-md5: 2bb4eed5a3fd15457bf02236479c94b3
Description-en: daemon to setup your DVB devices, record and watch TV shows and browse EPG
 GNOME DVB Daemon is a GStreamer based daemon to setup your
 DVB devices, record and/or watch TV shows and browse EPG.
 .
 It can be controlled by any application via its D-Bus interface.

Package: gnome-exe-thumbnailer
Description-md5: e048f70fea7142fd2b7f3abccaa49697
Description-en: transitional dummy package for exe-thumbnailer
 This is a transitional dummy package for exe-thumbnailer, which can be
 safely removed.

Package: gnome-extra-icons
Description-md5: 5abfec13fd1d746b4a92139e1a78e208
Description-en: Optional GNOME icons
 Optional GNOME icons from http://art.gnome.org/. This package just install a
 lot of nice icons in /usr/share/pixmaps. Most of them are png files that give
 you more options to customize your desktop. Also, they can be used in any
 desktop which supports icons, not only GNOME.

Package: gnome-firmware
Description-md5: 869337de3ac6e8268b81fc55e720112e
Description-en: GTK front end for fwupd
 This application can:
 .
  - Upgrade, Downgrade, & Reinstall firmware on devices supported by fwupd.
  - Unlock locked fwupd devices
  - Verify firmware on supported devices
  - Display all releases for a fwupd device

Package: gnome-flashback
Description-md5: e0cbd47cd86279bdc604be4aea2fc70f
Description-en: helper application for the GNOME Flashback session
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package provides a helper application for the GNOME Flashback
 desktop session. This application:
  - provides the Logout, Reboot and Shutdown dialogs;
  - provides the Sound, Bluetooth and Power tray applets;
  - implements screenshot and screencast functionality;
  - implements input source switching functionality;
  - draws desktop background and icons;
  - implements other functionality which was previously handled by
    gnome-settings-daemon.

Package: gnome-flashback-common
Description-md5: c230ffab2c29bc325c66af90a4b6e9e3
Description-en: GNOME Flashback helper application - common data files
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package contains translations and data files for the GNOME
 Flashback application.

Package: gnome-games
Description-md5: 2443e597e8cb9da0c471d6ba50ef9a22
Description-en: games for the GNOME desktop
 These are the games from the official GNOME release. They have the look and
 feel of the GNOME desktop, but can be used without it.
 .
 Games are now split in separate packages; this metapackage brings all
 of them but they can be installed separately.

Package: gnome-games-app
Description-md5: 836fae346651a8655668124e13c58400
Description-en: Game browser and launcher for GNOME
 GNOME Games lets you browse your video games library and easily pick
 and play a game from it.

Package: gnome-genius
Description-md5: 42076cb53eb35b8fa7afae234875a4c3
Description-en: advanced general purpose calculator program (GNOME frontend)
 Genius is a general purpose calculator program similar in some aspects to
 BC, Matlab or Maple. It is useful both as a simple calculator and as a
 research or educational tool. The syntax is very intuitive and is designed
 to mimic how mathematics is usually written.
 .
 This package contains a GNOME frontend for genius.

Package: gnome-gmail
Description-md5: 76b0a38073b61cdae915618676387a2e
Description-en: support for Gmail as the preferred email application in GNOME
 GNOME Gmail integrates the Gmail web interface into the GNOME environment.
 Once installed, Gmail becomes a choice as the default Mail Reader in the GNOME
 Preferred Applications administration application. When selected, a Gmail web
 page will be used whenever an email service is requested.
 .
 Logout to complete the configuration.

Package: gnome-human-icon-theme
Description-md5: e39b33cb4466dcc9f5773f8eb33a9478
Description-en: orange variation of the GNOME-Colors icon theme
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides the GNOME-Human (Orange) variation of the
 GNOME-Colors icon theme.

Package: gnome-humility-icon-theme
Description-md5: c36fcc23f2857bd6e45f68e19feea8f7
Description-en: humility icon theme
 This GTK+ theme provides a scalable group of icons to be used by GTK+
 applications.

Package: gnome-hwp-support
Description-md5: 0d7e755a02fc8e5be6be6dc3603eed49
Description-en: HWP document integration for GNOME desktop
 This is a collection of software for integrating HWP documents in
 GNOME desktop. It includes:
 .
  - thumbnailer which generates thumbnails of HWP documents.
  - Nautilus properties page for HWP documents.

Package: gnome-icon-theme
Description-md5: 56f11bd9f2379f1c6d0184e53417015a
Description-en: GNOME Desktop icon theme
 This package contains the default icon theme used by the GNOME desktop.
 The icons are used in the panel menu, and in nautilus and other
 applications, to represent the different applications, files,
 directories, and devices.

Package: gnome-icon-theme-gartoon
Description-md5: edde681c3d0aba0d178ba7f38f5186e8
Description-en: Gartoon icon theme for GTK+ 2.x
 This GTK+ theme provides an animated scalable group of icons to be used
 by GTK+ 2.x applications like GNOME 2.
 .
 Homepage: http://www.zeusbox.org/icon/

Package: gnome-icon-theme-gartoon-redux
Description-md5: 87a8b93910079bffa061b811bf39ff1d
Description-en: Cartoon-style SVG icon theme based on Gartoon
 Gartoon Redux is an expanded version of the Gartoon theme, with dozens
 of new icons. PNG renderings of the SVG icons are also provided.

Package: gnome-icon-theme-gperfection2
Description-md5: d6cbff0b68e63d8cfe65796d2498ec4b
Description-en: icon theme for GTK+ 2.x
 This GTK+ theme provides a scalable group of icons to be used by GTK+
 2.x applications like GNOME 2.

Package: gnome-icon-theme-nuovo
Description-md5: 95ece5e8a6d3ae12f0df9ce5e0a78dfb
Description-en: Dropline Nuovo icon theme
 This GTK+ theme provides a scalable group of icons to be used by GTK+
 applications.

Package: gnome-icon-theme-suede
Description-md5: 197d253c0695dac3f26b71021f61c196
Description-en: Suede GTK+ icon theme
 This GTK+ theme provides a scalable group of icons to be used by GTK+
 applications.

Package: gnome-icon-theme-yasis
Description-md5: 85ad726b685cb4c8b00f32546bb40d33
Description-en: YASIS (Yet Another Scalable Icon Set)
 A Scalable Vector Graphic (SVG) icon set for GNOME Desktop.

Package: gnome-illustrious-icon-theme
Description-md5: 1f14eed5b9452a3d37a1e8a0f947ce41
Description-en: pink variation of the GNOME-Colors icon theme
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides the GNOME-Illustrious (Pink) variation of the
 GNOME-Colors icon theme.

Package: gnome-keysign
Description-md5: ca85730a95c3d0bf441db9a66ba25b6e
Description-en: easy signing of OpenPGP keys over the local network
 GNOME Keysign is a tool for signing OpenPGP keys.
 .
 Its purpose is to ease signing other peoples' keys. It is similar to caff,
 PIUS, or monkeysign. In fact, it is influenced a lot by these tools and
 either reimplements ideas or reuses code. Consider either of the above
 mentioned tools when you need a much more mature codebase.
 .
 In contrast to caff or monkeysign, this tool enables you to sign a key
 without contacting a key server. It downloads an authenticated copy of the
 key from the other party. For now, the key is authenticated by its fingerprint
 which is securely transferred via a QR code. Alternatively, the user may type
 the fingerprint manually, assuming that it has been transferred securely via
 the audible channel.
 .
 After having obtained an authentic copy of the key, its UIDs are signed. The
 signatures are then encrypted and sent via email. In contrast to monkeysign,
 xdg-email is used to pop up a pre-filled email composer windows of the mail
 client the user has configured to use. This greatly reduces complexity as no
 SMTP configuration needs to be obtained and gives the user a well known
 interface.

Package: gnome-klotski
Description-md5: d32e002ad92eb5b0bf7ea18f2627bfd6
Description-en: Klotski puzzle game for GNOME
 This is a clone of the popular Klotski game. The objective is to move
 a patterned block to a given place using the mouse, in as few moves as
 possible. Other blocks will need to be moved out of the way.

Package: gnome-maps
Description-md5: d2e6b5504bdde5755eb5c696cfa41232
Description-en: map application for GNOME
 Maps is a map application for GNOME that allows you to view maps in different
 zoom levels, search for places, etc.

Package: gnome-mastermind
Description-md5: 6fabdaa2e1a3cade1192360bde5787ec
Description-en: Mastermind™ clone for GNOME
 The goal of this board game is to break a code using obscure hints.
 The game is played by 2 players: the codemaker (the computer) and the
 codebreaker.  The computer generates a combination of 4 colors.  The
 codebreaker has to guess that pattern (both order and colors) with
 the least number of tries.  At each turn, the codebreaker tries a
 pattern and the computer provides feedback by placing pegs in the
 score grid.  A white peg indicates a right color but wrong position;
 a colored peg means that both color and position are correctly
 guessed.

Package: gnome-mime-data
Description-md5: 9b063ee1e2d34952bbfe193cf3ca0429
Description-en: base MIME and Application database for GNOME.
 This module contains the base MIME and Application database for GNOME.
 It is meant to be accessed through the MIME functions in GnomeVFS.

Package: gnome-mousetrap
Description-md5: 95ec57d7becb97b87bfbcfedff3cefff
Description-en: Head tracked mouse control
 MouseTrap permits people with movements impairments to access the computer.
 It uses image processing to translate the user's head movements into
 mouse events (movements, clicks) which allow users to interact with
 the different desktops managers and applications.

Package: gnome-mpv
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: gnome-multi-writer
Description-md5: d3ad93a45821fbec7ec8f3de269ada45
Description-en: Write an ISO file to multiple USB devices at once
 MultiWriter can be used to write an ISO file to multiple USB devices
 at once. Supported drive sizes are between 1Gb and 32Gb.
 .
 MultiWriter may be useful for QA testing, to create a GNOME Live image
 for a code sprint or to create hundreds of LiveUSB drives for a trade show.

Package: gnome-music
Description-md5: 0137e5bda6fe2b5c626fcdb0b07949b2
Description-en: Music is the new GNOME music playing application
 Music is the new GNOME music playing application aiming at being
 a simple and elegant replacement for using Files to show the
 Music directory.
 Objectives includes listening to local and online/cloud collections,
 listen to music on attached devices, listen to music shared by friends,
 listen to audiobooks, a player for DLNA media servers, share music,
 upload music and select favorites.

Package: gnome-nds-thumbnailer
Description-md5: b124efdb8999ea926a1a45a64b3f59c3
Description-en: Nintendo DS roms thumbnailer for GNOME
 gnome-nds-thumbnailer is a thumbnailer for GNOME that will make
 thumbnails for Nintendo DS roms by extracting their preview icon.

Package: gnome-nettool
Description-md5: 70ef0cad85618a46c304e6c36022b8a5
Description-en: network information tool for GNOME
 GNOME Nettool is a network information tool which provides user interfaces for
 some of the most common command line network tools including:
    * ifconfig
    * ping
    * netstat
    * traceroute
    * port scanning
    * DNS lookup
    * finger
    * whois

Package: gnome-nibbles
Description-md5: ccb0a9603f67265e5d5ac0d42961ba34
Description-en: snake game, up to four players
 This is a GNOME port of the popular “Snake” game.
 .
 Nibbles is a game where the user controls a worm. The worm moves around
 the board, eating diamonds while avoiding the walls placed around it.

Package: gnome-noble-icon-theme
Description-md5: 03892c1926e4d3d127565e33f1b1596a
Description-en: purple variation of the GNOME-Colors icon theme
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides the GNOME-Noble (Purple) variation of the
 GNOME-Colors icon theme.

Package: gnome-online-miners
Description-md5: 3863fa69264e39092bd7e6536dd99930
Description-en: Crawls through your online content
 GNOME Online Miners provides a set of crawlers that go through your online
 content and index them locally in Tracker.
 .
 It has miners for Facebook, Flickr, Google, ownCloud and SkyDrive.

Package: gnome-orca
Description-md5: 8eb70bca42553422e3049285150377e0
Description-en: Scriptable screen reader - transitional package
 A flexible, extensible, and powerful assistive technology that
 provides end-user access to applications and toolkits that support the
 AT-SPI (e.g., the GNOME desktop).
 .
 This package is here to ensure smooth upgrades. It can be removed when
 you see fit.

Package: gnome-packagekit
Description-md5: bfcc8f9d59fbc2df0d120622c20e75c8
Description-en: Graphical distribution neutral package manager for GNOME
 PackageKit allows performing simple software management tasks over a DBus
 interface  e.g. refreshing the cache, updating, installing and removing
 software packages or searching for multimedia codecs and file handlers.
 .
 This package contains a GTK+ based application for package installation
 and removal, an application to view system updates, a simple
 repository editor and PackageKit configuration tool and a viewer for
 package installation history.

Package: gnome-packagekit-data
Description-md5: d29fc26c510abe032792d9c4d1ba930b
Description-en: Data files for GNOME-PackageKit
 PackageKit allows performing simple software management tasks over a DBus
 interface  e.g. refreshing the cache, updating, installing and removing
 software packages or searching for multimedia codecs and file handlers.
 .
 This package contains architecture-independent files for all
 GNOME-PackageKit modules.

Package: gnome-paint
Description-md5: d398baa20c68f3bf9eaaf772659cff80
Description-en: simple, easy to use paint program for GNOME
 gnome-paint is a program inspired by MS Paint and designed for
 GNOME (and maybe other) desktop environment. It could be used to
 manipulate images in a very simple way. With a very friendly
 user interface, gnome-paint is easy to get started for new users.

Package: gnome-panel
Description-md5: 87eefcca6d70370868b897537b8a33ba
Description-en: traditional panel, used in GNOME Flashback
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 GNOME Panel is a part of the GNOME Flashback desktop, and provides panels
 and default applets for the desktop. A panel is a horizontal or vertical
 bar that can be added to a screen margin. It can contain various applets,
 such as the menu bar, application launch icons, the clock, volume controls,
 and displays for network connectivity, battery level, and other system
 monitors.

Package: gnome-panel-control
Description-md5: 4409b9c3cacc0a251ef8a1278a66db17
Description-en: command line utility to invoke GNOME panel run dialog/menu
 gnome-panel-control can be used to invoke the GNOME panel run or main
 menu from the command line. This is originally a helper utility of openbox
 since gnome-panel is no longer managing its own key bindings.

Package: gnome-panel-data
Description-md5: 4d22afebe43465b1d6a8181e72da10e1
Description-en: common files for GNOME Panel
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package includes some files that are needed by the GNOME Panel
 (Pixmaps, .desktop files and internationalization files).

Package: gnome-phone-manager
Description-md5: 0f3d8f29d64218a43ffc293ed5c6f906
Description-en: control aspects of your mobile phone from your GNOME 2 desktop
 Phone Manager is a program created to allow you to control aspects of your
 mobile phone from your GNOME 2 desktop.
 .
  Current features include:
 .
  - Runs in the background; indicates status on the panel notification area.
  - Display on-screen alert when text message (SMS) arrives
  - Text message (SMS) sending facility
  - Evolution Addressbook integration

Package: gnome-photos
Description-md5: ee8d4c3eb37dc7686d0668f066912189
Description-en: application to access, organize and share your photos with GNOME
 GNOME Photos is designed to fulfill your needs to:
  - View local and online/cloud collections
  - View photos on attached devices
  - View photos on DLNA media servers
  - See new photos shared by friends
  - View full screen slideshows
  - Share photos
  - Upload photos
  - Set as background
  - Select favorites
  - Very basic manipulation: Crop, Enhance, Combine into an Album

Package: gnome-pie
Description-md5: d8e8fa80e3569e7c0efd7b7ea7e24a02
Description-en: visual application launcher for GNOME
 gnome-pie is a radial visual application launcher for GNOME. It allows the
 user to bind groups of frequently used applications to a ring that appears
 when a hot key / mouse binding is triggered.
 .
 It was inspired by the OPie addon written for the game World of Warcraft.

Package: gnome-pkg-tools
Description-md5: c70a3c297a4da5c32996ed18c8c8e7f9
Description-en: Tools for the Debian GNOME Packaging Team
 This package contains some useful tools for the Debian GNOME Packaging Team
 including:
   * Documentation.
   * The list of team members.
   * A number of rules files for CDBS that are helpful for GNOME
     packages - but may also be useful for others.

Package: gnome-platform-devel
Description-md5: 7bf26e57deae6cf1571f41b9eebefca1
Description-en: GNOME development platform
 These are the development components that are part of the development
 “platform” for the GNOME Desktop environment, an intuitive and
 attractive desktop.
 .
 This metapackage depends on the recommended components to develop and
 build applications using GNOME technologies. Most of these components
 have long-term API stability guarantees.

Package: gnome-recipes
Description-md5: 7d38e9c40330dfd7e6311394de18b737
Description-en: Recipe application for GNOME
 GNOME Recipes is an easy-to-use application that will help you to discover
 what to cook for today, tomorrow, the rest of the week, and your special
 occasions.
 .
 GNOME Recipes comes with a collection of recipes that have been collected
 by GNOME contributors from all over the world. It also lets you store your
 own recipes, and share them with your friends.

Package: gnome-recipes-data
Description-md5: 4361284ade0c880c9819e46d9ac8027a
Description-en: Recipe application for GNOME (data files)
 GNOME Recipes is an easy-to-use application that will help you to discover
 what to cook for today, tomorrow, the rest of the week, and your special
 occasions.
 .
 GNOME Recipes comes with a collection of recipes that have been collected
 by GNOME contributors from all over the world. It also lets you store your
 own recipes, and share them with your friends.
 .
 This package contains architecture-independent data files.

Package: gnome-remote-desktop
Description-md5: d116274949787d43317b35c84e6d2f76
Description-en: Remote desktop daemon for GNOME using PipeWire
 This daemon enables GNOME to offer remote desktop sharing using VNC
 with PipeWire. It supports both GNOME on X and GNOME on Wayland.
 Remote sharing can be enabled and managed in the GNOME Settings app.
 .
 This feature will not work on Ubuntu until mutter is recompiled
 with the remote desktop option enabled.

Package: gnome-robots
Description-md5: 05ca71e2a43c49fc164c03d257ff91a0
Description-en: improved old BSD robots game
 GNOME Robots is a game where you try and avoid a band of marauding
 robots which are, for no adequately explained reason, trying to kill
 you. It is based on the text-based robots game which can be found on a
 number of UNIX systems.

Package: gnome-screensaver
Description-md5: d09624e05d8fa56cf527148f90f44c88
Description-en: Screensaver and screen lock formerly used in GNOME
 gnome-screensaver is a simple screen saver and screen lock, used in older
 versions of the GNOME desktop environment.
 .
 It is designed to support, among other things:
 .
  * the ability to lock down configuration settings
  * translation into other languages
  * user switching
 .
 This package is not necessary in the GNOME desktop environment, because
 GNOME Shell contains its own screen lock implementation. It can be
 used with alternative desktop environments.

Package: gnome-screensaver-flags
Description-md5: d9a78cc1e97c67b0c09e87924fbdbb20
Description-en: Screensaver for GNOME with flags of the world.
 Screensaver contains about 250 static images with flags of the world.

Package: gnome-session
Description-md5: 7cfb8372c3ddbf9bd501f540d336a524
Description-en: GNOME Session Manager - GNOME 3 session
 The GNOME Session Manager is in charge of starting the core components
 of the GNOME desktop, and applications that should be launched at
 login time. It also features a way to save and restore currently
 running applications.
 .
 This package contains the required components for the standard GNOME 3
 session, based on the GNOME Shell. It can be started from a display
 manager such as GDM, and requires 3D acceleration to work properly.

Package: gnome-session-flashback
Description-md5: 0fc4b8527ae43ce181716c6a4b8658ca
Description-en: traditional desktop session based on GNOME technologies
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 The key parts of GNOME Flashback are the GNOME Panel and the Metacity
 window manager. All other components, such as the file manager, the
 settings center, and the various applications, come from mainstream
 GNOME.
 .
 GNOME Flashback has lower hardware requirements than GNOME Shell, and
 consumes less memory.
 .
 This package provides the required components for the GNOME Flashback
 session. It can be started from a display manager such as GDM.

Package: gnome-session-wayland
Description-md5: 7ae1d09faba99d406d069eec99eee4aa
Description-en: GNOME Session Manager - GNOME 3 session (transitional package)
 This is a transitional package. It can safely be removed.

Package: gnome-shell-extension-arc-menu
Description-md5: 1498b69eda28e518c10c68e663e4d6f5
Description-en: shell extension designed to replace the standard menu found in GNOME
 Arc Menu is a GNOME shell extension designed to replace the standard menu
 found in GNOME 3 this application menu extension has some added benefits
 over the standard menu found in GNOME 3, these include the long awaited
 search functionality as well as quick access to files on your system and
 also the current logged in user along with quick access to the software
 centre and system settings and other features which can be accessed from the
 settings menu.

Package: gnome-shell-extension-autohidetopbar
Description-md5: 93da1149b58520f46e5dfadb1278eab9
Description-en: GNOME shell automatic topbar hider
 This gnome-shell extension automatically hides the topbar, except in overview.
 There is also an option to show the topbar when the mouse pointer approaches
 the edge of the screen.
 .
 Please note that you have to manually enable the extension, for example using
 gnome-tweak-tool.

Package: gnome-shell-extension-bluetooth-quick-connect
Description-md5: 3dfdb88808fead23a37a6d7310f2e746
Description-en: GNOME Shell extension to connect paired Bluetooth devices
 This GNOME Shell extension adds entries to the shell's System menu
 to provide a quick way to connect and disconnect Bluetooth devices that
 were previously paired with the computer.
 .
 Please note that each user will need to enable the extension manually, for
 example using the gnome-tweaks application.

Package: gnome-shell-extension-caffeine
Description-md5: 987c98bf0c9d32fc0a9650e8660b237f
Description-en: GNOME Shell extension to keep your computer awake
 This GNOME Shell extension provides an icon which can be toggled to
 inhibit the actions that would normally be taken when the system is idle,
 including screen locking, screensaver and automatic suspend. By default
 it also inhibits idle actions while any window is full-screen, and it can
 be configured to inhibit idle actions while user-specified applications
 have any window open.
 .
 Please note that each user will need to enable the extension manually, for
 example using the gnome-shell-extension-prefs application.

Package: gnome-shell-extension-dash-to-panel
Description-md5: 63e193d0ba2fcbd880f805d69b871251
Description-en: combines the dash and the GNOME main panel into a single panel
 Moves the dash into the GNOME main panel so that the application launchers
 and system tray are combined into a single panel, similar to that found
 in KDE Plasma and Windows 7+.
 .
 A separate dock is no longer needed for easy access to running and
 favorited applications.

Package: gnome-shell-extension-disconnect-wifi
Description-md5: a2cd28220bf398a277e6a95317a40991
Description-en: disconnect Wi-Fi extension for GNOME shell
 By default GNOME shell doesn't have an option to disconnect from Wi-Fi
 from the panel menu.
 .
 This plugin adds a 'disconnect' menu entry to the Wi-Fi menu.

Package: gnome-shell-extension-draw-on-your-screen
Description-md5: cd22d6898b88510a10a174b0d2e04319
Description-en: start drawing on your screen and save your beatiful work in a screenshot
 Allows you to draw on your screen in GNOME Shell.
 .
 Features:
   - Basic shapes (rectangle, circle, ellipse, line, curve, text, free)
   - Smooth stroke
   - Drawing on desktop and persistence
   - Multi-monitor support
   - Export to SVG
 .
 The default keybinding for this extension is Super+Alt+D.

Package: gnome-shell-extension-gamemode
Description-md5: 9cd8610ac32f63cbe347f55f647fb5e3
Description-en: gnome-shell extension that monitors the current status of gamemode
 GameMode optimises your system for peak performance when running a game.
 .
 This shell extension will show you whether GameMode is active and for which
 windows.
 .
 If you have the Debian gamemode package installed, you can test this
 extension by running: /usr/libexec/gamemode-simulate-game
 which should pop up a notification that gamemode is running, and
 that it has stopped after 10 seconds.

Package: gnome-shell-extension-gsconnect
Description-md5: 0cac3d6986fdc5ac30f892c8100cd9e5
Description-en: KDE Connect implementation for GNOME Shell
 This extension enables you to connect your phone or other devices to your
 system, sending remote sms, see phone calls, share notifications, send files.
 .
 To connect an Android device, install the KDE Connect Android app from
 the Google Play Store or F-Droid.
 .
 GSConnect is a complete KDE Connect protocol implementation for Gnome Shell
 with Nautilus, contacts and Shell integration.

Package: gnome-shell-extension-gsconnect-browsers
Description-md5: fa0ee3984a3193012e23996b06c4f775
Description-en: Browser support of KDE Connect implementation for GNOME Shell
 This extension enables you to connect your phone or other devices to your
 system, sending remote sms, see phone calls, share notifications, send files.
 .
 This package contains Chromium, Firefox and Chrome integration support to send
 text via sms or open links on your phone.

Package: gnome-shell-extension-hard-disk-led
Description-md5: a397063fdb4aca091bab55e28229d9d5
Description-en: Shows harddisk activity (IO speed read/write and LED) in GNOME Shell
 Many new laptops and some tiny computers do not have hard disk LEDs.
 .
 This extension ads an indicator to GNOME Shell that can either show
 read/write speeds or LED lights to indicate activity.

Package: gnome-shell-extension-hide-activities
Description-md5: 7c866bc81e700a33b7bab712b551a12b
Description-en: GNOME shell extension that hides the activities button
 This extension hides the Acitivies text and button on the top left
 corner of GNOME shell, making the appearance simpler and the panel
 less cluttered.

Package: gnome-shell-extension-hide-veth
Description-md5: 6a14cb583b4b9b41373a2ee4a4895465
Description-en: hides veth devices typically used by docker and lxc
 If you use LXC or Docker, you might find a bunch of annoying veth
 devices in network-manager.
 .
 This extension will hide those veth devices from gnome-shell.

Package: gnome-shell-extension-impatience
Description-md5: 2ed4b116a73059e88f93430402ffd759
Description-en: speed up the gnome-shell animation speed
 The impatience extension allows you to speed up GNOME Shell
 animation speeds.
 .
 From gnome-tweak-tool you can use a slider to scale the animation
 speed down to any percentage. A speed scale of 1 means normal speed,
 0.5 will result in animations twice as fast, 0.1 will result in
 animations being 10x faster, etc.

Package: gnome-shell-extension-kimpanel
Description-md5: 72d5c711e25654a05c7680b08160a215
Description-en: KDE kimpanel protocol extension for GNOME shell
 Kimpanel extension acts as a KDE Kimpanel protocol implementation under
 GNOME to provide a unified frontend look for fcitx input method on GNOME
 shell.
 .
 It provides a native pop-up window for input method word listing and a
 user-friendly tray-like icon for user to interact with fcitx.

Package: gnome-shell-extension-log-out-button
Description-md5: 186d64fbe92ee241dd93da36779d8078
Description-en: Adds a log out button to the system action list in GNOME Shell
 LogOutButton is a GNOME Shell extension which adds a log out button to the
 system action list next to the power-off, settings and lock buttons.
 .
 To enable it, turn it on in GNOME Tweak. There are no settings to configure

Package: gnome-shell-extension-move-clock
Description-md5: 8dae3231d56f042f6eafa698901cfb3e
Description-en: move clock extension for GNOME shell
 This extension will, when enabled, move the clock on your GNOME shell panel
 from the middel to the right.
 .
 GNOME is an intuitive and attractive desktop. This is an add-on to
 GNOME, and will likely not be useful on its own.

Package: gnome-shell-extension-multi-monitors
Description-md5: 8d1e7346826307c689808e4e7a5a96ab
Description-en: Better support for additional monitors in GNOME shell
 A GNOME shell extension that makes the shell more friendly for multiple
 displays.
 .
 You can set whether the following should be present:
  * Plugin's own applet
  * Panels
  * Thumbnail slider
  * Activities button
  * AppMenu button

Package: gnome-shell-extension-no-annoyance
Description-md5: 7b4c79bbfe4e36b3ab230929c3de3c35
Description-en: removes GNOME 'Window is ready' notifications
 Removes the "Window is Ready" notification when opening
 a new application window and automatically gives the new
 window focus.

Package: gnome-shell-extension-onboard
Description-md5: 7dc9121f81f6764e0a77c7bace239ca7
Description-en: GNOME Shell extension for the on-screen keyboard Onboard
 This package hides the official GNOME3 keyboard and provides an icon to
 show/hide Onboard. It is only an initial extension that does not show
 Onboard for activities and passwords, yet.

Package: gnome-shell-extension-pixelsaver
Description-md5: 528e79e451e8ffb1cf2b67f7dd9472f3
Description-en: pixel saver extension for GNOME shell
 Pixel Saver is designed to save pixels by fusing activity bar and title bar
 in GNOME shell.
 .
 It is inspired by previous tools such as Maximus.

Package: gnome-shell-extension-prefs
Description-md5: 0bb56d41fa10b46319416b27f295caba
Description-en: tool to enable / disable GNOME Shell extensions
  This package contains a tool which users who use Shell extensions can use to
  toggle them on and off, and access their preferences.

Package: gnome-shell-extension-redshift
Description-md5: 3ad227b1aa94e9a5c83abec83f5cdc99
Description-en: redshift extension for GNOME Shell
 GNOME shell extension to control redshift, which adjusts the color
 temperature of your screen.

Package: gnome-shell-extension-remove-dropdown-arrows
Description-md5: 139ca8f8fa373e83f17af0f0eb9ada98
Description-en: removes drop down arrows from panel on GNOME shell
 Removes the dropdown arrows which were introduced in GNOME 3.10 from the App
 Menu, System Menu, Input Menu, Access Menu, Places Menu, Applications Menu
 and any other extension that wants to add dropdown arrows.
 .
 GNOME is an intuitive and attractive desktop. This is an add-on to
 GNOME, and will likely not be useful on its own.

Package: gnome-shell-extension-shortcuts
Description-md5: c8674146969794fb42fa40ae12bd545c
Description-en: Creates a shortcuts help pop-up in GNOME Shell
 Shortcuts is a GNOME Shell extension that creates a pop-up when
 Super + S is pressed that gives a list of useful keyboard shortcuts
 in the GNOME Shell desktop environment

Package: gnome-shell-extension-show-ip
Description-md5: af24cf0291b17c860d54b4e8d1c52161
Description-en: Shows the current private or public IP address
 Show-IP is a GNOME Shell extension that shows the current private or public IP
 address if NetworkManager is used. It allows the user to set which device to
 display if there are multiple devices activated and supports both IPv4 and
 IPv6.
 .
 It makes use of a non-free service (http://ipinfo.io) by default to determine
 the system's public IP, however this can be changed in the preferences to use
 another lookup service of your choice.

Package: gnome-shell-extension-suspend-button
Description-md5: c633ed07a346be7a153affc5452130f6
Description-en: Gnome-shell extension to modify the suspend/shutdown buttons
 This Gnome-shell extension allows you to control the suspend/shutdown buttons
 in the status menu
 .
 Please note that you have to manually enable the extension, for example using
 gnome-tweak-tool.

Package: gnome-shell-extension-system-monitor
Description-md5: b76d7de24b2f4053f8eb0181bb2d31bb
Description-en: Display system information in GNOME Shell status bar
 System information such as memory usage, cpu usage, network rates and more
 can be displayed in the notification area in GNOME Shell.
 .
 Settings can be accessed via gnome-tweak-tool where monitoring settings
 can be adjusted.

Package: gnome-shell-extension-tilix-dropdown
Description-md5: 9b296053215b4c4d6bde1f812e9e8649
Description-en: launch tilix in quake-mode from gnome-shell
 Tilix (previosly known as terminix) is a graphical terminal
 multiplexer for GNOME.
 .
 Quake-mode launched a quick terminal from the top similar in
 style to the terminal found in the original quake game.
 .
 This extension adds a keyboard shortcut that allows you to
 access tilix in quake-mode (default key: F10). You can also
 configure this key in gnome-tweak-tool.

Package: gnome-shell-extension-tilix-shortcut
Description-md5: d69e13e0158ab93eec36eb0e2aaef5a1
Description-en: Adds easy to use configurable keyboard shortcut for tilix
 Configuring a system-wide default for launching a terminal in
 GNOME is way more tedious than it needs to be.
 .
 This extension adds an easy method for configuring this system-wide.

Package: gnome-shell-extension-top-icons-plus
Description-md5: 9a96518dfd1668610e9c453ce89991c4
Description-en: GNOME Shell extension to move system tray icons to top bar
 This GNOME Shell extension alters how the Shell displays freedesktop.org
 system tray icons (status icons, notification-area icons). In the upstream
 GNOME Shell code, these icons appear in a small pop-out panel in the
 bottom left corner of the screen; this extension moves them to the
 top bar, between the clock and system menu.
 .
 Please note that each user will need to enable the extension manually, for
 example using the gnome-tweaks application.

Package: gnome-shell-extension-trash
Description-md5: 7e758815d12a22e1e1fceab588630d88
Description-en: trash applet for GNOME shell
 A minimalist Trash management extension for the GNOME Shell.
 .
 It allows you to empty and open the trash folder from the panel.
 It hides completely when the trash folder is empty.

Package: gnome-shell-extension-weather
Description-md5: dae630b316bdf53eb71a233e66a7ff3d
Description-en: weather extension for GNOME Shell
 gnome-shell-extension-openweather is a simple extension for displaying weather
 conditions and forecasts in GNOME Shell, featuring support for multiple
 locations, no need for WOEID, a symmetrical layout and a settings panel through
 gnome-shell-extension-prefs.
 .
 The weather data is fetched from OpenWeatherMap (including forecasts for up to
 ten days) or Dark Sky (including forecasts for up to eight days).

Package: gnome-shell-extension-workspaces-to-dock
Description-md5: 28527a271d5cc0314ea1c1353949fb43
Description-en: additional options for GNOME workspace switcher
 A GNOME Shell extension that transforms the workspaces of the overview
 mode into an intelligent dock.
 .
 It adds extra features to the workspaces area including:
 .
  - Multiple display preferences
  - Select which side of display to keep workspaces
  - Adjust size of workspace area
  - Adjust background and colours
  - Adjust autohide behaviour
  - Display window icons in thumbnail area

Package: gnome-shell-extension-xrdesktop
Description-md5: bbe4bb3c768be963a6e3ab26e6790f43
Description-en: GNOME Shell extension to control XR desktop.
 This is GNOME Extension to control GNOME Shell XR desktop.
 .
 Please note that each user will need to enable the extension manually, for
 example using gnome-tweak-tool.

Package: gnome-shell-extensions
Description-md5: 84cde06696cd051cbc9e19abcb0efe82
Description-en: Extensions to extend functionality of GNOME Shell
 The GNOME Shell redefines user interactions with the GNOME desktop. In
 particular, it offers new paradigms for launching applications,
 accessing documents, and organizing open windows in GNOME. Later, it
 will introduce a new applets eco-system and offer new solutions for
 other desktop features, such as notifications and contacts management.
 The GNOME Shell is intended to replace functions handled by the GNOME
 Panel and by the window manager in previous versions of GNOME. The GNOME
 Shell has rich visual effects enabled by new graphical technologies.
 .
 GNOME Shell is extensible using extensions. This package contains
 official GNOME Shell extensions.

Package: gnome-shell-extensions-gpaste
Description-md5: c88f5c89577d5ee554fede8bcfca6cfc
Description-en: GPaste extension for GNOME Shell
 GPaste manages clipboard history and allows easy access to it using
 keyboard shortcuts, gnome-shell extension, command-line tools, gir
 bindings.
 .
 This package provides the gnome-shell extension.
 .
 Please note that the extension must be manually enabled, for example
 using gnome-tweak-tool.

Package: gnome-shell-mailnag
Description-md5: fb3731336c767507c300293147d80899
Description-en: mail notification extension for GNOME Shell
 This package provides GNOME Shell integration for Mailnag. It includes the
 following features:
 .
   - Notifies about new mails via the messaging tray (including a counter
     badge)
   - Shows an indicator in the top panel (including counter badge and popup
     menu)
   - Lock screen integration

Package: gnome-shell-pomodoro
Description-md5: dbe404f20395592657db310e1046a670
Description-en: GNOME Shell time-management app
 This GNOME Shell app helps you to manage time according to the
 pomodoro technique.
 .
 Features:
  * puts a countdown timer in the GNOME Shell top panel;
  * nags you with reminders about taking a break;
  * uses full screen notifications that can be easily dismissed;
  * hides other notifications until the start of the break;
  * sets your IM (Empathy) status to "busy".
 .
 The pomodoro technique is a time and focus management method which improves
 productivity and quality of work. The name comes from a kitchen timer, which
 can be used to keep track of time. In short, you are supposed to focus on work
 for around 25 minutes and then have a well deserved break in which you should
 relax. Then when this cycle repeats for the fourth time you should take a
 longer break (have a walk or something).

Package: gnome-shell-pomodoro-data
Description-md5: 31fefb2e9849a33865fe62b4720819df
Description-en: Data for GNOME Shell time-management app
 This package contains the architecture-independent data
 used by the gnome-shell-pomodoro package.
 .
 This GNOME Shell app helps you to manage time according to the
 pomodoro technique.
 .
 For more information about gnome-shell-pomodoro, see the gnome-shell-pomodoro
 package description.

Package: gnome-shell-timer
Description-md5: 92960d335d4f2063b1b79947eb2fd11a
Description-en: GNOME Shell extension providing a countdown timer in the top panel
 Installing this package adds a timer application to the GNOME Shell top panel.
 With it you can start a timer of any duration and when elapsed, you'll get a
 notification. You can also preconfigure various durations to avoid having
 to retype them.
 .
 It's a natural replacement of the "timer applet" for GNOME 2 (or 3 in
 fallback mode).
 .
 Users of the Pomodoro method will find this extension very useful.

Package: gnome-software-plugin-flatpak
Description-md5: 17e17f1c979bf0356663d39a226e1efb
Description-en: Flatpak support for GNOME Software
 Software lets you install and update applications and system extensions.
 .
 Software uses a plugin architecture to separate the frontend from the
 technologies that are used underneath. Currently, a PackageKit plugin provides
 data from a number of traditional packaging systems, such as rpm or apt. An
 appdata plugin provides additional metadata from locally installed data in the
 appdata format.
 .
 This package contains the Flatpak plugin.

Package: gnome-sound-recorder
Description-md5: d246a5b6e8524bca0bd517951a95bb0f
Description-en: simple and modern sound recorder for GNOME
 GNOME sound recorder is an utility to make simple audio recording from your
 GNOME desktop. It supports several formats like OGG, MP3 or FLAC.

Package: gnome-split
Description-md5: 6469b6814b9ab25cfe0215014d6ca258
Description-en: GNOME Split - File splitter for GNOME desktop
 Tool that allows you to split files and merge them back. It is written in Java
 and uses a GTK+ user interface (thanks to the java-gnome project). The goal is
 to offer a native implementation of similar projects which can handle multiple
 file formats (e.g. Xtremsplit).

Package: gnome-subtitles
Description-md5: 11be981b3b343e7c1df5cd3c0f83662f
Description-en: Subtitle editor for the GNOME Desktop environment
 Gnome Subtitles is a subtitle editor for the GNOME desktop.
 .
 It supports the most common text-based subtitle formats, video
 previewing, timings synchronization and subtitle translation.
 .
 Some supported subtitle formats are: Advanced Sub Station Alpha, FAB
 Subtitler, MicroDVD, MPlayer 1/2, MPSub, SubRip, SubViewer 1/2.

Package: gnome-sushi
Description-md5: 3fb472cca544a8af3274614677b3a54f
Description-en: sushi is a quick previewer for nautilus
 Sushi is a Gtk+ and Javascript-based quick previewer
 for Nautilus, the GNOME desktop file manager.
 Sushi is a DBus-activated service. It is capable of previewing
 documents, PDFs, sound and video files (using GStreamer),
 some text files, and possibly others in the future.
 .
 To activate the preview, left-click the file and hit space.
 The preview can be closed by hitting space again, or escape.

Package: gnome-system-log
Description-md5: 537fd56b5adfd5b99fdf86c82e261f93
Description-en: system log viewer for GNOME
 This program displays system log files in a friendly way and allows one to
 filter or search expressions in them.

Package: gnome-system-tools
Description-md5: 432ddc807c3341e7f5194fcc6d2a6785
Description-en: Cross-platform configuration utilities
 These System Tools (known as GNOME System Tools) are a set of tools aimed to
 make easy the job that means the computer administration on an UNIX or Linux
 system. They're thought to help from the new Linux or UNIX user to the system
 administrators.
 .
 Its main advantages are:
  * An user-friendly interface to carry out the main administration tasks.
  * The use of a common user interface in every system.
  * A common structure that makes easy the development of new system tools.
 Nowadays there are tools for managing:
  - Users and groups
  - Date and time
  - Shares (NFS and Samba)

Package: gnome-taquin
Description-md5: 4f5f671884466548b0ab990dd145e116
Description-en: game to move tiles so that they reach their places
 Taquin is a computer version of the 15-puzzle and other sliding
 puzzles. The object of Taquin is to move tiles so that they reach their
 places, either indicated with numbers, or with parts of a great image.

Package: gnome-tetravex
Description-md5: 99ef5297c9709738cc89e1b1ade04ed4
Description-en: put tiles on a board and match their edges together
 GNOME Tetravex is a simple puzzle game which scope is to move the
 various pieces from one side of the board to the other side, so that
 the same numbers depicted on the pieces are touching each other.

Package: gnome-theme-gilouche
Description-md5: fefcd6e28bc9a66fd898debaec4fccb6
Description-en: openSUSE Gilouche Theme
 This theme is the default one used in openSUSE. This package provides the
 complete theme, consisting of icons, and Metacity and GTK+ themes.
 .
 The icon theme was previously known as openSUSE Industrial, but has been
 renamed to Gilouche as well.

Package: gnome-themes-standard
Description-md5: a7cd101344fa258c25c3827471c7b061
Description-en: Adwaita GTK+ 2 theme — engine - transitional package
 This package is here to ensure smooth upgrades. It can be removed when
 you see fit.

Package: gnome-themes-ubuntu
Description-md5: 06da189636bc9b242321bf53ea6ab258
Description-en: Ubuntu community themes
 This package contains a few nice contributed themes for the GNOME
 desktop:
 .
  * Dust
  * Dust Sand
  * New Wave

Package: gnome-tweak-tool
Description-md5: bf15d9fb81ff5ac93cbaac84d0e104cc
Description-en: adjust advanced settings for GNOME - transitional package
 GNOME Tweak Tool allows the adjustment of several advanced GNOME
 options that can't be configured in gnome-control-center.
 .
 This package is here to ensure smooth upgrades. It can be removed when
 you see fit.

Package: gnome-tweaks
Description-md5: 941073ce1ecfd5c85f09b1ba2d0728f3
Description-en: tool to adjust advanced configuration settings for GNOME
 GNOME Tweak Tool allows the adjustment of several advanced GNOME
 options that can't be configured in gnome-control-center.
 This includes things like:
  * fonts used in user interface elements and titlebar
  * font hinting and aliasing
  * alternative user interface themes
  * changes in window management behaviour
  * behaviour on laptop lid close
  * file manager desktop icons
  * icons in menus and buttons

Package: gnome-twitch
Description-md5: 26f51c9e3244c4216c907b2266f303d5
Description-en: GNOME Twitch app for watching Twitch.tv streams without a browser or flash
 gnome-twitch provides a GTK3 interface for viewing streams on Twitch.
 At the moment you can
  * browse popular streams,
  * browse streams by game,
  * search for streams,
  * view streams,
  * use the chat with a Twitch account,
  * change the video quality,
  * follow streams on your Twitch account and
  * switch between player backends.
 More features like recording streams, VODs (Video-On-Demand),
 integration of Twitch account features
 like following streams are planned.

Package: gnome-twitch-player-backend-gstreamer-cairo
Description-md5: f4d994148bee865308c24784d7f020e7
Description-en: Player backend for GNOME Twitch based on gstreamer+cairo
 Player backends are responsible for playing the actual video streams.
 Different player backends use different libraries to do this.
 This player backend uses GStreamer and cairo.

Package: gnome-twitch-player-backend-gstreamer-clutter
Description-md5: 9bdd81cbd7b6b0f195ca978d69f694e9
Description-en: Player backend for GNOME Twitch based on gstreamer+clutter
 Player backends are responsible for playing the actual video streams.
 Different player backends use different libraries to do this.
 This player backend uses GStreamer and clutter.

Package: gnome-twitch-player-backend-gstreamer-opengl
Description-md5: dba478c62adf894ad89d1804cc4a7160
Description-en: Player backend for GNOME Twitch based on gstreamer+opengl
 Player backends are responsible for playing the actual video streams.
 Different player backends use different libraries to do this.
 This player backend uses GStreamer and OpenGL.

Package: gnome-twitch-player-backend-mpv-opengl
Description-md5: 33d8817d0b61f360245b5a9594174552
Description-en: Player backend for GNOME Twitch based on mpv
 Player backends are responsible for playing the actual video streams.
 Different player backends use different libraries to do this.
 This player backend uses mpv and OpenGL.

Package: gnome-usage
Description-md5: 5dd651bdb1c960d799efa56ba7416e73
Description-en: simple system monitor app for GNOME
 Usage is an application for GNOME that allows monitoring of system resources
 such as memory, CPU, and disk space.

Package: gnome-user-guide
Description-md5: cd7baa43f55f94443270ccd425c79b3f
Description-en: GNOME user's guide (transitional package)
 Documentation for using the GNOME desktop environment and its components.
 It covers usage and setup of the core GNOME programs.
 .
 This package is here to ensure smooth upgrades. It can be removed when
 you see fit.

Package: gnome-user-share
Description-md5: 5a7a9c3f62b13d278e2025fc1a5eb150
Description-en: User level public file sharing via WebDAV
 gnome-user-share is a small package that allows easy user-level file sharing
 via WebDAV. The shared files are announced on the network by Avahi.

Package: gnome-video-arcade
Description-md5: bed94081ba898fde01a74dc81a3f91bf
Description-en: Simple MAME frontend
 GNOME Video Arcade is a simple MAME frontend for the GNOME desktop.
 Its goal is not providing a UI for the many options MAME supports, or
 helping manage a ROM collection: the focus is on a clean interface that
 allows quick search and launch of games, thanks to the use of tags.

Package: gnome-video-effects-extra
Description-md5: 3d25bd9ca3c8b6450c6f23dc91adf5a0
Description-en: GNOME Video Effects - extra plugins
 gnome-video-effects contains a collection of GStreamer effects to be
 used in GNOME.
 .
 This package requires the "bad" gstreamer plugins.

Package: gnome-video-effects-frei0r
Description-md5: ade1dc2778037f273d539451c01e009b
Description-en: GNOME Video Effects - frei0r plugins
 gnome-video-effects contains a collection of GStreamer effects to be
 used in GNOME.
 .
 This package requires the frei0r plugins collection.

Package: gnome-weather
Description-md5: 3b239cf1695fdb131ae446370425998b
Description-en: access current conditions and forecasts
 Weather is a small application for GNOME that allows one to monitor the
 current weather conditions for a city, or anywhere in the world, and
 to access updated forecasts provided by various internet services.

Package: gnome-wine-icon-theme
Description-md5: a2e3054013532644bb00f6d172f5d15f
Description-en: red variation of the GNOME-Colors icon theme
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides the GNOME-Wine (Red) variation of the
 GNOME-Colors icon theme.

Package: gnome-wise-icon-theme
Description-md5: 224d38db9aa2cdf7d1a9626a22bf3fff
Description-en: green variation of the GNOME-Colors icon theme
 GNOME-Colors is a set of GNOME icon themes, with some inspiration from
 Tango, Elementary, Discovery, Tango Generator and others.
 .
 Its goal is to create an easy way for anyone to make their desktop
 consistently match their moods, wallpapers, laptop colors, etc.
 .
 The icons were designed to match the Shiki-Colors GTK+ Themes and their
 additional artwork.
 .
 This package provides the GNOME-Wise (Green) variation of the
 GNOME-Colors icon theme.

Package: gnomekiss
Description-md5: edf5d9e50013e1e86767c9523d396d85
Description-en: KiSS paper doll viewer for GNOME
 GnomeKiSS is an implementation of French-KiSS for the GNOME desktop
 environment, using GNOME, GTK+ and the X Window System. French KiSS is an
 enhanced KiSS/GS for scriptable dolls, where KiSS is an abbreviation for
 Kisekae Set System.
 .
 Cherry KiSS and Enhanced Palette are supported, with full alpha transparency
 and FKiSS.  If you want to open any lzh paperdoll files you have to install
 the package lha from non-free as well.

Package: gnomint
Description-md5: 5a8de378604b5490204893e7b9a925c0
Description-en: X.509 Certification Authority management tool for GNOME
 gnoMint is a tool for easily creating and managing certification authorities.
 It provides fancy visualization of all the pieces of information that pertain
 to a CA, such as X.509 certificates, CSRs, and CRLs.
 .
 gnoMint is currently capable of managing a CA that emits certificates that are
 able to authenticate people or machines in VPNs (IPSec or other protocols),
 secure HTTP communications with SSL/TLS, authenticate and cipher HTTP
 communications through Web-client certificates, and sign or crypt email
 messages.

Package: gnote
Description-md5: 7d8e1d6e363a6e9deaaf777262bb05a8
Description-en: desktop note taking program using Wiki style links
 Gnote is a desktop note-taking application which is simple and easy to
 use. It lets you organise your notes intelligently by allowing you to
 easily link ideas together with Wiki style interconnects.
 .
 It is a port of Tomboy to C++ and consumes less resources.

Package: gnss-sdr
Description-md5: 02429fd6305da30c3eba50b98825f157
Description-en: Global navigation satellite systems software defined receiver
 Global Navigation Satellite Systems receiver defined by software.
 It performs all the signal processing from raw signal samples up to the
 computation of the Position-Velocity-Time solution, including
 code and phase observables. It is able to work with raw data files or,
 if there is computational power enough, in real time with suitable
 radiofrequency front-ends. This software is mainly developed at CTTC
 (Centre Tecnologic de Telecomunicacions de Catalunya, http://www.cttc.es)
 with contributions from around the world.
 More info at https://gnss-sdr.org

Package: gntp-send
Description-md5: 2ba6a5d2169d248b6e2d0e1287645af5
Description-en: Command line application to send growl message with GNTP
 Growl is a notification system for Mac OS X. It uses GNTP (Growl
 Notification Transport Protocol) for notification.
 .
 gntp-send is a command line tool which supports GNTP.

Package: gnu-smalltalk
Description-md5: 2d88cc7b32676089600ac9a671b33bcd
Description-en: GNU Smalltalk interpreter and image
 GNU Smalltalk is an implementation that closely follows the
 Smalltalk-80 language as described in the book `Smalltalk-80: the
 Language and its Implementation' by Adele Goldberg and David Robson.
 .
 This package contains the GNU Smalltalk interpreter and the base image.

Package: gnu-smalltalk-browser
Description-md5: 375adc5e9b7d869c92233eb451a970d1
Description-en: GNU Smalltalk browser
 This package contains VisualGST, an Integrated Development Environment for
 GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: gnu-smalltalk-common
Description-md5: 6862638fb87efe1cd1cb6e7375cc37fb
Description-en: GNU Smalltalk class library sources and extras
 This package contains GNU Smalltalk class library sources, examples, and
 extras SmallTalk ARchives (.star files).
 .
 Some of the Smalltalk archives included:
  * SUnit
  * Glorp
  * Magritte
  * XML
  * XSL
  * XPath
  * WebServer
  * Swazoo
  * Seaside
  * ROE
  * SandstoneDb
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: gnu-smalltalk-doc
Description-md5: 2c7920829607f4408c46eb0f70715f06
Description-en: GNU Smalltalk info documentation
 This package contains the documentation in info format for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: gnu-smalltalk-el
Description-md5: 7400bc70b1ad28a7eeb4dd7865aa95ec
Description-en: GNU Smalltalk Emacs front-end
 This package contains an Emacs major mode for editing Smalltalk code.
 .
 This mode features syntax highlighting, auto indentation and interaction
 with GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: gnuais
Description-md5: 02828f900a3b62486586f8239e26190c
Description-en: AIS receiver which uses the discriminator output of VHF receivers
 A tool for demodulating and decoding Automatic Identification System messages
 using the line input of the sound card. AIS messages are transmitted by
 marine vessels and contain their position, velocity and other interesting
 information. The messages may be saved to a mysql-database, forwarded as NMEA
 packets or uploaded to an AIS web service.

Package: gnuaisgui
Description-md5: 55b30d506e1bdb7b12d5c63aed01db4d
Description-en: OpenStreetMap GUI for gnuais
 This GUI plots the vessels that are received by gnuais in an OpenStreetMap
 GUI.
 .
 gnuais must be running in the background for gnuaisgui to be
 functional.

Package: gnuastro
Description-md5: d0f47bd05be2b11b407e1c4c731a5192
Description-en: GNU Astronomy Utilities programs
 GNU Astronomy Utilities (Gnuastro) is a collection of programs (this
 package) and librarires (`libgnuastro9', `libgnuastro-dev') for
 astronomical data analysis and manipulation. The programs can be run
 on the command-line for efficient and easy usage and the libraries
 can be used within C and C++ programs.
 .
 This package contains Gnuastro's programs.

Package: gnubg
Description-md5: b33dfee3416c204f55eea43bc48fd18c
Description-en: graphical or console backgammon program with analysis
 GNU Backgammon is a strong backgammon program (world-class with a bearoff
 database installed) usable either as an engine by other programs or as a
 standalone backgammon game.  In addition to supporting simple play, it
 also has extensive analysis features, a tutor mode, adjustable
 difficulty, and support for exporting annotated games.  It can be played
 either from a GTK+ graphical interface, optionally with a 3D board, or
 from a simple text console.

Package: gnubg-data
Description-md5: 1e915e485736f680b7441944c9ee75ef
Description-en: data files for GNU Backgammon
 GNU Backgammon is a strong backgammon program (world-class with a bearoff
 database installed) usable either as an engine by other programs or as a
 standalone backgammon game.
 .
 This package contains the data files needed by GNU Backgammon (textures,
 sounds, locale information, neural network weights, and so forth).

Package: gnubiff
Description-md5: f8679937fb1f2a7e76923dcaa7a37df5
Description-en: mail notification program for GNOME (and others)
 gnubiff checks for mail within a file, a qmail or MH style dir,
 or an IMAP4 or POP3 or APOP server.  It can display headers (number,
 sender, subject, and date) when new mail has arrived.
 .
 While gnubiff is implemented as a GNOME panel applet, it also runs as an
 independent icon on the desktop in other environments.

Package: gnubik
Description-md5: 787b927d925a51b7b3d850aac2b4cdd6
Description-en: 3D Rubik's cube game
 GNUbik is an interactive, graphical, single player puzzle. This free
 program renders an image of a cube, like that invented by Erno Rubik.
 You have to manipulate the cube using the mouse. When each face shows
 only one colour, the game is solved.
 .
 It uses Gtk+ and/or X11 and Mesa / OpenGL.

Package: gnucap
Description-md5: eccd874a8c2d76fb14e917c69e671ed5
Description-en: GNU Circuit Analysis package, main executable
 Gnucap is a general purpose circuit simulator. It performs nonlinear
 dc and transient analyses, Fourier analysis, and ac analysis
 linearized at an operating point. It is fully interactive and
 command driven. It can also be run in batch mode or as a server.
 .
 This package contains a main executable and gnucap-modelgen.

Package: gnucap-common
Description-md5: 3354aaa511ac4a96fe380e7f3c436b92
Description-en: GNU Circuit Analysis package, development headers
 Gnucap is a general purpose circuit simulator. It performs nonlinear
 dc and transient analyses, Fourier analysis, and ac analysis
 linearized at an operating point. It is fully interactive and
 command driven. It can also be run in batch mode or as a server.
 .
 This package contains headers and infrastructure.

Package: gnucap-default-plugins0
Description-md5: 78d072099d7d6d29ef53bff1a15e3ddc
Description-en: GNU Circuit Analysis package, default plugins
 Gnucap is a general purpose circuit simulator. It performs nonlinear
 dc and transient analyses, Fourier analysis, and ac analysis
 linearized at an operating point. It is fully interactive and
 command driven. It can also be run in batch mode or as a server.
 .
 This package contains the default plugins.

Package: gnucash
Description-md5: fa2e405424f41b8ff614fae4e74e6af4
Description-en: personal and small-business financial-accounting software
 Gnucash provides accounting functions suitable for use by small businesses and
 individuals. It can track finances in multiple accounts, keeping running and
 reconciled balances. There is support for customer, vendor and employee
 processing. It has an X based graphical user interface, double entry, a
 hierarchy of accounts, expense accounts (categories), and can import Quicken
 QIF files and OFX files.

Package: gnucash-common
Description-md5: 8951a93a2ca048368bbf6f1eea45ac8c
Description-en: common files for the financial-accounting software Gnucash
 Gnucash provides accounting functions suitable for use by small businesses and
 individuals. It can track finances in multiple accounts, keeping running and
 reconciled balances. There is support for customer, vendor and employee
 processing. It has an X based graphical user interface, double entry, a
 hierarchy of accounts, expense accounts (categories), and can import Quicken
 QIF files and OFX files.
 .
 This package contains the architecture independent parts of Gnucash. It should
 not be installed directly, but is pulled in automatically by the dependencies
 of the Gnucash package.

Package: gnucash-docs
Description-md5: fdcfe36db198979d974778fc64a65592
Description-en: Documentation for gnucash, a personal finance tracking program
 GnuCash provides accounting functions suitable for use by small businesses and
 individuals. It can track finances in multiple accounts, keeping running and
 reconciled balances. There is support for customer, vendor and employee
 processing. It has an X based graphical user interface, double entry, a
 hierarchy of accounts, expense accounts (categories), and can import Quicken
 QIF files and OFX files.
 .
 This package contains the GnuCash Help Manual and the GnuCash Tutorial and
 Concepts Guide.
 .
 The Help Manual is a quick reference of how to accomplish specific tasks and
 how to use the features in GnuCash. The Tutorial and Concepts Guide is an in
 depth guide to the concepts behind using GnuCash with a tutorial to show how
 to put those concepts into practice.
 .
 These documents are available in HTML, PDF and DocBook formats (the latter is
 intended to be viewed with the GNOME Help browser).
 .
 Translations in German, Italian and Japanese are also included in this package
 (for Japanese, only the guide is available).

Package: gnuchess
Description-md5: c0c25e09afefdb0b9a867cb88b772c54
Description-en: Plays a game of chess, either against the user or against itself
 Gnuchess is an updated version of the GNU chess playing program. It is now
 based on the fruit chess engine and uses the UCI chess engine protocol. It has
 a simple alpha-numeric board display, and is also compatible with frontends
 like xboard, scid and eboard.

Package: gnuchess-book
Description-md5: 7ec41d8b782d477e49e514a06cac7fa8
Description-en: Opening book for gnuchess
 This is the opening book for gnuchess. It was formerly distributed together
 with gnuchess, now it has been separated due to size and
 architecture-independence. It is recommended that you install it if you
 want to use gnuchess.

Package: gnucobol
Description-md5: 016552c489a10f013a7e5e8f61311014
Description-en: COBOL compiler
 GnuCOBOL (formerly OpenCOBOL) is a free, modern COBOL compiler. GnuCOBOL
 implements a substantial part of the COBOL 85, COBOL 2002 and COBOL 2014
 standards and X/Open COBOL, as well as many extensions included in other COBOL
 compilers (IBM COBOL, MicroFocus COBOL, ACUCOBOL-GT and others).
 .
 GnuCOBOL translates COBOL into C and compiles the translated code using a
 native C compiler.
 .
 Build COBOL programs on various platforms, including GNU/Linux, Unix, Mac OS X,
 and Microsoft Windows. GnuCOBOL has also been built on HP/UX, z/OS, SPARC,
 RS6000, AS/400, along with other combinations of machines and operating
 systems.
 .
 While being held to a high level of quality and robustness, GnuCOBOL does not
 claim to be a “Standard Conforming” implementation of COBOL.
 .
 GnuCOBOL passes over 9600 of the NIST COBOL 85 test suite tests and over 750
 internal checks during build.

Package: gnudatalanguage
Description-md5: 55d934ac72dab469015a839098d20569
Description-en: Free IDL compatible incremental compiler
 GNU Data Language (GDL) is a free IDL (Interactive Data Language)
 compatible incremental compiler. It has full syntax compatibility
 with IDL 7.1. IDL is a registered trademark of ITT Visual Information
 Solutions. From IDL 8.0, the following language elements are
 supported:
 .
  * FOREACH loop
  * negative array indices
  * garbage collection pointers and objects
  * call methods on an object using "." (e. g. `object.aMemberProcedure,arg1`)
 .
 The file input output system is fully implemented. GUI support (widgets) is
 officially provided, but it's not complete yet.
 .
 This package contains the main commandline and interpreter frontend.

Package: gnugo
Description-md5: d3d4f1ffc6b15e9a3ca56cf1ac3eabca
Description-en: play the game of Go
 GNU Go is a free program that plays the game of Go. It provides a
 text-only user interface, have a look at the cgoban or qgo package if
 you want to play on a graphical board.
 .
 Go is an ancient game originated from China, with a definite history
 of over 3000 years, although there are historians who say that the
 game was invented more than 4000 years ago. The Chinese call the game
 Weiqi, other names for Go include Baduk (Korean), Igo (Japanese), and
 Goe (Taiwanese).
 .
 In this game, each player tries to exert more influence on territory
 than her opponent, using threats of death, capture, or isolation. It
 is, therefore, a symbolic representation of the relationships between
 nations. Go is getting increasingly popular around the world,
 especially in Asian, European and American countries, with many
 worldwide competitions being held.
 .
 The game of Go is played on a board. The Go set is comprised of the
 board, together with 181 black and 180 white stones. The standard
 board has 19 lines by 19 lines, but 13x13 and 9x9 boards can also be
 used. However, the 9x9 and 13x13 boards are usually for beginners;
 more advanced players would prefer the traditional 19x19 board.
 .
 Compared to International Chess and Chinese Chess, Go has far fewer
 rules. Yet this allowed for all sorts of moves to be played, so Go
 can be a more intellectually challenging game than the other two
 types of Chess. Nonetheless, Go is not a difficult game to learn, so
 have a fun time playing the game with your friends.
 .
 (adopted from http://senseis.xmp.net/?WhatIsGo)

Package: gnuhtml2latex
Description-md5: 9b6cdee9b3273b3e74aeb0e2f314bfce
Description-en: Convert HTML files to LaTeX
 gnuhtml2latex is a Perl script that converts HTML files to LaTeX
 files. It takes list of .html files as arguments and make .tex
 ones. Can also convert html stdin to latex stdout.

Package: gnuift
Description-md5: f9126b9e8104970494a62eb0be0c0d8d
Description-en: GNU Image Finding Tool - index and search images by content
 The GIFT (the GNU Image-Finding Tool) is a Content Based Image
 Retrieval System (CBIRS). It enables you to do Query By Example on
 images, giving you the opportunity to improve query results by
 relevance feedback. For processing your queries the program relies
 entirely on the content of the images, freeing you from the need to
 annotate all images before querying the collection.
 .
 The GIFT comes with a tool which lets you index whole directory trees
 containing images in one go. You then can use the GIFT server and its
 client, to browse your own image collections.
 .
 The GIFT is an open framework. The developers explicitly have taken
 into account the possibility of adding new ways of querying to the
 framework. The communication protocol for client-server communication,
 MRML, is XML based and fully documented (http://www.mrml.net). This
 aims at promoting code reuse among researchers and application
 developers.
 .
 The current version of the GIFT can be seen in action at
 http://viper.unige.ch/demo/
 .
 The GIFT (ex Viper) is the result of a research effort at the Vision
 Group at the CUI (computer science center) of the University of Geneva
 (see http://vision.unige.ch/). This cutting-edge research has been the
 subject of several publications and conference talks. Details can be
 found at http://viper.unige.ch/.
 .
 To avoid a name clash with the "gift" package (a fasttrack filesharing
 client), these packages have been named "gnuift" (also to stress that
 gnuift is a GNU project).

Package: gnuift-doc
Description-md5: 81ec3c361efa92eeff9cbb6728c4d818
Description-en: Documentation for gnuift
 This package includes gift-guide, configuring-and-hacking-the-gift and
 the doxygen reference tree. The application is contained in the gnuift
 package.
 .
 The GIFT (the GNU Image-Finding Tool) is a Content Based Image
 Retrieval System (CBIRS). It enables you to do Query By Example on
 images, giving you the opportunity to improve query results by
 relevance feedback. For processing your queries the program relies
 entirely on the content of the images, freeing you from the need to
 annotate all images before querying the collection.
 .
 The GIFT comes with a tool which lets you index whole directory trees
 containing images in one go. You then can use the GIFT server and its
 client, to browse your own image collections.
 .
 The GIFT is an open framework. The developers explicitly have taken
 into account the possibility of adding new ways of querying to the
 framework. The communication protocol for client-server communication,
 MRML, is XML based and fully documented (http://www.mrml.net). This
 aims at promoting code reuse among researchers and application
 developers.
 .
 To avoid a name clash with the "gift" package (a fasttrack filesharing
 client), these packages have been named "gnuift" (also to stress that
 gnuift is a GNU project).

Package: gnuift-perl
Description-md5: 48c2943e22d1d8e120a22e3f1200c78b
Description-en: GNU Image Finding Tool - perl modules
 Architecture independent perl modules of gnuift. The application is
 contained in the gnuift package.
 .
 The GIFT (the GNU Image-Finding Tool) is a Content Based Image
 Retrieval System (CBIRS). It enables you to do Query By Example on
 images, giving you the opportunity to improve query results by
 relevance feedback. For processing your queries the program relies
 entirely on the content of the images, freeing you from the need to
 annotate all images before querying the collection.
 .
 The GIFT comes with a tool which lets you index whole directory trees
 containing images in one go. You then can use the GIFT server and its
 client, to browse your own image collections.
 .
 The GIFT is an open framework. The developers explicitly have taken
 into account the possibility of adding new ways of querying to the
 framework. The communication protocol for client-server communication,
 MRML, is XML based and fully documented (http://www.mrml.net). This
 aims at promoting code reuse among researchers and application
 developers.
 .
 To avoid a name clash with the "gift" package (a fasttrack filesharing
 client), these packages have been named "gnuift" (also to stress that
 gnuift is a GNU project).

Package: gnuit
Description-md5: c4ab7066d6551e3d3830aa94838f8fcf
Description-en: GNU Interactive Tools, a file browser/viewer and process viewer/killer
 gnuit (GNU Interactive Tools) is a set of interactive text-mode tools,
 closely integrated with the shell.  It contains an extensible file
 system browser (similar to Norton Commander and XTree), an ASCII/hex
 file viewer, a process viewer/killer and some other related utilities
 and shell scripts.  It can be used to increase the speed and
 efficiency of most of the daily tasks such as copying and moving
 files and directories, invoking editors, compressing and
 uncompressing files, creating and expanding archives, compiling
 programs, sending mail, etc.  It looks nice, has colors (if the
 standard ANSI color sequences are supported) and is user-friendly.
 .
 One of the main advantages of gnuit is its flexibility.  It is not
 limited to a given set of commands.  The configuration file can be
 easily enhanced, allowing the user to add new commands or file
 operations, depending on its needs or preferences.

Package: gnujump
Description-md5: 6c30e85428f9c2825fa514e63012e2bb
Description-en: platform game where you have to jump up to survive
 The goal in this game is to jump to the next floor so you don't fall down.
 As you go higher in the falling tower the floors will fall faster.  Try to
 survive longer than anyone, or, in single player mode, try to get as high
 as you can.
 .
 At the moment, the game is called sdljump (and it will appear as such in the
 menu), but the package name has changed following an upstream rename.  The
 new version of the game will be called gnujump as well.
 .
 The game is a clone of xjump, and provides all its features, plus some more:
  * Multiplayer mode (up to four players, not networked)
  * Smooth graphics possible (but xjump style as well)
  * Different themes are available
  * Can use OpenGL for rendering
  * Music and sound effects
  * Recording (and replaying) of games

Package: gnujump-data
Description-md5: 00830b2dca768b4fb209724dcad27a67
Description-en: platform game where you have to jump up to survive - data files
 The goal in this game is to jump to the next floor so you don't fall down.
 As you go higher in the falling tower the floors will fall faster.  Try to
 survive longer than anyone, or, in single player mode, try to get as high
 as you can.
 .
 At the moment, the game is called sdljump (and it will appear as such in the
 menu), but the package name has changed following an upstream rename.  The
 new version of the game will be called gnujump as well.
 .
 The game is a clone of xjump, and provides all its features, plus some more:
  * Multiplayer mode (up to four players, not networked)
  * Smooth graphics possible (but xjump style as well)
  * Different themes are available
  * Can use OpenGL for rendering
  * Music and sound effects
  * Recording (and replaying) of games
 .
 This package provides the data files for the game.

Package: gnulib
Description-md5: b74e587b070a2b8bccb9acb5265666dc
Description-en: GNU Portability Library
 The GNU portability library is a macro system and C declarations and
 definitions for commonly-used API elements and abstracted system behaviors. It
 can be used to improve portability and other functionality in your programs.

Package: gnumail.app
Description-md5: b02b0fa90b84bd5f9108283971f9716c
Description-en: Mail client for GNUstep
 GNUMail is a clone of NeXT/Apple's Mail.app application. It uses the
 GNUstep development framework (or Apple Cocoa, which is based on the
 OpenStep specification provided by NeXT, Inc.).

Package: gnumail.app-common
Description-md5: 4d7155826f674abacc6134eab839dfc4
Description-en: Mail client for GNUstep (common files)
 GNUMail is a clone of NeXT/Apple's Mail.app application. It uses the
 GNUstep development framework (or Apple Cocoa, which is based on the
 OpenStep specification provided by NeXT, Inc.).
 .
 This package contains the architecture-independent files; it is not
 useful on its own.

Package: gnumed-client
Description-md5: 6cc82a042190bb497f847ec008443b9e
Description-en: medical practice management - Client
 This is the GNUmed Electronic Medical Record. Its purpose is
 to enable doctors to keep a medically sound record on their
 patients' health. It does not currently provide functionality
 for stock keeping. Clinical features are well-tested by real
 doctors in the field.
 .
 While the GNUmed team has taken the utmost care to make sure
 the medical records are safe at all times you still need to
 make sure you are taking appropriate steps to backup the
 medical data to a safe place at appropriate intervals. Do
 not forget to test your recovery procedures, too !
 .
 Protect your data! GNUmed itself comes without
 any warranty whatsoever. You have been warned.
 .
 This package contains the wxpython client.

Package: gnumed-client-de
Description-md5: 6de635bb5f2b0ed9de38250d04e5f74b
Description-en: medical practice management - Client for German users
 Just install this package if you want to use the GNUmed
 client in Germany and you have to use German chipcard
 systems.  The package installs the GNUmed client and
 cares for proper libchipcard support.

Package: gnumed-common
Description-md5: 6ebd23a6d370faa2d7114b720e28c691
Description-en: medical practice management - common files
 This is the GNUmed Electronic Medical Record. Its purpose is
 to enable doctors to keep a medically sound record on their
 patients' health. Currently it is not fully featured. The
 features provided are, however, tested, in use, and
 considered stable. This package does NOT yet provide
 functionality for billing and stock keeping.
 .
 While the GNUmed team has taken the utmost care to make sure
 the medical records are safe at all times you still need to
 make sure you are taking appropriate steps to backup the
 medical data to a safe place at appropriate intervals. Do
 test your backup and disaster recovery procedures, too !
 .
 Protect your data! GNUmed itself comes without
 any warranty whatsoever. You have been warned.
 .
 This package contains the files which are common to client
 and server.

Package: gnumed-doc
Description-md5: 4aa14856f3d55f30d5a6a8bc3fec4003
Description-en: medical practice management - Documentation
 This is the GNUmed Electronic Medical Record. Its purpose is
 to enable doctors to keep a medically sound record on their
 patients' health. Currently it is not fully featured. The
 features provided are, however, tested, in use, and
 considered stable. This package does NOT yet provide
 functionality for billing and stock keeping.
 .
 While the GNUmed team has taken the utmost care to make sure
 the medical records are safe at all times you still need to
 make sure you are taking appropriate steps to backup the
 medical data to a safe place at appropriate intervals. Do
 test your backup and disaster recovery procedures, too !
 .
 Protect your data! GNUmed itself comes without
 any warranty whatsoever. You have been warned.
 .
 This package contains the documentation for users.

Package: gnumed-server
Description-md5: 6b105eb03a84d12565345f40d5335620
Description-en: medical practice management - server
 This is the GNUmed Electronic Medical Record. Its purpose is
 to enable doctors to keep a medically sound record on their
 patients' health. It does not currently provide functionality
 for billing and stock keeping. Clinical features are well-tested
 by real doctors in the field.
 .
 While the GNUmed team has taken the utmost care to make sure
 the medical records are safe at all times you still need to
 make sure you are taking appropriate steps to backup the
 medical data to a safe place at appropriate intervals. Do
 not forget to test your recovery procedures, too !
 .
 Protect your data! GNUmed itself comes without
 any warranty whatsoever. You have been warned.
 .
 This package contains the PostgreSQL server part.
 .
 Note: The package does currently _NOT_ build the GNUmed database
 but just installs the needed SQL files.  Please see README.Debian.

Package: gnumeric
Description-md5: 752f01da7bfef3ea92285a393109bd9c
Description-en: spreadsheet application for GNOME - main program
 Gnumeric is a spreadsheet application that interoperates well
 with other spreadsheets. It comes with plugins that enable it to deal with
 commonly used spreadsheet file formats.
 .
 The following formats can be imported and exported:
  - Microsoft Excel 97/2000/XP (.xls);
  - Microsoft Excel 95 (.xls);
  - OASIS XML, OpenOffice.org XML, StarOffice (.sxc);
  - Comma/Character Separated Values (.csv);
  - Data Interchange Format (.dif);
  - Applix version 4 (.as).
 .
 Additionally, the following formats can be imported:
  - GNU Oleo (.oleo);
  - Linear and integer program expression format (.mps);
  - Lotus 1-2-3 (.wks, .wk1);
  - MS MultiPlan SYLK (.sylk);
  - WordPerfect family "Plan Perfect" (.pln);
  - Quattro Pro (tm);
  - XSpread or SC;
  - XBase (.dbf).
 .
 Gnumeric can export to LaTeX 2e (.tex), TROFF (.me) and HTML as well.
 .
 Gnumeric should be easy to use, in particular for users familiar with
 Excel.
 .
 Gnumeric is a GNOME application. GNOME (GNU Network Object Model Environment)
 is a user-friendly set of applications and desktop tools to be used in
 conjunction with a window manager for the X Window System.

Package: gnumeric-common
Description-md5: 3749931255266b5bbbc512b3833e7c03
Description-en: spreadsheet application for GNOME - common files
 Gnumeric is a spreadsheet application that interoperates well
 with other spreadsheets. It comes with plugins that enable it to deal with
 commonly used spreadsheet file formats.
 .
 This package contains architecture-independent data files needed by
 gnumeric.

Package: gnumeric-doc
Description-md5: 1a2c472dd4a01f8bf6179550d830e0b6
Description-en: spreadsheet application for GNOME - documentation
 Gnumeric is a spreadsheet application that interoperates well
 with other spreadsheets. It comes with plugins that enable it to deal with
 commonly used spreadsheet file formats.
 .
 This package provides the user manual in GNOME Help
 (DocBook XML) format.

Package: gnumeric-plugins-extra
Description-md5: 9fe96eb847d4f753e7268d4a75f69445
Description-en: spreadsheet application for GNOME - additional plugins
 Gnumeric is a spreadsheet application that interoperates well
 with other spreadsheets. It comes with plugins that enable it to deal with
 commonly used spreadsheet file formats.
 .
 Some plugins shipped with gnumeric require additional packages to those
 required by the main gnumeric program. These plugins are therefore
 packaged separately.
 .
 This includes:
  - Perl plugin;
  - Python plugin;
  - Python plugin loader;
  - additional Python functions;
  - GNOME glossary.

Package: gnuminishogi
Description-md5: ec91e50791ba2f518d96db24e06ca70f
Description-en: program to play minishogi, a shogi variant on a 5x5 board
 Gnuminishogi is a computer player for the MiniShogi variant of shogi
 (Japanese chess).
 .
 Gnuminishogi is a modified version of the gnushogi program. It can be
 used through tagua, kaya, or other graphical frontends, but also has a
 simple alpha-numeric board display.

Package: gnunet
Description-md5: 0ceeaacc31b7d9300f56c5bab68cd94a
Description-en: GNU's framework for secure peer-to-peer networking (meta)
 GNUnet is a framework for secure peer-to-peer networking that does not use any
 centralized or otherwise trusted services. Its high-level goal is to provide a
 strong free software foundation for a global network that provides security
 and in particular respects privacy.
 .
 GNUnet started with an idea for anonymous censorship-resistant file-sharing,
 but has grown to incorporate other applications as well as many generic
 building blocks for secure networking applications. In particular, GNUnet now
 includes the GNU Name System, a privacy-preserving, decentralized public key
 infrastructure.

Package: gnunet-dev
Description-md5: e08bc3b3d2303930be6a12ad6441981e
Description-en: GNU's framework for secure peer-to-peer networking (development)
 GNUnet is a framework for secure peer-to-peer networking that does not use any
 centralized or otherwise trusted services. Its high-level goal is to provide a
 strong free software foundation for a global network that provides security
 and in particular respects privacy.
 .
 GNUnet started with an idea for anonymous censorship-resistant file-sharing,
 but has grown to incorporate other applications as well as many generic
 building blocks for secure networking applications. In particular, GNUnet now
 includes the GNU Name System, a privacy-preserving, decentralized public key
 infrastructure.
 .
 This package contains the development files.

Package: gnunet-fuse
Description-md5: a4fa148c381a5c334a995cd1906fd7cc
Description-en: secure, trust-based peer-to-peer framework (fuse filesystem client)
 GNUnet is a peer-to-peer framework which focuses on providing security. All
 link-to-link messages in the network are confidential and authenticated. The
 framework provides a transport abstraction layer and can currently encapsulate
 the peer-to-peer traffic in UDP, TCP, or SMTP messages.
 .
 This package contains the fuse filesystem client. In order use GNUnet, you also
 need gnunet-server either on your server or on your local machine.

Package: gnunet-gtk
Description-md5: 7b1ebaebbeefaad7b94d6a83894cd8c0
Description-en: GNU's framework for secure peer-to-peer networking (GTK+ client)
 GNUnet is a framework for secure peer-to-peer networking that does not use any
 centralized or otherwise trusted services. Its high-level goal is to provide a
 strong free software foundation for a global network that provides security
 and in particular respects privacy.
 .
 GNUnet started with an idea for anonymous censorship-resistant file-sharing,
 but has grown to incorporate other applications as well as many generic
 building blocks for secure networking applications. In particular, GNUnet now
 includes the GNU Name System, a privacy-preserving, decentralized public key
 infrastructure.
 .
 This package contains the graphical client, using the GTK+ toolkit. In order
 use GNUnet, you also need gnunet either on your server or on your local
 machine.

Package: gnunet-gtk-dev
Description-md5: 8ca0831a82dbecbdb0010e01577a8b64
Description-en: GNU's framework for secure peer-to-peer networking (GTK+ client development)
 GNUnet is a framework for secure peer-to-peer networking that does not use any
 centralized or otherwise trusted services. Its high-level goal is to provide a
 strong free software foundation for a global network that provides security
 and in particular respects privacy.
 .
 GNUnet started with an idea for anonymous censorship-resistant file-sharing,
 but has grown to incorporate other applications as well as many generic
 building blocks for secure networking applications. In particular, GNUnet now
 includes the GNU Name System, a privacy-preserving, decentralized public key
 infrastructure.
 .
 This package contains the development files for the graphical client, using the
 GTK+ toolkit.

Package: gnupg-agent
Description-md5: 4676770831dd43b5b80c96a103cfd422
Description-en: GNU privacy guard - cryptographic agent (dummy transitional package)
 GnuPG is GNU's tool for secure communication and data storage.
 It can be used to encrypt data and to create digital signatures.
 It includes an advanced key management facility and is compliant
 with the proposed OpenPGP Internet standard as described in RFC4880.
 .
 This is a dummy transitional package; please use gpg-agent instead.

Package: gnupg-pkcs11-scd
Description-md5: c4cc614caf68fe5d8d666a3ee4cc7135
Description-en: GnuPG smart-card daemon with PKCS#11 support
 gnupg-pkcs11-scd is a drop-in replacement for the smart-card daemon (scd)
 shipped with GnuPG. The daemon interfaces to smart-cards by using RSA Security
 Inc. PKCS#11 Cryptographic Token Interface (Cryptoki).

Package: gnupg-pkcs11-scd-proxy
Description-md5: f7479e6d7d9e9a9849c13168cbc75321
Description-en: GnuPG smart-card daemon with PKCS#11 support, proxy
 gnupg-pkcs11-scd is a drop-in replacement for the smart-card daemon (scd)
 shipped with GnuPG. The daemon interfaces to smart-cards by using RSA Security
 Inc. PKCS#11 Cryptographic Token Interface (Cryptoki).
 .
 The daemon is a proxy into gnupg-pkcs11-scd-proxy-server which can be
 run within different security context to load the gnupg-pkcs11-scd
 smart-card daemon.

Package: gnupg1
Description-md5: 650f309964713c304db8795238c7ac72
Description-en: GNU privacy guard - a PGP implementation (deprecated "classic" version)
 GnuPG is GNU's tool for secure communication and data storage.
 It can be used to encrypt data and to create digital signatures.
 It includes an advanced key management facility and is compliant
 with the proposed OpenPGP Internet standard as described in RFC 4880.
 .
 The gnupg1 package provides GnuPG 1.4, the "classic" standalone,
 non-modularized version. It is provided mainly for people with the
 need to use archaic cryptographic objects like PGPv3 keys to access
 archived messages.  It does not support more recent cryptographic
 primitives like ECDSA or EdDSA.  Please use the gnupg package instead
 unless you know for sure that you need gnupg1.

Package: gnupg1-l10n
Description-md5: 32e3d8de46d2758d117aa9de3a2d28da
Description-en: GNU privacy guard "classic" - localization files (deprecated)
 GnuPG is GNU's tool for secure communication and data storage.
 It can be used to encrypt data and to create digital signatures.
 It includes an advanced key management facility and is compliant
 with the proposed OpenPGP Internet standard as described in RFC 4880.
 .
 GnuPG 1.4 is the "classic" standalone, non-modularized
 version. Please use the gnupg package instead unless you know for
 sure that you need gnupg1.
 .
 This package contains the translation files for the use of GnuPG
 "classic" in non-English locales.

Package: gnupg2
Description-md5: b00f9e73860378b7bce07e0ad3dd2e88
Description-en: GNU privacy guard - a free PGP replacement (dummy transitional package)
 GnuPG is GNU's tool for secure communication and data storage.
 It can be used to encrypt data and to create digital signatures.
 It includes an advanced key management facility and is compliant
 with the proposed OpenPGP Internet standard as described in RFC4880.
 .
 This is a dummy transitional package that provides symlinks from gpg2
 to gpg.

Package: gnuplot
Description-md5: 0d4836958a95d3c2e95ef10c1010d671
Description-en: Command-line driven interactive plotting program.
 Gnuplot is a portable command-line driven interactive data and function
 plotting utility that supports lots of output formats, including drivers
 for many printers, (La)TeX, (x)fig, Postscript, and so on. The X11-output
 is packaged in gnuplot-x11.
 .
 Data files and self-defined functions can be manipulated by the internal
 C-like language. Can perform smoothing, spline-fitting, or nonlinear fits,
 and can work with complex numbers.
 .
 This metapackage is to install a full-featured gnuplot (-qt, -x11 or -nox).

Package: gnuplot-data
Description-md5: 4fe81394f79575616d7d99a4629ff315
Description-en: Command-line driven interactive plotting program. Data-files
 Gnuplot is a portable command-line driven interactive data and function
 plotting utility that supports lots of output formats, including drivers
 for many printers, (La)TeX, (x)fig, Postscript, and so on. The X11-output
 is packaged in gnuplot-x11.
 .
 Data files and self-defined functions can be manipulated by the internal
 C-like language. Can perform smoothing, spline-fitting, or nonlinear fits,
 and can work with complex numbers.
 .
 This package contains data-files which are used by -nox, -x11 and -qt.

Package: gnuplot-doc
Description-md5: 5f0132ea47da27b4f1fc9bb887c8385e
Description-en: Command-line driven interactive plotting program. Doc-package
 Gnuplot is a portable command-line driven interactive data and function
 plotting utility that supports lots of output formats, including drivers
 for many printers, (La)TeX, (x)fig, Postscript, and so on.
 .
 Data files and self-defined functions can be manipulated by the internal
 C-like language. Can perform smoothing, spline-fitting, or nonlinear fits,
 and can work with complex numbers.
 .
 This package contains the additional documentation.

Package: gnuplot-mode
Description-md5: 59266c5d2b56f39efc89514d462b9f44
Description-en: Transition Package, gnuplot-mode to elpa-gnuplot-mode
 The gnuplot-mode emacs addon has been elpafied. This dummy package
 helps ease transition from gnuplot-mode to elpa-gnuplot-mode.

Package: gnuplot-nox
Description-md5: cf3b04e823b1cadc981b668d41b86ea0
Description-en: Command-line driven interactive plotting program. No-X package
 Gnuplot is a portable command-line driven interactive data and function
 plotting utility that supports lots of output formats, including drivers
 for many printers, (La)TeX, (x)fig, Postscript, and so on. The X11-output
 is packaged in gnuplot-x11. The QT-output is packaged in gnuplot-qt.
 .
 Data files and self-defined functions can be manipulated by the internal
 C-like language. Can perform smoothing, spline-fitting, or nonlinear fits,
 and can work with complex numbers.
 .
 This package is for working without an X server.

Package: gnuplot-qt
Description-md5: 74a235ccaf8809216c9fb5a68f37ee9b
Description-en: Command-line driven interactive plotting program. QT-package
 Gnuplot is a portable command-line driven interactive data and function
 plotting utility that supports lots of output formats, including drivers
 for many printers, (La)TeX, (x)fig, Postscript, and so on.
 .
 Data files and self-defined functions can be manipulated by the internal
 C-like language. Can perform smoothing, spline-fitting, or nonlinear fits,
 and can work with complex numbers.
 .
 This package contains the terminal driver that enables gnuplot to plot
 images interactively using Qt.
 .
 The package provides gnuplot-x11 and gnuplot-nox terminals plus an
 additional QT-terminal for output.

Package: gnuplot-x11
Description-md5: 0f46a416738fd8a78fd2899ba84c067e
Description-en: Command-line driven interactive plotting program. X-package
 Gnuplot is a portable command-line driven interactive data and function
 plotting utility that supports lots of output formats, including drivers
 for many printers, (La)TeX, (x)fig, Postscript, and so on.
 .
 Data files and self-defined functions can be manipulated by the internal
 C-like language. Can perform smoothing, spline-fitting, or nonlinear fits,
 and can work with complex numbers.
 .
 This package contains the terminal driver that enables gnuplot to plot
 images interactively under X11.

Package: gnupod-tools
Description-md5: a3a28d73621d47073c7890b0610e891d
Description-en: command-line tools for the iPod family of portable music players
 GNUpod is made up of scripts that allow a user to manage a collection
 of songs and playlists on an Apple iPod.  The original iPod, iPod Mini,
 and iPod Shuffle players are all supported.

Package: gnuradio
Description-md5: 7ddcd2ec394dcefbac5267b9552f12c3
Description-en: GNU Radio Software Radio Toolkit
 GNU Radio provides signal processing blocks to implement software
 radios. It can be used with readily-available low-cost external RF
 hardware to create software-defined radios, or without hardware in a
 simulation-like environment. It is widely used in hobbyist, academic
 and commercial environments to support both wireless communications
 research and real-world radio systems.
 .
 GNU Radio applications are primarily written using the Python
 programming language, while the supplied performance-critical signal
 processing path is implemented in C++ using processor floating-point
 extensions, where available. Thus, the developer is able to implement
 real-time, high-throughput radio systems in a simple-to-use,
 rapid-application-development environment.
 .
 While not primarily a simulation tool, GNU Radio does support
 development of signal processing algorithms using pre-recorded or
 generated data, avoiding the need for actual RF hardware.
 .
 This package contains the gnuradio-companion, a graphical tool for
 creating signal flow graphs and generating flow-graph source code.
 Also included are a variety of tools and utility programs.

Package: gnuradio-dev
Description-md5: 5bb8c3545aecb809389b9c38779dfbca
Description-en: GNU Software Defined Radio toolkit development
 Header files for the GNU Radio software defined radio system.
 Since GNU Radio is a framework for development of SDR
 applications, you are likely to need this installed.
 .
 Part of the main gnuradio build.

Package: gnuradio-doc
Description-md5: 3a6abafa337fffff33a65c23e6711c98
Description-en: GNU Software Defined Radio toolkit documentation
 Documentation for the GNU Radio software defined radio system
 in html and xml form.
 .
 Part of the main gnuradio build.

Package: gnurobbo
Description-md5: 797d18c35275ee4573897948c4097a84
Description-en: logic game ported from ATARI XE/XL
 GNU Robbo is very addictive logic game. You must help a little robot to
 get out of an unfriendly planet, collecting parts of an emergency capsule.
 .
 Originally written for Atari XE/XL by Janusz Pelc from "LK. Avalon".

Package: gnurobbo-data
Description-md5: f60654bfb4746599bfa6cf7bbe58c3dd
Description-en: logic game ported from ATARI XE/XL - data files
 GNU Robbo is very addictive logic game. You must help a little robot to
 get out of an unfriendly planet, collecting parts of an emergency capsule.
 .
 Originally written for Atari XE/XL by Janusz Pelc from "LK. Avalon".
 .
 This package contains the data required to play the game.

Package: gnuserv
Description-md5: a67a0d1185ba4add9728d53fa54138d6
Description-en: Allows you to attach to an already running Emacs
 gnuserv allows you to attach to an already running Emacs. This
 allows external programs to make use of Emacs' editing
 capabilities. It is similar to GNU Emacs' emacsclient, but
 has a slightly different feature set.
 .
 You do not need this package if you use XEmacs; it already
 includes gnuserv and gnuclient. If you want to use gnuserv with
 both GNU Emacs and XEmacs, you will only be able to use the
 alternative /usr/bin/gnuclient with one flavor of emacs; you will
 have to use either gnuclient.xemacs or gnuclient.emacs for the
 other flavor.

Package: gnushogi
Description-md5: f699bba132a06e0decf92dfaaa17046e
Description-en: program to play shogi, the Japanese version of chess
 Gnushogi is a computer player for Shogi (Japanese chess).
 .
 Gnushogi is a modified version of the gnuchess program. It can be
 used through xshogi, tagua, kaya, or other graphical frontends, but
 also has a simple alpha-numeric board display.

Package: gnusim8085
Description-md5: 082639db4944b0bd0ba6579cbc066bcf
Description-en: Graphical Intel 8085 simulator, assembler and debugger
 GNUSim8085 is a graphical simulator, assembler and debugger for the
 Intel 8085 microprocessor.
 The application has following features.
  - A simple editor component with syntax highlighting.
  - A keypad to input assembly language instructions with appropriate arguments.
  - Easy view of register contents.
  - Easy view of flag contents.
  - Decimal &lt;–&gt; Hexadecimal converter.
  - View of stack, memory and I/O contents.
  - Support for breakpoints for program debugging.
  - Stepwise program execution.
  - One click conversion of assembly program to opcode listing.
  - Printing support.
  - UI translated in various languages.

Package: gnustep
Description-md5: 606c06d2bb4cf4710e41f368d083d407
Description-en: User applications for the GNUstep Environment
 These packages will give a fairly complete GNUstep user environment.
 .
 This is a metapackage depending on some of the important GNUstep
 applications.

Package: gnustep-back-common
Description-md5: 75775d35638525f5528de58c5ba5650b
Description-en: GNUstep GUI Backend - common files
 It is a backend component for the GNUstep GUI Library.
 The implementation of the GNUstep GUI Library is designed in two parts.
 The first part is the front-end component which is independent of platform
 and display system.  This front-end is combined with a back-end
 component which handles all of the display system dependent such as
 specific calls to the X Window System.
 .
 This package contains the common files needed by the GNUstep GUI Backend.

Package: gnustep-back0.27
Description-md5: c4ca7cc3d7c1ff57c96c251d544142e3
Description-en: GNUstep GUI Backend
 It is a backend component for the GNUstep GUI Library.
 The implementation of the GNUstep GUI Library is designed in two parts.
 The first part is the front-end component which is independent of platform
 and display system.  This front-end is combined with a back-end
 component which handles all of the display system dependent such as
 specific calls to the X Window System.
 .
 This is an empty package that depends on the various backends.

Package: gnustep-back0.27-art
Description-md5: f49a25642afed7a750d4e793d99578a9
Description-en: GNUstep GUI Backend (art)
 It is a backend component for the GNUstep GUI Library.
 The implementation of the GNUstep GUI Library is designed in two parts.
 The first part is the front-end component which is independent of platform
 and display system.  This front-end is combined with a back-end
 component which handles all of the display system dependent such as
 specific calls to the X Window System.
 .
 This package provides the deprecated libart backend.

Package: gnustep-back0.27-cairo
Description-md5: ad624b0873d174986d5d663f0e4c8413
Description-en: GNUstep GUI Backend (cairo)
 It is a backend component for the GNUstep GUI Library.
 The implementation of the GNUstep GUI Library is designed in two parts.
 The first part is the front-end component which is independent of platform
 and display system.  This front-end is combined with a back-end
 component which handles all of the display system dependent such as
 specific calls to the X Window System.
 .
 This package provides the cairo backend.

Package: gnustep-back0.27-xlib
Description-md5: 34a076e3623953c6592cac3f38c47b8e
Description-en: GNUstep GUI Backend (xlib)
 It is a backend component for the GNUstep GUI Library.
 The implementation of the GNUstep GUI Library is designed in two parts.
 The first part is the front-end component which is independent of platform
 and display system.  This front-end is combined with a back-end
 component which handles all of the display system dependent such as
 specific calls to the X Window System.
 .
 This package provides the deprecated xlib backend.

Package: gnustep-base-common
Description-md5: 3b9baa7f67cda5445dafa1864dc587f6
Description-en: GNUstep Base library - common files
 The GNUstep Base Library is a powerful fast library of
 general-purpose, non-graphical Objective C classes, inspired by the
 OpenStep API but implementing Apple and GNU additions to the API as
 well.
 .
 This package contains the common files needed by the GNUstep Base library.

Package: gnustep-base-doc
Description-md5: 7a42edc1e78a97776d8f7f1f41f9ac7b
Description-en: Documentation for the GNUstep Base Library
 This package contains the GNUstep Base Library API reference, as well
 as the GNUstep Base programming manual and GNUstep Coding Standards
 in Info, HTML and PDF format.

Package: gnustep-base-runtime
Description-md5: 0282d1823d9ad32bb849f37bdc4e2c41
Description-en: GNUstep Base library - daemons and tools
 The GNUstep Base Library is a powerful fast library of
 general-purpose, non-graphical Objective C classes, inspired by the
 OpenStep API but implementing Apple and GNU additions to the API as
 well.
 .
 This package contains the runtime support files needed by GNUstep
 applications.

Package: gnustep-common
Description-md5: 21e07eaabb93b22e8ae11a6c5c6069f3
Description-en: Common files for the core GNUstep environment
 This package contains the main GNUstep configuration file, common
 files, scripts and directory layout needed to run any GNUstep
 software.

Package: gnustep-core-devel
Description-md5: 5e2c73f5f3771ecfb89dca395437e8d1
Description-en: GNUstep Development Environment -- core libraries
 These are the packages needed to develop programs for the GNUstep
 environment.
 .
 This is a metapackage that depends on the GNUstep core libraries
 (FoundationKit and AppKit, aka GNUstep Base and GUI).

Package: gnustep-core-doc
Description-md5: d4d2c2b0556302d94c3e856710d2c4bd
Description-en: GNUstep Development Environment -- core documentation
 These packages provide documentation for developing programs for the
 GNUstep environment.
 .
 This is a metapackage that depends on the documentation packages of
 the core GNUstep development environment (GNUstep Make, Base and
 GUI).

Package: gnustep-devel
Description-md5: 420c2d7aa2dd99c3903918c951c973f9
Description-en: GNUstep Development Environment -- development tools
 These packages provide tools for development applications for GNUstep, as
 well as extra libraries for the GNUstep environment.
 .
 This is a metapackage that depends on gnustep-core-devel and commonly
 used development applications.

Package: gnustep-dl2
Description-md5: e4822d39b5eddf157ab9c4eb5db92cb2
Description-en: Objective-C Classes needed for Database Access
 GNUstep Database Library Version 2 is needed by GNUstep applications that
 communicate with databases.  It's an implementation of Apple's
 Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's
 WebObjects 4.5 interface.

Package: gnustep-dl2-postgresql-adaptor
Description-md5: 1f7940d9104f97706fb338ea8b5e8bed
Description-en: gnustep-dl2 adaptor to connect to PostgreSQL
 This specific EOAdaptor project supports PostgreSQL 8.1 and higher
 .
 GNUstep Database Library Version 2 is needed by GNUstep applications that
 communicate with databases.  It's an implementation of Apple's
 Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's
 WebObjects 4.5 interface.

Package: gnustep-dl2-sqlite-adaptor
Description-md5: 7df54d255b49b6c2b77de7c0e572d394
Description-en: gnustep-dl2 adaptor to connect to SQLite
 This specific EOAdaptor project supports SQLite 3.x and higher
 .
 GNUstep Database Library Version 2 is needed by GNUstep applications that
 communicate with databases.  It's an implementation of Apple's
 Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's
 WebObjects 4.5 interface.

Package: gnustep-examples
Description-md5: c978947c2d7d881dce26622cd5e296b4
Description-en: GNUstep example applications
 A collection of examples for the GNUstep libraries.  Some of them are
 useful applications on their own, others are intended only for
 testing purposes.

Package: gnustep-games
Description-md5: ccb0bfaff1d7a5c398647ae23633ca76
Description-en: GNUstep based games
 These packages are a few games for GNUstep.
 .
 This is a metapackage that depends on (almost) all games designed for
 the GNUstep environment.

Package: gnustep-gui-common
Description-md5: e022305b133200b5baa09df6daaa6bd6
Description-en: GNUstep GUI Library - common files
 The GNUstep GUI Library is a powerful library of graphical user interface
 classes written completely in the Objective-C language; the classes are
 based upon the OpenStep specification, and provide the user with a
 traditional nextstep-like look and feel.
 .
 This package contains the common files needed by the GNUstep GUI library.

Package: gnustep-gui-doc
Description-md5: e06b30372c8f8ee847c28f14de8dbc00
Description-en: Documentation for the GNUstep GUI Library
 The GNUstep GUI Library is a powerful library of graphical user interface
 classes written completely in the Objective-C language; the classes are
 based upon the OpenStep specification, and provide the user with a
 traditional nextstep-like look and feel.
 .
 This package contains text, gsdoc, PDF and HTML documentation for the
 GNUstep GUI Library.

Package: gnustep-gui-runtime
Description-md5: 29341114fdad89f562554b5ab37477d7
Description-en: GNUstep GUI Library - runtime files
 The GNUstep GUI Library is a powerful library of graphical user interface
 classes written completely in the Objective-C language; the classes are
 based upon the OpenStep specification, and provide the user with a
 traditional nextstep-like look and feel.
 .
 This package contains the runtime support files needed by GNUstep GUI
 applications.

Package: gnustep-icons
Description-md5: edd6db7db5d3107f354f16d27ff893f2
Description-en: Several free icons for use with GNUstep and others
 They all have a basic NeXTSTEPish look and feel. These icons are
 placed where the WindowMaker package expects them by default.

Package: gnustep-make
Description-md5: 7310f8ab166503bcfee57a368b5ff34c
Description-en: GNUstep build system
 This package contains the makefiles needed to compile any GNUstep
 software, and the GNUstep Test Framework used by GNUstep packages to
 build and run tests.

Package: gnustep-make-doc
Description-md5: 98bf098f69a7d90ca5639d83ded2753d
Description-en: Documentation for GNUstep Make
 This package contains the GNUstep Make manual in Info, HTML and PDF
 format.  Also included are several documents such as the GNUstep
 HOWTO, FAQ and explanation of the GNUstep filesystem which are useful
 to get familiar with the basic aspects of the GNUstep environment.

Package: gnutls-bin
Description-md5: 4d4cd373c5be12f8c5459215d3ef89f7
Description-en: GNU TLS library - commandline utilities
 GnuTLS is a portable library which implements the Transport Layer
 Security (TLS 1.0, 1.1, 1.2, 1.3) and Datagram
 Transport Layer Security (DTLS 1.0, 1.2) protocols.
 .
 GnuTLS features support for:
  - certificate path validation, as well as DANE and trust on first use.
  - the Online Certificate Status Protocol (OCSP).
  - public key methods, including RSA and Elliptic curves, as well as password
    and key authentication methods such as SRP and PSK protocols.
  - all the strong encryption algorithms, including AES and Camellia.
  - CPU-assisted cryptography with VIA padlock and AES-NI instruction sets.
  - HSMs and cryptographic tokens, via PKCS #11.
 .
 This package contains a commandline interface to the GNU TLS library, which
 can be used to set up secure connections from e.g. shell scripts, debugging
 connection issues or managing certificates.
 .
 Useful utilities include:
  - TLS termination: gnutls-cli, gnutls-serv
  - key and certificate management: certtool, ocsptool, p11tool
  - credential management: srptool, psktool

Package: go-bindata
Description-md5: 08cee567afc36736faa12b71862e6430
Description-en: embed data in a Go program
 This tool converts any file into manageable Go source code. It is
 useful for embedding binary data into a Go program. The file data is
 optionally gzip compressed before being converted to a raw byte
 slice.
 .
 This package provides the standalone utility `go-bindata`.

Package: go-cve-dictionary
Description-md5: 381a8bfb30f3255d2d9e4e76939e1470
Description-en: builds a local copy of the NVD/JVN
 go-cve-dictionary is tool to build a local copy of the NVD
 (National Vulnerabilities Database) and the Japanese JVN, which contain
 security vulnerabilities according to their CVE identifiers including
 exhaustive information and a risk score. The local copy is generated in
 sqlite format, and the tool has a server mode for easy querying.

Package: go-dep
Description-md5: 5de1279cade326fe657b84d09165875c
Description-en: Go dependency management tool
 dep is a prototype dependency management tool for the Go programming
 language.

Package: go-exploitdb
Description-md5: 87ebce9bc0808d4211796acba3ac9d79
Description-en: builds a local copy of the Exploit-DB (OffensiveSecurity)
 go-exploitdb is a tool for searching Exploits from Exploit-DB
 (OffensiveSecurity) by CVE number or Exploit Database ID. Exploits are
 inserted at sqlite database(go-exploitdb) from Exploit-DB and can be searched
 by command line interface. In server mode, a simple Web API can be used.

Package: go-internal
Description-md5: ad1524a66a3041c36f10fa689303ed26
Description-en: Selected Go-internal packages factored out from the standard library (binaries)
 The go-internal repository factors out an opinionated selection of
 internal packages and functionality from the Go standard library.
 Currently this consists mostly of packages and testing code from
 within the Go tool implementation.
 .
 Included are the following:
 .
  * dirhash: calculate hashes over directory trees the same way
             that the Go tool does.
  * goproxytest: a GOPROXY implementation designed for test use.
  * gotooltest: Use the Go tool inside test scripts (see testscript below).
  * imports: list of known architectures and OSs, and support for reading
             import statements.
  * modfile: read and write go.mod files while preserving formatting
             and comments.
  * module: module paths and versions.
  * par: do work in parallel.
  * semver: semantic version parsing.
  * testenv: information on the current testing environment.
  * testscript: script-based testing based on txtar files.
  * txtar: simple text-based file archives for testing.
 .
 This package contains the compiled binaries, namely testscript,
 txtar-addmod, txtar-c and txtar-goproxy.

Package: go-md2man
Description-md5: dbfae9643547bb6a568949d24262f23a
Description-en: utility to create manpages from markdown
 Turns Markdown into manpages, which is really handy for people
 that don't want to learn how to make manpages from stuff like DocBook.
 .
 This package contains the conversion binary.

Package: go-mmproxy
Description-md5: 3eaf3a7a33b93e866771ea747bf27e42
Description-en: Golang implementation of mmproxy
 'go-mmproxy' is a standalone application that unwraps HAProxy's
 PROXY-protocol so that the TCP connection to the end server comes from
 client's - instead of proxy server's - IP address and port number.
 .
 This is a Golang reimplementation of mmproxy created to improve on
 mmproxy's runtime stability while providing potentially greater
 performance in terms of connection and packet throughput.

Package: go-mtpfs
Description-md5: cc3e99acc12e8347f7b218086c57651f
Description-en: Mount MTP devices over FUSE
 Go-mtpfs is a simple FUSE filesystem for mounting Android devices as
 an MTP device.
 .
 It will expose all storage areas of a device in the mount, and only
 reads file metadata as needed, making it mount quickly. It uses Android
 extensions to read/write partial data, so manipulating large files
 requires no extra space in /tmp.

Package: go-org
Description-md5: 23700d444f77a019dfcd79e8dc5e2ebc
Description-en: Org mode parser with HTML & pretty-printed Org rendering (program)
 go-org is an Org mode parser written in Go.
 .
 Take a look at https://niklasfasching.github.io/go-org/ for some examples
 and an online Org → HTML demo (Wasm based).
 .
 Please note that the goal for the HTML export is to produce sensible HTML
 output, not to exactly reproduce output the output of org-html-export.
 .
 This package contains the go-org executable.

Package: go-qrcode
Description-md5: 115bb350810787ae4194a6ddc0c3b03d
Description-en: go QR Code encoder
 A QR Code is a matrix (two-dimensional) barcode. Arbitrary content may
 be encoded, with URLs being a popular choice :)
 .
 Each QR Code contains error recovery information to aid reading damaged
 or obscured codes. There are four levels of error recovery: Low, medium,
 high and highest. QR Codes with a higher recovery level are more robust
 to damage, at the cost of being physically larger.
 .
 The maximum capacity of a QR Code varies according to the content encoded
 and the error recovery level. The maximum capacity is 2,953 bytes, 4,296
 alphanumeric characters, 7,089 numeric digits, or a combination of these.

Package: goaccess
Description-md5: 47c2aaff8382c12563ab947e7364aee4
Description-en: log analyzer and interactive viewer for the Apache Webserver
 Displays fast and valuable HTTP statistics for system administrators that
 require a visual report on the fly.
 .
 The collected information will be displayed to the user (sysadmin) in a
 visual/interactive window. Collected information includes:
 .
  -General Statistics, Bandwidth etc.
  -Top Visitors
  -Requested files
  -Requested static files, images, swf, js, etc.
  -Referrers URLs
  -404 or Not Found
  -Operating Systems
  -Browsers and Spiders
  -Hosts, Reverse DNS, IP Location
  -HTTP Status Codes
  -Referring Sites
  -Unlimited log file size
 .
 GoAccess can parse both of Apaches' log formats, the Common Log Format and
 the Combined Log Format

Package: goattracker
Description-md5: a8d20219791182cd25809e96f1baa6db
Description-en: C64 music editor
 This is a crossplatform C64 music editor. Supports emulated output via Dag
 Lem's reSID engine, the HardSID soundcard or CatWeasel MK3.
 .
 It supports emulated output via the software reSID engine, the HardSID
 soundcard, or the Catweasel (MK3/MK4) controller card, and produces songs in
 its own format (*.SNG). The program can also export tunes in SID format, BIN
 format, or Commodore PRG format for inclusion on a floppy you can stick into
 a 1541/1571/1581 drive.
 .
 Like most tracker programs, the program is able to import instrument files,
 create and modify track patterns, set the order of playback of patterns and
 change details of the song such as the title and author. If you are familiar
 with tracker-like programs, then GoatTracker will feel like a simple version
 of those, though with differences attributable to the hardware. People new to
 composing in general should look up other information on composing on the
 C64.

Package: gob2
Description-md5: 0f5d229d9f79efbdbf11a584051163fb
Description-en: GTK+ Object Builder
 GOB is a preprocessor which simplifies the writing of GObjects in C.
 The syntax is somewhat similar to that for Java, yacc and lex.

Package: goban-original-games
Description-md5: f30b11df760ad3c418fafdde2d56cafe
Description-en: Original games set for the Goban screensaver
 Several famous games for displaying by the Goban screensaver
 (database taken from Jan van Rongen at
 http://www.euronet.nl/users/cl628517/page22.html).

Package: goban-ss
Description-md5: 13cf305b448027d1b7f015a9686c19a3
Description-en: Goban screensaver
 A version of cgoban (by Bill Shubert, aka wms on the go
 servers, see http://www.inetarena.com/~wms/comp/cgoban) modified to
 run as a screensaver.
 .
 Displays a full-screen board and plays games from SGF files
 picked at random.

Package: gobby
Description-md5: 088a679a3173ccd85e58bf5c705d5e76
Description-en: infinote-based collaborative text editor
 Gobby is an editor which allows one to edit text documents and source files
 collaboratively over a network. All users could work on the file
 simultaneously without the need to lock it. The parts the various users
 write are highlighted in different colours and it supports syntax
 highlighting of various programming and markup languages.
 .
 Gobby is portable to both Windows and Unix-like platforms and makes use of
 the Gtk+ toolkit. A dedicated server is available in the infinoted package.

Package: gobgpd
Description-md5: e6f6939bca8bc73a3489a527ad1b3bc7
Description-en: BGP implemented in Go (daemon and client)
 GoBGP is an open source BGP implementation designed from scratch for
 modern environment and implemented in Go. It is designed to exploit
 multicore processors and can be easily integrated with other software
 through an RPC API.
 .
 This package contains both the daemon and the client.

Package: gobjc
Description-md5: 8eb5a7695a9f4935cb3126a66df29405
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C compiler.

Package: gobjc++
Description-md5: db23ebaaaeb19bd162f9458eb00d25ef
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++ compiler.

Package: gobjc++-10
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-aarch64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-alpha-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-arm-linux-gnueabi
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-arm-linux-gnueabihf
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-hppa-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-i686-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-m68k-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-mips-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-mips64-linux-gnuabi64
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-mips64el-linux-gnuabi64
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-mipsel-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-mipsisa32r6-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-mipsisa32r6el-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-mipsisa64r6-linux-gnuabi64
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-mipsisa64r6el-linux-gnuabi64
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-multilib
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-arm-linux-gnueabi
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-arm-linux-gnueabihf
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-i686-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-mips-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-mips64-linux-gnuabi64
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-mips64el-linux-gnuabi64
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-mipsel-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-mipsisa32r6-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-mipsisa32r6el-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-powerpc-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-powerpc64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-s390x-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-sparc64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-multilib-x86-64-linux-gnux32
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-powerpc-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-powerpc64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-powerpc64le-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-riscv64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-s390x-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-sh4-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-sparc64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-10-x86-64-linux-gnux32
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-7
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-7-multilib
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-aarch64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-alpha-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-arm-linux-gnueabi
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-arm-linux-gnueabihf
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-hppa-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-i686-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-m68k-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-multilib
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-multilib-arm-linux-gnueabi
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-multilib-arm-linux-gnueabihf
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-multilib-i686-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-multilib-powerpc-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-multilib-powerpc64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-multilib-s390x-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-multilib-sparc64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-multilib-x86-64-linux-gnux32
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-powerpc-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-powerpc64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-powerpc64le-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-riscv64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-s390x-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-sh4-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-sparc64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-x86-64-linux-gnux32
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-aarch64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-alpha-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-arm-linux-gnueabi
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-arm-linux-gnueabihf
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-hppa-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-i686-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-m68k-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-mips-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-mips64-linux-gnuabi64
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-mips64el-linux-gnuabi64
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-mipsel-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-mipsisa32r6-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-mipsisa32r6el-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-mipsisa64r6-linux-gnuabi64
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-mipsisa64r6el-linux-gnuabi64
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-multilib
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-arm-linux-gnueabi
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-arm-linux-gnueabihf
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-i686-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-mips-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-mips64-linux-gnuabi64
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-mips64el-linux-gnuabi64
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-mipsel-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-mipsisa32r6-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-mipsisa32r6el-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-powerpc-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-powerpc64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-s390x-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-sparc64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-multilib-x86-64-linux-gnux32
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-powerpc-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-powerpc64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-powerpc64le-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-riscv64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-s390x-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-sh4-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-sparc64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-x86-64-linux-gnux32
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-aarch64-linux-gnu
Description-md5: 39b6d7cfd82eeb3284cd3e2c9df3bcce
Description-en: GNU Objective-C++ compiler for the arm64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the arm64 architecture.

Package: gobjc++-alpha-linux-gnu
Description-md5: 55344ad85e1454426c63da108ffb0890
Description-en: GNU Objective-C++ compiler for the alpha architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the alpha architecture.

Package: gobjc++-arm-linux-gnueabi
Description-md5: b44f97a80cb51c59d57050bed21040fc
Description-en: GNU Objective-C++ compiler for the armel architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the armel architecture.

Package: gobjc++-arm-linux-gnueabihf
Description-md5: 54cfd0a33158bcf5616fd98c53643c59
Description-en: GNU Objective-C++ compiler for the armhf architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the armhf architecture.

Package: gobjc++-hppa-linux-gnu
Description-md5: b1b4eda0eaab982aa45cba9decd9754f
Description-en: GNU Objective-C++ compiler for the hppa architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the hppa architecture.

Package: gobjc++-i686-linux-gnu
Description-md5: 8040375ba71624dc050b1e50be86aeab
Description-en: GNU Objective-C++ compiler for the i386 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the i386 architecture.

Package: gobjc++-m68k-linux-gnu
Description-md5: b37da934ac9792db1e5b95d8ca1608ad
Description-en: GNU Objective-C++ compiler for the m68k architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the m68k architecture.

Package: gobjc++-mingw-w64
Description-md5: dc7e3abeb026ad5da4a85f91fa070a74
Description-en: GNU Objective-C++ compiler for MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This metapackage provides the Objective-C++ compiler, supporting
 cross-compiling to 32- and 64-bit MinGW-w64 targets.

Package: gobjc++-mingw-w64-i686
Description-md5: 6ba544a2d7976bd3c14ae284ccd2d736
Description-en: GNU Objective-C++ compiler for MinGW-w64 targeting Win32
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the Objective-C++ compiler, supporting
 cross-compiling to 32-bit MinGW-w64 targets.

Package: gobjc++-mingw-w64-x86-64
Description-md5: 5c9fb2e973b5ea2564da488ce2fa675d
Description-en: GNU Objective-C++ compiler for MinGW-w64 targeting Win64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the Objective-C++ compiler, supporting
 cross-compiling to 64-bit MinGW-w64 targets.

Package: gobjc++-mips-linux-gnu
Description-md5: ef7efd41b4fdbde13b0313e0c4660756
Description-en: GNU Objective-C++ compiler for the mips architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips architecture.

Package: gobjc++-mips64-linux-gnuabi64
Description-md5: 33e64a13f71b2dd1c562c0345a6aae6f
Description-en: GNU Objective-C++ compiler for the mips64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips64 architecture.

Package: gobjc++-mips64el-linux-gnuabi64
Description-md5: 45df64c841dfcadd907cc71a2d961b62
Description-en: GNU Objective-C++ compiler for the mips64el architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips64el architecture.

Package: gobjc++-mipsel-linux-gnu
Description-md5: a836728e360f65f250bcc4a9007ff42d
Description-en: GNU Objective-C++ compiler for the mipsel architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mipsel architecture.

Package: gobjc++-mipsisa32r6-linux-gnu
Description-md5: 83e05807ce22121b77861b67acb2fa45
Description-en: GNU Objective-C++ compiler for the mipsr6 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mipsr6 architecture.

Package: gobjc++-mipsisa32r6el-linux-gnu
Description-md5: cd8181f7c3827b6d3edb31fa9137e367
Description-en: GNU Objective-C++ compiler for the mipsr6el architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mipsr6el architecture.

Package: gobjc++-mipsisa64r6-linux-gnuabi64
Description-md5: 86f5363d6587dc54b9a9ec5101f0a873
Description-en: GNU Objective-C++ compiler for the mips64r6 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips64r6 architecture.

Package: gobjc++-mipsisa64r6el-linux-gnuabi64
Description-md5: 06f70040f6022642d33bb5cdadea9d07
Description-en: GNU Objective-C++ compiler for the mips64r6el architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips64r6el architecture.

Package: gobjc++-multilib
Description-md5: 6e928dbd254dd2329a9ae8853ae2526a
Description-en: GNU Objective-C++ compiler (multilib files)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 A dependency package on architectures with multilib support; the package
 contains dependencies for the non-default multilib architecture(s).

Package: gobjc++-multilib-arm-linux-gnueabi
Description-md5: b44f97a80cb51c59d57050bed21040fc
Description-en: GNU Objective-C++ compiler for the armel architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the armel architecture.

Package: gobjc++-multilib-arm-linux-gnueabihf
Description-md5: 54cfd0a33158bcf5616fd98c53643c59
Description-en: GNU Objective-C++ compiler for the armhf architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the armhf architecture.

Package: gobjc++-multilib-i686-linux-gnu
Description-md5: 8040375ba71624dc050b1e50be86aeab
Description-en: GNU Objective-C++ compiler for the i386 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the i386 architecture.

Package: gobjc++-multilib-mips-linux-gnu
Description-md5: ef7efd41b4fdbde13b0313e0c4660756
Description-en: GNU Objective-C++ compiler for the mips architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips architecture.

Package: gobjc++-multilib-mips64-linux-gnuabi64
Description-md5: 33e64a13f71b2dd1c562c0345a6aae6f
Description-en: GNU Objective-C++ compiler for the mips64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips64 architecture.

Package: gobjc++-multilib-mips64el-linux-gnuabi64
Description-md5: 45df64c841dfcadd907cc71a2d961b62
Description-en: GNU Objective-C++ compiler for the mips64el architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips64el architecture.

Package: gobjc++-multilib-mipsel-linux-gnu
Description-md5: a836728e360f65f250bcc4a9007ff42d
Description-en: GNU Objective-C++ compiler for the mipsel architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mipsel architecture.

Package: gobjc++-multilib-mipsisa32r6-linux-gnu
Description-md5: 83e05807ce22121b77861b67acb2fa45
Description-en: GNU Objective-C++ compiler for the mipsr6 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mipsr6 architecture.

Package: gobjc++-multilib-mipsisa32r6el-linux-gnu
Description-md5: cd8181f7c3827b6d3edb31fa9137e367
Description-en: GNU Objective-C++ compiler for the mipsr6el architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mipsr6el architecture.

Package: gobjc++-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 86f5363d6587dc54b9a9ec5101f0a873
Description-en: GNU Objective-C++ compiler for the mips64r6 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips64r6 architecture.

Package: gobjc++-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 06f70040f6022642d33bb5cdadea9d07
Description-en: GNU Objective-C++ compiler for the mips64r6el architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the mips64r6el architecture.

Package: gobjc++-multilib-powerpc-linux-gnu
Description-md5: dc4e3dffbaa90c3d2e3af40a6d7a63d9
Description-en: GNU Objective-C++ compiler for the powerpc architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the powerpc architecture.

Package: gobjc++-multilib-powerpc64-linux-gnu
Description-md5: 53edcb6cb337ab3ab7875be7517502b8
Description-en: GNU Objective-C++ compiler for the ppc64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the ppc64 architecture.

Package: gobjc++-multilib-s390x-linux-gnu
Description-md5: 15cac5015fd54eb3b1029c8416c50a37
Description-en: GNU Objective-C++ compiler for the s390x architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the s390x architecture.

Package: gobjc++-multilib-sparc64-linux-gnu
Description-md5: 1e6958288316befc9151e4683dc4a23f
Description-en: GNU Objective-C++ compiler for the sparc64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the sparc64 architecture.

Package: gobjc++-multilib-x86-64-linux-gnux32
Description-md5: e68b2a981f70a5f1a9113e819e65a04e
Description-en: GNU Objective-C++ compiler for the x32 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the x32 architecture.

Package: gobjc++-powerpc-linux-gnu
Description-md5: dc4e3dffbaa90c3d2e3af40a6d7a63d9
Description-en: GNU Objective-C++ compiler for the powerpc architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the powerpc architecture.

Package: gobjc++-powerpc64-linux-gnu
Description-md5: 53edcb6cb337ab3ab7875be7517502b8
Description-en: GNU Objective-C++ compiler for the ppc64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the ppc64 architecture.

Package: gobjc++-powerpc64le-linux-gnu
Description-md5: 96f6215773bc62e141689e299fa9a385
Description-en: GNU Objective-C++ compiler for the ppc64el architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the ppc64el architecture.

Package: gobjc++-riscv64-linux-gnu
Description-md5: 693023f978d370e45c47352eb120f7e9
Description-en: GNU Objective-C++ compiler for the riscv64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the riscv64 architecture.

Package: gobjc++-s390x-linux-gnu
Description-md5: 15cac5015fd54eb3b1029c8416c50a37
Description-en: GNU Objective-C++ compiler for the s390x architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the s390x architecture.

Package: gobjc++-sh4-linux-gnu
Description-md5: 9ed7dae39ba333ebb40eabcdc301e3a5
Description-en: GNU Objective-C++ compiler for the sh4 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the sh4 architecture.

Package: gobjc++-sparc64-linux-gnu
Description-md5: 1e6958288316befc9151e4683dc4a23f
Description-en: GNU Objective-C++ compiler for the sparc64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the sparc64 architecture.

Package: gobjc++-x86-64-linux-gnux32
Description-md5: e68b2a981f70a5f1a9113e819e65a04e
Description-en: GNU Objective-C++ compiler for the x32 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the x32 architecture.

Package: gobjc-10
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-aarch64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-alpha-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-arm-linux-gnueabi
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-arm-linux-gnueabihf
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-hppa-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-i686-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-m68k-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-mips-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-mips64-linux-gnuabi64
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-mips64el-linux-gnuabi64
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-mipsel-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-mipsisa32r6-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-mipsisa32r6el-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-mipsisa64r6-linux-gnuabi64
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-mipsisa64r6el-linux-gnuabi64
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-multilib
Description-md5: b79f5c8e5ac0b4d1089b9028a80813f3
Description-en: GNU Objective-C compiler (multilib support)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-arm-linux-gnueabi
Description-md5: b0a86719c2e87cc8a718d52f3907d60e
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-arm-linux-gnueabihf
Description-md5: c7c8b3385bd40a2936fb852dad90edc0
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-i686-linux-gnu
Description-md5: 57713f452312d3724f7fd8c252419b42
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-mips-linux-gnu
Description-md5: 5b367e341fc122bb8982fda57c5097b2
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-mips64-linux-gnuabi64
Description-md5: 54ac71c4b3e65e972e2a214dd78c8edc
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-mips64el-linux-gnuabi64
Description-md5: df3969577406e1a09d3ddde5a16edfe8
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-mipsel-linux-gnu
Description-md5: 63420624673fbf784c522395c8df1b3d
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-mipsisa32r6-linux-gnu
Description-md5: 1c1f5ecf426f57aa1cb9f1d4bfc16103
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-mipsisa32r6el-linux-gnu
Description-md5: a3ca09857c61594bd2709f27380c6989
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 43b35d07339e1c4357f610ed18e1356e
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 3c1a3e9161517b60ba33cb054890429a
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-powerpc-linux-gnu
Description-md5: 576353e63a3fed1115025775e4cf657f
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-powerpc64-linux-gnu
Description-md5: 473ab906c51af4e83145169333bf617a
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-s390x-linux-gnu
Description-md5: ce39b04f3c0d2c474dca27ff46038fd9
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-sparc64-linux-gnu
Description-md5: 9b4898809d5cdedf89ea0a4468ccff88
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-multilib-x86-64-linux-gnux32
Description-md5: 0d5b01c9db71b1b439a7e5a25747ab84
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-powerpc-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-powerpc64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-powerpc64le-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-riscv64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-s390x-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-sh4-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-sparc64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-10-x86-64-linux-gnux32
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-7
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-7-multilib
Description-md5: b79f5c8e5ac0b4d1089b9028a80813f3
Description-en: GNU Objective-C compiler (multilib support)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-aarch64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-alpha-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-arm-linux-gnueabi
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-arm-linux-gnueabihf
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-hppa-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-i686-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-m68k-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-multilib
Description-md5: b79f5c8e5ac0b4d1089b9028a80813f3
Description-en: GNU Objective-C compiler (multilib support)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-multilib-arm-linux-gnueabi
Description-md5: b0a86719c2e87cc8a718d52f3907d60e
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-multilib-arm-linux-gnueabihf
Description-md5: c7c8b3385bd40a2936fb852dad90edc0
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-multilib-i686-linux-gnu
Description-md5: 57713f452312d3724f7fd8c252419b42
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-multilib-powerpc-linux-gnu
Description-md5: 576353e63a3fed1115025775e4cf657f
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-multilib-powerpc64-linux-gnu
Description-md5: 473ab906c51af4e83145169333bf617a
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-multilib-s390x-linux-gnu
Description-md5: ce39b04f3c0d2c474dca27ff46038fd9
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-multilib-sparc64-linux-gnu
Description-md5: 9b4898809d5cdedf89ea0a4468ccff88
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-multilib-x86-64-linux-gnux32
Description-md5: 0d5b01c9db71b1b439a7e5a25747ab84
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-powerpc-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-powerpc64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-powerpc64le-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-riscv64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-s390x-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-sh4-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-sparc64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-x86-64-linux-gnux32
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-aarch64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-alpha-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-arm-linux-gnueabi
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-arm-linux-gnueabihf
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-hppa-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-i686-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-m68k-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-mips-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-mips64-linux-gnuabi64
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-mips64el-linux-gnuabi64
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-mipsel-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-mipsisa32r6-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-mipsisa32r6el-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-mipsisa64r6-linux-gnuabi64
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-mipsisa64r6el-linux-gnuabi64
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-multilib
Description-md5: b79f5c8e5ac0b4d1089b9028a80813f3
Description-en: GNU Objective-C compiler (multilib support)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-arm-linux-gnueabi
Description-md5: b0a86719c2e87cc8a718d52f3907d60e
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for armel architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-arm-linux-gnueabihf
Description-md5: c7c8b3385bd40a2936fb852dad90edc0
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for armhf architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-i686-linux-gnu
Description-md5: 57713f452312d3724f7fd8c252419b42
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for i386 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-mips-linux-gnu
Description-md5: 5b367e341fc122bb8982fda57c5097b2
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-mips64-linux-gnuabi64
Description-md5: 54ac71c4b3e65e972e2a214dd78c8edc
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-mips64el-linux-gnuabi64
Description-md5: df3969577406e1a09d3ddde5a16edfe8
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips64el architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-mipsel-linux-gnu
Description-md5: 63420624673fbf784c522395c8df1b3d
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mipsel architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-mipsisa32r6-linux-gnu
Description-md5: 1c1f5ecf426f57aa1cb9f1d4bfc16103
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mipsr6 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-mipsisa32r6el-linux-gnu
Description-md5: a3ca09857c61594bd2709f27380c6989
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mipsr6el architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: 43b35d07339e1c4357f610ed18e1356e
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips64r6 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: 3c1a3e9161517b60ba33cb054890429a
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for mips64r6el architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-powerpc-linux-gnu
Description-md5: 576353e63a3fed1115025775e4cf657f
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for powerpc architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-powerpc64-linux-gnu
Description-md5: 473ab906c51af4e83145169333bf617a
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for ppc64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-s390x-linux-gnu
Description-md5: ce39b04f3c0d2c474dca27ff46038fd9
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for s390x architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-sparc64-linux-gnu
Description-md5: 9b4898809d5cdedf89ea0a4468ccff88
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for sparc64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-multilib-x86-64-linux-gnux32
Description-md5: 0d5b01c9db71b1b439a7e5a25747ab84
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for x32 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-powerpc-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-powerpc64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-powerpc64le-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-riscv64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-s390x-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-sh4-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-sparc64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-x86-64-linux-gnux32
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-aarch64-linux-gnu
Description-md5: 7258db0aec57d94ffbe94ccc2bdccc52
Description-en: GNU Objective-C compiler for the arm64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the arm64 architecture.

Package: gobjc-alpha-linux-gnu
Description-md5: 1995369cbc00bc6e2a4bc5d86ce13a06
Description-en: GNU Objective-C compiler for the alpha architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the alpha architecture.

Package: gobjc-arm-linux-gnueabi
Description-md5: 46dc6e58b617cb001f17a46fc378fdf9
Description-en: GNU Objective-C compiler for the armel architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the armel architecture.

Package: gobjc-arm-linux-gnueabihf
Description-md5: ff54e9ed4dc26c7549df98fa4e8979d2
Description-en: GNU Objective-C compiler for the armhf architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the armhf architecture.

Package: gobjc-hppa-linux-gnu
Description-md5: 869d1e251b4db215d62e8f99ec9b8b7c
Description-en: GNU Objective-C compiler for the hppa architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the hppa architecture.

Package: gobjc-i686-linux-gnu
Description-md5: 53a12544da11551f905d866a5af7c735
Description-en: GNU Objective-C compiler for the i386 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the i386 architecture.

Package: gobjc-m68k-linux-gnu
Description-md5: 8ef0b1b631f215b5b9deab1021bb3c9c
Description-en: GNU Objective-C compiler for the m68k architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the m68k architecture.

Package: gobjc-mingw-w64
Description-md5: 7fe2ecb47243cc07bea99018742991b0
Description-en: GNU Objective-C compiler for MinGW-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This metapackage provides the Objective-C compiler, supporting
 cross-compiling to 32- and 64-bit MinGW-w64 targets.

Package: gobjc-mingw-w64-i686
Description-md5: d2b3b154e30fb9e86f06b4a504614ef7
Description-en: GNU Objective-C compiler for MinGW-w64 targeting Win32
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the Objective-C compiler, supporting
 cross-compiling to 32-bit MinGW-w64 targets.

Package: gobjc-mingw-w64-x86-64
Description-md5: 4daa3f66df577e05bcc0c5f4d92fc0a7
Description-en: GNU Objective-C compiler for MinGW-w64 targeting Win64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the Objective-C compiler, supporting
 cross-compiling to 64-bit MinGW-w64 targets.

Package: gobjc-mips-linux-gnu
Description-md5: 6169b35922e91843268cd698f75ff914
Description-en: GNU Objective-C compiler for the mips architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips architecture.

Package: gobjc-mips64-linux-gnuabi64
Description-md5: 0f3c044e5f5b14081546d24a4a8252a8
Description-en: GNU Objective-C compiler for the mips64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips64 architecture.

Package: gobjc-mips64el-linux-gnuabi64
Description-md5: 040c6f6b2776e7fcce2bed751e9aab19
Description-en: GNU Objective-C compiler for the mips64el architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips64el architecture.

Package: gobjc-mipsel-linux-gnu
Description-md5: e292f5b3a887826a6be88c7f6bba2934
Description-en: GNU Objective-C compiler for the mipsel architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mipsel architecture.

Package: gobjc-mipsisa32r6-linux-gnu
Description-md5: 19787e469494345f1aa3c35c25adc905
Description-en: GNU Objective-C compiler for the mipsr6 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mipsr6 architecture.

Package: gobjc-mipsisa32r6el-linux-gnu
Description-md5: fa27c9c8fa573d8be2ac800bdf9f9a7f
Description-en: GNU Objective-C compiler for the mipsr6el architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mipsr6el architecture.

Package: gobjc-mipsisa64r6-linux-gnuabi64
Description-md5: ef2719a67042496c6f89ae32acac6799
Description-en: GNU Objective-C compiler for the mips64r6 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips64r6 architecture.

Package: gobjc-mipsisa64r6el-linux-gnuabi64
Description-md5: ca1cf379c8e10d00776d4fdcf199c7c7
Description-en: GNU Objective-C compiler for the mips64r6el architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips64r6el architecture.

Package: gobjc-multilib
Description-md5: fd18c260cdb4906a29de28b0d8029ef4
Description-en: GNU Objective-C compiler (multilib files)
 This is the GNU Objective-C compiler, which compiles Objective-C on
 platforms supported by the gcc compiler.
 .
 A dependency package on architectures with multilib support; the package
 contains dependencies for the non-default multilib architecture(s).

Package: gobjc-multilib-arm-linux-gnueabi
Description-md5: 46dc6e58b617cb001f17a46fc378fdf9
Description-en: GNU Objective-C compiler for the armel architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the armel architecture.

Package: gobjc-multilib-arm-linux-gnueabihf
Description-md5: ff54e9ed4dc26c7549df98fa4e8979d2
Description-en: GNU Objective-C compiler for the armhf architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the armhf architecture.

Package: gobjc-multilib-i686-linux-gnu
Description-md5: 53a12544da11551f905d866a5af7c735
Description-en: GNU Objective-C compiler for the i386 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the i386 architecture.

Package: gobjc-multilib-mips-linux-gnu
Description-md5: 6169b35922e91843268cd698f75ff914
Description-en: GNU Objective-C compiler for the mips architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips architecture.

Package: gobjc-multilib-mips64-linux-gnuabi64
Description-md5: 0f3c044e5f5b14081546d24a4a8252a8
Description-en: GNU Objective-C compiler for the mips64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips64 architecture.

Package: gobjc-multilib-mips64el-linux-gnuabi64
Description-md5: 040c6f6b2776e7fcce2bed751e9aab19
Description-en: GNU Objective-C compiler for the mips64el architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips64el architecture.

Package: gobjc-multilib-mipsel-linux-gnu
Description-md5: e292f5b3a887826a6be88c7f6bba2934
Description-en: GNU Objective-C compiler for the mipsel architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mipsel architecture.

Package: gobjc-multilib-mipsisa32r6-linux-gnu
Description-md5: 19787e469494345f1aa3c35c25adc905
Description-en: GNU Objective-C compiler for the mipsr6 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mipsr6 architecture.

Package: gobjc-multilib-mipsisa32r6el-linux-gnu
Description-md5: fa27c9c8fa573d8be2ac800bdf9f9a7f
Description-en: GNU Objective-C compiler for the mipsr6el architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mipsr6el architecture.

Package: gobjc-multilib-mipsisa64r6-linux-gnuabi64
Description-md5: ef2719a67042496c6f89ae32acac6799
Description-en: GNU Objective-C compiler for the mips64r6 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips64r6 architecture.

Package: gobjc-multilib-mipsisa64r6el-linux-gnuabi64
Description-md5: ca1cf379c8e10d00776d4fdcf199c7c7
Description-en: GNU Objective-C compiler for the mips64r6el architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the mips64r6el architecture.

Package: gobjc-multilib-powerpc-linux-gnu
Description-md5: 152e56c53479383a6280274b0750e946
Description-en: GNU Objective-C compiler for the powerpc architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the powerpc architecture.

Package: gobjc-multilib-powerpc64-linux-gnu
Description-md5: 36994070ada79f56561a6865514f22c6
Description-en: GNU Objective-C compiler for the ppc64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the ppc64 architecture.

Package: gobjc-multilib-s390x-linux-gnu
Description-md5: 1adf9ce298783ef3cc8bbd8a12c15a1e
Description-en: GNU Objective-C compiler for the s390x architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the s390x architecture.

Package: gobjc-multilib-sparc64-linux-gnu
Description-md5: 2546ef927bd46f442d46c5705f071761
Description-en: GNU Objective-C compiler for the sparc64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the sparc64 architecture.

Package: gobjc-multilib-x86-64-linux-gnux32
Description-md5: 7fcdbb051e9a61e9b78f27a908941113
Description-en: GNU Objective-C compiler for the x32 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the x32 architecture.

Package: gobjc-powerpc-linux-gnu
Description-md5: 152e56c53479383a6280274b0750e946
Description-en: GNU Objective-C compiler for the powerpc architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the powerpc architecture.

Package: gobjc-powerpc64-linux-gnu
Description-md5: 36994070ada79f56561a6865514f22c6
Description-en: GNU Objective-C compiler for the ppc64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the ppc64 architecture.

Package: gobjc-powerpc64le-linux-gnu
Description-md5: 41f71cc6f802015bf32c0b5b42fac044
Description-en: GNU Objective-C compiler for the ppc64el architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the ppc64el architecture.

Package: gobjc-riscv64-linux-gnu
Description-md5: 0924de23a0338e7fa9d99b9e50a3ebd1
Description-en: GNU Objective-C compiler for the riscv64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the riscv64 architecture.

Package: gobjc-s390x-linux-gnu
Description-md5: 1adf9ce298783ef3cc8bbd8a12c15a1e
Description-en: GNU Objective-C compiler for the s390x architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the s390x architecture.

Package: gobjc-sh4-linux-gnu
Description-md5: 1c4ff85a16cc3dd2e79140735e6534d1
Description-en: GNU Objective-C compiler for the sh4 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the sh4 architecture.

Package: gobjc-sparc64-linux-gnu
Description-md5: 2546ef927bd46f442d46c5705f071761
Description-en: GNU Objective-C compiler for the sparc64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the sparc64 architecture.

Package: gobjc-x86-64-linux-gnux32
Description-md5: 7fcdbb051e9a61e9b78f27a908941113
Description-en: GNU Objective-C compiler for the x32 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the x32 architecture.

Package: gobuster
Description-md5: 2564d33b891fdb3351f1c43a09694a8f
Description-en: Directory/file & DNS busting tool written in Go
 Gobuster is a tool used to brute-force URIs including directories and
 files as well as DNS subdomains.

Package: goby
Description-md5: 47461c37b69cc45fa567c957b8b0cd48
Description-en: WYSIWYG presentation tool for Emacs
 Goby is an Emacs Lisp package to display large fonts and images, which
 can be used as a WYSIWYG presentation tool on GNU Emacs.
 .
 There are two modes, Edit and View, for Goby.  Edit mode is implemented
 as a minor mode while View mode as a major mode.  The created file (*.gby)
 is just a text, so you can easily handle it.
 .
 To display large fonts, install TrueType font packages.  To use images,
 install the netpbm package.  To make screen dumps, install the
 imagemagick package.

Package: gocode
Description-md5: cf9783d109ab43299ad0801cfa248740
Description-en: Go autocompletion daemon
 Gocode is a autocompletion helper tool for the Go programming
 language that is intended to be integrated with source code editors.

Package: gocode-auto-complete-el
Description-md5: 7b63d09cbc16fa16771c92a06aee2e09
Description-en: gocode integration for Emacs -- transitional package
 This is a transitional package for elpa-go-autocomplete and can be
 safely removed after installation.

Package: gocr
Description-md5: d8466b1bc9d106554f49d616fc0a5a1f
Description-en: Command line OCR
 This is a multi-platform OCR (Optical Character Recognition) program.
 .
 It can read pnm, pbm, pgm, ppm, some pcx and tga image files.
 .
 Currently the program should be able to handle well scans that have their text
 in one column and do not have tables. Font sizes of 20 to 60
 pixels are supported.
 .
 If you want to write your own OCR, libgocr is provided in a separate
 package. Documentation and graphical wrapper are provided in separated
 packages, too.

Package: gocr-dev
Description-md5: 4d3ac757b8b0f34960ca19450445eb42
Description-en: Header files for OCR
 This is a multi-platform OCR (Optical Character Recognition) program.
 .
 It can read pnm, pbm, pgm, ppm, some pcx and tga image files.
 .
 These are the header files.

Package: gocr-tk
Description-md5: b3448a5557efc63fef9244d548116cc9
Description-en: tcl/tk wrapper around gocr
 This is a multi-platform OCR (Optical Character Recognition) program.
 .
 It can read pnm, pbm, pgm, ppm, some pcx and tga image files.
 .
 It is a tlc/tk GUI to gocr (a command line program).

Package: gocryptfs
Description-md5: 885f33609ec7ba845f3f61d4715db79f
Description-en: Encrypted overlay filesystem written in Go
 gocryptfs is built on top of the excellent go-fuse
 (https://github.com/hanwen/go-fuse) FUSE library and its
 LoopbackFileSystem API.
 .
 This project was inspired by EncFS and strives to fix its
 security issues while providing good performance (benchmarks
 (https://nuetzlich.net/gocryptfs/comparison/#performance)).
 .
 For details on the security of gocryptfs see the Security
 (https://nuetzlich.net/gocryptfs/security/) design document.

Package: godot3
Description-md5: 5a40f4a9edf48a71ba606a6b0227510f
Description-en: Full 2D and 3D game engine with editor
 Godot is an advanced, feature packed, multi-platform 2D and 3D game engine.
 It provides a huge set of common tools, so you can just focus on making
 your game without reinventing the wheel.

Package: godot3-runner
Description-md5: d9d18459bce0a4f762b741363c7197ec
Description-en: Godot game engine run-time
 Godot is an advanced, feature packed, multi-platform 2D and 3D game engine.
 This package allows games to be run from source or data-pack.

Package: godot3-server
Description-md5: 8a2937b4a6ae779fde8668cff2e47c45
Description-en: Headless Godot game engine run-time
 Godot is an advanced, feature packed, multi-platform 2D and 3D game engine.
 This package provides a command-line only version of the program.

Package: gogoprotobuf
Description-md5: dcb6fd83e79fa4c26071c4c5f5879fec
Description-en: alternative protocol buffer support for Golang - utilities
 An alternative protocol buffer support for the Go programming language,
 forked from golang-goprotobuf. It is backwards compatible with
 goprotobuf, but provides faster marshalling and unmarshalling and can
 generate extra helper code, tests and benchmarks.
 .
 This package provides utilities.

Package: gogottrpc
Description-md5: 5fb0782f7a7f6b0c43215d1dc436e4f9
Description-en: GRPC for low-memory environments - utilities
 The existing grpc-go project requires a lot of memory overhead for
 importing packages and at runtime. While this is great for many services
 with low density requirements, this can be a problem when running a
 large number of services on a single machine or on a machine with a
 small amount of memory.
 .
 Using the same GRPC definitions, this project reduces the binary size
 and protocol overhead required. We do this by eliding the net/http,
 net/http2 and grpc package used by grpc replacing it with a lightweight
 framing protocol. The result are smaller binaries that use less resident
 memory with the same ease of use as GRPC.
 .
 Please note that while this project supports generating either end of
 the protocol, the generated service definitions will be incompatible
 with regular GRPC services, as they do not speak the same protocol.
 .
 This package provides utilities.

Package: goi18n
Description-md5: f82cec1fb35d134baf57dcbbfc8ec3ee
Description-en: Formats and merges translation files for Go
 go-i18n is a Go package and a command that helps you translate Go programs
 into multiple languages.
 .
  * Supports pluralized strings for all 200+ languages in the Unicode Common
    Locale Data Repository (CLDR).
  * Code and tests are automatically generated from CLDR data
  * Supports strings with named variables using text/template syntax.
  * Translation files are simple JSON or YAML.
 .
 This package contains the /usr/bin/goi18n command which provides
 functionality for managing the translation process.

Package: goiardi
Description-md5: 46edf8cadb7a8811f26a2e431dc76abf
Description-en: Chef server written in Go
 Goiardi is an implementation of the Chef server written in Go. It can either
 run entirely in memory with the option to save and load the in-memory data
 and search indexes to and from disk, drawing inspiration from chef-zero, or
 it can use MariaDB/MySQL or PostgreSQL as its storage backend.

Package: goiardi-doc
Description-md5: f72e6d383cc06ec7a1a93a0f431d4208
Description-en: Documentation for Goiardi
 Goiardi is an implementation of the Chef server written in Go. It can either
 run entirely in memory with the option to save and load the in-memory data
 and search indexes to and from disk, drawing inspiration from chef-zero, or
 it can use MariaDB/MySQL or PostgreSQL as its storage backend.
 .
 This is sphinx-generated full documentation for the Goiardi Chef server.

Package: gokey
Description-md5: bedf85edf3924465070d3847bd99e987
Description-en: simple vaultless password manager in Go
 gokey is a password manager, which does not require a password vault. Instead
 of storing your passwords in a vault it derives your password on the fly from
 your master password and supplied realm string (for example, resource URL).
 This way you do not have to manage, backup or sync your password vault (or
 trust its management to a third party) as your passwords are available
 immediately anywhere.

Package: golang-1.12-race-detector-runtime
Description-md5: 74191b3870ef4efd74929bb804af379a
Description-en: Runtime support for Go's race detector
 The runtime support for the race detector is included as a binary
 file in the go source distribution so this package exists to build it
 from source on trusted infrastructure.
 .
 Installing this package will make the -race flag to the go tool functional.

Package: golang-any
Description-md5: c11bfd31d1d7b38a21ecdf8867396a57
Description-en: Go programming language -- gccgo on "non-Go" platforms
 The Go programming language is an open source project to make programmers more
 productive. Go is expressive, concise, clean, and efficient. Its concurrency
 mechanisms make it easy to write programs that get the most out of multicore
 and networked machines, while its novel type system enables flexible and
 modular program construction. Go compiles quickly to machine code yet has the
 convenience of garbage collection and the power of run-time reflection. It's a
 fast, statically typed, compiled language that feels like a dynamically typed,
 interpreted language.
 .
 This is a dependency package that depends on the default Go toolchain and
 compiled standard library or gccgo on architectures that do not have a native
 toolchain ("gc compiler") port. Because the gccgo and Go releases are not on
 the same schedule, it is not guaranteed that the version of the Go language
 provided by the version of gccgo that this package depends upon will match the
 version of this package.

Package: golang-ar-dev
Description-md5: 491c542a5214b30df6a9b2248fb6f367
Description-en: ar archive file library
 This Go package provides a native ar archive file library

Package: golang-barcode-dev
Description-md5: 137d7d23dfa734362cc1c4c1ff9175ad
Description-en: Barcode creation lib for golang
 This is a package for GO which can be used to create different types of
 barcodes. Currently, the following barcodes are supported:
 .
  - Codabar
  - Code 128
  - Code 39
  - EAN 8
  - EAN 13
  - Datamatrix
  - QR Codes
  - 2 of 5

Package: golang-bazil-fuse-dev
Description-md5: 68cf51c709b8a5c72f84979ed02bdec5
Description-en: FUSE library for Go
 bazil.org/fuse is a Go library for writing FUSE userspace filesystems.
 .
 It is a from-scratch implementation of the kernel-userspace communication
 protocol, and does not use the C library from the project called FUSE.
 bazil.org/fuse embraces Go fully for safety and ease of programming.

Package: golang-bitbucket-pkg-inflect-dev
Description-md5: 4328ad299274f9cf9dbb9a30a7fd0fe2
Description-en: Go library to transform words from singular to plural
 The Go library "bitbucket.org/pkg/inflect" transforms words
 from singular to plural, class names to table names, modularized
 class names to ones without, and class names to foreign keys.
 The default inflections for pluralization, singularization, and
 uncountable words are kept in inflect.go.
 .
 Originally ported from the ActiveSupport::Inflector module from
 Ruby on Rails.

Package: golang-blitiri-go-log-dev
Description-md5: 66254e67dfe78adf249bcade596365a2
Description-en: Simple logging library in Go
 blitiri.com.ar/go/log is a Go package implementing a simple logger.
 .
 It implements an API somewhat similar to glog, with a focus towards simplicity
 and integration with standard tools such as systemd.
 .
 There are command line flags (defined using the flag package) to control the
 behaviour of the default logger. By default, it will write to stderr without
 timestamps; this is suitable for systemd (or equivalent) logging.

Package: golang-blitiri-go-spf-dev
Description-md5: 815ad0615971031f74b754dafe52b672
Description-en: SPF (Sender Policy Framework) implementation in Go
 blitiri.com.ar/go/spf is an open source implementation of the Sender Policy
 Framework (SPF) in Go.
 .
 It is used by the chasquid SMTP server.

Package: golang-blitiri-go-systemd-dev
Description-md5: 732f0d8db90eaaeb6f3946ec4cda7a1e
Description-en: Utilities to interact with systemd sockets in Go
 blitiri.com.ar/go/systemd is a Go package implementing a way to get network
 listeners from systemd, similar to C's sd_listen_fds() and
 sd_listen_fds_with_names().
 .
 Supports named file descriptors, which is useful if your daemon needs to be
 able to tell the different ports apart (e.g. http vs https).
 .
 It can be used by daemons to listen on privileged ports without needing to run
 as root.

Package: golang-cfssl
Description-md5: 290503ccf54716d4f75d150f7c114fc8
Description-en: CloudFlare's PKI and TLS toolkit
 CFSSL is CloudFlare's PKI/TLS swiss army knife. It is both a command
 line tool and an HTTP API server for signing, verifying, and bundling
 TLS certificates. CFSSL can be used to build custom TLS PKI tools as
 well as create and manipulate traditional certificate structures
 using code or on the command line.
 .
 This package contains the command line executables.

Package: golang-check.v1-dev
Description-md5: 4d14d8649175d0d570b87efaf71e830e
Description-en: Transitional package for golang-gopkg-check.v1-dev
 This is a transitional package to ease upgrades to the
 golang-gopkg-check.v1-dev package. It can safely be removed.

Package: golang-clockwork-dev
Description-md5: 7a22082c10e788a1762e68731d3f28de
Description-en: Transitional package for golang-github-jonboulle-clockwork-dev
 This is a transitional package to ease upgrades to the
 golang-github-jonboulle-clockwork-dev package.

Package: golang-code.cloudfoundry-bytefmt-dev
Description-md5: b5a19c9c816ba928d921f17fe6d25e73
Description-en: Human readable byte formatter
 This package provides a Go module to easily format Byte sizes in a way easily
 readable by humans. Currently it is able to handle T: Terabyte, G: Gigabyte,
 M: Megabyte, K: Kilobyte and B: Byte.

Package: golang-code.gitea-git-dev
Description-md5: 24a59242ceeec3100f64df58ae169309
Description-en: Go module that provides git access through shell
 This git package provides methods for interacting with git through
 shell commands. It provides a generic and flexible interface that
 can be used for many projects.
 .
 Documentation: https://godoc.org/code.gitea.io/git

Package: golang-code.gitea-sdk-dev
Description-md5: 8009a5db49cead79919ae3ae1f6b73ad
Description-en: SDK implementation to interact with the Gitea API
 This package provides a library that can be used to interacte with the
 Gitea API. This include administration, repository management, activity
 reporting, issue tracking, etc. Nearly everything that can be done in
 Gitea is accessible via this API. This API is intended to be familiar to
 those used to the API provided by Github.

Package: golang-codegangsta-cli-dev
Description-md5: 58e415f7995b251324bc0bc940c52666
Description-en: Transitional package for golang-github-codegangsta-cli-dev
 This is a transitional package to ease upgrades to the
 golang-github-codegangsta-cli-dev package. It can be safely removed.

Package: golang-codesearch-dev
Description-md5: 29294118bf08f86bfeaee4f165b7bafc
Description-en: regexp search over large bodies of source (development files)
 Code Search is a tool for indexing and then performing regular expression
 searches over large bodies of source code. It is a set of command-line
 programs written in Go.
 .
 For background and an overview of the commands, see Regular Expression
 Matching with a Trigram Index:
 http://swtch.com/~rsc/regexp/regexp4.html
 .
 This package contains the source.

Package: golang-collectd-dev
Description-md5: 2e780ddab3925cbf0a4824652b9a6831
Description-en: Utilities for using collectd together with Golang
 Implements data structures from the collectd source code and collectd's
 binary network protocol. It offers client and server implementations.

Package: golang-context-dev
Description-md5: dc73cc09b55516c8c3c400ac4b6d0345
Description-en: Transitional package for golang-github-gorilla-context-dev
 This is a transitional package to ease upgrades to the
 golang-github-gorilla-context-dev package.

Package: golang-coreos-log-dev
Description-md5: 8ca3138ac812639df24dcd94e59bbd8c
Description-en: simple logging library for Go
 This is a simple logging library for Go. It supports different "Sinks"
 for log messages, and can filter log message based on message
 priorities.
 .
 Systemd journal support is disabled in this package.
 .
 This package contains the source.

Package: golang-dbus-dev
Description-md5: b8f13fd48b0951af0ad1985ec09e346f
Description-en: Go client bindings for D-Bus
 Package "dbus" is a simple library that implements native Go client bindings
 for the D-Bus message bus system.
 .
 This package contains the source.

Package: golang-dns-dev
Description-md5: 3d48f23face8eaef368ba5ec8800c887
Description-en: transitional package for golang-github-miekg-dns-dev
 This is a transitional package to ease upgrades to the
 golang-github-miekg-dns-dev. It can be safely removed.

Package: golang-docker-credential-helpers
Description-md5: 529408d91571a3935b95631ab854dae5
Description-en: native stores to safeguard Docker credentials
 The docker-credential-helpers package is a suite of programs to use
 the built-in OS native stores to keep Docker credentials safe.
 .
 This package contains the command-line executables.

Package: golang-docker-dev
Description-md5: d8f9334d5a9c76803c31ea534993299a
Description-en: Transitional package for golang-github-docker-docker-dev
 This is a transitional package to ease upgrades to the
 golang-github-docker-docker-dev package. It can safely be removed.

Package: golang-easyjson
Description-md5: b2192e0b6056885cea3fc7f505675a95
Description-en: Fast JSON serializer for golang - command-line client
 easyjson allows (un-)marshaling of JSON golang structs without the
 use of reflection by generating marshaller code.
 .
 One of the aims of the library is to keep generated code simple enough
 so that it can be easily optimized or fixed. Another goal is to provide
 users with ability to customize the generated code not available in
 'encoding/json', such as generating snake_case names or enabling
 'omitempty' behavior by default.
 .
 This package contains the command-line client.

Package: golang-ed25519-dev
Description-md5: 4a994cc3d175f34cdee566c627c388d6
Description-en: Go implementation of the Ed25519 signature algorithm
 Ed25519 is a public-key signature system based on elliptic-curve
 cryptography, carefully engineered at several levels of design and
 implementation to achieve very high speeds without compromising security.
 .
 This package contains the source of a Go implementation.

Package: golang-etcd-server-dev
Description-md5: 079c5d4a9b52c6679d966bcf7042bb15
Description-en: highly-available key value store -- source
 A highly-available key value store for shared configuration and service
 discovery. etcd is inspired by zookeeper and doozer, with a focus on:
 .
  * Simple: curl'able user facing API (HTTP+JSON)
  * Secure: optional SSL client cert authentication
  * Fast: benchmarked 1000s of writes/s per instance
  * Reliable: Properly distributed using Raft
 .
 Etcd uses the Raft consensus algorithm to manage a highly-available replicated
 log.
 .
 This package contains the source.

Package: golang-ginkgo-dev
Description-md5: 946f901aa5a106ee3776df7ac04d0bed
Description-en: BDD Testing Framework for Go
 Ginkgo is a BDD-style Golang testing framework built to help you efficiently
 write expressive and comprehensive tests. It is best paired with the Gomega
 matcher library but is designed to be matcher-agnostic.

Package: golang-gitaly-proto-dev
Description-md5: 87b8fde1a9d03578bac695b444ca07a1
Description-en: Protobuf specifications and client libraries for Gitaly
 Auto-generated gRPC go client for gitaly.
 .
 Gitaly is part of GitLab. It is a server application that uses its own gRPC
 protocol to communicate with its clients.

Package: golang-github-14rcole-gopopulate-dev
Description-md5: da211412e015502e2c8a4bf9b688637f
Description-en: Small library to populate a directory with random data
 populate a directory with random data. This package is used for debos
 image building tool.

Package: golang-github-a8m-tree-dev
Description-md5: 60e327f60c81e2dcf79f416f446c8c94
Description-en: implementation of the tree command
 An implementation of the tree (http://mama.indstate.edu/users/ice/tree/)
 command written in Go, that can be used programmatically.

Package: golang-github-abbot-go-http-auth-dev
Description-md5: e17cf377761cb3be40c73b8e3f860d78
Description-en: Basic and Digest HTTP Authentication for golang http
 HTTP Basic and HTTP Digest authentication in Go language.
 This library designed as a simple wrapper for http.RequestHandler functions.
 Features:
  * HTTP Basic and HTTP Digest authentication.
  * htpasswd and htdigest formatted files.
  * Automatic reloading of password files.
  * Pluggable interface for user/password storage.
  * MD5 and SHA1 for Basic authentication password storage.
  * Configurable Digest nonce cache size with expiration.
  * Wrapper for legacy http handlers (http.HandlerFunc interface)

Package: golang-github-abdullin-seq-dev
Description-md5: 4b13c7ce5d253cbfdf0d963678f9e2e0
Description-en: structural equality library
 This package contains a structural equality library for Golang.
 .
 One can define expectations on objects (e.g. API responses or expected
 events) by creating an instance of seq.Map, which is provided by this
 package.
 .
 Maps can be nested or they could have flat paths. Values could be
 represented with strings, primitive types, instances of seq.Map or
 JSON-serializable objects.
 .
 Once the expectation exists, one could compare it with an actual
 object. If the actual object has some invalid or missing properties,
 the result will have nice error messages.

Package: golang-github-acarl005-stripansi-dev
Description-md5: f56b4aa2f4d4f862b4ece1ac3b6bf3ae
Description-en: Little Go package for removing ANSI color escape codes from strings
 This Go package removes ANSI escape codes from strings.
 .
 Ideally, one would prevent these from appearing in any text one wants to
 process. However, sometimes this can't be helped, and one needs to be able
 to deal with that noise. This will use a regexp to remove those unwanted
 escape codes.

Package: golang-github-adroll-goamz-dev
Description-md5: 718b6b5f4bafd83a3c14fef348e325a3
Description-en: enables Go programs to interact with Amazon Web Services
 The goamz package enables Go programs to interact with Amazon Web Services.
 .
 This is a fork of the version developed within Canonical with additional
 functionality and services from a number of contributors!
 .
 The API of AWS is very comprehensive, though, and goamz doesn't even scratch
 the surface of it. That said, it's fairly well tested, and is the foundation in
 which further calls can easily be integrated.

Package: golang-github-aead-chacha20-dev
Description-md5: 388f6c8af1ecf876e398a564e756136f
Description-en: ChaCha20 and XChaCha20 stream ciphers go library
 The ChaCha20 stream cipher ChaCha is a stream cipher family
 created by Daniel J. Bernstein.  The most common ChaCha cipher
 is ChaCha20 (20 rounds). ChaCha20 is standardized in RFC 7539
 (https://tools.ietf.org/html/rfc7539).
 .
 This package provides implementations of three ChaCha versions: -
 ChaCha20 with a 64 bit nonce (can en/decrypt up to 2^64 * 64 bytes
 for one key-nonce combination) - ChaCha20 with a 96 bit nonce (can
 en/decrypt up to 2^32 * 64 bytes ~ 256 GB for one key-nonce combination)
 - XChaCha20 with a 192 bit nonce (can en/decrypt up to 2^64 * 64 bytes
 for one key-nonce combination)
 .
 Furthermore the chacha subpackage implements ChaCha20/12 and ChaCha20/8.
 These versions use 12 or 8 rounds instead of 20.  But it's recommended
 to use ChaCha20 (with 20 rounds) - it will be fast enough for
 almost all purposes.

Package: golang-github-aead-poly1305-dev
Description-md5: 3896c1e56cd798c2784d000db58fd5de
Description-en: poly1305 message authentication code go library
 Poly1305 is a fast, one-time authentication function created by Daniel
 J. Bernstein.  It is infeasible for an attacker to generate an
 authenticator for a message without the key.  However, a key must only
 be used for a single message. Authenticating two different messages with
 the same key allows an attacker to forge authenticators for other
 messages with the same key.

Package: golang-github-aelsabbahy-gonetstat-dev
Description-md5: 3deebbcbf58c9f0a3598e4e8931c33fb
Description-en: Netstat implementation in Go
 GOnetstat Netstat implementation in Golang.
 .
 This Package get data from /proc/net/tcp|6 and /proc/net/udp|6 and
 parse /proc/[0-9]/fd/[0-9] to match the correct inode.

Package: golang-github-agext-levenshtein-dev
Description-md5: 303fa39d9b769a7135d614216e9668d4
Description-en: Levenshtein distance and similarity metrics
 Golang package for calculating the Levenshtein distance and similarity
 metrics between two strings.

Package: golang-github-agtorre-gocolorize-dev
Description-md5: ca19dcfd3c91489c4ac39f2a77e82b40
Description-en: Stateful ANSI coloring for Go
 Gocolorize is a package that allows Go programs to provide ANSI
 coloring in a stateful manner. Gocolorize is ideal for logging
 or cli applications.

Package: golang-github-ajg-form-dev
Description-md5: a80271210c0982743cfafe7e1482eb90
Description-en: Form Encoding & Decoding Package for Go
 This library is designed to allow seamless, high-fidelity encoding and
 decoding of arbitrary data in application/x-www-form-urlencoded format
 and as url.Values (http://golang.org/pkg/net/url/#Values). It is intended
 to be useful primarily in dealing with web forms and URI query strings,
 both of which natively employ said format.

Package: golang-github-akavel-rsrc-dev
Description-md5: 33a48f5676a65070c2c773348cd4bf81
Description-en: Go library for embedding binary resources in executables
 rsrc is a Go library based on ideas presented by Minux. It will embed
 specified binary resources in the .rsrc section of the executable, and
 this can be detected automatically by 'go build'.

Package: golang-github-akrennmair-gopcap-dev
Description-md5: 8aa33972f7dcb36449bbdcaee6b81dba
Description-en: simple wrapper around libpcap for Golang
 This is a simple wrapper around libpcap for Go.

Package: golang-github-alcortesm-tgz-dev
Description-md5: 6074835fd52e7dae657db8e96fe3a7e9
Description-en: Go library to extract tgz files to temporal directories
 Go library providing a simple function for
 decompressing a gziped tarball into a new
 temporal directory created just for this purpose.

Package: golang-github-alecthomas-assert-dev
Description-md5: 4ca4ace3d7a848837011e4ebf480f8c9
Description-en: Fork of stretchr/testify/assert that uses FailNow()
 This is a fork of stretchr's assertion library that does two things:
 .
 1. It makes spotting differences in equality much easier.  It uses
    github.com/alecthomas/repr and github.com/sergi/go-diff/diffmatchpatch
    to display structural differences in colour.
 2. Aborts tests on first assertion failure (the same behaviour as
    stretchr/testify/require).

Package: golang-github-alecthomas-binary-dev
Description-md5: 0b6b689ae4559123133578816601d1d3
Description-en: general purpose binary encoder/decoder
 This package provides compact binary encoding for Go.
 .
 The Go standard library package encoding/binary provides encoding/decoding of
 fixed-size Go values or slices of same. This package extends support to
 arbitrary, variable-sized values by prefixing these values with their
 varint-encoded size, recursively.

Package: golang-github-alecthomas-chroma-dev
Description-md5: 48f5fc140cc6a4f844acd9d456da69ea
Description-en: general purpose syntax highlighter in pure Go (libraries)
 Chroma takes source code and other structured text and converts it into
 syntax highlighted HTML, ANSI-coloured text, etc.
 .
 Chroma is based heavily on Pygments (http://pygments.org/), and includes
 translators for Pygments lexers and styles.
 .
 This package contains Chroma's source code and helper libraries.

Package: golang-github-alecthomas-colour-dev
Description-md5: 7bfec411be4d4530aafa7abb9fbd6573
Description-en: Quake-style colour formatting for Unix terminals
 Go package colour provides Quake-style colour formatting
 for Unix terminals.
 .
 The package level functions can be used to write to stdout (or strings
 or other files).  If stdout is not a terminal, colour formatting will
 be stripped.
 .
 Example:
     colour.Printf("^0black ^1red ^2green ^3yellow ^4blue ^5magenta ^6cyan
                    ^7white^R\n")
 .
 For more control, a Printer object can be created with various helper
 functions. This can be used to do useful things such as strip formatting,
 write to strings, and so on.

Package: golang-github-alecthomas-kong-dev
Description-md5: 406846991650863df4f8de0bdf43145e
Description-en: command-line parser for Go
 Kong aims to support arbitrarily complex command-line structures
 with as little developer effort as possible.
 .
 To achieve that, command-lines are expressed as Go types, with the
 structure and tags directing how the command line is mapped onto the
 struct.

Package: golang-github-alecthomas-kong-hcl-dev
Description-md5: febc00d5b80c9271d9d418aa8fc8577d
Description-en: Kong configuration loader for HCL (Go library)
 github.com/alecthomas/kong-hcl is a Kong configuration loader for HCL
 implemented for the Go programming language.
 .
 It may be used like so:
 .
     var cli struct {
             Config kong.ConfigFlag `help:"Load configuration."`
     }
     parser, err := kong.New(&cli, kong.Configuration(konghcl.Loader,
             "/etc/myapp/config.hcl", "~/.myapp.hcl))

Package: golang-github-alecthomas-repr-dev
Description-md5: 925957333de0ebef5c7ea9e5a1a718ee
Description-en: Python's repr() for Go
 The repr package attempts to represent Go values in a form that can be
 used almost directly in Go source code.
 .
 Unfortunately, some values (such as pointers to basic types) cannot
 be represented directly in Go.  These values will be represented as
 &<value>, e.g. &23
 .
 Example:
 .
   type test struct {
     S string
     I int
     A []int
   }
 .
   func main() {
     repr.Print(&test{
       S: "String",
       I: 123,
       A: []int{1, 2, 3},
     })
   }
 .
 Outputs
 .
   &main.test{S: "String", I: 123, A: []int{1, 2, 3}}

Package: golang-github-alecthomas-units-dev
Description-md5: f0f7200e3f77c6f49f85a1e3b3062666
Description-en: Go package for parsing byte units
 This package provides multipliers and string conversion functions
 for byte units with support for decimal and binary SI prefixes.

Package: golang-github-aleksi-pointer-dev
Description-md5: c3d7cc8b4bb98f2185f5d12a4695ae90
Description-en: helpers to get pointers to values of built-in types
 Package pointer  provides helpers to get pointers to values of built-in
 types.

Package: golang-github-alexflint-go-filemutex-dev
Description-md5: da695bfe480ee0fb16ac8c3a91a4461e
Description-en: processes synchronization library
 FileMutex is similar to sync.RWMutex, but also synchronizes across
 processes.  On Linux, OSX, and other POSIX systems it uses the flock
 system call.

Package: golang-github-alicebob-miniredis-dev
Description-md5: d273ae5f78a10ff2b0429f4a59fc3797
Description-en: Pure Go Redis server for Go unittests
 This Go library implements a miniature version of Redis server that
 can be used in Go unit testing. It enables a simple, cheap, in-memory,
 Redis replacement, with a real TCP interface.

Package: golang-github-aliyun-aliyun-oss-go-sdk-dev
Description-md5: e83a58aad5dbab76f9c8052e9a43274f
Description-en: Alibaba Cloud OSS SDK for Go
 This Go SDK is based on the official APIs of Alibaba Cloud OSS.
 .
 Alibaba Cloud Object Storage Service (OSS) is a cloud storage service provided
 by Alibaba Cloud, featuring massive capacity, security, a low cost, and high
 reliability.
 .
 The OSS can store any type of files and therefore applies to various websites,
 development enterprises and developers.
 .
 With this SDK, you can upload, download and manage data on any app anytime and
 anywhere conveniently.

Package: golang-github-anacrolix-dms-dev
Description-md5: 0f03e292ed6a909b6bf9a9062290087f
Description-en: Go source for UPnP DLNA Digital Media Server
 dms is a UPnP DLNA Digital Media Server. It runs from the terminal, and serves
 content directly from the filesystem from the working directory, or the path
 given. The SSDP component will broadcast and respond to requests on all
 available network interfaces.
 .
 This package provides the Go language source code for dms.
 .
 dms advertises and serves the raw files, in addition to alternate transcoded
 streams when it's able, such as mpeg2 PAL-DVD and WebM for the Chromecast. It
 will also provide thumbnails where possible.
 .
 dms uses ffprobe/avprobe to get media data such as bitrate
 and duration, ffmpeg/avconv for video transoding, and
 ffmpegthumbnailer for generating thumbnails when browsing. These
 commands must be in the PATH given to dms or the features
 requiring them will be disabled.
 .
 Known Compatible Players and Renderers
 ======================================
 .
  * Probably all Panasonic Viera TVs.
  * Android's BubbleUPnP and AirWire
  * Chromecast
  * VLC
  * LG Smart TVs, with varying success.

Package: golang-github-anacrolix-envpprof-dev
Description-md5: e907d66a2afdc2bc7445bb35f7a5633c
Description-en: configures Go's pprof and default HTTP mux
 Allows run time configuration of Go's pprof features and default HTTP
 mux using environment variables.

Package: golang-github-anacrolix-ffprobe-dev
Description-md5: 2ed45012dbdaa114e8993f6ad9cc1f84
Description-en: Go ffprobe wrapper
 Provides a Go language wrapper for ffprobe.

Package: golang-github-anacrolix-missinggo-bin-static
Description-md5: 3b7b771707e667e6ccb942888a392a60
Description-en: Stuff that's missing in Go stdlib, or hasn't made it into its own repo.
 Stuff that supplements Go's stdlib, or isn't significant enough to be
 in its own repo.
 .
 This package provides binary files generated by missinggo:
   filecache
   gd
   go-env
   http-file-server
   nop
   query-escape
   query-unescape

Package: golang-github-anacrolix-missinggo-dev
Description-md5: 056fe59e50d1bc678ed45d0cf1e395eb
Description-en: Stuff that's missing in Go stdlib, or hasn't made it into its own repo.
 Stuff that supplements Go's stdlib, or isn't significant enough to be
 in its own repo.
 .
 This package provides Go source code for the missinggo.

Package: golang-github-anacrolix-tagflag-dev
Description-md5: 7800c8d58cc863be6efcfac175156241
Description-en: declarative flag parsing for Go using struct tags
 Package tagflag uses reflection to derive flags and positional
 arguments to a program, and parses and sets them from a slice of
 arguments.

Package: golang-github-andreyvit-diff-dev
Description-md5: 24c1a085b9f388d111b4322db51d8ae3
Description-en: quick'n'easy string diffs for Golang
 Package diff contains quick'n'easy string diffing functions.

Package: golang-github-andybalholm-cascadia-dev
Description-md5: 94b7bc090c11d20a30eaf7d4c67546e5
Description-en: CSS selector library in Go
 This package implements CSS selectors for use with the parse trees
 produced by the golang.org/x/net/html package. The package contains
 the Go library, not the command line tool of the same name.

Package: golang-github-anmitsu-go-shlex-dev
Description-md5: dae505f71b3a1e66ac58b202d5029f5d
Description-en: Library to make a lexical analyzer like Unix shell for golang
 Lexical analysis, lexing or tokenization is the process of converting
 a sequence of characters (such as in a computer program or web page) into
 a sequence of tokens (strings with an assigned and thus identified meaning).
 go-shlex is simply a library to make a lexical analyzer like Unix
 shell for Go.

Package: golang-github-antchfx-xmlquery-dev
Description-md5: 48cbc7bf02056aeae0a21e14e1469f3d
Description-en: Go XPath package for XML query
 XPath query package for XML document, lets you extract
 data or evaluate from XML documents by an XPath expression.
 .
 xmlquery built-in the query object caching feature will caching the
 recently used XPATH query string. Enable caching can avoid re-compile
 XPath expression each query.

Package: golang-github-antchfx-xpath-dev
Description-md5: 08e3018582bf7212f42f1612fa836ba6
Description-en: XPath package for Golang, supported HTML, XML, JSON query
 XPath is a Go package providing selecting nodes from XML, HTML or
 other documents using XPath expression.
 .
 Implementation:
  - htmlquery - an XPath query package for HTML document
  - xmlquery - an XPath query package for XML document
  - jsonquery - an XPath query package for JSON document

Package: golang-github-apex-log-dev
Description-md5: 9d8deb72bb69a91791148cbf29aa8217
Description-en: structured logging package for Go
 Package log implements a simple structured logging API inspired by
 Logrus, designed with centralization in mind.

Package: golang-github-apparentlymart-go-cidr-dev
Description-md5: 042d6b74f0c4b6509bb6dcb451caa457
Description-en: manipulations of CIDR netmasks and their associated addresses
 This Go package is a collection of assorted utilities for computing network
 and host addresses within network ranges.
 .
 It expects a CIDR-type address structure where addresses are divided into
 some number of prefix bits representing the network and then the remaining
 suffix bits represent the host.
 .
 For example, it can help to calculate addresses for sub-networks of a parent
 network, or to calculate host addresses within a particular prefix.
 .
 At present this package is prioritizing simplicity of implementation and
 de-prioritizing speed and memory usage. Thus caution is advised before using
 this package in performance-critical applications or hot codepaths.
 Patches to improve the speed and memory usage may be accepted as long as they
 do not result in a significant increase in code complexity.

Package: golang-github-apparentlymart-go-dump-dev
Description-md5: 6468208ceba83e67a92a11cc259a091d
Description-en: utility for formatting Go values in a pretty-printed way
 This package attempts to find a nice compromise between the
 built-in formatter and more advanced formatters like go-spew
 (https://github.com/davecgh/go-spew).  Go types often implement the
 fmt.GoStringer interface to produce a more concise representation of
 values in Go syntax, but conventionally this result is a single-line
 string and thus hard to read for larger data structures.  go-spew instead
 produces a formatted dump of a value by using the reflect package to
 analyze its contents, but this often exposes the internals of data
 structures that make the result hard to read.

Package: golang-github-apparentlymart-go-openvpn-mgmt-dev
Description-md5: 934615e2d1f8199b00919437b656acd2
Description-en: Go client library for OpenVPN's management protocol
 Go package that implements a client for the OpenVPN management interface.
 This can be used to monitor and control an OpenVPN process running with
 its management port enabled.
 .
 Currently only a subset of the protocol is supported, primarily focused
 on monitoring status changes and cleanly shutting down or restarting
 connections.

Package: golang-github-apparentlymart-go-rundeck-api-dev
Description-md5: 46da919525129e7dd14643fb77e697cd
Description-en: Go client for the Rundeck HTTP API
 This package contains a Go client for the Rundeck HTTP API. It
 was primarily developed to back the Rundeck provider in Terraform
 (https://terraform.io), but can be used standalone too.

Package: golang-github-apparentlymart-go-textseg-dev
Description-md5: 8f69c738bc6ddb2cc7faea11163f97bb
Description-en: Golang implementation of Unicode Text Segmentation
 Golang library implementing Unicode Text Segmentation.

Package: golang-github-appc-cni-dev
Description-md5: b0fe4bcd9c919d38c866c1c736109d0f
Description-en: container network interface
 CNI, the Container Network Interface, is a proposed standard for
 configuring network interfaces for Linux application containers. The
 standard consists of a simple specification for how executable plugins can
 be used to configure network namespaces.

Package: golang-github-appc-docker2aci-dev
Description-md5: 49087c8e8ccb3f2c572000e5a52db766
Description-en: library to convert Docker images to ACIs
 docker2aci is a Golang library that converts Docker images to
 ACI (https://github.com/appc/spec/blob/master/SPEC.md#app-container-image).

Package: golang-github-appc-goaci-dev
Description-md5: 3655e6bcef52bb424567761affeac3cd
Description-en: build go projects into ACIs
 Goaci is a tool to build Go projects into ACIs which confirm to the
 Applicatio Container Specification.

Package: golang-github-appc-spec-dev
Description-md5: 6843069695e8f73dc78c46a8c99a6942
Description-en: App Container Specification (appc) - source
 App Container (appc) is a well-specified and community developed
 specification for application containers. appc defines several independent
 but composable aspects involved in running application containers,
 including an image format, runtime environment, and discovery mechanism
 for application containers.
 .
 This package provides Schema definitions and Golang source for the App
 Container (appc) specification

Package: golang-github-approvals-go-approval-tests-dev
Description-md5: 77cd229526a33048fa5bcf398e94f4dd
Description-en: ApprovalTests for go
 Golden master Verification Library.
 .
 ApprovalTests allows for easy testing of larger objects, strings and
 anything else that can be saved to a file(images, sounds, csv, etc.)

Package: golang-github-araddon-gou-dev
Description-md5: 8ab2e5644eb3961caf345b5f27e17e10
Description-en: logging and json helpers for Go
 gou provides the following logging and json helpers for Go:
 .
 JsonHelper is a JSON helper focused on Type coercion and json path query.
 .
 Logging which is yet another configurable logger.

Package: golang-github-armon-circbuf-dev
Description-md5: 71b7db88bff3d61c94f039ac2d3b143a
Description-en: Golang circular (ring) buffer
 This package provides the circbuf Go library. This provides a Buffer object
 which is a circular (or ring) buffer. It has a fixed size, but can be written
 to infinitely. Only the last size bytes are ever retained. The buffer
 implements the io.Writer interface.
 .
 This package contains the source.

Package: golang-github-armon-consul-api-dev
Description-md5: 4a3f72db39ee3bc713dcf7b912efc3d6
Description-en: [DEPRECATED] Golang API client for Consul
 [DEPRECATED] Please use "github.com/hashicorp/consul/api" found in
 the newer golang-github-hashicorp-consul-dev Debian package instead.
 .
 This deprecated package provides the consul-api package which
 attempts to provide programmatic access to the full Consul API
 up to version 0.4 supported.  The full documentation is available
 on GoDoc at http://godoc.org/github.com/armon/consul-api

Package: golang-github-armon-go-metrics-dev
Description-md5: 145c372934353c847b6a079619fcbe91
Description-en: library for exporting performance and runtime metrics
 This library provides a metrics package which can be used to
 instrument code, expose application metrics, and profile runtime
 performance in a flexible manner.

Package: golang-github-armon-go-proxyproto-dev
Description-md5: 3659d275b02835ac5bfeb484c7779317
Description-en: Golang package to handle HAProxy Proxy Protocol
 This library provides the proxyproto package which can be used for
 servers listening behind HAProxy of Amazon ELB load balancers.
 Those load balancers support the use of a proxy protocol
 (http://haproxy.1wt.eu/download/1.5/doc/proxy-protocol.txt),
 which provides a simple mechansim for the server to get the address
 of the client instead of the load balancer.
 .
 This library provides both a net.Listener and net.Conn implementation that
 can be used to handle situation in which you may be using the proxy protocol.
 Only proxy protocol version 1, the human-readable form, is understood.
 .
 The only caveat is that one checks for the "PROXY " prefix to determine if
 the protocol is being used. If that string may occur as part of your input,
 then it is ambiguous if the protocol is being used and you may have problems.

Package: golang-github-armon-go-radix-dev
Description-md5: 4c20379e59b45a12774c1540f076336b
Description-en: Golang implementation of Radix trees
 Provides the radix package that implements a radix tree. The package only
 provides a single Tree implementation, optimized for sparse nodes.
 .
 As a radix tree, it provides the following:
 .
  - O(k) operations. In many cases, this can be faster than a hash table since
    the hash function is an O(k) operation, and hash tables have very poor cache
    locality.
  - Minimum / Maximum value lookups
  - Ordered iteration
 .
 For an immutable variant, see go-immutable-radix.
 .
 This package contains the source.

Package: golang-github-armon-go-socks5-dev
Description-md5: 98828fe2fd0e231f0f8cb609997e107a
Description-en: SOCKS5 server in Golang
 Provides the socks5 package that implements a SOCKS5 server
 (http://en.wikipedia.org/wiki/SOCKS).  SOCKS (Secure Sockets) is used
 to route traffic between a client and server through an intermediate
 proxy layer. This can be used to bypass firewalls or NATs.  Feature The
 package has the following features:
  * "No Auth" mode
  * User/Password authentication
  * Support for the CONNECT command
  * Rules to do granular filtering of commands
  * Custom DNS resolution
  * Unit tests
 The package lacks the following:
  * Support for the BIND command
  * Support for the ASSOCIATE command

Package: golang-github-asaskevich-govalidator-dev
Description-md5: 0fa1a51766639b4a1ec3d1145e785b75
Description-en: Validators and sanitizers for strings, numerics, slices and structs
 Package govalidator is package of validators and sanitizers for strings,
 structs and collections. It is based on validator.js.

Package: golang-github-atotto-clipboard-dev
Description-md5: 2bfeb77fb5fd6d3a3372846efc1bb822
Description-en: Clipboard for Go
 Provide copying and pasting to the clipboard for Go.
 .
 Platforms:
  * macOS
  * Windows 7 (probably work on other Windows)
  * Linux, Unix (requires 'xclip' or 'xsel' command to be installed)
 .
 Notes:
  * Text string only
  * UTF-8 text encoding only (no conversion)

Package: golang-github-audriusbutkevicius-go-nat-pmp-dev
Description-md5: ec165f948ab699450ccd80082e490b50
Description-en: Go language client for the NAT-PMP internet
 go-nat-pmp is a Go language client for the NAT-PMP internet protocol for
 port mapping and discovering the external IP address of a firewall.
 .
 NAT-PMP is supported by Apple brand routers and open source routers like
 Tomato and DD-WRT.

Package: golang-github-audriusbutkevicius-kcp-go-dev
Description-md5: d75dbd88aa0eceae7f40c7983ef1f439
Description-en: full-featured reliable UDP communication library
 kcp-go provides fast, ordered and error-checked delivery of streams
 over UDP packets, has been well tested with opensource project kcptun.
 Millions of devices(from low-end MIPS routers to high-end servers)
 are running with kcp-go at present, including applications like
 online games, live broadcasting, file synchronization
 and network acceleration.

Package: golang-github-audriusbutkevicius-pfilter-dev
Description-md5: 87941f4222a9460b7ea8ad285572e0f0
Description-en: filtering packets into multiple virtual connections
 Small Go package for filtering packets into multiple
 virtual connections from a single physical connection.

Package: golang-github-avast-retry-go-dev
Description-md5: 7b6489c062fccdee0e7e86289f832dff
Description-en: retry mechanism library for Go
 Simple Go library for retry mechanism.
 .
 It supports setting the count of retry, delay time, function callback
 for calling each retry and condition for calling to retry function.

Package: golang-github-aviau-gopass-dev
Description-md5: a7d4f0a66fad1d98629d82529b5c1e06
Description-en: pass implementation in Go - dev package
 gopass is a Pass (http://www.passwordstore.org/) implementation in Go.
 .
 Password management should be simple and follow Unix philosophy. With
 gopass, each password lives inside of a gpg encrypted file whose filename
 is the title of the website or resource that requires the password. These
 encrypted files may be organized into meaningful folder hierarchies,
 copied from computer to computer, and, in general, manipulated using
 standard command line file management utilities.
 .
 This package is not gopass.pw (similar project with the same name).
 .
 This package contains the go source files.

Package: golang-github-awalterschulze-gographviz-dev
Description-md5: 2765caa55909d51c675f31161b431351
Description-en: Graphviz DOT language in golang
 Package gographviz Parses the Graphviz DOT language and creates an
 interface, in golang, with which to easily create new and manipulate
 existing graphs which can be written back to the DOT format.

Package: golang-github-aws-aws-sdk-go-dev
Description-md5: 2b476a9012e31c7bc861f58bdb0f2298
Description-en: AWS SDK for the Go programming language
 Official Amazon Web Services (AWS) SDK for the Go programming language.

Package: golang-github-azure-azure-pipeline-go-dev
Description-md5: 35a3b59f7834acf15150c68a097075a1
Description-en: HTTP request/response middleware pipeline
 Package pipeline implements an HTTP request/response middleware pipeline whose
 policy objects mutate an HTTP request's URL, query parameters, and/or headers
 before the request is sent over the wire.

Package: golang-github-azure-azure-sdk-for-go-dev
Description-md5: 9ed3d8fdc9f72643807d1c69013a5594
Description-en: Microsoft Azure SDK for Go
 This project provides various Go packages to perform operations on Microsoft
 Azure REST APIs.
 .
 See list of implemented API clients here
 (http://godoc.org/github.com/Azure/azure-sdk-for-go).

Package: golang-github-azure-azure-storage-blob-go-dev
Description-md5: 2ac73fc457663c85d650940c67851263
Description-en: Microsoft Azure Blob Storage Library for Go
 The Microsoft Azure Storage SDK for Go allows you to build applications
 that takes advantage of Azure's scalable cloud storage. It provides
 low-level and high-level APIs.

Package: golang-github-azure-go-ansiterm-dev
Description-md5: 3587701460a09216e07c60bd9b4a381e
Description-en: Go package for ANSI terminal emulation in Windows
 This package is a cross-platform ANSI terminal emulation library. It
 reads a stream of Ansi characters and produces the appropriate
 function calls. The results of the function calls are platform
 dependent.

Package: golang-github-azure-go-autorest-dev
Description-md5: 606237586cd533eed7972349938eab1e
Description-en: HTTP request pipeline suitable for use across multiple go-routines
 Package autorest implements an HTTP request pipeline suitable for use across
 multiple go-routines and provides the shared routines relied on by AutoRest
 (see https://github.com/Azure/autorest/) generated Go code.
 .
 The package breaks sending and responding to HTTP requests into three phases:
 Preparing, Sending, and Responding.
 .
 Each phase relies on decorators to modify and / or manage processing.
 Decorators may first modify and then pass the data along, pass the data first
 and then modify the result, or wrap themselves around passing the data (such as
 a logger might do). Decorators run in the order provided.
 .
 Preparers and Responders may be shared and re-used (assuming the underlying
 decorators support sharing and re-use). Performant use is obtained by creating
 one or more Preparers and Responders shared among multiple go-routines, and a
 single Sender shared among multiple sending go-routines, all bound together by
 means of input / output channels.
 .
 Decorators hold their passed state within a closure (such as the path
 components in the example above). Be careful to share Preparers and Responders
 only in a context where such held state applies. For example, it may not make
 sense to share a Preparer that applies a query string from a fixed set of
 values. Similarly, sharing a Responder that reads the response body into a
 passed struct (e.g., ByUnmarshallingJson) is likely incorrect.
 .
 Lastly, the Swagger specification (https://swagger.io) that drives AutoRest
 (https://github.com/Azure/autorest/) precisely defines two date forms: date and
 date-time. The github.com/Azure/go-autorest/autorest/date package provides
 time.Time derivations to ensure correct parsing and formatting.
 .
 Errors raised by autorest objects and methods will conform to the
 autorest.Error interface.

Package: golang-github-azure-go-ntlmssp-dev
Description-md5: e7d861c5352742c5b789d4c08173f05f
Description-en: NTLM/Negotiate authentication over HTTP (Go library)
 go-ntlmssp is a Golang package that provides NTLM/Negotiate authentication
 over HTTP. It implements authentication, no key exchange or
 encryption. It only supports Unicode (UTF16LE) encoding of protocol
 strings, no OEM encoding. It implements NTLMv2.

Package: golang-github-azure-go-pkcs12-dev
Description-md5: 8c6b273f468c7825fc10963dab9d7c07
Description-en: PCKS#12 implementation for Go
 Package pkcs12 provides some Go implementations of PKCS#12.
 .
 This implementation is distilled from https://tools.ietf.org/html/rfc7292
 and referenced documents.  It is intented for decoding P12/PFX-stored
 certificate+key for use with the crypto/tls package.

Package: golang-github-badgerodon-collections-dev
Description-md5: 1b58891ab1ef6c34a22e53640f27619e
Description-en: Collection of Golang types
 Maps and slices go a long way in Go, but sometimes you need more.
 This is a collection of collections that may be useful.
 .
 Included types:
  - Queue
  - Set
  - Skip list
  - Splay tree
  - Stack
  - Trie
  - Ternary search tree

Package: golang-github-beevik-etree-dev
Description-md5: b761a34ccbd61d6735e9089fd9d62e1e
Description-en: parse and generate XML easily in go
 The etree package is a lightweight, pure go package that expresses XML in the
 form of an element tree. Its design was inspired by the Python ElementTree
 (http://docs.python.org/2/library/xml.etree.elementtree.html) module.

Package: golang-github-benbjohnson-tmpl-dev
Description-md5: 3a3035e253f02ae611b990c7c577aa15
Description-en: Command line interface to Go's text/template library - dev package
 This program is a command line interface to Go's text/template
 library. It can be used by passing in a set of JSON-encoded data and a
 list of template paths ending in a .tmpl extension. The templates are
 processed and their results are saved to the filename with the .tmpl
 extension removed.
 .
 This is the dev package.

Package: golang-github-beorn7-perks-dev
Description-md5: ecc5ab52e38b24a25ce7c27afa85478c
Description-en: effective computation of things
 This is a fork of github.com/bmizerany/perks (now unmaintained).
 The package contains:
  * github.com/beorn7/perks/histogram: a Go implementation of BigML's histogram
   package for Clojure/Java.
  * github.com/beorn7/perks/quantile: computes approximate quantiles over an
   unbounded data stream within low memory and CPU bounds.

Package: golang-github-bep-debounce-dev
Description-md5: e130a509a107130030e8c551c8a161dd
Description-en: Debouncer written in Go
 This package provides a debouncer func. The most typical use case would be
 the user typing a text into a form; the UI needs an update, but let's wait
 for a break.

Package: golang-github-bep-gitmap-dev
Description-md5: 1e008893be48410854bf205aece7be17
Description-en: map all filenames to info objects for a given git revision
 A fairly fast way to create a map from all the filenames to
 info objects for a given revision of a Git repo.
 .
 This library uses os/exec to talk to Git in order to
 avoid dependencies.

Package: golang-github-bep-go-tocss-dev
Description-md5: ded1b5406644ccda074bf0f6b92c2bdb
Description-en: simple-to-use LibSass Go API
 This is currently a, hopefully, simple to use LibSass Go API.
 It uses the C bindings in https://github.com/wellington/go-libsass/libs
 to do the heavy lifting.
 .
 The primary motivation for this project is to add SCSS support to Hugo
 (https://gohugo.io/). It is has some generic tocss package names hoping
 that there will be a solid native Go implementation that can replace
 LibSass in the near future.

Package: golang-github-bep-inflect-dev
Description-md5: 835b357b52b061a299d8f29fc9d3b53f
Description-en: inflection rules for the English language
 This package provides inflection rules for the English
 language, usable by go programs.

Package: golang-github-bep-tmc-dev
Description-md5: 06e685371f9085334259438480034025
Description-en: provides round-trip serialization of typed Go maps
 Codec for a Typed Map
 .
 bep/tmc provides basic roundtrip JSON etc. encoding/decoding of a
 map[string]interface{} with custom type adapters.
 .
 Text based serialization formats like JSON and YAML are convenient, but
 when used with Go maps, most type information gets lost in translation.
 For structs, one can work around some of the limitations with custom
 MarshalJSON, UnmarshalJSON, MarshalText and UnmarshalText.
 For the commonly used flexible and schema-less "map[string]interface {}",
 this is, as the author is aware of, not an option.
 This library provides a solution to this problem.
 .
 See the GoDoc at https://godoc.org/github.com/bep/tmc for some
 basic examples and how to configure custom codec, adapters, etc.

Package: golang-github-bgentry-go-netrc-dev
Description-md5: b15903db52e9fc6110d279ef6c83064b
Description-en: Golang package for reading and writing netrc files
 This package can parse netrc files, make changes to them, and then serialize
 them back to netrc format, while preserving any whitespace that was present
 in the source file.

Package: golang-github-bgentry-speakeasy-dev
Description-md5: db983a9f8443c666d35335fae1dbcc5f
Description-en: Golang helpers for reading password input without cgo
 This package provides cross-platform Go helpers for taking user input
 from the terminal while not echoing the input back (similar to getpasswd).
 The package uses syscalls to avoid any dependence on cgo, and is therefore
 compatible with cross-compiling.

Package: golang-github-biogo-hts-dev
Description-md5: f1788302970c8d532321f8d5e94f43ab
Description-en: biogo high throughput sequencing repository
 SAM and BAM handling for the Go language.
 .
 bíogo/hts provides a Go native implementation of the SAM specification for
 SAM and BAM alignment formats commonly used for representation of high
 throughput genomic data, the BAI, CSI and tabix indexing formats, and the BGZF
 blocked compression format. The bíogo/hts packages perform parallelized read
 and write operations and are able to cache recent reads according to
 user-specified caching methods. The bíogo/hts APIs have been constructed to
 provide a consistent interface to sequence alignment data and the underlying
 compression system in order to aid ease of use and tool development.

Package: golang-github-bitly-go-simplejson-dev
Description-md5: 57a15c2b85158c3e3c37328c66fdc3c8
Description-en: Golang library to interact with arbitrary JSON
 This is easily the library managing with JSON format.
 This can be done easily the acquisition of reading and the value of the JSON
 format. And this is add or remove key, and provides conversion to byte type
 of changed data.

Package: golang-github-bkaradzic-go-lz4-dev
Description-md5: 4a0f0c01de771a9aaebb219bde16d06e
Description-en: port of the LZ4 lossless compression algorithm to Go
 go-lz4 is a port of the LZ4 lossless compression algorithm to Go.
 .
 Note: go-lz4 saves a uint32 with the original uncompressed length
 at the beginning of the encoded buffer. They may get in the way of
 interoperability with other implementations.

Package: golang-github-blang-semver-dev
Description-md5: 848e7042545f75029804b7eb257d4030
Description-en: semantic versioning library
 Semantic Versioning (http://semver.org/) library written in golang.
 It fully covers specification version 2.0.0.

Package: golang-github-blevesearch-bleve-dev
Description-md5: 81731c5e9fdcc00681cd354b84120d3e
Description-en: modern text indexing library for go
 Blevesearch is a library that provides full-text search and indexing
 for Go. It is designed to be fast, flexible, and easy to use.
 .
 Features:
   * Index any go data structure (including JSON)
   * Intelligent defaults backed up by powerful configuration
   * Supported field types:
     - Text
     - Numeric
     - Date
   * Supported query types:
     - Term, Phrase, Match, Match Phrase, Prefix
     - Conjunction, Disjunction, Boolean
     - Numeric Range, Date Range
     - Simple query syntax for human entry
   * tf-idf Scoring
   * Search result match highlighting
   * Supports Aggregating Facets:
     - Terms Facet
     - Numeric Range Facet
     - Date Range Facet

Package: golang-github-blevesearch-go-porterstemmer-dev
Description-md5: 99a63c5d28f69ed9e5b8dbe0bb1e930d
Description-en: Native Go implementation of the Porter Stemming algorithm
 This library provides an implementation of the Porter Stemming algorithm.
 This algorithm is of interest to people doing Machine Learning or Natural
 Language Processing (NLP).

Package: golang-github-blevesearch-segment-dev
Description-md5: 37a759b60e143f7c9ad6f6e4da584e54
Description-en: Go library for performing Unicode Text Segmentation
 Segment is a Go library for performing Unicode Text Segmentation as
 described in Unicode Standard Annex #29.
 .
 Reference: http://www.unicode.org/reports/tr29/

Package: golang-github-bluebreezecf-opentsdb-goclient-dev
Description-md5: aa7045770c39b61503ab884c3415601c
Description-en: Go client for OpenTSDB REST API
 This library is a Go implementation for the OpenTSDB REST API.
 .
 OpenTSDB is a distributed, scalable Time Series Database (TSDB)
 written on top of HBase. OpenTSDB was written to address a common
 need: store, index and serve metrics collected from computer systems
 (network gear, operating systems, applications) at a large scale, and
 make this data easily accessible and graphable.

Package: golang-github-bmatcuk-doublestar-dev
Description-md5: 8ff9ae0e45df4fa1490d9545b797d79b
Description-en: support for double star matches in golang's path.Match and filepath.Glob
 This package contains a Golang implementation of path pattern matching
 and globbing with support for "doublestar" (aka globstar: **) patterns.
 .
 doublestar patterns match files and directories recursively. For example,
 if you had the following directory structure:
 .
 grandparent `-- parent
     |-- child1 `-- child2
 .
 You could find the children with patterns such as: **/child*,
 grandparent/**/child?, **/parent/*, or even just ** by itself (which
 will return all files and directories recursively).
 .
 Bash's globstar is doublestar's inspiration and, as such, works similarly.
 Note that the doublestar must appear as a path component by itself. A
 pattern such as /path** is invalid and will be treated the same as
 /path*, but /path*/** should achieve the desired result. Additionally,
 /path/** will match all directories and files under the path directory,
 but /path/**/ will only match directories.

Package: golang-github-bmatsuo-lmdb-go-dev
Description-md5: f41a76e1b1bf0e4f43708627e519ab38
Description-en: Bindings for the LMDB C library
 Go bindings to the OpenLDAP Lightning Memory-Mapped Database (LMDB).
 Packages Functionality is logically divided into several packages.
 Applications will usually need to import lmdb but may import other
 packages on an as needed basis.
 .
 Packages in the exp/ directory are not stable and may change without
 warning.  That said, they are generally usable if application
 dependencies are managed and pinned by tag/commit.
 .
 Developers concerned with package stability should consult the
 documentation.  lmdb GoDoc
 (https://godoc.org/github.com/bmatsuo/lmdb-go/lmdb)
 stable (#user-content-versioning-and-stability)
 go import "github.com/bmatsuo/lmdb-go/lmdb"
 .
 Core bindings allowing low-level access to LMDB.  lmdbscan
 GoDoc (https://godoc.org/github.com/bmatsuo/lmdb-go/lmdbscan)
 stable (#user-content-versioning-and-stability)
 go import "github.com/bmatsuo/lmdb-go/lmdbscan"
 .
 A utility package for scanning database ranges. The API is inspired by
 bufio.Scanner (https://godoc.org/bufio#Scanner) and the python cursor
 implementation (https://lmdb.readthedocs.org/en/release/#cursor-class).
 exp/lmdbpool GoDoc
 (https://godoc.org/github.com/bmatsuo/lmdb-go/exp/lmdbpool)
 experimental (#user-content-versioning-and-stability)
 go import "github.com/bmatsuo/lmdb-go/exp/lmdbpool"
 .
 A utility package which facilitates reuse of lmdb.Txn objects using a
 sync.Pool. Naively storing lmdb.Txn objects in sync.Pool can be
 troublesome. And the lmdbpool.TxnPool type has been defined as a
 complete pooling solution and as reference for applications attempting
 to write their own pooling implementation.
 .
 The lmdbpool package is relatively new. But it has a lot of potential
 utility.  And once the lmdbpool API has been ironed out, and the
 implementation hardened through use by real applications it can be
 integrated directly into the lmdb package for more transparent
 integration.  Please test this package and provide feedback to speed
 this process up.  exp/lmdbsync GoDoc
 (https://godoc.org/github.com/bmatsuo/lmdb-go/exp/lmdbsync)
 experimental (#user-content-versioning-and-stability)
 go import "github.com/bmatsuo/lmdb-go/exp/lmdbsync"
 .
 An experimental utility package that provides synchronization necessary
 to change an environment's map size after initialization. The package
 provides error handlers to automatically manage database size and retry
 failed transactions.
 .
 The lmdbsync package is usable but the implementation of Handlers are
 unstable and may change in incompatible ways without notice.
 The use cases of dynamic map sizes and multiprocessing are niche and
 the package requires much more development driven by practical feedback
 before the Handler API and the provided implementations can be
 considered stable.  Key Features: Idiomatic API inspired by BoltDB
 (https://github.com/boltdb/bolt) with automatic commit/rollback of
 transactions. The goal of lmdb-go is to provide idiomatic database
 interactions without compromising the flexibility of the C API.
 .
 NOTE: While the lmdb package tries hard to make LMDB as easy to
 use as possible there are compromises, gotchas, and caveats that
 application developers must be aware of when relying on LMDB to store
 their data.  All users are encouraged to fully read the documentation
 (https://godoc.org/github.com/bmatsuo/lmdb-go/lmdb) so they are aware
 of these caveats.
 .
 Where the lmdb package and its implementation decisions do not
 meet the needs of application developers in terms of safety or
 operational use the lmdbsync package has been designed to wrap lmdb and
 safely fill in additional functionality.  Consult the documentation
 (https://godoc.org/github.com/bmatsuo/lmdb-go/exp/lmdbsync) for more
 information about the lmdbsync package.  API coverage The lmdb-go
 project aims for complete coverage of the LMDB C API (within reason).
 Some notable features and optimizations that are supported: • Idiomatic
 subtransactions ("sub-updates") that allow the batching of updates.•
 Batch IO on databases utilizing the MDB_DUPSORT and MDB_DUPFIXED flags.•
 Reserved writes than can save in memory copies converting/buffering into
 []byte.  For tracking purposes a list of unsupported features is kept in
 an issue (https://github.com/bmatsuo/lmdb-go/issues/1).  Zero-copy reads
 Applications with high performance requirements can opt-in to fast,
 zero-copy reads at the cost of runtime safety.  Zero-copy behavior is
 specified at the transaction level to reduce instrumentation overhead.
 .
 ``` err := lmdb.View(func(txn *lmdb.Txn) error {
     // RawRead enables zero-copy behavior with some serious caveats.
     // Read the documentation carefully before using.  txn.RawRead = true
 val, err := txn.Get(dbi, []byte("largevalue"), 0) // ...
 .
 }) ``` Documentation Comprehensive documentation and examples
 are provided to demonstrate safe usage of lmdb.  In addition to
 godoc (https://godoc.org/github.com/bmatsuo/lmdb-go) documentation,
 implementations of the standand LMDB commands (mdb_stat, etc) can be found
 in the cmd/ (cmd/) directory and some simple experimental commands can be
 found in the exp/cmd/ (exp/cmd) directory.  Aside from providing minor
 utility these programs are provided as examples of lmdb in practice.
 LMDB compared to BoltDB: BoltDB is a quality database with a design
 similar to LMDB.  Both store key-value data in a file and provide ACID
 transactions.  So there are often questions of why to use one database
 or the other.  Advantages of BoltDB• Nested databases allow for
 hierarchical data organization.• Far more databases can be accessed
 concurrently.• Operating systems that do not support sparse files do
 not use up excessive space due to a large pre-allocation of file space.
 The exp/lmdbsync package is intended to resolve this problem with
 LMDB but it is not ready.• As a pure Go package bolt can be easily
 cross-compiled using the go toolchain and GOOS/GOARCH variables.• Its
 simpler design and implementation in pure Go mean it is free of many
 caveats and gotchas which are present using the lmdb package.  For more
 information about caveats with the lmdb package, consult its documentation
 (https://godoc.org/github.com/bmatsuo/lmdb-go/lmdb).Advantages of LMDB•
 Keys can contain multiple values using the DupSort flag.• Updates can
 have sub-updates for atomic batching of changes.• Databases typically
 remain open for the application lifetime.  This limits the number of
 concurrently accessible databases.  But, this minimizes the overhead of
 database accesses and typically produces cleaner code than an equivalent
 BoltDB implementation.• Significantly faster than BoltDB.  The raw
 speed of LMDB easily surpasses BoltDB.  Additionally, LMDB provides
 optimizations ranging from safe, feature-specific optimizations to
 generally unsafe, extremely situational ones.  Applications are free to
 enable any optimizations that fit their data, access, and reliability
 models.• LMDB allows multiple applications to access a database
 simultaneously.  Updates from concurrent processes are synchronized using
 a database lock file.• As a C library, applications in any language
 can interact with LMDB databases.  Mission critical Go applications can
 use a database while Python scripts perform analysis on the side.Build
 There is no dependency on shared libraries.  So most users can simply
 install using go get.
 .
 go get github.com/bmatsuo/lmdb-go/lmdb
 .
 On FreeBSD 10, you must explicitly set CC (otherwise it will fail with
 a cryptic error), for example: CC=clang go test -v ./...
 .
 Building commands and running tests can be done with go or with make:
 make bin ; make test ; make check ; make all
 .
 On Linux, you can specify the pwritev build tag to reduce the number
 of syscalls required when committing a transaction. In your own package
 you can then do go build -tags pwritev .
 .
 to enable the optimisation.  DocumentationGo doc The go doc documentation
 available on godoc.org (https://godoc.org/github.com/bmatsuo/lmdb-go)
 is the primary source of developer documentation for lmdb-go.
 It provides an overview of the API with a lot of usage examples.
 Where necessary the documentation points out differences between
 the semantics of methods and their C counterparts.  LMDB The
 LMDB homepage (http://symas.com/mdb/) and mailing list (archives
 (http://www.openldap.org/lists/openldap-technical/)) are the official
 source of documentation regarding low-level LMDB operation and internals.
 .
 Along with an API reference LMDB provides a high-level summary
 (http://symas.com/mdb/doc/starting.html) of the library.  While lmdb-go
 abstracts many of the thread and transaction details by default the rest
 of the guide is still useful to compare with go doc.  Versioning and
 Stability The lmdb-go project makes regular releases with IDs X.Y.Z.
 All packages outside of the exp/ directory are considered stable and
 adhere to the guidelines of semantic versioning (http://semver.org/).
 .
 Experimental packages (those packages in exp/) are not required to adhere
 to semantic versioning.  However packages specifically declared to merely
 be "unstable" can be relied on more for long term use with less concern.
 .
 The API of an unstable package may change in subtle ways between minor
 release versions.  But deprecations will be indicated at least one
 release in advance and all functionality will remain available through
 some method.  License Except where otherwise noted files in the lmdb-go
 project are licensed under the BSD 3-clause open source license.
 .
 The LMDB C source is licensed under the OpenLDAP Public License.
 Linksgithub.com/bmatsuo/raft-mdb (https://github.com/bmatsuo/raft-mdb)
 (godoc (https://godoc.org/github.com/bmatsuo/raft-mdb))
 An experimental backend for github.com/hashicorp/raft
 (https://github.com/hashicorp/raft) forked from
 github.com/hashicorp/raft-mdb (https://github.com/hashicorp/raft-mdb).
 github.com/bmatsuo/cayley/graph/lmdb
 (https://github.com/bmatsuo/cayley/tree/master/graph/lmdb)
 (godoc (https://godoc.org/github.com/bmatsuo/cayley/graph/lmdb))
 Experimental backend quad-store for github.com/google/cayley
 (https://github.com/google/cayley) based off of the BoltDB implementation
 (https://github.com/google/cayley/tree/master/graph/bolt).

Package: golang-github-bmizerany-assert-dev
Description-md5: ed8b2848cdc9a98daf41d0c68239eb24
Description-en: Assertions library for Go tests
 Assertions library for writing tests in the Go language.

Package: golang-github-bmizerany-pat-dev
Description-md5: ae1d03e6f72cb3c950814bd01ad78b22
Description-en: Sinatra style pattern muxer for Go's net/http library
 PatternServeMux is an HTTP request multiplexer. It matches the URL of each
 incoming request against a list of registered patterns with their associated
 methods and calls the handler for the pattern that most closely matches the
 URL.

Package: golang-github-boj-redistore-dev
Description-md5: a3e9786297915b003cf912a268647037
Description-en: session store backend for gorilla/sessions using Redis
 This package contains a session store backend for gorilla/sessions.
 It uses Redis to store the values in memory.
 .
 Package gorilla/sessions provides cookie and filesystem sessions
 and infrastructure for custom session backends.

Package: golang-github-boltdb-bolt-dev
Description-md5: 340d6c3a353cdcd51ae606b8bf5c80e1
Description-en: low-level key/value database for Go
 Bolt is a pure Go key/value store inspired by Howard Chu's and the LMDB
 project. The goal of the project is to provide a simple, fast, and reliable
 database for projects that don't require a full database server such as
 Postgres or MySQL.

Package: golang-github-bowery-prompt-dev
Description-md5: c31db40b34e9ebf4493e0649b907c411
Description-en: Cross platform prompting library for Go
 Prompt is a cross platform line-editing prompting library.
 .
 Features:
  * Keyboard shortcuts in prompts
  * History support
  * Secure password prompt
  * Custom prompt support
  * Fallback prompt for unsupported terminals
  * ANSI conversion for Windows

Package: golang-github-bradfitz-gomemcache-dev
Description-md5: 44306682ecfe7c6c5556d1bafc118926
Description-en: Go memcached client library
 gomemcache is a memcache client library for the Go programming
 language.

Package: golang-github-bradfitz-iter-dev
Description-md5: deebbf31634ab631d8fce94e4477d785
Description-en: Range over integers [0,n). Seriously, that's it.
 Package iter provides a syntactically different way to iterate over
 integers. That's it.
 .
 This package was intended to be an educational joke when it was
 released in 2014. People didn't get the joke part and started
 depending on it. That's fine, I guess. (This is the Internet.) But
 it's kinda weird. It's one line, and not even idiomatic Go style. I
 encourage you not to depend on this or write code like this, but I do
 encourage you to read the code and think about the representation of
 Go slices and why it doesn't allocate.
 .
 Please do not use this package. It is not intended for production use.

Package: golang-github-briandowns-spinner-dev
Description-md5: a787927e18d3aa59358b65c14367db8d
Description-en: Go library for providing a terminal spinner/progress indicator with options
 golang-github-briandowns-spinner-dev is a simple library to add a spinner or
 progress indicator to any terminal application.

Package: golang-github-bruth-assert-dev
Description-md5: 9d83d71246c26937ca376ec8be6f31f8
Description-en: Simple test assertions for Golang tests
 This package contains simple test assertions for Go. This is a fork
 of a fork of a bmizerany/assert with improved support for things
 like nil pointers, etc.

Package: golang-github-bshuster-repo-logrus-logstash-hook-dev
Description-md5: b970db0157dea80dd83697495f0584f3
Description-en: Logstash hook for Logrus logging library for Go
 Logrus is a structured logger for Go (golang), completely
 API compatible with the standard library logger.
 .
 This package is a hook to enable messages logged by Logrus to be sent
 to a Logstash instance.

Package: golang-github-bsm-pool-dev
Description-md5: 3110c2440ec8df39b6abbb449c975e0a
Description-en: simple connection pool library for Golang
 BSM Pool implements a simple connection pool for Go.
 .
 Features:
   - thread-safe
   - lock-free
   - stack-based rather than queue-based
     + connections that have been used recently are more likely to be re-used
   - supports pool shrinking (reap idle connections)

Package: golang-github-bsm-redeo-dev
Description-md5: a7bd8d0e75754e22d9a79afc425873d0
Description-en: Framework for building redis-compatible TCP services
 This library provides a framework for building redis-compatible TCP
 services in the Go language. This can be used to replicate (or mimic)
 a redis server. More often, it will be used to implement only the
 portion of Redis needed for the application.

Package: golang-github-bsphere-le-go-dev
Description-md5: 2b0a4ed8e505ca475d09cc246f620540
Description-en: Golang library for logentries.com
 Client library for sending logs to the commercial logentries.com
 service. The library implements the ame methods as the Go Logger
 library and is also compatible with the io.Writer interface.

Package: golang-github-btcsuite-btcd-btcec-dev
Description-md5: 354e117eebe0d7d00d1e128909270484
Description-en: Go library for Elliptic curve cryptography
 Package btcec implements elliptic curve cryptography needed for working
 with Bitcoin (secp256k1 only for now). It is designed so that it may be
 used with the standard crypto/ecdsa packages provided with go. A
 comprehensive suite of test is provided to ensure proper functionality.
 .
 This package contains convenience utilities and shortcuts
 used across the Tendermint projects.

Package: golang-github-btcsuite-btcd-chaincfg-chainhash-dev
Description-md5: 49a94ba310f4cd20d69464cb8931d2df
Description-en: generic hash types and functions for Go
 chainhash provides a generic hash type and associated
 functions that allows the specific hash algorithm to
 be abstracted.
 .
 This package contains convenience utilities and shortcuts
 used across the Tendermint projects and is a dependency
 of Tendermint Core.

Package: golang-github-btcsuite-fastsha256-dev
Description-md5: 1ef9f992dc95f731d92c82f24332746e
Description-en: Go alternative fast SHA256 implementation
 This package provides an alternative fast-SHA256
 implementation to the one provided by the Go crypto/sha256
 package that supports midstate calculations.
 .
 This package is used by several Tendermint's components.

Package: golang-github-buger-goterm-dev
Description-md5: 07c06f1c700f75295a5a654dfdbae92d
Description-en: advanced terminal output in Golang
 This library provides basic building blocks for building advanced console
 UIs.

Package: golang-github-buger-jsonparser-dev
Description-md5: 24f65e87bbe7459e318a8148c3abb7de
Description-en: fast schemaless JSON parser for Go
 This is a JSON parser library for Go that does not require previous
 knowledge of the structure of the payload (e.g. creating structs)
 and allows accessing fields by providing the path to them. It is up to
 10 times faster than standard encoding/json package (depending on payload
 size and usage) and allocates no additional memory.

Package: golang-github-bugsnag-bugsnag-go-dev
Description-md5: 0d3674aba81c218f8264f0591a65acea
Description-en: automatic panic monitoring for Go applications
 The Bugsnag Notifier for Golang gives you instant notification of
 panics, or unexpected errors, in your golang app. Any unhandled panics
 will trigger a notification to be sent to a bugsnag.com account.

Package: golang-github-bugsnag-panicwrap-dev
Description-md5: 683ed5fb51cdf4563df3187842dfc2bb
Description-en: Go library for catching and handling panics in Go applications
 panicwrap is a Go library that re-executes a Go binary and monitors
 stderr output from the binary for a panic. When it find a panic,
 it executes a user-defined handler function. Stdout, stderr, stdin,
 signals, and exit codes continue to work as normal, making the existence
 of panicwrap mostly invisble to the end user until a panic actually
 occurs.

Package: golang-github-burntsushi-locker-dev
Description-md5: 774f60c9980fcd974e1bf11556b97a64
Description-en: simple Go package for conveniently using named read/write locks
 Package locker is a simple Go package to manage named ReadWrite mutexes.
 These appear to be especially useful for synchronizing access
 to session based information in web applications.
 .
 The common use case is to use the package level functions, which use
 a package level set of locks (safe to use from multiple goroutines
 simultaneously).  However, you may also create a new separate set
 of locks.
 .
 All locks are implemented with read-write mutexes. To use them
 like a regular mutex, simply ignore the RLock/RUnlock functions.

Package: golang-github-burntsushi-toml-dev
Description-md5: ec7d74fc1f64aa88e37fb101e95177e6
Description-en: TOML parser and encoder for Go with reflection
 TOML stands for Tom's Obvious, Minimal Language. This Go package provides a
 reflection interface similar to Go's standard library json and xml packages.
 This package also supports the encoding.TextUnmarshaler and
 encoding.TextMarshaler interfaces so that you can define custom data
 representations.
 .
 Compatible with TOML v0.4.0.
 .
 This package contains the source.

Package: golang-github-burntsushi-xgb-dev
Description-md5: fab3bd34f8a4ee8f16897afca35780f8
Description-en: Low-level API to communicate with the X server. See the reference
 (https://github.com/BurntSushi/xgb) for more info.

Package: golang-github-c-bata-go-prompt-dev
Description-md5: 32e4e7afced524c44362a02fb0470fb0
Description-en: building powerful interactive prompts in Go
 Library for building powerful interactive prompts inspired by
 python-prompt-toolkit.

Package: golang-github-caarlos0-env-dev
Description-md5: 87b22848880b5003cb868ff022f95b2e
Description-en: Go library for parse environment variables to structs
 This is a Simple Golang library to parse environment variables to structs.
 Out of the box all built-in types are supported, plus a few others that are
 commonly used. Supports the following type:
 .
  - string
  - bool
  - int
  - int8
  - int16
  - int32
  - int64
  - uint
  - uint8
  - uint16
  - uint32
  - uint64
  - float32
  - float64
  - string
  - time.Duration
  - encoding.TextUnmarshaler
  - url.URL

Package: golang-github-cactus-go-statsd-client-dev
Description-md5: d4bbaf9c46ac232f9f80056538b93ea9
Description-en: statsd client for Go
 This package contains a StatsD client implementation that is safe for
 concurrent use by multiple goroutines and for efficiency can be
 created and reused.

Package: golang-github-calmh-du-dev
Description-md5: e9b04d81146a318a8764737727fafb0d
Description-en: Get disk usage information like how much space is available, free, and used
 Package du provides functions to Get total and available disk space
 on a given volume. Function Get returns the Usage of a given path,
 or an error if usage data is unavailable

Package: golang-github-calmh-luhn-dev
Description-md5: 04df91e93819a5a9fb4764431913576c
Description-en: Luhn-mod-N implementation in Go
 Package luhn provides a Luhn-mod-N implementation in Go.
 Function generate returns a check digit for the string s,
 which should be composed of characters from the Alphabet a.
 Function validate returns true if the last character of the
 string s is correct, for a string s composed of characters
 in the alphabet a.

Package: golang-github-calmh-xdr-dev
Description-md5: 63757f2c6bec37425998dc3b2df934d0
Description-en: Go XDR enc/decoder - dev package
 Package xdr is an XDR marshalling/unmarshalling library.
 It uses code generation and not reflection.
 .
 This is the dev package.

Package: golang-github-cbroglie-mapstructure-dev
Description-md5: 7bc175512dc48cc5958153d568c712f7
Description-en: Go library for decoding generic map values into native structures
 Mapstructure is a Go library for decoding generic map values to structures and
 vice versa, while providing helpful error handling.
 .
 This library is most useful when decoding values from some data stream (JSON,
 Gob, etc.) where you don't quite know the structure of the underlying data
 until you read a part of it. You can therefore read a map[string]interface{}
 and use this library to decode it into the proper underlying native Go
 structure.
 .
 This package is a fork of the golang-github-mitchellh-mapstructure
 package to more elegantly support decoding data with an unknown
 structure by using the runtime reflection features of the Go
 language.

Package: golang-github-ccding-go-stun-dev
Description-md5: 8c00a4661db16b75362d7d7b45b4f218
Description-en: implementation of the STUN client (RFC 3489 and RFC 5389)
 go-stun is a STUN (RFC 3489, 5389) client implementation in golang
 (a.k.a. UDP hole punching).
 .
 Use the Library The library github.com/ccding/go-stun/stun is extremely
 easy to use -- just one line of code.
 .
 ```go import "github.com/ccding/go-stun/stun"
 .
 func main() {
     nat, host, err := stun.NewClient().Discover()
 } ```

Package: golang-github-cenk-hub-dev
Description-md5: e07e5ee4b027fe262bc55901c802bc00
Description-en: Simple PubSub (Publish/Subscribe) library in Go
 This package provides a trivial implementation of a simple event
 dispatcher using the publish/subscribe pattern.

Package: golang-github-cenk-rpc2-dev
Description-md5: 82198c1d3e34b475eb0d2da01d224d83
Description-en: bi-directional RPC in Go
 rpc2 is a fork of net/rpc package in the standard library.  The main
 goal is to add bi-directional support to calls.  That means server
 can call the methods of client which is not possible with net/rpc
 package.

Package: golang-github-cenkalti-backoff-dev
Description-md5: 51922aa9ba0836eedd2c2447c0fdabbd
Description-en: exponential backoff algorithm in Go
 Exponential backoff is an algorithm that uses feedback to multiplicatively
 decrease the rate of some process, in order to gradually find an acceptable
 rate. The retries exponentially increase and stop increasing when a certain
 threshold is met.

Package: golang-github-census-instrumentation-opencensus-proto-dev
Description-md5: 70e4713f00de9129332b636129c4fe0c
Description-en: language independent interface types for opencensus
 Census provides a framework to define and collect stats against metrics
 and to break those stats down across user-defined dimensions.
 .
 The Census framework is natively available in many languages
 (e.g. C++, Go, and Java). The API interface types are defined
 using protos to ensure consistency and interoperability for the
 different implementations.

Package: golang-github-centurylinkcloud-clc-sdk-dev
Description-md5: a1b274b1c27df8ca5f8af350f4873c7a
Description-en: SDK for Century Link Cloud
 This package contains an SDK for the Century Link Cloud that is
 written in Go. One can for example create new servers or get
 the status of them.
 .
 It is needed for terraform.

Package: golang-github-cespare-xxhash-dev
Description-md5: 2fd1a2b44a3bb43d26baa9908bd09217
Description-en: implementation of the 64-bit xxHash algorithm (XXH64)
 xxhash is a Go implementation of the 64-bit xxHash
 (http://cyan4973.github.io/xxHash/) algorithm, XXH64. This is a
 high-quality hashing algorithm that is much faster than anything in the
 Go standard library.

Package: golang-github-chaseadamsio-goorgeous-dev
Description-md5: 46125b36afddf8ba7a5120984218c23d
Description-en: Go org syntax parser to html
 The purpose of this package is to come as close as possible to parsing
 an *.org document into HTML, the same way one might publish with
 org-publish-html from Emacs.

Package: golang-github-checkpoint-restore-go-criu-dev
Description-md5: 86e3fd7404b98b8e4c75fe9297e301e3
Description-en: CRIU bindings for Golang
 Golang bindings for CRIU. The code is based on the Golang-based PHaul
 implementation from the CRIU repository.
 .
 Golang bindings provide an easy way to use the CRIU RPC calls from Golang
 without the need to set up all the infrastructure to make the actual RPC
 connection to CRIU.

Package: golang-github-cheekybits-is-dev
Description-md5: 7a4e6cb9323d0703501e67ad8d65d392
Description-en: Mini testing helper for Go
 github.com/cheekybits/is is a mini testing helper for Go.
 .
  * Simple interface (is.OK and is.Equal)
  * Plugs into existing Go toolchain (uses testing.T)
  * Obvious for newcomers and newbs
  * Also gives you is.Panic and is.PanicWith helpers - because testing
    panics is ugly

Package: golang-github-chmduquesne-rollinghash-dev
Description-md5: a7e8a964df54c38d2aa999d9fe6e647c
Description-en: Implementation of some rolling checksums in golang
 Package rollinghash implements rolling versions of some hashes.
 Currently included:
  - adler32
  - buzhash32
  - buzhash64
  - rabinkarp32

Package: golang-github-christrenkamp-goxpath-dev
Description-md5: e92be1144c22e1c26032bbac92842b32
Description-en: XPath 1.0 implementation written in Go
 goxpath is a XPath 1.0 implementation, written natively in the Go programming
 language. XPath queries XML documents, selecting elements, attributes, text,
 and has some operators and functions for manipulating strings, numbers, and
 booleans.

Package: golang-github-chzyer-readline-dev
Description-md5: 8f0e5963a531fca8b7a378df4646dd85
Description-en: Readline is a pure go implementation for a GNU-Readline like library
 Readline supports multiple platforms (Windows, OSX, GNU/Linux), and enables
 applications to provide a terminal user interface that is able to dynmically
 interact with the user on one terminal line.

Package: golang-github-circonus-labs-circonus-gometrics-dev
Description-md5: ff0e04b40a38afc314e0358966bbadbc
Description-en: Golang implementation of metrics reporting for Cirocnus
 Circonus metrics tracking for Go applications. This library supports named
 counters, gauges and histograms.  It also provides convenience wrappers
 for registering latency instrumented functions with Go's builtin http
 server.

Package: golang-github-circonus-labs-circonusllhist-dev
Description-md5: 264d9e01adc3e85acf3fe628235708ac
Description-en: implementation of Circonus log-linear histograms
 A Golang implementation of Circonus log-linear histograms.

Package: golang-github-cloudflare-cfssl-dev
Description-md5: d93653103a370e9baa617cabec0be98d
Description-en: CloudFlare's PKI and TLS toolkit
 CFSSL is CloudFlare's PKI/TLS swiss army knife. It is both a command
 line tool and an HTTP API server for signing, verifying, and bundling
 TLS certificates. CFSSL can be used to build custom TLS PKI tools as
 well as create and manipulate traditional certificate structures
 using code or on the command line.
 .
 This package contains the development files.

Package: golang-github-cloudflare-go-metrics-dev
Description-md5: 1af90669eca28f22af85988cbc9389bd
Description-en: Cloudflare's fork of Go port of Coda Hale's Metrics library
 This package is a fork of Richard Crowley's Go port of Coda Hale's
 Metrics library for Java. It allows one to easily collect metrics from an
 application written in Go in an unobtrusive way. Metrics can then be
 exported to syslog, files or to a storage system like Graphite.

Package: golang-github-cloudflare-gokey-dev
Description-md5: 6edac5e6076b7e14eb4d318a9a80f589
Description-en: simple vaultless password manager in Go - dev package
 gokey is a password manager, which does not require a password vault. Instead
 of storing your passwords in a vault it derives your password on the fly from
 your master password and supplied realm string (for example, resource URL).
 This way you do not have to manage, backup or sync your password vault (or
 trust its management to a third party) as your passwords are available
 immediately anywhere.
 .
 This is the development package.

Package: golang-github-cloudflare-redoctober-dev
Description-md5: 917a2aa7418182293039af1ca5cc7015
Description-en: Software-based two-man rule style encryption and decryption server
 Red October is a software-based two-man rule style encryption and
 decryption server.  The two-man rule is a control mechanism designed
 to achieve a high level of security by requiring the presence of two
 authorized people at all times. In the case of Red October the
 two-man rule is implemented by encrypting data in such as way as to
 require two authorised key-holds to decrypt it.
 .
 This package contains the development files.

Package: golang-github-cloudfoundry-gosigar-dev
Description-md5: e3002a1bb294d2068d4db56422bf1e94
Description-en: golang implementation of the sigar API
 Go sigar is a golang implementation of the sigar API. The Go version
 of sigar has a very similar interface, but is being written from
 scratch in pure go/cgo, rather than cgo bindings for libsigar.

Package: golang-github-cloudfoundry-jibber-jabber-dev
Description-md5: b0c5d5428bedb5ff0b37a9a759294992
Description-en: Cross Platform locale detection for Golang
 Jibber Jabber is a GoLang Library that can be used to detect
 an operating system's current language.
 OS Support OSX and Linux via the LC_ALL and LANG environment
 variables. These are standard variables that are used in ALL versions
 of UNIX for language detection.

Package: golang-github-clusterhq-flocker-go-dev
Description-md5: 53a9ee27c07445db0be58738fe5f5401
Description-en: Flocker go library
 flocker-go implements functionality to interact with a Flocker Control
 Service.

Package: golang-github-cnf-structhash-dev
Description-md5: 5518e31700e8f18cb8eb5edbcced260a
Description-en: get hash strings of arbitrary data structures
 structhash is a Go library for generating hash strings of arbitrary
 data structures. Features
  - fields may be ignored or renamed (like in json.Marshal, but using
    different struct tag)
  - fields may be serialized
  - fields may be versioned
  - fields order in struct doesn't matter (unlike json.Marshal)
  - nil values are treated equally to zero valuesInstallation

Package: golang-github-cockroachdb-apd-dev
Description-md5: 70c3350d575618018401b68f7f48bb83
Description-en: arbitrary-precision decimals for Go
 apd is an arbitrary-precision decimal package for Go.
 .
 apd implements much of the decimal specification from the General Decimal
 Arithmetic (http://speleotrove.com/decimal/) description. This is the same
 specification implemented by python’s decimal module
 (https://docs.python.org/2/library/decimal.html) and GCC’s decimal
 extension.
 ,
 Features:
  • Panic-free operation.
  • Support for standard functions. sqrt, ln, pow, etc.
  • Accurate and configurable precision.
  • Good performance.
  • Condition flags and traps.

Package: golang-github-cockroachdb-cmux-dev
Description-md5: bfae9ab4dd2f0f9c61c23e8999dff64a
Description-en: Payload-based connection multiplexer for Golang
 The cmux library is a generic Go library to multiplex connections
 based on their payload. Using cmux you can serve gRPC, SSH, HTTPS,
 HTTP, Go RPC and pretty much any other payload on the same TCP
 listener. Lookahead is used to match and hand off connections
 to the appropriate server implementation.
 .
 This package is a fork of github.com/soheily/cmux.

Package: golang-github-cockroachdb-cockroach-go-dev
Description-md5: 30d0682d26555ffedec6b2cbb77b7021
Description-en: Packages for go clients.
 testing Testing helpers for cockroach clients.

Package: golang-github-codahale-hdrhistogram-dev
Description-md5: 60d9d1c6d6807bcee2ef5f634d3c799c
Description-en: implementation of Gil Tene's HDR Histogram
 This package contains a pure Go implementation of the HDR Histogram
 .
 A Histogram that supports recording and analyzing sampled data value
 counts across a configurable integer value range with configurable value
 precision within the range. Value precision is expressed as the number
 of significant digits in the value recording, and provides control over
 value quantization behavior across the value range and the subsequent
 value resolution at any given level.

Package: golang-github-codegangsta-cli-dev
Description-md5: 511e349142810a9096c99a46e73313cc
Description-en: simple library for building command line apps in Go
 cli.go is simple library for building command line apps in Go. It
 allows is writing fast and distributable command line applications in an
 expressive way.
 .
 This package contains the source.

Package: golang-github-codegangsta-negroni-dev
Description-md5: 8b1a6125d0de9a7e006917f3ea720c2e
Description-en: idiomatic HTTP middleware for Golang
 Negroni is an idiomatic approach to web middleware in Go. It is
 tiny, non-intrusive, and encourages use of net/http Handlers.
 .
 Negroni is not a framework. It is a library that is designed to
 work directly with net/http.

Package: golang-github-confluentinc-confluent-kafka-go-dev
Description-md5: b6111707e0b66445b83f63c30b9cb315
Description-en: Apache Kafka Golang client by Confluent
 Confluent's Kafka client for Golang wraps the librdkafka C library, providing
 full Kafka protocol support with great performance and reliability.
 .
 The Golang bindings are supported by Confluent, founded by the creators of
 Kafka, and part of their Confluent Platform offering, so the client can be
 expected to keep pace with Kafka development.
 .
 The Golang bindings provide a high-level Producer and Consumer with support
 for the balanced consumer groups of Apache Kafka 0.9 and above.

Package: golang-github-containerd-btrfs-dev
Description-md5: 519c0f31d10fa3689463684247e37665
Description-en: Btrfs bindings for Go
 Native Go bindings for btrfs.
 .
 These are in the early stages.
 .
 This package may not cover all the use cases for btrfs. Note that due to
 struct alignment issues, this isn't yet fully native.

Package: golang-github-containerd-cgroups-dev
Description-md5: 02918fbd0db650abb748067c96da01a2
Description-en: cgroups package for Go
 Go package for creating, managing, inspecting, and destroying
 cgroups.  The resources format for settings on the cgroup uses the OCI
 runtime-spec found here (https://github.com/opencontainers/runtime-spec).

Package: golang-github-containerd-console-dev
Description-md5: 3af46040f5de71b13a292b5234381cd7
Description-en: Console package for Go
 Golang package for dealing with consoles.  Light on deps and a simple API.

Package: golang-github-containerd-continuity-dev
Description-md5: ee1bdc5d0c082bd4d6986904dbf693a0
Description-en: Externally reusable Go packages included with Continuity
 These packages are intentionally developed by upstream in such a way that they
 are reusable to projects outside Continuity and only rely on each other or
 other external dependencies to be built.

Package: golang-github-containerd-fifo-dev
Description-md5: af96bd7b7dbf644db9284a2deb7499df
Description-en: fifo pkg for Go
 Go package for handling fifos in a sane way.

Package: golang-github-containerd-go-cni-dev
Description-md5: 784371e3f327234b8dc91b30a17ee3e7
Description-en: generic CNI library to provide APIs for CNI plugin interactions
 The library provides APIs to:
 .
  * Load CNI network config from different sources
  * Setup networks for container namespace
  * Remove networks from container namespace
  * Query status of CNI network plugin initialization
 .
 go-cni aims to support plugins that implement Container Network Interface

Package: golang-github-containerd-go-runc-dev
Description-md5: c272a7f5f1bbed458cc7c1856bfc10ee
Description-en: runc bindings for Go
 This is a package for consuming the runc binary in your Go applications.
 It tries to expose all the settings and features of the runc CLI. If there
 is something missing then add it, its opensource!

Package: golang-github-containerd-ttrpc-dev
Description-md5: 21323f9c4b8163ddbaa6c2f1db8826cc
Description-en: GRPC for low-memory environments
 The existing grpc-go project requires a lot of memory overhead for
 importing packages and at runtime. While this is great for many services
 with low density requirements, this can be a problem when running a
 large number of services on a single machine or on a machine with a
 small amount of memory.
 .
 Using the same GRPC definitions, this project reduces the binary size
 and protocol overhead required. We do this by eliding the net/http,
 net/http2 and grpc package used by grpc replacing it with a lightweight
 framing protocol. The result are smaller binaries that use less resident
 memory with the same ease of use as GRPC.
 .
 Please note that while this project supports generating either end of
 the protocol, the generated service definitions will be incompatible
 with regular GRPC services, as they do not speak the same protocol.
 .
 This package provides sources.

Package: golang-github-containerd-typeurl-dev
Description-md5: fe1401a9785a69c52feaee64f47aa3bb
Description-en: Go package for managing marshaled types to protobuf.Any
 A Go package for managing the registration, marshaling, and unmarshaling
 of encoded types.
 .
 This package helps when types are sent over a GRPC API and marshaled as a
 [protobuf.Any]().

Package: golang-github-containernetworking-plugins-dev
Description-md5: 9bdfd35d17aecbb41a972203fc16b633
Description-en: standard networking plugins - sources
 This package contains sources of the Container Networking Initiative's
 official plugins:
 .
 ### Interfaces
  - `bridge`: Creates a bridge, adds the host and the container to it.
  - `ipvlan`: Adds an [ipvlan] interface in the container.
  - `loopback`: Set the state of loopback interface to up.
  - `macvlan`: Creates a new MAC address, forwards all traffic
               to that to the container.
  - `ptp`: Creates a veth pair.
  - `vlan`: Allocates a vlan device.
  - `host-device`: Move an already-existing device into a container.
 .
 ### IPAM: IP Address Management
  - `dhcp`: Runs a daemon to make DHCP requests on behalf of the container.
  - `host-local`: Maintains a local database of allocated IPs
  - `static`:  Allocates a static IPv4/IPv6 address.
 .
 ### Other
  - `flannel`: Generates an interface corresponding to a flannel config file
  - `tuning`: Tweaks sysctl parameters of an existing interface
  - `portmap`: An iptables-based portmapping plugin.
               Maps ports from the host's address space to the container.
  - `bandwidth`: Allows bandwidth-limiting through use of traffic control tbf.
  - `sbr`: Configures source based routing for an interface.
  - `firewall`: Uses iptables or firewalld to add rules to allow traffic
                to/from the container.
 .
 [ipvlan]: https://www.kernel.org/doc/Documentation/networking/ipvlan.txt

Package: golang-github-containers-image-dev
Description-md5: 43d69f69cfd668e8d0515620d3600bea
Description-en: golang library to work with containers' images
 This library aims at working in various way with containers' images and
 container image registries and allows an application to pull and push
 images from container image registries, like the upstream docker
 registry. It also implements "simple image signing".

Package: golang-github-containers-psgo-dev
Description-md5: 18c113ee2585ac33bc0078ee1a2ef851
Description-en: ps(1) AIX-format compatible Golang library
 Psgo is a ps(1) AIX-format compatible golang library extended with various
 descriptors useful for displaying container-related data.
 .
 The idea behind the library is to provide an easy to use way of extracting
 process-related data, just as ps(1) does. The problem when using ps(1) is
 that the ps format strings split columns with whitespaces, making the
 output nearly impossible to parse. It also adds some jitter as we have to
 fork and execute ps either in the container or filter the output
 afterwards, further limiting applicability.
 .
 This library aims to make things a bit more comfortable, especially for
 container runtimes, as the API allows to join the mount namespace of a
 given process and will parse /proc and /dev/ from there.

Package: golang-github-containers-storage-dev
Description-md5: 33c19defe0f75a3ad4a64ce898778b1f
Description-en: Go library for handling how containers are stored on disk
 storage is a Go library which aims to provide methods for storing
 filesystem layers, container images, and containers.  A containers-storage
 CLI wrapper is also included for manual and scripting use.
 .
 This package contains the library.

Package: golang-github-coreos-bbolt-dev
Description-md5: 44f929a5fd5efc9f5644c5463f71806f
Description-en: low-level key/value database for Go, especially CoreOS's etcd
 bbolt is a fork of Ben Johnson's Bolt key/value store
 (github.com/boltdb/bolt).
 .
 The purpose of this fork is to better cater to the needs of CoreOS's etcd
 (github.com/coreos/etcd), and to provide the Go community with an active
 maintenance and development target for Bolt; the goal is improved reliability
 and stability.  bbolt includes bug fixes, performance enhancements, and
 features not found in Bolt while preserving backwards compatibility with the
 Bolt API.
 .
 Bolt is a pure Go key/value store inspired by Howard Chu's and the LMDB
 project. The goal of the project is to provide a simple, fast, and reliable
 database for projects that don't require a full database server such as
 Postgres or MySQL.

Package: golang-github-coreos-gexpect-dev
Description-md5: 25b18c15c01c8507827ee4fcce30c007
Description-en: library for starting and controlling subprocesses
 Pure golang expect library, for easily starting and controlling subprocesses.

Package: golang-github-coreos-go-etcd-dev
Description-md5: c97be7e287d21ea401e7a6dfe1780fd9
Description-en: [DEPRECATED] Go client for etcd
 go-etcd is a deprecated client implementation in Go for etcd.
 .
 etcd now has an official Go client, which has a nicer API and better support.
 It is available at https://github.com/coreos/etcd/tree/master/client.
 See Debian packages etcd-client, etcd-server and golang-etcd-server-dev.
 .
 We strongly suggest you use the aforementioned official Go client instead of
 go-etcd in your new projects.  For existing projects, we suggest you migrate
 to the official Go client.
 .
 This package contains the source.

Package: golang-github-coreos-go-iptables-dev
Description-md5: a6ff5a0c2a40d200bf9519a4c3dce191
Description-en: Go bindings for iptables utility
 The go-iptables module wraps the invocation of the iptables
 utility with Go functions to append and delete iptables rules,
 as well as create, clear and delete iptables chains.

Package: golang-github-coreos-go-oidc-dev
Description-md5: db729008c1a4742852bf2a85a568e797
Description-en: Go libraries for implementing OIDC clients and servers
 This package provides a comprehensive collection of golang libraries
 for other projects to implement OpenID Connect (OIDC) server and
 client components.

Package: golang-github-coreos-go-semver-dev
Description-md5: 87b2ce29aad662f92dd7be79bc1d7c48
Description-en: Go library for semantic versioning
 Go library for semantic versioning allowing you to parse and compare
 two semantic version strings.

Package: golang-github-coreos-go-systemd-dev
Description-md5: 3679fef4434b17e55c34179e71a637e7
Description-en: Go client bindings for systemd socket activation, journal and D-Bus
 Package "go-systemd" is a library that implements native Go client bindings
 for systemd in packages "activation", "journal", and "dbus".
 .
 This package contains the source.

Package: golang-github-coreos-go-tspi-dev
Description-md5: eb9e9f4ab2db9bfd046b9e5cdd792416
Description-en: TSPI bindings for Golang
 Golang bindings and support code for libtspi and tpm communication
 This library provides a set of bindings for communication between
 code written in Go and libtspi, the library responsible for providing
 a TPM control interface.

Package: golang-github-coreos-ioprogress-dev
Description-md5: f5b4a541873ee2e18d6d8fddfca95899
Description-en: progress bars around io.Reader/Writers
 Ioprogress is a Goolang library with implementations of io.Reader and
 io.Writer that draws progress bars. The primary use case for these are for
 CLI applications.

Package: golang-github-coreos-pkg-dev
Description-md5: fda2c2008daf8d1069101823cd3075c8
Description-en: collection of Go utility packages
 This package contains a collection of Go utility libraries for use
 in projects written by CoreOS, Inc.

Package: golang-github-corpix-uarand-dev
Description-md5: f73af01409a0e4ccccc131cd4a444577
Description-en: random user-agent generator
 This package is a generator for random user-agent strings like:
 .
  - Mozilla/5.0 (X11; Linux x86_64)
  - AppleWebKit/537.36 (KHTML, like Gecko)
  - Chrome/41.0.2227.0
  - Safari/537.36
 .
 It is written in Go.

Package: golang-github-couchbase-ghistogram-dev
Description-md5: 4a19431429b88b4c3d5849a083044a58
Description-en: simple int histogram for golang
 The ghistogram library provides a simple histogram of uint64's that
 avoids heap allocations (garbage creation) during data processing.
 .
 GoDoc (https://godoc.org/github.com/couchbaselabs/ghistogram) Build Status
 (https://travis-ci.org/couchbaselabs/ghistogram)

Package: golang-github-couchbase-moss-dev
Description-md5: e0daea0fdce4bc5731fa94f2af93e7a0
Description-en: moss provides a fast key/value storage library for golang
 The MOSS, memory-oriented sorted segments, library provides a key/value
 storage system implemented entirely within Go that is very fast and
 light weight. It comes with optional persistence.
 .
 Features:
   * ordered key-val collection API
   * 100% go implementation
   * key range iterators
   * snapshots provide for isolated reads
   * atomic mutations via a batch API
   * event callbacks allow the monitoring of asynchronous tasks
   * unit tests
   * and so on...

Package: golang-github-cpuguy83-go-md2man-dev
Description-md5: 2e78a7e27edd4fe94622606a373e2e48
Description-en: utility to create manpages from markdown (source)
 Turns Markdown into manpages, which is really handy for people
 that don't want to learn how to make manpages from stuff like DocBook.
 .
 This package contains the source.

Package: golang-github-creack-pty-dev
Description-md5: 08f501fc8b8d8280eb72a298e7aba874
Description-en: PTY interface for Golang
 Pty is a Golang package for using unix pseudo-terminals.

Package: golang-github-crossdock-crossdock-go-dev
Description-md5: 56496012f982f8d025f2913608fe5465
Description-en: Go client for Crossdock
 Crossdock is a test runner for distributed systems.
 Crossdock is:
    Portable - runs anywhere Docker is installed, eg Travis & locally.
    General - can be used to test sets of libraries and microservices.
    Flexible - test all combinations of behaviors using custom matrix axis.
    Decentralized - each repo can configure and run Crossdock independently
                    from the others.
    Light - run Crossdock for every commit on every repo in parallel.
    Easy - run integration tests on a large project without installing
           every component.
 .
 This package contains a Go client for crossdock.

Package: golang-github-ctdk-chefcrypto-dev
Description-md5: 74add3394ec0e4d34833401f8b11485a
Description-en: Go cryptographic routines to interact with chef servers
 This library includes various cryptographic routines for communicating with
 chef servers for golang programs and libraries. Originally part of goiardi,
 it's been split out for packaging purposes.

Package: golang-github-ctdk-go-trie-dev
Description-md5: 470cdcbb07b95c03c2e688e82dae3223
Description-en: Trie implementation based on a minimal automaton for Go
 This library implements tries, also known as prefix trees, using
 minimal acyclic finite-state automata for the Go programming language.

Package: golang-github-ctdk-goiardi-dev
Description-md5: 9489f56faaaaeb832e24360faf80aad7
Description-en: Golang library to interact with a chef server
 Goiardi is an implementation of the Chef server written in Go. It can either
 run entirely in memory with the option to save and load the in-memory data
 and search indexes to and from disk, drawing inspiration from chef-zero, or
 it can use MariaDB/MySQL or PostgreSQL as its storage backend.
 .
 This package contains the goiardi golang libs that are useful to interact
 with a chef server.

Package: golang-github-cupcake-rdb-dev
Description-md5: f92161600df46eca345e15b157ee8978
Description-en: Redis RDB parser for Go
 This package provides functions that implement the parsing and encoding
 of the Redis RDB file format. It can be used to read and write persistent
 files saved by Redis.
 .
 Documentation: https://godoc.org/github.com/cupcake/rdb

Package: golang-github-cyberdelia-go-metrics-graphite-dev
Description-md5: aa320d557dbb70d9d38b02264f49d07c
Description-en: Graphite client for the go-metrics
 This is a reporter for the go-metrics
 (https://github.com/rcrowley/go-metrics) library which posts metrics to
 Graphite.

Package: golang-github-cyberdelia-heroku-go-dev
Description-md5: f485d6bd23d34def0c3acafb2499b54a
Description-en: Heroku Platform API Go Client
 This package contains an API client interface for Heroku Platform API
 for the Go (golang) programming language.
 .
 To be able to interact with this API, you have to create a
 new service: s := heroku.NewService(nil)
 .
 The Service struct has all the methods you need to interact with heroku API.

Package: golang-github-cyphar-filepath-securejoin-dev
Description-md5: a6d97ae25d14fa9554b86229ee65c075
Description-en: filepath.SecureJoin implementation
 An implementation of SecureJoin, a candidate for inclusion in the Go
 standard library (https://github.com/golang/go/issues/20126). The purpose
 of this function is to be a "secure" alternative to filepath.Join, and in
 particular it provides certain guarantees that are not provided by
 filepath.Join.

Package: golang-github-cznic-b-dev
Description-md5: 74b85c4139c6ed4c8bd08349dea59943
Description-en: B+tree implementation
 B+tree implementation in Golang.

Package: golang-github-cznic-bufs-dev
Description-md5: 46b6d68c3c2cf01deeae466163fc812d
Description-en: simple buffer cache
 Golang implementation of simple buffer cache.

Package: golang-github-cznic-fileutil-dev
Description-md5: a0342b2bd8cb7cd02dd6dbb80396cf93
Description-en: Golang file utility functions
 "Fileutil collects some file utility functions".

Package: golang-github-cznic-lldb-dev
Description-md5: 9bf57966af7f4cb7a4370ac4f04a8f69
Description-en: low level database engine
 lldb is Golang implementation of a low level database engine.

Package: golang-github-cznic-mathutil-dev
Description-md5: 20a8c3d17c16fca3877da8802cbfb1cc
Description-en: extensions to the Golang "rand" and "math" packages
 Utilities supplemental to the Go standard "rand" and "math" packages.

Package: golang-github-cznic-ql-dev
Description-md5: bdff9277d23c7a7f11b3b4dd9bad8bbf
Description-en: pure Go embedded SQL database
 Embedded (S)QL database inplemented in pure Golang.

Package: golang-github-cznic-sortutil-dev
Description-md5: cce890a07f09c60b909bf5c8fbab7764
Description-en: extensions to standard Go "sort" package
 Utilities supplemental to the Go standard "sort" package.

Package: golang-github-cznic-strutil-dev
Description-md5: fec84232a20392020394981e9054896f
Description-en: extensions to standard Go "strings" package
 Utilities supplemental to the Go standard "strings" package.

Package: golang-github-cznic-zappy-dev
Description-md5: ed1af52f8325a3543bf041da09cd9e34
Description-en: zappy block-based compression format
 Golang implementation of the zappy block-based compression format.
 It aims for a combination of good speed and reasonable compression.

Package: golang-github-d2g-dhcp4-dev
Description-md5: a0f7debe8ce5faf59d800591d5dd3608
Description-en: DHCP packet creation library for Go
 This library contains functions to generate DHCP request and
 response packets in the Go language.

Package: golang-github-d2g-dhcp4client-dev
Description-md5: 85bb4b288b85e51cbdd369f59925bcc3
Description-en: DHCP client written in Go
 A DHCP4 client implementation written in Go using AF_PACKET
 support.

Package: golang-github-d4l3k-messagediff-dev
Description-md5: b074914242a14930999dccf600bfc8e5
Description-en: library for doing diffs of arbitrary Golang structs
 messagediff is a library for doing diffs of arbitrary Golang structs. If the
 unsafe package is available messagediff will diff unexported fields in
 addition to exported fields. This is primarily used for testing purposes as
 it allows for providing informative error messages.

Package: golang-github-daaku-go.zipexe-dev
Description-md5: d114014a0f29e9f8d8e2b4f989270eb6
Description-en: zipexe attempts to open an executable binary file as a zip file
 The zipexe package attempts to open an executable binary file which can be
 a Mac OS X, Windows PE or Linux/BSD ELF binary.  The zip file signature
 may be embedded or appended to the binary.
 .
 This module was taken as-is from https://github.com/cookieo9/resources-go.

Package: golang-github-danverbraganza-varcaser-dev
Description-md5: 4028a64ce4ea83336ab872918b834e01
Description-en: Provide ability to transform between common variable casing conventions.
 Varcaser is a library for converting variables between different
 programming language casing conventions.
 .
 The case transformation component of Varcaser is implemented without
 regular expressions.
 .
 Available Case Conventions:
  - HTTP-Header-Case
  - HttpHeaderCase
  - kebab-case
  - KebabCase
  - LowerCamelCase
  - lowerCamelCase
  - LowerCamelCaseKeepCaps
  - lowerCamelCaseKeepCaps
  - LowerSnakeCase
  - lower_snake_case
  - SCREAMING-KEBAB-CASE
  - ScreamingKebabCase
  - ScreamingSnakeCase
  - SCREAMING_SNAKE_CASE
  - UpperCamelCase
  - UpperCamelCaseKeepCaps

Package: golang-github-danwakefield-fnmatch-dev
Description-md5: 5fd20b4e19bae512005f0380a63bf6b5
Description-en: Updated clone of kballard’s fnmatch(3) implementation for Go
 This Go package provides filename or pathname string-matching based
 as closely as possible on BSD fnmatch(3).
 .
 It is an updated clone of kballard’s Go fnmatch implementation in his
 GitHub gist at https://gist.github.com/kballard/272720
 which dates back to 2010.

Package: golang-github-data-dog-go-sqlmock-dev
Description-md5: f4f11baf0ce9c999bac71e839b560b9a
Description-en: Sql mock driver for golang to test database interactions
 This is a mock driver as database/sql/driver which is very flexible and
 pragmatic to manage and mock expected queries. All the expectations should be
 met and all queries and actions triggered should be mocked in order to pass a
 test. The package has no 3rd party dependencies.
 .
 This package contains the source.

Package: golang-github-datadog-datadog-go-dev
Description-md5: cd8a826cd6ccdd790e6bd7d10b7f91e6
Description-en: Go client library for datadog
 Package statsd provides a Go dogstatsd client. Dogstatsd extends
 the popular statsd, adding tags and histograms and pushing upstream
 to Datadog.

Package: golang-github-datadog-zstd-dev
Description-md5: 45e72999a8d4158e35e96a2647f8abeb
Description-en: Zstd wrapper for Go
 The Go wrapper exposes two main APIs
  * simple Compress/Decompress
  * streaming API (io.Reader/io.Writer)
 .
 The compress/decompress APIs mirror that of lz4, while the streaming API was
 designed to be a drop-in replacement for zlib.

Package: golang-github-dataence-porter2-dev
Description-md5: afc508fc8c5446bfa0790c41a43ee55a
Description-en: native Go high-performance Porter2 stemmer for English
 Porter2 implements the Porter2 stemmer for English.  It is written
 completely using finite state machines to do suffix comparison,
 rather than slower string-based or tree-based approaches.
 .
 This package also arranges to support usage under its historical
 upstream name, github.com/surgebase/porter2.

Package: golang-github-davecgh-go-spew-dev
Description-md5: 0502927956eef332ec3beaf0d9b16602
Description-en: Implements a deep pretty printer for Go data structures to aid in debugging
 Go-spew implements a deep pretty printer for Go data structures to aid in
 debugging. A comprehensive suite of tests with 100% test coverage is provided
 to ensure proper functionality.

Package: golang-github-daviddengcn-go-colortext-dev
Description-md5: a141a47cacc71c9bb97fc0fb28c39965
Description-en: change the color of console text in Go
 This package can be used to change the color of the text and background
 in the console in Go, working both under Windows and other systems.
 .
 Under Windows, the console APIs are used. Otherwise, ANSI texts are output.

Package: golang-github-dchest-blake2b-dev
Description-md5: 2d60c2c671d4723a0dc0467bdc73aa96
Description-en: Go implementation of BLAKE2 (b) cryptographic hash function
 Go implementation of BLAKE2b collision-resistant cryptographic hash function
 created by Jean-Philippe Aumasson, Samuel Neves, Zooko Wilcox-O'Hearn, and
 Christian Winnerlein (see https://blake2.net).

Package: golang-github-dchest-cssmin-dev
Description-md5: 24816828b99d23a9ff4634a224f71b0e
Description-en: Go package to minify CSS
 Go library for minifying CSS.  It is a direct port of Ryan Grove’s
 cssmin from Ruby found at https://github.com/rgrove/cssmin
 (Debian package ruby-cssmin).
 .
 Documentation at http://godoc.org/github.com/dchest/cssmin

Package: golang-github-dchest-safefile-dev
Description-md5: aad88349657ad4a90a23dfcd89c044b9
Description-en: Implements safe "atomic" saving of files for Go
 Go package safefile implements safe "atomic" saving of files.
 .
 Instead of truncating and overwriting the destination file, it creates
 a temporary file in the same directory, writes to it, and then renames
 the temporary file to the original name when calling Commit.

Package: golang-github-dchest-uniuri-dev
Description-md5: d25f9d62e65e528f98cf38f069a66f46
Description-en: generator of random strings to identify unique objects
 This Go package contains software to generate random strings good for
 use in URIs to identify unique objects.
 .
 A standard string created by New() is 16 bytes in length and consists
 of Latin upper and lowercase letters, and numbers (from the set of 62
 allowed characters), which means that it has ~95 bits of entropy. To get
 more entropy, you can use NewLen(UUIDLen), which returns 20-byte string,
 giving ~119 bits of entropy, or any other desired length.

Package: golang-github-dcso-bloom-cli
Description-md5: b930592b6ea819ce1a4f3ee33c6587c9
Description-en: highly efficient bloom filter implementation for Go, CLI tool
 Bloom is a simple library that provides a very efficient implementation of
 Bloom filters for the Go language. This package provides a command line tool
 that can be used to easily create Bloom filters with desired capacity and
 false positive probability. Values can be added to filters through standard
 input, which makes it easy to use the tool in a pipeline workflow.

Package: golang-github-dcso-bloom-dev
Description-md5: 4bf0e4dcf12cda195c41e9daa0091445
Description-en: highly efficient bloom filter implementation for Go
 Bloom is a simple library that provides a very efficient implementation of
 Bloom filters for the Go language. This package provides the Go library in the
 form of source code.

Package: golang-github-dcso-fluxline-dev
Description-md5: 07ec7f4f2fed5d8124561aec89c73634
Description-en: Golang library to prepare sets of metrics in InfluxDB's Line Protocol format
 The fluxline library provides an encoder for Golang to prepare sets of
 metrics in InfluxDB's Line Protocol format. As input, structs annotated with
 the 'influx' tag are used, similarly to how the encoding/json module works.

Package: golang-github-deanthompson-ginpprof-dev
Description-md5: 2f4287c91643ee90867a501f84070f16
Description-en: wrapper for golang web framework gin to use net/http/pprof
 This package contains a wrapper for golang web framework gin to
 use net/http/pprof easily.
 .
 Gin is a HTTP web framework written in Go (Golang). It features
 a Martini-like API with much better performance.

Package: golang-github-deckarep-golang-set-dev
Description-md5: 299742c9badbe3b033230444d53fa80a
Description-en: simple set type for the Go language
 The missing set collection for the Go language.
 .
 Coming from Python one of the things missing in Go is the superbly wonderful
 set collection. This is an attempt to mimic the primary features of the set
 from Python.
 .
 This package contains the source.

Package: golang-github-denisenkom-go-mssqldb-dev
Description-md5: 032db7e8c620cdc1363fb08e1504dda9
Description-en: Microsoft SQL server driver written in go language
 A pure Go MSSQL driver for Go's database/sql package.
 .
 Features:
   * Can be used with SQL Server 2005 or newer
   * Can be used with Microsoft Azure SQL Database
   * Supports new date/time types: date, time, datetime2, datetimeoffset
   * Supports string parameters longer than 8000 characters
   * Supports encryption using SSL/TLS
   * Supports SQL Server and Windows Authentication
   * Supports Single-Sign-On on Windows
   * Supports connections to AlwaysOn Availability Group listeners
   * Supports query notifications

Package: golang-github-denverdino-aliyungo-dev
Description-md5: 008a2d908500c20ccf85fe512399c5d0
Description-en: Go SDK for Aliyun (Alibaba Cloud)
 This is an unofficial Go SDK for Aliyun Services.
 .
 Following services are supported:
   * cdn: Content Delivery Network
   * cms: Cloud Monitor Service
   * cs: Container Service
   * dm: Direct Mail
   * dns: DNS
   * ecs: Elastic Compute Service
   * ess: Auto Scaling
   * mns: Message Service
   * mq: Message Queue
   * nas: Network Attached Storage
   * opensearch: OpenSearch
   * oss: Open Storage Service
   * push: Cloud Mobile Push
   * rds: Relational Database Service
   * ram: Resource Access Management
   * slb: Server Load Balancer
   * sls: Logging Service
   * sms: Short Message Service
   * sts: Security Token Service

Package: golang-github-dghubble-sling-dev
Description-md5: b28949071453ea485547d16858cdcaf5
Description-en: HTTP client library for creating and sending API requests
 Sling is a Go HTTP client library for creating and sending API requests.

Package: golang-github-dgraph-io-badger-dev
Description-md5: db7c08d2fa6821a13170dcb33b6f90da
Description-en: Fast key-value DB in Go - development files for embedding.
 BadgerDB is an embeddable, persistent and fast key-value (KV)
 database written in pure Go. It is the underlying database for Dgraph
 (https://dgraph.io), a fast, distributed graph database. It's meant
 to be a performant alternative to non-Go-based key-value stores like
 RocksDB.  Project Status [Jun 26, 2019] Badger is stable and is being
 used to serve data sets worth hundreds of terabytes. Badger supports
 concurrent ACID transactions with serializable snapshot isolation
 (SSI) guarantees. A Jepsen-style bank test runs nightly for 8h, with
 --race flag and ensures the maintenance of transactional guarantees.
 Badger has also been tested to work with filesystem level anomalies,
 to ensure persistence and consistency.
 .
 Badger v1.0 was released in Nov 2017, and the latest version that is
 data-compatible with v1.0 is v1.6.0.
 .
 Badger v2.0, use a new storage format which won't be compatible with all of
 the v1.x.

Package: golang-github-dgraph-io-ristretto-dev
Description-md5: d10310bda1d336beaff7e8c048a6ed5f
Description-en: high performance memory-bound Go cache
 Ristretto is a fast, concurrent cache library built with a focus on
 performance and correctness.
 .
 The motivation to build Ristretto comes from the need for a
 contention-free cache in Dgraph (https://github.com/dgraph-io/dgraph).
 .
 Features:
  * High Hit Ratios - with our unique admission/eviction policy
    pairing, Ristretto's performance is best in class.
  * Eviction: SampledLFU - on par with exact LRU and better performance
    on Search and Database traces.
  * Admission: TinyLFU - extra performance with little memory overhead
    (12 bits per counter).
  * Fast Throughput - we use a variety of techniques for managing
    contention and the result is excellent throughput.
  * Cost-Based Eviction - any large new item deemed valuable can evict
    multiple smaller items (cost could be anything).
  * Fully Concurrent - you can use as many goroutines as you want with
    little throughput degradation.
  * Metrics - optional performance metrics for throughput, hit ratios,
    and other stats.
  * Simple API - just figure out your ideal Config values and you're off
    and running.Status Ristretto is usable but still under active
    development. We expect it to be production ready in the near future.

Package: golang-github-dgrijalva-jwt-go-dev
Description-md5: afc94ebe83de462ca9647bd87c819248
Description-en: Golang implementation of JSON Web Tokens (JWT)
 JSON Web Tokens are compact, URL-safe means of representing
 claims to be transferred between two parties. This library
 is an implementation of JSON Web Tokens in the Go language.

Package: golang-github-dgrijalva-jwt-go-v3-dev
Description-md5: afc94ebe83de462ca9647bd87c819248
Description-en: Golang implementation of JSON Web Tokens (JWT)
 JSON Web Tokens are compact, URL-safe means of representing
 claims to be transferred between two parties. This library
 is an implementation of JSON Web Tokens in the Go language.

Package: golang-github-dgryski-go-bits-dev
Description-md5: eaa9d99d562a07e6315090b45e522042
Description-en: amd64 optimized bit operations
 Package bits provides amd64 optimized bit operations. For example,
 Clz() counts leading zeroes and Popcnt() counts the number of bits
 set.

Package: golang-github-dgryski-go-bitstream-dev
Description-md5: a91a64bd7d18825083e8a3a7c24cffab
Description-en: read and write bits from io.Reader and io.Writer
 Package bitstream is a simple wrapper around a io.Reader and
 io.Writer to provide bit-level access to the stream.

Package: golang-github-dgryski-go-farm-dev
Description-md5: cf0603c55ae6c65fb0858d73e6f93848
Description-en: pure-Go FarmHash implementation
 This is a (mechanical) translation to Go of the non-SSE4/non-AESNI hash
 functions from Google's FarmHash (https://github.com/google/farmhash).
 .
 FarmHash provides hash functions for strings and other data.
 The functions mix the input bits thoroughly but are not suitable for
 cryptography.

Package: golang-github-dhowett-go-plist-dev
Description-md5: 04eb1b57761555436d7d61b5d719dd7b
Description-en: pure Go Apple Property List transcoder
 Plist is a Apple Property List transcoder written in pure Go. It supports
 encoding/decoding property lists (Apple XML, Apple Binary, OpenStep and
 GNUStep) from/to arbitrary Go types.

Package: golang-github-digitalocean-godo-dev
Description-md5: aaa089c973b5832294de3eed56c6684c
Description-en: DigitalOcean API V2 client library for Google Go
 Godo is official API client library from the cloud provider DigitalOcean
 for the Go language. The functionality of the web based control panel of
 DigitalOcean is also available through the API. It could be used to manage
 droplets (private virtual servers) and resources within the cloud in a simple
 way using HTTP requests. For authentication, personal access tokens (PAT)
 are used.

Package: golang-github-dimchansky-utfbom-dev
Description-md5: 941373fd92ba79399bce357d46c58d39
Description-en: Detection of the BOM and removing as necessary
 The package utfbom implements the detection of the BOM (Unicode Byte
 Order Mark) and removing as necessary. It can also return the encoding
 detected by the BOM.

Package: golang-github-disintegration-gift-dev
Description-md5: 940944b988f40db0cd8c6615240d6fce
Description-en: Go Image Filtering Toolkit
 Package gift provides a set of useful image processing filters.

Package: golang-github-disintegration-imaging-dev
Description-md5: 7c30fb947271204ee2bdc5eb09ae983e
Description-en: Simple Go image processing package
 Package imaging provides basic image manipulation functions (resize,
 rotate, flip, crop, etc.).  This package is based on the standard Go
 image package and works best along with it.
 .
 Image manipulation functions provided by the package take any
 image type that implements image.Image interface as an input,
 and return a new image of *image.NRGBA type (32bit RGBA colors, not
 premultiplied by alpha).

Package: golang-github-disposaboy-jsonconfigreader-dev
Description-md5: 25c14aa3c62e02a4aec30307165bc0b0
Description-en: proxy for golang's io.Reader allowing you to use json as a config format
 JsonConfigReader is a proxy for golang's io.Reader that strips line
 comments and trailing commas, allowing you to use json as a reasonable
 config format. Comments start with // and continue to the end of the
 line. Multiline comments are also supported with /* and */. If a
 trailing comma is in front of ] or } it will be stripped as well.

Package: golang-github-djherbis-times-dev
Description-md5: eadfb102996338efbd2d694713068ec9
Description-en: file times (atime, mtime, ctime, btime)
 Go has a hidden time functions for most platforms, this repo makes
 them accessible.

Package: golang-github-dlclark-regexp2-dev
Description-md5: da2777439ec6e4f24915d47e3eba9731
Description-en: Regex engine for Go based on the .NET engine
 Feature-rich regular expression engine for Go ported from the .NET
 framework's System.Text.RegularExpressions.Regex engine. It does not
 have constant time guarantees like the built-in regexp package, but it
 allows backtracking and is compatible with Perl5 and .NET.

Package: golang-github-dnaeon-go-vcr-dev
Description-md5: 184a1e250f0ed4840e04c84b1b2ac4b0
Description-en: Record and replay your HTTP interactions for tests
 go-vcr simplifies testing by recording your HTTP interactions and
 replaying them in future runs in order to provide fast, deterministic
 and accurate testing of your code.

Package: golang-github-dnephin-cobra-dev
Description-md5: 5a83ba11ec2d9a98241e7ddf434991fc
Description-en: Go library for creating powerful modern Go CLI applications
 Cobra is a Go library providing a simple interface to create powerful
 modern CLI interfaces similar to git & go tools.
 .
 Cobra has an exceptionally clean interface and simple design without
 needless constructors or initialization methods.
 .
 This package is a fork of the golang-github-spf13-cobra package and as such
 both packages cannot be installed at the same time.

Package: golang-github-dnstap-golang-dnstap-cli
Description-md5: ec96270079f9a5de333e00935470897b
Description-en: dnstap command line tool
 dnstap implements an encoding format for DNS server events. It uses a
 lightweight framing on top of event payloads encoded using Protocol Buffers and
 is transport neutral.
 dnstap can represent internal state inside a DNS server that is difficult to
 obtain using techniques based on traditional packet capture or unstructured
 textual format logging.
 .
 This package contains the "dnstap" command line tool.

Package: golang-github-dnstap-golang-dnstap-dev
Description-md5: 3980038416a1d71591ee7827acb0faf8
Description-en: flexible, structured event replication format for DNS servers
 dnstap implements an encoding format for DNS server events. It uses a
 lightweight framing on top of event payloads encoded using Protocol Buffers and
 is transport neutral.
 dnstap can represent internal state inside a DNS server that is difficult to
 obtain using techniques based on traditional packet capture or unstructured
 textual format logging.
 .
 This package contains the Go library code.

Package: golang-github-docker-distribution-dev
Description-md5: 67866d98c933b00cec3bb20a22e40b40
Description-en: Docker toolset to pack, ship, store, and deliver content (source)
 The Docker toolset to pack, ship, store, and deliver content.
 .
 The Docker Registry 2.0 implementation contained in this package is for storing
 and distributing Docker images. It supersedes the docker/docker-registry
 project with a new API design, focused around security and performance.
 .
 This project should provide an implementation to a V2 API for use in the Docker
 core project. The API should be embeddable and simplify the process of securely
 pulling and pushing content from docker daemons.
 .
 The new registry implementation provides the following benefits:
 .
  * faster push and pull
  * new, more efficient implementation
  * simplified deployment
  * pluggable storage backend
  * webhook notifications
 .
 This package contains the source.

Package: golang-github-docker-docker-credential-helpers-dev
Description-md5: 4cbcd8f1a2bfffc05fd1f36cc79da761
Description-en: native stores to safeguard Docker credentials - library
 The docker-credential-helpers package is a suite of programs to use
 the built-in OS native stores to keep Docker credentials safe.
 .
 This package contains the development files.

Package: golang-github-docker-docker-dev
Description-md5: 6265ea5dccb7d86a2aa69e4e5d1c8598
Description-en: Externally reusable Go packages included with Docker
 These packages are intentionally developed by upstream in such a way that they
 are reusable to projects outside Docker and only rely on each other or other
 external dependencies to be built.

Package: golang-github-docker-engine-api-dev
Description-md5: 011bf8fb154e55d6821a7a6862e193f0
Description-en: client and server components compatible with the Docker engine
 Engine-api is a set of Go libraries to implement client and server
 components compatible with the Docker engine.
 The client package implements a fully featured http client to interact
 with the Docker engine. It's modeled after the requirements of the Docker
 engine CLI, but it can also serve other purposes.
 .
 Please do not use this library anymore. The Docker API client and types
 have been moved to the main docker repo under the following import paths:
 .
  https://github.com/docker/docker/client
  https://github.com/docker/docker/api/types

Package: golang-github-docker-go-connections-dev
Description-md5: 2137d04584b2dd51423a2cd4d400ac3c
Description-en: Golang utility package to work with network connections
 go-connections provides common package to work with network connections.

Package: golang-github-docker-go-dev
Description-md5: 6c4e30fc055238fd3ca2e0bfd076187b
Description-en: Go packages with small patches autogenerated (used for canonical/json)
 This is a repository used for building go packages based off upstream
 with small patches.
 .
 It is only used so far for a canonical json pkg.

Package: golang-github-docker-go-events-dev
Description-md5: 2779a6b9d3b972f8cb17c87e1df2da42
Description-en: Composable event distribution for Go
 The go-events package implements a composable event distribution
 package for Go. It was originally created to implement the
 notifications in Docker Registry 2, but is now used in other
 components of the Docker ecosystem.

Package: golang-github-docker-go-metrics-dev
Description-md5: 25422bb41a1a7f32c408f16bfff9df2b
Description-en: Package for metrics collection in Docker projects
 This package is small wrapper around the Prometheus Go client to help
 enforce convention and best practices for metrics collection in Docker
 projects.

Package: golang-github-docker-go-units-dev
Description-md5: a488dcabfbea919c5e5ac738ee5108d5
Description-en: parse and print size and time units in human-readable format
 go-units is a library to transform human friendly measurements into
 machine friendly values.

Package: golang-github-docker-goamz-dev
Description-md5: 4976a265c4628773d1d8ecf3fef4bda1
Description-en: Enable Go programs to interact with Amazon Web Services
 The goamz package enables Go programs to interact with the Amazon Web
 Services including AWS, EC2, S3, ELB, SQS and SNS.
 .
 It was developed within Canonical as part of an experiment related to
 using the Go language with the juju project, but is now a set of
 generally adopted and maintained Go packages that talk to several
 Amazon Web Services.
 .
 This package is a fork of the GOAMZ version developed within
 Canonical with additional functionality and services, including
 DynamoDB.

Package: golang-github-docker-leadership-dev
Description-md5: 38e8c2ca46bc78c5257b4916272b5dc6
Description-en: distributed leader election using docker/libkv
 Distributed leader election for clustered environments.
 Leadership is a library for a cluster leader election on top of a
 distributed Key/Value store.

Package: golang-github-docker-libkv-dev
Description-md5: 33aaff3641d9a947b65a092436dc9250
Description-en: Key/Value store abstraction library
 libkv provides a Go native library to store metadata.
 .
 The goal of libkv is to abstract common store operations for multiple
 Key/Value backends and offer the same experience no matter which backend
 is used.
 .
 For example, libkv can be used it to store metadata or for service
 discovery to register machines and endpoints inside your cluster.
 .
 Also libkv can be used to implement a generic Leader Election.
 .
 As of now, libkv offers support for Consul (disabled), Etcd and Zookeeper.

Package: golang-github-docker-libtrust-dev
Description-md5: 15f5f0ab77fd98472af71999fc062d4b
Description-en: Primitives for identity and authorization
 Libtrust is library for managing authentication and authorization using public
 key cryptography.
 .
 Authentication is handled using the identity attached to the public key.
 Libtrust provides multiple methods to prove possession of the private key
 associated with an identity.
 .
  - TLS x509 certificates
  - Signature verification
  - Key Challenge
 .
 Authorization and access control is managed through a distributed trust graph.
 Trust servers are used as the authorities of the trust graph and allow caching
 portions of the graph for faster access.

Package: golang-github-docker-notary-dev
Description-md5: 5927c499495f3d406181597bdd541bb4
Description-en: library for running and interacting with trusted collections
 Notary is a project that allows anyone to have trust over arbitrary
 collections of data.
 .
 The Notary project comprises a server (cmd/notary-server) and a client
 (cmd/notary) for running and interacting with trusted collections.
 .
 Notary aims to make the internet more secure by making it easy for people to
 publish and verify content. We often rely on TLS to secure our communications
 with a web server which is inherently flawed, as any compromise of the server
 enables malicious content to be substituted for the legitimate content.
 .
 With Notary, publishers can sign their content offline using keys kept highly
 secure. Once the publisher is ready to make the content available, they can
 push their signed trusted collection to a Notary Server.
 .
 Consumers, having acquired the publisher's public key through a secure
 channel, can then communicate with any notary server or (insecure) mirror,
 relying only on the publisher's key to determine the validity and integrity
 of the received content.
 .
 This package contains the source.

Package: golang-github-docker-spdystream-dev
Description-md5: 86f02976fbb085a625fc70401c1d34d0
Description-en: multiplexed stream library using spdy
 SpdyStream is a multiplexed stream library using spdy.

Package: golang-github-docopt-docopt-go-dev
Description-md5: 29cb4841a6e0641eaf61a9e9cac28010
Description-en: Implementation of docopt in the Go programming language
 Docopt helps you define an interface for your command-line app and
 automatically generate a parser for it. Its interface descriptions are
 based on a formalization of the standard conventions used in help
 messages and man pages.
 .
 This package provides an implementation of docopt in the Go programming
 language.

Package: golang-github-dop251-goja-dev
Description-md5: 3a0fbb11f6facbd1339ac27fc7e8feac
Description-en: ECMAScript 5.1(+) implementation written in Go
 Full ECMAScript 5.1(+) implementation (including regex and strict
 mode) in Go.
 .
 It is not a replacement for V8 or SpiderMonkey or any other
 general-purpose JavaScript engine as it is much slower.  It can be used
 as an embedded scripting language where the cost of making a lot of cgo
 calls may outweigh the benefits of a faster JavaScript engine or as a
 way to avoid having non-Go dependencies.

Package: golang-github-dreamitgetit-statuscake-dev
Description-md5: e81f0831f45f648faf46f28f7172c151
Description-en: Go client for statuscake.com
 This package contains the Go implementation for a client for the
 Statuscake API.
 .
 Statuscake is a tool for website uptime and performance monitoring.

Package: golang-github-dropbox-dropbox-sdk-go-unofficial-dev
Description-md5: e3d908b4369e4f8505f68784945e3ee5
Description-en: Unofficial Go SDK for integrating with the Dropbox API v2
 WARNING: This SDK is **NOT yet official**. What does this mean?
  * There is no formal Dropbox support for this SDK at this point
  * Bugs may or may not get fixed
  * Not all SDK features may be implemented and implemented features
    may be buggy or incorrect
 .
 However:
  * The SDK, while unofficial, _is_ usable.
  * Dropbox would like to get feedback from the community and evaluate
    the level of interest/enthusiasm before investing into official
    supporting one more SDK

Package: golang-github-dsnet-golib-dev
Description-md5: 7f2c060cc4af315dbd936c9806d4c54d
Description-en: Collection of mostly unrelated helper Go packages
 This repository stores a collection of mostly unrelated helper libraries.
 Functionality that the author (Joe Tsai) found common among his various
 projects are pulled out and placed here.  Packages include:
 .
  * bufpipe: implements a buffered pipe
  * cron: parses and runs cron schedules
  * hashmerge: merges hash checksums
  * jsonfmt: implements a JSON formatter
  * memfile: implements an in-memory emulation of os.File
  * unitconv: implements string conversion functionality for unit prefixes

Package: golang-github-dustin-go-humanize-dev
Description-md5: d1642b86bce778c7b0d0747a6a9ccfdf
Description-en: format units to human friendly sizes
 go-humanize provides few functions for helping humanize times and sizes.

Package: golang-github-dustinkirkland-golang-petname-dev
Description-md5: c1c5b0107363b968942c75383ffccce2
Description-en: golang library for generating pronouncable, memorable, pet names
 This is a metapackage for golang-petname-dev, which adheres to the
 naming convention.

Package: golang-github-dvsekhvalnov-jose2go-dev
Description-md5: 931938ab2ce8dd7865d18a0febf979f9
Description-en: Go implementation of Javascript Object Signing and Encryption
 Pure Golang (GO) library for generating, decoding and encrypting JSON Web
 Tokens. Zero dependency, relies only on standard library.
 .
 Supports full suite of signing, encryption and compression algorithms defined
 by JSON Web Algorithms as of July 4, 2014 version.
 .
 Extensively unit tested and cross tested (100+ tests) for compatibility with
 jose.4.j, Nimbus-JOSE-JWT, json-jwt and jose-jwt libraries.
 .
 This package contains the source.

Package: golang-github-dylanmei-iso8601-dev
Description-md5: 3afb310846e7dc41d0b8b71c3923a343
Description-en: pure Go ISO8601 parser and formatter
 package iso8601 is a implements an ISO8601 parser and formatter.
 - Time is not yet implemented
 - Duration is mostly implemented

Package: golang-github-dylanmei-winrmtest-dev
Description-md5: 6c9155b3bbf4370303893d1e519bce39
Description-en: testing addon for golang-github-masterzen-winrm
 This is an in-progress testing addon for the winrm library
 (remote command execution on Windows machines) for Google Go,
 in the package golang-github-masterzen-winrm-dev.

Package: golang-github-eapache-go-xerial-snappy-dev
Description-md5: fb7d9a2b92570aa82abede7f7a01f6f7
Description-en: Xerial-compatible Snappy framing support for Go
 The package wraps Go's built-in Snappy package (github.com/golang/snappy)
 adding support for a Xerial compatible framing format.
 .
 The package was extracted from Shopify's sarama Kafka client.

Package: golang-github-eclipse-paho.mqtt.golang-dev
Description-md5: 0b422258da06cfefab5d3d0e435cbcec
Description-en: Go package implementing MQTT client library by Eclipse Paho
 The Paho MQTT package provides a library which enable applications to connect
 to broker to publish messages, and to subscribe to topics and receive published
 messages.

Package: golang-github-edsrzf-mmap-go-dev
Description-md5: 3f1e0888ecc6bd5c200a9090943650d8
Description-en: portable mmap package for Go
 Mmap-go is a portable mmap package for the Go programming language
 (http://golang.org). It has been tested on Linux (386, amd64), OS X,
 and Windows (386). It should also work on other Unix-like platforms, but
 hasn't been tested with them.

Package: golang-github-eknkc-amber-dev
Description-md5: 6644e5b22fe5f5c87646bf2a64233dda
Description-en: Elegant HTML templating engine for Go, inspired from HAML and Jade (Go library)
 Amber is an elegant HTML templating engine for the Go Programming Language.
 It is inspired from HAML and Jade.
 .
 This package provides the "github.com/eknkc/amber" Go library.

Package: golang-github-elazarl-go-bindata-assetfs-dev
Description-md5: 551ecbc788e111922b1ba44a278492d5
Description-en: Go library to serve embedded binary files with net/http
 Serve embedded binary files that have been encoded using the
 jteeuwen/go-bindata library with net/http. This allows data files
 to be served using HTTP but those files are represented as
 Go source code.

Package: golang-github-elazarl-goproxy-dev
Description-md5: e7a10e7c72531ce74e9ca60088abf150
Description-en: HTTP proxy library for Go
 Package goproxy provides a customizable HTTP proxy library for Go
 (golang),
 .
 It supports regular HTTP proxy, HTTPS through CONNECT, and "hijacking"
 HTTPS connection using "Man in the Middle" style attack.
 .
 The intent of the proxy, is to be usable with reasonable amount of
 traffic yet, customizable and programable.

Package: golang-github-elithrar-simple-scrypt-dev
Description-md5: 6435358aa4e16cda344d2e8a738918a5
Description-en: various purpose password hashes library using the scrypt KDF
 simple-scrypt provides a convenience wrapper around Go's existing scrypt
 package that makes it easier to securely derive strong keys ("hash user
 passwords"). This library allows you to:
    - Generate a scrypt derived key with a crytographically secure salt and
 sane default parameters for N, r and p.
    - Upgrade the parameters used to generate keys as hardware improves by
 storing them with the derived key (the scrypt spec. doesn't allow for this
 by default).
    - Provide your own parameters (if you wish to).  The API closely mirrors
 Go's bcrypt (https://golang.org/x/crypto/bcrypt) library in an effort to
 make it easy to migrate—and because it's an easy to grok API.

Package: golang-github-emicklei-go-restful-dev
Description-md5: a40adecf7f615de582fcd7862d10247a
Description-en: build REST-style Web Services using Google Go
 Go-restful is a package for building REST-style Web Services using Google
 Go. REST asks developers to use HTTP methods explicitly and in a way
 that's consistent with the protocol definition. This basic REST design
 principle establishes a one-to-one mapping between create, read, update,
 and delete (CRUD) operations and HTTP methods.

Package: golang-github-emicklei-go-restful-swagger12-dev
Description-md5: fbb59bea640fa7cae67b5438e40bae4a
Description-en: Swagger 1.2 extension to the go-restful package
 Go-restful is a package for building REST-style Web Services using Google
 Go. REST asks developers to use HTTP methods explicitly and in a way
 that's consistent with the protocol definition. This basic REST design
 principle establishes a one-to-one mapping between create, read, update,
 and delete (CRUD) operations and HTTP methods.
 .
 This package provides Swagger UI 1.2 support for go-restful.

Package: golang-github-emirpasic-gods-dev
Description-md5: 0d6ee946177a78d9e10d252926b6f46e
Description-en: Implementation of various data structures and algorithms in Go
 GoDS (Go Data Structures):
  - Containers (Sets, Lists, Stacks, Maps, Trees),
  - Sets (HashSet, TreeSet, LinkedHashSet),
  - Lists (ArrayList, SinglyLinkedList, DoublyLinkedList),
  - Stacks (LinkedListStack, ArrayStack),
  - Maps (HashMap, TreeMap, HashBidiMap, TreeBidiMap, LinkedHashMap),
  - Trees (RedBlackTree, AVLTree, BTree, BinaryHeap),
  - Comparators,
  - Iterators,
  - …

Package: golang-github-ensighten-udnssdk-dev
Description-md5: 9d13a0bf4e1881ce91691b3949621c51
Description-en: ultradns SDK for golang
 This package contains a golang SDK for the UltraDNS REST API.
 It's not feature complete, and currently is only known to be
 used for Terraform's ultradns provider.

Package: golang-github-erikdubbelboer-gspt-dev
Description-md5: 0a169d31cc987fef61663ddabde6926f
Description-en: setproctitle for Go
 This package provides a function for setting the title of a process.

Package: golang-github-erikstmartin-go-testdb-dev
Description-md5: a96189e24f7563bcc0ae151512f50fc2
Description-en: Framework for stubbing responses from go's driver.Driver interface
 go-testdb Framework for stubbing responses from go's driver.Driver
 interface.
 .
 This can be used to sit in place of your sql.Db so that you can stub
 responses for sql calls, and remove database dependencies for your
 test suite.
 .
 This project is in its infancy, but has worked well for all the use
 cases i've had so far, and continues to evolve as new scenarios are
 uncovered. Please feel free to send pull-requests, or submit feature
 requests if you have scenarios that are not accounted for yet.  Setup The
 only thing needed for setup is to include the go-testdb package, then
 you can create your db connection specifying "testdb" as your driver.

Package: golang-github-evanphx-json-patch-dev
Description-md5: d3f79bf867d53e92f2e313334494f4f5
Description-en: Go library to apply RFC6902 patches and create and apply RFC7386 patches
 JSON-Patch is a library which provides functionality for both
 applying RFC6902 JSON patches (http://tools.ietf.org/html/rfc6902)
 against documents, as well as for calculating & applying RFC7396
 JSON merge patches (https://tools.ietf.org/html/rfc7396).

Package: golang-github-evilsocket-ftrace-dev
Description-md5: cadb039214b663623941874be34f3d05
Description-en: trace Linux syscalls using the FTRACE kernel framework
 This package utilizes the FTRACE kernel framework in order to trace system
 calls and kernel events from user space in Go programs.
 .
 Ftrace is an internal tracer designed to help out developers and designers of
 systems to find what is going on inside the kernel. It can be used for
 debugging or analyzing latencies and performance issues that take place
 outside of user-space.
 .
 Although ftrace is typically considered the function tracer it is really a
 framework of several assorted tracing utilities; there's latency tracing to
 examine what occurs between interrupts disabled and enabled as well as for
 preemption and from a time a task is woken to the task is actually scheduled
 in.

Package: golang-github-exponent-io-jsonpath-dev
Description-md5: 85f480c77a7e96a5fcccae15dab2dd3b
Description-en: Extension for Go's json.Decoder enabling navigation of a stream of tokens
 This package extends the json.Decoder to support navigating a stream
 of JSON tokens. You should be able to use this extended Decoder places
 where a json.Decoder would have been used.

Package: golang-github-facebookgo-atomicfile-dev
Description-md5: 8abdd69e101e1c4f4682d3b35837bbf6
Description-en: Provides an atomically written/replaced file
 Package atomicfile provides the ability to write a file with an eventual
 rename on Close (using os.Rename). This allows for a file to always be
 in a consistent state and never represent an in-progress write.
 .
 NOTE: `os.Rename` may not be atomic on your operating system.

Package: golang-github-facebookgo-clock-dev
Description-md5: 133c263b647363cb2042a1e301a58046
Description-en: Clock is a small Go library for mocking time
 Clock is a small library for mocking time in Go. It provides an interface
 around the standard library's time package so that the application can use
 the realtime clock while tests can use the mock clock.

Package: golang-github-facebookgo-ensure-dev
Description-md5: 7e497b04567ee71cce0f7b8acf0589ce
Description-en: Provides utilities for testing to ensure conditions are met
 The ensure library provides utilities for testing to ensure conditions
 are met and generates Fatal if they are not.

Package: golang-github-facebookgo-freeport-dev
Description-md5: f26e8f5a33900abc957b19b0c2493bf7
Description-en: Go library to find a free TCP port for binding
 Freeport provides a golang library for binding to a free TCP port.
 .
 Note: This library uses "tcp,127.0.0.1:0".

Package: golang-github-facebookgo-grace-dev
Description-md5: ea4423c7b82427b53a4cb5dbcfd6c436
Description-en: Graceful restart & zero downtime deploy for Go servers
 This Go library provides a mechanism that makes it easy to build socket
 based servers that can be gracefully terminated and restarted--without
 dropping any connections.
 .
 It provides a convenient API for HTTP servers including support for
 TLS, and listening on multiple ports. It is implemented using the
 same API as systemd providing socket activation compatibility to also
 provide lazy activation of the server.

Package: golang-github-facebookgo-httpdown-dev
Description-md5: d433e6636538e84cb4596c134638665c
Description-en: Gracefully shut down daemon without terminating connections
 This Go library provides a mechanism that makes it easy to build socket
 based servers that can be shut down without terminating active connections.
 .
 This library has been extended by the golang-github-facebookgo-grace-dev
 library package to also include graceful restarts.

Package: golang-github-facebookgo-inject-dev
Description-md5: e63c5f8d0d87abbef4d0b278aaa776b6
Description-en: reflect based injector
 A large application built with dependency injection in mind will typically
 involve the boring work of setting up the object graph.
 This library attempts to take care of this boring work by creating and
 connecting the various objects. Its use involves you seeding the object
 graph with some (possibly incomplete) objects, where the underlying types
 have been tagged for injection. Given this, the library will populate the
 objects creating new ones as necessary. It uses singletons by default,
 supports optional private instances as well as named instances.

Package: golang-github-facebookgo-pidfile-dev
Description-md5: 675b50df3371244768b94f41400b9f12
Description-en: Package pidfile manages pid files.
 pidfile Build Status (http://travis-ci.org/facebookgo/pidfile) Package
 pidfile manages pid files: http://godoc.org/github.com/facebookgo/pidfile

Package: golang-github-facebookgo-stack-dev
Description-md5: 306290d648a5247d1b07c89635884188
Description-en: Stack provides utilities to capture and handle stack traces
 Stack is a Go library that provides utilities to capture and handle stack
 traces. This is useful for tracing where an error originated from or
 tracking where a certain log event occurred.

Package: golang-github-facebookgo-stats-dev
Description-md5: 3fbf64db8e3934cb03dce9724f903850
Description-en: defines a lightweight interface for collecting statistics
 This Go library defines a lightweight interface for collecting statistics.
 It provides methods for holding aggregate data such as running sums,
 averages, and histograms.

Package: golang-github-facebookgo-structtag-dev
Description-md5: b43f51d3471aad47178c06193b702978
Description-en: provides parsing of the defacto struct tag style
 This software is taken from the Go standard library but modified to
 return a boolean indicating if a struct tag was found or not to allow
 differentiating an empty struct tag from a non existing struct tag.

Package: golang-github-facebookgo-subset-dev
Description-md5: fc8d031810de4549b617a40854cfcf80
Description-en: Check if a value is a subset of another
 Subset is a Go library that implements logic to check if a value is
 a subset of another using reflect.

Package: golang-github-farsightsec-go-nmsg-dev
Description-md5: 94687a9ae981e89ef17811151f953245
Description-en: Go implementation of the nmsg network message encapsulation library
 go-nmsg is a pure go implementation of the NMSG container and payload format
 used by the C nmsg toolkit and library.
 It can pack and unpack the protobuf structure of an NMSG payload, and the
 protobuf structure of the data contained in the payload. It does not implement
 the full functionality of the C libnmsg message modules, such as:
 .
  - Advanced field types (e.g., a protobuf []byte as an IP address)
  - Generated fields
  - Formatting of fields for presentation and JSON output

Package: golang-github-farsightsec-golang-framestream-dev
Description-md5: b17922e3ce75bd6c7bbbed05fc46e9d7
Description-en: Frame Streams implementation in Go
 Frame Streams is a lightweight, binary-clean protocol that allows for
 the transport of arbitrarily encoded data payload sequences with minimal
 framing overhead.
 .
 This package provides a pure Golang implementation.

Package: golang-github-fatih-color-dev
Description-md5: e8f12e7c5bb1760501f39d03cdb0a632
Description-en: console colors for Go
 This package provides colorized output in console programs using
 ANSI escape sequences.

Package: golang-github-fatih-structs-dev
Description-md5: 1000d2e4255c670873caaec3e7478213
Description-en: Utilities for Go structs
 Structs contains various utilities
 to work with Go (Golang) structs. It was initially used to convert
 a struct into a map[string]interface{}. With time we've added other
 utilities for structs.  It's basically a high level package based on
 primitives from the reflect package.

Package: golang-github-fernet-fernet-go-dev
Description-md5: 6599084fcb59ec4820b7adbfc7557aa4
Description-en: Go library for generates/verifies HMAC-based authentication tokens
 This library takes a user-provided *message* (an arbitrary sequence of
 bytes), a *key* (256 bits), and the current time, and produces a
 *token*, which contains the message in a form that can't be read
 or altered without the key.
 .
 This package is compatible with the other implementations at
 https://github.com/fernet. They can exchange tokens freely among
 each other.

Package: golang-github-fhs-go-netrc-dev
Description-md5: d7f97c44fa0974cd1720e6f8e770398c
Description-en: netrc file parser for Go programming language
 A netrc file usually resides in $HOME/.netrc and is traditionally used
 by the ftp(1) program to look up login information (username, password,
 etc.) of remote system(s). The file format is (loosely) described in
 this man page: http://linux.die.net/man/5/netrc .
 .
 Other programs have now adopted this file format for storing credentials.
 .
 This is a Go library for parsing netrc(5) files.

Package: golang-github-fhs-gompd-dev
Description-md5: 1ba3889e460b717ded607482ccd7a254
Description-en: MPD client library
 This is a Go package for accessing Music Player Daemon (MPD)

Package: golang-github-fluent-fluent-logger-golang-dev
Description-md5: 0806c9696a814790fe7344ca61efb520
Description-en: Go structured logger for Fluentd
 This Go library allows for logging directly to a running instance of the
 "fluentd" data collector/logging tool.
 .
 This package contains the source.

Package: golang-github-flynn-archive-go-shlex-dev
Description-md5: a125a49ec9cd76a3d1ff4d0279e7e3d1
Description-en: Fork of go-shlex from Google Code
 go-shlex is a simple lexer for go that supports shell-style quoting,
 commenting, and escaping. It is forked from Google Code and used for
 Caddy server as dependency.

Package: golang-github-flynn-json5-dev
Description-md5: 64633383ec2a34b7e0527e8e392012f4
Description-en: Go JSON5 decoder package based on encoding/json
 This is a Go package that implements decoding of JSON5 values.
 It provides various functions like Unmarshal, NewDecoder, Buffered,
 Decode, UseNumber, Error, Float64, Int64, String, UnmarshalJSON.
 The description of the various functions it supports is as following
 .
 Unmarshal - Itparses the JSON-encoded data and stores the result in the value
 pointed to by v.
 Decoder - Itreads and decodes JSON values from an input stream.
 NewDecoder - Itreturns a new decoder that reads from r.
 Buffered - It returns a reader of the data remaining in the Decoder's
 buffer. The reader is valid until the next call to Decode.
 Decode - Itreads the next JSON-encoded value from its input and stores it in
 the value pointed to by v.
 UseNumber - Itcauses the Decoder to unmarshal a number into an interface{}
 as a Number instead of as a float64.
 Float64 - Itreturns the number as a float64.
 Int64 - Itreturns the number as an int64.
 String - Itreturns the literal text of the number.
 UnmarshalJSON - It sets *m to a copy of data.

Package: golang-github-fortytw2-leaktest-dev
Description-md5: 10dfe0dce9716f2137a85f53a5797fc0
Description-en: Goroutine Leak Detector
 Refactored, tested variant of the goroutine leak detector found in both
 net/http tests and the cockroachdb source tree.
 .
 Takes a snapshot of running goroutines at the start of a test, and at the
 end -- compares the two and voila. Ignores runtime/sys goroutines.

Package: golang-github-franela-goblin-dev
Description-md5: 089ebdab64dbf9b6d124212280934b8f
Description-en: minimal and beautiful Go testing framework
 Mocha (http://visionmedia.github.io/mocha/) like BDD testing framework for
 Golang.

Package: golang-github-franela-goreq-dev
Description-md5: 65208c7fcfdfaf07eb04bf2d9cac0c48
Description-en: minimal and simple request library for Go language
 GoReq Simple and sane HTTP request library for Go language.

Package: golang-github-frankban-quicktest-dev
Description-md5: 192c710bc3071553e28d4a0c85119941
Description-en: quick helpers for testing Go applications
 A collection of Go helpers for writing tests.
 .
 The library provides some base checkers like Equals, DeepEquals, Matches,
 ErrorMatches, IsNil and others. More can be added by implementing the
 Checker interface.

Package: golang-github-fsnotify-fsnotify-dev
Description-md5: b45529ad47855c3acaac15c593248211
Description-en: File system notifications for Go
 Package fsnotify provides a platform-independent interface for file system
 notifications, for use with the Go programming language.
 .
 This package contains the fsnotify library source.

Package: golang-github-fsouza-go-dockerclient-dev
Description-md5: f6a5f82c0050749bd444f0faaffaff2b
Description-en: Docker client library in Go
 Client for the Docker remote API with support for the extensions in the
 Swarm API.
 .
 This package also provides support for docker's network API, which is a
 simple passthrough to the libnetwork remote API.  Note that docker's
 network API is only available in docker 1.8 and above, and only enabled in
 docker if DOCKER_EXPERIMENTAL is defined during the docker build process.

Package: golang-github-fzambia-sentinel-dev
Description-md5: f3286d48c2c680716be51f3fcd1c2cf8
Description-en: Redis Sentinel support for redigo library
 golang-github-fzambia-sentinel supports redigo library which is a
 Go client for the Redis database. Also it is a dependency for
 gitlab-workhorse (which is a reversy-proxy for gitlab).

Package: golang-github-garyburd-redigo-dev
Description-md5: 79f4f83da7034149dd2ee03e945eebce
Description-en: Go client for Redis
 Redigo is a Go client for the Redis database.

Package: golang-github-gcla-deep-dev
Description-md5: d30cc9d24e6e965cd2d26f239805c871
Description-en: Golang deep variable equality test that returns human-readable differences
 Deep Variable Equality for Humans.
 .
 This package provides a single function: deep.Equal. It's like
 reflect.DeepEqual (http://golang.org/pkg/reflect/#DeepEqual) but
 much friendlier to humans (or any sentient being) for two reason:
  • deep.Equal returns a list of differences
  • deep.Equal does not compare unexported fields (by default)
 .
 This is a fork of go-test/deep package with an additional feature.
 .
 Message from author about changes made in fork:
 Some packages may require Equal()'s parameters to be set in a
 particular way that is incompatible with other users within
 the same program. The global configuration parameters can be
 changed and restored, but this could lead to bugs due to race
 conditions. This commit makes the parameters that control
 Equal()'s operation part of a structure, Comparer, for which
 Equal() is now a method. Users can configure their own
 Comparer struct if desired. To preserve the existing package
 interface, the package-level Equals() method will use a
 default Comparer object that relies on pointers to the current
 global configuration parameters (pointers so that the
 operation of the global Equals() function will change
 immediately upon changing the value of any global
 configuration setting).

Package: golang-github-gcla-gowid-dev
Description-md5: a666660efe294bfa59c0f06009d2b5c2
Description-en: Compositional widgets for terminal user interfaces, inspired by urwid
 Gowid provides widgets and a framework for making terminal user
 interfaces. It's written in Go and inspired by urwid (http://urwid.org).
 .
 Widgets out-of-the-box include:
  • input components like button,
    checkbox and an editable text field with support for passwords
  • layout components for arranging widgets in columns, rows and a grid
  • structured components - a tree, an infinite list and a table
  • pre-canned widgets - a progress bar, a modal dialog, a bar graph and a menu
  • a VT220-compatible terminal widget, heavily cribbed from urwid
 .
 All widgets support interaction with the mouse when the terminal allows.
 .
 Gowid is built on top of the fantastic tcell
 (https://github.com/gdamore/tcell) package.

Package: golang-github-gdamore-encoding-dev
Description-md5: 842cdccaf28a46658c2797428b6e2946
Description-en: Various character map encodings missing from golang.org/x/net/encoding
 Package encoding provides a number of encodings that are missing from
 the standard Go encoding package.
 .
 The UTF8 Encoder is also useful for situations where valid UTF-8 might be
 carried in streams that contain non-valid UTF. One use case is helping to
 cope with terminals that embed escape sequences in otherwise valid UTF-8.

Package: golang-github-gdamore-tcell-dev
Description-md5: 961b33b3fdafb633f5b1cae45a7ee76d
Description-en: cell based view for text terminals
 Package tcell provides a cell based view for text terminals, like xterm.
 It was inspired by termbox, but differs from termbox in some important
 ways. It also adds substantial functionality beyond termbox.

Package: golang-github-gedex-inflector-dev
Description-md5: dfca6ae66e0f3301bb0cb3af6422f094
Description-en: Go library that pluralizes and singularizes English nouns
 This library provides two functions for pluralizing and singularizing
 English nouns using a set of rules that handle both regular and
 irregular forms, inspired by CakePHP's inflector.

Package: golang-github-geertjohan-go.incremental-dev
Description-md5: 601f7cff70dda709df9dfc5d942cd195
Description-en: Go package providing type- and concurrency-safe incremental counters
 This Go package provides access to atomic integers that can be
 tested, incremented and set in a type- and concurrency-safe manner.
 Objects are protected by a mutex and available for all signed and
 unsigned integer types in Go.

Package: golang-github-geertjohan-go.rice-dev
Description-md5: 556931a23b4e283391e746d74e0e1a5e
Description-en: Go package for embedding web resources into Go executables
 go.rice is a Golang package that makes working with resources such as
 html, js, css, images and templates very easy. During development
 go.rice will load required files directly from disk. Upon deployment
 it is easy to add all resource files to a executable using the rice
 tool, without changing the source code for your package. Several
 methods are provided for adding resources to your binary by go.rice.
 .
 This package contains the development files.

Package: golang-github-getlantern-context-dev
Description-md5: 3b95ed045dd1acabce278f6965bc2b2a
Description-en: goroutine-based context state
 Provides goroutine-based context state inspired by
 https://github.com/tylerb/gls and https://github.com/jtolds/gls. It
 uses the same basic hack as tylerb's library, but adds a stack
 abstraction that allows nested contexts similar to jtolds' library,
 but using Enter() and Exit() instead of callback functions.

Package: golang-github-getlantern-errors-dev
Description-md5: e7df6b4aaee248c93e7c3b95f6e544e2
Description-en: Structured errors for Go
 errors provides pre-defined error types that captures its current context and
 propagates that data for use in calling layers, these error types are used
 across the Lantern project.

Package: golang-github-getlantern-hex-dev
Description-md5: 32db7dda15d2ec69f3f71f22e52aec0c
Description-en: Configurable hex encoding
 hex implements hexadecimal encoding and decoding. It's taken almost
 verbatim from golang/encoding/hex, however it allows using a different set
 of encoding characters than the standard 0-F.

Package: golang-github-getlantern-hidden-dev
Description-md5: e5cf7b3453b2694eee50a95acbbe47cf
Description-en: Hide text in text
 hidden provides the ability to "hide" binary data in a string using a hex
 encoding with non-printing characters. Hidden data is demarcated with
 a leading and trailing NUL character.

Package: golang-github-getlantern-ops-dev
Description-md5: 6ee6e343241a0526f1eb8e31d58a4605
Description-en: Track success or failure of operations in code
 ops provides a facility for tracking the processing of operations, including
 contextual metadata about the operation and their final success or failure.
 An op is assumed to have succeeded if by the time of calling Exit()
 no errors have been reported. The final status can be reported to a metrics
 facility.

Package: golang-github-getsentry-raven-go-dev
Description-md5: a522892b17caa9896357d9f4dd5b8d4d
Description-en: Go client for the Sentry event/error logging system
 Go client for the Sentry event/error logging system. Sentry is
 a realtime, platform-agnostic error logging and aggregation platform.

Package: golang-github-ghodss-yaml-dev
Description-md5: ef55acbd2904704d754ac076ab974ce7
Description-en: better way to marshal and unmarshal YAML in Golang
 This package is a wrapper around go-yaml designed to enable a
 better way of handling YAML when marshaling to and from structs.
 .
 In short, this library first converts YAML to JSON using
 go-yaml and then uses json.Marshal and json.Unmarshal to
 convert to or from the struct. This means that it effectively
 reuses the JSON struct tags as well as the custom JSON methods
 MarshalJSON and UnmarshalJSON unlike go-yaml.

Package: golang-github-gin-contrib-cors-dev
Description-md5: 178c489287efb8d515c531900817f796
Description-en: Gin middleware/handler to enable CORS support
 This package enables Cross-origin resource sharing (CORS), which is a
 mechanism that allows restricted resources on a web page to be
 requested from another domain outside the domain from which the first
 resource was served.

Package: golang-github-gin-contrib-gzip-dev
Description-md5: 55f9578704b322ae3cfb646356755846
Description-en: Gin middleware to enable GZIP support
 This package contains gin middleware to enable GZIP support.
 .
 Gin is a HTTP web framework written in Go (Golang). It features
 a Martini-like API with much better performance.

Package: golang-github-gin-contrib-sse-dev
Description-md5: d0ca2275b76a1deed2e0c1fba469720b
Description-en: Server-Sent Events implementation in Go
 Server-sent events (SSE) is a technology where a browser receives
 automatic updates from a server via HTTP connection. The Server-Sent
 Events EventSource API is standardized as part of HTML5 by the W3C.

Package: golang-github-gin-contrib-static-dev
Description-md5: 246079ffdc8b22750a3654ad232e1719
Description-en: webserver for static files
 This package contains a simple webserver that serves static files
 from a given directory.
 It can be used to serve binary data as well.

Package: golang-github-gin-gonic-gin-dev
Description-md5: 1832e305ea212a16fad6949e59b4592b
Description-en: HTTP web framework written in Go
 Gin is a web framework written in Go (Golang). It features a martini-like API
 with much better performance, up to 40 times faster thanks to httprouter. If
 you need performance and good productivity, you will love Gin.

Package: golang-github-git-lfs-gitobj-dev
Description-md5: 48b234d1a367773f9b894b58a2cbd17b
Description-en: gitobj reads and writes Git objects.
 Package gitobj reads and writes loose and packed Git objects.

Package: golang-github-git-lfs-go-netrc-dev
Description-md5: 639078f4608c92a80f0dc8d632bd0e6f
Description-en: netrc file parser for Go programming language
 go-netrc A Golang package for reading and writing netrc files. This
 package can parse netrc files, make changes to them, and then serialize
 them back to netrc format, while preserving any whitespace that was
 present in the source file.

Package: golang-github-git-lfs-wildmatch-dev
Description-md5: 2634ebacb084463f17a7198c9494c0fc
Description-en: Wildmatch is a pattern matching language for filepaths compatible with Git.
 wildmatch package wildmatch is a reimplementation of Git's
 wildmatch.c-style filepath pattern matching.
 .
 For more information, see the godoc
 (https://godoc.org/github.com/git-lfs/wildmatch).

Package: golang-github-glacjay-goini-dev
Description-md5: b72e1d25ac619174fd2005c780260884
Description-en: INI file parser library for Go
 Go library to parse files in the INI format.

Package: golang-github-gliderlabs-ssh-dev
Description-md5: 618f535e1af281fb5fad0c4612193fe3
Description-en: Easy SSH servers in Golang
 This Go package wraps the crypto/ssh package
 (https://godoc.org/golang.org/x/crypto/ssh) with a higher-level API
 for building SSH servers. The goal of the API was to make it as simple
 as using net/http (https://golang.org/pkg/net/http/), so the API is
 very similar.

Package: golang-github-glycerine-go-unsnap-stream-dev
Description-md5: e9d8cb0dc305752c927310316920fb87
Description-en: library for decoding the snappy streaming format
 Package unsnap is a small golang library for decoding and encoding
 the snappy streaming format, specified here:
 https://github.com/google/snappy/blob/master/framing_format.txt
 .
 Note that the streaming or framing format for snappy is different from
 snappy itself. Think of it as a train of boxcars: the streaming format
 breaks your data in chunks, applies snappy to each chunk alone, then
 puts a thin wrapper around the chunk, and sends it along in turn. You
 can begin decoding before receiving everything. And memory requirements
 for decoding are sane.

Package: golang-github-go-chef-chef-dev
Description-md5: b2f9493c90e7b03efe2d133f9c6ea275
Description-en: Chef-Server API client in golang
 This is a Golang library that can be used to write tools to interact
 with a chef server and its common facilities: cookbooks, clients, nodes,
 search, etc.

Package: golang-github-go-debos-fakemachine-dev
Description-md5: c9559e2ca0e8206bec1444c4d80079cf
Description-en: create and spawn virtual machines for building images with debos.
 Create and spawn virtual machines for building images with debos tool.
 (development libraries)

Package: golang-github-go-easygen-easygen-dev
Description-md5: e03e8a187f36688a353708c07953e6ba
Description-en: Easy to use universal code/text generator -- source
 Command easygen is an easy to use universal code/text generator.
 .
 It can be used as a text, html or a code generator for arbitrary purposes with
 arbitrary data and templates. It can be used as a GSL replacement, as it
 .
  - is more easy to define driving data, in form of YML instead of XML
  - has more powerful template engine that based on Go template.
    You can even write your own function in Go to customize your template.
 .
 This package provides the source code to be used as a library.

Package: golang-github-go-errors-errors-dev
Description-md5: 9caee763e5c674345483621ce9ce490d
Description-en: errors with stacktraces for go
 Package errors adds stacktrace support to errors in go.
 .
 This is particularly useful when you want to understand the state of
 execution when an error was returned unexpectedly.
 .
 It provides the type *Error which implements the standard golang error
 interface, so you can use this library interchangeably with code that is
 expecting a normal error return.

Package: golang-github-go-ini-ini-dev
Description-md5: b80f08cc0ddb7c17b38f9c4f6c131a78
Description-en: Go package for control of INI file
 Package ini provides INI file read and write functionality.
 This library privides functions following:
  - Load multiple data sources(`[]byte` or file) with overwrites.
  - Read with recursion values.
  - Read with parent-child sections.
  - Read with auto-increment key names.
  - Read with multiple-line values.
  - Read with tons of helper methods.
  - Read and convert values to Go types.
  - Read and **WRITE** comments of sections and keys.
  - Manipulate sections, keys and comments with ease.
  - Keep sections and keys in order as you parse and save.

Package: golang-github-go-kit-kit-dev
Description-md5: f75b1a670b21bc1b03b290ab3b18be30
Description-en: Programming toolkit for microservices
 Go kit is a set of packages and best practices, which provide a comprehensive,
 robust, and trustable way of building microservices for organizations of any
 size.
 .
 As the dependency tree is enormous, the Debian package only offers some of the
 components of Go kit:
 .
  * auth
  * endpoint
  * log
  * tracing
  * transport
  * util

Package: golang-github-go-ldap-ldap-dev
Description-md5: 788c627a0a7ce80c319376e69c09ec67
Description-en: Basic LDAP v3 functionality for the Go programming language
 The ldap package provides basic LDAPv3 functionality for the Go
 programming language.
 Currently supported features include:
  - Connecting to LDAP server
  - Binding to LDAP server
  - Searching for entries
  - Compiling string filters to LDAP filters
  - Paging Search Results
  - Modify Requests / Responses

Package: golang-github-go-logfmt-logfmt-dev
Description-md5: 0c41d7220005e20485343210d8523c1c
Description-en: Marshals and unmarshals logfmt messages
 Package logfmt implements utilities to marshal and unmarshal data in the
 logfmt format (https://brandur.org/logfmt). It provides an API similar
 to encoding/json (http://golang.org/pkg/encoding/json/) and encoding/xml
 (http://golang.org/pkg/encoding/xml/).
 .
 The logfmt format has not been formally standardized. The most authoritative
 public specification to date has been the documentation of a Go Language
 package (http://godoc.org/github.com/kr/logfmt) written by Blake Mizerany and
 Keith Rarick.
 .
 This project attempts to conform as closely as possible to the prior art,
 while also removing ambiguity where necessary to provide well behaved encoder
 and decoder implementations.

Package: golang-github-go-macaron-bindata-dev
Description-md5: 2024894bd96b075c933e35782fe58e35
Description-en: in-memory static and template files for Macaron
 Macaron is a modular web framework written in Go. This library provides
 a mechanism to hold static and template files in memory.
 .
 API Reference - https://gowalker.org/github.com/go-macaron/bindata
 Documentation - http://go-macaron.com/docs/middlewares/bindata

Package: golang-github-go-macaron-binding-dev
Description-md5: 9ee54c7ebebeb0a41e53c653e7f4ca60
Description-en: request data binding and validation middleware for Macaron
 Middleware binding provides request data binding and validation for
 Macaron (https://github.com/go-macaron/macaron).

Package: golang-github-go-macaron-csrf-dev
Description-md5: 51d0b4865afaeb80f9224ed67a839dd3
Description-en: generate and validate csrf tokens for Macaron
 Macaron is a modular web framework written in Go. This library provides
 cross-site request forgery token generation and validation.
 .
 API Reference - https://gowalker.org/github.com/go-macaron/csrf
 Documentation - http://go-macaron.com/docs/middlewares/csrf

Package: golang-github-go-macaron-gzip-dev
Description-md5: 28b5a156b8648af2ee3aacd234d18adf
Description-en: Gzip middleware for Macaron
 Provides compress to responses for Macaron
 (https://github.com/go-macaron/macaron).

Package: golang-github-go-macaron-i18n-dev
Description-md5: b57de9ee0611aa8343c6c6d10d639413
Description-en: Internationalization and Localization of Macaron
 This Go library provides middleware for the Macaron web framework. It
 supports overloading locale files placed in a custom/ directory.
 .
 API Reference: https://gowalker.org/github.com/go-macaron/i18n
 Documentation: https://go-macaron.com/docs/middlewares/i18n

Package: golang-github-go-macaron-inject-dev
Description-md5: b937ece77e14ae88c1caee0a3aa7d1af
Description-en: utilities for mapping and injecting dependencies
 Golang utilities for mapping and injecting dependencies in various ways.
 .
 This a modified version of codegangsta/inject
 (https://github.com/codegangsta/inject) for special purpose of Macaron
 Please use codegangsta/inject for dependency injection feature.

Package: golang-github-go-macaron-session-dev
Description-md5: 3777f5bee74fd050f48a1a1ea80249a0
Description-en: session management middleware for Macaron
 Middleware session provides session management for Macaron
 (https://github.com/go-macaron/macaron).
 It can use many session providers, including memory, file, Redis,
 Memcache, PostgreSQL, MySQL, Couchbase, Ledis and Nodb.

Package: golang-github-go-macaron-toolbox-dev
Description-md5: 9175d2214a73e02208ac0c22e47c0e9f
Description-en: health check, pprof, profile and statistic services for Macaron
 Macaron is a modular web framework written in Go. This library provides
 health check, pprof, profile and statistic services for Macaron. These
 statistics can be used for debugging or performance analysis.

Package: golang-github-go-openapi-analysis-dev
Description-md5: b5d03b046aefd0cd7da10600f4190fbf
Description-en: OpenAPI Specification object model analyser
 The OpenAPI analysis library implements analysts of an OpenAPI
 specification document for easier reasoning about the content.
 .
 The OpenAPI Specification is a powerful definition format to describe
 RESTful APIs and creates a RESTful interface for easily developing and
 consuming an API.

Package: golang-github-go-openapi-errors-dev
Description-md5: 3fe846daae209bde359d22642ac721e5
Description-en: Common error handling code for OpenAPI
 This library implements shared error handling code used throughout the
 various libraries for the OpenAPI Specification.
 .
 The OpenAPI Specification is a powerful definition format to describe
 RESTful APIs and creates a RESTful interface for easily developing and
 consuming an API.

Package: golang-github-go-openapi-jsonpointer-dev
Description-md5: 0882a63a40c9885c239b3c98303e92fc
Description-en: fork of gojsonpointer with support for structs
 gojsonpointer Build Status (https://travis-ci.org/go-openapi/jsonpointer)
 codecov (https://codecov.io/gh/go-openapi/jsonpointer)
 Slack Status (https://slackin.goswagger.io) license
 (https://raw.githubusercontent.com/go-openapi/jsonpointer/master/LICENSE)
 GoDoc (http://godoc.org/github.com/go-openapi/jsonpointer) An
 implementation of JSON Pointer - Go language Status Completed YES
 .
 Tested YES References
 http://tools.ietf.org/html/draft-ietf-appsawg-json-pointer-07 Note
 The 4.Evaluation part of the previous reference, starting with 'If the
 currently referenced value is a JSON array, the reference token MUST
 contain either...' is not implemented.

Package: golang-github-go-openapi-jsonreference-dev
Description-md5: ae9b652428d59174264654f5d020b8ad
Description-en: Implementation of gojsonreference with struct support
 This library implements an implementation of the JSON Reference
 specification. It adds support for structs.

Package: golang-github-go-openapi-loads-dev
Description-md5: d38919fa299efcb8a9857483db608429
Description-en: OpenAPI Specification object model
 This library implements the loading of OpenAPI specification documents
 from local or remote locations.
 .
 The OpenAPI Specification is a powerful definition format to describe
 RESTful APIs and creates a RESTful interface for easily developing and
 consuming an API.

Package: golang-github-go-openapi-runtime-dev
Description-md5: f5c21dcc82e03cbdccd6b612aded9146
Description-en: OpenAPI runtime interfaces
 The runtime library is part of the OpenAPI Initiative and OpenAPI
 Specification, and consists of classes and functions to be used in
 code generation when creating client- and server-side implementations
 of REST interfaces.
 .
 The OpenAPI Specification is a powerful definition format to describe
 RESTful APIs and creates a RESTful interface for easily developing
 and consuming an API.

Package: golang-github-go-openapi-spec-dev
Description-md5: dee952cf65ec1ba7a982c473db575c86
Description-en: OpenAPI specification object model
 The object model for OpenAPI specification documents.

Package: golang-github-go-openapi-strfmt-dev
Description-md5: f9a7ef4eff83dcf6388d39b8bc562b6d
Description-en: OpenAPI string formatting library
 Strfmt implements formatting and validation of various well-known
 string formats such as IP and email addresses for use in other
 OpenAPI Specification libraries.
 .
 The OpenAPI Specification is a powerful definition format to describe
 RESTful APIs and creates a RESTful interface for easily developing
 and consuming an API.

Package: golang-github-go-openapi-swag-dev
Description-md5: b1817c666423d17a19840759d4537276
Description-en: goodie bag in use in the go-openapi projects
 This package contains a bunch of helper functions for other
 go-openapi projects.
 .
   * convert between value and pointers for builtin
   * convert from string to builtin
   * fast json concatenation
   * search in path
   * load from file or http
   * name manglin

Package: golang-github-go-openapi-validate-dev
Description-md5: 9be04c101dd03441118fca8eafae642a
Description-en: OpenAPI toolkit validation helpers
 The validate library is part of the OpenAPI Initiative and OpenAPI
 Specification, and consists of various helper and utility functions
 used internally by other components of OpenAPI.
 .
 The OpenAPI Specification is a powerful definition format to describe
 RESTful APIs and creates a RESTful interface for easily developing and
 consuming an API.

Package: golang-github-go-redis-redis-dev
Description-md5: 1e2d3cc8fb7874b44be2f9b651927142
Description-en: Type safe Redis client for Go
 Go Redis supports:
 .
  - Automatic connection pooling with circuit breaker
  - Pub/Sub
  - Transactions
  - Pipeline
  - Scripting
  - Timeouts
  - Redis Sentinel
  - Redis Cluster
  - Ring
  - Instrumentation
  - Cache friendly
  - Rate limiting
  - Distributed Locks

Package: golang-github-go-sql-driver-mysql-dev
Description-md5: fa08b137bb0317b88861910c6b6ac8ee
Description-en: MySQL-Driver for Go's database/sql package
 Features:
  - Lightweight and fast
  - Native Go implementation. No C-bindings, just pure Go
  - Connections over TCP/IPv4, TCP/IPv6 or Unix domain sockets
  - Automatic handling of broken connections
  - Automatic Connection Pooling (by database/sql package)
  - Supports queries larger than 16MB
  - Full sql.RawBytes support.
  - Intelligent LONG DATA handling in prepared statements
  - Secure LOAD DATA LOCAL INFILE support with file Whitelisting and io.Reader
    support
  - Optional time.Time parsing
  - Optional placeholder interpolation

Package: golang-github-go-stack-stack-dev
Description-md5: 3787c7537ff8a8963bf854c860683d7d
Description-en: capture, manipulate, and format call stacks
 Package stack implements utilities to capture, manipulate,
 and format call stacks. It provides a simpler API than
 package runtime.
 .
 The implementation takes care of the minutia and special
 cases of interpreting the program counter (pc) values
 returned by runtime.Callers.

Package: golang-github-go-test-deep-dev
Description-md5: b672e817154dd2636270a51c7a805780
Description-en: Golang deep variable equality test that returns human-readable differences
 Deep Variable Equality for Humans.
 .
 This package provides a single function: deep.Equal. It's like
 reflect.DeepEqual (http://golang.org/pkg/reflect/#DeepEqual) but
 much friendlier to humans (or any sentient being) for two reason:
  • deep.Equal returns a list of differences
  • deep.Equal does not compare unexported fields (by default)

Package: golang-github-go-xorm-builder-dev
Description-md5: 54c832f4fbe7658b4b78f34e3398078f
Description-en: SQL builder for XORM written in Go
 SQL Builder is Go library for XORM that can be used to generate SQL
 queries for different database services. These DB services include
 MySQL, postgres, tidb, sqlite3, mssql, and oracle.

Package: golang-github-go-xorm-core-dev
Description-md5: 3a889148e58bbd2894c5e850cfa4a9c2
Description-en: lightweight wrapper of database/sql
 This package is a lightweight wrapper of sql.DB.
 .
 It is written in Go and up to now it supports Postgres, sqlite3,
 MySQL, MsSQL and Oracle.

Package: golang-github-gobuffalo-envy-dev
Description-md5: e16942bc1873ca6faad17a69a4327bc7
Description-en: simplify working with ENV variables
 Envy makes working with ENV variables in Go trivial:
  * Get ENV variables with default values
  * Set ENV variables safely without affecting the underlying system
  * Temporarily change ENV vars; useful for testing
  * Map all of the key/values in the ENV
  * Loads .env files (by using godotenv

Package: golang-github-gobwas-glob-dev
Description-md5: 865085a71107627ffdbaf94e10001f36
Description-en: Go glob pattern library
 Package glob provides a glob pattern library. Function Compile
 creates Glob for given pattern and strings (if any present after
 pattern) as separators.

Package: golang-github-gocarina-gocsv-dev
Description-md5: 2917df55d145dc583cb041db2f0c6251
Description-en: easy CSV serialization and deserialization golang package
 The GoCSV package aims to provide easy serialization and deserialization
 functions to use CSV in Golang, using API and techniques inspired from
 https://godoc.org/gopkg.in/mgo.v2

Package: golang-github-gocql-gocql-dev
Description-md5: 4b8e982adf69f917138245ee6d6fe673
Description-en: fast and robust Cassandra client for Go
 gocql implements a fast and robust Cassandra client for the Go
 programming language. It supports the following features:
 .
   * cluster management
   * automatic and safe type conversion between Cassandra and Go without any
     loss of precision
   * synchronous API with an asynchronous and concurrent back-end
   * result paging
   * atomic batch execution
   * query tracing to obtain a detailed output of all events that happened
      during the query execution
   * frame compression
   * support of multiple Cassandra versions

Package: golang-github-gofrs-flock-dev
Description-md5: 9ad96012a6a597d92799d7b4fc2c5922
Description-en: thread-safe file locking library in Golang
 flock implements a thread-safe sync.Locker interface for file locking.
 It also includes a non-blocking TryLock() function to allow locking
 without blocking execution.
 .
 This library is formerly known as "github.com/theckman/go-flock".

Package: golang-github-gofrs-uuid-dev
Description-md5: 81eb29e727054db91d740ad4bba138b0
Description-en: UUID library for Golang
 This library provides a pure Golang implementation of Universally Unique
 Identifiers (UUID) variant as defined in RFC-4122. This package supports
 both the creation and parsing of UUIDs in different formats.
 .
 The library supports the following UUID versions:
  * Version 1, based on timestamp and MAC address (RFC-4122)
  * Version 2, based on timestamp, MAC address and POSIX UID/GID (DCE 1.1)
  * Version 3, based on MD5 hashing of a named value (RFC-4122)
  * Version 4, based on random numbers (RFC-4122)
  * Version 5, based on SHA-1 hashing of a named value (RFC-4122)

Package: golang-github-gogits-chardet-dev
Description-md5: 554e2b9c845af5ba6a96e48d37cde312
Description-en: Charset detector library for Go
 This package provides a library that can be used to detect the
 character set of text based on International Components for
 Unicode's implementation.
 .
 Documentation: https://godoc.org/github.com/saintfish/chardet
 ICU: http://site.icu-project.org/

Package: golang-github-gogits-cron-dev
Description-md5: 014449ad4f9d4976c33277953d81b3b5
Description-en: Gogs cron library in Go
 This golang library implements a cron spec parser and job runner.
 .
 Callers may register Funcs to be invoked on a given schedule.  Cron will run
 them in their own go-routines.

Package: golang-github-gogits-go-gogs-client-dev
Description-md5: e82f13a4e311940d23f98a5909e855d2
Description-en: Gogs API client in Go
 This library implements a client for the Gogs REST API which is an
 interface similar to the Github v3 API.

Package: golang-github-gogo-googleapis-dev
Description-md5: c6199989cadbc8a3a8dac5da3d59eb50
Description-en: googleapis generated with gogoprotobuf
 Google APIs generated by gogoprotobuf.
 .
 The grpc-example (https://github.com/gogo/grpc-example) includes an
 example usage of this repository.

Package: golang-github-gogo-protobuf-dev
Description-md5: 618e6ce0f49f666161048ffb30a872e5
Description-en: alternative protocol buffer support for Golang - sources
 An alternative protocol buffer support for the Go programming language,
 forked from golang-goprotobuf. It is backwards compatible with
 goprotobuf, but provides faster marshalling and unmarshalling and can
 generate extra helper code, tests and benchmarks.
 .
 This package provides sources.

Package: golang-github-goji-httpauth-dev
Description-md5: 4b42662ed0e52b51f9cadf090423332b
Description-en: HTTP Authentication middleware
 This package provides HTTP Basic Authentication middleware for Go.
 It is compatible with Go's own net/http, goji, Gin and anything
 that speaks the http.Handler interface.
 .
 Example httpauth provides a SimpleBasicAuth function to get you up and
 running.

Package: golang-github-goji-param-dev
Description-md5: aa750aa3e79de12b9902b4ec7b1f65e3
Description-en: Rack / jQuery style parameter parsing for Go
 param deserializes parameter values into a given struct using
 magical reflection ponies.
 .
 Inspired by gorilla/schema, but uses Rails/jQuery style param encoding
 instead of their weird dotted syntax. In particular, this package was
 written with the intent of parsing the output of jQuery.param.
 .
 This package uses struct tags to guess what names things ought to have. If
 a struct value has a "param" tag defined, it will use that. If there is
 no "param" tag defined, the name part of the "json" tag will be used. If
 that is not defined, the name of the field itself will be used (no case
 transformation is performed).
 .
 If the name derived in this way is the string "-", param will refuse to
 set that value.
 .
 The parser is extremely strict, and will return an error if it has any
 difficulty whatsoever in parsing any parameter, or if there is any kind

Package: golang-github-gokyle-fswatch-dev
Description-md5: 54fae0f73d532b17740832f2e5263a34
Description-en: simple UNIX file system watching library in Go
 This package provides a simple UNIX file system watching library in Go.
 .
 This includes:
   * Static watchers for watching a limited set of files.
     Those do not purge deleted files from the watch list.
   * Auto watchers for watching a set of files and directories.
     Directories are watched for new files. New files are automatically
     added, and deleted files are removed from the watch list.

Package: golang-github-gokyle-twofactor-dev
Description-md5: 82103151dee8c73507b4ca9436a43cdc
Description-en: Two-factor authentication
 Package twofactor implements two-factor authentication.

Package: golang-github-golang-groupcache-dev
Description-md5: db6a4e929c62afe93eb1bda2612bdcfd
Description-en: caching and cache-filling library, a replacement for memcached
 Groupcache is a caching and cache-filling library, intended as a
 replacement for memcached in many cases.
 .
 Like memcached, groupcache shards by key to select which peer is
 responsible for that key.  Unlike memcached, groupcache does not
 require running a separate set of servers, comes with a cache
 filling mechanism, and contains some other useful features.

Package: golang-github-golang-leveldb-dev
Description-md5: 198973608103ff9738edff023439a64e
Description-en: Implementation of the LevelDB key/value database in Go
 LevelDB is a fast key-value storage library written at Google that
 provides an ordered mapping from string keys to string values.
 .
 This is an implementation of LevelDB in the Go language.

Package: golang-github-golang-mock-dev
Description-md5: 48a3c0360968693773ad762adb019c88
Description-en: mocking framework for the Go programming language
 GoMock is a mocking framework for the Go programming language.
 It integrates well with Go's built-in testing package, but can be used in
 other contexts too.

Package: golang-github-golang-snappy-dev
Description-md5: 39893f9c93e59f79efba9fb8ee2e448b
Description-en: Implementation of the Snappy compression format in Go
 Snappy is a compression/decompression library. It does not aim for maximum
 compression, or compatibility with any other compression library; instead, it
 aims for very high speeds and reasonable compression. For instance, compared
 to the fastest mode of zlib, Snappy is an order of magnitude faster for most
 inputs, but the resulting compressed files are anywhere from 20% to 100%
 bigger. On a single core of a Core i7 processor in 64-bit mode, Snappy
 compresses at about 250 MB/sec or more and decompresses at about 500 MB/sec or
 more.
 .
 This is an implementation of the Snappy compression format in the Go
 programming language.

Package: golang-github-gomodule-redigo-dev
Description-md5: 99f40268459d2d813fb6056eeeb3e433
Description-en: Go client for Redis
 Go client for the Redis (http://redis.io/) database.
 Features
  • A Print-like API with support for all Redis commands.
  • Pipelining including pipelined transactions.
  • Publish/Subscribe
  • Connection pooling
  • Script helper type with optimistic use of EVALSHA.
  • Helper functions for working with command replies.

Package: golang-github-google-btree-dev
Description-md5: 42c130d50f7bd19917a2383cfa370fa2
Description-en: BTree implementation for Go
 This package provides an in-memory B-Tree implementation for Go, useful
 as an ordered, mutable data structure.
 .
 The API is based off of the wonderful
 http://godoc.org/github.com/petar/GoLLRB/llrb, and is meant to allow
 btree to act as a drop-in replacement for gollrb trees.

Package: golang-github-google-cadvisor-dev
Description-md5: c48049d869416915c046fb9a901301db
Description-en: analyze resource usage and performance of running containers
 cAdvisor (Container Advisor) provides container users an understanding of
 the resource usage and performance characteristics of their running
 containers.
 .
 cAdvisor has native support for Docker containers and should support just
 about any other container type out of the box.
 .
 cAdvisor also exposes container stats as Prometheus (http://prometheus.io)
 metrics.
 .
 This package provides golang library sources.

Package: golang-github-google-certificate-transparency-dev
Description-md5: 27deef6e19c1332c1d677ab79091e067
Description-en: Framework for monitoring and auditing SSL certificates
 The Certificate Transparency project provides a framework to explore
 ideas to improve the security of HTTPS connections by adding new
 functional components to the current SSL security system: logging,
 monitoring and auditing.
 .
 Please see http://www.certificate-transparency.org for more details
 about certificate transparency and how it works.

Package: golang-github-google-go-cmp-dev
Description-md5: a570016be8c1a78ee8634131254d0f7f
Description-en: Package for comparing Go values in tests
 This package is intended to be a more powerful and safer alternative to
 reflect.DeepEqual for comparing whether two values are semantically equal.
 .
 The primary features of cmp are:
  * When the default behavior of equality does not suit the needs of the test,
    custom equality functions can override the equality operation.  For
    example, an equality function may report floats as equal so long as they
    are within some tolerance of each other.
  * Types that have an Equal method may use that method to determine equality.
    This allows package authors to determine the equality operation for the
    types that they define.
  * If no custom equality functions are used and no Equal method is defined,
    equality is determined by recursively comparing the primitive kinds on both
    values, much like reflect.DeepEqual. Unlike reflect.DeepEqual, unexported
    fields are not compared by default; they result in panics unless suppressed
    by using an Ignore option (see cmpopts.IgnoreUnexported) or explicitly
    compared using the AllowUnexported option.
 .
 See the GoDoc documentation (https://godoc.org/github.com/google/go-cmp/cmp)
 for more information.

Package: golang-github-google-go-github-dev
Description-md5: d8e0fe6d371dcdf2b3f6f630f2aee564
Description-en: Go library for accessing the GitHub API
 go-github is a Go client library for accessing the GitHub API v3
 (https://developer.github.com/v3/).

Package: golang-github-google-go-querystring-dev
Description-md5: 268588f9b83262e45e82edfea940ea15
Description-en: library for encoding structs into URL query parameters
 go-querystring is Go library for encoding structs into URL query
 parameters. go-querystring is designed to assist in scenarios where
 you want to construct a URL using a struct that represents the URL
 query parameters.

Package: golang-github-google-gofuzz-dev
Description-md5: c72ef946039add39bb089563982f54ab
Description-en: Fuzz testing for Go
 gofuzz is a library for populating go objects with random values.
 .
 This is useful for testing:
 .
  * Do your project's objects really serialize/unserialize correctly in all
    cases?
  * Is there an incorrectly formatted object that will cause your project to
    panic?
 .
 Import with import "github.com/google/gofuzz"
 .
 This package contains the source.

Package: golang-github-google-gopacket-dev
Description-md5: 3cbfaa43a68e72102d75255f5bd57486
Description-en: packet capturing and decoding library for Go
 The gopacket library provides various bindings for reading packets
 off the wire, logic for decoding packet protocols, TCP stream
 reassembly.

Package: golang-github-google-jsonapi-dev
Description-md5: 84b3aa665e5b1c4b42335abdcfbc0ec3
Description-en: jsonapi.org style payload serializer and deserializer
 Serializer/deserializer for JSON payloads that comply to the JSON API
 spec in Go. Struct tags are used to annotate the struct fields in an
 application and then this library reads and writes JSON API output based
 on the tagged fields.

Package: golang-github-google-martian-dev
Description-md5: d5812c9f78837b3b5198c362ffc39dd3
Description-en: Martian is a library for building custom HTTP/S proxies
 Martian Proxy is a programmable HTTP proxy designed to be used for testing.
 .
 Martian is a great tool to use if you want to:
  * Verify that all (or some subset) of requests are secure
  * Mock external services at the network layer
  * Inject headers, modify cookies or perform other mutations of HTTP requests
    and responses
  * Verify that pingbacks happen when you think they should
  * Unwrap encrypted traffic (requires install of CA certificate in browser)

Package: golang-github-google-pprof-dev
Description-md5: e308e5635488bfe6325a879890ed1d85
Description-en: pprof is a tool for visualization and analysis of profiling data
 pprof reads a collection of profiling samples in profile.proto format
 and generates reports to visualize and help analyze the data. It can
 generate both text and graphical reports (through the use of the dot
 visualization package).
 .
 profile.proto is a protocol buffer that describes a set of callstacks
 and symbolization information. A common usage is to represent a set of
 sampled callstacks from statistical profiling. The format is described
 on the proto/profile.proto (./proto/profile.proto) file. For details on
 protocol buffers, see https://developers.google.com/protocol-buffers
 .
 Profiles can be read from a local file, or over http. Multiple profiles
 of the same type can be aggregated or compared.
 .
 If the profile samples contain machine addresses, pprof can symbolize
 them through the use of the native binutils tools (addr2line and nm).
 .
 This is not an official Google product.

Package: golang-github-google-shlex-dev
Description-md5: 216aba2c40669f0d9c6d8a2fb30f129a
Description-en: Simple lexer for Go that supports shell-style rules
 go-shlex is a simple lexer for Go.  It splits input into tokens using
 shell-style rules for quoting, commenting, and escaping.

Package: golang-github-google-subcommands-dev
Description-md5: 5df4e7a68bb964be755103b914dca95f
Description-en: go package for add some subcommands to single command
 Subcommands is a Go package that implements a simple way for a single command
 to have many subcommands, each of which takes arguments and so forth.

Package: golang-github-google-uuid-dev
Description-md5: d76a9f9df16efca9a2605b902a89ab9c
Description-en: generates and inspects UUIDs based on RFC 4122
 This package generates and inspects UUIDs based on RFC 4122
 (http://tools.ietf.org/html/rfc4122) and DCE 1.1: Authentication and
 Security Services.
 .
 This package is based on the "github.com/pborman/uuid" package. It differs
 from these earlier packages in that a UUID is a 16 byte array rather than
 a byte slice. One loss due to this change is the ability to represent an
 invalid UUID (vs a NIL UUID).

Package: golang-github-google-wire-dev
Description-md5: 1a8282da72fb25e67520c4cf6a62d0f0
Description-en: Compile-time Dependency Injection for Go (library)
 Wire: Automated Initialization in Go
 .
 Wire is a code generation tool that automates connecting components
 using dependency injection.  Dependencies between components are
 represented in Wire as function parameters, encouraging explicit
 initialization instead of global variables.  Because Wire operates
 without runtime state or reflection, code written to be used with
 Wire is useful even for hand-written initialization.
 .
 For an overview, see the introductory blog post
 https://blog.golang.org/wire
 .
 This package contains the github.com/google/wire Go library.

Package: golang-github-googleapis-gax-go-dev
Description-md5: 15cbcb9394f43e79b9cc1bd90f94f908
Description-en: Google API Extensions for Go
 Google API Extensions for Go (gax-go) is a set of modules which aids
 the development of APIs for clients and servers based on gRPC and Google
 API conventions.

Package: golang-github-googleapis-gnostic-dev
Description-md5: 1ae774d48c2fcf90270ae90773ab3ccd
Description-en: compiler for OpenAPI specification - library
 Protocol Buffers (https://developers.google.com/protocol-buffers/)
 provide a language-neutral, platform-neutral, extensible mechanism
 for serializing structured data. gnostic's Protocol Buffer models for
 the OpenAPI Specification can be used to generate code that includes
 data structures with explicit fields for the elements of an OpenAPI
 description. This makes it possible for developers to work with
 OpenAPI descriptions in type-safe ways, which is particularly useful in
 strongly-typed languages like Go and Swift.
 .
 gnostic reads OpenAPI descriptions into these generated data structures,
 reports errors, resolves internal dependencies, and writes the results in
 a binary form that can be used in any language that is supported by the
 Protocol Buffer tools.  A plugin interface simplifies integration with
 API tools written in a variety of different languages, and when necessary,
 Protocol Buffer OpenAPI descriptions can be reexported as JSON or YAML.
 .
 This package provides the development files.

Package: golang-github-gophercloud-gophercloud-dev
Description-md5: 81603c0a2b2aaec13963ee27380b23cb
Description-en: OpenStack SDK for Go
 Gophercloud allows Go developers to connect their applications with
 OpenStack clouds. It is fully open source and supports many OpenStack
 services like Compute, Object Storage, Identity, Networking and
 Block Storage.

Package: golang-github-gopherjs-gopherjs-dev
Description-md5: f0b57ddedbc808948caa01f4eaae0d35
Description-en: Go to Javascript compiler
 GopherJS compiles Go code to pure JavaScript code. Its main purpose
 is to provide the opportunity to write front-end code in Go which will
 still run in all browsers.
 .
 Documentation: https://godoc.org/github.com/gopherjs/gopherjs/js

Package: golang-github-gorhill-cronexpr-dev
Description-md5: 717cb720b518a31bf40c6d93682bfada
Description-en: cron expression parser
 Given a cron expression and a time stamp, you can get the next time
 stamp which satisfies the cron expression.
 .
 The time-matching algorithm in this implementation is efficient, it
 avoids as much as possible to guess the next matching time stamp, a
 common technique seen in a number of implementations out there.

Package: golang-github-gorilla-context-dev
Description-md5: c1a309cfb9da83a0f0542d72432ac060
Description-en: General purpose registry for global request variables
 context is part of the Gorilla web toolkit. It stores values shared during a
 request lifetime. For example, a router can set variables extracted from the
 URL and later application handlers can access those values, or it can be used
 to store sessions values to be saved at the end of a request. There are
 several others common uses.

Package: golang-github-gorilla-csrf-dev
Description-md5: fc24a7a8db94d055ac7fe676d0af8bd6
Description-en: Cross Site Request Forgery (CSRF) prevention middleware for Go
 gorilla/csrf is a HTTP middleware library that provides cross-site request
 forgery (CSRF) protection.  It includes:
 .
  * The csrf.Protect middleware/handler provides CSRF protection on routes
    attached to a router or a sub-router.
  * A csrf.Token function that provides the token to pass into your response,
    whether that be a HTML form or a JSON response body.
  * ... and a csrf.TemplateField helper that you can pass into your
    html/template templates to replace a {{ .csrfField }} template tag
    with a hidden input field.
 .
 gorilla/csrf is designed to work with any Go web framework, including:
 .
  * The Gorilla toolkit
  * Go's built-in net/http package
  * Goji - see the tailored fork https://github.com/goji/csrf
  * Gin
  * Echo
  * ... and any other router/framework that rallies around Go's http.Handler
    interface.
 .
 gorilla/csrf is also compatible with middleware 'helper' libraries
 like Alice and Negroni.

Package: golang-github-gorilla-handlers-dev
Description-md5: bf500bee115ccc231cdfd8fe3efeea8b
Description-en: collection of useful handlers for Go's net/http package
 Package handlers is a collection of handlers (aka "HTTP middleware") for use
 with Go's net/http package (or any framework supporting http.Handler),
 including:
 .
  • LoggingHandler for logging HTTP requests in the Apache Common Log Format
    (http://httpd.apache.org/docs/2.2/logs.html#common).
  • CombinedLoggingHandler for logging HTTP requests in the Apache Combined Log
    Format (http://httpd.apache.org/docs/2.2/logs.html#combined) commonly used
    by both Apache and nginx.
  • CompressHandler for gzipping responses.
  • ContentTypeHandler for validating requests against a list of accepted
    content types.
  • MethodHandler for matching HTTP methods against handlers in a
    map[string]http.Handler
  • ProxyHeaders for populating r.RemoteAddr and r.URL.Scheme based on the
    X-Forwarded-For, X-Real-IP, X-Forwarded-Proto and RFC7239 Forwarded headers
    when running a Go server behind a HTTP reverse proxy.
  • CanonicalHost for re-directing to the preferred host when handling multiple
    domains (i.e. multiple CNAME aliases).
 .
 Other handlers are documented on the Gorilla website
 (http://www.gorillatoolkit.org/pkg/handlers).

Package: golang-github-gorilla-mux-dev
Description-md5: d61faf184d480e712a34f9f9497bde59
Description-en: powerful URL router and dispatcher for golang
 Package gorilla/mux implements a request router and dispatcher.
 .
 The name mux stands for "HTTP request multiplexer". Like the standard
 http.ServeMux, mux.Router matches incoming requests against a list of
 registered routes and calls a handler for the route that matches the URL
 or other conditions. The main features are:
 .
  * Requests can be matched based on URL host, path, path prefix, schemes,
    header and query values, HTTP methods or using custom matchers.
  * URL hosts and paths can have variables with an optional regular expression.
  * Registered URLs can be built, or "reversed", which helps maintaining
    references to resources.
  * Routes can be used as subrouters: nested routes are only tested if the
    parent route matches. This is useful to define groups of routes that share
    common conditions like a host, a path prefix or other repeated attributes.
    As a bonus, this optimizes request matching.
  * It implements the http.Handler interface so it is compatible with the
    standard http.ServeMux.

Package: golang-github-gorilla-pat-dev
Description-md5: 152f0ef91e720551b168f674f3ed010e
Description-en: request router and dispatcher with a pat-like interface
 This package implements a request router and dispatcher with a
 pat-like interface. It is an alternative to gorilla/mux that
 showcases how it can be used as a base for different API flavors.
 .
 Documentation: https://godoc.org/github.com/bmizerany/pat
 Examples: http://www.gorillatoolkit.org/pkg/pat

Package: golang-github-gorilla-rpc
Description-md5: 064e9d80682448eeb50960e7107b7bab
Description-en: Transitional package for golang-github-gorilla-rpc-dev
 This is a transitional package. It can safely be removed.

Package: golang-github-gorilla-rpc-dev
Description-md5: 0fb81a13f2ef1469941c3b52fbd12fd3
Description-en: golang foundation for RPC over HTTP services
 gorilla/rpc is a foundation for RPC over HTTP services, providing access to
 the exported methods of an object through HTTP requests.  It derives from the
 standard net/rpc package but uses a single HTTP request per call instead of
 persistent connections. Other differences compared to net/rpc:
  - Multiple codecs can be registered in the same server.
  - A codec is chosen based on the "Content-Type" header from the request.
  - Service methods also receive http.Request as parameter.
  - This package can be used on Google App Engine.

Package: golang-github-gorilla-securecookie-dev
Description-md5: f03bbd927781c1df85a49942bb6d3357
Description-en: provides authenticated and encrypted cookie values
 This package provides methods for encoding and decoding authenticated
 cookie values for Go web applications. These values optionally be
 encrypted.
 .
 Secure cookies are protected from forgery because their values are
 validated using HMAC. When encrypted, the content is also kept private.
 .
 It is still recommended that sensitive data not be stored in cookies,
 and that HTTPS be used to prevent cookie replay attacks.

Package: golang-github-gorilla-sessions-dev
Description-md5: ec303ab4802bfdddc5136444692bfa1a
Description-en: save cookie and filesystem sessions and allow custom session backends
 This package provides a Go library that implements methods to save
 cookies and filesystem sessions and allows custom session backends.
 It is provided by Gorilla which is a web toolkit for the Go
 programming language.
 .
 Features:
   - Simple API: use it as an easy way to set signed cookies
   - Cookies can optionally be enycrpted
   - Built-in backends to store sessions in cookies on the filesystem
   - Flash messages: session values that last until read
   - Session persistency switching
   - Methods to rotate authentication and encryption keys
   - Multiple sessions per request, even using different backends
   - Support for custom session backends

Package: golang-github-gorilla-websocket-dev
Description-md5: c4cf1be997ffe5d1aadd5174afdb9ba4
Description-en: Go package implementing the WebSocket protocol
 The Gorilla WebSocket package provides a complete and tested
 implementation of the WebSocket protocol, as defined by RFC 6455. It
 passes the server tests in the Autobahn WebSockets Test Suite.

Package: golang-github-gosexy-gettext-dev
Description-md5: 8fcf9f674d1524074f191d4994a554e0
Description-en: Gettext support for the Go language
 The gettext package enables Go programs to use gettext library calls for
 translation support.

Package: golang-github-gosuri-uilive-dev
Description-md5: f157af149c5ad55b07d3e4b38ab4e6cb
Description-en: Go library for updating terminal output in realtime
 It provides a buffered io.Writer that is flushed at a timed interval.

Package: golang-github-gosuri-uiprogress-dev
Description-md5: 4492ca2f3f95e5398d74af854b03bf98
Description-en: Go library to render progress bars in terminal applications
 Progress bars improve readability for terminal applications with long
 outputs by providing a concise feedback loop.
 .
 Features:
 * Multiple Bars: uiprogress can render multiple progress bars that
   can be tracked concurrently
 * Dynamic Addition: Add additional progress bars any time, even after
   the progress tracking has started
 * Prepend and Append Functions: Append or prepend completion percent
   and time elapsed to the progress bars
 * Custom Decorator Functions: Add custom functions around the bar
   along with helper functions

Package: golang-github-gosuri-uitable-dev
Description-md5: 4f48e77fa33d9b591e6a1e39c11436b7
Description-en: go library to improve readability in terminal apps using tabular data
 uitable is a go library for representing data as tables for terminal
 applications. It provides primitives for sizing and wrapping columns to
 improve readability.

Package: golang-github-gotestyourself-gotest.tools-dev
Description-md5: 0994b851d6bc420ce2a8ac9d81bea4f8
Description-en: collection of go packages to support common testing patterns
 Gotest.tools is a collection of packages to augment 'testing' and
 support common testing patterns.

Package: golang-github-gotk3-gotk3-dev
Description-md5: a3d0d433e7d5bcd16c35670d9df4e8f6
Description-en: Go bindings for GTK3
 The gotk3 project provides Go bindings for GTK+3 and dependent projects.
 Each component is given its own subdirectory, which is used as the
 import path for the package.
 .
 Partial binding support for the following libraries is currently implemented:
 .
   - GTK+3 (3.12 and later)
   - GDK 3 (3.12 and later)
   - GLib 2 (2.36 and later)
   - Cairo (1.10 and later)
 .
 Care has been taken for memory management to work seamlessly with Go's
 garbage collector without the need to use or understand GObject's floating
 references.

Package: golang-github-graph-gophers-graphql-go-dev
Description-md5: c7e48b30ac74af7039208c00623d4630
Description-en: GraphQL server with a focus on ease of use
 graphql-go is a GraphQL server library with the goal to provide full
 support of the GraphQL draft specification (
 https://facebook.github.io/graphql/draft) with a set of idiomatic, easy
 to use Go packages.
 .
 Features:
 .
  - minimal API
  - support for context.Context
  - support for the OpenTracing standard
  - schema type-checking against resolvers
  - resolvers are matched to the schema based on method sets
  - handles panics in resolvers
  - parallel execution of resolvers

Package: golang-github-graylog2-go-gelf-dev
Description-md5: 01b500491194b3e4de00910682099e2a
Description-en: GELF library and writer for Go
 GELF is graylog2's UDP logging format. This library provides an API that
 applications can use to log messages directly to a graylog2 server, along with
 an io.Writer that can be use to redirect the standard library's log messages
 (or os.Stdout), to a graylog2 server.
 .
 This package contains the source.

Package: golang-github-gregjones-httpcache-dev
Description-md5: 584db04664f106fc345d7080a8db63b9
Description-en: Transport for http.Client that will cache responses
 The package httpcache provides a http.RoundTripper implementation that
 works as a mostly RFC 7234 compliant cache for http responses.
 .
 It is only suitable for use as a 'private' cache (i.e. for
 a web-browser or an API-client and not for a shared proxy).

Package: golang-github-grokify-html-strip-tags-go-dev
Description-md5: 900d3d8f21ca08e5b512fa05af8c47ea
Description-en: Golang library to HTML StripTags
 golang-github-grokify-html-strip-tags-go-dev is a Go package containing an
 extracted version of the unexported stripTags function in
 html/template/html.go.

Package: golang-github-grpc-ecosystem-go-grpc-prometheus-dev
Description-md5: 4d268c9429a8c41b799b269c5070778e
Description-en: Prometheus monitoring for gRPC Go servers
 This library uses gRPC Go interceptors (middleware) to implement both
 server- and client-side metrics and monitoring using Prometheus for a
 gRPC interface.
 .
 Using Prometheus for API monitoring allows querying and visualization
 of latency, request rates and other useful metrics that can be
 obtained from collecting time-series data.

Package: golang-github-grpc-ecosystem-grpc-gateway-dev
Description-md5: 04f664d8727c156a70e30ad4a7baa35b
Description-en: gRPC to JSON proxy generator for Golang -- sources
 Grpc-gateway is a protoc plugin that reads gRPC service definitions
 and generates a reverse-proxy server which translates a RESTful JSON
 API into gRPC. The server is generated according to custom options in
 your gRPC definition and helps you to provide your APIs in both gRPC
 and RESTful style at the same time.
 .
 This package contains the development files.

Package: golang-github-gtank-cryptopasta-dev
Description-md5: 960bbcdf07b757548e2839dcd09bb860
Description-en: copy & paste-friendly golang crypto
 This library demonstrates a suite of basic cryptography from the Go standard
 library. To the extent possible, it tries to hide complexity and help one
 avoid common mistakes. The recommendations were chosen as a compromise between
 cryptographic qualities, the Go standard lib, and the author's existing use
 cases.

Package: golang-github-gucumber-gucumber-dev
Description-md5: 6fb6237198f35bb47c2afb4e30cb50b3
Description-en: Cucumber BDD-style testing for Go -- source
 An implementation of Cucumber (http://cukes.info) BDD-style testing
 for Go.
 .
 This package provides the source files.

Package: golang-github-guptarohit-asciigraph-dev
Description-md5: 1e0ede96ca915c4214be482aa80e78c2
Description-en: Make lightweight ASCII line graph in CLI apps with no other dependencies
 This package is a Golang port of library asciichart
 written by @kroitor (https://github.com/kroitor).
 .
 Console ASCII line charts in pure Golang with no dependencies.

Package: golang-github-hailocab-go-hostpool-dev
Description-md5: b90d097b24c8f800b8625b27efeeeb13
Description-en: flexibly pool among multiple hosts from Go application
 go-hostpool is a Go package to intelligently and flexibly pool among
 multiple hosts from your Go application. Host selection can operate in
 round robin or epsilon greedy mode, and unresponsive hosts are avoided.

Package: golang-github-hansrodtang-randomcolor-dev
Description-md5: 0b0aa2bb2ec195d0e81b461ea9b25d34
Description-en: random color generator
 This package contains a random color generator. It is based on
 David Merfields randomColor.js but written in Go.
 .
 You can pass an options object to influence the type of color
 it produces.

Package: golang-github-hanwen-go-fuse-dev
Description-md5: 83c6e2a2d4ae6b6fc26ac4475c08b42b
Description-en: Native Go bindings for the FUSE kernel module
 This package offers native Go bindings for FUSE, and has several features:
 .
  * High speed: as fast as libfuse using the gc compiler for single
    threaded loads.
 .
  * Supports in-process mounting of different FileSystems onto
    subdirectories of the FUSE mount.
 .
  * Supports 3 interfaces for writing filesystems:
    - PathFileSystem: define filesystems in terms path names.
    - NodeFileSystem: define filesystems in terms of inodes.
    - RawFileSystem: define filesystems in terms of FUSE's raw
      wire protocol.
 .
  * Both NodeFileSystem and PathFileSystem support manipulation of true
    hardlinks.
 .
 The package also includes two fleshed out examples, zipfs and unionfs.

Package: golang-github-hanwen-usb-dev
Description-md5: b47256c8d65cd586ea3c7525c0350217
Description-en: CGO bindings for libusb
 These are CGO bindings for libusb, created and tested on Linux.
 .
 They used to be part of go-mtpfs, a FUSE filesystem for mounting MTP
 devices on Linux.

Package: golang-github-hashicorp-atlas-go-dev
Description-md5: cc5c5dd605630471e2ee4617088cc566
Description-en: official Go client for HashiCorp's Atlas
 Atlas is an integrated toolset which wraps up individual tools from
 HashiCorp (Vagrant, Packer, Terraform, and others). It spans a whole
 devops line from development to production as a commercial service.
 Atlas-go is a library for the Go programming language which provides
 access to the API of Atlas.

Package: golang-github-hashicorp-consul-dev
Description-md5: 8d97aeea7e05ec2a7960bd72e0e46aa5
Description-en: tool for service discovery, monitoring and configuration (source)
 Consul is a tool for service discovery and configuration. Consul is
 distributed, highly available, and extremely scalable.
 .
 Consul provides several key features:
 .
  - Service Discovery - Consul makes it simple for services to register
    themselves and to discover other services via a DNS or HTTP interface.
    External services such as SaaS providers can be registered as well.
 .
  - Health Checking - Health Checking enables Consul to quickly alert operators
    about any issues in a cluster. The integration with service discovery
    prevents routing traffic to unhealthy hosts and enables service level
    circuit breakers.
 .
  - Key/Value Storage - A flexible key/value store enables storing dynamic
    configuration, feature flagging, coordination, leader election and more.
    The simple HTTP API makes it easy to use anywhere.
 .
  - Multi-Datacenter - Consul is built to be datacenter aware, and can support
    any number of regions without complex configuration.
 .
 Consul runs on Linux, Mac OS X, and Windows. It is recommended to run the
 Consul servers only on Linux, however.
 .
 This package contains the source.

Package: golang-github-hashicorp-errwrap-dev
Description-md5: 8f9ccf8319716d5c9b3fe6b07ffaa505
Description-en: Errwrap is a Go (golang) library for wrapping and querying errors
 errwrap is a package for Go that formalizes the pattern of wrapping errors and
 checking if an error contains another error.
 .
 There is a common pattern in Go of taking a returned error value and then
 wrapping it (such as with fmt.Errorf) before returning it. The problem with
 this pattern is that you completely lose the original error structure.
 .
 Arguably the correct approach is that you should make a custom structure
 implementing the error interface, and have the original error as a field on
 that structure, such as this example. This is a good approach, but you have to
 know the entire chain of possible rewrapping that happens, when you might just
 care about one.
 .
 errwrap formalizes this pattern (it doesn't matter what approach you use above)
 by giving a single interface for wrapping errors, checking if a specific error
 is wrapped, and extracting that error.
 .
 This package contains the source.

Package: golang-github-hashicorp-go-bexpr-dev
Description-md5: 49509ecc3e8c870a374b7db1bcc0968f
Description-en: generic boolean expression evaluation in Golang
 Bexpr is a Golang library to provide generic boolean expression evaluation
 and filtering for Go data structures.

Package: golang-github-hashicorp-go-checkpoint-dev
Description-md5: 5cdc7c3b4398d59fd9f7662973713532
Description-en: Go Checkpoint Client
 Checkpoint is an internal service at Hashicorp that is used to check version
 information, broadcoast security bulletins, etc.
 .
 Since software making remote calls over the internet without being expressly
 bidden is not in line with the DFSG, this package has been patched to be
 disabled by default and is explicit opt-in instead ("CHECKPOINT_ENABLE=1
 some-software", ie "CHECKPOINT_ENABLE=1 packer build").
 .
 This package contains the source.

Package: golang-github-hashicorp-go-cleanhttp-dev
Description-md5: 666b58b21d02ffa210360802acbe29c5
Description-en: Functions for accessing "clean" Go http.Client values
 The Go standard library contains a default http.Client called
 http.DefaultClient. It is a common idiom in Go code to start with
 http.DefaultClient and tweak it as necessary, and in fact, this is encouraged;
 from the http package documentation:
 .
   The Client's Transport typically has internal state (cached TCP connections),
   so Clients should be reused instead of created as needed. Clients are safe
   for concurrent use by multiple goroutines.
 .
 Unfortunately, this is a shared value, and it is not uncommon for libraries to
 assume that they are free to modify it at will. With enough dependencies, it
 can be very easy to encounter strange problems and race conditions due to
 manipulation of this shared value across libraries and goroutines (clients are
 safe for concurrent use, but writing values to the client struct itself is not
 protected).
 .
 Making things worse is the fact that a bare http.Client will use a default
 http.Transport called http.DefaultTransport, which is another global value that
 behaves the same way. So it is not simply enough to replace http.DefaultClient
 with &http.Client{}.
 .
 This repository provides some simple functions to get a "clean" http.Client --
 one that uses the same default values as the Go standard library, but returns a
 client that does not share any state with other clients.
 .
 This package contains the source.

Package: golang-github-hashicorp-go-discover-dev
Description-md5: 7343d4b9780d01b2c0c4f03c6188f2ab
Description-en: discover nodes in cloud environments
 Go-discover is a Golang library and command line tool to discover ip
 addresses of nodes in cloud environments based on meta information like
 tags provided by the environment.

Package: golang-github-hashicorp-go-gcp-common-dev
Description-md5: a4564dfc2b9ba4d6f09e336c16b2d3bd
Description-en: common code shared by GCP-maintained plugins
 Vault-Google Cloud Platform Common Library Utils for Vault/GCP
 integrations. This package includes helpers for:
  - Parsing GCP credential JSON or finding default credentials
  - Various helpers for some GCP APIs
 .
 This library was created to be shared by Vault-GCP integrations
 for example the: - GCP Auth Plugin

Package: golang-github-hashicorp-go-getter-dev
Description-md5: 4104075306af1b6b87c4c60eed5d5fd2
Description-en: download from a URL using a variety of protocols
 Go-getter is a library for Golang to download files or directories from
 various sources using a URL as the primary form of input.
 .
 The power of this library is being flexible in being able to download from
 a number of different sources (file paths, Git, HTTP, Mercurial, etc.)
 using a single string as input. This removes the burden of knowing how
 to download from a variety of sources from the implementer.

Package: golang-github-hashicorp-go-hclog-dev
Description-md5: 406f066f302fcfa99cd23c4b7b013fa4
Description-en: common logging package for HashiCorp tools
 go-hclog is a package for Go that provides a simple key/value logging
 interface for use in development and production environments.
 .
 It provides logging levels that provide decreased output based upon the
 desired amount of output, unlike the standard library log package.
 .
 It provides Printf style logging of values via hclog.Fmt().
 .
 It provides a human readable output mode for use in development as well
 as JSON output mode for production.

Package: golang-github-hashicorp-go-immutable-radix-dev
Description-md5: f80006a871f889e38ff3eb8dba37bdc7
Description-en: immutable radix tree implementation in Golang
 Golang implementation of an immutable radix tree
 (http://en.wikipedia.org/wiki/Radix_tree).
 The package only provides a single Tree implementation, optimized for
 sparse nodes.

Package: golang-github-hashicorp-go-memdb-dev
Description-md5: 3c665c6545a6279ab58495b8fb1ac286
Description-en: in-memory database built on immutable radix trees
 Implementation of a simple in-memory database built on immutable radix
 trees. The database provides Atomicity, Consistency and Isolation from
 ACID. Being that it is in-memory, it does not provide durability. The
 database is instantiated with a schema that specifies the tables and
 indicies that exist and allows transactions to be executed.

Package: golang-github-hashicorp-go-msgpack-dev
Description-md5: c7909a8d3442189a3e0dd8c9c2efd7b7
Description-en: encode/decode and rpc library for msgpack and Binc
 High Performance, Feature-Rich Idiomatic Go codec/encoding library
 for binc, msgpack, cbor, json. The idiomatic Go support is as seen
 in other encoding packages in the standard library (ie json, xml, gob, etc).

Package: golang-github-hashicorp-go-multierror-dev
Description-md5: dc47eb8f2e548f41ec06fdf2648a6380
Description-en: Go package for representing a list of errors as a single error
 go-multierror is a package for Go that provides a mechanism for representing a
 list of error values as a single error.
 .
 This allows a function in Go to return an error that might actually be a list
 of errors. If the caller knows this, they can unwrap the list and access the
 errors. If the caller doesn't know, the error formats to a nice human-readable
 format.
 .
 go-multierror implements the errwrap interface so that it can be used with that
 library, as well.
 .
 This package contains the source.

Package: golang-github-hashicorp-go-plugin-dev
Description-md5: e28fc93bd74c06fb9455ae596066de2d
Description-en: Golang plugin system over RPC
 Plugin System over RPC.

Package: golang-github-hashicorp-go-raftchunking-dev
Description-md5: 8437be50ec3108a0d4fa1367d5c6cf84
Description-en: chunking middleware for use by Raft clients
 Chunking middleware library for use by Raft clients.

Package: golang-github-hashicorp-go-reap-dev
Description-md5: 9e4a3825e3bb48732432066a4ce25b6c
Description-en: child process reaping utilities for Go
 go-reap provides a simple set of functions for reaping child processes.
 This is useful for running applications as PID 1 in a Docker container.

Package: golang-github-hashicorp-go-retryablehttp-dev
Description-md5: 6078ef296c973516077e3915529ea3fa
Description-en: retryable HTTP client in Go
 This package provides a familiar HTTP client interface with
 automatic retries and exponential backoff. It is a thin wrapper over
 the standard net/http client library and exposes nearly the same public
 API. This makes retryablehttp very easy to drop into existing programs.

Package: golang-github-hashicorp-go-rootcerts-dev
Description-md5: d6733efafc469c5729c2edbc52d0443d
Description-en: functions for loading root certificates for TLS connections
 Go's standard library crypto/tls provides a common mechanism for
 configuring TLS connections in tls.Config. The RootCAs field on this
 struct is a pool of certificates for the client to use as a trust store
 when verifying server certificates.
 .
 This library contains utility functions for loading certificates destined
 for that field, as well as one other important thing:
 .
 When the RootCAs field is nil, the standard library attempts to load the
 host's root CA set.  This behavior is OS-specific, and the Darwin
 implementation contains a bug that prevents trusted certificates from the
 System and Login keychains from being loaded. This library contains
 Darwin-specific behavior that works around that bug.

Package: golang-github-hashicorp-go-safetemp-dev
Description-md5: 04f2962a34f12b1da5722d248ebadc24
Description-en: Functions for working safely with temporary files and directories
 go-safetemp are functions for safely working with temporary directories
 and files.
 .
 The Go standard library provides the excellent ioutil package for working with
 temporary directories and files. This library builds on top of that to provide
 safe abstractions above that.

Package: golang-github-hashicorp-go-sockaddr-dev
Description-md5: ad96b19803c8948df11cfcc7ee8a3c9c
Description-en: IP address/UNIX socket convenience functions for Go
 go-sockaddr is a convenience library that makes doing the right thing with IP
 addresses easy.  go-sockaddr is loosely modeled after the UNIX sockaddr_t and
 creates a union of the family of sockaddr_t types.
 .
 The primary intent of the library was to make it possible
 to define heuristics for selecting the correct IP addresses
 when a configuration is evaluated at runtime.

Package: golang-github-hashicorp-go-syslog-dev
Description-md5: c294a81e7f9f2154dbf3b776adefc448
Description-en: Golang syslog wrapper, cross-compile friendly
 This package provides a very simple gsyslog package. The point of this package
 is to allow safe importing of syslog without introducing cross-compilation
 issues. The stdlib log/syslog cannot be imported on Windows systems, and
 without conditional compilation this adds complications.
 .
 Instead, gsyslog provides a very simple wrapper around log/syslog but returns a
 runtime error if attempting to initialize on a non Linux or OSX system.
 .
 This package contains the source.

Package: golang-github-hashicorp-go-uuid-dev
Description-md5: a1537710511d7e885bef0bfb7b9ba15b
Description-en: generate UUID-format strings using high quality random bytes
 Generates UUID-format strings using high quality, purely random bytes.
 It can also parse UUID-format strings into their component bytes.

Package: golang-github-hashicorp-go-version-dev
Description-md5: 67c03e52a43fa936032e23aca81aea64
Description-en: library for parsing and verifying versions and version constraints
 go-version is a library for parsing versions and version constraints, and
 verifying versions against a set of constraints. go-version can sort a
 collection of versions properly, handles prerelease/beta versions, can
 increment versions, etc. Versions used with go-version must follow SemVer.

Package: golang-github-hashicorp-golang-lru-dev
Description-md5: 34f5af38bd777328acd4427762c8c92b
Description-en: Golang LRU cache
 This provides the lru package which implements a fixed-size thread safe LRU
 cache. It is based on the cache in Groupcache.
 .
 This package contains the source.

Package: golang-github-hashicorp-hcl-dev
Description-md5: 15e00736f8c0cb624d83846e818d99f1
Description-en: Go implementation of HashiCorp Configuration Language
 HCL (HashiCorp Configuration Language) is a configuration language built by
 HashiCorp. The goal of HCL is to build a structured configuration language that
 is both human and machine friendly for use with command-line tools, but
 specifically targeted towards DevOps tools, servers, etc.
 .
 HCL is also fully JSON compatible. That is, JSON can be used as completely
 valid input to a system expecting HCL. This helps makes systems interoperable
 with other systems.
 .
 HCL is heavily inspired by libucl, nginx configuration, and others similar.
 .
 This package contains the source.

Package: golang-github-hashicorp-hil-dev
Description-md5: 9d9421a14e3cee2444eee6f439db92d0
Description-en: small embedded language for string interpolations
 HIL (HashiCorp Interpolation Language) is a lightweight embedded language
 used primarily for configuration interpolation. The goal of HIL is to make
 a simple language for interpolations in the various configurations of
 HashiCorp tools.

Package: golang-github-hashicorp-logutils-dev
Description-md5: 419510a434b7c4044d87833e2325e985
Description-en: Utilities for slightly better logging in Go
 logutils is a Go package that augments the standard library "log" package to
 make logging a bit more modern, without fragmenting the Go ecosystem with new
 logging packages.
 .
 This package contains the source.

Package: golang-github-hashicorp-mdns-dev
Description-md5: 4b2e95540f66687f17f7035c57acc9d9
Description-en: simple mDNS client/server library in Golang
 Simple mDNS client/server library in Golang. mDNS or Multicast DNS can be used
 to discover services on the local network without the use of an authoritative
 DNS server. This enables peer-to-peer discovery. It is important to note that
 many networks restrict the use of multicasting, which prevents mDNS from
 functioning. Notably, multicast cannot be used in any sort of cloud, or shared
 infrastructure environment. However it works well in most office, home, or
 private infrastructure environments.
 .
 This package contains the source.

Package: golang-github-hashicorp-memberlist-dev
Description-md5: b875e52b0f5b6e3a76d700941fa09b3e
Description-en: Golang package for gossip based membership and failure detection
 memberlist is a Go library that manages cluster membership and member failure
 detection using a gossip based protocol.
 .
 The use cases for such a library are far-reaching: all distributed systems
 require membership, and memberlist is a re-usable solution to managing cluster
 membership and node failure detection.
 .
 memberlist is eventually consistent but converges quickly on average. The speed
 at which it converges can be heavily tuned via various knobs on the protocol.
 Node failures are detected and network partitions are partially tolerated by
 attempting to communicate to potentially dead nodes through multiple routes.
 This package contains the source.

Package: golang-github-hashicorp-net-rpc-msgpackrpc-dev
Description-md5: cf1d2729cec4178233c9ab6d7786fae7
Description-en: Library for creating Go RPC client/server
 This library provides the same functions as net/rpc/jsonrpc but for
 communicating with MessagePack instead. The library is modeled directly after
 the Go standard library so it should be easy to use and obvious.
 .
 This package contains the source.

Package: golang-github-hashicorp-raft-boltdb-dev
Description-md5: b4f2d3552594e123504b70e774a76d5f
Description-en: Raft backend implementation using BoltDB
 provides the raftboltdb package. The package exports the BoltStore which
 is an implementation of both a LogStore and StableStore. It is meant to be
 used as a backend for the raft package.

Package: golang-github-hashicorp-raft-dev
Description-md5: 20feac8931069c0bd0968ff9b987b417
Description-en: implementation of the Raft consensus protocol
 raft is a Go library that manages a replicated log and can be
 used with an FSM to manage replicated state machines. It is
 a library for providing consensus.

Package: golang-github-hashicorp-scada-client-dev
Description-md5: 02adea7893a8c6d8005f79f2650e7749
Description-en: Golang client to the HashiCorp SCADA system
 This library provides a Golang client for the HashiCorp SCADA service. SCADA
 stands for Supervisory Control And Data Acquisition, and as the name implies it
 allows Atlas to provide control functions and request data from the tools that
 integrate.
 .
 The technical details about how SCADA works are fairly simple. Clients first
 open a connection to the SCADA service at scada.hashicorp.com on port 7223.
 This connection is secured by TLS, allowing clients to verify the identity of
 the servers and to encrypt all communications. Once connected, a handshake is
 performed where a client provides it's Atlas API credentials so that Atlas can
 verify the client identity. Once complete, clients keep the connection open in
 an idle state waiting for commands to be received. Commands map to APIs exposed
 by the product, and are subject to any ACLs, authentication or authorization
 mechanisms of the client.
 .
 This library is used in various HashiCorp products to integrate with the SCADA
 system.
 .
 This package contains the source.

Package: golang-github-hashicorp-serf-dev
Description-md5: 7cb1d729754ba49a0831e2ab43918679
Description-en: Service orchestration and management tool (source)
 Serf is a decentralized solution for service discovery and orchestration that
 is lightweight, highly available, and fault tolerant.
 .
 Serf runs on Linux, Mac OS X, and Windows. An efficient and lightweight gossip
 protocol is used to communicate with other nodes. Serf can detect node failures
 and notify the rest of the cluster. An event system is built on top of Serf,
 letting you use Serf's gossip protocol to propagate events such as deploys,
 configuration changes, etc. Serf is completely masterless with no single point
 of failure.
 .
 This package contains the source.

Package: golang-github-hashicorp-terraform-svchost-dev
Description-md5: ee75d50fb2afd56e412015a2cc89de44
Description-en: handling of friendly hostnames for terraform
 This package deals with the representations of the so-called "friendly
 hostnames" that are used to represent systems that provide Terraform-native
 remote services, such as module registry, remote operations, etc.
 .
 Friendly hostnames are specified such that, as much as possible, they
 are consistent with how web browsers think of hostnames, so that users
 can bring their intuitions about how hostnames behave when they access
 a Terraform Enterprise instance's web UI (or indeed any other website)
 and have this behave in a similar way.

Package: golang-github-hashicorp-uuid-dev
Description-md5: 5deafdfdc6bf2752629b6f6b54a44d92
Description-en: UUID generation using purely high quality random bytes
 Generates UUID-format strings using purely high quality random bytes.

Package: golang-github-hashicorp-yamux-dev
Description-md5: ce35ea01ca154858acf19f5156aea013
Description-en: Golang connection multiplexing library
 Yamux (Yet another Multiplexer) is a multiplexing library for Golang. It relies
 on an underlying connection to provide reliability and ordering, such as TCP or
 Unix domain sockets, and provides stream-oriented multiplexing. It is inspired
 by SPDY but is not interoperable with it.
 .
 Yamux features include:
 .
   - Bi-directional streams
     - Streams can be opened by either client or server
     - Useful for NAT traversal
     - Server-side push support
   - Flow control
     - Avoid starvation
     - Back-pressure to prevent overwhelming a receiver
   - Keep Alives
     - Enables persistent connections over a load balancer
   - Efficient
     - Enables thousands of logical streams with low overhead
 .
 This package contains the source.

Package: golang-github-hawkular-hawkular-client-go-dev
Description-md5: 2777084e48f1be6b61b2af8189a727cd
Description-en: Golang client for Hawkular
 Native Golang client for Hawkular.

Package: golang-github-heroku-rollrus-dev
Description-md5: 3d2b5fd5ec78e61318c55ac906792330
Description-en: Logrus Rollbar Hook
 Rollrus is what happens when Logrus (https://github.com/sirupsen/logrus)
 meets Roll (https://github.com/stvp/roll).
 .
 When a .Error, .Fatal or .Panic logging function is called, report the
 details to rollbar via a Logrus hook.
 .
 Delivery is synchronous to help ensure that logs are delivered.
 .
 If the error includes a StackTrace, that StackTrace is reported to rollbar.

Package: golang-github-hetznercloud-hcloud-go-dev
Description-md5: e9aa8eb509c8f18e154c936c3a3922e3
Description-en: library for the Hetzner Cloud API
 This package is a library written in Go for the Hetzner Cloud API
 Build status.
 .
 The Hetzner Cloud API operates over HTTPS and uses JSON as its data
 format. The API is a RESTful API and utilizes HTTP methods and HTTP
 status codes to specify requests and responses.

Package: golang-github-hillu-go-yara-dev
Description-md5: abaef9434f93de3c53b6e62444b772b0
Description-en: Go bindings for YARA
 Go bindings for YARA (http://plusvic.github.io/yara/), a description language
 and scanning engine for malware families based on textual or binary patterns.
 The bindings' intention is to stay as close as sensible to the library's C
 API while taking inspiration from the yara-python implementation.

Package: golang-github-hlandau-buildinfo-dev
Description-md5: 0e47e880b8b8e90ae053f470bd67707e
Description-en: Go build information utilities
 This package provides small build information utilities for tracking Go binary
 version information. Rather than trying to assign a linear version number to
 a binary, the tag names and version control commit hashes of all dependencies
 are tracked. This information is embedded into the binary at build time.
 .
 The package is a build dependency of acmetool.

Package: golang-github-hlandau-dexlogconfig-dev
Description-md5: 3b4cd8217a34c262b80897775942b395
Description-en: logging configuration package for Go
 This is a policy package to configure the xlog package by the same author.
 .
 The package is a build dependency of acmetool.

Package: golang-github-hlandau-goutils-dev
Description-md5: 869f51e31d6e87535335c1b1378962b1
Description-en: miscellaneous Go utility packages
 This package contains miscellaneous Go utility packages.
 .
 The package is a build dependency of acmetool.

Package: golang-github-hlandau-xlog-dev
Description-md5: fdac69e831d0ba7bac7c9206fd40b94a
Description-en: logging library for Go
 This package provides a hierarchical, configurable logging system
 suitable for use in libraries.

Package: golang-github-hmrc-vmware-govcd-dev
Description-md5: 934c0b8d6d95f1bb0177625d1cd69c90
Description-en: vCloud Air API bindings for Golang
 This package was originally forked from github.com/vmware/govcloudair
 before pulling in rickard-von-essen's great changes to allow using a
 vCloud Director API. On top of this some features have been added for
 a terraform provider for vCloud.

Package: golang-github-howeyc-fsnotify-dev
Description-md5: 1e6c4f6e4bfa973c199c5b01328fe096
Description-en: File system notification for Go
 Go library to support file system notification.
 .
 It support Windows, Linux, BSD and OS X.

Package: golang-github-howeyc-gopass-dev
Description-md5: 6c7512f8cf5bed9af937bbe18202e7d8
Description-en: getpasswd for Go
 getpasswd in Go GoDoc (https://godoc.org/github.com/howeyc/gopass) Build
 Status (http://travis-ci.org/howeyc/gopass) Retrieve password from user
 terminal or piped input without echo.
 .
 Verified on BSD, Linux, and Windows.
 .
 Example: ```go package main
 .
 import "fmt" import "github.com/howeyc/gopass"
 .
 func main() {
     fmt.Printf("Password: ")
 // Silent. For printing *'s use gopass.GetPasswdMasked() pass, err :=
 gopass.GetPasswd() if err != nil {
     // Handle gopass.ErrInterrupted or getch() read error
 }
 .
 // Do something with pass
 .
 } ```
 .
 Caution: Multi-byte characters not supported!

Package: golang-github-htcat-htcat-dev
Description-md5: 9601b239ae350be763571009ede6bc8a
Description-en: Parallel and Pipelined HTTP GET Utility for golang
 htcat is a utility to perform parallel, pipelined execution of a
 single HTTP GET.

Package: golang-github-huandu-xstrings-dev
Description-md5: a0d86bef4b38720bad098fe16c8c505f
Description-en: Package xstrings: A collection of useful string functions in Go.
 Go package xstrings is a collection of string functions, which are
 widely used in other languages but absent in Go package strings.
 .
 All functions are well tested and carefully tuned for performance.

Package: golang-github-huin-goupnp-dev
Description-md5: afa44952d183b5044dcfdf9a4e91bf17
Description-en: UPnP library for Go
 goupnp is an implementation of a client for various UPnP services.
 .
 For most uses, it is recommended to use the code-generated packages
 under github.com/huin/goupnp/dcps.

Package: golang-github-hydrogen18-stalecucumber-dev
Description-md5: ab442b6c103c6c20b232af623ba654f8
Description-en: Reader and writer for Python's pickle format in Golang
 Stalecucumber reads and writes pickled data. The format is
 the same as the Python "pickle" module.
 .
 Protocols 0,1,2 are implemented. These are the versions written by the
 Python 2.x series. Python 3 defines newer protocol versions, but can
 write the older protocol versions so they are readable by this package.

Package: golang-github-hydrogen18-stoppablelistener-dev
Description-md5: 087900c45833d36be54bc405d399182d
Description-en: stoppable TCP listener in Go
 This library wraps an existing TCP connection object. A goroutine calling
 Accept() is interrupted with StoppedError whenever the listener is stopped
 by a call to Stop().

Package: golang-github-ianlancetaylor-demangle-dev
Description-md5: 024d60dbafcc853d006d8a5665b8193f
Description-en: C++ symbol name demangler written in Go
 A Go package that can be used to demangle C++ symbol names.

Package: golang-github-icrowley-fake-dev
Description-md5: c636b91a11cf72984177639062f8a648
Description-en: fake data generator for Golang
 This package contains a fake data generator for Go (Golang), heavily
 inspired by the forgery and ffaker Ruby gems.  About Most data and
 methods are ported from forgery/ffaker Ruby gems.  For the list of
 available methods please look at https://godoc.org/github.com/icrowley/fake.
 Currently English and Russian languages are available.

Package: golang-github-imdario-mergo-dev
Description-md5: e106cc57daa2db9e505339c45a2ac7c3
Description-en: Functions to merge structs and maps in Go
 Mergo is a set of helper functions to merge structs and maps in
 the Go language.  It is useful for configuration default values,
 avoiding messy if-statements in initialisation code.

Package: golang-github-inconshreveable-go-update-dev
Description-md5: 1477e25cc7ca09b865982b40845f6672
Description-en: Build self-updating Golang programs
 go-update provides functionality to implement secure, self-updating Go
 programs (or other single-file targets). A program can update itself by
 replacing its executable file with a new version.
 .
 It provides the flexibility to implement different updating user
 experiences like auto-updating, or manual user-initiated updates. It
 also boasts advanced features like binary patching and code signing
 verification.

Package: golang-github-inconshreveable-log15-dev
Description-md5: 7b007c203c9c905810a96ebb505c083d
Description-en: structured, composable logging for Go
 package log15 provides an opinionated, simple toolkit for
 best-practice logging in Go (golang) that is both human
 and machine readable. It is modeled after the Go standard
 library's io and net/http packages and is an alternative
 to the standard library's log package.

Package: golang-github-inconshreveable-mousetrap-dev
Description-md5: b06f5182800fa0803933722694d48977
Description-en: Go library to detect starting from Windows Explorer
 mousetrap is a tiny Go library that answers a single question:
 On a Windows machine, was the process invoked by someone double clicking
 on the executable file while browsing in Explorer?
 .
 Motivation: Windows users unfamiliar with command line tools will
 often "double-click" the executable for a tool. Because most CLI tools
 print the help and then exit when invoked without arguments, this is
 often very frustrating for those users.
 .
 mousetrap provides a way to detect these invocations so
 that you can provide more helpful behavior and instructions
 on how to run the CLI tool.

Package: golang-github-inconshreveable-muxado-dev
Description-md5: 55cced1b0096cfb205573994afd1b6d2
Description-en: Stream multiplexing for Go
 What is stream multiplexing?
 .
 Imagine you have a single stream (a bi-directional stream of bytes) like a TCP
 connection. Stream multiplexing is a method for enabling the transmission of
 multiple simultaneous streams over the one underlying transport stream.
 .
 What is muxado?
 .
 muxado is an implementation of a stream multiplexing library in Go that can be
 layered on top of a net.Conn to multiplex that stream. muxado's protocol is not
 currently documented explicitly, but it is very nearly an implementation of the
 HTTP2 framing layer with all of the HTTP-specific bits removed. It is heavily
 inspired by HTTP2, SPDY, and WebMUX.
 .
 How does it work?
 .
 Simplifying, muxado chunks data sent over each multiplexed stream and transmits
 each piece as a "frame" over the transport stream. It then sends these frames,
 often interleaving data for multiple streams, to the remote side. The remote
 endpoint then reassembles the frames into distinct streams of data which are
 presented to the application layer.
 .
 What good is it anyways?
 .
 A stream multiplexing library is a powerful tool for an application developer's
 toolbox which solves a number of problems:
 .
   - It allows developers to implement asynchronous/pipelined protocols with
     ease. Instead of matching requests with responses in your protocols, just
     open a new stream for each request and communicate over that.
   - muxado can do application-level keep-alives and dead-session detection so
     that you don't have to write heartbeat code ever again.
   - You never need to build connection pools for services running your
     protocol. You can open as many independent, concurrent streams as you need
     without incurring any round-trip latency costs.
   - muxado allows the server to initiate new streams to clients which is
     normally very difficult without NAT-busting trickery.
 .
 This package contains the source.

Package: golang-github-influxdata-go-syslog-dev
Description-md5: f5f4891627f978b21ace16fb2230bfbf
Description-en: Go parser for syslog messages
 This package provides:
 • a RFC5424-compliant parser
 • a RFC5424-compliant builder
 • a RFC5425-compliant parser

Package: golang-github-influxdata-influxql-dev
Description-md5: ba1eb6db4afeee48d4ccac36bc6060ec
Description-en: parser for the InfluxDB query language
 Package influxql implements a parser for the InfluxDB query language.
 .
 InfluxQL is a SQL-like query language for interacting with InfluxDB.
 It has been lovingly crafted to feel familiar to those coming from other
 SQL or SQL-like environments while providing features specific to storing
 and analyzing time series data.

Package: golang-github-influxdata-line-protocol-dev
Description-md5: a494a9d5708d6636588e3f77a1c67cb1
Description-en: InfluxDB line protocol implementation
 Package protocol contains an implementation of the InfluxDB
 line protocol.

Package: golang-github-influxdata-tail-dev
Description-md5: e22c8835c2e9e504195cd9eaf92c0af4
Description-en: Go package for reading from continuously updated files (tail -f)
 tail is a Go library striving to emulate the features of the BSD tail program
 (like tail -f). It comes with full support for truncation/move detection
 as it is designed to work with log rotation tools.

Package: golang-github-influxdata-tdigest-dev
Description-md5: 14ec7df648e69ae4f4d2b4e2c3431c24
Description-en: Ted Dunning's t-digest in Go
 tdigest is an implementation of Ted Dunning's t-digest
 in Go.
 .
 The implementation is based off Derrick Burns' C++ implementation.

Package: golang-github-influxdata-toml-dev
Description-md5: 9430ec14d8b8c85e74f0c415012d9672
Description-en: TOML parser and encoder library for Golang
 This is the Influxdata fork of the official TOML package. It supports
 additional data types, documenting TOML fields, and nicer output.

Package: golang-github-influxdata-wlog-dev
Description-md5: 49347864546bf87a6e39f542828f1e05
Description-en: simple log level based Golang logger
 Provides an io.Writer that filters log messages based on a log level
 prefix. Valid log levels are: DEBUG, INFO, WARN, ERROR, OFF.

Package: golang-github-influxdata-yamux-dev
Description-md5: 0264e9148991d021dced4d8c2fa2b861
Description-en: Golang connection multiplexing library
 Yamux (Yet another Multiplexer) is a multiplexing library for Golang.
 It relies on an underlying connection to provide reliability and
 ordering, such as TCP or Unix domain sockets, and provides stream-oriented
 multiplexing. It is inspired by SPDY but is not interoperable with it.

Package: golang-github-influxdata-yarpc-dev
Description-md5: 597833b35a7c86683d538dafbe19d4e6
Description-en: Yet Another RPC for Go - dev package
 yarpc is Yet Another RPC package for Go.
 .
 This is the dev package.

Package: golang-github-influxdb-enterprise-client-dev
Description-md5: 27377da745837b7760aff73cf720eb52
Description-en: Golang client for speaking to the InfluxDB Enterprise application
 The enterprise-client package is used to speak with the Enterprise
 API in a simple and straight forward way. No muss, no fuss!

Package: golang-github-influxdb-influxdb-dev
Description-md5: 2b8dad855d6efaa79da9c90da171b9f5
Description-en: Scalable datastore for metrics, events, and real-time analytics. Dev package
 InfluxDB is a time series, metrics, and analytics database. It’s written
 in Go and has no external dependencies. That means once you install it
 there’s nothing else to manage (such as Redis, ZooKeeper, Cassandra,
 HBase, or anything else). InfluxDB is targeted at use cases for DevOps,
 metrics, sensor data, and real-time analytics.
 .
 This is the dev package.

Package: golang-github-influxdb-usage-client-dev
Description-md5: 6db63ca7c48efcc5572ef677f3f37909
Description-en: library for speaking to the InfluxDB Anonymous Usage Reporting API
 The usage-client package is used to speak with the InfluxDB
 Annonymous Usage API in a simple and straight forward way.
 No muss, no fuss!

Package: golang-github-integrii-flaggy-dev
Description-md5: a9509946a532b8e41b9818e3cdc76fb7
Description-en: Idiomatic Go input parsing with subcommands, positional values, and flags
 Sensible and fast command-line flag parsing with excellent support
 for subcommands and positional values. Flags can be at any position.
 Flaggy has no required project or package layout like Cobra requires
 and no external dependencies!

Package: golang-github-intel-tfortools-dev
Description-md5: 49375791ae1ed140301232430023101a
Description-en: template scripting support to go programs
 Package tfortools provides a set of functions that are designed to make
 it easier for developers to add template based scripting to their command
 line tools.
 .
 Command line tools written in Go often allow users to specify a template
 script to tailor the output of the tool to their specific needs. This can
 be useful both when visually inspecting the data and also when invoking
 command line tools in scripts. The best example of this is go list which
 allows users to pass a template script to extract interesting information
 about Go packages.

Package: golang-github-ishidawataru-sctp-dev
Description-md5: 3eaf69ac40a265a6f3c4a63c87aa991e
Description-en: SCTP library for the Go programming language
 Stream Control Transmission Protocol (SCTP)

Package: golang-github-issue9-assert-dev
Description-md5: bbc2b12eb75237bc2744904f63bd41cf
Description-en: Simple extension to test a series of assert functions
 The issue9-assert library provides a simple extension to testing that
 provides a series of assert functions that are convenient for use in
 test functions
 .
 Example:
    func TestA(t testing.T) {
        v := true
        assert.True(v)
        a := assert.New(t)
        a.True(v)
    }
    // Can also be used for testing.B
    func Benchmark1(b *testing.B) {
        a := assert.New(b)
        v := false
        a.True(v)
        for(i:=0; i<b.N; i++) {
            // do something
        }
    }

Package: golang-github-issue9-identicon-dev
Description-md5: c6bd9174aa5ab62a44281e60eae4cf30
Description-en: generate an icon from identity information
 This Go library generates a stylish icon when provided various bits
 of identity information, such as IP, name, or other arbitrary information.

Package: golang-github-ivpusic-grpool-dev
Description-md5: 3575fe9d95caf8c9bd45862cdd4fbbf6
Description-en: Lightweight Goroutine pool
 Clients can submit jobs. Dispatcher takes job, and sends it to first
 available worker.  When worker is done with processing job, will be
 returned back to worker pool.
 .
 Number of workers and Job queue size is configurable.

Package: golang-github-j-keck-arping-dev
Description-md5: 289f79d54610098e0a2915aa0b076a1c
Description-en: library to ping a host per arp datagram or query a host mac address
 arping is a native go library to ping a host per arp datagram or query a
 host mac address.

Package: golang-github-jackc-fake-dev
Description-md5: cbd68766ac8588dc8e36f740af0f3b73
Description-en: fake data generator for Golang
 This package contains a fake data generator for Go (Golang), heavily
 inspired by the forgery and ffaker Ruby gems.  About Most data and
 methods are ported from forgery/ffaker Ruby gems. Currently English
 and Russian languages are available.

Package: golang-github-jackpal-gateway-dev
Description-md5: dd02a646192e834de5cbc1bce5bd2c95
Description-en: library for discovering the address of a LAN gateway
 gateway is a very simple library for discovering the IP address of the
 local LAN gateway. It provides implementations for Linux, OS X (Darwin)
 and Windows.

Package: golang-github-jacobsa-bazilfuse-dev
Description-md5: 7042d56e1b515f07fb590d69d3af96e1
Description-en: fork of bazil.org/fuse for gcsfuse
 This package is an implementation detail of github.com/jacobsa/fuse, which is
 used by gcsfuse. You likely should be using bazil.org/fuse (contained in
 Debian package golang-bazil-fuse-dev) directly.
 .
 Changes from upstream are:
 .
  * The function SetOption allows for setting arbitrary mount options.

Package: golang-github-jacobsa-crypto-dev
Description-md5: 17840770166690d718f12e0775d5f143
Description-en: Some Go cryptography routines that are not included in the Go standard library
 This repository contains Go packages related to cryptographic standards
 that are not included in the Go standard library. These include:
 • SIV mode (http://go.pkgdoc.org/github.com/jacobsa/crypto/siv),
  which provides deterministic encryption with authentication.
 • CMAC (http://go.pkgdoc.org/github.com/jacobsa/crypto/cmac),
  a message authentication system used by SIV mode.

Package: golang-github-jacobsa-fuse-dev
Description-md5: 40ac9a9ae90475962f92ff57a966b520
Description-en: writing and mounting user-space file systems from Go
 This package allows for writing and mounting user-space file systems from Go.
 It is a wrapper around bazil.org/fuse, which does the heavy lifting. It does
 not make use of the bazil.org/fuse/fs sub-package, which allows for something
 like an object-orientend representation of files and directories, and contains
 a decent amount of canned behavior.
 .
 The chief improvements and/or differences from the bazil.org packages are:
 .
  * No surprises in the form of magic/default behaviors. You must provide an
    implementation for every method in the interface. Embed a
    fuseutil.NotImplementedFileSystem struct to have default implementations
    that return ENOSYS.
 .
  * Every method, struct, and field is thoroughly documented. This may help you
    get your bearings in the world of FUSE, the Linux VFS, traditional file
    system implementations, etc., all of which tend to be very poorly
    documented.
 .
  * Support for arbitrary offsets in directory entries returned by ReadDir.
    (The bazil.org package assumes that offsets must be counts of bytes.)
 .
 The very large disadvantage over using the bazil.org packages is that many
 features have not yet been exposed.

Package: golang-github-jacobsa-gcloud-dev
Description-md5: 2d34026e2266aec88741b8b10ef02e25
Description-en: convenience wrapper around google cloud platform APIs
 This repo contains unofficial code related to Google Cloud Platform services;
 in particular a package for interacting with Google Cloud Storage. The latter
 is just a wrapper around code that exists elsewhere, presenting it with a
 different API.

Package: golang-github-jacobsa-oglematchers-dev
Description-md5: c72ce1f60ef859cff6d872d0c94885de
Description-en: matchers for testing/mocking frameworks such as ogletest
 oglematchers is a package for the Go programming language containing a set of
 matchers, useful in a testing or mocking framework, inspired by and mostly
 compatible with Google Test for C++ and Google JS Test. The package is used by
 the ogletest testing framework and oglemock mocking framework, which may be
 more directly useful to you, but can be generically used elsewhere as well.

Package: golang-github-jacobsa-oglemock-dev
Description-md5: 09b2629b53a642159459c2512e1b5ed0
Description-en: mocking framework for Go
 oglemock is a mocking framework for the Go programming language with the
 following features:
 .
  * An extensive and extensible set of matchers for expressing call
    expectations (provided by the oglematchers package).
  * Clean, readable output that tells you exactly what you need to know.
  * Style and semantics similar to Google Mock and Google JS Test.
  * Seamless integration with the ogletest unit testing framework.
 .
 It can be integrated into any testing framework (including Go's testing
 package), but out of the box support is built in to ogletest and that is the
 easiest place to use it.

Package: golang-github-jacobsa-ogletest-dev
Description-md5: 3c2942148793df6ed5b418526251cdac
Description-en: unit testing framework for Go
 ogletest is a unit testing framework for Go with the following features:
 .
  * An extensive and extensible set of matchers for expressing expectations.
  * Automatic failure messages; no need to say
    t.Errorf("Expected %v, got %v"...).
  * Clean, readable output that tells you exactly what you need to know.
  * Built-in support for mocking through the oglemock package.
  * Style and semantics similar to Google Test and Google JS Test.
 .
 It integrates with Go's built-in testing package, so it works with the go test
 command, and even with other types of test within your package. Unlike the
 testing package which offers only basic capabilities for signalling failures,
 it offers ways to express expectations and get nice failure messages
 automatically.

Package: golang-github-jacobsa-ratelimit-dev
Description-md5: 3d969676c3aa4a34a3750b356b3abe7a
Description-en: Go package for rate limiting
 This package contains code for dealing with rate limiting. See the
 reference (http://godoc.org/github.com/jacobsa/ratelimit) for more info.

Package: golang-github-jacobsa-reqtrace-dev
Description-md5: eba95cd58804e71a5436fbcde0839845
Description-en: simple request tracing
 reqtrace is a package for simple request tracing. It requires nothing of its
 user except:
 .
  * They must use golang.org/x/net/context.
  * They must add a single line to each function they want to be visible in
    traces.
 .
 In particular, reqtrace is console-based and doesn't require an HTTP server.
 .
 Warning: This package is still barebones and in its early days. The author
 reserves the right to make backwards-incompatible changes to its API. But if
 it's useful to you in your current form, have at it.

Package: golang-github-jacobsa-syncutil-dev
Description-md5: f368ec8be0f1f81f1b2a3790b4b457d3
Description-en: code that supplements the Go stdlib sync package
 This package contains code that supplements the sync package from the Go
 standard library. In particular:
 .
  * Bundle, which makes it easy to write code that spawns multiple
    cancellation-aware workers that may fail.
  * InvariantMutex, which makes it possible to automatically check your
    invariants at lock and unlock time.

Package: golang-github-jacobsa-timeutil-dev
Description-md5: 2bf33cce92d2143e2f5728ebf90c7a16
Description-en: code supplementing Go's time package
 This package contains code that supplements the time package from the Go
 standard library. In particular:
 .
  * A Clock interface, with a fake implementation that can be used in tests.
  * Implementations of oglematchers.Matcher for time values.

Package: golang-github-jacobsa-util-dev
Description-md5: dbf90df1011abe05eadcd44f93394dec
Description-en: utility code for jacobsa projects
 This is just a collection of miscellaneous code (such as an LRU cache and a
 password input function) imported by other more interesting projects.

Package: golang-github-jamesclonk-vultr-dev
Description-md5: fb8a687b4dae6215160193248dc43f68
Description-en: Vultr API client library
 Vultr (https://www.vultr.com) API client library, written in Go.

Package: golang-github-jarcoal-httpmock-dev
Description-md5: 8e55f41d98f27f9d38c5b9383fbf420e
Description-en: HTTP mocking for Golang
 This package contains software for easy mocking of http responses from
 external resources.
 .
 Activate starts the mock environment. This should be called before your
 tests run. Under the hood this replaces the Transport on the
 http.DefaultClient with DefaultTransport.

Package: golang-github-jasonish-go-idsrules-dev
Description-md5: 5c849aa061c993728323bc9ec4b7959e
Description-en: Go IDS rule parser
 This package provides a parser for Suricata and Snort style IDS rules.
 This means it contains Go data structures to represent such rules as
 well as the necessary code to parse text input into these structures,
 e.g. via an io.Reader.

Package: golang-github-jbenet-go-context-dev
Description-md5: e9273505cd78ef4af68c3c2fb802a8b6
Description-en: context.Context extensions
 Package context contains some extensions to golang.org/x/net/context.
 Subpackage ctxext provides multiple useful context constructors.
 Subackage ctxio provides io.Reader and io.Writer wrappers that
 respect context.Contexts. Use these at the interface between
 context code and io.

Package: golang-github-jcmturner-gofork-dev
Description-md5: 03bdb480e98c357a347cae602bf08703
Description-en: forked and modified go standard library packages to work around issues
 This repository contains modified Go standard library packages
 for use as work arounds until issues are addressed in the official
 distribution.
 .
 There is no support for these packages.
 .
 These packages should not be generally used. Use the official Go packages
 instead.

Package: golang-github-jdkato-prose-dev
Description-md5: 281105b3d4c144131ba21448da84e0b0
Description-en: Golang library for text processing
 prose is Go library for text (primarily English at the moment)
 processing that supports tokenization, part-of-speech tagging,
 named-entity extraction, and more. The library's functionality is
 split into subpackages designed for modular use.  See the documentation
 at https://godoc.org/github.com/jdkato/prose for more information.

Package: golang-github-jdkato-syllables-dev
Description-md5: 9b8b6c27d04dbe68734bef3a80cc72a2
Description-en: Go syllable counter
 Go port of the JavaScript syllable counter at
 https://github.com/wooorm/syllable
 .
 Forked from https://github.com/mtso/syllables

Package: golang-github-jedisct1-dlog-dev
Description-md5: 7b8b0ba0cecb0c6a1899400b76e3e5d1
Description-en: Super simple logger for Go
 Go's standard logger is fairly limited. As result, kazilion alternatives
 loggers have been written.
 .
 All of these are wonderful. They can make your logs look colorful and
 pretty, buffer things in complicated ways, format data for ElasticSearch,
 and more.
 .
 Cool, but all I wanted is something super dumb, that just exposes
 log.Info(), log.Error() and a couple other standard levels.
 .
 I don't need a super flexible kitchen sink. Just something super basic
 and trivial to use. I just want it to handle different log levels, and
 be able to write simple logs to stderr, to a local file, to syslog and
 to the Windows event log.
 .
 So, here's one more logging library for Go. The dumbest of them
 all. Enjoy.

Package: golang-github-jedisct1-go-clocksmith-dev
Description-md5: 108c63617acfaf50ef7557f97f91ff60
Description-en: A Go sleep-aware sleep() function
 A sleep-aware sleep() function, that doesn't pause (for too long) if the
 system goes to hibernation.

Package: golang-github-jedisct1-go-dnsstamps-dev
Description-md5: 4405be6d4170d509f3b1f68501680fb8
Description-en: DNS Stamps library for Go
 go-dnsstamps DNS Stamps library for Go

Package: golang-github-jedisct1-go-minisign-dev
Description-md5: fc60253652f243872b4c9c135c14cdf5
Description-en: Minisign library for Golang
 go-minisign A Golang library to verify Minisign
 (https://jedisct1.github.io/minisign/) signatures.

Package: golang-github-jedisct1-xsecretbox-dev
Description-md5: 4ef382a0ef0bbef057ad096d17ee58a9
Description-en: Go implementation of crypto_secretbox_xchacha20poly1305
 xsecretbox is a Go implementation of crypto_secretbox_xchacha20poly1305.

Package: golang-github-jeffail-gabs-dev
Description-md5: be84cf77f8989dd182656ef79aa61b31
Description-en: For parsing, creating and editing unknown or dynamic JSON in Go
 Gabs is a small utility for dealing with dynamic or unknown JSON
 structures in golang. It's pretty much just a helpful wrapper around the
 golang json.Marshal/json.Unmarshal behaviour and map[string]interface{}
 objects. It does nothing spectacular except for being fabulous.

Package: golang-github-jefferai-jsonx-dev
Description-md5: 4b84c3f4cb0af33ff646625da8620ecd
Description-en: Object or JSON -> JSONx
 A Go library to transform an object or existing JSON bytes into JSONx
 Because sometimes your luck runs out.
 .
 This follows the "standard" except for the handling of special and escaped
 characters. Names and values are properly XML-escaped but there is no
 special handling of values already escaped in JSON if they are valid
 in XML.

Package: golang-github-jeromer-syslogparser-dev
Description-md5: 38e1731a3ceb7f6f213e0c877453b278
Description-en: syslog parser library
 Syslog parser for the Go programming language.

Package: golang-github-jesseduffield-asciigraph-dev
Description-md5: 0a004e85705e1a0b23c0a3ec58c1282f
Description-en: Go package to make lightweight ASCII line graph without dependencies
 Go package to make lightweight ASCII line graphs.
 .
 This package is golang port of library
 asciichart (https://github.com/kroitor/asciichart).

Package: golang-github-jesseduffield-go-getter-dev
Description-md5: 57d1cef7be774aecda4cca4712ae6804
Description-en: download from a URL using a variety of protocols
 go-getter is a library for Golang to download files or directories from
 various sources using a URL as the primary form of input.
 .
 The power of this library is being flexible in being able to download from
 a number of different sources (file paths, Git, HTTP, Mercurial, etc.)
 using a single string as input. This removes the burden of knowing how
 to download from a variety of sources from the implementer.

Package: golang-github-jesseduffield-gocui-dev
Description-md5: 46d6f55037669419f42c6266f0f7e001
Description-en: minimalist console user interfaces Go library
 This package provides the minimalist Go package aimed at creating the
 Console User Interfaces.
 .
 Following features are available:
   * Minimalist API
   * Views (the "windows" in the GUI) implement the interface io.ReadWriter
   * Support for overlapping views
   * The GUI can be modified at runtime (concurrent-safe)
   * Global and view-level keybindings.
   * Mouse support
   * Colored text
   * Customizable edition mode
   * Easy to build reusable widgets, complex layouts

Package: golang-github-jesseduffield-pty-dev
Description-md5: 29a526583337b4e54da6927c2b37d14d
Description-en: Go package for using Unix pseudo-terminals
 Package pty provides functions for working with Unix terminals.
 .
 The functions include:
   * Open a pty and its corresponding tty.
   * Assign a pseudo-terminal tty to stdin, stdout, and stderr.
   * Execute the commands with assigned tty and get the corresponding pty.
   * Set and resize the pty to the specified size.

Package: golang-github-jesseduffield-roll-dev
Description-md5: 8ea33a4087bc6e21997997ef7ccc21dc
Description-en: Simple(er) Rollbar client for Go
 Basic Rollbar client for Go that reports errors and logs
 messages. It automatically builds stack traces and also supports arbitrary
 traces. All errors and messages are sent to Rollbar synchronously.
 .
 roll is intentionally simple. For more advanced functionality, check
 out heroku/rollbar (https://github.com/heroku/rollbar).

Package: golang-github-jesseduffield-rollrus-dev
Description-md5: 2901de15b865b7c85007030a07ef06b4
Description-en: Logrus Rollbar Hook
 Rollrus is what happens when Logrus (https://github.com/sirupsen/logrus)
 meets Roll (https://github.com/stvp/roll).
 .
 When a .Error, .Fatal or .Panic logging function is called, report the
 details to rollbar via a Logrus hook.
 Delivery is synchronous to help ensure that logs are delivered.
 .
 If the error includes a StackTrace
 (https://godoc.org/github.com/pkg/errors#StackTrace), that StackTrace
 is reported to rollbar.

Package: golang-github-jesseduffield-termbox-go-dev
Description-md5: 457bd4377c8ab9800f45e0e0d0cb10a2
Description-en: pure Go implementation of termbox library
 Termbox is a library that provides a minimalistic API which allows
 the programmer to write text-based user interfaces.
 .
 The basic idea is an abstraction of the greatest common subset of
 features available on all major terminals and other terminal-like
 APIs in a minimalistic fashion. Small API means it is easy to
 implement, test, maintain and learn it, that's what makes the termbox
 a distinct library in its area.
 .
 This package includes the patch for preventing the out of bounds errors
 when calling PollEvent().

Package: golang-github-jesseduffield-yaml-dev
Description-md5: ba1a533d45b2ad89198c83477bfa31e4
Description-en: YAML support for the Go language
 Enables Go programs to comfortably encode and decode YAML values.
 It was developed within Canonical (https://www.canonical.com)
 as part of the juju (https://juju.ubuntu.com) project
 and is based on a pure Go port of the
 well-known libyaml (http://pyyaml.org/wiki/LibYAML) C library to parse and
 generate YAML data quickly and reliably.

Package: golang-github-jfbus-httprs-dev
Description-md5: fa5f2ec4a1e1140e1eb0a8cafb82a90b
Description-en: ReadSeeker for http.Response.Body
 httprs is a ReadSeeker for http.Response.Body.
 .
 A HttpReadSeeker reads from a http.Response.Body. It can seek by doing range
 requests.
 .
 NewHttpReadSeeker function returns a HttpReadSeeker, using the http.Response
 and, optionally, the http.Client that needs to be used for future range
 requests. If no http.Client is given, http.DefaultClient will be used.

Package: golang-github-jfrazelle-go-dev
Description-md5: ef1dcda16c30830910146c282d547d05
Description-en: Transitional package for golang-github-docker-go-dev
 This is a transitional package to ease upgrades to the
 golang-github-docker-go-dev package. It can safely be removed.

Package: golang-github-jhoonb-archivex-dev
Description-md5: 83a8b10007d623abbd9b6e24b8adde0b
Description-en: archives folders (recursively) and files to zip and tar formats
 zip and tar archive formats support for Go
 .
 This package supports archiving folders and files recursively

Package: golang-github-jimstudt-http-authentication-dev
Description-md5: 7eba3ef1bf8a9644d428bb67d66595fe
Description-en: Go implementation of RFC 2617 HTTP Authentication
 This package contains the Go implementation of RFC 2617 HTTP Authentication:
 Basic and Digest Access Authentication.
 .
 You will want to include one or both of the packages depending on your
 requirements.
 .
     import "github/jimstudt/http-authentication/basic"
     import "github/jimstudt/http-authentication/digest"

Package: golang-github-jinzhu-gorm-dev
Description-md5: e0c69963be789390337e9a88242595d1
Description-en: ORM library for Golang
 The fantastic ORM library for Golang, aims to be developer friendly.
 .
 Overview
 .
   * Full-Featured ORM (almost)
   * Chainable API
   * Auto Migrations
   * Relations (Has One, Has Many, Belongs To, Many To Many, Polymorphism)
   * Callbacks (Before/After Create/Save/Update/Delete/Find)
   * Preloading (eager loading)
   * Transactions
   * Embed Anonymous Struct
   * Soft Deletes
   * Customizable Logger
   * Iteration Support via Rows
   * Every feature comes with tests
   * Developer Friendly
 .
 go doc format documentation for this project can be viewed online without
 installing the package by using the GoDoc page at:
 http://godoc.org/github.com/jinzhu/gorm

Package: golang-github-jinzhu-inflection-dev
Description-md5: 627b010036280484eedd484d35bec7f1
Description-en: pluralize and singularize English nouns
 Inflection is a Go library to pluralize and singularize English nouns.
 Rules are based on Rails' ActiveSupport set, but can be extended by users.

Package: golang-github-jinzhu-now-dev
Description-md5: 78df2e76dfc837d16cb6136096ad1071
Description-en: time toolkit for golang
 Package now is a time toolkit for golang.
 .
   time.Now() // 2013-11-18 17:51:49.123456789 Mon
 .
   BeginningOfMinute() // 2013-11-18 17:51:00 Mon
   BeginningOfHour()   // 2013-11-18 17:00:00 Mon
   BeginningOfDay()    // 2013-11-18 00:00:00 Mon
   BeginningOfWeek()   // 2013-11-17 00:00:00 Sun
 .
   FirstDayMonday = true // Set Monday as first day
   BeginningOfWeek()     // 2013-11-18 00:00:00 Mon
   BeginningOfMonth()    // 2013-11-01 00:00:00 Fri
   BeginningOfQuarter()  // 2013-10-01 00:00:00 Tue
   BeginningOfYear()     // 2013-01-01 00:00:00 Tue
 .
   EndOfMinute() // 2013-11-18 17:51:59.999999999 Mon
   EndOfHour()   // 2013-11-18 17:59:59.999999999 Mon
   EndOfDay()    // 2013-11-18 23:59:59.999999999 Mon
   EndOfWeek()   // 2013-11-23 23:59:59.999999999 Sat
 .
   FirstDayMonday = true // Set Monday as first day
   EndOfWeek()           // 2013-11-24 23:59:59.999999999 Sun
   EndOfMonth()          // 2013-11-30 23:59:59.999999999 Sat
   EndOfQuarter()        // 2013-12-31 23:59:59.999999999 Tue
   EndOfYear()           // 2013-12-31 23:59:59.999999999 Tue
 .
   // Use another time
   t := time.Date(2013, 02, 18, 17, 51, 49, 123456789, time.UTC)
   New(t).EndOfMonth() // 2013-02-28 23:59:59.999999999 Thu
 .
   Monday()      // 2013-11-18 00:00:00 Mon
   Sunday()      // 2013-11-24 00:00:00 Sun
   EndOfSunday() // 2013-11-24 23:59:59.999999999 Sun
 .
 This package contains the source.

Package: golang-github-jlaffaye-ftp-dev
Description-md5: 92c3eb556f89f5f1a15ff88aeaaf925c
Description-en: FTP client package for Go
 This package implements an FTP client as described in RFC 959.

Package: golang-github-jmespath-go-jmespath-dev
Description-md5: 249de9888867f8f7e0e81c0ef487b7e4
Description-en: Golang implementation of JMESPath
 A JMESPath implementation in Go.
 .
 See http://jmespath.org for more info.

Package: golang-github-jmhodges-clock-dev
Description-md5: 27034d0be8af1703b30e1b1cb7117be6
Description-en: Go package for testing time-dependent code
 This package provides an abstraction for system time that enables
 testing of time-dependent code.

Package: golang-github-jmoiron-sqlx-dev
Description-md5: 7c71644de1a564eaf5a15af0209ee306
Description-en: General purpose extensions to Golang's database/sql library
 sqlx is a library which provides a set of extensions on Go's standard
 database/sql library. The sqlx versions of sql.DB, sql.TX, sql.Stmt,
 et al. all leave the underlying interfaces untouched, so that their
 interfaces are a superset on the standard ones.  This makes it relatively
 painless to integrate existing codebases using database/sql with sqlx.
 .
 Major additional concepts are:
 .
   * Marshal rows into structs (with embedded struct support), maps, and slices
   * Named parameter support including prepared statements
   * Get and Select to go quickly from query to struct/slice

Package: golang-github-joho-godotenv-dev
Description-md5: 5062c8a8c5b7fa08128e2d5925111b90
Description-en: Go port of Ruby's dotenv library
 This Go library provides a port of Ruby's dotenv library. This library
 can be used for reading .env files and environment variables. It also
 includes an autoload feature to automatically read and load a environment
 information.

Package: golang-github-jonboulle-clockwork-dev
Description-md5: 2571d813760b06197186fe782f81db07
Description-en: Simple fake clock for Go
 Simple fake clock for Go that replaces uses of the time package
 with a test class for testing purposes.

Package: golang-github-joyent-gocommon-dev
Description-md5: e14b8a7ae634c41c406e6a3ab37299ce
Description-en: common Go library for Joyent's Triton and Manta
 The gocommon package collects common packages to interact with the
 Joyent Public Cloud and Joyent Manta services.
 The gocommon package is structured as follow:
   - gocommon/client. Client for sending requests.
   - gocommon/errors. Joyent specific errors.
   - gocommon/http. HTTP client for sending requests.
   - gocommon/jpc. This package provides common structures and functions
                   across packages.
   - gocommon/testing. Testing Suite for local testing.

Package: golang-github-joyent-gosign-dev
Description-md5: 5a61eedad770639ef796d853b78116f8
Description-en: Go HTTP signing library for Joyent's Triton and Manta
 This package contains a library to support Triton and Manta created
 by Joyent. Triton is a cloud management platform with first class
 support for containers. Manta, Triton’s object storage and converged
 analytics solutions, is a HTTP-based object store that uses OS
 containers to allow compute on data at rest.

Package: golang-github-jpillora-backoff-dev
Description-md5: af069a64d6d233b99642fc50f1d724a8
Description-en: backoff algorithm in Golang
 Backoff is a simple exponential backoff counter in Go (Golang)

Package: golang-github-jroimartin-gocui-dev
Description-md5: 2c385a830ee1de82f2c0c7fd87e4cdf3
Description-en: Minimalist Go package aimed at creating Console User Interfaces
 Features
 • Minimalist API.
 • Views (the "windows" in the GUI) implement the interface io.ReadWriter.
 • Support for overlapping views.
 • The GUI can be modified at runtime (concurrent-safe).
 • Global and view-level keybindings.
 • Mouse support.
 • Colored text.
 • Customizable edition mode.
 • Easy to build reusable widgets, complex layouts...

Package: golang-github-json-iterator-go-dev
Description-md5: c01cc1748cd4ab63100df1f7ce0a2e0e
Description-en: High-performance drop-in replacement of "encoding/json"
 Json-iterator is a high-performance 100% compatible drop-in replacement of
 "encoding/json"
 .
 Detailed documentation and migration guide can be found at
 http://jsoniter.com/migrate-from-go-std.html

Package: golang-github-jsternberg-zap-logfmt-dev
Description-md5: ecc3e2136603a7579564c5d95387bb9b
Description-en: logfmt for zap
 This package implements logfmt for zap
 .
 Limitations: It is not possible to log an array, channel, function,
 map, slice, or struct.
 .
 Namespaces are supported. If a namespace is opened, all of the keys
 will be prepended with the namespace name. For example, with the
 namespace foo and the key bar, you would get a key of foo.bar.

Package: golang-github-jtacoma-uritemplates-dev
Description-md5: de91e56b0f4ea174f26734c8505ed0b7
Description-en: URI Templates (RFC 6570) implemented in Go
 This is a Go level 4 implementation of URI templates, as described by
 RFC 6570 ( http://tools.ietf.org/html/rfc6570 ).

Package: golang-github-jteeuwen-go-bindata-dev
Description-md5: d5e1c1456bf504335e7223aff010a70b
Description-en: embed data in a Go program - library package
 This tool converts any file into manageable Go source code. It is
 useful for embedding binary data into a Go program. The file data is
 optionally gzip compressed before being converted to a raw byte
 slice.
 .
 This package contains the library to be used by other Go programs.

Package: golang-github-jtolds-gls-dev
Description-md5: b542538c1a824304499d3222515c4b1d
Description-en: Goroutine local storage
 The gls package implements goroutine-local storage. It is a solution
 to the common problem of identifying a goroutine by some kind of
 gouroutine id. For example, it can be used to log an HTTP request
 context id in every log line in the same goroutine as the incoming
 HTTP request.

Package: golang-github-juju-ansiterm-dev
Description-md5: 1c4d06c83b101a81e4daf8fc347f4552
Description-en: colored writers and tabwriters
 Package ansiterm provides a Writer that writes out the ANSI escape codes
 for color and styles.

Package: golang-github-juju-errors-dev
Description-md5: bfa2c20f28b221dd2b49caa3f2a35fc8
Description-en: Common juju errors and functions to annotate errors
 The juju/errors library provides an easy way to annotate errors without
 losing the original error context.
 .
 The exported 'New' and 'Errorf' functions are designed to replace the
 'errors.New' and 'fmt.Errorf' functions respectively. The same underlying
 error is there, but the package also records the location at which the
 error was created.
 .
 A primary use case for this library is to add extra context any time an error
 is returned from a function.

Package: golang-github-juju-httpprof-dev
Description-md5: f3e7553f7733a5817a2a772fc8303e60
Description-en: fork of net/http/pprof which works when not at the server's root
 package pprof is afork of net/http/pprof which works when not at the
 server's root.
 .
 The API is identical to net/http/pprof, with additional exported members to
 support the use case.

Package: golang-github-juju-loggo-dev
Description-md5: af110ab6eef6a8c104ddc46d55c59a8a
Description-en: logging library for Go
 This package provides an alternative to the standard library
 log package.
 .
 The actual logging functions never return errors.  If you are logging
 something, you really don't want to be worried about the logging having
 trouble.

Package: golang-github-juju-ratelimit-dev
Description-md5: 4def76953ccc9090faba72efeb215884
Description-en: Efficient token-bucket-based rate limiter module for Go
 The ratelimit package provides an efficient token bucket
 implementation in Go. The token bucket algorithm implements a
 method for ensuring a reader or writer does not exceed a
 specified rate limit.

Package: golang-github-juju-retry-dev
Description-md5: d261cfe9ed335e287a037646eaf6e872
Description-en: encapsulates the mechanism around retrying commands
 The retry package encapsulates the mechanism around retrying
 commands.

Package: golang-github-juju-utils-dev
Description-md5: 723cb685654c33ce76b388255756ca54
Description-en: General utility functions
 This package provides general utility packages and functions.

Package: golang-github-juju-version-dev
Description-md5: 7f3b129ebf3c4704f725fc3ba101fbf4
Description-en: intelligent version comparisons
 version is a go package for intelligent version
 comparisons.

Package: golang-github-julienschmidt-httprouter-dev
Description-md5: 5ddeb17a31285750b894268c5e4ba034
Description-en: High performance HTTP request router for Go that scales well
 HttpRouter (github.com/julienschmidt/httprouter) is a lightweight high
 performance HTTP request router (also called multiplexer or just mux for
 short) for Go.
 .
 In contrast to the default mux of Go's net/http package, this router supports
 variables in the routing pattern and matches against the request method. It
 also scales better.
 .
 The router is optimized for high performance and a small memory footprint. It
 scales well even with very long paths and a large number of routes. A
 compressing dynamic trie (radix tree) structure is used for efficient
 matching.

Package: golang-github-justinas-alice-dev
Description-md5: 2328ba2e5b3c52bc7b71bb6f9a6965ac
Description-en: Painless middleware chaining for Go
 Alice provides a convenient way to chain HTTP middleware functions and
 the app handler.
 .
 It transforms:
   go Middleware1(Middleware2(Middleware3(App)))
 to
   go alice.New(Middleware1, Middleware2, Middleware3).Then(App)
 .
 None of the other middleware chaining solutions behaves exactly
 like Alice. Alice is as minimal as it gets: in essence, it's just a
 for loop that does the wrapping for you.

Package: golang-github-jwilder-encoding-dev
Description-md5: b7d88c06dbbea0e2f652a8971cd0cbea
Description-en: library for working with compressed arrays of integers
 Encoding is a library for working with compressed arrays of integers.
 The compression algorithms used are different from typical general purpose
 algorithms such as Gzip, Snappy, etc. They are more commonly found in
 search engines (inverted indexes) and columnar databases.

Package: golang-github-jzelinskie-whirlpool-dev
Description-md5: 127ca0fa7d4dd3816c7b55f70ae969f3
Description-en: whirlpool cryptographic hashing library
 This package implements the whirlpool hashing library for go.

Package: golang-github-k-sone-critbitgo-dev
Description-md5: 2ef2a7159ffe3c6604e5afe8854bc86f
Description-en: crit-bit for golang and its applications (sorted map, IP routing table)
 Crit-bit trees (http://cr.yp.to/critbit.html) in golang and its
 applications.
 .
 This implementation extended to handle the key that contains a null
 character from C implementation (https://github.com/agl/critbit).
 Usage ```go // Create Trie trie := critbitgo.NewTrie()

Package: golang-github-k0kubun-colorstring-dev
Description-md5: 4a67feb7b44c78634befdc2bb1fded53
Description-en: Go library for colorizing strings for terminal output
 colorstring is a Go library for outputting colored strings to a console
 using a simple inline syntax in your string to specify the color to print as.

Package: golang-github-k0kubun-pp-dev
Description-md5: ebf2896a599debd1f81c136470ed24b7
Description-en: Go library to colored pretty printer on terminal
 This is a Go library for pretty printing on the terminal output.

Package: golang-github-kardianos-osext-dev
Description-md5: 6f09620892630d791491a000e160bec5
Description-en: Extend "os" package with Executable and ExecutableFolder
 This Go library implements functions for discovering the current
 executable and folder to re-invoke the currently running program.
 This can be useful for upgrading the current executable or finding
 resources located relative to the executable file. Both working
 directory and the os.Args[0] value are arbitrary and cannot be
 relied on; os.Args[0] can be "faked"
 .
 These methods are available in the "os" package from Go 1.8 and later.

Package: golang-github-kardianos-service-dev
Description-md5: 3ca946b44420ad56fa8e1be40ee29017
Description-en: run Go programs as a service on major platforms
 Service will install / un-install, start / stop, and run a program as a
 service (daemon). Currently supports:
 .
  * Windows XP+,
  * Linux with systemd, Upstart, SysV, and
  * OSX with launchd.
 .
 Windows controls services by setting up callbacks that are non-trivial. This
 is very different than other systems. This package provides the same API
 despite the substantial differences. It also can be used to detect how a
 program is called, from an interactive terminal or from a service manager.

Package: golang-github-karlseguin-ccache-dev
Description-md5: 40671c1b0d66a6d80ee0a31cd24bf8fc
Description-en: Golang LRU Cache for high concurrency
 CCache is an LRU Cache, written in Go, focused on supporting high
 concurrency. Lock contention on the list is reduced by introducing a
 window which limits the frequency that an item can get promoted,
 using a buffered channel to queue promotions for a single worker, and
 garbage collecting within the same thread as the worker.

Package: golang-github-karlseguin-expect-dev
Description-md5: 4a4d74548111ed11296c990f76bdb57f
Description-en: Testing framework for Go with more concise syntax
 Expect is a testing framework for Go to help write shorter
 tests. Go's built-in testing package is fine, except it tends to lead
 to verbose code. Expect runs within the go test framework but
 provides a different, concise syntax for specifying expectations.

Package: golang-github-karrick-godirwalk-dev
Description-md5: e41f6b1a4000f8b2e0fb65eb24ff9c39
Description-en: Fast directory traversal for Golang (library)
 godirwalk is a library for traversing a directory tree on a
 file system.
 .
  * faster than filepath.Walk.
  * more correct on Windows than filepath.Walk.
  * more easy to use than filepath.Walk.
  * more flexible than filepath.Walk.

Package: golang-github-karrick-goswarm-dev
Description-md5: 4cbceac34a654d762272f9d5337f6793
Description-en: Memoization with asynchronous revalidation for Go
 goswarm is a library for storing the results of expensive function calls
 and returning the cached result when the same input key occurs again.
 .
 In addition, it provides stale-while-revalidate and stale-if-error compatible
 features.

Package: golang-github-kballard-go-shellquote-dev
Description-md5: f5a884127df48ae6397260cc299b7927
Description-en: Go utilities for performing shell-like word splitting/joining
 This library provides utilities for joining/splitting strings using sh's
 word-splitting rules.

Package: golang-github-kelseyhightower-envconfig-dev
Description-md5: 7d5bb82ef9973c2a1f4e4b9b0622a064
Description-en: decode environment variables based on a user defined specification
 Package envconfig implements decoding of environment variables based on a user
 defined specification. A typical use is using environment variables for
 configuration settings.
 .
 This library is a build dependency of gitaly (a component of gitlab, a git
 based collaboration platform).

Package: golang-github-keltia-archive-dev
Description-md5: 9dd80722a1182665f78265d077036459
Description-en: Small Go library for handling archives of various types.
 The module currently supports the following "archives":
 .
  * plain text
  * gzip files (one file per stream, only first stream)
  * zip files
  * GPG files (either .asc or .gpg)

Package: golang-github-kevinburke-ssh-config-dev
Description-md5: 5e0137706d67c7ae0991756eea764bf5
Description-en: Go parser for ssh_config files
 ssh_config This is a Go parser for ssh_config files. Importantly,
 this parser attempts to preserve comments in a given file, so you can
 manipulate a ssh_config file from a program, if your heart desires.
 .
 It's designed to be used with the excellent x/crypto/ssh
 (https://golang.org/x/crypto/ssh) package, which handles SSH negotiation
 but isn't very easy to configure.

Package: golang-github-kimor79-gollectd-dev
Description-md5: fbe81c13f805bd362583f894f184599e
Description-en: go parser for the collectd binary protocol
 This is yet another implementation of a collectd binary protocol parser in
 Go, heavenly inspired by gocollectd.

Package: golang-github-kisielk-gotool-dev
Description-md5: 3146f682c9a98f6981db2e4278514d99
Description-en: library of some utility functions provided by cmd/go
 This package provides a library of some utility functions provided
 by cmd/go. This library is provided as a convenience to developers
 wanting to write tools with similar semantics.

Package: golang-github-kisielk-sqlstruct-dev
Description-md5: 9f9694bebfe5f034759b45d9e0cf230d
Description-en: convenience functions for using structs with the database/sql package
 Package sqlstruct provides some convenience functions for using structs with
 the Go standard library's database/sql package.
 .
 The package matches struct field names to SQL query column names. A field can
 also specify a matching column with "sql" tag, if it's different from field
 name.  Unexported fields or fields marked with `sql:"-"` are ignored, just like
 with "encoding/json" package.

Package: golang-github-kisom-goutils-dev
Description-md5: 188be4ae11c75e0ab4ee01e1a5abae9f
Description-en: Various TLS certificate tools and other utility libraries for Golang
 This package contains a collection of utility libraries for Golang, as well
 as an assortment of tools mainly for displaying information about TLS
 certificates and keys.

Package: golang-github-kjk-lzma-dev
Description-md5: 1f596907d303b646b4bd46f1d69fc22f
Description-en: port of the lzma compression algorithm
 This package is a port of the lzma compression algorithm
 from to Go.
 .
 Only the lzma v1 compression algorithm is supported; the
 newer lzma v2 from LZMA SDK v9.xx came after this library
 was released.
 .
 The archiving formats 7z, xz (and others that use lzma
 internally) are also different beasts.

Package: golang-github-klauspost-compress-dev
Description-md5: 60c88612292cf08354b92b7b751f1fcf
Description-en: optimized compression packages
 This package is based on an optimized Deflate function, which is used
 by gzip/zip/zlib packages. It offers slightly better compression at lower
 compression settings, and up to 3x faster encoding at highest compression
 level.

Package: golang-github-klauspost-cpuid-dev
Description-md5: abfe7ffe8ea4211bd58d31ac9d665988
Description-en: CPU feature identification for Go
 Package cpuid provides information about the CPU running the
 current program. CPU features are detected on startup, and kept
 for fast access through the life of the application.

Package: golang-github-klauspost-crc32-dev
Description-md5: 7a9a7a3e2327d468454167f98aab64e0
Description-en: CRC32 hash with x64 optimizations
 This package is a drop-in replacement for the standard library hash/crc32
 package, that features SSE 4.2 optimizations on x64 platforms, for a
 10x speedup.

Package: golang-github-klauspost-pgzip-dev
Description-md5: ac8fdb802d6b60691677bddd7a847169
Description-en: parallel gzip (de)compression library for Go
 pgzip is a parallel compression/decompression library for Google Go
 which is a compatible drop-in for "compress/gzip". It splits compression
 into blocks that are processed in parallel. The output is a standard
 gzip file. The standard Go decompression of modified so it decompresses ahead
 of the current reader. The library is useful especially if large amounts
 of data (>1MB) are being processed.

Package: golang-github-klauspost-reedsolomon-dev
Description-md5: ba669f5bcb40f751920fef35f1c36a50
Description-en: Reed-Solomon Erasure Coding in Go
 Reed-Solomon Erasure Coding in Go, with speeds exceeding 1GB/s/cpu core
 implemented in pure Go.
 .
 This is a golang port of the JavaReedSolomon library released by
 Backblaze (http://backblaze.com), with some additional optimizations.

Package: golang-github-knqyf263-go-cpe-dev
Description-md5: d956633632e4361a1020b1d0341c84f5
Description-en: golang library for CPE (A Common Platform Enumeration 2.3)
 go-cpe is a library for implementation of the CPE (A Common Platform
 Enumeration 2.3) Naming and Matching algorithms, as described in NIST
 IRs 7695 and 7696.

Package: golang-github-knqyf263-go-deb-version-dev
Description-md5: ff176d234ff7b609b38e1b2558875de5
Description-en: golang library for parsing deb package versions
 go-deb-version is a golang library for parsing and comparing versions.

Package: golang-github-knqyf263-go-dep-parser-dev
Description-md5: 5f4c926b284f59854619adde135ab59e
Description-en: Golang library for dependency parser
 go-dep-parser is golang library that dependency parser for multiple
 programming languages.
 .
 This parses package dependencies using the packaging system of each language.
 Supports the following systems:
 .
  - bundler / Ruby
  - cargo / Haskell
  - composer / PHP
  - npm / node.js
  - pipinv / Python
  - poetry / Python
  - types / Python
  - yarn / node.js

Package: golang-github-knqyf263-go-rpm-version-dev
Description-md5: bbcc6d80101418dc9718776d2fc19c8a
Description-en: golang library for parsing rpm package versions
 go-rpm-version is golang library for parsing and comparing rpm versions.

Package: golang-github-knqyf263-go-version-dev
Description-md5: 904f4cb5f9e018728a1b21c3581b1d8d
Description-en: Go library for parsing and verifying versions, and version constraints
 go-version is a library for parsing versions and version constraints,
 and verifying versions against a set of constraints. go-version can sort
 a collection of versions properly, handles prerelease/beta versions,
 can increment versions, etc.

Package: golang-github-knqyf263-gost-dev
Description-md5: 1e07d5303765efad95535ec154cb304a
Description-en: local copy tool of Security Tracker (Red Hat/Debian) written in go
 gost builds a local copy of Security Tracker (Red Hat/Debian).
 After you register CVEs to watch list, gost notify via E-mail/Slack if there
 is an update.
 The pronunciation of gost is the same as the English word "ghost".
 .
 This package contains the source.

Package: golang-github-knqyf263-nested-dev
Description-md5: e39da7e6dff8746948a1a3494e06123a
Description-en: Golang library for easier way to handle the nested data structure
 nested is a golang library that this provides functionality to easily handle
 nested data structures.
 .
 This library provides functions for set, get and delete data, and converting
 and getting data into integer and etc.

Package: golang-github-kolo-xmlrpc-dev
Description-md5: 804504b9825ec26c7ce6232d57c7493f
Description-en: Implementation of the XMLRPC client protocol in Go
 The github.com/kolo/xmlrpc package is an implementation of client side part of
 XMLRPC protocol in the Go language.

Package: golang-github-konsorten-go-windows-terminal-sequences-dev
Description-md5: e3d1133d59d210300c5841aba279cb61
Description-en: Enable support for Windows Terminal Colors
 This library allows enabling Windows terminal color support for Go.
 .
 Virtual terminal sequences are control character sequences that can control
 cursor movement, color/font mode, and other operations when written to the
 output stream. Sequences may also be received on the input stream in response
 to an output stream query information sequence or as an encoding of user input
 when the appropriate mode is set.

Package: golang-github-koofr-go-httpclient-dev
Description-md5: 6dea7bcd0cd00d9ab0f1b32bd37fd5e5
Description-en: Go HTTP client
 Provides a HTTP client in the Go language.
 .
 GoDoc (https://godoc.org/github.com/koofr/go-httpclient) Installgo get
 github.com/koofr/go-httpclient Testinggo get -t go test

Package: golang-github-koofr-go-koofrclient-dev
Description-md5: 2fe45de2b0cd665c91d36a3e31b94dd4
Description-en: Go Koofr client
 Koofr client for the Go language.
 .
 GoDoc (https://godoc.org/github.com/koofr/go-koofrclient)
 Installgo get github.com/koofr/go-koofrclient Testinggo get -t
 KOOFR_APIBASE="https://app.koofr.net" KOOFR_EMAIL="email@example.com"
 KOOFR_PASSWORD="yourpassword" go test

Package: golang-github-kori-go-listenbrainz-dev
Description-md5: b95bd352d5c3d92d0c60ed76e6a052a2
Description-en: Go wrapper for the ListenBrainz API.
 This is a Go package to wrap the ListenBrainz API.

Package: golang-github-kotakanbe-go-cve-dictionary-dev
Description-md5: 068b3ca44988e76eb36e6ba265107b91
Description-en: builds a local copy of the NVD/JVN (source)
 go-cve-dictionary is tool to build a local copy of the NVD
 (National Vulnerabilities Database) and the Japanese JVN, which contain
 security vulnerabilities according to their CVE identifiers including
 exhaustive information and a risk score. The local copy is generated in
 sqlite format, and the tool has a server mode for easy querying.
 .
 This package contains the source.

Package: golang-github-kotakanbe-go-pingscanner-dev
Description-md5: 71c81a0c4574042215f517d43b1e1226
Description-en: Go library to scan live hosts by ping command
 This Go library to scan alive hosts of the given CIDR range in parallel
 by ping command.

Package: golang-github-kotakanbe-goval-dictionary-dev
Description-md5: 9ddf6d511d33c80df17b2935f443770a
Description-en: Go library for create DB of data written in OVAL (source)
 This is tool to build a local copy of the OVAL(Open Vulnerability and
 Assessment Language).
 .
 The local copy is generated in sqlite format, and the tool has a server
 mode for easy querying.
 .
 This package contains the source.

Package: golang-github-kotakanbe-logrus-prefixed-formatter-dev
Description-md5: e27e53557664f68f76f138204d8d6ab2
Description-en: Go package for Logrus Prefixed Log Formatter
 Logrus Prefixed Log Formatter Logrus (https://github.com/Sirupsen/logrus)
 formatter mainly based on original logrus.TextFormatter but with slightly
 modified colored output and support for log entry prefixes, e.g. message
 source followed by a colon.

Package: golang-github-kr-binarydist-dev
Description-md5: 17ce3b26ba3df7bc8574564a0ab39ffe
Description-en: Go implementation of the bspatch algorithm
 This Go library implements binary diff and patch as
 described on http://www.daemonology.net/bsdiff/. It reads and writes
 files compatible with the tools there.
 .
 Documentation at http://go.pkgdoc.org/github.com/kr/binarydist.

Package: golang-github-kr-fs-dev
Description-md5: 91d2527c6401e706f5ca0c7ff574ee69
Description-en: Provides filesystem-related functions for Go
 Package fs provides filesystem-related functions, especially Walker
 which provides a convenient interface for iterating over the descendants
 of a filesystem path, for the Go Programming Language.

Package: golang-github-kr-pretty-dev
Description-md5: b27a3e8df8ac424d2d2a491c46888914
Description-en: Pretty printing for Go values
 Go library package github.com/kr/pretty provides pretty-printing
 for Go values. This is useful during debugging, to avoid wrapping
 long output lines in the terminal.
 .
 It provides a function, Formatter, that can be used with any function
 that accepts a format string. It also provides convenience wrappers
 for functions in packages fmt and log.

Package: golang-github-kr-pty-dev
Description-md5: 4ab57a3d3d89bf92b014288f52601f5e
Description-en: Go package for using unix pseudo-terminals
 Pty is a Go package for using unix pseudo-terminals.
 .
 This package contains the source.

Package: golang-github-kr-text-dev
Description-md5: 94dde0bd1da1d638bbd726762e90df3e
Description-en: Go package for manipulating paragraphs of text
 Go library package "github.com/kr/text" provides rudimentary functions for
 manipulating text in paragraphs.

Package: golang-github-kubernetes-gengo-dev
Description-md5: 24b38e33e2d17e64321466304b73a28a
Description-en: Library for generating code based on Go files
 The gengo library implements a code generation system for Go files
 used by Kubernetes. It is more than a dumb template library and is
 informed by the Go language's syntax, type and naming conventions
 when generating code.
 .
 The gengo library can build code using the following generators:
 .
   * set
   * deep-copy
   * defaulter
   * go-to-protobuf
 .
 Gengo was first used in Kubernetes and is split out into a separate
 library for ease of reuse and maintainability.

Package: golang-github-kurin-blazer-dev
Description-md5: ea3efe4ebcd670d812088db1efae437d
Description-en: Go library for Backblaze's B2
 Blazer is a Golang client library for Backblaze's B2 object storage
 service.  It is designed for simple integration with existing applications
 that may already be using S3 and Google Cloud Storage, by exporting only
 a few standard Go types.
 .
 It implements and satisfies the B2 integration checklist
 (https://www.backblaze.com/b2/docs/integration_checklist.html),
 automatically handling error recovery, reauthentication, and other
 low-level aspects, making it suitable to upload very large files, or
 over multi-day time scales.

Package: golang-github-kylelemons-godebug-dev
Description-md5: b92b4f821ad741cdcd7e4bca93519bf7
Description-en: Debugging helper utilities for Go
 Golang debugging helper utilities.

Package: golang-github-kyokomi-emoji-dev
Description-md5: e31efec236894e20aec3b6065c02a697
Description-en: Go library for printing emoji as Unicode characters
 Emoji is a Go library which provides a lightweight implementation
 for converting colon-wrapped emoji names such as ":smile:" into
 Unicode characters.

Package: golang-github-labstack-echo.v2-dev
Description-md5: 1ca7f80fe5c9152d756c035d4d6fbe97
Description-en: Echo is a fast and unfancy HTTP server framework for Golang
 Echo is a minimalist Go web framework that provides the following functions:
  - Optimized HTTP router which smartly prioritize routes
  - Build robust and scalable RESTful APIs
  - Group APIs
  - Extensible middleware framework
  - Define middleware at root, group or route level
  - Data binding for JSON, XML and form payload
  - Handy functions to send variety of HTTP responses
  - Centralized HTTP error handling
  - Template rendering with any template engine
  - Define your format for the logger
  - Highly customizable
  - Automatic TLS via Let’s Encrypt
  - HTTP/2 support

Package: golang-github-labstack-echo.v3-dev
Description-md5: 1ca7f80fe5c9152d756c035d4d6fbe97
Description-en: Echo is a fast and unfancy HTTP server framework for Golang
 Echo is a minimalist Go web framework that provides the following functions:
  - Optimized HTTP router which smartly prioritize routes
  - Build robust and scalable RESTful APIs
  - Group APIs
  - Extensible middleware framework
  - Define middleware at root, group or route level
  - Data binding for JSON, XML and form payload
  - Handy functions to send variety of HTTP responses
  - Centralized HTTP error handling
  - Template rendering with any template engine
  - Define your format for the logger
  - Highly customizable
  - Automatic TLS via Let’s Encrypt
  - HTTP/2 support

Package: golang-github-labstack-gommon-dev
Description-md5: 215d86c9903cc96125852d9b16ce1026
Description-en: common package that provides parser, coloring, logging  for go
 This is a library that provides parser, coloring, logging tool for go.
 These are provided with the following names.
 .
  - Bytes - Format/parse bytes.
  - Color - Style terminal text.
  - Log - Simple logging.

Package: golang-github-leemcloughlin-gofarmhash-dev
Description-md5: 8af44f1ec2b12b0a7ea2eeb47fdaed79
Description-en: Implements Google's Farmhash in Golang
 This library provides an implementation of Google's Farmhash in Golang.
 .
 Farmhash is a successor to Cityhash (also from Google). Farmhash,
 like Cityhash before it, use ideas from Austin Appleby's MurmurHash.

Package: golang-github-leodido-ragel-machinery-dev
Description-md5: 9a2c0de372511d624684f49b28e72e3d
Description-en: Machineries for development of ragel parsers
 ragel machinery Machineries to speed up and facilitate the development
 of ragel parsers able to accept streaming inputs.
 It is only intended for use with ragel parsers.

Package: golang-github-lestrrat-go-pdebug-dev
Description-md5: d610ab4d559b214d665bab6957f3fe16
Description-en: Print debugging library for Go
 Package pdebug provides tools to produce debug logs
 the way the author (Daisuke Maki a.k.a. lestrrat) likes.
 All of the functions are no-ops unless you compile
 with the `-tags debug` option.

Package: golang-github-lib-pq-dev
Description-md5: 8d7ddcc7722b5ef30fca199ce6a541ac
Description-en: Pure Go Postgres driver for Go’s database/sql package
 After importing this package, you can connect to a Postgres database from your
 Go programs. This package does not depend on libpq-dev and does not need cgo,
 making it suitable for use when cross-compiling.
 .
 This package contains the source.

Package: golang-github-linuxkit-virtsock-dev
Description-md5: 678f214cbbbd86016ab451be8144fdc9
Description-en: Go bindings for Hyper-V and virtio sockets
 This package contains Go bindings for Microsoft's Hyper-V sockets, a
 Windows Socket with a new address family and specialized endpoint
 for targeting virtual machines and for OASIS's Virtual I/O Device
 sockets.

Package: golang-github-lk4d4-joincontext-dev
Description-md5: 8749394f6c43d5f580ca9558e50fe761
Description-en: Golang library to join contexts
 Library joincontext provides a way to combine two contexts. For example it
 might be useful for grpc server to cancel all handlers in addition to
 provided handler context.

Package: golang-github-lpabon-godbc-dev
Description-md5: 319b4b49db5006bd74a0ec8c7ec178d8
Description-en: Design-by-contract library for Go
 Design-by-contract is an approach for designing software where the
 programmer defines a formal, precise and verifiable interface
 specification for software components.
 .
 The godbc library implements the design-by-contract methodology using
 pre- and post-condition assertion methods.

Package: golang-github-lsegal-gucumber-dev
Description-md5: 98fa84a22e9226f195b6f0bc7f8a821c
Description-en: Transitional package for golang-github-gucumber-gucumber-dev
 This is a transitional package to ease upgrades to the
 golang-github-gucumber-gucumber-dev package. It can safely be removed.

Package: golang-github-lucasb-eyer-go-colorful-dev
Description-md5: bbc0920b0019d4f963ffd9c59dff252a
Description-en: Library for handling color spaces in Go
 Colorful is a library for handling color spaces in Go by implementing the
 color.Color interface. Colorful stores colors in RGB and provides methods from
 converting these to various color spaces. Currently supported colorspaces are:
 .
   RGB: All three of Red, Green and Blue in [0..1].
   HSL: Hue in [0..360], Saturation and Luminance in [0..1].
   HSV: Hue in [0..360], Saturation and Value in [0..1].
   Hex RGB: The "internet" color format, as in #FF00FF.
   Linear RGB (read about Gamma-Correct Rendering).
   CIE-XYZ: CIE's standard color space, almost in [0..1].
   CIE-xyY: encodes chromacity in x and y and luminance in Y, all in [0..1]
   CIE-L*a*b*: Perceptually uniform. L* in [0..1] and a*, b* almost in [-1..1].
   CIE-L*u*v*: Very similar to CIE-L*a*b*.
   CIE-L*C*h° (HCL): CIE-L*a*b* space in polar coordinates.
 .
 For the colorspaces where it makes sense (XYZ, Lab, Luv, HCl), the D65 is used
 as reference white by default but methods for using your own reference white
 are provided.

Package: golang-github-lunixbochs-vtclean-dev
Description-md5: d60f01646603518cba0a11d1b5b46118
Description-en: strips terminal escapes from text, can preserve color
 package vtclean can clean up raw terminal output by stripping
 escape sequences, optionally preserving color.

Package: golang-github-lunny-log-dev
Description-md5: 401ef8d920928bc7d664ef3460a7add7
Description-en: Logging library with sqlite support for Go
 This package provides a Go library that offers traditional logging
 with extra non-standard logging features.
 .
 Features:
   - Color support for unix console
   - dbwriter to save log to database (sqlite)
   - FileWriter to save log to file by date or time
   - Location configuration

Package: golang-github-lunny-nodb-dev
Description-md5: f9a69d591122143967a47ec59b2e5c6a
Description-en: Nosql database with kv, list, hash, zset, bitmap, set
 This Go library implements a NoSQL database with kv, list, hash, zset,
 bitmap, and set. This library uses goleveldb as a backend to store data.
 It is a pure Go implementation of ledisdb and shrink.
 .
 Features:
   - Rich data structure: KV, List, Hash, ZSet, Bitmap, Set
   - Store large amounts of data in memory
   - Support expiration and TTL
   - Easy to use interface

Package: golang-github-machinebox-graphql-dev
Description-md5: 8e0c7df5b2265e4425b98d10ecef196d
Description-en: simple low-level GraphQL HTTP client for Go
 This is a low-level GraphQL client for Go.
 .
  - Simple, familiar API
  - Respects context.Context timeouts and cancellation
  - Build and execute any kind of GraphQL request
  - Use strong Go types for response data
  - Use variables and upload files
  - Simple error handling

Package: golang-github-magiconair-properties-dev
Description-md5: 174eac44da3ec1389dfe6ce9fab95027
Description-en: Java properties scanner for Go
 “properties” is a Go library for reading and writing properties files.
 .
 It supports reading from multiple files and Spring style recursive
 property expansion of expressions like ${key} to their corresponding
 value.  Value expressions can refer to other keys like in ${key} or
 to environment variables like in ${USER}.  Filenames can also contain
 environment variables like in /home/${USER}/myapp.properties.
 .
 Comments and the order of keys are preserved. Comments can be modified
 and can be written to the output.
 .
 The properties library supports both ISO-8859-1 and UTF-8 encoded data.

Package: golang-github-mailru-easyjson-dev
Description-md5: 68db427114ecf2279779fe0234b669c6
Description-en: Fast JSON serializer for golang - development files
 easyjson allows (un-)marshaling of JSON golang structs without the
 use of reflection by generating marshaller code.
 .
 One of the aims of the library is to keep generated code simple enough
 so that it can be easily optimized or fixed. Another goal is to provide
 users with ability to customize the generated code not available in
 'encoding/json', such as generating snake_case names or enabling
 'omitempty' behavior by default.
 .
 This package contains the development files.

Package: golang-github-makenowjust-heredoc-dev
Description-md5: bd94bb8257a5e58bdadb906e558d19a0
Description-en: Convert strings to here documents in Go
 Here documents allow text files or other data to be embedded in source
 files.  The heredoc library implements the whitespace filtering and line
 break preservation since Go does not have any syntax allowing here
 documents natively.

Package: golang-github-manyminds-api2go-dev
Description-md5: 1daca3c0d26177e315a849352d9b9604
Description-en: JSONAPI.org implementation for Go
 This package enables building REST servers that conform to the JSON API
 (http://jsonapi.org) standard for the Go programming language. It also
 contains JSON API compatible marshal und unmarshal functionality.

Package: golang-github-maraino-go-mock-dev
Description-md5: 0eb76325ba9233acf79091eaa1e5c942
Description-en: mocking framework for the Go programming anguage
 Go-mock is a Golang framework for easily mocking an interface. It defines
 various stubs and adapters that can be used to simulate code on the other
 side of the interface.

Package: golang-github-markbates-goth-dev
Description-md5: 4bea5510c02081c188185095c5de21d5
Description-en: Multi-provider authentication for Go
 This Go library provides a simple, clean, and idiomatic way to write
 authentication packages for Go web applications. It allows writing
 OAuth, OAuth2, or any other protocol providers that implement the
 Provider and Session interfaces.

Package: golang-github-markbates-inflect-dev
Description-md5: b60f10855b2e476e7559faa4b5cd8801
Description-en: Go library to transform words from singular to plural (Git fork)
 Forked from the Mercurial-based https://bitbucket.org/pkg/inflect to GitHub,
 this Go library transforms words from singular to plural, class names to
 table names, modularized class names to ones without, and class names to
 foreign keys. The default inflections for pluralization, singularization,
 and uncountable words are kept in inflect.go.
 .
 Originally ported from the ActiveSupport::Inflector module from
 Ruby on Rails.

Package: golang-github-marstr-collection-dev
Description-md5: 4edba409fbcc090aedd3d84d347b016f
Description-en: implementation of a few basic data structures
 Inspired by .NET's Linq, querying data structures used in this library
 is a snap! Build Go pipelines quickly and easily which will apply lambdas
 as they query your data structures. Converting between slices and a
 queryable structure is as trivial as it should be.

Package: golang-github-maruel-panicparse-dev
Description-md5: bda50daf158893aeeb47552ba723678f
Description-en: Crash your app in style (Golang)
 Parses panic stack traces, densifies and deduplicates goroutines with
 similar stack traces. Helps debugging crashes and deadlocks in
 heavily parallelized processes.
 .
 This package contains the source code.

Package: golang-github-masterminds-semver-dev
Description-md5: 00e1d2f1014790990b68e46e73e80d46
Description-en: Semantic versions library in Go
 The semver package provides the ability to work with Semantic Versions
 in Go. Specifically it provides the ability to:
 .
  * Parse semantic versions
  * Sort semantic versions
  * Check if a semantic version fits within a set of constraints
  * Optionally work with a v prefix

Package: golang-github-masterminds-vcs-dev
Description-md5: dbf10d56c95b9ce470ae0745ed797a4e
Description-en: VCS Repository Management for Go
 Manage repos in varying version control systems with ease through a common
 interface. Supported VCS are Git, SVN, Bazaar, and Mercurial.

Package: golang-github-masterzen-simplexml-dev
Description-md5: 0f46b3854ae32e7ee25342b3eeb40e64
Description-en: Go library to generate XML content from a naive DOM
 This is a naive and simple Go library to build a XML DOM to be able to
 produce XML content.

Package: golang-github-masterzen-winrm-dev
Description-md5: 6e0d31b685ffb98e08f24d7d79c448bc
Description-en: Windows remote command execution library for Go
 winrm is a Google Go library for writing applications using
 Windows Remote Management (WinRM/WinRS) for the execution
 of commands on remote Windows machines. It doesn't supports domain
 users, but only local account authentication.

Package: golang-github-masterzen-xmlpath-dev
Description-md5: e1eb84bc21f500fae602046b8b9fff7c
Description-en: subset of the XPath specification for Go (deprecated)
 This is a branch of a deprecated version (launchpad.net/xmlpath)
 of the xmlpath library (gopkg.in/xmlpath.v1) for Google Go, needed to
 build other packages in the archive (golang-github-masterzen-winrm).
 For the latest version of the library, please see golang-gopkg-xmlpath.v2-dev.

Package: golang-github-matryer-is-dev
Description-md5: bb36c76083e9c99999d7e095681b811e
Description-en: professional lightweight testing mini-framework for Go
 This is a lightweight testing mini-framework for Go.
 .
  - Easy to write and read
  - Beautifully simple API (https://godoc.org/github.com/matryer/is) with
    everything you need: is.Equal, is.True, is.NoErr, and is.Fail
  - Use comments to add descriptions (which show up when tests fail)

Package: golang-github-matryer-try-dev
Description-md5: d1f97f6c7561159e689846e56091047a
Description-en: Simple idiomatic retry package for Go
 Idiomatic Go retry package.
 Thanks to @rowland (https://github.com/rowland) for code review.
 .
 Usage: Just call try.Do with the function you want to retry
 in the event of an error.

Package: golang-github-mattetti-filebuffer-dev
Description-md5: 37ba86de53ac6dfc776eaeff8c98d09d
Description-en: implementation of a few file-like interfaces
 filebuffer is a package implementing a few file-like interfaces such
 as io.Reader, io.ReaderAt, io.Seeker and more.  The implementation
 is backed by a byte buffer and the main purpose is to have in-memory
 alternative to using an io.File.

Package: golang-github-mattn-go-colorable-dev
Description-md5: 6ac5c86c37ce6ba0137d99efab091c5b
Description-en: Golang library to colorable writer for windows
 This golang library is possible to handle escape sequence for ansi color on
 windows.

Package: golang-github-mattn-go-gtk-dev
Description-md5: c1363d08c094cf8a00471821c9fce1b6
Description-en: Go bindings for GTK
 Provides Go language bindings for GTK.

Package: golang-github-mattn-go-ieproxy-dev
Description-md5: 0cc7fa53c9b9d75e4c70d70ae14c27b4
Description-en: Go package to detect the proxy settings on Windows platform (library)
 ieproxy Go package to detect the proxy settings on Windows platform.
 .
 The settings are initially attempted to be
 read from the WinHttpGetIEProxyConfigForCurrentUser DLL call
 (https://docs.microsoft.com/en-us/windows/desktop/api/winhttp/nf-winhttp-winhttpgetieproxyconfigforcurrentuser),
 but falls back to the registry
 (CURRENT_USER\Software\Microsoft\Windows\CurrentVersion\Internet Settings)
 in the event the DLL call fails.
 .
 For more information, take a look at the documentation
 (https://godoc.org/github.com/mattn/go-ieproxy) Methods You can either
 obtain a net/http compatible proxy function using ieproxy.GetProxyFunc(),
 set environment variables using ieproxy.OverrideEnvWithStaticProxy()
 (though no automatic configuration is available this way), or obtain
 the proxy settings via ieproxy.GetConf().

Package: golang-github-mattn-go-isatty-dev
Description-md5: e9453d2e24b0f4012715e464aa600fd5
Description-en: Golang library to implementation isatty interface
 The isatty() function tests whether a file descriptor refers to a terminal.
 .
 This package provides a library to implement isatty interface to Golang
 command-line programs.

Package: golang-github-mattn-go-pointer-dev
Description-md5: 971859fa847fe6aadf562c5aa80d16d9
Description-en: utility for cgo
 go-pointer is a utility for cgo.

Package: golang-github-mattn-go-runewidth-dev
Description-md5: d14cc3e87cc3519076429328988a3c8c
Description-en: functions to get fixed width of the character or string
 Golang library provinding functions to get fixed width of the character or
 string.

Package: golang-github-mattn-go-shellwords-dev
Description-md5: 42a81dbc603012ab0328e5461db713ae
Description-en: parse line as shell words in Golang
 Parse line as shell words.
 Based on cpan module Parse::CommandLine
 (https://metacpan.org/pod/Parse::CommandLine).

Package: golang-github-mattn-go-sqlite3-dev
Description-md5: 5e53688e4ea5444a178417865ae5b510
Description-en: sqlite3 driver for go that using database/sql
 SQLite3 driver conforming to the built-in database/sql interface.
 Currently, go-sqlite3 support following data types: null, integer,
 float, blob, text and timestamp/datetime.

Package: golang-github-mattn-go-zglob-dev
Description-md5: d25bb6e72f8b9c21486c80f8d98e43cf
Description-en: glob library that descends into other directories
 A glob library that implements descending into other directories. It is
 optimized for filewalking.

Package: golang-github-mcuadros-go-gin-prometheus-dev
Description-md5: c2ad3e3d4f55d85733669b21324a0bf4
Description-en: Gin Web Framework Prometheus metrics exporter
 This package contains a gin Web Framework Prometheus metrics exporter.
 .
 Gin is a HTTP web framework written in Go (Golang). It features a
 Martini-like API with much better performance.

Package: golang-github-mcuadros-go-lookup-dev
Description-md5: 42e208cf87957159bf36370048c9e156
Description-en: Small library on top of reflect for make lookups to any Structs or Maps
 Using a very simple DSL one can have
 access to any property,
 key or value of any value of Go.

Package: golang-github-mcuadros-go-version-dev
Description-md5: dfec5ffc32abdcffb237f95bc99656c0
Description-en: version normalizer and comparison library for Go
 Version is a Go library that provides version normalization and
 comparisons. It can also verify that a provided version string
 falls within a given range.
 .
 Examples:
   version.CompareSimple("1.2", "1.0.1")
   version.Compare("2.3.4", "v3.1.2", "<")
   .
   c := version.NewConstrainGroupFromString(">2.0,<=3.0")
   c.Match("2.5.0beta")

Package: golang-github-mendersoftware-log-dev
Description-md5: eb6c26d1e03582a3d89dce782630b2c9
Description-en: Logging package
 Log package for mendersoftware

Package: golang-github-mendersoftware-mender-artifact-dev
Description-md5: fe0f5d541b4913ff7940267d308631d8
Description-en: Library for managing Mender artifact files
 Mender Artifacts Library Mender is an open source over-the-air (OTA)
 software updater for embedded Linux devices. Mender comprises a client
 running at the embedded device, as well as a server that manages
 deployments across many devices.
 .
 This repository contains the artifacts library, which is used by the
 Mender client, command line interface, server and for build integration
 with the Yocto Project.
 .
 The artifacts library makes it easy to programmatically work with a
 Mender artifact, which is a file that can be recognized by its .mender
 suffix. Mender artifacts can contain binaries, metadata, checksums,
 signatures and scripts that are used during a deployment. The artifact
 format acts as a wrapper, and uses the tar format to bundle several
 files into one.
 .
 In its simplest form, an artifact contains just a rootfs image, along
 with its checksum, id and device type compatibility.
 .
 The artifacts library might also be useful for other updaters or
 purposes. We are always happy to see other uses of it!
 .
 Mender logo Getting started To start using Mender, we recommend that
 you begin with the Getting started section in the Mender documentation
 (https://docs.mender.io/).  Using the library You can use the parser
 and reader in go in the standard way:
 .
 import (
         "github.com/mendersoftware/mender-artifact/parser"
         "github.com/mendersoftware/mender-artifact/reader"
        )
 .
 For sample usage, please see the Mender client source code
 (https://github.com/mendersoftware/mender).

Package: golang-github-mendersoftware-mendertesting-dev
Description-md5: 092281590efc436f13ebfcc633b3d4b6
Description-en: Testing package for Golang Build Status
 This package provides tests that are used on golang projects by
 mendersoftware.

Package: golang-github-mendersoftware-scopestack-dev
Description-md5: bdd53da29b4ef85cd9e831bddcbf3f62
Description-en: Scopestack package for Golang Build Status
 A stack type that tries to verify that each push and pop happens in the same
 function.

Package: golang-github-mesos-mesos-go-dev
Description-md5: 99eb2df94c9781349c5c295a31ff179d
Description-en: Go language bindings for Apache Mesos
 Pure Go language bindings for Apache Mesos. As with other pure
 implementation, mesos-go uses the HTTP wire protocol to communicate
 directly with a running Mesos master and its slave instances. One of the
 objectives of this project is to provide an idiomatic Go API that makes it
 super easy to create Mesos frameworks using Go.

Package: golang-github-mgutz-ansi-dev
Description-md5: dcd286ae3b7e78b1f95265991ecc1129
Description-en: Small, fast library to create ANSI colored strings and codes
 Package ansi is a small, fast library to create ANSI colored strings
 and codes.

Package: golang-github-mgutz-str-dev
Description-md5: 84793342b647cd6d6ddcd171e8b85bbf
Description-en: comprehensive set of string functions
 This package provides a comprehensive set of string functions to build
 more Go awesomeness. The library complements Go's standard packages and
 does not duplicate functionality found in 'strings' or 'strconv'.
 .
 This library is based on plain functions instead of object-based
 methods, consistent with Go standard string packages.

Package: golang-github-mgutz-to-dev
Description-md5: e12c7fb957f21df74d88463302500258
Description-en: Convenient type conversion utilities for Go
 Convenient functions for converting values between common Go
 datatypes. For Go 1.1+.
 .
 This package ignores errors and allows quick-and-dirty conversions
 between Go datatypes. When any conversion seems unreasonable a zero value
 (http://golang.org/ref/spec#The_zero_value) is used as fallback.
 .
 If one is not working with human provided data, fuzzy input or
 if one would rather not ignore any error in your program, one should
 better use the standard Go packages for conversion, such as strconv
 (http://golang.org/pkg/strconv/), fmt (http://golang.org/pkg/fmt/)
 or even standard conversion (http://golang.org/ref/spec#Conversions)
 they may be better suited for the task.

Package: golang-github-michaeltjones-walk-dev
Description-md5: b4d8cc1bd5a2da67cb8cb971c13ef223
Description-en: Fast parallel version of golang filepath.Walk()
 Performs traversals in parallel so set GOMAXPROCS appropriately.
 Values from 8 to 16 seem to work best on 4-CPU plus 4 SMT CPU.
 The result is about 4x-6x the traversal rate of the standard
 Walk(). The two are not identical since walking the file system
 in a tumult of asynchronous walkFunc calls by a number of goroutines.
 So, take note of the following:
 • This walk honors all of the walkFunc
 error semantics but as multiple user-supplied walkFuncs may simultaneously
 encounter a traversal error or generate one to stop traversal, only the
 FIRST of these will be returned as the Walk() result.
 • Further, since
 there may be a few files in flight at the instant of  error discovery, a
 few more walkFunc calls may happen after the first error-generating call
 has signaled its desire to stop. In general this is a non-issue but it
 could matter so pay attention when designing your walkFunc. (For example,
 if you accumulate results then you need to have your own means to know
 to stop accumulating once you signal an error.)
 • Because the walkFunc
 is called concurrently in multiple goroutines, it needs to be careful
 about what it does with external data to avoid collisions. Results may
 be printed using fmt, but generally the best plan is to send results
 over a channel or accumulate counts using a locked mutex.
 .
 These issues
 are illustrated/handled in the simple traversal programs supplied with
 walk. There is also a test file that is just the tests from filepath
 in the Go language's standard library. Walk passes these tests when
 run in single process mode, and passes most of them in concurrent mode
 (GOMAXPROCS > 1). The problem is not a real problem, but one of the
 test expecting a specific number of errors to be found based on presumed
 sequential traversals.

Package: golang-github-miekg-dns-dev
Description-md5: 58b37cec1e99292580e22e68dd024956
Description-en: DNS protocol library for Go
 Complete and usable DNS library. All widely used Resource Records
 are supported, including the DNSSEC types. It follows a lean and
 mean philosophy.  If there is stuff you should know as a DNS
 programmer there isn't a convenience function for it. Server side
 and client side programming is supported, i.e. you can build
 servers and resolvers with it.

Package: golang-github-miekg-mmark-dev
Description-md5: f931cc800b096c5e4f621ff231a36b8a
Description-en: Markdown processor in Go geared for the IETF (Go library)
 Write RFCs using markdown.  Mmark (written in Go) provides an advanced
 markdown dialect that processes a single file to produce internet-drafts
 in XML format.  Internet-drafts written in mmark can produce XML2RFC
 v2 and XML2RFC v3 output.
 .
 Mmark is a fork of blackfriday which is a Markdown processor implemented
 in Go.  It supports a number of extensions, inspired by Leanpub, kramdown
 and Asciidoc, that allows for large documents to be written.  It is
 specifically designed to write Internet Drafts (I-Ds) and RFCs for the IETF.
 With mmark you can create a single file that serves as input into the
 XML2RFC processor.
 .
 It can currently output HTML5, XML2RFC v2 and XML2RFC v3 XML.
 Other output engines could be added.
 .
 This package provides the mmark Go library for development.

Package: golang-github-miekg-pkcs11-dev
Description-md5: 17e02e0a5d9bfd30991f736d7dd672c2
Description-en: pkcs11 wrapper for Go
 This is a Go implementation of the PKCS#11 API. It wraps the library closely,
 but uses Go idiom were it makes sense. It has been tested with SoftHSM.
 .
 This package contains the source.

Package: golang-github-minio-blake2b-simd-dev
Description-md5: 093ddd7a571175b13ff7bf6442ce0f33
Description-en: Fast hashing using pure Go implementation of BLAKE2b with SIMD instructions
 BLAKE2b-SIMD Pure Go implementation of BLAKE2b using SIMD
 optimizations.  Introduction This package was initially based
 on the pure go BLAKE2b (https://github.com/dchest/blake2b)
 implementation of Dmitry Chestnykh and merged with the (cgo
 dependent) AVX optimized BLAKE2 (https://github.com/codahale/blake2)
 implementation (which in turn is based on the official implementation
 (https://github.com/BLAKE2/BLAKE2). It does so by using Go's Assembler
 (https://golang.org/doc/asm) for amd64 architectures with a golang only
 fallback for other architectures.
 .
 In addition to AVX there is also support for AVX2 as well as SSE. Best
 performance is obtained with AVX2 which gives roughly a 4X performance
 increase approaching hashing speeds of 1GB/sec on a single core.
 .
 BLAKE2b is a hashing algorithm that operates on 64-bit integer values. The
 AVX2 version uses the 256-bit wide YMM registers in order to essentially
 process four operations in parallel. AVX and SSE operate on 128-bit
 values simultaneously (two operations in parallel). Below are excerpts
 from compressAvx2_amd64.s, compressAvx_amd64.s, and compress_generic.go
 respectively.
 .
     VPADDQ  YMM0,YMM0,YMM1   /* v0 += v4, v1 += v5, v2 += v6, v3 += v7 */
 .
     VPADDQ  XMM0,XMM0,XMM2   /* v0 += v4, v1 += v5 */ VPADDQ
     XMM1,XMM1,XMM3   /* v2 += v6, v3 += v7 */
 .
     v0 += v4 v1 += v5 v2 += v6 v3 += v7

Package: golang-github-minio-dsync-dev
Description-md5: ff232dc79f2589e9b549cab07d54d6c9
Description-en: Distributed sync package for Go
 dsync is a package for doing distributed locks over a network of n nodes.
 It is designed with simplicity in mind and hence offers limited
 scalability (n <= 16). Each node will be connected to all other nodes and
 lock requests from any node will be broadcast to all connected nodes.

Package: golang-github-minio-minio-go-dev
Description-md5: 05abc4c3644abe3cdf899c4e7a27fca3
Description-en: Go library for Amazon S3 compatible cloud storage
 The Minio Golang Client SDK provides simple APIs to access any Amazon S3
 compatible object storage server.

Package: golang-github-minio-sha256-simd-dev
Description-md5: 022695ed7db30a9ab662f578a1226f18
Description-en: implementation of SHA256 using SIMD instructions for Intel and ARM
 sha256-simd accelerate SHA256 computations in pure Go for both Intel
 (AVX2, AVX, SSE) as well as ARM (arm64) platforms.  Introduction This
 package is designed as a drop-in replacement for crypto/sha256. For Intel
 CPUs it has three flavors for AVX2, AVX and SSE whereby the fastest
 method is automatically chosen depending on CPU capabilities. For ARM
 CPUs with the Cryptography Extensions advantage is taken of the SHA2
 instructions resulting in a massive performance improvement.
 .
 This package uses Golang assembly and as such does not depend on cgo. The
 Intel versions are based on the implementations as described in "Fast
 SHA-256 Implementations on Intel Architecture Processors" by J. Guilford
 et al.  Performance Below is the speed in MB/s for a single core (ranked
 fast to slow) as well as the factor of improvement over crypto/sha256
 (when applicable).

Package: golang-github-mitchellh-cli-dev
Description-md5: d103adcda3135c69ec89b5a12c4d058c
Description-en: library for implementing command-line interfaces
 cli is a library for implementing powerful command-line interfaces in
 Go. cli is the library that powers the CLI for Packer, Serf, and Consul.
 .
 Features:
  - Easy sub-command based CLIs: cli foo, cli bar, etc.
  - Optional support for default subcommands so cli does something other
    than error.
  - Automatic help generation for listing subcommands
  - Automatic help flag recognition of -h, --help, etc.
  - Automatic version flag recognition of -v, --version.
  - Helpers for interacting with the terminal, such as outputting information,
    asking for input, etc. These are optional, you can always interact with
    the terminal however you choose.
  - Use of Go interfaces/types makes augmenting various parts of the library a
    piece of cake.

Package: golang-github-mitchellh-colorstring-dev
Description-md5: aafe1c42adce985f43b6239464cb1743
Description-en: Golang library for colorizing strings for terminal output
 Colorstring is a Go library for outputting colored strings to a console
 using a simple inline syntax to specify the colors.

Package: golang-github-mitchellh-copystructure-dev
Description-md5: e65642c8d451a954a2068b81f5e6c629
Description-en: library for deep copying values in Go
 Copystructure is a Go library for deep copying values in Go.
 .
 This library can copy Go values that may contain reference values such as
 maps, slices, or pointers, and copy their data as well instead of just
 their references.

Package: golang-github-mitchellh-go-fs-dev
Description-md5: a778d4d338959653cebdafe6ab34bf9d
Description-en: Filesystem library for Go, implementing FAT filesystems so far
 This library implements the ability to create, read, and write FAT
 filesystems using pure Go. In this library's current state, it is
 very good for reading FAT filesystems, and minimally useful for
 creating FAT filesystems.

Package: golang-github-mitchellh-go-homedir-dev
Description-md5: 96006430e67e029ffeb111f3b3901bf3
Description-en: Go library for detecting and expanding the user's home directory
 This is a Go library for detecting the user's home directory without the use of
 cgo, so the library can be used in cross-compilation environments.
 .
 Usage is incredibly simple, just call homedir.Dir() to get the home directory
 for a user, and homedir.Expand() to expand the ~ in a path to the home
 directory.
 .
 Why not just use os/user? The built-in os/user package requires cgo on Darwin
 systems. This means that any Go code that uses that package cannot cross
 compile. But 99% of the time the use for os/user is just to retrieve the home
 directory, which we can do for the current user without cgo. This library does
 that, enabling cross-compilation.

Package: golang-github-mitchellh-go-linereader-dev
Description-md5: 4daee05942271931da36e234d551cbb6
Description-en: read lines from an io.Reader and puts them onto a channel
 This package contains Go software that breaks up the input from an
 io.Reader into multiple lines. It is a lot like bufio.Scanner, except
 you can specify timeouts that will push "lines" through after a certain
 amount of time. This lets you read lines, but return any data if a line
 isn't updated for some time.

Package: golang-github-mitchellh-go-ps-dev
Description-md5: cc6a21d0a552b936b8280181d830932c
Description-en: Find, list, and inspect processes from Go (golang)
 go-ps is a library for Go that implements OS-specific APIs to list and
 manipulate processes in a platform-safe way. The library can find and list
 processes on Linux, Mac OS X, and Windows.

Package: golang-github-mitchellh-go-testing-interface-dev
Description-md5: e547c9b3aa1418c6d2a59831d941d9eb
Description-en: library to expose *testing.T as an interface
 Go library that exports an interface that *testing.T implements as well as
 a runtime version you can use in its place.
 .
 The purpose of this library is so export test helpers as a public API
 without depending on the "testing" package, since one can't create a
 *testing.T struct manually.

Package: golang-github-mitchellh-go-vnc-dev
Description-md5: 8abde871dee066201e2cf59c50e6ca32
Description-en: VNC client and server library for Go
 go-vnc is a VNC library for Go, initially supporting VNC clients
 but with the goal of eventually implementing a VNC server. This
 library implements RFC 6143.

Package: golang-github-mitchellh-go-wordwrap-dev
Description-md5: e3f2b383daaff3b7cffabe28b453b9c6
Description-en: Go package for wrapping words into multiple lines
 This package wraps words to limit the line length to a given number
 of characters, which is useful to format output in console programs.

Package: golang-github-mitchellh-hashstructure-dev
Description-md5: a42d3f4e13512cd394c752cfcb435fb8
Description-en: generate hash values for arbitrary values in Golang
 Hashstructure is a Go library for creating a unique hash value for
 arbitrary values in Go.

Package: golang-github-mitchellh-iochan-dev
Description-md5: 5561bb981e17e37ed3345f65e59d7598
Description-en: Go library for turning `io.Reader` into channels
 iochan is a Go library for treating io readers and writers like
 channels. This is useful when sometimes you wish to use io.Reader
 and such in select statements.

Package: golang-github-mitchellh-mapstructure-dev
Description-md5: 795ba6f76c1759ba503b27c28cac9396
Description-en: library for decoding generic map values into native Go structures
 mapstructure is a Go library for decoding generic map values to structures and
 vice versa, while providing helpful error handling.
 .
 This library is most useful when decoding values from some data stream (JSON,
 Gob, etc.) where you don't quite know the structure of the underlying data
 until you read a part of it. You can therefore read a map[string]interface{}
 and use this library to decode it into the proper underlying native Go
 structure.
 .
 Go offers fantastic standard libraries for decoding formats such as JSON. The
 standard method is to have a struct pre-created, and populate that struct from
 the bytes of the encoded format. This is great, but the problem is if you have
 configuration or an encoding that changes slightly depending on specific
 fields.
 .
 Perhaps we can't populate a specific structure without first reading the "type"
 field from the JSON. We could always do two passes over the decoding of the
 JSON (reading the "type" first, and the rest later). However, it is much
 simpler to just decode this into a map[string]interface{} structure, read the
 "type" key, then use something like this library to decode it into the proper
 structure.

Package: golang-github-mitchellh-multistep-dev
Description-md5: ad78350ebbd3bd1bc7811df466218a84
Description-en: library for building up complex actions using discrete steps
 multistep is a Go library for building up complex actions using discrete,
 individual "steps." These steps are strung together and run in sequence
 to achieve a more complex goal. The runner handles cleanup, cancelling,
 etc.

Package: golang-github-mitchellh-panicwrap-dev
Description-md5: 9b6aef35b16423b59705e48ca73edd0c
Description-en: library for catching and handling panics in Go applications
 panicwrap is a Go library that re-executes a Go binary and monitors
 stderr output from the binary for a panic. When it finds a panic, it
 executes a user-defined handler function. Stdout, stderr, stdin, signals,
 and exit codes continue to work as normal, making the existence of
 panicwrap mostly invisible to the end user until a panic actually occurs.

Package: golang-github-mitchellh-prefixedio-dev
Description-md5: 7ba8fd37b82381de0f0911e996c60760
Description-en: library that demultiplexes line-oriented data from an io.Reader
 prefixedio is a package for Go that takes an io.Reader and
 de-multiplexes line-oriented data based on a line prefix to
 a set of readers.

Package: golang-github-mitchellh-reflectwalk-dev
Description-md5: 7049c1433fdf2dcb48c7928638179f81
Description-en: library for "walking" complex structures, similar to walking a filesystem
 reflectwalk is a Go library for "walking" a value in Go using
 reflection, in the same way a directory tree can be "walked"
 on the filesystem. Walking a complex structure can allow you
 to do manipulations on unknown structures such as those
 decoded from JSON.

Package: golang-github-mkrautz-goar-dev
Description-md5: dc4285604529d0b6db2aed2241aadf0c
Description-en: library for reading and writing ar archives in Go
 Package ar implements reading and writing of ar archives.
 It supports reading archives in the GNU and BSD formats, but
 only supports writing in the BSD format.

Package: golang-github-modern-go-concurrent-dev
Description-md5: da358e3c569c62d06221db33782812bb
Description-en: Modern-go concurrency utilities
 The modern-go/concurrent library includes a collection of concurrency
 utilities:
 .
  * concurrent.Map: sync.Map backport for golang below 1.9.
  * concurrent.Executor: goroutine with explicit ownership and cancellable.

Package: golang-github-modern-go-reflect2-dev
Description-md5: e1dd83c15b620ae59b9449d7c94ba62c
Description-en: Reflection API without runtime reflect.Value cost
 The reflect2 package provides a reflection API that avoids runtime
 reflect.Value cost:
 .
  * reflect get/set interface{}, with type checking
  * reflect get/set unsafe.Pointer, without type checking
  * reflect2.TypeByName works like Class.forName found in Java
 .
 This package is designed for low level libraries to optimize reflection
 performance. General applications should still use the standard library
 reflect.

Package: golang-github-montanaflynn-stats-dev
Description-md5: 7c03368984e2df25319371d2cef7f74e
Description-en: Statistics package for Go
 Stats is a statistics package with many functions missing
 from the Golang standard library.

Package: golang-github-morikuni-aec-dev
Description-md5: 42bc58179a19588e9e54b19b35adf8e0
Description-en: Golang wrapper for ANSI escape code
 Golang library to manage ANSI escape codes.

Package: golang-github-moul-http2curl-dev
Description-md5: cb739f7212625e5671d189480e48b05c
Description-en: Go package for convert Golang's http.Request to CURL command line
 Package http2curl provides the function to convert Golang's http.Request to
 CURL command line. By setting the URL, argument, Content-Type, output the
 command line to be executed with CURL.

Package: golang-github-mozqnet-go-exploitdb-dev
Description-md5: 82e8e5c9b9d597aaaf1bc3420f84c0cd
Description-en: builds a local copy of the Exploit-DB (OffensiveSecurity) (source)
 go-exploitdb is a tool for searching Exploits from Exploit-DB
 (OffensiveSecurity) by CVE number or Exploit Database ID. Exploits are
 inserted at sqlite database(go-exploitdb) from Exploit-DB and can be searched
 by command line interface. In server mode, a simple Web API can be used.
 .
 This package contains the source.

Package: golang-github-mreiferson-go-httpclient-dev
Description-md5: 118d5c1891967c54043b0e15a458a59b
Description-en: Go HTTP client with timeouts
 This Go package provides an HTTP Transport that implements the RoundTripper
 interface and can be used as a built in replacement for the standard
 library's, providing:
 .
  * connection timeouts
  * request timeouts
 .
 This is a thin wrapper around http.Transport that sets dial timeouts and uses
 Go's internal timer scheduler to call the Go 1.1+ CancelRequest() API.

Package: golang-github-mreiferson-go-snappystream-dev
Description-md5: 9d205ef333819bf1247152c40b429311
Description-en: Go package for framed snappy streams
 This package wraps snappy-go (https://github.com/golang/snappy)
 and supplies a Reader and Writer for the snappy framed stream format
 (https://github.com/google/snappy/blob/master/framing_format.txt).

Package: golang-github-mrjones-oauth-dev
Description-md5: 9c92598203e14f0cb5071e5997c5121c
Description-en: OAuth 1.0 Library for Go
 This package is a Go library providing OAuth consumer and provider.
 .
 OAuth is an open standard that allows users to share their private
 resources (e.g. photos, videos, contact lists) stored on one site with
 another site without having to hand out their username and password.

Package: golang-github-mrunalp-fileutils-dev
Description-md5: 11e778fc73eef5aafd8848d693a83cbd
Description-en: collection of utilities for file manipulation in golang
 fileutils Collection of utilities for file manipulation in golang
 .
 The library is based on docker pkg/archive pkg/idtools but does copies
 instead of handling archive formats.

Package: golang-github-mssola-user-agent-dev
Description-md5: 2a6301a11f65e19c1b7a11a14e36212c
Description-en: HTTP User Agent parser for Golang
 Go library that parses HTTP User Agents.

Package: golang-github-msteinert-pam-dev
Description-md5: 71b431109f3246a61e6c47cc1d7ec761
Description-en: Go wrapper module for the PAM API
 This library provides a wrapper for the Pluggable Authentication
 Modules (PAM) API. This wrapper includes session management,
 authentication, account management, etc.
 .
 Documentation: https://godoc.org/github.com/msteinert/pam

Package: golang-github-muesli-crunchy-dev
Description-md5: 107672bdd16ff4f91e35fe0a6c63233f
Description-en: finds common flaws in passwords
 crunchy finds common flaws in passwords. It detects the following flaws:
  - Empty passwords: ErrEmpty
  - Too short passwords: ErrTooShort
  - Too few different characters, like "aabbccdd": ErrTooFewChars
  - Systematic passwords, like "abcdefgh" or "87654321": ErrTooSystematic
  - Passwords from a dictionary / wordlist: ErrDictionary
  - Mangled / reversed passwords, like "p@ssw0rd": ErrMangledDictionary
  - Hashed dictionary words, like "5f4dcc3b5aa765d61d8327deb882cf99"
    (the md5sum of "password"): ErrHashedDictionary
 .
 System dictionaries from /usr/share/dict will be indexed. If no dictionaries
 were found, crunchy only relies on the regular sanity checks (ErrEmpty,
 ErrTooShort, ErrTooFewChars and ErrTooSystematic).
 .
 crunchy uses the WagnerFischer algorithm to find mangled passwords in your
 dictionaries.

Package: golang-github-muesli-goprogressbar-dev
Description-md5: fa60d1393ef5c0d46470a1d8bbffd8d9
Description-en: Print progress bars on the console with Go
 This library is a golang helper to print one or many progressbars on the
 console.
 .
 Sample output: [#############>-----------------------------------] 28.90%

Package: golang-github-muesli-smartcrop-dev
Description-md5: 9aa45d98a4ef1ac41ebea0f42ba1638a
Description-en: smartcrop finds good image crops for arbitrary crop sizes
 smartcrop finds good image crops for arbitrary sizes.
 It is a pure Go implementation, based on Jonas Wagner's smartcrop.js
 (https://github.com/jwagner/smartcrop.js)

Package: golang-github-mvdan-fdroidcl-dev
Description-md5: ee90cdd9367b51cb3e39e3837bb37eec
Description-en: fdroidcl develpoment files
 F-Droid (https://f-droid.org/) desktop client.
 .
 While the Android client integrates with the system
 with regular update checks and notifications, this is a
 command line client that talks to connected devices via ADB
 (https://developer.android.com/tools/help/adb.html).  Quickstart Download
 the index: fdroidcl update
 .
 This package provides development files.

Package: golang-github-mvdan-xurls-dev
Description-md5: f4abf67e5520748a1722d7c4b314b112
Description-en: extract urls from text
 Extract urls from text using regular expressions.

Package: golang-github-mvo5-goconfigparser-dev
Description-md5: 3e2e6bb2afb8ac108bfd0de9b11d1204
Description-en: Python compatible INI parser
 This parser is build as a go equivalent of the Python ConfigParser
 module and is aimed for maximum compatibility for both the file format
 and the API. This should make it easy to use existing python style
 configuration files from go and also ease the porting of existing
 python code.

Package: golang-github-mvo5-uboot-go-dev
Description-md5: 851320b916e00f5686a57281adc41046
Description-en: Read/write uboot environment
 Go package to read/write uboot env files.

Package: golang-github-mwitkow-go-conntrack-dev
Description-md5: 924ad988a2481a3f9482bbd643035397
Description-en: Go middleware for net.Conn tracking
 Prometheus (https://prometheus.io/) monitoring and x/net/trace
 (https://godoc.org/golang.org/x/net/trace#EventLog) tracing wrappers for
 net.Conn, both inbound (net.Listener) and outbound (net.Dialer).
 .
 Go standard library does a great job of doing "the right" things with
 your connections: http.Transport pools outbound ones, and http.Server
 sets good Keep Alive defaults.  However, it is still easy to get it
 wrong.
 .
 That's why you should be able to monitor (using Prometheus) how many
 connections your Go frontend servers have inbound, and how big are the
 connection pools to your backends. You should also be able to inspect
 your connection without ssh and netstat.

Package: golang-github-mxk-go-flowrate-dev
Description-md5: f31022143b0ded959a49e2d38a236310
Description-en: Go package for limiting and monitoring data flow rate
 The flowrate package provides the tools for monitoring and limiting
 the flow rate of an arbitrary data stream.

Package: golang-github-namsral-flag-dev
Description-md5: 7602e4c2d20d3106178dab2868ec9f22
Description-en: Parse flags, environment variables and config files
 Flag is a drop in replacement for Go's flag package with the addition to
 parse files and environment variables.
 .
 This library is a drop-in replacement of Go's native flag package that
 supports the third factor of the twelve-factor app methodology: storing
 the config in the environment.

Package: golang-github-naoina-go-stringutil-dev
Description-md5: c9c9ebfa5cbaf8e577a768f24f3d822b
Description-en: Faster string utilities implementation for Go
 go-stringutil is a collection of faster string utilities implementation
 for Go. The stringutil package contains several functions such as
 ToUpperCamelCase, ToSnakeCase and isUpperASCII.

Package: golang-github-natefinch-lumberjack-dev
Description-md5: 211a9949fa30032448039e042269d06b
Description-en: Go package for writing logs to rolling files
 Lumberjack is intended to be one part of a logging infrastructure.
 It is not an all-in-one solution, but instead is a pluggable component
 at the bottom of the logging stack that simply controls the files
 to which logs are written.
 .
 Lumberjack plays well with any logging package that can write to
 an io.Writer, including the standard library's log package.
 .
 Lumberjack assumes that only one process is writing to the output
 files. Using the same lumberjack configuration from multiple processes
 on the same machine will result in improper behavior.

Package: golang-github-nats-io-go-nats-dev
Description-md5: b8135a8199d75d6f0a90f0d2f4000e13
Description-en: Golang client for NATS, the cloud native messaging system
 Go client for the NATS messaging system.

Package: golang-github-nats-io-jwt-dev
Description-md5: 2405c9d43be793003b52d887be0f38e9
Description-en: JWT tokens signed using NKeys for the NATS ecosystem
 JWT implementation that uses nkeys to digitally sign JWT tokens.

Package: golang-github-nats-io-nkeys-dev
Description-md5: 35ead91d110790bc7df8b0805da524cc
Description-en: public-key signature system based on Ed25519
 Package nkeys provides a  public-key signature system based on Ed25519
 for the NATS ecosystem.

Package: golang-github-nats-io-nuid-dev
Description-md5: c77fcf3a0e7734a77f76e507dd9130d3
Description-en: NATS Unique Identifiers
 Package nuid provides a unique identifier generator that
 is high performance, very fast, and tries to be entropy
 pool friendly.

Package: golang-github-nbutton23-zxcvbn-go-dev
Description-md5: 41c1ce147d2e77bef793a6abb4482f54
Description-en: Strong password generator in Go
 This package provides methods for producing strong passwords in Go using an
 implementation of zxcvbn. This library attempts to give sound password
 advice through pattern matching and conservative entropy calculations.

Package: golang-github-ncw-go-acd-dev
Description-md5: c8929bdba105076f3a6712b4faa48da6
Description-en: Go library for accessing Amazon Cloud Drive
 This library is the basis for acdcli (https://github.com/sgeb/acdcli).
 .
 Still work in progress. Focusing on read-only operations at first.

Package: golang-github-ncw-swift-dev
Description-md5: 345d629c9c6b954561a072621ee493cf
Description-en: Go language interface to Swift / Openstack Object Storage / Rackspace cloud files
 This package provides an easy to use library for interfacing with Swift /
 Openstack Object Storage / Rackspace cloud files from the Go Language.

Package: golang-github-nebulouslabs-bolt-dev
Description-md5: 90b127e386bf6e56149cd1ea6b2a11b2
Description-en: low-level key/value database for Go
 Bolt is a pure Go key/value store inspired by Howard Chu's LMDB
 project.
 .
 This package is a vendored fork and snapshot of github.com/boltdb/bolt,
 maintained by NebulousLabs and used as a dependency for the sia storage
 daemon.

Package: golang-github-nebulouslabs-demotemutex-dev
Description-md5: 516a3a08e604bdacf001f6fc2a5951ac
Description-en: Allow an RWMutex writelock to be demoted to a readlock.
 This package provides an extension to sync.Mutex that allows a writelock to be
 demoted to a readlock without releasing control to other writelocks.

Package: golang-github-nebulouslabs-ed25519-dev
Description-md5: 123d7c478d77735d552486354eb4d23b
Description-en: Go implementation of the Ed25519 signature algorithm
 Ed25519 is a public-key signature system based on elliptic-curve
 cryptography, carefully engineered at several levels of design and
 implementation to achieve very high speeds without compromising security.
 .
 This package contains the NebulousLabs fork of the original Go implementation
 (see https://github.com/agl/ed25519 and the golang-ed25519-dev package).

Package: golang-github-nebulouslabs-entropy-mnemonics-dev
Description-md5: 377cac309528c37c065638d6a838c21c
Description-en: Human readable entropy.
 This Go library converts byte slices into human-friendly phrases.
 .
 The primary purpose is to assist with the generation of
 cryptographically secure passwords. The threshold for a cryptographically
 secure password is between 128 and 256 bits, which when converted to
 base64 is 22-43 random characters. Random characters are both difficult to
 remember and subject to error when spoken or written down; slurring or
 sloppy handwriting can make it difficult to recover a password.

Package: golang-github-nebulouslabs-errors-dev
Description-md5: 2c64f35d078a7fcc5d0174e2d6120007
Description-en: errors with context, composition, and easy error checking
 The errors package is an extension of and drop in replacement for the
 standard library errors package. Multiple errors can be composed into a
 single error with the Compose function, or a single error can be
 extended by adding context using the Extend function. The result can be
 checked for the presence of a specific error using Contains. If any of
 the underlying composed or extended errors or extensions match,
 Contains will return true.
 .
 This package is especially beneficial during testing, where you may wish
 to check for presence of a specific error, but that specific error may
 be extending another error or may have been extended by another function
 somewhere else in the call stack.

Package: golang-github-nebulouslabs-fastrand-dev
Description-md5: af33978335c02118adad511dee041235
Description-en: 10x faster than crypto/rand
 fastrand GoDoc
 (https://godoc.org/github.com/NebulousLabs/fastrand) Go Report Card
 (https://goreportcard.com/report/github.com/NebulousLabs/fastrand)
 .
 go get github.com/NebulousLabs/fastrand
 .
 fastrand implements a cryptographically secure pseudorandom number
 generator.  The generator is seeded using the system's default entropy
 source, and thereafter produces random values via repeated hashing. As
 a result, fastrand can generate randomness much faster than crypto/rand,
 and generation cannot fail beyond a potential panic during init().
 .
 Unlike both crypto/rand and math/rand, fastrand provides significant
 speedups when called using parallelism. In fact, fastrand can even
 outperform math/rand when using enough threads.
 .
 Packages uses something similar to the Fortuna algorithm, which is used
 in FreeBSD as its /dev/random. The techniques used by fastrand are known
 to be secure, however the specific implementation has not been reviewed
 extensively. Use with caution.
 .
 The general strategy is to use crypto/rand at init to get 32 bytes of
 strong entropy. From there, the entropy concatenated to a counter and
 hashed repeatedly, providing a new 64 bytes of random output each time
 the counter is incremented. The counter is 16 bytes, which provides
 strong guarantees that a cycle will not be seen throughout the lifetime
 of the program.
 .
 The sync/atomic package is used to ensure that multiple threads calling
 fastrand concurrently are always guaranteed to end up with unique
 counters, allowing callers to see speedups by calling concurrently,
 without compromising security.

Package: golang-github-nebulouslabs-go-upnp-dev
Description-md5: 93f0a69823119a8e910a4e5169808be1
Description-en: Opinionated interface to Internet Gateway Devices
 The upnp package upnp provides a simple and opinionated interface to
 UPnP-enabled routers, allowing users to forward ports and discover their
 external IP address.
 .
 Specific quirks:
 .
   - When attempting to discover UPnP-enabled routers on the network, only
     the first such router is returned. If you have multiple routers, this may
     cause some trouble. But why would you do that?
   - Forwarded ports are always symmetric, e.g. the router's port 9980 will
     be mapped to the client's port 9980. This will be unacceptable for some
     purposes, but too bad. Symmetric mappings are the desired behavior 99%
     of the time, and they save a function argument.
   - TCP and UDP protocols are forwarded together.
   - Ports are forwarded permanently. Some other implementations lease a port
     mapping for a set duration, and then renew it periodically. This is nice,
     because it means mappings won't stick around after they've served their
     purpose. Unfortunately, some routers only support permanent mappings,
     so this package has chosen to support the lowest common denominator. To
     un-forward a port, you must use the Clear function (or do it manually).
 .
 Once you've discovered your router, you can retrieve its address by
 calling its Location method. This address can be supplied to Load
 to connect to the router directly, which is much faster than calling
 Discover.
 .
 See the godoc (http://godoc.org/github.com/NebulousLabs/upnp) for full
 documentation.

Package: golang-github-nebulouslabs-merkletree-dev
Description-md5: 8d57bf091ae9145b71195cd2374fa69f
Description-en: Go package for working with Merkle trees
 Calculate merkle roots, build and verify proofs that data is in a
 merkle tree (http://en.wikipedia.org/wiki/Merkle_tree).
 .
 Specifically, this package is designed to facilitate the generation and
 verification of "Merkle proofs" — cryptographic proofs that a given subset
 of data "belongs" to a larger set. BitTorrent, for example, requires
 downloading many small pieces of a file from many untrusted peers; Merkle
 proofs allow the downloader to verify that each piece is part of the full
 file.
 .
 When sha256 is used as the hashing algorithm, the implementation matches
 the merkle tree described in RFC 6962, 'Certificate Transparency'.

Package: golang-github-neelance-astrewrite-dev
Description-md5: c716007e6b515e16b26a33f432877d94
Description-en: Go tool to walk & rewrite AST
 This library provides functions similar to ast.Inspect() from the
 'ast' package. The passed walk function can also return a node, which
 is used to rewrite the parent node. This provides an easy way to
 rewrite a given ast.Node while walking the AST.

Package: golang-github-neelance-sourcemap-dev
Description-md5: 54f78a566661f7055f83aedfa2826a1e
Description-en: Javascript source map reader and writer for Go
 This Go library provides methods for reading and writing Javascript
 source map files.
 .
 JS Source Map files are used to map pre-compiled javascript components
 with their compiled counterparts.

Package: golang-github-neowaylabs-wabbit-dev
Description-md5: 6981302bf54fc8edfa97149e76727c56
Description-en: Golang AMQP mocking library
 AMQP is a verbose protocol that makes it difficult to implement proper
 unit-testing on your application.  The first goal of the Wabbit library is
 provide a sane interface for an AMQP client implementation based on
 the specification AMQP-0-9-1 (no extension) and then an implementation
 of this interface using the well established package streadway/amqp
 (https://github.com/streadway/amqp) (a wrapper).

Package: golang-github-nesv-go-dynect-dev
Description-md5: 63c068f377dd4433eae403291e709aa3
Description-en: Go client library for Dyn's DynECT API
 This package contains a DynECT REST client for the Go programming
 language.
 .
 This package is needed for terraform.

Package: golang-github-nfnt-resize-dev
Description-md5: 320f5aa241b66bdfe384a960dfb71024
Description-en: Pure golang image resizing
 The resize package provides 2 functions:
 - resize.Resize creates a scaled image with new dimensions
   (width, height) using the interpolation function interp.
   If either width or height is set to 0, it will be set to
   an aspect ratio preserving value.
 - resize.Thumbnail downscales an image preserving its aspect
   ratio to the maximum dimensions (maxWidth, maxHeight).  It
   will return the original image if original sizes are smaller
   than the provided dimensions.

Package: golang-github-ngaut-deadline-dev
Description-md5: d0c757f1171abc11af002db662a581f9
Description-en: deadline reader/writer
 This package provides convenience wrappers using a default timeout
 value around Readers and Writers that also implement the
 SetReadDeadline and SetWriteDeadline interfaces (such as net.Conn).

Package: golang-github-ngaut-go-zookeeper-dev
Description-md5: c539e0098b2a898cfc0aeecc92a25b87
Description-en: Native ZooKeeper client for Go
 This package provides a native ZooKeeper (client) library for
 communicating with ZooKeeper servers.
 .
 Example:
     func main() {
         c, _, err := zk.Connect([]string{"127.0.0.1"}, time.Second) //*10)
         if err != nil {
             panic(err)
         }
         children, stat, ch, err := c.ChildrenW("/")
         if err != nil {
             panic(err)
         }
         fmt.Printf("%+v %+v\n", children, stat)
         e := <-ch
         fmt.Printf("%+v\n", e)
     }
 .
 See also: https://godoc.org/github.com/samuel/go-zookeeper/zk

Package: golang-github-ngaut-log-dev
Description-md5: 9db00dbe9ca7fdb9830ee31b8bb7c8e2
Description-en: Provides a simple log wrapper for ngaut libraries
 This library provides a high level log wrapper so that output can be
 sent to different log files based on different log levels.

Package: golang-github-ngaut-pools-dev
Description-md5: c20e65e88aa58c67e7595ae3c3751a3e
Description-en: provides pools for resources
 This Go library provides pools for managing resources such as connections.

Package: golang-github-ngaut-sync2-dev
Description-md5: a8a78b4a075954a54383bef6471e1f45
Description-en: Additional synchronization primitives extracted from Vitess
 This package provides a library with methods for accessing additional
 synchronization primitives extracted from Vitess.
 .
 Vitess is a database clustering system for horizontal scaling of MySQL.
 https://github.com/youtube/vitess

Package: golang-github-nicksnyder-go-i18n-dev
Description-md5: d9306a1be0b301c50be3df89a393c96a
Description-en: Go package for i18n with templates and CLDR plural support
 go-i18n is a Go package and a command that helps you translate Go programs
 into multiple languages.
 .
  * Supports pluralized strings for all 200+ languages in the Unicode Common
    Locale Data Repository (CLDR).
  * Code and tests are automatically generated from CLDR data
  * Supports strings with named variables using text/template syntax.
  * Translation files are simple JSON or YAML.
  * Documented and tested!
 .
 This package contains the Go library github.com/nicksnyder/go-i18n/i18n
 which provides runtime APIs for fetching translated strings.

Package: golang-github-nicksnyder-go-i18n.v2-dev
Description-md5: 3a3f201330cff4f0d890dfdecabc6a2c
Description-en: Translate Go program into multiple languages
 Go package and that helps translating Go programs into multiple languages.
  - Supports pluralized strings for all 200+ languages in
    the Unicode Common Locale Data Repository (CLDR).
  - Code and tests are automatically generated from CLDR data.
  - Supports strings with named variables using text/template syntax.
  - Supports message files of any format (e.g. JSON, TOML, YAML).

Package: golang-github-nightlyone-lockfile-dev
Description-md5: b439a6d70e9d1663f310bea11991c3aa
Description-en: Golang library to handle locking via pid files
 Package lockfile handles pid file based locking.
 .
 While a sync.Mutex helps against concurrency issues within a single process,
 this package is designed to help against concurrency issues between
 cooperating processes or serializing multiple invocations of the same process.
 You can also combine sync.Mutex with Lockfile in order to serialize an action
 between different goroutines in a single program and also multiple invocations
 of this program.

Package: golang-github-niklasfasching-go-org-dev
Description-md5: 7766bbd4eb026b26571a7680c7471823
Description-en: Org mode parser with HTML & pretty-printed Org rendering (Go library)
 github.com/niklasfasching/go-org an Org mode parser written in Go.
 .
 Take a look at https://niklasfasching.github.io/go-org/ for some examples
 and an online Org → HTML demo (Wasm based).
 .
 Please note that the goal for the HTML export is to produce sensible HTML
 output, not to exactly reproduce output the output of org-html-export.
 .
 This package contains the go-org Go library.

Package: golang-github-nkovacs-streamquote-dev
Description-md5: 1e8225a885e1b4ced3d8b7da55cbd6b0
Description-en: Go package providing a streaming version of strconv.Quote
 This package provides a streaming version of strconv.Quote.
 .
 It allows you to quote the data in an io.Reader and write it out to an
 io.Writer without having to store the entire input and the entire output
 in memory.
 .
 Its primary use case is go.rice (https://github.com/GeertJohan/go.rice)
 and similar tools, which need to convert lots of files, some of them
 quite large, to go strings.
 .
     converter := streamquote.New()
     converter.Convert(inputfile, outfile)
 .
 Unlike strconv.Quote, it does not add quotes around the output.

Package: golang-github-nlopes-slack-dev
Description-md5: 73f29ccc31e4d88ea226e8686bf633ff
Description-en: Go library for Slack API
 This library supports most if not all of the `api.slack.com` REST
 calls, as well as the Real-Time Messaging protocol over websocket, in
 a fully managed way.

Package: golang-github-nozzle-throttler-dev
Description-md5: 65a0ce4a5e53bde08ce27ece2b516148
Description-en: Fill the gap between WaitGroup and manually monitor goroutines with channels
 The API is almost identical to WaitGroups,
 but it allows you to set a max number of workers that can be
 running simultaneously. It uses channels internally to block until a job
 completes by calling Done() or until all jobs have been completed. It
 also provides a built in error channel that captures your goroutine
 errors and provides access to them as []error after you exit the loop.

Package: golang-github-nrdcg-goinwx-dev
Description-md5: 5512ff7e1e095e1880a8f27c0c30913d
Description-en: INWX Go API client
 This package contains a go library for the INWX API.
 It implements some parts of the official INWX XML-RPC API.
 - Account
   - Login
   - Logout
   - Lock
   - Unlock (with mobile TAN)
 - Domains
   - Check
   - Register
   - Delete
   - Info
   - GetPrices
   - List
   -  Whois
 - Nameservers
   - Check
   - Create
   - Info
   - List
   - CreateRecord
   - UpdateRecord
   - DeleteRecord
   - FindRecordById
 - Contacts
   - List
   - Info
   - Create
   - Update
   - Delete

Package: golang-github-nsf-termbox-go-dev
Description-md5: 99879f1630370e7e60de21d8397f85a0
Description-en: pure Go implementation of termbox library
 Termbox is a library that provides a minimalistic API which allows
 the programmer to write text-based user interfaces.
 .
 The basic idea is an abstraction of the greatest common subset of
 features available on all major terminals and other terminal-like
 APIs in a minimalistic fashion. Small API means it is easy to
 implement, test, maintain and learn it, that's what makes the termbox
 a distinct library in its area.

Package: golang-github-nu7hatch-gouuid-dev
Description-md5: 4c505e97111aef373ba0bf5b46a0cc83
Description-en: pure Go UUID implementation as specified in RFC 4122
 This package provides immutable UUID structs and the functions
 NewV3, NewV4, NewV5 and Parse() for generating versions 3, 4
 and 5 UUIDs as specified in RFC 4122.

Package: golang-github-nwidger-jsoncolor-dev
Description-md5: 397f6038db765817639e290ca6b5880a
Description-en: Produce colorized json output for Go
 jsoncolor is a drop-in replacement for encoding/json's Marshal and
 MarshalIndent functions which produce colorized output using fatih's
  color package.

Package: golang-github-nytimes-gziphandler-dev
Description-md5: 4c607d7cee094a6f5a9e65a44b4675b0
Description-en: Golang middleware to gzip HTTP responses
 This is a tiny Go package which wraps HTTP handlers to transparently gzip
 the response body, for clients which support it.

Package: golang-github-odeke-em-cache-dev
Description-md5: 524a843cb6cc697f5d130824466c675a
Description-en: Simple cache with expirable values
 Functions to use embedded in programs as a very simple cache.
 .
 This package provides a library to implement a simple cache to use
 in Golang programs.

Package: golang-github-odeke-em-cli-spinner-dev
Description-md5: 9484d401cf28c4416997bdd9dd0c684b
Description-en: Simple spinner library for commandline
 Simple spinner for the commandline while your CLI application is working.
 A simple example commandline software using this library is the cli-spinner
 package.
 .
 This package contains the spinner library which use in software
 developed using golang.

Package: golang-github-odeke-em-command-dev
Description-md5: 9bab53f1a4427a150b65988b5221e6f7
Description-en: cli subcommands for Go
 The command is a tiny package that helps you to add cli subcommands to your
 Go program with no effort, and prints a pretty guide if needed.
 .
 This package allows you to use flags package, and provides additional
 parsing for subcommands and subcommand flags.

Package: golang-github-odeke-em-ripper-dev
Description-md5: a32a2de14737b8122bb6a7e249c2bf50
Description-en: scrape licenses out of files -- library
 Ripper inspect source files and show the license if it found. It
 support directories, files or URI repositories as argument.
 .
 This package provides ripper library to use in others Golang programs.

Package: golang-github-ogier-pflag-dev
Description-md5: e021543f1ac55e284f2299f6919f69b9
Description-en: POSIX/GNU-style command-line flags for Go
 This package is a drop-in replacement for Go's flag package
 providing POSIX/GNU-style long-form command-line flags.

Package: golang-github-oklog-run-dev
Description-md5: 424dde24c15cc34e497bceaacaee69e0
Description-en: Universal mechanism to manage goroutine lifecycles
 Create a zero-value run.Group, and then add actors to it. Actors are
 defined as a pair of functions: an execute function, which should
 run synchronously; and an interrupt function, which, when invoked,
 should cause the execute function to return. Finally, invoke Run,
 which concurrently runs all of the actors, waits until the first actor
 exits, invokes the interrupt functions, and finally returns control
 to the caller only once all actors have returned. This general-purpose
 API allows callers to model pretty much any runnable task, and achieve
 well-defined lifecycle semantics for the group.

Package: golang-github-oklog-ulid-dev
Description-md5: fb88635d502dd379b29986107bab670a
Description-en: ULID generation library for Golang
 Universally Unique Lexicographically Sortable Identifier (ULID) in Go
 .
 A Go port of alizain/ulid (https://github.com/alizain/ulid) with binary
 format implemented.
 .
 A ULID is a replacement for GUID/UUIDs with some useful properties:
 .
  * Lexicographically sortable.
  * Compatible with UUID/GUIDs.
  * Very fast generation.
  * Canonically encoded as a 26 character string.

Package: golang-github-okzk-sdnotify-dev
Description-md5: 1beef19913d64a340b275dce4012fdaa
Description-en: systemd's service notification protocol (sd_notify)
 Go implementation of systemd's service notification protocol (sd_notify)

Package: golang-github-oleiade-reflections-dev
Description-md5: f1ec620559ec9afd7c9cd881b67fa8f0
Description-en: high level abstractions over Golang reflect library
 github.com/oleiade/reflections provides high level abstractions above
 the golang reflect library.
 .
 The reflect library is very low-level and can be quite complex when it comes
 to doing simple things like accessing a structure field value or a field tag.
 .
 The purpose of the reflections package is to make developers' life easier when
 it comes to introspecting structures at runtime. Its API is inspired from
 Python language (getattr, setattr, hasattr...) and provides simplified
 access to structure fields and tags.

Package: golang-github-olekukonko-tablewriter-dev
Description-md5: 9c9541cd6828764f73b573ec783beac7
Description-en: generate ASCII tables in Golang
 Library to generate ASCII tables using Go. Features automatic
 padding, multiple lines, alignment, custom separators, and much
 more.

Package: golang-github-olekukonko-ts-dev
Description-md5: 6a97637e56625451c6582d49cb16ed57
Description-en: Simple go library to get Terminal Size
 So Many Implementations do not support windows but ts has full windows
 support. See Documentation (http://godoc.org/github.com/olekukonko/ts)

Package: golang-github-oneofone-xxhash-dev
Description-md5: 444791b366ff36a6380555c2ddd4adc8
Description-en: native implementation of the excellent XXHash hashing algorithm
 package xxhash contains a native implementation of the excellent XXHash
 hashing algorithm. This package used to contain a cgo version, but it was
 removed.

Package: golang-github-opencontainers-go-digest-dev
Description-md5: 3dc02a1150f3510018ed9a4c44cd7b79
Description-en: Common digest package used across the container ecosystem
 Package digest provides a generalized type to opaquely represent message
 digests and their operations within the registry. The Digest type is designed
 to serve as a flexible identifier in a content-addressable system. More
 importantly, it provides tools and wrappers to work with hash.Hash-based
 digests with little effort.

Package: golang-github-opencontainers-image-spec-dev
Description-md5: b839d58a6d79f6f38cc998da885dc1b3
Description-en: Open Container Initiative (OCI) Image Format Specification
 The OCI Image Format project creates and maintains the software shipping
 container image format spec (OCI Image Format). The goal of this
 specification is to enable the creation of interoperable tools for
 building, transporting, and preparing a container image to run.

Package: golang-github-opencontainers-runtime-tools-dev
Description-md5: 0d44d881f0746f61613a668e4538b54a
Description-en: OCI Runtime Tools
 oci-runtime-tool is a collection of tools for working with the OCI
 runtime specification (https://github.com/opencontainers/runtime-spec).
 .
 This package provides the development files.

Package: golang-github-opencontainers-selinux-dev
Description-md5: 67ae120ca4abbc906848d44063110b5c
Description-en: common selinux implementation
 Common SELinux package used across the container ecosystem.

Package: golang-github-opencontainers-specs-dev
Description-md5: 108c240ad9d4ea65cb6767d7712032a4
Description-en: Open Container Specifications
 This project is where the Open Container Initiative Specifications
 are written. The specs.go file contains various structs of the
 specification such as Spec, Hooks, Mount, Process, Root, Platform
 and Hook.

Package: golang-github-opennota-urlesc-dev
Description-md5: a2a938bdbbb3f53f081bb22c20005ca4
Description-en: Proper URL escaping as per RFC3986 for Go
 Package urlesc implements query escaping as per RFC 3986
 for the Go Programming Language.
 .
 It contains some parts of the net/url package, modified so as to
 allow some reserved characters incorrectly escaped by net/url.
 See Go Issue 5684 at https://github.com/golang/go/issues/5684
 for more information.

Package: golang-github-openpeedeep-xdg-dev
Description-md5: 6bcd5dd29e0e6b5309c702444f8cdc04
Description-en: Cross platform package that follows the XDG Standard
 Cross platform package that tries to follow XDG Standard
 when possible.
 .
 When creating XDG application the Vendor and Application names are
 appended to the end of the path to keep projects unique.
 chose to present an error message to the user.

Package: golang-github-openshift-api-dev
Description-md5: 56db6d734f462af2f4ade28d2bc657b4
Description-en: OpenShift API definitions
 API type definitions and serialization code used by "openshift/client-go".

Package: golang-github-openshift-imagebuilder-dev
Description-md5: a72adbcdf1d3f09128df35421398a7a6
Description-en: Builds container images using Dockerfile as imput
 This library supports using the Dockerfile syntax to build OCI & Docker
 compatible images, without invoking a container build command such
 as buildah bud or docker build. It is intended to give clients more
 control over how they build container images, including:
  - Instead of building one layer per line, run all instructions in the
    same container
  - Set HostConfig settings like network and memory controls that are
    not available when running container builds
  - Mount external files into the build that are not persisted as part
    of the final image (i.e. "secrets")
  - If there are no RUN commands in the Dockerfile, the container is
    created and committed, but never started.  The final image should be
    99.9% compatible with regular container builds, but bugs are always
    possible.
 .
 This package provides sources that other package may require for
 building.

Package: golang-github-opensuse-umoci-dev
Description-md5: c7176b90ba8a069959b0209e08c33fd1
Description-en: umoci development files
 umoci creates and modifies Open Container images.
 See the official website (https://umo.ci/) for more accessible
 documentation about how to use umoci.
 .
 umoci intends to be a complete manipulation tool for OCI images
 (https://github.com/opencontainers/image-spec).  In particular, it should
 be seen as a more end-user-focused version of the oci-image-tools provided
 by the OCI (https://github.com/opencontainers/image-tools). The hope is
 that all of this tooling will eventually be merged with the upstream
 repository, so that it is always kept up-to-date by the Open Container
 Initiative community.
 .
 This package provides Golang sources for the umoci API.

Package: golang-github-opentracing-contrib-go-stdlib-dev
Description-md5: 5e8ccce31b025a981a07506b2dbf75b9
Description-en: OpenTracing instrumentation for packages in the Go stdlib
 This package contains OpenTracing (http://opentracing.io/) instrumentation for
 packages in the Go standard library.
 .
 Instrumentation is provided for the following packages, with the following
 caveats:
 .
  * net/http: Client and server instrumentation. Only supported with Go 1.7 and
    later.
 .
 For documentation on the packages, check godoc
 (https://godoc.org/github.com/opentracing-contrib/go-stdlib/).

Package: golang-github-opentracing-opentracing-go-dev
Description-md5: 1cdf6e6356f4a18ba7fcc8951f26f5ce
Description-en: Go platform API for OpenTracing
 By offering consistent, expressive, vendor-neutral APIs for popular platforms,
 OpenTracing makes it easy for developers to add (or switch) tracing
 implementations with an O(1) configuration change. OpenTracing also offers a
 lingua franca for OSS instrumentation and platform-specific tracing helper
 libraries.
 .
 This package is a Go platform API for OpenTracing.

Package: golang-github-openzipkin-zipkin-go-dev
Description-md5: 2a1ed0e1c87e69ecfc72c30e73440a93
Description-en: Zipkin tracer library for go
 Zipkin Go is the official Go Tracer implementation for Zipkin, supported
 by the OpenZipkin community.  zipkin-go is built with interoperability in
 mind within both the OpenZipkin community and 3rd parties.  The library
 consists of several go packages.

Package: golang-github-oschwald-geoip2-golang-dev
Description-md5: 88ad9559d04b3bfa423d817515b82042
Description-en: Unofficial MaxMind GeoIP2 Reader for Go
 This library reads MaxMind GeoLite2 and GeoIP2 databases.
 It is built using the Go maxminddb reader. All data for
 the database record is decoded using this library. If you
 only need several fields, you may get superior performance
 by using maxminddb's Lookup directly with a result struct
 that only contains the required fields.

Package: golang-github-oschwald-maxminddb-golang-dev
Description-md5: 567efb4c486bdab3f1eb6620dc43c926
Description-en: MaxMind DB Reader for Go
 This is a Go reader for the MaxMind DB format. Although this can be used
 to read GeoLite2 and GeoIP2 databases, geoip2-golang provides a higher-level
 API for doing so.

Package: golang-github-osrg-gobgp-dev
Description-md5: 7b92526e033213543b73fc1f885e1de1
Description-en: BGP implemented in Go (source)
 GoBGP is an open source BGP implementation designed from scratch for
 modern environment and implemented in Go. It is designed to exploit
 multicore processors and can be easily integrated with other software
 through an RPC API.
 .
 This package contains the source code.

Package: golang-github-ostreedev-ostree-go-dev
Description-md5: 7dc070947d36db7d816f46bf758ef97d
Description-en: Golang bindings for httt://github.com/ostreedev/ostree
 OSTree-Go Go bindings for OSTree. Find out more about OSTree here
 (https://github.com/ostreedev/ostree)

Package: golang-github-ovh-go-ovh-dev
Description-md5: ac794a29489464d369459a520cc8f158
Description-en: Simple go wrapper for the OVH API
 Lightweight Go wrapper around OVH's APIs. Handles all the work including
 credential creation and requests signing.
 .
 This wrapper will first look for direct instantiation parameters
 then OVH_ENDPOINT, OVH_APPLICATION_KEY, OVH_APPLICATION_SECRET and
 OVH_CONSUMER_KEY environment variables. If either of these parameter is
 not provided, it will look for a configuration file.

Package: golang-github-oxtoacart-bpool-dev
Description-md5: fc3b1d3d7b44d340a55910db63412549
Description-en: Buffer/Byte pool for Go
 bpool implements leaky pools of byte arrays and Buffers as bounded
 channels.
 .
 A common use case for this package is to use buffers  to execute HTML templates
 against (via ExecuteTemplate) or encode JSON into (via json.NewEncoder). This
 allows you to catch any rendering or marshalling errors prior to writing to
 a http.ResponseWriter, which helps to avoid writing incomplete or malformed
 data to the response.

Package: golang-github-packer-community-winrmcp-dev
Description-md5: 925f89969bc9f353d7d2c58cd6e58aa0
Description-en: copy files to remote hosts using WinRM (Go library)
 This is a Go library which provides to copy files to remote Windows
 machines using Windows Remote Management (WinRM/WinRS). The remote
 system must be prepared for that.

Package: golang-github-packethost-packngo-dev
Description-md5: 527193995d74c8e2a4406230f7eb504c
Description-en: Golang API client library for Packet (cloud provider)
 Official Golang API client library from the cloud provider Packet
 (https://www.packet.net/).

Package: golang-github-parnurzeal-gorequest-dev
Description-md5: 9769fb9d3bcd72100dceb5a277367dfa
Description-en: Go library for simplified HTTP client
 GoRequest is a simplified HTTP client inspired by famous SuperAgent
 library in Node.js.
 .
 Sending request would never been fun and easier than this. It comes with
 lots of feature:
   - Get/Post/Put/Head/Delete/Patch/Options
   - Set - simple header setting
   - JSON - made it simple with JSON string as a parameter
   - Multipart-Support - send data and files as multipart request
   - Proxy - sending request via proxy
   - Timeout - setting timeout for a request
   - TLSClientConfig - taking control over tls where at least you can disable
     security check for https
   - RedirectPolicy
   - Cookie setting cookies for your request
   - CookieJar automatic in-memory cookiejar
   - BasicAuth setting basic authentication header
   - more to come..

Package: golang-github-pascaldekloe-goe-dev
Description-md5: 89a43101d93c410e8cb64399360473a1
Description-en: enterprise tooling library for Golang
 Common enterprise features for Golang.

Package: golang-github-patrickmn-go-cache-dev
Description-md5: 0fe2cf9477001aab0b336b02d415d471
Description-en: in-memory key:value store/cache (similar to Memcached)
 go-cache is an in-memory key:value store/cache similar
 to memcached that is suitable for applications running on a single
 machine. Its major advantage is that, being essentially a thread-safe
 map[string]interface{} with expiration times, it doesn't need to serialize
 or transmit its contents over the network.

Package: golang-github-paulbellamy-ratecounter-dev
Description-md5: 490674c64a73ae00bf011131a11d42ae
Description-en: thread-safe RateCounter implementation in Golang
 Package ratecounter provides a thread-safe rate-counter, for
 tracking counts in an interval. Useful for implementing counters
 and stats of 'requests-per-second' (for example).

Package: golang-github-paulrosania-go-charset-dev
Description-md5: d47966793a3c96b8462a1caff60f4ad7
Description-en: character set conversion for Go
 Character set conversion between UTF-8 and various character sets.

Package: golang-github-paypal-gatt-dev
Description-md5: 2b19c9b01b12860dd82c65e318def19b
Description-en: building Bluetooth Low Energy peripherals
 This package provides a Bluetooth Low Energy GATT implementation.
 Gatt (Generic Attribute Profile) is the protocol used to write BLE
 peripherals (servers) and centrals (clients).
 .
 As a peripheral, you can create services, characteristics, and
 descriptors, advertise, accept connections, and handle requests.
 .
 As a central, you can scan, connect, discover services, and make
 requests.

Package: golang-github-pborman-uuid-dev
Description-md5: 946b8e48a66a79827d0918a1a3135114
Description-en: Go bindings to work with UUIDs
 Generates and inspects UUIDs based on RFC 4122 and DCE 1.1: Authentication
 and Security Services.

Package: golang-github-pearkes-cloudflare-dev
Description-md5: 1720fbf61cf50b792258d930051be64c
Description-en: Cloudflare library written in Go
 This package provides the cloudflare package which offers an interface
 to the CloudFlare gAPI.
 .
 It's intentionally designed to make heavy use of built-ins and strings in
 place of custom data structures and proper types. It also only implements
 specific endpoints, and doesn't have full API coverage.

Package: golang-github-pearkes-dnsimple-dev
Description-md5: 533818bcceca96e6567a7a51298479b9
Description-en: DNSimple library written in Go
 This package provides the dnsimple package which offers an interface to
 the DNSimple API.
 .
 It's intentionally designed to make heavy use of built-ins and strings in
 place of custom data structures and proper types. It also only implements
 specific endpoints, and doesn't have full API coverage.

Package: golang-github-pelletier-go-buffruneio-dev
Description-md5: 97ac353c010e343f1fb06aaa1bb640c0
Description-en: wrapper around bufio to provide buffered runes access
 Buffruneio is a wrapper around bufio to provide buffered runes access
 with unlimited unreads.

Package: golang-github-pelletier-go-toml
Description-md5: 377e5ecfd1e5bd307421957edf51d182
Description-en: Go library for the TOML language -- commandline programs
 This library supports TOML (Tom's Obvious, Minimal Language)
 version 0.4.0.
 .
 TOML aims to be a minimal configuration file format that's
 easy to read due to obvious semantics. TOML is designed
 to map unambiguously to a hash table. TOML should be
 easy to parse into data structures in a wide variety
 of languages.
 .
 This package contains the two commandline programs tomll
 and tomljson.

Package: golang-github-pelletier-go-toml-dev
Description-md5: ba4c2bcbb3961d5f40e29161855bc32b
Description-en: Go library for the TOML language
 This library supports TOML (Tom's Obvious, Minimal Language)
 version 0.4.0.
 .
 TOML aims to be a minimal configuration file format that's
 easy to read due to obvious semantics. TOML is designed
 to map unambiguously to a hash table. TOML should be
 easy to parse into data structures in a wide variety
 of languages.

Package: golang-github-petar-gollrb-dev
Description-md5: 2fe8dae6fd6d07400e14558a6b8c7a93
Description-en: LLRB implementation of balanced binary search trees for Go
 GoLLRB is a Left-Leaning Red-Black (LLRB) implementation of 2-3 balanced
 binary search trees in Go the Language.
 .
 2-3 trees (a type of BBST's), as well as the runtime-similar 2-3-4 trees,
 are the de facto standard BBST algorithms found in implementations of
 Python, Java, and other libraries. The LLRB method of implementing 2-3
 trees is a recent improvement over the traditional implementation. The
 LLRB approach was discovered relatively recently (in 2008) by Robert
 Sedgewick of Princeton University.

Package: golang-github-peterbourgon-diskv-dev
Description-md5: 0e147bbf30cf5b5f478583212cfdcdbf
Description-en: disk-backed key-value store
 Diskv (disk-vee) is a simple, persistent key-value store written in the Go
 language. It starts with an incredibly simple API for storing arbitrary
 data on a filesystem by key, and builds several layers of
 performance-enhancing abstraction on top. The end result is a conceptually
 simple, but highly performant, disk-backed storage system.

Package: golang-github-peterh-liner-dev
Description-md5: ec1357ab4b9610717cb5673b55cd2035
Description-en: Command line editor with history
 Liner is a command line editor with history. It was inspired by linenoise;
 everything Unix-like is a VT100 (or is trying very hard to be). If your
 terminal is not pretending to be a VT100, change it. Liner also support
 Windows.

Package: golang-github-peterhellberg-link-dev
Description-md5: 1c5644ec1de4d9cdb4bfc6a657f031ea
Description-en: Go package for parsing link headers
 This package parses link headers (RFC 5988) used for pagination.

Package: golang-github-philhofer-fwd-dev
Description-md5: 477df061b0221c0f63b22417b16262f0
Description-en: Buffered Reader/Writer
 The fwd package provides a buffered reader and writer. Each has methods that
 help improve the encoding/decoding performance of some binary protocols.
 .
 The fwd.Writer and fwd.Reader type provide similar functionality to their
 counterparts in bufio, plus a few extra utility methods that simplify
 read-ahead and write-ahead. I wrote this package to improve serialization
 performance for http://github.com/philhofer/msgp, where it provided about a 2x
 speedup over bufio. However, care must be taken to understand the semantics of
 the extra methods provided by this package, as they allow the user to access
 and manipulate the buffer memory directly.

Package: golang-github-phpdave11-gofpdi-dev
Description-md5: a2d23d0462e84a4ac7ec7daa9ee8bbdb
Description-en: Go Free PDF Document Importer
 gofpdi (Go Free PDF Document Importer) allows you to import an
 existing PDF into a new PDF. The following PDF generation libraries
 are supported:
  - gopdf (https://github.com/signintech/gopdf)
  - gofpdf (https://github.com/jung-kurt/gofpdf)

Package: golang-github-pierrec-lz4-dev
Description-md5: ab876975a803bc2da1b592dc55c53254
Description-en: LZ4 compression and decompression in pure Go
 This library implements reading and writing lz4 compressed data (a frame),
 as specified in
 http://fastcompression.blogspot.fr/2013/04/lz4-streaming-format-final.html,
 using an io.Reader (decompression) and io.Writer (compression).  It is
 designed to minimize memory usage while maximizing throughput by being
 able to [de]compress data concurrently.

Package: golang-github-pierrec-xxhash-dev
Description-md5: 2200b932985d7f6161f4a7d5034ecb7b
Description-en: pure Go implementation of xxHash (32 and 64 bits versions)
 Pure Go implementation of xxHash (32 and 64 bits versions) xxHash is a
 very fast hashing algorithm. This package implements xxHash in pure Go.

Package: golang-github-pingcap-check-dev
Description-md5: 4afa769241943a8d3711e48a383eccf8
Description-en: rich testing extension for Go's testing package
 This library provides additional features to Go's testing package. These
 extensions include conditional logging, temporary directory management,
 and timers.
 .
 Documentation: https://godoc.org/gopkg.in/check.v1

Package: golang-github-pivotal-golang-clock-dev
Description-md5: 00d6de87b83adad369e7760be6d15a14
Description-en: Time provider and clock testing library for Go
 This library provides a Clock interface for Go which is useful for
 injecting time dependencies in tests.

Package: golang-github-pkg-errors-dev
Description-md5: 1492dffdc09de2c62219858b04c51154
Description-en: Simple error handling primitives for Go
 Package errors provides simple error handling primitives for the Go
 programming language.
 .
 The traditional error handling idiom in Go results in error reports without
 context or debugging information.
 .
 The errors package allows programmers to add context to the failure path in
 their code in a way that does not destroy the original value of the error.

Package: golang-github-pkg-profile-dev
Description-md5: 6d62d92a4c267ba9e2009f5bcde28c9d
Description-en: Simple profiling for Go
 This package can be used for simple profiling. It provides several
 convenience package level values for cpu, memory, and block (contention)
 profiling.

Package: golang-github-pkg-sftp-dev
Description-md5: e9134f8eb52ca607e4e5323fd26beeba
Description-en: SFTP support for the golang.org/x/crypto/ssh package
 The sftp package provides support for file system operations on
 remote ssh servers using the SFTP subsystem for the Go programming
 language.

Package: golang-github-pkg-term-dev
Description-md5: 4f5a50e3550a16dae83fdd3fdae9495a
Description-en: package term manages POSIX terminals
 Package term manages POSIX terminals. As POSIX terminals are connected to,
 or emulate, a UART, this package also provides control over the various
 UART and serial line parameters.

Package: golang-github-pkg-xattr-dev
Description-md5: b4113957a025931aafbf3201eb0bbcda
Description-en: Extended attribute support for Go
 This library allows manipulating filesystem extended attributes
 in Go.
 .
 Linux, Darwin and FreeBSD are supported.

Package: golang-github-pmezard-go-difflib-dev
Description-md5: 49de4aeae73f9a34fcea0ebc7b10d448
Description-en: partial port of Python difflib package to Go
 Go-difflib is a partial port of Python 3 difflib package. Its main goal
 was to make unified and context diff available in pure Go, mostly for
 testing purposes.

Package: golang-github-pmylund-go-cache-dev
Description-md5: a747c791afda1d620b2e24cf932cf8c8
Description-en: Go library for in-memory key/value store similar to memcached
 go-cache is an in-memory key:value store/cache similar to memcached
 that is suitable for applications running on a single machine. Its
 major advantage is that, being essentially a thread-safe
 map[string]interface{} with expiration times, it doesn't need to
 serialize or transmit its contents over the network.

Package: golang-github-pointlander-compress-dev
Description-md5: 126cb0594619e1b646d12cf81711dcc1
Description-en: parallelized modular compression library
 compress is a parallelized modular compression library

Package: golang-github-pointlander-jetset-dev
Description-md5: 5b90c4aba7f0778435afe5273b6c2c42
Description-en: JetSet is a compressed set of numbers
 JetSet is a compressed set of numbers. Numbers added to
 the set will be compressed with delta coding followed by
 Burrows Wheeler compression. Common set functions are supported.

Package: golang-github-pointlander-peg-dev
Description-md5: 03507e90b904529beba63e85ee3a3d52
Description-en: implementation of a Packrat parser generator - dev package
 Peg, Parsing Expression Grammar, is an implementation of a Packrat
 parser generator. A Packrat parser is a descent recursive parser capable
 of backtracking. The generated parser searches for the correct parsing
 of the input.
 .
 This is the dev package

Package: golang-github-posener-complete-dev
Description-md5: 8f50a18a77f41a27ddc45a9a0d351add
Description-en: bash completion written in go
 Writing bash completion scripts is a hard work. This package provides
 an easy way to create bash completion scripts for any command, and
 also an easy way to install/uninstall the completion of the command.

Package: golang-github-powerman-check-dev
Description-md5: 4ccf2b53779cd4a9cea2b1a39270e9c4
Description-en: Helpers to complement Go testing package
 Write Go tests with ease and fun! This package is like testify/assert
 on steroids.
 .
 Features:
   * Compelling output from failed tests.
   * Statistics with amount of passed/failed checks.
   * Colored output in terminal.
   * 100% compatible with testing package - check package just provide
     convenient wrappers for *testing.T methods and doesn't introduce
     new concepts like BDD, custom test suite or unusual execution
     flow.
   * Very easy to add your own check functions.
   * Concise, handy and consistent API, without dot-import!

Package: golang-github-pquerna-cachecontrol-dev
Description-md5: a7b1de2dcb9aa61eeb6e7b402a8d757c
Description-en: HTTP Cache-Control Parser and Interpretation
 cachecontrol implements RFC 7234 Hypertext Transfer Protocol (HTTP/1.1):
 Caching. It does this by parsing the Cache-Control and other headers,
 providing information about requests and responses -- but cachecontrol does
 not implement an actual cache backend, just the control plane to make
 decisions about if a particular response is cachable.

Package: golang-github-pquerna-ffjson-dev
Description-md5: 5702804eaf40893ed13a722450f812d3
Description-en: faster JSON serialization for Go
 ffjson generates static MarshalJSON and UnmarshalJSON functions for
 structures in Go. The generated functions reduce the reliance upon runtime
 reflection to do serialization and are generally 2 to 3 times faster.
 In cases where ffjson doesn't understand a Type involved, it falls back to
 encoding/json, meaning it is a safe drop in replacement.  By using ffjson
 your JSON serialization just gets faster with no additional code changes.
 .
 This package contains the source code for other go programs to link against.

Package: golang-github-pquerna-otp-dev
Description-md5: bd5b9bef327ef2e801ab583ce4fd6a6d
Description-en: Google Authenticator compatible one time passwords for Go
 This otp library implements both HOTP and TOTP based one time passcodes
 in a Google Authenticator compatible manner. This library can be used
 to generate and verify codes and display data encoded in QR codes.

Package: golang-github-proglottis-gpgme-dev
Description-md5: 9f0b4aa35683d72f552a7a4d0716e1ce
Description-en: Go wrapper for the GPGME library
 This library provides a Golang wrapper for the C GPGME library. If
 you are looking to add OpenPGP support to a server application, you
 should also consider the olang.org/x/crypto/openpgp library.

Package: golang-github-prometheus-alertmanager-dev
Description-md5: 88fb4acbdb239e739d3a9bdddc74bf65
Description-en: Handle and deliver alerts created by Prometheus -- source
 The Alertmanager handles alerts sent by client applications such as the
 Prometheus server. It takes care of deduplicating, grouping, and routing them
 to the correct receiver integration such as email, PagerDuty, or OpsGenie. It
 also takes care of silencing and inhibition of alerts.
 .
 This package provides the source code to be used as a library.

Package: golang-github-prometheus-client-golang-dev
Description-md5: 1554fe720b0324e6384563ff22eefa08
Description-en: Prometheus instrumentation library for Go applications
 This is the Prometheus Go client library. It provides two main functions:
 .
  * The exposition library is used to export metrics to a Prometheus server or
   pushgateway.
 .
  * The consumption library is used to process metrics exported by a Prometheus
   client. (The Prometheus server is using that library.)

Package: golang-github-prometheus-client-model-dev
Description-md5: 43385eaff66e8e99402ff076698e2fc3
Description-en: data model artifacts for Prometheus
 Golang data model artifacts for Prometheus.

Package: golang-github-prometheus-common-dev
Description-md5: 31e09c2cc06c58c3209ecf4159f6896a
Description-en: Common libraries for Prometheus components
 This package includes:
 .
  * github.com/prometheus/common/config: Common configuration structures.
  * github.com/prometheus/common/expfmt: Decoding and encoding for the
    exposition format.
  * github.com/prometheus/common/log: Wraps https://github.com/Sirupsen/logrus
    in order to add line:file annotations to log lines, as well as to provide
    common command-line flags for Prometheus components using it.
  * github.com/prometheus/common/model: Shared data structures.
  * github.com/prometheus/common/promlog: Defines standardised ways to
    initialize Go kit loggers across Prometheus components.
  * github.com/prometheus/common/route: A routing wrapper around
    https://github.com/julienschmidt/httprouter using `context.Context`.
  * github.com/prometheus/common/version: Version information and metrics.

Package: golang-github-protonmail-go-autostart-dev
Description-md5: 3615a3dd81f0b56d53458c7cbc45971e
Description-en: Go library to run a command after login
 The package creates a .desktop file in $XDG_CONFIG_HOME/autostart, typically
 $HOME/.config/autostart

Package: golang-github-puerkitobio-goquery-dev
Description-md5: 098263c141da9628293157ae3ffa0436
Description-en: jQuery-style HTML manipulation in Go
 goquery brings a syntax and a set of features similar to jQuery
 (http://jquery.com/) to the Go language, based on Go's net/html
 package and the CSS Selector library cascadia.
 .
 Syntax-wise, it is as close as possible to jQuery, with the same
 function names when possible, and a chainable interface.

Package: golang-github-puerkitobio-purell-dev
Description-md5: 633d7e291afeb4e1fa7e4f2d11b832b7
Description-en: tiny Go library to normalize URLs
 Purell is a tiny Go library to normalize URLs. It returns a pure
 URL. Pure-ell. Sanitizer and all. Yeah, I know...
 .
 Based on the wikipedia paper http://en.wikipedia.org/wiki/URL_normalization
 and the RFC 3986 document http://tools.ietf.org/html/rfc3986#section-6 .

Package: golang-github-putdotio-go-putio-dev
Description-md5: c9a891e36e15ccd5dd31e4042ddc6bc3
Description-en: Put.io Go API client
 Putio is a Go client library for accessing the Put.io API v2.

Package: golang-github-pzhin-go-sophia-dev
Description-md5: 1bce6bdbac3ad4be8e9cad32641048bf
Description-en: Golang binding for the Sophia database
 go-sophia is a Go (golang) binding to the Sophia (http://sophia.systems)
 database engine. Sophia is a modern transactional key-value/row-storage
 library, designed to provide best possible on-disk performance without
 degradation in time. It has guaranteed O(1) worst case complexity for
 read, write and range scan operations, adapting to expected write rate,
 total storage capacity and cache size.

Package: golang-github-qor-inflection-dev
Description-md5: b07691c717ff2204e5da90ea2c64de1c
Description-en: Pluralizes and singularizes English nouns
 Inflection is a Go package that pluralizes and singularizes English nouns.
 .
   inflection.Plural("person") => "people"
   inflection.Plural("Person") => "People"
   inflection.Plural("PERSON") => "PEOPLE"
   inflection.Plural("bus")    => "buses"
   inflection.Plural("BUS")    => "BUSES"
   inflection.Plural("Bus")    => "Buses"
 .
   inflection.Singularize("people") => "person"
   inflection.Singularize("People") => "Person"
   inflection.Singularize("PEOPLE") => "PERSON"
   inflection.Singularize("buses")  => "bus"
   inflection.Singularize("BUSES")  => "BUS"
   inflection.Singularize("Buses")  => "Bus"
 .
   inflection.Plural("FancyPerson") => "FancyPeople"
   inflection.Singularize("FancyPeople") => "FancyPerson"
 .
 Standard rules are from Rails's ActiveSupport.
 .
 This package contains the source.

Package: golang-github-quobyte-api-dev
Description-md5: cf5e66cc8ea207910488fcaa2a0c5c20
Description-en: Quobyte API client for Go
 This library implements a Go client for the Quobyte API.
 .
 Quobyte is a commercial product offering software implementing an
 enterprise-grade distributed parallel filesystem and block storage
 support for VMs and containers.

Package: golang-github-racksec-srslog-dev
Description-md5: 46221f621d8dc9128f6381c84e79a164
Description-en: replacement for the standard Golang library "log/syslog"
 Drop-in replacement for the standard library "log/syslog", but with extra
 features.

Package: golang-github-rackspace-gophercloud-dev
Description-md5: 2fab2cc000dc2892610ad531ad073fe2
Description-en: Go SDK for OpenStack
 Gophercloud is a flexible SDK that allows you to consume and work with
 OpenStack clouds in a simple and idiomatic way using golang. Many
 services are supported, including Compute, Block Storage, Object
 Storage, Networking, and Identity. Each service API is backed with
 getting started guides, code samples, reference documentation,
 unit tests and acceptance tests.

Package: golang-github-raintank-met-dev
Description-md5: 6fe5ff4ef5704f7f1d72e3e26cb443c3
Description-en: wrapper around metric client libraries for Go
 This library provides an opinionated wrapper around metric client
 libraries for Go. It supports statsd (recommended) and dogstatsd.

Package: golang-github-rainycape-unidecode-dev
Description-md5: 9a78bf403bdb7e33b0ceb29574ac6694
Description-en: replace non-ASCII characters with their ASCII approximations
 Package unidecode implements a unicode transliterator which replaces
 non-ASCII characters with their ASCII approximations. Given an
 unicode encoded string, the primary function, Unidecode, returns
 another string with non-ASCII characters replaced with their closest
 ASCII counterparts.

Package: golang-github-rakyll-globalconf-dev
Description-md5: 2b56ccb37f9b0769e5a490d46e07cc7c
Description-en: Effortlessly persist/retrieve flags in Go programs
 Effortlessly persist/retrieve flags in Go programs. globalconf allows
 your users to not only provide flags, but config files and environment
 variables as well.

Package: golang-github-rakyll-statik-dev
Description-md5: 791092d7f17ee4bc3dfaea31d78b8d83
Description-en: embed static files into a Go executable. Dev package
 statik allows you to embed a directory of static files
 into your Go binary to be later served from an http.FileSystem.
 .
 This is the dev package.

Package: golang-github-rclone-rclone-dev
Description-md5: 4378dab5720b04e3e7e8dfd4e68a9c0a
Description-en: go source code of rclone
 Rclone is a program to sync files and directories between the local
 file system and a variety of commercial cloud storage providers.
 .
 This package contains rclone's source code.

Package: golang-github-rcrowley-go-metrics-dev
Description-md5: f351f2d4f9037fdcb537837fef96192e
Description-en: Application level metrics capturing library for Go
 go-metrics supports counters, gauges and histograms.
 .
 The metrics can be published to various destinations (e.g. Graphite, InfluxDB,
 Prometheus) using external client libraries.
 .
 Port of the https://github.com/dropwizard/metrics library.

Package: golang-github-remeh-sizedwaitgroup-dev
Description-md5: 5bc55310ffed883d6e75fa09bbf81ef5
Description-en: Go library for limiting the amount of concurrent goroutines
 SizedWaitGroup has the same role and API as sync.WaitGroup but it adds
 a limit of the amount of goroutines started concurrently.
 .
 SizedWaitGroup adds the feature of limiting the maximum number of
 concurrently started routines. It could for example be used to start
 multiples routines querying a database but without sending too much
 queries in order to not overload the given database.

Package: golang-github-remyoudompheng-bigfft-dev
Description-md5: 54a8f45b66e6f2083988f2924cdf4eeb
Description-en: Golang big integer multiplication library
 Big integer multiplication library for Go using Fast Fourier transform

Package: golang-github-remyoudompheng-go-liblzma-dev
Description-md5: 653bab6ba401d69055454083b5bb565b
Description-en: Go bindings for XZ Utils/liblzma
 Provides Go bindings for XZ Utils/liblzma

Package: golang-github-renekroon-ttlcache-dev
Description-md5: 53771f748a0ba8b11e3c284cc4655913
Description-en: In-memory string-interface{} map with expiration for Golang
 TTLCache is a simple key/value cache in golang with the following functions:
 .
   - Thread-safe
   - Individual expiring time or global expiring time, you can choose
   - Auto-Extending expiration on Get
   - DNS style TTL, see SkipTtlExtensionOnHit(bool)
   - Fast and memory efficient
   - Can trigger callback on key expiration
 .
 Project TTLCache was forked from wunderlist/ttlcache to add extra functions
 not available in the original scope. The main differences are:
 .
   - An item can store any kind of object, previously, only strings could be
     saved
   - Optionally, you can add callbacks to: check if a value should expire, be
     notified if a value expires, and be notified when new values are added
     to the cache
   - The expiration can be either global or per item
   - Can exist items without expiration time
   - Expirations and callbacks are realtime

Package: golang-github-renstrom-dedent-dev
Description-md5: 279f665cd2c2c74f6c64264cad07592f
Description-en: Remove any common leading whitespace from multiline strings
 The dedent library removes common leading whitespace from multiline
 strings to allow flexible decoding and cleanup of here documents.

Package: golang-github-restic-chunker-dev
Description-md5: d8bfa9fcf8073c667f72e9dd528fbe02
Description-en: implementation of Content Defined Chunking (CDC) in Go
 The package chunker implements content-defined-chunking (CDC) based on
 a rolling Rabin Hash. The library is part of the restic backup program
 (https://github.com/restic/restic).

Package: golang-github-retailnext-hllpp-dev
Description-md5: 768f021ac5480e51ba5c497bf40d9eb6
Description-en: HyperLogLog++ cardinality estimation algorithm
 hllpp is an implementation of the HyperLogLog++ cardinality estimation
 algorithm in go. It optimizes for memory usage over CPU usage. It
 implements all the HyperLogLog optimizations introduced in the
 HyperLogLog++ paper (http://goo.gl/Z5Sqgu). Some notable features include:
 .
  * Marshaling so you can serialize to your datastore,
  * Extra space savings by only using 5 bits per register when possible,
  * Built-in non-streaming murmur3 implementation for fast hashing of input
    data.
 .
 This is the dev package.

Package: golang-github-revel-revel-dev
Description-md5: 70c4015fd19982b47b76f39425b6fd98
Description-en: high productivity, full-stack web framework for the Go language
 Revel is a web framework that builds on top of the high-perfomance
 Go HTTP server. It provide routing, parameter parsing, validation,
 templating, caching and a testing framework.

Package: golang-github-rfjakob-eme-dev
Description-md5: 151545d89925dc9799f7a69cafc10a88
Description-en: EME wide-block encryption for Go
 EME for Go is a wide-block encryption mode developed by Halevi and
 Rogaway in 2003 [eme]. (see references below)
 .
 EME uses multiple invocations of a block cipher to construct a new cipher
 of bigger block size (in multiples of 16 bytes, up to 2048 bytes).

Package: golang-github-rifflock-lfshook-dev
Description-md5: 326a1b0342bfb1754d3d2e15d64c1ee3
Description-en: local filesystem hook for logrus (Go library)
 Local Filesystem Hook for Logrus Sometimes developers like to write
 directly to a file on the filesystem. This is a hook for logrus
 (https://github.com/Sirupsen/logrus) designed to allow users to do
 just that. The log levels are dynamic at instantiation of the hook,
 so it is capable of logging at some or all levels.

Package: golang-github-rivo-tview-dev
Description-md5: 263303057c7f98cd756b2d502287c232
Description-en: Rich interactive widgets for terminal-based UIs in Go
 This Go package provides commonly needed components for terminal based
 user interfaces. The widgets provided with this package are useful for
 data exploration and data entry.
 .
 Among these components are:
  * Input forms (include input/password fields, drop-down selections,
    checkboxes, and buttons)
  * Navigable multi-color text views
  * Sophisticated navigable table views
  * Flexible tree views
  * Selectable lists
  * Grid, Flexbox and page layouts
  * Modal message windows
  * An application wrapper

Package: golang-github-rivo-uniseg-dev
Description-md5: cedde19affd6b427b81c7efb51927b94
Description-en: Unicode Text Segmentation for Go
 This package provides a tool to iterate over these grapheme clusters.
 This may be used to determine the number of user-perceived characters,
 to split strings in their intended places, or to extract individual
 characters which form a unit.
 .
 This package implements the Unicode Text Segmentation according to
 Unicode Standard Annex #29 (Unicode version 12.0.0).

Package: golang-github-rjeczalik-notify-dev
Description-md5: 0bad4d0f0d582a498d9b36448e289739
Description-en: file system event notification library on steroids
 Notify is a Go high-level abstraction over filesystem watchers like
 inotify, kqueue, FSEvents, FEN or ReadDirectoryChangesW. On top of filesystem
 watchers notify maintains a watchpoint tree, which provides strategy for
 creating and closing filesystem watches and dispatching filesystem events to
 user channels.

Package: golang-github-rkt-rkt-dev
Description-md5: 819b244d84b51eb283bffa55bb33fb5e
Description-en: rkt API source
 rkt (pronounced "rock-it") is an alternative to the Docker runtime, designed
 for server environments with the most rigorous security and production
 requirements.  Rocket is oriented around the App Container specification,
 a new set of simple and open specifications for a portable container format.
 .
 This package provides Golang sources for the rkt API.

Package: golang-github-roaringbitmap-roaring-dev
Description-md5: 4326cefdd8ce31411da2d3d9d16a549f
Description-en: Roaring bitmaps in Go
 This is a go version of the Roaring bitmap data structure.
 .
 Roaring bitmaps are found to work well in many important applications:
 .
 Use Roaring for bitmap compression whenever possible. Do not
 use other bitmap compression methods (Wang et al., SIGMOD 2017
 (http://db.ucsd.edu/wp-content/uploads/2017/03/sidm338-wangA.pdf))

Package: golang-github-robertkrimen-otto-dev
Description-md5: 98b63cc37c907339f06bbf46ec7e0e82
Description-en: JavaScript parser and interpreter in Go
 Otto provides a JavaScript parser and interpreter written natively in Go.
 .
 The following are some limitations with Otto:
  * "use strict" will parse, but does nothing.
  * The regular expression engine (re2/regexp) is not fully compatible with the
    ECMAScript 5 specification.
  * Otto targets ES5. ES6 features (eg: Typed Arrays) are not supported.

Package: golang-github-robfig-config-dev
Description-md5: fc3645d026b817c80adc96d31c9e7b58
Description-en: Configuration file parser for INI format
 Go library implementing a basic configuration file parser language
 which provides a structure similar to what you would find on
 Microsoft Windows INI files.

Package: golang-github-robfig-cron-dev
Description-md5: e402bb012c60097090815529a92863c9
Description-en: cron library for go
 This library implements a cron spec parser and runner.

Package: golang-github-robfig-pathtree-dev
Description-md5: fe7a83dadbbebc63676dd23b157af4df
Description-en: Go library for fast lookups using trees
 Go library implementing a tree for fast path lookup.

Package: golang-github-rogpeppe-fastuuid-dev
Description-md5: 24c57e2fddad7ecb130b429d2de14817
Description-en: fast generation of 192-bit UUIDs
 Package fastuuid provides fast UUID generation of 192 bit universally
 unique identifiers. It does not provide formatting or parsing of
 the identifiers (it is assumed that a simple hexadecimal or base64
 representation is sufficient, for which adequate functionality exists
 elsewhere).

Package: golang-github-rogpeppe-go-internal-dev
Description-md5: 2221721cd7acaef30f5b76c094c06fd2
Description-en: Selected Go-internal packages factored out from the standard library
 The go-internal repository factors out an opinionated selection of
 internal packages and functionality from the Go standard library.
 Currently this consists mostly of packages and testing code from
 within the Go tool implementation.
 .
 Included are the following:
 .
  * dirhash: calculate hashes over directory trees the same way
             that the Go tool does.
  * goproxytest: a GOPROXY implementation designed for test use.
  * gotooltest: Use the Go tool inside test scripts (see testscript below).
  * imports: list of known architectures and OSs, and support for reading
             import statements.
  * modfile: read and write go.mod files while preserving formatting
             and comments.
  * module: module paths and versions.
  * par: do work in parallel.
  * semver: semantic version parsing.
  * testenv: information on the current testing environment.
  * testscript: script-based testing based on txtar files.
  * txtar: simple text-based file archives for testing.
 .
 This package contains the development library files.

Package: golang-github-rs-cors-dev
Description-md5: 5931bc37afb0b20d48c2eba9925a59d7
Description-en: net/http configurable handler to handle CORS requests
 CORS is a net/http handler implementing Cross Origin Resource Sharing W3
 specification (http://www.w3.org/TR/cors/) in Golang.

Package: golang-github-rs-xid-dev
Description-md5: 644861e8656f5223387df24db16e5c46
Description-en: globally unique id generator thought for the web
 package xid is a globally unique id generator library, ready
 to be used safely directly in your server code.
 .
 Xid is using Mongo Object ID algorithm to generate globally
 unique ids with a different serialization (bast64) to make
 it shorter when transported as a string.

Package: golang-github-rsc-devweb
Description-md5: c1e059e846a39557a9dce926a90bb05c
Description-en: Development web server
 This repo holds a program that lets you work on a Go web server and have
 it automatically recompile on each request, like in the App Engine local
 development SDK.
 .
 See https://godoc.org/rsc.io/devweb.

Package: golang-github-rubyist-tracerx-dev
Description-md5: e8c42c267287693d4d475c31a4638596
Description-en: Output tracing information in your Go app based on environment variables
 tracerx Tracerx is a simple tracing package that logs messages depending
 on environment variables.  It is very much inspired by git's GIT_TRACE
 mechanism.

Package: golang-github-russellhaering-goxmldsig-dev
Description-md5: 72349f5ab33608a4affbac990a249825
Description-en: Pure Go implementation of XML Digital Signatures
 XML Digital Signatures implemented in pure Go, based on etree.
 .
 Limitations: this library was created in order to implement SAML 2.0.
 It currently only implements the subset of relevant standards needed
 to support that implementation.

Package: golang-github-russross-blackfriday-dev
Description-md5: 63c70c739bfbce2992e5f548b310d4a4
Description-en: Blackfriday: a markdown processor for Go
 Blackfriday (github.com/russross/blackfriday) is a Markdown processor
 implemented in Go. It is paranoid about its input (so you can safely feed it
 user-supplied data), it is fast, it supports common extensions (tables, smart
 punctuation substitutions, etc.), and it is safe for all utf-8 (unicode)
 input.
 .
 HTML output is currently supported, along with Smartypants extensions. An
 experimental LaTeX output engine is also included.
 .
 It started as a translation from C of upskirt.

Package: golang-github-ruudk-golang-pdf417-dev
Description-md5: 78fb221947bf53e11f2a01f17ff6d666
Description-en: PDF417 barcodes in Golang
 This library encodes data to a PixelGrid that can be used to display the
 barcode. You can use the PixelGrid to draw the barcode on anything.

Package: golang-github-rwcarlsen-goexif-dev
Description-md5: 2a087e46fca597341afb505ce1f28559
Description-en: Decode embedded EXIF meta data from image files with Go
 Go package goexif provides decoding of basic exif and tiff encoded data.
 Still in alpha - no guarantees.  Suggestions and pull requests are welcome.
 Functionality is split into two packages - "exif" and "tiff".
 The exif package depends on the tiff package.
 Documentation can be found at http://godoc.org/github.com/rwcarlsen/goexif

Package: golang-github-ryanuber-columnize-dev
Description-md5: f00faa7169d398e49e38c4729ed854b2
Description-en: Easy column formatted output for golang
 Columnize is a really small Go package that makes building CLI's a little bit
 easier. In some CLI designs, you want to output a number similar items in a
 human-readable way with nicely aligned columns. However, figuring out how wide
 to make each column is a boring problem to solve and eats your valuable time.
 .
 This package contains the source.

Package: golang-github-ryanuber-go-glob-dev
Description-md5: 28350801ff15ea4303af36a2732e67fb
Description-en: Basic string globs in golang
 Go-glob is a single-function
 library implementing basic string glob support.
 .
 Globs are an extremely user-friendly way of supporting string matching
 without requiring knowledge of regular expressions or Go's particular
 regex engine. Most people understand that if you put a * character
 somewhere in a string, it is treated as a wildcard. Surprisingly,
 this functionality isn't found in Go's standard library, except for
 path.Match, which is intended to be used while comparing paths (not
 arbitrary strings), and contains specialized logic for this use case.
 A better solution might be a POSIX basic (non-ERE) regular expression
 engine for Go, which doesn't exist currently.

Package: golang-github-ryszard-goskiplist-dev
Description-md5: a0a48591752e93727d18d391cef4592d
Description-en: A skip list implementation in Go
 This is a library implementing skip lists for the Go programming
 language (http://golang.org/).
 .
 Skip lists are a data structure that can be used in place of balanced
 trees. Skip lists use probabilistic balancing rather than strictly
 enforced balancing and as a result the algorithms for insertion and
 deletion in skip lists are much simpler and significantly faster than
 equivalent algorithms for balanced trees.
 .
 Skip lists were first described in Pugh, William (June 1990)
 (ftp://ftp.cs.umd.edu/pub/skipLists/skiplists.pdf). "Skip lists:
 a probabilistic alternative to balanced trees". Communications of the
 ACM 33 (6): 668–676

Package: golang-github-sabhiram-go-gitignore-dev
Description-md5: c0303e6779e31e961724d95d1e1a8659
Description-en: gitignore parser for go
 This implements a library to check a pattern includes or excludes
 certain filesystem paths. It can be useful to parse a .gitignore file,
 as the author does, or for other path specifications.
 .
 At the time of writing, this module is a prequisite for Gocryptfs
 versions 1.7.1 and up.

Package: golang-github-safchain-ethtool-dev
Description-md5: 3f5d449895d23d8eb0f94cd2991964e1
Description-en: simple ethtool-like library for Go
 The ethtool package aims to provide a library giving simple access
 to the Linux SIOCETHTOOL ioctl operations. It can be used to retrieve
 information from a network device like statistics, driver related
 information or even the peer of a VETH interface.

Package: golang-github-sahilm-fuzzy-dev
Description-md5: 0e8d2e30ba16ba850fc64b2b18858bb4
Description-en: Go library for fuzzy string matching
 Go library that provides fuzzy string matching optimized for filenames
 and code symbols in the style of Sublime Text, VSCode, IntelliJ IDEA et
 al. This library is external dependency-free. It only depends on the Go
 standard library.  Demo Here is a demo (_example/main.go) of matching
 various patterns against ~16K files from the Unreal Engine 4 codebase.

Package: golang-github-samalba-dockerclient-dev
Description-md5: 3cdf34830bc5cf93fe622330a2d22af6
Description-en: Docker client library in Go
 Well maintained docker client library.

Package: golang-github-samuel-go-zookeeper-dev
Description-md5: d9ee09b21a32f507893f1ffa601f62ae
Description-en: native ZooKeeper client for Go
 Native Go Zookeeper Client Library

Package: golang-github-sanity-io-litter-dev
Description-md5: 66789861197eac3ead3643ab140bcf0a
Description-en: Pretty printer library for Go data structures to aid in debugging and testing
 Litter is a pretty printer library for Go data structures to aid in
 debugging and testing.
 .
 It's named for the fact that it outputs literals, which you litter
 your output with. As a side benefit, all Litter output is compilable
 Go. You can use Litter to emit data during debug, and it's also really
 nice for "snapshot data" in unit tests, since it produces consistent,
 sorted output.
 .
 Litter was inspired by Spew (https://github.com/davecgh/go-spew), but
 focuses on terseness and readability.

Package: golang-github-sap-go-hdb-dev
Description-md5: 822fb050ec2d3c67ee36eff6c302c0b3
Description-en: SAP HANA Database Client for Go
 Go-hdb is a native Go HANA database driver for Go's sql package.
 It implements the SAP HANA SQL command network protocol:
 http://help.sap.com/hana/SAP_HANA_SQL_Command_Network_Protocol_Reference_en.pdf

Package: golang-github-sasha-s-go-deadlock-dev
Description-md5: fc1399dad8145fb06836ba57a5ab5b99
Description-en: Online deadlock detection in go
 Online deadlock detection in go (golang). go-deadlock provides
 (RW)Mutex drop-in replacements for sync.(RW)Mutex. It would
 not work if you create a spaghetti of channels. Mutexes only.

Package: golang-github-satori-go.uuid-dev
Description-md5: 8a4d19d2e24e9c1a3f41a77210513ebf
Description-en: Go package for creating and parsing UUID
 This package implements Universally Unique Identifier (UUID)
 supporting versions 1, 3, 4 and 5 (as specified in RFC 4122),
 and version 2 (as specified in DCE 1.1).

Package: golang-github-satta-ifplugo-dev
Description-md5: d3c65fade6a7bda6036a9995dfe76086
Description-en: ifplugd-powered network link status notification for Go
 ifplugo delivers network interface link information and link
 changes. It does this (on Linux) by using code from ifplugd
 (http://0pointer.de/lennart/projects/ifplugd/) to gather the necessary
 status information, then emits a status summary on a given channel. This
 summary (LinkStatusSample) is emitted on the first invocation and each
 time the state changes for at least one monitored interface.

Package: golang-github-schollz-closestmatch-dev
Description-md5: 28d2d28dac5f5cf8995dc432218a2d7e
Description-en: Golang library for fuzzy matching within a set of strings
 Closestmatch is a simple and fast Go library for fuzzy matching an
 input string to a list of target strings. closestmatch is useful for
 handling input from a user where the input (which could be misspelled or
 out of order) needs to match a key in a database. closestmatch uses a
 bag-of-words approach (https://en.wikipedia.org/wiki/Bag-of-words_model)
 to precompute character n-grams to represent each possible target
 string. The closest matches have highest overlap between the sets of
 n-grams. The precomputation scales well and is much faster and more
 accurate than Levenshtein for long strings.

Package: golang-github-seandolphin-bqschema-dev
Description-md5: ab6402a480ab12aa289007aa1d2d9a21
Description-en: Go library to process Google Big Query
 BQSchema is a library to create Google Big Query schema directly
 from Go structs and import BigQuery QueryResponse into arrays of Go
 structs.

Package: golang-github-sebest-xff
Description-md5: 66c93e19b65b8d9ebbfe62ff42c4a5be
Description-en: Golang Middleware to handle X-Forwarded-For Header
 Package xff is a net/http middleware/handler to parse Forwarded HTTP Extension
 in Golang.
 .
 Parse function parses the value of the X-Forwarded-For Header and returns the
 IP address.
 .
 Options is a configuration container, provided by this package, to setup the
 XFF middleware.

Package: golang-github-sebest-xff-dev
Description-md5: 5de34585fda171f11b33b15006ec2f37
Description-en: Golang Middleware to handle X-Forwarded-For Header (development files)
 Package xff is a net/http middleware/handler to parse Forwarded HTTP Extension
 in Golang.
 .
 Parse function parses the value of the X-Forwarded-For Header and returns the
 IP address.
 .
 Options is a configuration container, provided by this package, to setup the
 XFF middleware.
 .
 This package contains the source.

Package: golang-github-seccomp-containers-golang-dev
Description-md5: 1da4c77aceb37abf6f47b9875629eb3a
Description-en: libseccomp mappings in Golang
 Golang libraries used by container runtimes to generate and load seccomp
 mappings into the kernel.
 .
 seccomp (short for secure computing mode) is a BPF based syscall filter
 language presenting conventional function-call based filtering interface.

Package: golang-github-seccomp-libseccomp-golang-dev
Description-md5: 84a12fbc091df9d57f38c2285c16b63c
Description-en: golang bindings for libseccomp
 The libseccomp library provides an easy to use, platform independent, interface
 to the Linux Kernel's syscall filtering mechanism. The libseccomp API is
 designed to abstract away the underlying BPF based syscall filter language and
 present a more conventional function-call based filtering interface that should
 be familiar to, and easily adopted by, application developers.
 .
 The libseccomp-golang library provides a Go based interface to the libseccomp
 library.

Package: golang-github-segmentio-kafka-go-dev
Description-md5: 001e1f551fbd4a718a9adf276d57f059
Description-en: Kafka library in Go
 Package kafka provides low and high level APIs for interacting with Kafka,
 mirroring concepts and implementing interfaces of the Go standard library
 to make it easy to use and integrate with existing software.

Package: golang-github-seiflotfy-cuckoofilter-dev
Description-md5: c369cdf03f2925dfdad6beae35e80377
Description-en: Bloom filter replacement using cuckoo hashing
 Cuckoo filter is a Bloom filter replacement for approximated
 set-membership queries. While Bloom filters are well-known space-efficient
 data structures to serve queries like "if item x is in a set?", they do
 not support deletion. Their variances to enable deletion (like counting
 Bloom filters) usually require much more space.
 .
 Cuckoo filters provide the flexibility to add and remove items
 dynamically. A cuckoo filter is based on cuckoo hashing (and therefore
 named as cuckoo filter). It is essentially a cuckoo hash table storing
 each key's fingerprint. Cuckoo hash tables can be highly compact, thus
 a cuckoo filter could use less space than conventional Bloom filters,
 for applications that require low false positive rates (< 3%).

Package: golang-github-serenize-snaker-dev
Description-md5: 1a55b2610ed43bc1b30c6b4aa057bb41
Description-en: Convert camel cased strings to snake case and back
 This is a small Go library to convert camel cased strings to snake
 case and back, except some defined words such as common acronyms and
 initialisms).

Package: golang-github-sergi-go-diff-dev
Description-md5: 531ac379be3fa9016b88a5c31dc15e20
Description-en: Diff, match and patch text in Go
 This library provides algorithms to perform operations required for
 synchronizing plain text.
 .
 These operations include:
   * Compare two texts and return their differences.
   * Perform fuzzy matching of text.
   * Apply patches onto text.

Package: golang-github-sethvargo-go-fastly-dev
Description-md5: 6c8764b36b1b9eea636b23b714a38221
Description-en: Fastly API client library
 Go Fastly is a Golang API client for interacting with most facets of
 the Fastly API.
 .
 Fastly's API is designed to work in the following manner:
  - Create (or clone) a new configuration version for the service
  - Make any changes to the version
  - Validate the version
  - Activate the version

Package: golang-github-sevlyar-go-daemon-dev
Description-md5: b7e81108ae1d54a5c7a91c8f28980697
Description-en: library for writing system daemons
 Features:
  * Goroutine-safe daemonization
  * Out of box work with pid-files
  * Easy handling of system signals
  * Control of a daemon

Package: golang-github-shibukawa-configdir-dev
Description-md5: 774e4b2de1f79caf166b6e12ae554652
Description-en: multi platform library of configuration directories for Golang
 This library helps to get regular directories for configuration files
 or cache files according to the target operationg system's conventions.
 .
 On Linux and BSD systems it assumes the following:
 .
 System level configuration folder: ${XDG_CONFIG_DIRS} (/etc/xdg)
 User level configuration folder:   ${XDG_CONFIG_HOME} (${HOME}/.config)
 User level cache folder:           ${XDG_CACHE_HOME}  (${HOME}/.cache)

Package: golang-github-shiena-ansicolor-dev
Description-md5: b333776fed33beacb2b00665675c7661
Description-en: Ansicolor library for Golang
 Ansicolor library provides color console in Windows as ANSICON.

Package: golang-github-shirou-gopsutil-dev
Description-md5: 7c89664f560c59aca069d72bc597df0f
Description-en: Go package implementing psutils
 The gopsutil package provides a library which psutil
 (http://pythonhosted.org/psutil/) functions. Most of the features are
 implemented. Please refer to web site of the project about implementation
 list.

Package: golang-github-shogo82148-go-shuffle-dev
Description-md5: f1f8516eccb36d5666595be56a6f5374
Description-en: Primitives for shuffling slices and user-defined collections in Go
 Package shuffle provides primitives for shuffling slices and user-defined
 collections in Go.

Package: golang-github-shopify-logrus-bugsnag-dev
Description-md5: be58056bf3315958b501cadb106dc25f
Description-en: logrus-bugsnag is a hook that interfaces Logrus with Bugsnag
 logrus-bugsnag is a hook that allows Logrus
 (https://github.com/Sirupsen/logrus) to interface with Bugsnag
 (https://bugsnag.com).
 .
 This package contains the source.

Package: golang-github-shopify-sarama-dev
Description-md5: 8cfd21556e9146bc09c5cccacb8a6bef
Description-en: Go library for Apache Kafka
 Sarama is a Go client library for Apache Kafka (https://kafka.apache.org/)
 version 0.8 (and later).

Package: golang-github-shopspring-decimal-dev
Description-md5: e62e442e32cd9cf6b5ae11bfdeb1c7d6
Description-en: arbitrary-precision fixed-point decimal numbers in go
 Features
  * the zero-value is 0, and is safe to use without initialization
  * addition, subtraction, multiplication with no loss of precision
  * division with specified precision
  * database/sql serialization/deserialization
  * json and xml serialization/deserializationInstall

Package: golang-github-showmax-go-fqdn-dev
Description-md5: 27ecdaea1371e60d941168249d20c955
Description-en: Golang library to provide local machine FQDN
 The go-fqdn library is a simple wrapper around the 'net' and 'os' Golang
 standard libraries to provide the Fully Qualified Domain Name (FQDN) of
 the local machine.

Package: golang-github-shurcool-httpfs-dev
Description-md5: 5ba030b8f88fd2f2e99ac7ff42f0bde5
Description-en: Go library for working with the http.FileSystem interface
 golang-github-shurcool-httpfs-dev provides collection of Go library for
 working with the http.FileSystem interface.
 .
 - filter
   Package filter offers an http.FileSystem wrapper with the ability to keep
   or skip files.
 - html/vfstemplate
   Package vfstemplate offers html/template helpers that use http.FileSystem.
 - httputil
   Package httputil implements HTTP utility functions for http.FileSystem.
 - path/vfspath
   Package vfspath implements utility routines for manipulating virtual file
   system paths.
 - text/vfstemplate
   Package vfstemplate offers text/template helpers that use http.FileSystem.
 - union
   Package union offers a simple http.FileSystem that can unify multiple
   filesystems at various mount points.
 - vfsutil Package vfsutil implements some I/O utility functions for
   http.FileSystem.

Package: golang-github-shurcool-httpgzip-dev
Description-md5: 4ed0e7ed7f63de5fd557e5a7c99a6f20
Description-en: go library for use gzip compression when serving HTTP requests
 golang-github-shurcool-httpgzip-dev provides net/http-like primitives that use
 gzip compression when serving HTTP requests.

Package: golang-github-shurcool-sanitized-anchor-name-dev
Description-md5: b5160ed651eb70a4b9a651dab89c70ea
Description-en: Go package for creating sanitized anchor names
 sanitized_anchor_name provides a Go function to create sanitized anchor names.
 .
 Its logic can be reused by multiple packages to create interoperable
 anchor names and links to those anchors.

Package: golang-github-siddontang-go-dev
Description-md5: 8a9054ab44410bbf8d56fc13b22d3cc1
Description-en: Collection of siddontang libraries for Go
 This package provides a collection of siddontang libraries for Go.
 .
 Libraries Included;
   - arena
   - bson
   - bytes2
   - cache
   - config
   - exec2
   - filelock
   - hack
   - ioutil2
   - list2
   - log
   - num
   - ring
   - rpc
   - snappy
   - sync2
   - tb
   - time2
   - timingwheel
   - websocket

Package: golang-github-siddontang-go-snappy-dev
Description-md5: 8d6f839753ef6fc438e926c25297d567
Description-en: Snappy compression format in Go
 This library implements the Snappy compression algorithm in the Go
 programming language.
 .
 The Snappy compression algorithm aims to be fast and offer reasonable
 compression ratios.

Package: golang-github-siddontang-goredis-dev
Description-md5: 40a05f986e164ad0c42cba67f4e0318f
Description-en: Redis client implementation in Go
 This library provides a Redis client implementation in the Go language.
 This can be used to communicate with Redis servers and server clusters.
 .
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.

Package: golang-github-siddontang-rdb-dev
Description-md5: 7f0e5565fb84e5ace6abf1d2dad93897
Description-en: Read Redis rdb files in Go
 This library implements methods to read rdb files in Go. The rdb file
 format is used by Redis to store persistent data.
 .
 This library is part of a Go implementation of Snappy.

Package: golang-github-sirupsen-logrus-dev
Description-md5: d0bab94cbcdddab9b6e98159c6fceaba
Description-en: Logrus: a logging library for Go
 Logrus is a structured logger for Go (golang), completely
 API compatible with the standard library logger.
 .
 This package contains the source.

Package: golang-github-sjoerdsimons-ostree-go-dev
Description-md5: 26f20ae2ca808770426ed8310e1f567a
Description-en: Golang bindings for OSTree
 OSTree-Go Go bindings for OSTree. Find out more about OSTree here
 (https://github.com/sjoerdsimons/ostree)

Package: golang-github-skarademir-naturalsort-dev
Description-md5: a11c6d2f9c54ee09b0f54a38e7000d51
Description-en: simple natural sorter for Go Strings
 A simple natural string sorter for Go. Implements the "sort.Interface".

Package: golang-github-skratchdot-open-golang-dev
Description-md5: be8f254910e997098aa322cd29879956
Description-en: library to open an URI in the user's preferred application
 This library provides a set of Go functions allowing to "open" a
 file, directory or URI using the user's preferred application (or an
 operating system-wide default) to handle objects of those particular
 types. Optionally, it's possible to directly specify the
 application to use for opening the resource.

Package: golang-github-skynetservices-skydns-dev
Description-md5: 1d948fcb21098aa3fd01c51b310d282b
Description-en: DNS service discovery for etcd - source
 SkyDNS is a distributed service for announcement and discovery of
 services built on top of etcd. It utilizes DNS queries to discover
 available services. This is done by leveraging SRV records in DNS,
 with special meaning given to subdomains, priorities and weights.
 .
 This package provides Golang sources.

Package: golang-github-smartystreets-assertions-dev
Description-md5: 539e3ebcc066ebcb0c4755f8469980b0
Description-en: fluent assertion-style functions
 This package contains the implementations for all assertions which are
 referenced in goconvey package (github.com/smartystreets/goconvey/convey)
 and gunit (github.com/smartystreets/gunit) for use with the So(...)
 method. They can also be used in traditional Go test functions and even in
 applications.

Package: golang-github-smartystreets-go-aws-auth-dev
Description-md5: b1efe1a763afd8ba4c9d2266895a5185
Description-en: Go library to signs requests to Amazon Web Services
 Go-AWS-Auth is a comprehensive, lightweight library for signing
 requests to Amazon Web Services using IAM roles or signed
 signatures.
 .
 It's easy to use: simply build your HTTP request and call
 awsauth.Sign(req) before sending your request over the wire.
 .
 Supported signing mechanisms are:
 .
   * Signed Signature Versions 2, 3, and 4
   * Custom S3 Authentication Scheme
   * Security Token Service
   * S3 Query String Authentication
   * IAM Role

Package: golang-github-smartystreets-goconvey-dev
Description-md5: 28e7da1cd8f406a82a5bc3dedc7cfd5b
Description-en: Go testing in browser - sources
 Write behavioral tests in your editor. Get live results in your browser.
 .
 This package provides Golang sources.

Package: golang-github-smartystreets-gunit-dev
Description-md5: f14874f2c647fb43746f90119a3361f2
Description-en: xUnit-style test fixture adapter for go test
 Yet another testing tool for Go.
 .
 It's a mix of good things provided by the built-in testing package, the
 assertions (https://github.com/smartystreets/assertions) you know
 and love from the GoConvey (http://goconvey.co) project, the xUnit
 (https://en.wikipedia.org/wiki/XUnit) testing style (the first real unit
 testing framework), and it's all glued together with go test.

Package: golang-github-smira-commander-dev
Description-md5: 675b4573d15483b195da68ea1b0339c6
Description-en: library to provide command-line commands and sub-commands
 Package commander is a fork of a spin off of golang  go tool
 infrastructure to provide commands and sub-commands.
 .
 This package is a fork of t he original commander package, with the
 following modifications:
   - flags could be placed anywhere on the command line
   - flags in whole subcommand tree should be non-conflicting
     (i.e. one name - one value type)
   - interface has changed: first flags should be parsed, then command
     dispatched

Package: golang-github-smira-flag-dev
Description-md5: dd39ae9b3692c68420d56772f1f33bc5
Description-en: flag with a Get() interface{} method
 Package flag is a fork of the official "flag" package but with:
  - the flag.Value interface extended to provide a Get() interface{}
    method
 .
  - method (FlagSet *) Merge to merge two non-conficting flag sets

Package: golang-github-smira-go-aws-auth-dev
Description-md5: 47c75a26dabce4c1efcb3c9085de13d4
Description-en: signs requests to Amazon Web Services
 Go-AWS-Auth is a comprehensive, lightweight library for signing requests
 to Amazon Web Services.

Package: golang-github-smira-go-ftp-protocol-dev
Description-md5: 93a0cba1a9f1ec765081d9cd1426df95
Description-en: plugin for http.Transport with support for ftp:// protocol
 package protocol implements a plugin for http.Transport with support
 for the ftp:// protocol in Go.
 .
 Limitations: only anonymous FTP servers, only file retrieval operations.
 .
 Internally connections to FTP servers are cached and re-used when
 possible.

Package: golang-github-smira-go-xz-dev
Description-md5: a4a20c89d6d3a4e41d80479d992c03c9
Description-en: simple .xz decompression using external program (xz --decompress)
 Package go-xz provides imple .xz decompression using external
 program (xz --decompress).
 .
 This package uses external xz utility, so no depdendencies for the
 compiled binary.

Package: golang-github-socketplane-libovsdb-dev
Description-md5: d334e4b6407308cec9fe52e5ec05b104
Description-en: OVSDB client library written in Go
 OVSDB is the Open vSwitch Database Protocol. It's defined in RFC
 7047 and mainly used for managing the configuration of Open vSwitch,
 an open-source software switch designed to be used as a virtual
 switch in virtualized server environments.
 .
 This library is a client for OVSDB for Go.

Package: golang-github-soniah-dnsmadeeasy-dev
Description-md5: 51bf07b3c7deced64e51720c1113989d
Description-en: DNSMadeEasy library written in Go
 This package provides the dnsmadeeasy package which offers an interface
 to the DNSMadeEasy.
 .
 It doesn't have full API coverage, and only implements
 specific endpoints, as it is designed for use with Terraform

Package: golang-github-soniah-gosnmp-dev
Description-md5: b7c60286f63bc53de363a3774b174d56
Description-en: SNMP library written in Go
 GoSNMP is a Simple Network Management Protocol (SNMP) client library fully
 written in Go. It provides Get, GetNext, GetBulk, Walk, BulkWalk, Set and
 Traps. It supports IPv4 and IPv6, using SNMPv2c or SNMPv3.

Package: golang-github-spacejam-loghisto-dev
Description-md5: 87b924f517c8098655986bc97108bcc0
Description-en: counters and logarithmically bucketed histograms for distributed systems
 A metric system for high performance counters and histograms. Unlike
 popular metric systems today, this does not destroy the accuracy of
 histograms by sampling. Instead, a logarithmic bucketing function
 compresses values, generally within 1% of their true value (although
 between 0 and 1 the precision loss may not be within this boundary). This
 allows for extreme compression, which allows us to calculate arbitrarily
 high percentiles with no loss of accuracy - just a small amount of
 precision.  This is particularly useful for highly-clustered events that
 are tolerant of a small precision loss, but for which you REALLY care
 about what the tail looks like, such as measuring latency across a
 distributed system.

Package: golang-github-spaolacci-murmur3-dev
Description-md5: 9347042f4446e7532c6bffd264e6d5ae
Description-en: Native MurmurHash3 Go implementation
 murmur3 Native Go implementation of Austin Appleby's third MurmurHash
 revision (aka MurmurHash3).
 .
 Reference algorithm has been slightly hacked as to support
 the streaming mode required by Go's standard Hash interface
 (http://golang.org/pkg/hash/#Hash).

Package: golang-github-spf13-afero-dev
Description-md5: c01acec39daae1097ddbf9a760172fb5
Description-en: FileSystem Abstraction System for Go
 Package Afero provides types and methods for interacting with the filesystem
 as an abstraction layer for the Go Programming Language.
 .
 It provides a few implementations that are largely interoperable. One that
 uses the operating system filesystem, one that uses memory to store files
 (cross platform) and an interface that should be implemented if you want
 to provide your own filesystem.
 .
 It is suitable for use in any situation where you would consider using
 the OS package as it provides an additional abstraction that makes it
 easy to use a memory-backed file system during testing. It also adds
 support for the http filesystem for full interoperability.
 .
 Afero has an exceptionally clean interface and simple design without
 needless constructors or initialization methods.

Package: golang-github-spf13-cast-dev
Description-md5: bdf941ae1043986bb862ea7db0efefe8
Description-en: Safe and easy casting from one type to another in Go
 Cast is a library to convert between different Go types in a consistent
 and easy way.
 .
 Cast provides simple functions to easily convert a number to a string, an
 interface into a bool, etc.  Cast does this intelligently when an obvious
 conversion is possible.  It doesn’t make any attempts to guess what you
 meant; for example, you can only convert a string to an int when it is a
 string representation of an int such as “8”.
 .
 Cast was developed for use in Hugo (http://gohugo.io/), a website engine
 which uses YAML, TOML or JSON for meta data.

Package: golang-github-spf13-cobra-dev
Description-md5: 16414fad5da572d72074a37d4a0dee3e
Description-en: Go library for creating powerful modern Go CLI applications
 Cobra is a Go library providing a simple interface to create powerful
 modern CLI interfaces similar to git & go tools.
 .
 Cobra has an exceptionally clean interface and simple design without
 needless constructors or initialization methods.
 .
 This package contains the Cobra library for Go.

Package: golang-github-spf13-fsync-dev
Description-md5: 49820103167ad9d3ebb4e9cc66955469
Description-en: Keeps files or directories in sync for Go
 Package fsync keeps files and directories in sync for the Go Programming
 Language.
 .
 Read the documentation on GoDoc (http://godoc.org/github.com/spf13/fsync).
 .
 Forked from "github.com/mostafah/fsync" to add support for Afero
 "github.com/spf13/afero".

Package: golang-github-spf13-jwalterweatherman-dev
Description-md5: 98a37dd9188cdbc69d17f330cab28955
Description-en: Go library for both printing to the terminal and logging to files
 jWalterWeatherman provides seamless printing to the terminal (stdout)
 and logging to a io.Writer (file) that's as easy to use as fmt.Println.
 .
 JWW is primarily a wrapper around the excellent Go standard log library.
 It provides a few advantages over using the standard log library alone:
 .
  * One library for both printing to the terminal and logging (to files).
  * Allow the user to easily control what levels are printed and logged
  * No unnecessary initialization cruft.  Just use it.

Package: golang-github-spf13-nitro-dev
Description-md5: 676b778432fefbe977ea87b531d7da96
Description-en: Quick and easy performance analyzer library for Go
 Nitro is a quick and easy performance analyzer library for the Go Programming
 Language.  It is useful for comparing A/B against different drafts of functions
 or different functions.  Building on the standard pprof library, Nitro provides
 a very high-level view of your application performance to help identify areas
 to investigate further.  It also provides an easy metric to compare as you
 revise and improve each part of your application.

Package: golang-github-spf13-pflag-dev
Description-md5: 2774d99e2e810ffcbd1529fd0f3b978c
Description-en: Drop-in replacement for Go's flag package, implementing POSIX/GNU-style --flags
 pflag is a drop-in replacement for Go's flag package, implementing
 POSIX/GNU-style --flags
 .
 pflag is compatible with the GNU extensions to
 the POSIX recommendations for command-line options
 (http://www.gnu.org/software/libc/manual/html_node/Argument-Syntax.html).
 .
 Forked from github.com/ogier/pflag.

Package: golang-github-spf13-viper-dev
Description-md5: 283f9d91d53c487d197ee7b5d865e729
Description-en: Go configuration with fangs
 Viper is a complete configuration solution for Go applications
 including 12-Factor apps.  It is designed to work within an application,
 and can handle all types of configuration needs and formats.
 It supports:
 .
  * setting defaults
  * reading from JSON, TOML, YAML, HCL, and Java properties config files
  * live watching and re-reading of config files (optional)
  * reading from environment variables
  * reading from remote config systems (etcd or Consul), and watching changes
  * reading from command line flags
  * reading from buffer
  * setting explicit values
 .
 Viper can be thought of as a registry for all of your applications
 configuration needs.

Package: golang-github-spkg-bom-dev
Description-md5: efe76db6c40c27ccf10c8f5bcba812c2
Description-en: Strip UTF-8 byte order marks
 The bom package provides a convenient way to
 strip UTF-8 byte order marks (BOM) from
 the beginning of a byte slice or an io.Reader.

Package: golang-github-src-d-gcfg-dev
Description-md5: 39398b3e80d4f0561831861fa071ca62
Description-en: reads INI-style configuration files into Go structs
 Gcfg reads INI-style configuration files into Go structs; supports
 user-defined types and subsections.
 .
 This package provides go-gcfg/gcfg fork for usage in src-d/go-git.

Package: golang-github-ssgelm-cookiejarparser-dev
Description-md5: b8fafb74b02b66dfa392b6ab62704a78
Description-en: Go library that parses a curl cookiejar file into a Go http.CookieJar
 cookiejarparser is a Go library that parses a curl
 (netscape) cookiejar file into a Go http.CookieJar.
 This format is commonly used by cURL.

Package: golang-github-ssor-bom-dev
Description-md5: 5993a86a492f03b72cb899ee0bda9ea1
Description-en: small Go library to clean bom from byte array or reader
 This golang library implements a utility to clean bom from a byte array
 or byte reader.
 .
 Example(s):
 .
   bs := []byte{bom0, bom1, bom2, 0x11} result := CleanBom(bs)
 .
   bs := []byte{bom0, bom1, bom2, 0x11} result :=
   NewReaderWithoutBom(bytes.NewReader(bs))

Package: golang-github-stacktic-dropbox-dev
Description-md5: f2c9a58d278b2179da785d4d1cb8a657
Description-en: Go client library for the Dropbox core and Datastore API
 Dropbox Go client library for the Dropbox core and Datastore API with
 support for uploading and downloading encrypted files.
 .
 Support of the Datastore API should be considered as a beta version.
 To use this library, you must have a valid client ID (app key) and
 client secret (app secret) provided by Dropbox.

Package: golang-github-stathat-go-dev
Description-md5: d1e4522563df1a303fc1f1d395c5eefe
Description-en: Go package for reporting stat counts and values to StatHat
 This package is a client for reporting statistics and metrics data to
 the StatHat commercial logging product.

Package: golang-github-steveyen-gtreap-dev
Description-md5: 569db9d1a8793d5752f9afb88aa05688
Description-en: gtreap is an immutable treap implementation in the Go Language
 Gtreap implements an immutable treap data structure in golang.
 .
 By treap, this data structure is both a heap and a binary search tree.
 .
 By immutable, any updates/deletes to a treap will return a new treap
 which can share internal nodes with the previous treap.  All nodes in this
 implementation are read-only after their creation.  This allows concurrent
 readers to operate safely with concurrent writers as modifications only
 create new data structures and never modify existing data structures.
 This is a simple approach to achieving MVCC or multi-version concurrency
 control.
 .
 By heap, items in the treap follow the heap-priority property, where a
 parent node will have higher priority than its left and right children
 nodes.
 .
 By binary search tree, items are store lexigraphically, ordered by a
 user-supplied Compare function.

Package: golang-github-stevvooe-resumable-dev
Description-md5: b4b4d9871f70004e38b95d07b2a2e0a0
Description-en: Subset of the Go `crypto` Package with a Resumable Hash Interface
 Package resumable registers resumable versions of hash functions. Resumable
 varieties of hash functions are available via the standard crypto package.
 Support can be checked by type assertion against the resumable.Hash interface.
 .
 While one can use these sub-packages directly, it makes more sense to register
 them using side-effect imports:
 .
   import _ "github.com/stevvooe/resumable/sha256"
 .
 This will make the resumable hashes available to the application through the
 standard crypto package. For example, if a new sha256 is required, one should
 use the following:
 .
   h := crypto.SHA256.New()
 .
 Such a features allows one to control the inclusion of resumable hash support
 in a single file. Applications that require the resumable hash implementation
 can type switch to detect support, while other parts of the application can be
 completely oblivious to the presence of the alternative hash functions.
 .
 Also note that the implementations available in this package are completely
 untouched from their Go counterparts in the standard library. Only an extra
 file is added to each package to implement the extra resumable hash functions.

Package: golang-github-streadway-amqp-dev
Description-md5: fb2a0ca169b086499f960f948641a50e
Description-en: Go client for AMQP 0.9.1
 The amqp package provides a functional interface that closely
 represents the AMQP 0.9.1 model targeted to RabbitMQ as a server.
 This includes the minimum necessary to interact the semantics of
 the protocol.

Package: golang-github-stretchr-objx-dev
Description-md5: 0d2cd61c5d44d692471ae1ab903ceaec
Description-en: Go package for dealing with maps, slices, JSON and other data
 Objx provides the `objx.Map` type, which is a `map[string]interface{}` that
 exposes a powerful `Get` method (among others) that allows you to
 easily and quickly get access to data within the map, without having to
 worry too much about type assertions, missing data, default values etc.
 .
 This package contains the source.

Package: golang-github-stretchr-testify-dev
Description-md5: f34bb1ce7b6da7f61d8944a64169a130
Description-en: sacred extension to the standard Go testing package
 Testify is a Go code (golang) set of packages that provide many tools for
 testifying that code behaves as intended.
 .
 Features include:
  * Easy assertions
  * Mocking
  * HTTP response trapping
  * Testing suite interfaces and functions
 .
 This package contains the source.

Package: golang-github-stvp-go-udp-testing-dev
Description-md5: 311f07086e5922406fb92fef37fa3135
Description-en: UDP test helpers for Go
 Package udp implements UDP test helpers. It lets you assert that certain
 strings must or must not be sent to a given local UDP listener.
 .
 This package contains the source.

Package: golang-github-stvp-roll-dev
Description-md5: 99e13dd66a0ae0f9db7501010dcfe1b5
Description-en: Simple(er) Rollbar client for Go
 roll is a basic Rollbar client for Go that reports errors and logs
 messages. It automatically builds stack traces and also supports arbitrary
 traces. All errors and messages are sent to Rollbar synchronously.

Package: golang-github-stvp-tempredis-dev
Description-md5: a5154cd967e500f690a16e5cf8e91e48
Description-en: Go package to start and stop temporary redis-server processes
 Tempredis (Temporary redis-server processes for golang testing) provides a
 comvenient interface to start and stop new temporary Redis processes, e.g.
 for unit testing Redis-based tools on 'the real thing' instead of mocked
 Redis instances.

Package: golang-github-subosito-gotenv-dev
Description-md5: 25a8f96a5ce152c03bd9d223947a3415
Description-en: Load environment variables from `.env` or `io.Reader` in Go.
 To modify your app environment variables, gotenv expose 2 main functions:
  * gotenv.Load
  * gotenv.Apply
 By default, gotenv.Load will look for a file called .env in the current
 working directory.
 .
 Behind the scene, it will then load .env file and export the valid
 variables to the environment variables. Make sure you call the method
 as soon as possible to ensure it loads all variables, say, put it on
 init() function.
 .
 Once loaded you can use os.Getenv() to get the value of the variable.

Package: golang-github-surma-gocpio-dev
Description-md5: 64075c70cb028421baa23a5a85d2c990
Description-en: Go package for cpio archives
 gocpio is a  simple library similar to Go’s tar or zip
 package for accessing cpio archives. It’s not pretty and
 only implements the new ASCII format.

Package: golang-github-svanharmelen-jsonapi-dev
Description-md5: 766ef9151726adc2122f83287d19d619
Description-en: jsonapi.org style payload serializer and deserializer
 This package contains a serializer/deserializer for JSON payloads
 that comply to the JSON API - jsonapi.org (http://jsonapi.org) spec
 in go.

Package: golang-github-svent-go-flags-dev
Description-md5: 06646165156fc2e9bd085be642637a27
Description-en: go library for parsing command line arguments
 This library provides similar functionality to the builtin flag library
 of go, but provides much more functionality and nicer formatting.
 Supported features are:
 .
  * Options with short names (-v)
  * Options with long names (--verbose)
  * Options with and without arguments (bool v.s. other type)
  * Options with optional arguments and default values
  * Multiple option groups each containing a set of options
  * Generate and print well-formatted help message
  * Passing remaining command line arguments after -- (optional)
  * Ignoring unknown command line options (optional)
  * Supports -I/usr/include -I=/usr/include -I /usr/include option
    argument specification
  * Supports multiple short options -aux
  * Supports all primitive go types (string, int{8..64}, uint{8..64},
    float)
  * Supports same option multiple times (can store in slice or last option
    counts)
  * Supports maps
  * Supports function callbacks
  * Supports namespaces for (nested) option groups

Package: golang-github-svent-go-nbreader-dev
Description-md5: a89514cbd10ab48091190112beb2fecf
Description-en: non-blocking io.Reader for go
 go-nbreader provides a non-blocking io.Reader for go (golang). It
 allows one to specify two timeouts:
 .
  * Timeout: Read() returns after the specified timeout, even if no data
    has been read.
 .
  * ChunkTimeout: Read() returns if no data has been read for the
    specified time, even if the overall timeout has not been hit yet.
    ChunkTimeout must be smaller than Timeout.
 .
 When the internal buffer contains at least blockSize bytes, Read()
 returns regardless of the specified timeouts.

Package: golang-github-sylabs-json-resp-dev
Description-md5: e8bc22af0bad3b42d910dc27cc5a35a2
Description-en: marshall and unmarshall response data and errors in JSON format
 json-resp package contains a small set of functions that are used to
 marshall and unmarshall response data and errors in JSON format.

Package: golang-github-sylabs-sif-dev
Description-md5: 024794b746ffe199c6e4609f729405f8
Description-en: reference implementation of the Singularity Image Format (SIF)
 SIF is an implementation of the Singularity Container Image Format that
 makes it easy to create complete and encapsulated container enviroments
 stored in a single file.

Package: golang-github-syncthing-notify-dev
Description-md5: dc2f5acb25e8f3f82530d35223e8681d
Description-en: File system event notification library on steroids
 Package notify implements access to filesystem events.
 .
 Notify is a high-level abstraction over filesystem watchers
 like inotify, kqueue, FSEvents, FEN or ReadDirectoryChangesW.
 Watcher implementations are split into two groups: ones that
 natively support recursive notifications (FSEvents and
 ReadDirectoryChangesW) and ones that do not (inotify, kqueue,
 FEN).
 .
 This is a fork by the Syncthing project.

Package: golang-github-syncthing-syncthing-dev
Description-md5: 8d718994a481203d5a3107fc16e62fe3
Description-en: decentralized file synchronization - dev package
 Syncthing is an application that lets you synchronize your files across
 multiple devices. This means the creation, modification or deletion of files
 on one machine will automatically be replicated to your other devices.
 Syncthing does not upload your data to the cloud but exchanges your data
 across your machines as soon as they are online at the same time.
 .
 This is the dev package.

Package: golang-github-syndtr-goleveldb-dev
Description-md5: 4d9b8f085f1fddec5ba736fe1bfec3a7
Description-en: LevelDB key/value database in Go
 This library provides an implementation of the LevelDB key/value
 database in the Go programming language.
 .
 LevelDB is a fast key-value storage library that provides an ordered
 mapping from string keys to string values.

Package: golang-github-tarm-serial-dev
Description-md5: 492d8d9ed8b7165edb181be2e629f7fa
Description-en: Go package for serial port communucation
 A Go package to allow user to read and write from the
 serial port as a stream of bytes.

Package: golang-github-tchap-go-patricia-dev
Description-md5: 2c3302adb91ab92fe1ec614cea9ac900
Description-en: golang-go-patricia is a generic patricia trie (also called radix tree).
 The patricia trie as implemented in this library enables fast visiting of items
 in some particular ways:
 .
  1. visit all items saved in the tree,
  2. visit all items matching particular prefix (visit subtree), or
  3. given a string, visit all items matching some prefix of that string.
 .
 This package contains the source.

Package: golang-github-tcnksm-go-gitconfig-dev
Description-md5: 8accfb17ea7f2bf5b36faf6905e42259
Description-en: Golang library for gitconfig values
 This package provides a library for using gitconfig values on the
 programs written in Go.
 .
 It enables to use global '~/.gitconfig' values and local git
 repository '.git/config' values in Go. It can be used for
 extracting gitconfig values like user name, email address,
 GitHub token, and other user-defined values.

Package: golang-github-tdewolff-minify-dev
Description-md5: 49df17697202f69ed4f3fbb02089d085
Description-en: Go minifiers for web formats
 github.com/tdewolff/minify is a minifier package written in Go.
 It provides HTML5, CSS3, JS, JSON, SVG and XML minifiers and an interface
 to implement any other minifier.
 .
 Minification is the process of removing bytes from a file (such as
 whitespace) without changing its output and therefore shrinking
 its size and speeding up transmission over the Internet and possibly
 parsing.  The implemented minifiers are high performance and streaming,
 which implies O(n).
 .
 The core functionality associates mimetypes with minification functions,
 allowing embedded resources (like CSS or JS within HTML files) to be
 minified as well. Users can add new implementations that are triggered
 based on a mimetype (or pattern), or redirect to an external command
 (like ClosureCompiler, UglifyCSS, ...)

Package: golang-github-tdewolff-parse-dev
Description-md5: f231d8026767e8a24c5c5254875f593b
Description-en: Go parsers for web formats
 This package contains several lexers and parsers written in Go.
 All subpackages are built to be streaming, high performance
 and to be in accordance with the official (latest) specifications.
 .
 The lexers are implemented using buffer.Lexer in
 https://github.com/tdewolff/parse/buffer and the parsers work on top of
 the lexers. Some subpackages have hashes defined (using Hasher
 https://github.com/tdewolff/hasher) that speed up common
 byte-slice comparisons.

Package: golang-github-tdewolff-test-dev
Description-md5: 8d62dd2d8818fcf4276b35907f284c1b
Description-en: Go test helper functions
 github.com/tdewolff/test is a helper package written in Go.
 It implements a few functions that are useful for io testing,
 such as readers and writers that fail after N consecutive reads/writes.

Package: golang-github-tealeg-xlsx-dev
Description-md5: ba6938b06933baee80c84aa76f2b22d0
Description-en: golang library for reading and writing XLSX files
 golang-github-tealeg-xlsx is a library to simplify reading and writing the
 XML format used by recent version of Microsoft Excel in Go programs.

Package: golang-github-templexxx-cpufeat-dev
Description-md5: b914e0c202e1f43cf571ec8ed119f092
Description-en: internal/cpu in Go
 Package cpufeat exposes const CacheLineSize for several cpu types.

Package: golang-github-templexxx-reedsolomon-dev
Description-md5: 5dfd1b7a93f2980749ef129a20b48a38
Description-en: Reed-Solomon Erasure Code engine in Go
 package reedsolomon is a Reed-Solomon Erasure
 Code engine in pure Go.
 .
 Super Fast: more than 10GB/s per physics core.

Package: golang-github-templexxx-xor-dev
Description-md5: d6a539a452a252ac3b729f6e33cdafda
Description-en: XOR in Go, more than 10GB/s per core
 Package xor implements a XOR code engine in pure Go.
 It can deliver more than 10GB/S per core.

Package: golang-github-tendermint-ed25519-dev
Description-md5: d6e5673601b9bce494b6baa40360ffbd
Description-en: public-key signature library for Go
 Ed25519 is a public-key signature system for fast
 single-signature signing and verification.
 .
 This package is used by Tendermint Core.
 .
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any programming
 language, and replicates it on many machines.

Package: golang-github-tendermint-go-autofile-dev
Description-md5: 7a3744d2051da796096a5b5644e29a9f
Description-en: Library for creating log files, WAL files, and more
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.
 .
 This package provides a Library for creating log files, WAL
 files, and more, and it's used by various components of the
 Tendermint core.

Package: golang-github-tendermint-go-clist-dev
Description-md5: fe1cda1bc69ed01afac1fa0f9fbc1d28
Description-en: goroutine-safe linked-list implementation
 The purpose of CList is to provide a goroutine-safe linked-list.
 This list can be traversed concurrently by any number of goroutines.
 However, removed CElements cannot be added back.
 .
 This package is a dependency of the Tendermint core.

Package: golang-github-tendermint-go-common-dev
Description-md5: af6a4264fc3bdbcd5159ae68b910601f
Description-en: Tendermint Go common utilities
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.
 .
 This package contains convenience utilities and shortcuts
 used across the Tendermint projects.

Package: golang-github-tendermint-go-config-dev
Description-md5: dc5332fa4d4f0677fc7b042dc623a596
Description-en: Simple Go configuration tool
 This package provides a simple configuration tool
 that is being used by several Tendermint components.
 .
 Tendermint Core is Byzantine Fault Tolerant (BFT)
 middleware that takes a state transition machine,
 written in any programming language, and replicates
 it on many machines.

Package: golang-github-tendermint-go-crypto-dev
Description-md5: 05e94f3c5d4c61e041de55e262d0649d
Description-en: Go library for cryptography
 This package provides a number of convenience functions
 and types to handle public key cryptography.
 .
 This package is used by Tendermint Core.
 .
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any programming
 language, and replicates it on many machines.

Package: golang-github-tendermint-go-db-dev
Description-md5: 5b580919572514a97ffad3ad6565dcf5
Description-en: Tendermint key-value database library
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.
 .
 This package provides the library used by several Tendermint
 components to handle key-value data stores.

Package: golang-github-tendermint-go-event-meter-dev
Description-md5: 19757830a2c492528a42a8e723ba627e
Description-en: publisher-subscriber pattern over websockets
 This package provides a generic library for Go programs
 to subscribe to events and record their frequency.
 .
 This package is used by Tendermint Core.
 .
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any programming
 language, and replicates it on many machines.

Package: golang-github-tendermint-go-events-dev
Description-md5: 1fd401fc1e5084f12525f18c5621c391
Description-en: Tendermint publisher-subscriber pattern implementation
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.
 .
 This package provides the publisher-subscriber pattern
 implementation used by Tendermint's several components.

Package: golang-github-tendermint-go-flowrate-dev
Description-md5: 8a2a667792640573f9f29bbacd82125a
Description-en: library for arbitrary data stream's transfer rate handling
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.
 .
 Package flowrate provides the tools for monitoring and
 limiting the transfer rate of an arbitrary data stream.
 .
 This package is a dependency of the Tendermint core.

Package: golang-github-tendermint-go-logger-dev
Description-md5: fe7d8891fd47b535f753fd3728c9d0ea
Description-en: Tendermint Go logging utilities
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.
 .
 This package contains convenience logging utilities and
 shortcuts used across the Tendermint projects.

Package: golang-github-tendermint-go-merkle-dev
Description-md5: 686c1284c59cfb570e4825c76507d551
Description-en: Merkle-ized data structures with proofs
 This package provides two types of merkle trees:
  * IAVL+ Tree: A snapshottable (immutable) AVL+ tree for persistent
    data
  * A simple merkle tree for static dataIAVL+ tree; the purpose of
    this data structure is to provide persistent storage for
    key-value pairs (say to store account balances) such that a
    deterministic merkle root hash can be computed. The tree is
    balanced using a variant of the AVL algortihm so that all
    operations are O(log(n)).
 .
 This package provides a library used by Tendermint Core.
 .
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.

Package: golang-github-tendermint-go-p2p-dev
Description-md5: dee8a1d462309f74fab3c7d304bb8f49
Description-en: Tendermint P2P library
 Tendermint go-p2p library provides an abstraction around
 peer-to-peer communication used by Tendermint Core to
 handle communications between nodes.
 .
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.

Package: golang-github-tendermint-go-process-dev
Description-md5: b648584fafe499337672885026b1cec5
Description-en: Tendermint process library
 This package provides a convenience library to handle
 processes' start and stop.
 .
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware
 that takes a state transition machine, written in any
 programming language, and replicates it on many machines.
 .
 This package is used by the Tendermint Core component.

Package: golang-github-tendermint-go-rpc-dev
Description-md5: 528293cbba17ae51763c9355c7969533
Description-en: RPC server in Go supporting multiple request formats
 HTTP RPC server supporting calls via URI params, jsonrpc
 and jsonrpc over websockets.
 .
 Tendermint Core is Byzantine Fault Tolerant (BFT) middleware that takes a
 state transition machine, written in any programming language, and
 replicates it on many machines.
 .
 This package is used by the Tendermint Core component.

Package: golang-github-tendermint-go-wire-dev
Description-md5: b3bac1a102bdbb7acb6b74e7dd2eac08
Description-en: Go bindings for the Wire encoding protocol
 This software implements Go bindings for the Wire
 encoding protocol. The goal of the Wire encoding
 protocol is to be a simple language-agnostic
 encoding protocol for rapid prototyping of
 blockchain applications.
 .
  * Supported types:
   - Primary types: uvarint, varint, byte,
     uint[8,16,32,64], int[8,16,32,64], string,
     and time types are supported
   - Arrays: Arrays can hold items of any arbitrary
     type. For example, byte-arrays and
     byte-array-arrays are supported.
   -  Structs: Struct fields are encoded by value
     (without the key name) in the order that they
     are declared in the struct. In this way it is
     similar to Apache Avro.
   - Interfaces: Interfaces are like union types
     where the value can be any non-interface type.
     The actual value is preceded by a single "type
     byte" that shows which concrete is encoded.
   - Pointers: Pointers are like optional fields.
     The first byte is 0x00 to denote a null pointer
     (e.g. no value), otherwise it is 0x01.
  * Unsupported types:
   - Maps: Maps are not supported because for most
     languages, key orders are nondeterministic. If
     you need to encode/decode maps of arbitrary
     key-value pairs, encode an array of {key,value}
     structs instead.
   - Floating points: Floating point number types are
     discouraged because of reasons. If you need to
     use them, use the field tag wire:"unsafe".
   - Enums: Enum types are not supported in all
     languages, and they're simple enough to model
     as integers anyways.
 .
 A compatible (and slower) JSON codec is included.
 .
 This package includes the source code and development
 files to compile applications.

Package: golang-github-tendermint-log15-dev
Description-md5: 0f9c298f9e099089e5238eb7bd5bf527
Description-en: Tendermint logging toolkit
 Package log15 provides an opinionated, simple toolkit for
 best-practice logging in Go (golang) that is both human
 and machine readable. It is modeled after the Go standard
 library's io and net/http packages and is an alternative
 to the standard library's log package.
 .
 Features
  - A simple, easy-to-understand API.
  - Promotes structured logging by encouraging use of
    key/value pairs.
  - Child loggers which inherit and add their own private context.
  - Lazy evaluation of expensive operations.
  - Simple Handler interface allowing for construction of flexible,
    custom logging configurations with a tiny API.
  - Color terminal support.
  - Built-in support for logging to files, streams, syslog, and
    the network.
  - Support for forking records to multiple handlers, buffering
    records for output, failing over from failed handler writes.

Package: golang-github-tent-canonical-json-go-dev
Description-md5: 7fef89e404b005aff1928c2209499149
Description-en: Canonical JSON marshaling in Go
 This is a library based on the Go stdlib "encoding/json" library, but with
 deterministic output (especially for hash keys).
 .
 This package contains the source.

Package: golang-github-tent-http-link-go-dev
Description-md5: 1e2ac89e7c9db87827351dc5ea83e092
Description-en: HTTP Link parsing in Go
 http-link-go implements parsing and serialization of Link header
 values as defined in RFC 5988. Function Format serializes a
 slice of Links into a header value. Function Parse parses a
 Link header value into a slice of Links.

Package: golang-github-teris-io-shortid-dev
Description-md5: 6e18e2f03b38ed811813d68ee0c5ac4e
Description-en: auper short, fully unique, non-sequential and URL friendly Ids
 This package is a generator of unique non-sequential short Ids that are
 by default URL friendly. The generation rate can be up to hundreds of
 thousand per second. It guarantees uniqueness during the time period
 until 2050!
 .
 The package is heavily inspired by the node.js shortid.

Package: golang-github-terra-farm-udnssdk-dev
Description-md5: 1b19453165f0f35235a544771949afde
Description-en: ultradns SDK
 This package contains an UltraDNS SDK for Go. This is a golang SDK
 for the UltraDNS REST API. It's not feature complete, and currently
 is only known to be used for Terraform's ultradns provider.

Package: golang-github-tevino-abool-dev
Description-md5: e4e1bb30aa18d69f36e2fa53af233434
Description-en: Atomic Boolean library, optimized for performance yet simple to use
 Atomic Boolean library for Go,
 optimized for performance yet simple to use.
 .
 Use this for cleaner code.

Package: golang-github-thcyron-uiprogress-dev
Description-md5: 4e5ebe8a7b578a2788786de1d92f70f5
Description-en: render progress bars in terminal applications
 This packag is a go library to render progress bars in terminal
 applications. It provides a set of flexible features with a
 customizable API.
 .
 Progress bars improve readability for terminal applications with
 long outputs by providing a concise feedback loop.

Package: golang-github-thecreeper-go-notify-dev
Description-md5: 1640494974705d314b24c94fc4c86e36
Description-en: implementation of the GNOME DBus Notifications Specification
 Package notify provides a Go implementation of the GNOME DBus Notifications
 Specification (https://developer.gnome.org/notification-spec).

Package: golang-github-thejerf-suture-dev
Description-md5: 306a9151262e003edf0a9a016124679e
Description-en: supervisor trees for Go
 Suture provides Erlang-ish supervisor trees for Go.
 "Supervisor trees" -> "sutree" -> "suture" -> holds your code together
 when it's trying to die. It is intended to deal gracefully with the real
 failure cases that can occur with supervision trees (such as burning all
 your CPU time endlessly restarting dead services), while also making no
 unnecessary demands on the "service" code, and providing hooks to perform
 adequate logging with in a production environment.

Package: golang-github-thomsonreuterseikon-go-ntlm-dev
Description-md5: afd12957b846717350ffb9e93baf689d
Description-en: NTLM Implementation for Go
 This is a native implementation of NTLM for Go
 that was implemented using the Microsoft MS-NLMP documentation available
 at http://msdn.microsoft.com/en-us/library/cc236621.aspx.  The library
 is currently in use and has been tested with connectionless NTLMv1 and
 v2 with and without extended session security.

Package: golang-github-throttled-throttled-dev
Description-md5: ee44bb7dd8d9b1a1072b7c464519497f
Description-en: rate limiting access to resources such as HTTP endpoints
 Throttled implements rate limiting using the generic cell rate algorithm
 (https://en.wikipedia.org/wiki/Generic_cell_rate_algorithm) to limit
 access to resources such as HTTP endpoints.

Package: golang-github-tideland-golib-dev
Description-md5: 80b9147c828920aa1a2907984ac9d3f0
Description-en: collection of misc Go packages
 The Tideland Go Library contains a set of useful Google Go packages for
 different purposes. They include:
 .
  - Audit: Support for unit tests with multiple different assertion types
    and functions to generate test data.
  - Cache: Lazy loading and caching of values.
  - Collections: Different additional collection types like ring buffer,
    stack, tree, and more.
  - Errors: Detailed error values.
  - Etc: Reading and parsing of SML-formatted configurations including
    substitution of templates.
  - Feed (Atom): Atom feed client.
  - Feed (RSS): RSS feed client.
  - Identifier: Identifier generation, like UUIDs or composed values.
  - Logger: Flexible logging.
  - Loop: Control of goroutines and their possible errors. Additional
    option of recovering  in case of an error or a panic. Sentinels can
    monitor multiple loops and restart them all in case of an abnormal end
    of one of them.
  - Map/Reduce: Map/Reduce for data analysis.
  - Monitoring: Monitoring of execution times, stay-set indicators, and
    configurable system variables.
  - Numerics: Different functions for statistical analysis.
  - Redis Client: Client for the Redis database.
  - Scene: Context-based shared data access, e.g. for web sessions or in cells.
  - Scroller: Continuous filtered reading/writing of data.
  - SML: Simple Markup Language, looking lispy, only with curly braces.
  - Sort: Parallel Quicksort.
  - Stringex: Helpful functions around strings extending the original
    strings package.
  - Timex: Helpful functions around dates and times.
  - Version: Documentation of semantic versions.

Package: golang-github-tidwall-btree-dev
Description-md5: b69c20535bc6b9af9c8a479a51ed5f03
Description-en: B-Tree implementation for Go
 This package provides an in-memory B-Tree implementation for Go, useful
 as an ordered, mutable data structure.
 .
 This is a fork of the wonderful google/btree package. It's has all the same
 great features and adds a few more.
 .
  * Descend* functions for iterating backwards.
  * Iteration performance boost.
  * User defined context.
 .
 User defined context is a great new feature that allows for entering
 the same item into multiple B-trees, and each B-tree have a different
 ordering formula.

Package: golang-github-tidwall-buntdb-dev
Description-md5: 6773ae6d03482c2f406109a010f76544
Description-en: embeddable, in-memory key/value database for Go
 BuntDB is a low-level, in-memory, key/value store in pure Go. It
 persists to disk, is ACID compliant, and uses locking for multiple
 readers and a single writer. It supports custom indexes and geospatial
 data. It's ideal for projects that need a dependable database and favor
 speed over data size.
 .
 Features:
  * In-memory database for fast reads and writes
  * Embeddable with a simple API
  * Spatial indexing for up to 20 dimensions;
    Useful for Geospatial data
  * Index fields inside JSON documents
  * Collate i18n Indexes using the optional collate
    package
  * Create custom indexes for any data type
  * Support for multi value indexes; Similar to a SQL multi column index
  * Built-in types that are easy to get up & running;
    String, Uint, Int, Float
  * Flexible iteration of data; ascending, descending, and ranges
  * Durable append-only file format for persistence
  * Option to evict old items with an expiration TTL
  * Tight codebase, under 2K loc using the cloc command
  * ACID semantics with locking transactions that support rollbacks

Package: golang-github-tidwall-gjson-dev
Description-md5: 192d8bcc932f92d2254000a1f23ad974
Description-en: JSON parser for Go
 Go package that provides a fast and simple way to get values from a JSON
 document. It has features such as one line retrieval, dot notation paths,
 iteration, and parsing JSON lines.

Package: golang-github-tidwall-grect-dev
Description-md5: a524f2b36910d55ff0894a0530011d30
Description-en: Get the outer rectangle from GeoJSON, WKT, WKB
 GRECT Quickly get the outer rectangle for GeoJSON, WKT, WKB.

Package: golang-github-tidwall-match-dev
Description-md5: 3305632dfcca64d50e6f1696317a91ca
Description-en: simple string pattern matcher for Go
 Match is a very simple pattern matcher where '*' matches on any number
 characters and '?' matches on any one character.

Package: golang-github-tidwall-pretty-dev
Description-md5: cedbc2b0f0a1cf51c515ac91f90f96c4
Description-en: Efficient JSON beautifier and compactor for Go
 Pretty is a Go package that provides fast methods for formatting JSON for
 human readability, or to compact JSON for smaller payloads.
 .
  * pretty.Pretty will reformat the JSON for readability.
  * pretty.Color will add color to the result for printing to the terminal.
    The second param is used for a customizing the style, and passing nil will
    use the default pretty.TerminalStyle.
  * pretty.Ugly will reformat the JSON to make it more compact.
 .
 There's a PrettyOptions(json, opts) function which allows for customizing the
 output.

Package: golang-github-tidwall-rtree-dev
Description-md5: 3c980331fb85f640ef71bb70e75366ca
Description-en: RTree implementation for Go
 This package provides an in-memory R-Tree implementation for Go, useful
 as a spatial data structure. It has support for 1-20 dimensions, and
 can store and search multidimensions interchangably in the same tree.

Package: golang-github-tidwall-tinyqueue-dev
Description-md5: 0fc3e9fc5bcad7a9fd96242ae076aa47
Description-en: Binary heap priority queues in Go
 Tinyqueue is a Go package for binary heap priority queues. Ported from
 the tinyqueue (https://github.com/mourner/tinyqueue) Javascript library.

Package: golang-github-tinylib-msgp-dev
Description-md5: 88011a5723665fc987fcc6bb0f30a8cf
Description-en: Go code generator for MessagePack (source)
 This is a code generation tool and serialization library for MessagePack. It is
 targeted at the go generate tool. You can read more about MessagePack in the
 wiki, or at msgpack.org.
 .
 Why?
 .
   * Use Go as your schema language
   * Speeeeeed (400MB/s on modern hardware)
   * JSON interop
   * User-defined extensions
   * Type safety
   * Encoding flexibility
 .
 This package contains the source.

Package: golang-github-tjfoc-gmsm-dev
Description-md5: 5891c39b54eeeb9a8b1f2c6b5c5dcfdb
Description-en: GM SM2/3/4 library based for Golang
 package sm2, sm3 and sm4 provide GM SM2/3/4 implementations
 for Golang.

Package: golang-github-tmc-scp-dev
Description-md5: ebb37d69e352e6666c8462079577b525
Description-en: basic implementation of scp for go
 provides a simple interface to copying files over a go.crypto/ssh session

Package: golang-github-tobi-airbrake-go-dev
Description-md5: cc1998e05cee5fece5c3770231eb6bc9
Description-en: Go library to report exceptions and errors to the Airbrake service
 Go client library to report errors, exceptions and stacktraces to
 the Airbrake service.

Package: golang-github-tonistiigi-fifo-dev
Description-md5: e70704adba473d6c239601cd81984ab1
Description-en: Go package for handling FIFOs in a sane way
 This package implements an interface for opening, reading, writing
 and closing Unix FIFOs. An open FIFO can be accessed using the
 io.ReadWriteCloser interface.

Package: golang-github-toqueteos-webbrowser-dev
Description-md5: c51046acf8aff23cb777203c273d8bc8
Description-en: Convenient Web-browser controller library for Go
 This Go library provides a simple API for opening web pages on your default
 browser. This is inspired on Python's webbrowser library.

Package: golang-github-tsenart-tb-dev
Description-md5: 33e398f7001f465df637d1619f374bac
Description-en: generic lock-free implementation of the "Token-Bucket" algorithm
 The token bucket is an algorithm used in packet switched computer
 networks and telecommunications networks. It can be used to check
 that data transmissions, in the form of packets, conform to defined
 limits on bandwidth and burstiness (a measure of the unevenness
 or variations in the traffic flow).
 .
 This implementation of the token bucket generalises its applications
 beyond packet rate conformance. Hence, the word generic. You can use it
 to throttle any flow over time as long as it can be expressed as a
 number (bytes/s, requests/s, messages/s, packets/s, potatoes/s,
 heartbeats/s, etc...).
 .
 The lock-free part of the description refers to the lock-free
 programming techniques (CAS loop) used in the core Bucket
 methods (Take and Put).

Package: golang-github-ttacon-chalk-dev
Description-md5: 1afe9303789ea9d8851ba69ec102304a
Description-en: Go library for prettifying terminal/console output
 Chalk is a go package for styling console/terminal output.
 .
 Check out godoc for some example usage:
 http://godoc.org/github.com/ttacon/chalk
 .
 The api is pretty clean, there are default Colors and TextStyles which can be
 mixed to create more intense Styles. Styles and Colors can be printed in normal
 strings (i.e. fmt.Sprintf(chalk.Red)), but Styles, Colors and TextStyles are
 more meant to be used to style specific text segments (i.e.
 fmt.Println(chalk.Red.Color("this is red")) or fmt.Println(myStyle.Style("this
 is blue text that is underlined"))).
 .
 This package contains the source.

Package: golang-github-tv42-httpunix-dev
Description-md5: 4fc548f0c0b7fa3151de74eaa1706e7b
Description-en: library to talk HTTP over Unix domain sockets
 Golang library to talk HTTP over Unix domain sockets.

Package: golang-github-twinj-uuid-dev
Description-md5: f1e790fe9273d919f0ba3a8ecd6f00e6
Description-en: RFC 4122 and DCE 1.1 compliant UUIDs in Go
 This package provides RFC 4122 and DCE 1.1 compliant UUIDs.
 .
 It will generate the following:
   Version 1: based on a Timestamp and MAC address as Node id
   Version 2: based on DCE Security - Experimental
   Version 3: based on MD5 hash
   Version 4: based on cryptographically secure random numbers
   Version 5: based on SHA-1 hash

Package: golang-github-twstrike-gotk3adapter-dev
Description-md5: ea4ff6f20fee5b013df1701aa7ea331d
Description-en: adapters and interfaces for gotk3
 The gotk3adapter package contains adapters and interfaces for gotk3 in order
 to make testing possible.

Package: golang-github-twstrike-otr3-dev
Description-md5: 4d972019ff75f6ed0c656ab0f3c744cc
Description-en: Go implementation of the OTR 3 protocol
 OTR3 implements version 3 of the OTR standard. Implements feature parity
 with libotr 4.1.0.

Package: golang-github-uber-go-atomic-dev
Description-md5: dbde427fdd14083af454eed90bfa614e
Description-en: Wrapper types for sync/atomic which enforce atomic access
 This package contains simple wrappers for primitive types to enforce
 atomic access. The standard library's sync/atomic is powerful, but
 it's easy to forget which variables must be accessed atomically.
 This library preserves all the functionality of the standard library,
 but wraps the primitive types to provide a safer, more convenient API.

Package: golang-github-ubuntu-ubuntu-report-dev
Description-md5: 20a5645e972c9b1a6c983ddba96eaf86
Description-en: Report hardware and other collected metrics - source
 The tool will show you what is going to be reported and ask for your
 acknowledgement before uploading it. This information can't be used to
 identify a single machine and is presented before being sent to the server.
 .
 This package provides Golang sources and API to use sysmetrics collection
 and report functionality.

Package: golang-github-ugorji-go-codec
Description-md5: cd908cbea6c1a83ce27d37b70f3fe105
Description-en: encode/decode and rpc library for msgpack, binc, cbor and json
 go-codec library, a High Performance and Feature-Rich Idiomatic
 encode/decode and rpc library for: msgpack, binc, cbor and json.
 .
 This package contains the tools (codecgen).

Package: golang-github-ugorji-go-codec-dev
Description-md5: 92bab63a422d77545fbeaa7baed7ec51
Description-en: encode/decode and rpc library for msgpack, binc, cbor and json
 go-codec library, a High Performance and Feature-Rich Idiomatic
 encode/decode and rpc library for: msgpack, binc, cbor and json.
 .
 This package contains the source.

Package: golang-github-ugorji-go-msgpack-dev
Description-md5: c7909a8d3442189a3e0dd8c9c2efd7b7
Description-en: encode/decode and rpc library for msgpack and Binc
 High Performance, Feature-Rich Idiomatic Go codec/encoding library
 for binc, msgpack, cbor, json. The idiomatic Go support is as seen
 in other encoding packages in the standard library (ie json, xml, gob, etc).

Package: golang-github-ulikunitz-xz-dev
Description-md5: 4b0950492e6955120bc5219a37202af0
Description-en: Pure golang package for reading and writing xz-compressed files
 A Go library that supports the reading and writing of xz compressed
 streams. It includes also a gxz command for compressing and
 decompressing data. The package is completely written in Go and doesn't
 have any dependency on any C code.

Package: golang-github-ulule-limiter-dev
Description-md5: 8a4629d206f15cf7b8575147cc3a8ab4
Description-en: Dead simple rate limit middleware for Go
 Dead simple rate limit middleware for Go. Featuring:
 .
  * Simple API
  * "Store" approach for backend
  * Redis support (but not tied too)
  * Middlewares: HTTP and Gin

Package: golang-github-ungerik-go-sysfs-dev
Description-md5: 5404bd6c68778145dabd0dc4f6ee5d0e
Description-en: Go package for Linux sysfs
 This library implements helper functions for parsing information out of sysfs

Package: golang-github-unknwon-cae-dev
Description-md5: 9f595ce8a994aaf901dc185f62d86bcd
Description-en: PHP-like Compression and Archive Extensions in Go
 This package provides a Go library that implements compression and
 archive extensions similar to PHP.
 .
 Reference: PHP:Compression and Archive Extensions
 (http://www.php.net/manual/en/refs.compression.php).

Package: golang-github-unknwon-com-dev
Description-md5: 1480ff8f4f42a9ec2e7b598063cf781d
Description-en: commonly used functions for Golang
 Commonly used functions for the Go programming language.

Package: golang-github-unknwon-goconfig-dev
Description-md5: 9309b3ea32288362f71678fb46cbc54d
Description-en: configuration file (.ini) parser
 This is a configuration file parser for the Go Programming Language,
 which provides a structure similar to what you would find on
 Microsoft Windows INI files.

Package: golang-github-unknwon-i18n-dev
Description-md5: f783a056da0250ae100e8fd874bc7cc1
Description-en: Internationalization and Localization in Go
 This package provides multiple-language options to improve user
 experience. This package provides a very simple way to implement
 multi-language support in Go applications.

Package: golang-github-unknwon-paginater-dev
Description-md5: 179cbe62a2959fff582e6f31a1a111c9
Description-en: Helper module for custom pagination calculation
 This package provides a helper module for custom pagination calculation.
 It uses a template to generated paged results and can include linke for
 jumping to specific pages including first and last pages.

Package: golang-github-urfave-cli-dev
Description-md5: 138014eeb86e34eeff442c8c3ed2120c
Description-en: package for building command line apps in Go
 "Cli" is a simple, fast, and fun package for building command line apps
 in Go. The goal is to enable developers to write fast and distributable
 command line applications in an expressive way.

Package: golang-github-urfave-negroni-dev
Description-md5: 3ebc397926ad4760e2593ad6c7c994c7
Description-en: Idiomatic HTTP Middleware for Golang
 Negroni is an idiomatic approach to web middleware in Go. It is tiny,
 non-intrusive, and encourages use of net/http Handlers.
 .
 If you like the idea of Martini (https://github.com/go-martini/martini),
 but you think it contains too much magic, then Negroni is a great fit.
 .
 This is the library formerly known as github.com/codegangsta/negroni (Github
 will automatically redirect requests to it).

Package: golang-github-valyala-bytebufferpool-dev
Description-md5: d421ee0522a65b47874cbd060b3bcf62
Description-en: Anti-memory-waste byte buffer pool for go
 An implementation of a pool of byte buffers with anti-memory-waste
 protection.
 .
 The pool may waste limited amount of memory due to fragmentation.
 This amount equals to the maximum total size of the byte buffers in
 concurrent use.  Benchmark results Currently bytebufferpool is fastest
 and most effective buffer pool written in Go.

Package: golang-github-valyala-fasthttp-dev
Description-md5: 79480e2b474fa11624d3954970c64e4b
Description-en: Fast HTTP library for Go
 The fasthttp library provides fast HTTP server and client API.
 .
 This tuned for high performance, and zero memory allocations in
 hot paths. Processing performance is up to 10x faster than net/http.

Package: golang-github-valyala-fasttemplate-dev
Description-md5: 008bead34829bf12dc5da5fd5c8190fd
Description-en: Simple and fast template engine for Go
 fasttemplate Simple and fast template engine for Go.
 .
 Fasttemplate peforms only a single task - it substitutes template
 placeholders with user-defined values.

Package: golang-github-varlink-go-dev
Description-md5: 5b456d263f21be387f90f8fb30156620
Description-en: Golang implementation of the Varlink protocol
 Golang library implementing the Varlink protocol.
 http://varlink.org/

Package: golang-github-vaughan0-go-ini-dev
Description-md5: 59a8061172bbabe27f153fdc7aba5fab
Description-en: INI parsing library for Go
 INI files parsing library for Go (golang).

Package: golang-github-vbatts-go-mtree-dev
Description-md5: 04a73830308b170697e00c3a1ea136a9
Description-en: file systems verification library, in likeness of mtree(8)
 mtree is a filesystem hierarchy validation tooling and format.

Package: golang-github-vbatts-tar-split-dev
Description-md5: 9399fa0280569a16e7c94717136331cc
Description-en: tar archive assembly/disassembly (source)
 Pristinely disassembling a tar archive, and stashing needed raw bytes and
 offsets to reassemble a validating original archive.
 .
 Eventually this should detect TARs that this is not possible with.
 .
 For example stored sparse files that have "holes" in them, will be read as a
 contiguous file, though the archive contents may be recorded in sparse format.
 Therefore when adding the file payload to a reassembled tar, to achieve
 identical output, the file payload would need be precisely re-sparsified. This
 is not something I seek to fix immediately, but would rather have an alert that
 precise reassembly is not possible. (see more
 http://www.gnu.org/software/tar/manual/html_node/Sparse-Formats.html)
 .
 Other caveat, while tar archives support having multiple file entries for the
 same path, we will not support this feature. If there are more than one entries
 with the same path, expect an err (like ErrDuplicatePath) or a resulting tar
 stream that does not validate your original checksum/signature.
 .
 Contract: Do not break the API of stdlib archive/tar in our fork (ideally find
 an upstream mergeable solution).
 .
 This package contains the source.

Package: golang-github-vbauerster-mpb-dev
Description-md5: 16b0aa127e6a95bf7df77a4fc3d0c180
Description-en: multi progress bar for Go cli applications
 mpb is a golang library for rendering progress bars in terminal
 applications.

Package: golang-github-vdemeester-shakers-dev
Description-md5: 774c554e46c6b833d5e55c477e13c635
Description-en: collection of go-checker checkers
 A collection of go-check Checkers to ease the use of it.

Package: golang-github-viki-org-dnscache-dev
Description-md5: f3550af484497cd6830fff0a755e6033
Description-en: DNS cache for Go
 A thread-safe DNS cache for the Go programming language that refreshes
 the DNS entries in the background at configurable intervals, reducing
 the risk of blocked/leaking Go routines.

Package: golang-github-vimeo-go-magic-dev
Description-md5: 587719c90b3f9ff05671faa5c6e466ea
Description-en: Go bindings for libmagic
 Go-magic is a Golang library that wraps libmagic and provides API functions
 for file type detection. It also provides an API for getting a file's MIME
 type using libmagic.

Package: golang-github-vishvananda-netlink-dev
Description-md5: 12d3b01afd724a58bf2a529e928de1e2
Description-en: netlink library for go
 The netlink package provides a simple netlink library for go. Netlink is the
 interface a user-space program in Linux uses to communicate with the kernel. It
 can be used to add and remove interfaces, set ip addresses and routes, and
 configure ipsec. Netlink communication requires elevated privileges, so in most
 cases this code needs to be run as root. Since low-level netlink messages are
 inscrutable at best, the library attempts to provide an API that is loosely
 modeled on the CLI provied by iproute2. Actions like ip link add will be
 accomplished via a similarly named function like AddLink(). This library began
 its life as a fork of the netlink functionality in docker/libcontainer but was
 heavily rewritten to improve testability, performance, and to add new
 functionality like ipsec xfrm handling.
 .
 This package contains the source.

Package: golang-github-vishvananda-netns-dev
Description-md5: 7cf8abbe9d9e4002668d01e3ab46b21f
Description-en: network namespaces in go
 The netns package provides an ultra-simple interface for handling network
 namespaces in go. Changing namespaces requires elevated privileges, so in most
 cases this code needs to be run as root.
 .
 This package contains the source.

Package: golang-github-vitrun-qart-dev
Description-md5: 389e5715dc4fc3e47e233ebbed6ff685
Description-en: generates not-so-ugly qr codes
 Instead of scribbling on redundant pieces and relying on error
 correction to preserve the meaning, qart engineers the encoded
 values to create the picture in a code with no inherent errors.

Package: golang-github-vividcortex-ewma-dev
Description-md5: 73a2f7e0eeb3ebdd3634eb8bb6792b1c
Description-en: Exponentially Weighted Moving Average algorithms for Go
 An exponentially weighted moving average is a way to continuously
 compute a type of average for a series of numbers, as the numbers
 arrive. After a value in the series is added to the average, its
 weight in the average decreases exponentially over time. This biases
 the average towards more recent data. EWMAs are useful for several
 reasons, chiefly their inexpensive computational and memory cost, as
 well as the fact that they represent the recent central tendency of
 the series of values.

Package: golang-github-vividcortex-godaemon-dev
Description-md5: 6ffbb2b98bd6902faec1c7829950ea44
Description-en: Daemonize Go applications deviously
 Daemonize Go applications with exec() instead of fork().
 .
 You can't daemonize the usual way in Go. Daemonizing is a Unix concept
 that requires some specific things (http://goo.gl/vTUsVy) you can't do
 easily in Go. But you can still accomplish the same goals if you don't
 mind that your program will start copies of itself several times, as
 opposed to using fork() the way many programmers are accustomed to doing.

Package: golang-github-vividcortex-mysqlerr-dev
Description-md5: e531af7594f226556bd3d615a1b9ed42
Description-en: MySQL Server Error Constants
 This package contains mysqlerr MySQL Server Error Constants.
 .
 Covers up to MySQL 5.7.13. Notice that some constants were renamed
 in later versions of MySQL, because they became obsolete. Obsolete
 names haven't been changed in this package to avoid breaking code,
 but you should no longer be using them in applications.

Package: golang-github-vjeantet-grok-dev
Description-md5: 5a44e23974f223080871083497eb04c9
Description-en: simple library to use/parse grok patterns with Go
 This package contains a set of predefined patterns, but custom
 patterns can also be added.

Package: golang-github-vmihailenco-tagparser-dev
Description-md5: 0ec27f28d99469826ca1d2fb39c9e625
Description-en: Golang tag parser
 Opinionated Golang tag parser.

Package: golang-github-vmware-govmomi-dev
Description-md5: df4a17b04af6b0e76a4a1084a98cb2b1
Description-en: Go library for the VMware vSphere API
 Go library for interacting with VMware vSphere APIs (ESXi and/or vCenter).

Package: golang-github-vmware-photon-controller-go-sdk-dev
Description-md5: b524de74feec2a9130dd1e7919bf9217
Description-en: VMware Photon Controller API library
 Photon Controller is a distributed, multi-tenant host controller and
 scheduler optimized for containers in VMware.  The
 photon-controller-go-sdk library implements the VMware Photon
 Controller API natively in Go.

Package: golang-github-voxelbrain-goptions-dev
Description-md5: ca456712e11a786f66f5ecaf2b5cbf38
Description-en: flexible Go parser for command line options
 goptions implements a flexible parser for command line options in Go.
 .
 Key targets were the support for both long and short flag versions,
 mutually exclusive flags, and verbs. Flags and their corresponding
 variables are defined by the tags in a (possibly anonymous) struct.

Package: golang-github-vultr-govultr-dev
Description-md5: 4cbb5d261d2de9eedfa32b0306ba1d13
Description-en: Vultr Go API client
 This package contains the official Vultr Go client. GoVultr allows
 one to interact with the Vultr V1 API.
 .
 Vultr uses a PAT (Personal Access token) to interact/authenticate
 with the APIs. An API Key can be generated and acquired from the API
 menu in settings.

Package: golang-github-weaveworks-mesh-dev
Description-md5: e741e5c19ca97610b33cb146b0897b54
Description-en: go library to build distributed systems
 Mesh implements a gossip protocol that provide membership, unicast, and
 broadcast functionality with eventually-consistent semantics. In CAP terms, it
 is AP: highly-available and partition-tolerant.
 .
 Mesh works in a wide variety of network setups, including thru NAT and
 firewalls, and across clouds and datacenters. It works in situations where
 there is only partial connectivity, i.e. data is transparently routed across
 multiple hops when there is no direct connection between peers. It copes with
 partitions and partial network failure. It can be easily bootstrapped,
 typically only requiring knowledge of a single existing peer in the mesh to
 join. It has built-in shared-secret authentication and encryption. It scales
 to on the order of 100 peers, and has no dependencies.

Package: golang-github-wellington-go-libsass-dev
Description-md5: 20380478f59bd982152c48f9fb8bcfe8
Description-en: Go wrapper for libsass, the only Sass 3.5 compiler for Go
 The only Sass compliant Go library!  go-libsass is a wrapper to the
 sass/libsass (http://github.com/sass/libsass) project.
 .
 Basic example:
 .
     buf := bytes.NewBufferString("div { p { color: red; } }")
     if err != nil {
         log.Fatal(err)
     } comp, err := libsass.New(os.Stdout, buf) if err != nil {
         log.Fatal(err)
     }
 .
     if err := comp.Run(); err != nil {
         log.Fatal(err)
     }
 .
 Output:
 .
     div p {
       color: red; }

Package: golang-github-weppos-dnsimple-go-dev
Description-md5: 828cb9beab2026d2a7f67100746f1c12
Description-en: DNSimple API v1 client for Go
 Go client for the DNSimple API v1 (https://developer.dnsimple.com/).

Package: golang-github-willf-bitset-dev
Description-md5: f43f12be53b6b56fb6189e739fbef9ea
Description-en: Implements bitsets, a mapping between non-negative integers and boolean values
 This package provides a Go library with methods for setting, clearing,
 flipping, and testing individual integers.
 .
 It also provides set intersection, union, difference, complement, and
 symmetric operations, as well as tests to check whether any, all, or
 no bits are set, and queries a bitset's current length and number of
 positive bits.

Package: golang-github-willf-bloom-dev
Description-md5: fa8321fa96c077c668f1fdb1878fa848
Description-en: Go package implementing Bloom filters
 A Bloom filter is a representation of a set of n items, where the main
 requirement is to make membership queries; i.e., whether an item is a
 member of a set.
 .
 A Bloom filter has two parameters: m, a maximum size (typically a
 reasonably large multiple of the cardinality of the set to represent)
 and k, the number of hashing functions on elements of the set. (The
 actual hashing functions are important, too, but this is not a
 parameter for this implementation). A Bloom filter is backed by a BitSet
 (https://github.com/willf/bitset); a key is represented in the filter
 by setting the bits at each value of the  hashing functions (modulo
 m). Set membership is done by testing whether the bits at each value of
 the hashing functions (again, modulo m) are set. If so, the item is in
 the set. If the item is actually in the set, a Bloom filter will never
 fail (the true positive rate is 1.0); but it is susceptible to false
 positives. The art is to choose k and m correctly.
 .
 In this implementation, the hashing functions used is murmurhash
 (github.com/spaolacci/murmur3), a non-cryptographic hashing function.

Package: golang-github-willfaught-gockle-dev
Description-md5: 858904e1cd372c5718c8846d16762709
Description-en: simpler and mockable gocql
 Package gockle simplifies and mocks github.com/gocql/gocql. It provides
 simple interfaces to insert, query, and mutate Cassandra data, as well
 as get basic keyspace and table metadata.

Package: golang-github-wsxiaoys-terminal-dev
Description-md5: aebd063c0d81977a207ebab3f7eaeca4
Description-en: Colorful terminal output for Golang
 Terminal is a simple golang package that provides basic terminal
 handling. Terminal wraps and color/format functions are implemented
 using standard ANSI escape codes.

Package: golang-github-x86kernel-htmlcolor-dev
Description-md5: 3424a7d92192174ec662902ff82c7026
Description-en: HTML syntax highlighter for Go
 Pretty print HTML source code with syntax highlighting in Go.

Package: golang-github-xanzy-go-cloudstack-dev
Description-md5: 0cf7fbad8349075815f18e6a126e358a
Description-en: Golang API client to interact with Apache CloudStack
 This package covers the complete CloudStack API enabling Go programs to
 interact with CloudStack in a simple and uniform way.
 .
 The cloudstack package is generated against the latest stable
 CloudStack release, currently v4.8.x. The API doesn't change that
 much, and where it does backwards compatibility between the old
 and new versions is attempted.
 .
 Apache CLoudStack is an open source cloud computing software for
 creating, managing, and deploying infrastructure cloud services. It
 uses existing hypervisors such as KVM, VMware vSphere, and
 XenServer/XCP for virtualization. In addition to its own API,
 CloudStack also supports the Amazon Web Services (AWS) API and the
 Open Cloud Computing Interface from the Open Grid Forum.

Package: golang-github-xanzy-go-gitlab-dev
Description-md5: 813ffb985e617a5f1939883da22386e3
Description-en: Simple and uniform GitLab API for Go
 This package provides a GitLab API that enables Go programs to interact
 with GitLab in a simple and uniform way. It covers most of the existing
 Gitlab API calls and is updated regularly to add new or missing endpoints.
 .
 For complete usage of go-gitlab, see the package docs.

Package: golang-github-xanzy-ssh-agent-dev
Description-md5: 99f37e8d24d41dd8a3871657621fa207
Description-en: Go library for creating SSH agents
 Create a new agent.Agent on any type of OS from any Go
 application.

Package: golang-github-xeipuuv-gojsonpointer-dev
Description-md5: ce1824b5cad0b6a15c4032b76fbaf017
Description-en: JSON Pointer implementation in Golang
 An implementation of JSON Pointer in Golang

Package: golang-github-xeipuuv-gojsonreference-dev
Description-md5: 55e5bf0969be60dcbee96cc0fb45fc04
Description-en: JSON Reference implementation in Golang
 An implementation of JSON Reference in Golang.

Package: golang-github-xeipuuv-gojsonschema-dev
Description-md5: 77f89a0df3b3fb60729784b976feb46f
Description-en: implementation of JSON Schema, draft v4
 Golang implementation of JSON Schema, based on IETF's draft v4.

Package: golang-github-xenolf-lego-dev
Description-md5: ec8935ee860edd6dc2af1bdf431ac934
Description-en: Let's Encrypt ACME library
 Let's Encrypt ACME library written in Go.

Package: golang-github-xi2-xz-dev
Description-md5: 0b679dd2e066bb0e73afa145bdf7d6eb
Description-en: native Go XZ decompression
 Package xz implements XZ decompression natively in Go.

Package: golang-github-xiang90-probing-dev
Description-md5: 9da8f5c0b5ac87f86c9e7df6e520c363
Description-en: Go library for HTTP probing
 Library for simple probing via HTTP.

Package: golang-github-xiaq-persistent-dev
Description-md5: 5c23cae32c3bd2530a450763f1ea05e0
Description-en: Persistent data structure in Go
 This is a Go clone of Clojure's persistent data structures.

Package: golang-github-xlab-handysort-dev
Description-md5: 9bfe1794eca7a793555b0ff8dedf9aed
Description-en: Alphanumeric string sorting algorithm implementation in Go
 This is a Go package implementing a correct comparison function to compare
 alphanumeric strings with respect to their integer parts.
 .
 For example, this is the default result of strings sort:
 hello1 hello10 hello11 hello2 hello3
 .
 This is the result from handysort: hello1 hello2 hello3 hello10 hello11

Package: golang-github-xlab-treeprint-dev
Description-md5: 680fe520653ff45f18a7bf94006b87cd
Description-en: simple ASCII tree composing tool
 Package treeprint provides a simple ASCII tree composing tool.
 .
 The utility will yield Unicode-friendly trees. The output is
 predictable and there is no platform-dependent exceptions, so if
 you have issues with displaying the tree in the console, all
 platform-related transformations can be done after the tree has
 been rendered.

Package: golang-github-xordataexchange-crypt
Description-md5: 562343d343b0b787f380ecfef64218eb
Description-en: Store/retrieve encrypted configs from etcd or Consul (CLI tool)
 Fess up.  You have passwords and usernames hard coded in your apps.
 You have IP addresses checked in to your source code repository.
 You have entire configuration files that were created by the developer
 who wrote the app and haven’t been changed since she typed "git init".
 .
 "crypt" is here to lead you back to the Path of Enlightened Configuration.
 Store encrypted configuration values in etcd or Consul using a command-line
 application.
 .
 Decrypt them before starting your application using a wrapper script and
 the handy CLI tool, or inside the app using the "crypt/config" library.
 .
 "crypt" is built on time-tested standards like OpenPGP, base64, and gzip.
 Your data is encrypted using public key encryption, and can only be
 decrypted by when the private key is available.  After compression,
 it is encrypted, and base64-encoded so it can be stored in your key/value
 store of choice.  etcd and Consul are supported out of the box, but adding
 other storage tools is a trivial task, thanks to Go’s interfaces.
 .
 This package provides the command-line tool "bin/crypt", but renamed to
 /usr/bin/crypt-xordataexchange, to avoid filename collision with
 /usr/bin/crypt from the mcrypt package.

Package: golang-github-xordataexchange-crypt-dev
Description-md5: f42563295553cebf00fa3715a1af875e
Description-en: Store/retrieve encrypted configs from etcd or Consul (Go library)
 Fess up.  You have passwords and usernames hard coded in your apps.
 You have IP addresses checked in to your source code repository.
 You have entire configuration files that were created by the developer
 who wrote the app and haven’t been changed since she typed "git init".
 .
 "crypt" is here to lead you back to the Path of Enlightened Configuration.
 Store encrypted configuration values in etcd or Consul using a command-line
 application.
 .
 Decrypt them before starting your application using a wrapper script and
 the handy CLI tool, or inside the app using the "crypt/config" library.
 .
 "crypt" is built on time-tested standards like OpenPGP, base64, and gzip.
 Your data is encrypted using public key encryption, and can only be
 decrypted by when the private key is available.  After compression,
 it is encrypted, and base64-encoded so it can be stored in your key/value
 store of choice.  etcd and Consul are supported out of the box, but adding
 other storage tools is a trivial task, thanks to Go’s interfaces.
 .
 This package provides the "github.com/xordataexchange/crypt/config"
 Go library.

Package: golang-github-xorpaul-uiprogress-dev
Description-md5: 57b94f735f30a1e73870c88c6c4d688c
Description-en: Go library to render progress bars in terminal applications
 Custom fork of github.com/gosuri/uiprogress
 .
 Progress bars improve readability for terminal applications with long
 outputs by providing a concise feedback loop.
 .
 Features:
 * Multiple Bars: can render multiple progress bars that can be tracked
   concurrently
 * Dynamic Addition: Add additional progress bars any time,
   even after the progress tracking has started
 * Prepend and Append Functions: Append or prepend completion percent
   and time elapsed to the progress bars
 * Custom Decorator Functions: Add custom functions around the bar along
   with helper functions

Package: golang-github-xrash-smetrics-dev
Description-md5: 58354c84a53be64290c0a6310d4a797a
Description-en: String metrics library written in Go
 String metrics This library contains implementations of the
 Levenshtein distance, Jaro-Winkler and Soundex algorithms written
 in Go (golang).
 .
 The Wagner-Fischer algorithm for calculating the Levenshtein distance.
 .
     smetrics.Hamming("aaa", "aab") >> 1, nil
 .
     smetrics.Hamming("aaaa", "a") >> -1, error

Package: golang-github-xtaci-kcp-dev
Description-md5: 31191a4b3bd71719f3031ba8c3d0c120
Description-en: Full-Featured Reliable-UDP Library for golang
 KCP (golang-github-xtaci-kcp-dev) is a Production-Grade Reliable-UDP
 library for golang. It provides fast, ordered, and error-checked delivery
 of stream over UDP packets.
 .
 It has been well tested with opensource project kcptun, which runs on
 millions of devices, from low-end MIPS routers to high-end servers. It also
 runs well for applications like online games, live broadcasting, file
 synchronization and network acceleration.
 .
 Features:
   * Optimized for Realtime Multiplayer Games, Audio/Video Streaming.
   * Compatible with skywind3000's (https://github.com/skywind3000)
     C version with optimizations.
   * Cache friendly and Memory optimized design in golang.
   * Compatible with net.Conn (https://golang.org/pkg/net/#Conn)
     and net.Listener (https://golang.org/pkg/net/#Listener).
   * FEC (Forward Error Correction)
     (https://en.wikipedia.org/wiki/Forward_error_correction)
     Support with Reed-Solomon Codes
     (https://en.wikipedia.org/wiki/Reed%E2%80%93Solomon_error_correction)
   * Packet level encryption support with AES, TEA, 3DES, Blowfish, Cast5,
     Salsa20, etc., in CFB mode.
   * O(1) goroutines created for the entire server application, minimized
     goroutine context switch.
 .
 Conventions:
   Control messages like SYN/FIN/RST in TCP are not defined in KCP.
   You need some keepalive/heartbeat mechanims in the application-level.
   A real world example is to use some multiplexing protocol over session,
   such as smux (golang-github-xtaci-smux-dev) with embedded keepalive
   mechanism. See kcptun (https://github.com/xtaci/kcptun) for example.

Package: golang-github-xtaci-smux-dev
Description-md5: 9c27424e435371b37bdce683ab7d8c64
Description-en: Simple Multiplexing for golang
 Smux (Simple MUltipleXing) is a multiplexing library for Golang.
 It relies on an underlying connection to provide reliability and ordering,
 such as TCP or KCP (golang-github-xtaci-kcp-dev), and provides
 stream-oriented multiplexing.
 .
 The original intention of this library is to power the connection
 management for KCP (golang-github-xtaci-kcp-dev).
 .
 Features:
   * Tiny, less than 600 LOC.
   * Token bucket controlled receiving, which provides smoother bandwidth
     graph.
   * Session-wide receive buffer, shared among streams, tightly controlled
     overall memory usage.
   * Minimized header(8Bytes), maximized payload.
   * Well-tested on millions of devices in kcptun.

Package: golang-github-xyproto-pinterface-dev
Description-md5: c6060e2f7fcbfb3582b0329d7c459cc2
Description-en: Interface types for simple* and permission* packages
 This package provides Go interface types for the xyproto/simple* and
 xyproto/permission* packges.

Package: golang-github-xyproto-simpleredis-dev
Description-md5: c79c3b1b08142a7ee5e0de7bc5891e2e
Description-en: easy way to use Redis from Go
 An easy way to use Redis from Go. Supports simple use of lists,
 hashmaps, sets and key/values. Deals mainly with strings and
 makes use of the redigo package for underlying access to a Redis
 database.

Package: golang-github-yl2chen-cidranger-dev
Description-md5: a5d7201bc8474d697da8191c77cbfaa4
Description-en: Fast IP to CIDR lookup in Golang
 The cidranger library provides fast IP to CIDR block(s) lookup using
 path-compressed prefix tries in Golang, inspired by IPv4 route lookup in
 Linux.
 Possible use cases include detecting if a IP address is from published
 cloud provider CIDR blocks (e.g. "is 52.95.110.1 contained in AWS Route
 53 CIDR 52.95.110.0/24?"), IP routing rules, etc.

Package: golang-github-ymomoi-goval-parser-dev
Description-md5: 48c7aecdca7a0285a51cfdc0f1cb2d8c
Description-en: OVAL parser written in go
 goval-parser is a tool written in Go language that parses files written in
 OVAL (Open Vulnerability and Assessment Language).

Package: golang-github-yohcop-openid-go-dev
Description-md5: 8912e9843209f2bef43f18dc04a219ba
Description-en: OpenID 2.0 implementation in Go
 This package provides an OpenID 2.0 consumer implementation in Go.
 This library aims to have a very simple API that is easy to use.
 .
 OpenID Authentication provides a way to prove that an end user controls
 an Identifier. It does this without the Relying Party needing access to
 end user credentials such as a password or to other sensitive information
 such as an email address.

Package: golang-github-yosssi-ace-dev
Description-md5: d42217790c8e46862cef3c5394716f82
Description-en: HTML template engine for Go (library package)
 Ace is an HTML template engine for Go.  This is inspired by
 Slim (http://slim-lang.com/) and Jade (http://jade-lang.com/).
 This is a refinement of Gold (http://gold.yoss.si/).
 .
 Example:
 .
   = doctype html
   html lang=en
   head
     title Hello Ace
     = css
       h1 { color: blue; }
   body
     h1 {{.Msg}}
     #container.wrapper
       p..
         Ace is an HTML template engine for Go.
         This engine simplifies HTML coding in Go web application development.
     = javascript
       console.log('Welcome to Ace');
 .
 This package provides the Ace library for the Go Programming Language

Package: golang-github-yosssi-ace-proxy-dev
Description-md5: c31a1351b9d79358f69a90093bd14cfb
Description-en: Proxy for the Ace template engine (Go library)
 Ace Proxy is a proxy for the Ace template engine.  This proxy caches the
 options for the Ace template engine so that you don’t have to specify
 them every time calling the Ace APIs.

Package: golang-github-yosssi-gohtml-dev
Description-md5: ab3a1b2a5f6d5af8a60ff9a2bb0df7a1
Description-en: HTML formatter for Go
 GoHTML is an HTML formatter for Go.  You can format HTML source codes
 by using this package.
 .
 Documentation is available at https://godoc.org/github.com/yosssi/gohtml

Package: golang-github-youmark-pkcs8-dev
Description-md5: c718049075b0f74d679e09ebc27cadca
Description-en: Go package to parse and convert private keys in PKCS#8 format (library)
 pkcs8 OpenSSL can generate private keys in both "traditional format"
 and PKCS#8 format. Newer applications are advised to use more
 secure PKCS#8 format. Go standard crypto package provides a function
 (http://golang.org/pkg/crypto/x509/#ParsePKCS8PrivateKey) to parse
 private key in PKCS#8 format. There is a limitation to this function. It
 can only handle unencrypted PKCS#8 private keys. To use this function,
 the user has to save the private key in file without encryption, which
 is a bad practice to leave private keys unprotected on file systems. In
 addition, Go standard package lacks the functions to convert RSA/ECDSA
 private keys into PKCS#8 format.
 .
 pkcs8 package fills the gap here. It implements functions
 to process private keys in PKCS#8 format, as defined in
 RFC5208 (https://tools.ietf.org/html/rfc5208) and RFC5958
 (https://tools.ietf.org/html/rfc5958). It can handle both unencrypted
 PKCS#8 PrivateKeyInfo format and EncryptedPrivateKeyInfo format with
 PKCS#5 (v2.0) algorithms.
 .
 Godoc (http://godoc.org/github.com/youmark/pkcs8) Installation Supports
 Go 1.10+. Release v1.1 is the last release supporting Go 1.9
 .
 text go get github.com/youmark/pkcs8
 .
 dependency This package depends on golang.org/x/crypto/pbkdf2 and
 golang.org/x/crypto/scrypt packages. Use the following command
 to retrieve them text go get golang.org/x/crypto/pbkdf2 go get
 golang.org/x/crypto/scrypt

Package: golang-github-yourbasic-graph-dev
Description-md5: 4d7a939112481fdf45d1defe262c8472
Description-en: graph algorithms and data structures
 This package contains a Golang library of basic graph algorithms
 and topological ordering.
 .
 This library offers efficient and well-tested algorithms for
  - breadth-first and depth-first search,
  - topological ordering,
  - strongly and weakly connected components,
  - bipartion,
  - shortest paths,
  - maximum flow,
  - Euler walks,
  - and minimum spanning trees.
 The algorithms can be applied to any graph data structure implementing
 the two Iterator methods: Order, which returns the number of vertices,
 and Visit, which iterates over the neighbors of a vertex.

Package: golang-github-yudai-golcs-dev
Description-md5: 9cf050c0a2052b80502c909477844bd2
Description-en: Go Longest Common Subsequence
 This package can be used to calculate LCS of slices.
 .
 All the methods of Lcs cache their return values. For example, the
 memo table is calculated only once and reused when Values(), Length()
 and other methods are called.

Package: golang-github-yuin-goldmark-dev
Description-md5: ac223661453efec4ef113a7b589d678d
Description-en: CommonMark compliant and extensible Markdown parser written in Go
 goldmark is a Markdown parser written in Go.  It is easy to extend,
 standard compliant, and well structured.  It is compliant with CommonMark 0.29,
 and supports extensions from GitHub Flavored Markdown and PHP Markdown Extra.
 .
 Features:
 .
  * Standard compliant.  goldmark gets full compliance with the latest
    CommonMark spec.
  * Extensible.  Do you want to add a @username mention syntax to Markdown?
    You can easily do it in goldmark. You can add your AST nodes, parsers
    for block level elements, parsers for inline level elements,
    transformers for paragraphs, transformers for whole AST structure, and
    renderers.
  * Performance.  goldmark performs pretty much equally to cmark, the
    CommonMark reference implementation written in C.
  * Robust. goldmark is tested with go-fuzz, a fuzz testing tool.
  * Builtin extensions.  goldmark ships with common extensions like tables,
    strikethrough, task lists, and definition lists.
  * Depends only on standard libraries.

Package: golang-github-yuin-goldmark-highlighting-dev
Description-md5: a065317e4bf6aeafa8c7f5a0cd48e3ca
Description-en: syntax highlighting extension for the goldmark Markdown parser
 goldmark-highlighting is an extension for the goldmark Markdown parser
 that adds syntax-highlighting to fenced code blocks.
 .
 goldmark-highlighting uses chroma as syntax highlighter.

Package: golang-github-yuin-gopher-lua-dev
Description-md5: ab94dc3538425168a47b54d9663e1cb3
Description-en: virtual machine and compiler for Lua in Go
 GopherLua is a Lua5.1 VM and compiler written in Go. GopherLua has the same
 goal as Lua: *Be a scripting language with extensible semantics*. It provides
 Go APIs that allow you to easily embed a scripting language to your Go host
 programs.
 .
 GopherLua APIs perform in much the same way as Lua, *but the stack is used
 only for passing arguments and receiving returned values.*

Package: golang-github-zclconf-go-cty-dev
Description-md5: ccb59b3681b33fae3ce5cc0c0ad27305
Description-en: type system for dynamic values in Golang applications
 cty (pronounced "see-tie") is a dynamic type system for applications
 written in Go that need to represent user-supplied values without losing
 type information. The primary intended use is for implementing
 configuration languages, but other uses may be possible too.

Package: golang-github-zenazn-goji-dev
Description-md5: f15eb3207760cd254f5e5d033bf0c72f
Description-en: minimalistic web framework for Golang
 Goji is a minimalistic web framework that values composability and
 simplicity.

Package: golang-github-ziutek-mymysql-dev
Description-md5: ad22d0c25d464d244aea4b7b11a2797a
Description-en: MySQL Client API
 This package contains a MySQL client API written entirely in Go.
 It is designed to work with the MySQL protocol version 4.1 or greater.
 It works fine with MySQL server version 5.0 and 5.1 and might work
 with older versions as well.

Package: golang-github-zorkian-go-datadog-api-dev
Description-md5: 8cd6c9be765e23e5a50e6ca318c95768
Description-en: Go implementation of the Datadog API
 This package contains a Go wrapper for the Datadog API.
 Use this library if you need to interact with the Datadog system.
 You can post metrics with it if you want, but this library is
 probably mostly used for automating dashboards/alerting and
 retrieving data (events, etc).

Package: golang-github-zyedidia-clipboard-dev
Description-md5: 66bff9bea76b4ec71c5473b84a63ffcd
Description-en: clipboard for golang
 Provide copying and pasting to the Clipboard for Go.
 .
 This is a fork of atotto/clipboard which used for zyedidia/micro and has some
 modifications, namely: support for the primary clipboard on Linux and support
 for an internal clipboard if the system clipboard is not available.

Package: golang-github-zyedidia-glob-dev
Description-md5: 60873366f725a4f84b774bffafcb1082
Description-en: Go package for glob matching
 String globbing in Go GoDoc (http://godoc.org/github.com/zyedidia/glob)
 .
 This package adds support for globs in Go.
 .
 It simply converts glob expressions to regexps.

Package: golang-github-zyedidia-pty-dev
Description-md5: e21970d550eb3c679339f3b4454ba27f
Description-en: Go package for using Unix pseudo-terminals
 PTY is a Go package for using Unix pseudo-terminals.
 .
 It is a PTY interface for Go.
 .
 This package contains the source.

Package: golang-github-zyedidia-tcell-dev
Description-md5: f9c7c4f406ad3eed6f02f02851873d07
Description-en: Cell based view for text terminals
 Provide a cell based view for text terminals, like xterm. It was inspired by
 termbox, but differs from termbox in some important ways. It also adds
 substantial functionality beyond termbox.

Package: golang-github-zyedidia-terminal-dev
Description-md5: 91cad9ceddeaca0512578643c71c600b
Description-en: vt10x terminal emulation backend
 Provides a vt10x terminal emulation backend, influenced largely by st, rxvt,
 xterm, and iTerm as reference. Used for terminal muxing, a terminal emulation
 frontend, or wherever else needed for terminal emulation.

Package: golang-gitlab-lupine-go-mimedb-dev
Description-md5: 2c2e20564037d3b8330b3bdbc94f711a
Description-en: Baked-in MIME types for standalone Go binaries
 This Go package uses generators to convert this database into additions to the
 stdlib mime package. Since all the work is done at compile time, the MIME types
 end up embedded in the binary,loading them on startup is fast, and you still
 get sensible results when /etc/mime.types is unavailable on your platform!
 .
 This work is somewhat inspired by mime-ext-go, which lacks the automatic
 generation (and so easy update) to be found in this package.

Package: golang-glide
Description-md5: 7cf0855b31e45813bfd64cd0592c1317
Description-en: Vendor package management for Go
 Glide is comparable to tools like Cargo, npm, Composer, Bundler, pip,
 Maven but for Go. It manages vendored packages with ease.
 .
 Packages to be vendored are listed in a configuration file at the
 root of a project along with the appropriate restriction on versions
 and Glide will download the most recent versions satisfying the
 constraints into the vendor directory. It will write a lock file to
 record the exact versions chosen.

Package: golang-glog-dev
Description-md5: 3a258cf507e4218405843e1189b35bb9
Description-en: Leveled execution logs for Go
 This is an efficient pure Go implementation of leveled logs in the manner of
 the open source C++ package https://github.com/google/glog

Package: golang-go-dbus-dev
Description-md5: f05079f5364e0080b7248adc134f85e0
Description-en: Go interface for D-Bus
 After importing this package, you can connect to D-Bus from your Go
 programs. This package does not depend on libdbus and does not need
 cgo, making it suitable for use when cross-compiling.

Package: golang-go-flags-dev
Description-md5: b46bc406ed817b00fafd11722d1d11ff
Description-en: Go library for parsing command line arguments
 Package flags provides an extensive command line option parser.
 .
 The flags package is similar in functionality to the go builtin flag
 package but provides more options and uses reflection to provide a
 convenient and succinct way of specifying command line options.

Package: golang-go-semver-dev
Description-md5: 6f4e2783786f093a427dd1a4d10cf831
Description-en: Transitional package for golang-github-coreos-go-semver-dev
 This is a transitional package to ease upgrades to the
 golang-github-coreos-go-semver-dev package. It can be safely removed.

Package: golang-go-xdg-dev
Description-md5: 3f898ec8df478f6e48a11b2ac93adf0c
Description-en: Go interface for XDG standards
 After importing this package, you can support the XDG base directories
 standard in your programs.

Package: golang-go-zfs-dev
Description-md5: a2552c8986666f5113950ec24bf93cf4
Description-en: Go library for ZFS manipulation
 Simple wrappers for ZFS command line tools, used for manipulating ZFS
 filesystems directly from within applications written in Go.
 .
 This package contains the source.

Package: golang-go.net-dev
Description-md5: fc8f44a4b2c58a81e1b1a49bb5bb20f3
Description-en: Transitional package for golang-golang-x-net-dev
 This is a transitional package to ease upgrades to the golang-golang-x-net-dev
 package. It can safely be removed.

Package: golang-go.opencensus-dev
Description-md5: aac27a6fc0c5e06cec2983e8aaf8efad
Description-en: Stats collection and distributed tracing framework
 OpenCensus Go is a Go implementation of OpenCensus, a toolkit for
 collecting application performance and behavior monitoring data.
 Currently it consists of three major components: tags, stats and tracing.

Package: golang-go.uber-atomic-dev
Description-md5: c6446ce804915ca91569779e110c3fb8
Description-en: Simple wrappers for primitive types to enforce atomic access
 The standard library's `sync/atomic` is powerful, but it's easy to forget which
 variables must be accessed atomically. `go.uber.org/atomic` preserves all the
 functionality of the standard library, but wraps the primitive types to
 provide a safer, more convenient API.

Package: golang-go.uber-multierr-dev
Description-md5: dc73f33d842ebb5ef1266c15c1bd1d39
Description-en: multierr allows combining one or more Go errors together
 This library is a build dependency for gitaly (component of gitlab, a git
 based collaboration platform)

Package: golang-go.uber-zap-dev
Description-md5: 576574474511fe7a742a101d42a4364e
Description-en: Blazing fast, structured, leveled logging in Go
 Package zap provides fast, structured, leveled logging.
 .
 For applications that log in the hot path, reflection-based
 serialization and string formatting are prohibitively expensive,
 they're CPU-intensive and make many small allocations. Put
 differently, using json.Marshal and fmt.Fprintf to log tons of
 interface{} makes your application slow.
 .
 Zap takes a different approach. It includes a reflection-free,
 zero-allocation JSON encoder, and the base Logger strives to avoid
 serialization overhead and allocations wherever possible. By
 building the high-level SugaredLogger on that foundation, zap lets
 users choose when they need to count every allocation and when
 they'd prefer a more familiar, loosely typed API.

Package: golang-go4-dev
Description-md5: 959dfa95966030678e1cf6fbc84da305
Description-en: go4 collection of packages
 go4 is a collection of packages for Go programmers, which started out in
 Camlistore but have nothing to do with it anymore.
 .
 This package contains the source.

Package: golang-gocapability-dev
Description-md5: 043545d81d72af593de44072a272a0c0
Description-en: Utilities for manipulating POSIX capabilities in Go.
 This package capability provides utilities for manipulating POSIX
 capabilities in Go. Currently only Linux capabilities are supported.

Package: golang-godebiancontrol-dev
Description-md5: dab6dbad5c9e4eadb28cdb80bb44b4b9
Description-en: Go Debian control file parser
 Parses files such as a Debian mirror’s Packages or Sources file, or any Debian
 package’s debian/control file. Follows the Debian Policy, chapter 5.1 (“Syntax
 of control files”).
 .
 This package contains the source.

Package: golang-gogoprotobuf-dev
Description-md5: 8d76e6c8397fafa5e534b08eb5058845
Description-en: transitional package -- safe to remove
 This transitional package facilitates migration to
 "golang-github-gogo-protobuf-dev" and can be safely removed.

Package: golang-golang-x-crypto-dev
Description-md5: 66f52ca9de151cfcb6ed8ba8a5a6a8a4
Description-en: Supplementary Go cryptography libraries
 This package contains cryptographic algorithms and protocols not packaged in
 the main Go distribution, such as:
 .
  - blowfish
  - nacl
  - openpgp
  - otr
  - sha3
  - ssh
 .
 and many others.

Package: golang-golang-x-exp-dev
Description-md5: dd3d7f68e03596b0b9eea520f1d11cb3
Description-en: experimental and deprecated packages
 This subrepository holds experimental and deprecated (in the "old" directory)
 packages.
 .
 The idea for this subrepository originated as the "pkg/exp" directory of the
 main repository, but its presence there made it unavailable to users of the
 binary downloads of the Go installation. The subrepository has therefore been
 created to make it possible to "go get" these packages.
 .
 Warning: Packages here are experimental and unreliable. Some may one day be
 promoted to the main repository or other subrepository, or they may be modified
 arbitrarily or even disappear altogether.
 .
 In short, code in this subrepository is not subject to the Go 1 compatibility
 promise. (No subrepo is, but the promise is even more likely to be violated by
 go.exp than the others.)
 .
 Caveat emptor.

Package: golang-golang-x-image-dev
Description-md5: d459f1dc8dc771dbce3f46cf566079af
Description-en: supplementary Go image libraries
 This repository holds supplementary Go image libraries.

Package: golang-golang-x-net-dev
Description-md5: d26c722df3669a855513b4942fa82cba
Description-en: Supplementary Go networking libraries
 Supplementary Go networking libraries (golang.org/x/net) not included in the
 main distribution:
 .
   - context: Package context defines the Context type, which carries
     deadlines, cancellation signals, and other request-scoped values across API
     boundaries and between processes.
   - dict: Package dict implements the Dictionary Server Protocol as defined in
     RFC 2229.
   - html: Package html implements an HTML5-compliant tokenizer and parser.
   - html/atom: Package atom provides integer codes (also known as atoms) for a
     fixed set of frequently occurring HTML strings: tag names and attribute
     keys such as "p" and "id".
   - html/charset: Package charset provides common text encodings for HTML
     documents.
   - icmp: Package icmp provides basic functions for the manipulation of
     messages used in the Internet Control Message Protocols, ICMPv4 and
     ICMPv6.
   - idna: Package idna implements IDNA2008 (Internationalized Domain Names for
     Applications), defined in RFC 5890, RFC 5891, RFC 5892, RFC 5893 and RFC
     5894.
   - internal/iana: Package iana provides protocol number resources managed by
     the Internet Assigned Numbers Authority (IANA).
   - internal/nettest: Package nettest provides utilities for IP testing.
   - ipv4: Package ipv4 implements IP-level socket options for the Internet
     Protocol version 4.
   - ipv6: Package ipv6 implements IP-level socket options for the Internet
     Protocol version 6.
   - netutil: Package netutil provides network utility functions, complementing
     the more common ones in the net package.
   - proxy: Package proxy provides support for a variety of protocols to proxy
     network data.
   - publicsuffix: Package publicsuffix provides a public suffix list based on
     data from http://publicsuffix.org/.
   - webdav: Package webdav.
   - websocket: Package websocket implements a client and server for the
     WebSocket protocol as specified in RFC 6455.
 .
 This repository was previously available at https://code.google.com/p/go.net/

Package: golang-golang-x-oauth2-dev
Description-md5: 1654cf8b886a5788b334b98ad4f40804
Description-en: make OAuth2 authorized and authenticated HTTP requests
 Package oauth2 provides support for making OAuth2 authorized and authenticated
 HTTP requests. It can additionally grant authorization with Bearer JWT.

Package: golang-golang-x-oauth2-google-dev
Description-md5: f3a32a1990eec7d93181b9185a47cef6
Description-en: Google APIs support for OAuth2
 Package oauth2/google provides support for making OAuth2 authorized and
 authenticated HTTP requests against Google APIs. It can additionally grant
 authorization with Bearer JWT.

Package: golang-golang-x-sync-dev
Description-md5: 825761491c1c574f2ee680e5388580e7
Description-en: Supplemental Go synchronization libraries
 This package provides supplemental Go libraries (golang.org/x/sync) that
 were not included in the main distribution. It provides Go concurrency
 primitives in addition to the ones provided by the language ro the "sync"
 and "sync/atomic" packages.
 .
 Provides:
   - singleflight: provides a duplicate function call suppression mechanism
   - errgroup: provides synchronization, error propagation, and Context
               cancellation for groups of goroutines working on subtasks
   - semaphore: provides a weighted semaphore implementation
   - syncmap: provides a concurrent map implementation

Package: golang-golang-x-sys-dev
Description-md5: 9303ed698a602f8ffbfd7deb814af60a
Description-en: Low-level interaction with the operating system
 This repository holds supplemental Go packages for low-level interactions with
 the operating system.
 .
 The primary use of this package is inside other packages that provide a more
 portable interface to the system, such as "os", "time" and "net". Use those
 packages rather than this one if you can.

Package: golang-golang-x-text-dev
Description-md5: 52899223c66b159943bbdd9d3c873da0
Description-en: Supplementary Go text-related libraries
 golang.org/x/text is a repository of text-related packages, such as character
 encodings, text transformations, and locale-specific text handling.
 .
   - cases: Package cases provides general and language-specific case mappers.
   - cldr: Package cldr provides a parser for LDML and related XML formats.
   - collate: Package collate contains types for comparing and sorting Unicode
     strings according to a given collation order.
   - display: Package display provides display names for languages, scripts and
     regions in a requested language.
   - encoding: Package encoding defines an interface for character encodings,
     such as Shift JIS and Windows 1252, that can convert to and from UTF-8.
   - encoding/charmap: Package charmap provides simple character encodings such
     as IBM Code Page 437 and Windows 1252.
   - encoding/japanese: Package japanese provides Japanese encodings such as
     EUC-JP and Shift JIS.
   - encoding/korean: Package korean provides Korean encodings such as EUC-KR.
   - encoding/simplifiedchinese: Package simplifiedchinese provides Simplified
     Chinese encodings such as GBK.
   - encoding/traditionalchinese: Package traditionalchinese provides
     Traditional Chinese encodings such as Big5.
   - encoding/unicode: Package unicode provides Unicode encodings such as
     UTF-16.
   - internal/colltab: Package colltab contains functionality related to
     collation tables.
   - internal/gen: Package gen contains common code for the various code
     generation tools in the text repository.
   - internal/testtext: Package testtext contains test data that is of common
     use to the text repository.
   - internal/triegen: Package triegen implements a code generator for a trie
     for associating unsigned integer values with UTF-8 encoded runes.
   - internal/ucd: Package ucd provides a parser for Unicode Character Database
     files, the format of which is defined in
     http://www.unicode.org/reports/tr44/.
   - language: Package language implements BCP 47 language tags and related
     functionality.
   - runes: Package runes provide transforms for UTF-8 encoded text.
   - search: Package search provides language-specific search and string
     matching.
   - transform: Package transform provides reader and writer wrappers that
     transform the bytes passing through as well as various transformations.
   - unicode/norm: Package norm contains types and functions for normalizing
     Unicode strings.
   - unicode/rangetable: Package rangetable creates new unicode.RangeTables.
   - width: Package width provides functionality for handling different widths
     in text.
 .
 This repository was previously available at https://code.google.com/p/go.text/

Package: golang-golang-x-time-dev
Description-md5: 70f23e5d60ed8f86f7f86e3de8f8a439
Description-en: Go supplementary time packages
 Supplementary Go time packages.

Package: golang-golang-x-tools
Description-md5: e34084d88c06480ee1c22d1df714cb85
Description-en: supplementary Go tools
 This subrepository holds the source for various packages and tools that
 support the Go programming language.
 .
 Some of the tools, godoc and vet for example, used to be included in the
 golang-go package. Others, including the Go oracle and the test coverage tool,
 can be fetched with "go get".
 .
 Packages include a type-checker for Go and an implementation of the Static
 Single Assignment form (SSA) representation for Go programs.

Package: golang-golang-x-tools-dev
Description-md5: 848b14f59fde001d69fac7c7123ed46c
Description-en: supplementary Go tools (development files)
 This subrepository holds the source for various packages and tools that
 support the Go programming language.
 .
 Some of the tools, godoc and vet for example, used to be included in the
 golang-go package. Others, including the Go oracle and the test coverage tool,
 can be fetched with "go get".
 .
 Packages include a type-checker for Go and an implementation of the Static
 Single Assignment form (SSA) representation for Go programs.
 .
 This package contains the development files.

Package: golang-golang-x-xerrors-dev
Description-md5: 411451674635e200142cec888d530cf6
Description-en: new Go 1.13 error values
 This package contains the transition packages for the new Go 1.13
 error values.
 .
 Most of the functions and types in this package will be incorporated into the
 standard library's errors package in Go 1.13; the behavior of this package's
 Errorf function will be incorporated into the standard library's fmt.Errorf.
 Use this package to get equivalent behavior in all supported Go versions.

Package: golang-gomega-dev
Description-md5: 2ccbf34bedd966536138c969b979c50a
Description-en: Matcher/assertion library for the Go programming language
 Gomega is a matcher/assertion library. It is best paired with the Ginkgo BDD
 test framework, but can be adapted for use in other contexts too.

Package: golang-google-api-dev
Description-md5: 3e6ef7b8a702473a9b58d33ce1bfeb64
Description-en: Google APIs Client Library
 These are auto-generated Go libraries from the Google Discovery Service's JSON
 description files of the available "new style" Google APIs.

Package: golang-google-appengine-dev
Description-md5: ef973093ad4b93e7ed043de8bc5fab0d
Description-en: basic functionality for Google App Engine
 For more information on how to write Go apps for Google App Engine, see:
 https://cloud.google.com/appengine/docs/go/

Package: golang-google-cloud-compute-metadata-dev
Description-md5: 54479d2904e762ebd70ab2f061215e06
Description-en: Google Cloud Platform APIs (only cloud/compute/metadata)
 This package contains only google.golang.org/cloud/compute/metadata, which is
 required by other packages (such as golang-golang-x-oauth2-dev), hence useful
 to split out to break circular dependencies.
 .
 Provides both the old google.golang.org/cloud and the new cloud.google.com/go
 trees.

Package: golang-google-cloud-dev
Description-md5: 9c1e720f6302d27b774914c9a051233c
Description-en: Google Cloud Platform APIs
 Package cloud contains Google Cloud Platform APIs related types and common
 functions.
 .
 Provides both the old google.golang.org/cloud and the new cloud.google.com/go
 trees.

Package: golang-google-genproto-dev
Description-md5: 5b4d28e67824a3308ba99a473eab303c
Description-en: Generated Go packages for common protocol buffer types
 This repository contains the generated Go packages for common protocol buffer
 types, and the generated gRPC code necessary for interacting with Google's
 gRPC APIs.
 .
 It provides similar functionality to the now abandoned
 golang-github-googleapis-proto-client-go.
 .
 There are two sources for the proto files used in this repository:
 .
  * google/protobuf: the code in the protobuf and ptypes subdirectories is
    derived from this repo. The messages in protobuf are used to describe
    protocol buffer messages themselves. The messages under ptypes define the
    common well-known types.
  * googleapis/googleapis: the code in the googleapis is derived from this repo.
    The packages here contain types specifically for interacting with Google
    APIs.

Package: golang-google-grpc-dev
Description-md5: 310f3b05d40bb64e3e4e772ee87302ac
Description-en: implementation of gRPC in Go
 Implementation of an RPC system called gRPC in Golang.
 .
 Remote Procedure Calls (RPCs) provide a useful abstraction for building
 distributed applications and services. This library provides a concrete
 implementation of the gRPC protocol, layered over HTTP/2.

Package: golang-gopkg-alecthomas-kingpin.v2-dev
Description-md5: 76b5c4c9fe1157e00770fe5db0b51cd0
Description-en: command-line and flag parser for Go
 This package provides a fluent-style, type-safe command-line parser.
 It supports flags, nested commands, positional arguments, customizable
 help output using Go templates, and automatically generated man pages.

Package: golang-gopkg-alecthomas-kingpin.v3-dev
Description-md5: 938b52576775052c735deaa5f88e09ad
Description-en: command-line and flag parser for Go
 Kingpin provides a fluent-style, type-safe command-line parser.
 It supports flags, nested commands, positional arguments, customizable
 help output using Go templates, and automatically generated man pages.
 .
 This package provides the v3 branch of the API.

Package: golang-gopkg-alecthomas-kingpin.v3-unstable-dev
Description-md5: 4d14d8649175d0d570b87efaf71e830e
Description-en: Transitional package for golang-gopkg-check.v1-dev
 This is a transitional package to ease upgrades to the
 golang-gopkg-check.v1-dev package. It can safely be removed.

Package: golang-gopkg-alexcesaro-quotedprintable.v3-dev
Description-md5: 76d615757a634a3ca1be61638715159e
Description-en: Implements quoted-printable and message header encoding in Go
 This package provides a library that implements quoted-printable and
 message header encoding in Go. This implementation follows RFC 2045
 and RFC 2047.
 .
 Documentation: https://godoc.org/gopkg.in/alexcesaro/quotedprintable.v3

Package: golang-gopkg-alexcesaro-statsd.v1-dev
Description-md5: 2f4d8661262b7c6e73ac03eabeb19514
Description-en: simple and efficient Golang StatsD client
 This is a simple and efficient Golang StatsD client.
 .
 It supports all StatsD metrics: counter, gauge, timing and set, as well
 as InfluxDB and Datadog tags.
 .
 It is fast and GC-friendly: all functions for sending metrics do not
 allocate. It is efficient: metrics are buffered by default. It has a
 simple and clean API.

Package: golang-gopkg-asn1-ber.v1-dev
Description-md5: 07c2b5b0f60680dfb4458599b1fde369
Description-en: ASN1 BER Encoding / Decoding Library for the GO programming language
 Package ber provides ASN1 BER Encoding / Decoding Library for the
 GO programming language. It provides basic encoding / decoding
 needed for the LDAP protocol.

Package: golang-gopkg-bufio.v1-dev
Description-md5: c1fae9f67f44b98047960be087f47413
Description-en: buffered I/O in Golang
 "bufio" implements buffered I/O. It wraps an io.Reader or io.Writer
 object, creating another object (Reader or Writer) that also implements
 the interface but provides buffering and some help for textual I/O.

Package: golang-gopkg-check.v1-dev
Description-md5: 899080f1ef512bfa81b4910c35ba8410
Description-en: Rich testing extension for Go's testing package
 The Go language provides an internal testing library, named testing, which is
 relatively slim due to the fact that the standard library correctness by
 itself is verified using it. The check package, on the other hand, expects the
 standard library from Go to be working correctly, and builds on it to offer a
 richer testing framework for libraries and applications to use.
 .
 Package "gopkg.in/check.v1" includes features such as:
 .
    - Helpful error reporting to aid on figuring problems out
    - Richer test helpers: assertions which interrupt the test immediately,
      deep multi-type comparisons, string matching, etc
    - Suite-based grouping of tests
    - Fixtures: per suite and/or per test set up and tear down
    - Benchmarks integrated in the suite logic (with fixtures, etc)
    - Management of temporary directories
    - Panic-catching logic, with proper error reporting
    - Proper counting of successes, failures, panics, missed tests, skips, etc
    - Explicit test skipping
    - Support for expected failures
    - Verbosity flag which disables output caching (helpful to debug hanging
      tests, for instance)
    - Multi-line string reporting for more comprehensible failures
    - Inclusion of comments surrounding checks on failure reports
    - Fully tested (it manages to test itself reliably)

Package: golang-gopkg-cheggaaa-pb.v1-dev
Description-md5: 846e63c270b05f7dd150cfd22592d97a
Description-en: simple console progress bar for Go
 This package provides a simple progress bar for console programs.

Package: golang-gopkg-cheggaaa-pb.v2-dev
Description-md5: 846e63c270b05f7dd150cfd22592d97a
Description-en: simple console progress bar for Go
 This package provides a simple progress bar for console programs.

Package: golang-gopkg-dancannon-gorethink.v1-dev
Description-md5: 0757f795973a4b28703745986b064c25
Description-en: RethinkDB driver for Go
 RethinkDB >= 2.0 compatible driver. The driver uses a connection
 pool at all times, by default it creates and frees connections
 automatically. It's safe for concurrent use by multiple goroutines.

Package: golang-gopkg-dancannon-gorethink.v2-dev
Description-md5: b9e4d139a638e4e8e68dccce13607172
Description-en: Go driver for RethinkDB
 This package provides native Golang driver for RethinkDB.

Package: golang-gopkg-data-dog-go-sqlmock.v1-dev
Description-md5: 05a5dc74d020631381a9cc7085472613
Description-en: Sql mock driver for golang to test database interactions
 Sqlmock is a mock library implementing sql/driver. Which has one and only
 purpose - to simulate any sql driver behavior in tests, without needing a real
 database connection. It helps to maintain correct TDD workflow.
 .
 This is a dependency package.

Package: golang-gopkg-eapache-channels.v1-dev
Description-md5: eec64fd827e28648fd59f19141b8f4d0
Description-en: collection of helpers around golang's existing channels
 This package contains a collection of helper functions and special
 types for working with and extending Go's existing channels.
 .
 The heart consists of several distinct implementations of the Channel
 interface, including channels backed by special buffers (resizable,
 infinite, ring buffers, etc) and other useful types. A "black hole"
 channel for discarding unwanted values rounds out the set.

Package: golang-gopkg-eapache-go-resiliency.v1-dev
Description-md5: 9f3401e78cf24376b07e164d35657693
Description-en: resiliency patterns for golang
 Resiliency patterns for golang. Based in part on Hystrix, Semian,
 and others. Currently implemented patterns include circuit-breaker,
 semaphore, deadline/timeout, batching and retriable.

Package: golang-gopkg-eapache-queue.v1-dev
Description-md5: 48a323d2158b74fb4cab9fe5305b568c
Description-en: fast golang queue using ring-buffer
 A fast Golang queue using a ring-buffer, based on the version suggested
 by Dariusz Górecki. Using this instead of other, simpler, queue
 implementations (slice+append or linked list) provides substantial memory
 and time benefits, and fewer GC pauses. The queue implemented here is as
 fast as it is in part because it is not thread-safe.

Package: golang-gopkg-editorconfig-editorconfig-core-go.v1-dev
Description-md5: 158e85a09ca92a3df0a39dd57cf9b002
Description-en: EditorConfig file parser and writer in Go
 This package provides a library that implements an Editorconfig file
 parser.
 .
 The EditorConfig project consists of a file format for defining coding
 styles and a collection of text editor plugins that enable editors to
 read the file format and adhere to defined styles.

Package: golang-gopkg-errgo.v1-dev
Description-md5: 13749fc3c5233e099e706aee08242b6b
Description-en: Dependable Go errors with tracebacks, version 1
 The errgo package provides a way to create and diagnose errors. It is
 compatible with the usual Go error idioms but adds a way to wrap errors so
 that they record source location information while retaining a consistent
 way for code to inspect errors to find out particular problems.

Package: golang-gopkg-errgo.v2-dev
Description-md5: ba31d4492d3ffbbf727b01b47c143ff3
Description-en: Dependable Go errors with tracebacks, version 2
 Package errgo provides some primitives for error creation and handling.
 .
 It provides primitives for wrapping and annotating errors
 without exposing implementation details unnecessarily.
 .
 This package provides version 2 of the errgo Go library.

Package: golang-gopkg-fatih-pool.v2-dev
Description-md5: 6178546fd66785607947ec520a77107a
Description-en: thread safe connection pool for net.Conn interface
 Pool is a thread safe connection pool for net.Conn interface. It
 can be used to manage and reuse connections.

Package: golang-gopkg-flosch-pongo2.v3-dev
Description-md5: e769131ccccb8de38b0bcccd61336262
Description-en: Django-syntax like template-engine for Go
 This offers a template renderer compatible with the Django syntax but
 for the Go language.
 .
 pongo2 is the successor of pongo.

Package: golang-gopkg-freddierice-go-losetup.v1-dev
Description-md5: 7133ae98e563bdb303168df344fa6342
Description-en: Golang losetup implementation
 Golang implementation of losetup which is used to associate loop devices with
 regular files or block devices, to detach loop devices and to query the
 status of a loop device.

Package: golang-gopkg-gcfg.v1-dev
Description-md5: 6b3afe8b1176f1f24bb3255c509fc91b
Description-en: read INI-style configuration files into Go structs
 gcfg reads "INI-style" text-based configuration files with "name=value"
 pairs grouped into sections (gcfg files). Gcfg supports user-defined types
 and subsections.

Package: golang-gopkg-go-playground-assert.v1-dev
Description-md5: 6dab061364f53c1539fe3d6f3449411d
Description-en: Basic Assertion Library used along side native go testing
 Package assert is a Basic Assertion library used along side native go
 testing, with building blocks for custom assertions.

Package: golang-gopkg-go-playground-colors.v1-dev
Description-md5: 2003bb6a51af1f74a623dbaf36e18e93
Description-en: color manipulation, conversion and printing library/utility
 This package contains a library to manipulate and convert colors and
 print coloured texts.
 .
 Up to now not all color types such as HSL, HSV and CMYK are already
 supported.

Package: golang-gopkg-go-playground-validator.v8-dev
Description-md5: 194bc753b02f063abae3b35c3c10bb38
Description-en: Go Struct and Field validation (version 8.x)
 Package validator implements value validations for structs and individual
 fields based on tags.
 .
 It has the following unique features:
   * Cross Field and Cross Struct validations by using validation tags or
     custom validators.
   * Slice, Array and Map diving, which allows any or all levels of a
     multidimensional field to be validated.
   * Handles type interface by determining it's underlying type prior to
     validation.
   * Handles custom field types such as sql driver Valuer
   * Alias validation tags, which allows for mapping of several validations
     to a single tag for easier defining of validations on structs
   * Extraction of custom defined Field Name e.g. can specify to extract the
     JSON name while validating and have it available in the resulting
     FieldError

Package: golang-gopkg-gomail.v2-dev
Description-md5: 4a22aef518460193bcc6df3598a6c105
Description-en: simple and efficient package to send emails in Go
 Gomail is a simple and efficient package to send emails though an
 SMTP server. It is able to establish TLS connections and verify
 X.509 certificates and can be configured for local mail delivery.
 .
 Gomail supports:
   * Attachments
   * Embedded images
   * HTML and text templates
   * Automatic encoding of special characters
   * SSL and TLS
   * Sending multiple emails with the same SMTP connection

Package: golang-gopkg-gorethink-gorethink.v3-dev
Description-md5: 645d9616e8f6735b6d69fc65ffd2e631
Description-en: Go language driver for RethinkDB
 This package provides native Golang driver for RethinkDB.

Package: golang-gopkg-guregu-null.v2-dev
Description-md5: c72180dff0adec5a35a081d2eeb24d3d
Description-en: Reasonable handling of nullable SQL and JSON values
 This library contains SQL types that consider zero input and null input
 as separate values, with convenient support for JSON and text
 marshaling in Go.

Package: golang-gopkg-guregu-null.v3-dev
Description-md5: c72180dff0adec5a35a081d2eeb24d3d
Description-en: Reasonable handling of nullable SQL and JSON values
 This library contains SQL types that consider zero input and null input
 as separate values, with convenient support for JSON and text
 marshaling in Go.

Package: golang-gopkg-h2non-filetype.v1-dev
Description-md5: 9fe5d40c7c62f1492f6deea8782635e3
Description-en: infer file types checking the magic numbers signature
 Filetype is a small and dependency free Go package to infer file and
 MIME type checking the magic numbers signature.

Package: golang-gopkg-hlandau-acmeapi.v2-dev
Description-md5: 9c798564718336b98b83498c6fbea1f3
Description-en: ACME v2 (RFC 8555) client library for Go
 This library implements the final version of the ACME specification.
 .
 https://tools.ietf.org/html/rfc8555

Package: golang-gopkg-hlandau-configurable.v1-dev
Description-md5: 683ece18770fd21312ec7afa77895746
Description-en: Go package for managing program configuration
 This package provides an integration nexus for program configuration
 coming from command line arguments, configuration files, environment
 variables, or any other sources.

Package: golang-gopkg-hlandau-easyconfig.v1-dev
Description-md5: 8d359c5d10d9ddeff6f94379695bce61
Description-en: Go package with easy bindings for configurable
 This package provides utilities for use with the configurable package.

Package: golang-gopkg-hlandau-service.v2-dev
Description-md5: 88947abfb18e26e4601cc8977933e9c0
Description-en: Go package for writing services
 This package implements daemonization, PID file creation, privilege
 dropping, chrooting, status notification, and orderly shutdown.

Package: golang-gopkg-hlandau-svcutils.v1-dev
Description-md5: d53495a84df3b501f694af6b10a72243
Description-en: utilities for writing services in Go
 This package provides utilities for chrooting, determining
 the absolute path of an executable, determining user and group
 information, creating and locking PID files, changing UID and GID,
 sending status notifications to systemd, detecting and dropping
 capabilities, and duplicating file descriptors.

Package: golang-gopkg-httprequest.v1-dev
Description-md5: 3803cfd0b5b02741ee1ff1b4c2422833
Description-en: helper types for issuing and handling HTTP requests
 Package httprequest provides functionality for unmarshaling HTTP request
 parameters into a struct type.

Package: golang-gopkg-inconshreveable-log15.v2-dev
Description-md5: 0290b1f3eef13c157d6f51b0e55131b8
Description-en: Powerful, composable logging for Go
 log15 is a multi-platform, flexible logging library with support for
 multiple logging levels and backends.
 .
 The log15 package provides an opinionated, simple toolkit for
 best-practice logging in Go (golang) that is both human and machine
 readable. It is modeled after the Go standard library's io and net/http
 packages and is an alternative to the standard library's log package.

Package: golang-gopkg-inf.v0-dev
Description-md5: 5cb48ea2640eedf4dba5bd67b1cffd76
Description-en: Golang library for "infinite-precision" decimal arithmetic
 Package inf (type inf.Dec) implements "infinite-precision" decimal arithmetic.
 "Infinite precision" describes two characteristics: practically unlimited
 precision for decimal number representation and no support for calculating
 with any specific fixed precision.

Package: golang-gopkg-ini.v1-dev
Description-md5: c4e48c5f07b0c743b4df4db69f8f8e8f
Description-en: INI file read and write functionality in Go
 Golang library providing INI file read and write functionality.

Package: golang-gopkg-jarcoal-httpmock.v1-dev
Description-md5: 38ff04ed5f5d43477023c0072c3f7627
Description-en: HTTP mocking for Golang
 This package provides a Go library that can be used for easily mocking
 HTTP responses from external resources.
 .
 Documentation: https://godoc.org/github.com/jarcoal/httpmock

Package: golang-gopkg-jcmturner-aescts.v1-dev
Description-md5: ab1b702da19d5614d79f5d524167c74a
Description-en: AES CBC Ciphertext Stealing mode for Go
 Golang library to encrypt and decrypt data using AES CBC Ciphertext stealing
 mode.

Package: golang-gopkg-jcmturner-dnsutils.v1-dev
Description-md5: 664158a4e43a23acca8dfa916818cd46
Description-en: Golang library of DNS utilities
 A golang library of DNS utilities, mostly for the purposes of reading
 SRV records

Package: golang-gopkg-jcmturner-goidentity.v2-dev
Description-md5: a7c85a9f0be3ccd73c87246279fb5708
Description-en: Golang library for managing identities
 Standard interface for holding authenticated identities and
 their attributes.

Package: golang-gopkg-jcmturner-rpc.v0-dev
Description-md5: 259d329c4edae1f444a05dcab120d5a7
Description-en: Remote Procedure Call libraries for golang
 This project relates to CDE 1.1: Remote Procedure Call
 (http://pubs.opengroup.org/onlinepubs/9629399/)
 .
 It is a partial implementation that mainly focuses on unmarshaling NDR
 encoded byte streams into Go structures.

Package: golang-gopkg-ldap.v3-dev
Description-md5: 9129a23a0f41bb7eb82720252a5c7530
Description-en: basic LDAP v3 functionality for the GO programming language
 This package contains some LDAP v3 functionality. Its features are:
    - Connecting to LDAP server (non-TLS, TLS, STARTTLS)
    - Binding to LDAP server
    - Searching for entries
    - Filter Compile / Decompile
    - Paging Search Results
    - Modify Requests / Responses
    - Add Requests / Responses
    - Delete Requests / Responses
    - Modify DN Requests / Responses

Package: golang-gopkg-libgit2-git2go.v28-dev
Description-md5: 1b712c1710cced86027980414f14b4c8
Description-en: Go bindings for libgit2
 libgit2 is a portable, pure C implementation of the Git distributed version
 control system core methods provided as a re-entrant link-able library with a
 solid API.
 .
 This package provides the bindings of libgit2 for the Go programming language.

Package: golang-gopkg-lxc-go-lxc.v2-dev
Description-md5: 23ecbb941cbca71d0415c1c287752df8
Description-en: Go bindings for liblxc
 This package contains the source tree of the go-lxc project
 .
 go-lxc implements Go bindings for the LXC C API using cgo and the LXC C
 library (liblxc).

Package: golang-gopkg-macaron.v1-dev
Description-md5: 59a352451377d644313525a300e2a7a5
Description-en: modular web framework in Go
 Macaron is a high productive and modular web framework in Go.

Package: golang-gopkg-macaroon.v1-dev
Description-md5: 3ecb7499500b19d61ae09d69ba4a6074
Description-en: Macaroon implementation in go
 The macaroon package implements macaroons in go

Package: golang-gopkg-mcuadros-go-syslog.v2-dev
Description-md5: e5896196d057fabb5f5d52eee703e7d1
Description-en: syslog server library for Golang
 Syslog server library for go to build custom syslog server over UDP, TCP
 or Unix sockets using RFC3164, RFC6587 or RFC5424.

Package: golang-gopkg-mgo.v2-dev
Description-md5: b1f67a470d275681a2fcea0689870e70
Description-en: Go library for MongoDB
 mgo (pronounced as mango) is a MongoDB driver for the Go language
 that implements a rich and well tested selection of features under
 a very simple API following standard Go idioms.
 .
 This package contains the source.

Package: golang-gopkg-natefinch-lumberjack.v2-dev
Description-md5: a2889b604cb7e55f75316ebcc63ed715
Description-en: Go package for writing logs to rolling files
 Lumberjack is intended to be one part of a logging infrastructure.
 It is not an all-in-one solution, but instead is a pluggable component
 at the bottom of the logging stack that simply controls the files
 to which logs are written.
 .
 Lumberjack plays well with any logging package that can write to
 an io.Writer, including the standard library's log package.
 .
 Lumberjack assumes that only one process is writing to the output
 files. Using the same lumberjack configuration from multiple processes
 on the same machine will result in improper behavior.
 .
 This is version v2 of the lumberjack package.

Package: golang-gopkg-neurosnap-sentences.v1-dev
Description-md5: 4ec0bf9e79be0d9625f71e4f3b102dd5
Description-en: Sentence tokenizer for Go
 A golang package that converts a blob of text into a list of sentences.
 .
 This package attempts to support a multitude of languages:
 Czech, Danish, Dutch, English, Estonian, Finnish,
 French, German, Greek, Italian, Norwegian, Polish,
 Portuguese, Slovene, Spanish, Swedish, and Turkish.
 .
 An unsupervised multilingual sentence boundary detection library for golang.
 The goal of this library is to be able to break up any text into a list of
 sentences in multiple languages.  The way the punkt system accomplishes this
 goal is through training the tokenizer with text in that given language.
 Once the likelihoods of abbreviations, collocations, and sentence starters
 are determined, finding sentence boundaries becomes easier.
 .
 There are many problems that arise when tokenizing text into sentences,
 the primary issue being abbreviations.  The punkt system attempts to
 determine whether a word is an abbreviation, an end to a sentence, or even
 both through training the system with text in the given language.
 The punkt system incorporates both token- and type-based analysis on the
 text through two different phases of annotation.
 .
 Original research article:
 http://citeseerx.ist.psu.edu/viewdoc/download;jsessionid=BAE5C34E5C3B9DC60DFC4D93B85D8BB1?doi=10.1.1.85.5017&rep=rep1&type=pdf

Package: golang-gopkg-olivere-elastic.v2-dev
Description-md5: 4b7b0ca0c694d05d46d51c176a8276db
Description-en: Elasticsearch client for Golang
 Provides an interface to the Elasticsearch server
 (http://www.elasticsearch.org/). It can manage full text indices, index
 documents, and search them.

Package: golang-gopkg-olivere-elastic.v3-dev
Description-md5: 33388a31d89b9b6abade736df0617412
Description-en: Elasticsearch client for Golang
 Provides an interface to the Elasticsearch server
 (http://www.elasticsearch.org/). It can manage full text indices, index
 documents, and search them.
 .
 This package contains v3 of the upstream client API.

Package: golang-gopkg-olivere-elastic.v5-dev
Description-md5: afb6b279ab6a28417dfd3da2231336d1
Description-en: Elasticsearch client for Golang
 Provides an interface to the Elasticsearch server
 (http://www.elasticsearch.org/). It can manage full text indices, index
 documents, and search them.
 .
 This package contains v5 of the upstream client API.

Package: golang-gopkg-pg.v5-dev
Description-md5: 010857d965acef0a3fcb4dda67636101
Description-en: PostgreSQL client and ORM for Golang
 Go-pg is a convenient PostgreSQL client and ORM for Golang with the
 following features:
 .
  -  Basic types: integers, floats, string, bool, time.Time.
                  sql.NullBool, sql.NullString, sql.NullInt64,
                  sql.NullFloat64 and pg.NullTime
  -  sql.Scanner and sql/driver.Valuer interfaces
  -  Structs, maps and arrays are marshalled as JSON by default
  -  PostgreSQL multidimensional Arrays using array tag and Array wrapper
  -  Hstore using hstore tag and Hstore wrapper
  -  All struct fields are nullable by default and zero values (empty string,
     0, zero time) are marshalled as SQL NULL. ``sql:",notnull"` is used to
     reverse this behaviour
  -  Transactions
  -  Prepared statements
  -  Notifications using LISTEN and NOTIFY
  -  Copying data using COPY FROM and COPY TO
  -  Timeouts
  -  Automatic connection pooling
  -  Queries retries on network errors
  -  Working with models using ORM and SQL
  -  Scanning variables using ORM and SQL
  -  SelectOrInsert using on-conflict
  -  INSERT ... ON CONFLICT DO UPDATE using ORM
  -  Common table expressions using WITH and WrapWith
  -  CountEstimate using EXPLAIN to get estimated number of matching rows
  -  HasOne, BelongsTo, HasMany and ManyToMany-
  -  Creating tables from structs
  -  Migrations
  -  Sharding

Package: golang-gopkg-readline.v1-dev
Description-md5: 31bb01d9c18b3e4ce9297edcfb8fed51
Description-en: Pure Go implementation for GNU Readline-like library
 This package provides a powerful GNU Readline-like library for Go. Provides
 a set of functions for use by applications that interacts with the user on
 the terminal.

Package: golang-gopkg-redis.v2-dev
Description-md5: d07202842cc0df223cd6ca7a045678b9
Description-en: Redis client for Golang
 This package provides Redis client for Golang.

Package: golang-gopkg-retry.v1-dev
Description-md5: e3a936f17d00fe40766c39de79b6c453
Description-en: Simple retry mechanism for Go
 Package retry provides a framework for retrying actions. It does not
 itself invoke the action to be retried, but is intended to be used in
 a retry loop.

Package: golang-gopkg-sourcemap.v1-dev
Description-md5: 14eed97c8355bbfc35adfe17dd295c59
Description-en: Source Maps consumer for Golang
 This package provides the source map consumer functions for Golang.
 You need to provide the sourcemapURL in your program, and afterwards you
 can use your functions along with methods such as File, Source, SourceContent,
 SourceMapURL. Refer https://godoc.org/github.com/go-sourcemap/sourcemap for
 usage documentation.

Package: golang-gopkg-square-go-jose.v1-dev
Description-md5: 7374ac91103e8756d46f99f52ee6fb1d
Description-en: Javascript Object Signing and Encryption (JOSE) for Go
 This package provides an implementation of the Javascript Object
 Signing and Encryption set of standards. The implementation follows
 the JSON Web Encryption standard (RFC 7516) and JSON Web Signature
 standard (RFC 7515). The package supports both the compact and full
 serialization formats, and optionally supports multiple recipients.

Package: golang-gopkg-square-go-jose.v2-dev
Description-md5: 46e7f2a1df1f7f82f26bd5d4aa5c866b
Description-en: implementation of JOSE standards (JWE, JWS, JWT) in Go
 Package jose aims to provide an implementation of the Javascript Object
 Signing and Encryption set of standards. This includes support for JSON
 Web Encryption, JSON Web Signature, and JSON Web Token standards.

Package: golang-gopkg-src-d-go-billy.v4-dev
Description-md5: 41df60a42be7339cbab8b2457be8e09b
Description-en: Missing interface filesystem abstraction for Go
 Billy implements an interface based on the os standard library,
 allowing to develop applications without dependency on
 the underlying storage.
 Makes it virtually free to implement mocks and testing over
 filesystem operations.

Package: golang-gopkg-src-d-go-git.v4-dev
Description-md5: 8f89f21931040ebeee61ca1ba05d0a0f
Description-en: highly extensible Git implementation in pure Go
 This package provides a highly extensible git implementation library
 written in pure Go.
 .
 The library does:
  * can be used to manipulate git repositories at low level (plumbing)
    or high level (porcelain), through an idiomatic Go API.
  * supports several types of storage, such as in-memory filesystems, or
    custom implementations using the 'Storer' interface.
  * aims to be fully compatible with git, all the porcelain operations
    are implemented to work exactly as git does.

Package: golang-gopkg-stretchr-testify.v1-dev
Description-md5: 58622f6c1be07aaabc301aa3c7e6b8b8
Description-en: toolkit with common assertions and mocks for the standard library
 Go code set of packages that provide many tools for testifying that
 your code will behave as you intend.
 .
 Features include:
    - Easy assertions
    - Mocking
    - Testing suite interfaces and functions

Package: golang-gopkg-testfixtures.v2-dev
Description-md5: 7bbf4e9e030d6ed7d8cf0d9f55572237
Description-en: Rails-like test fixtures for Go
 This Go library implements methods for writing tests against real
 databases instead of mocks. This library aims to make running tests
 against production data easy.
 .
 Compatible Databases:
   - PostgreSQL
   - MySQL / MariaDB
   - SQLite
   - Microsoft SQL Server
   - Oracle

Package: golang-gopkg-tomb.v1-dev
Description-md5: ef426313d2fac7b5313e667a9621c655
Description-en: API to track the lifecyle of Golang goroutines, and provide clean termination
 Conventional API for clean goroutine termination. A Tomb tracks the
 lifecycle of a goroutine as alive, dying or dead, and the reason for
 its death.

Package: golang-gopkg-tomb.v2-dev
Description-md5: ef426313d2fac7b5313e667a9621c655
Description-en: API to track the lifecyle of Golang goroutines, and provide clean termination
 Conventional API for clean goroutine termination. A Tomb tracks the
 lifecycle of a goroutine as alive, dying or dead, and the reason for
 its death.

Package: golang-gopkg-tylerb-graceful.v1-dev
Description-md5: 05d65db03b107b4a454b5cbd3a428887
Description-en: Go package for gracefully shutting down HTTP server
 This package enables graceful shutdown of a http.Handler server.
 When the process receives a SIGINT or SIGTERM, the listening
 port is closed immediately for reuse by another process, while
 active connections are gracefully terminated after a timeout.

Package: golang-gopkg-validator.v2-dev
Description-md5: fb914f5ca5e7d3d608a091f4cec79e0e
Description-en: Go package for validator library
 Package validator implements value validations based on struct tags.

Package: golang-gopkg-vmihailenco-msgpack.v2-dev
Description-md5: 2ba4605c2a25dafdd6e16d76259ebb8c
Description-en: Msgpack encoding for Golang
 MessagePack is an efficient binary serialization format. It lets you
 exchange data among multiple languages like JSON. But it's faster and
 smaller. Small integers are encoded into a single byte, and typical short
 strings require only one extra byte in addition to the strings themselves.

Package: golang-gopkg-warnings.v0-dev
Description-md5: 547156e9de3a5509b4490721c7fad459
Description-en: implements error handling with non-fatal errors (warnings)
 Package warnings implements error handling with non-fatal errors (warnings).

Package: golang-gopkg-xmlpath.v2-dev
Description-md5: 5199ca61868c4e106b8454e7018d5a17
Description-en: strict subset of the XPath specification for the Go language
 Package xmlpath implements a strict subset of the XPath specification
 for the Go language. At the moment, xmlpath is compatible with the
 XPath specification to the following extent:
  - All axes are supported ("child", "following-sibling", etc)
  - All abbreviated forms are supported (".", "//", etc)
  - All node types except for namespace are supported
  - Predicates may be [N], [path], [not(path)], [path=literal]
    or [contains(path, literal)]
  - Predicates may be joined with "or", "and", and parenthesis
  - Richer expressions and namespaces are not supported

Package: golang-gopkg-yaml.v2-dev
Description-md5: 6e824756f0591b8ea6b6af832141a3c8
Description-en: YAML support for the Go language
 The yaml package enables Go programs to very comfortably encode and
 decode YAML values. It was developed within Canonical as part of the
 juju project, and is based on a pure Go port of the well-known libyaml
 C library to parse and generate YAML data quickly and reliably.
 .
 The yaml package supports most of YAML 1.1 and 1.2, including support for
 anchors, tags, map merging, etc. Multi-document unmarshalling is not yet
 implemented, and base-60 floats from YAML 1.1 are purposefully not supported
 since they're a poor design and are gone in YAML 1.2.
 .
 This package is an incompatible successor to golang-goyaml
 ("gonuts.org/v1/yaml", and then renamed to "gopkg.in/yaml.v1").

Package: golang-goprotobuf-dev
Description-md5: 673b4b9609f3417dada98d9abea5c961
Description-en: Protocol buffer support for the Go programming language
 Adds support for serializing and deserializing data in the protocol buffer
 format for users of the Go language. This will also install a protobuf
 compiler filter which will allow you to compile protocol buffers to Go
 code using protoc from the protobuf-compiler package.

Package: golang-goptlib-dev
Description-md5: 1938d0d49eb18d59376894237590e1c0
Description-en: library for Tor pluggable transports written in Go
 goptlib is a little Go library which understands the Tor pluggable
 transport managed-proxy protocol. It is useful for developers writing
 Tor pluggable transports in Go.

Package: golang-gosqlite-dev
Description-md5: 53037aad9f848cd08a2f176e3c09e2f0
Description-en: Trivial sqlite3 binding for Go (development files)
 Sqlite / Sqlite 3 binding for Go.
 Access to sqlite and sqlite3 databases.
 .
 This package contains the source.

Package: golang-grpc-gateway
Description-md5: e39d8a18843bdc68c924dd08b9447507
Description-en: gRPC to JSON proxy generator for Golang -- binaries
 Grpc-gateway is a protoc plugin that reads gRPC service definitions
 and generates a reverse-proxy server which translates a RESTful JSON
 API into gRPC. The server is generated according to custom options in
 your gRPC definition and helps you to provide your APIs in both gRPC
 and RESTful style at the same time.
 .
 This package contains the generator binaries.

Package: golang-guestfs-dev
Description-md5: 81d5f68a5cacd378d31ecfde67a47153
Description-en: guest disk image management system - Golang bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains source for the Golang bindings.

Package: golang-honnef-go-augeas-dev
Description-md5: 44117acf4efe09afa2cae34221fbdc22
Description-en: Go bindings for Augeas
 This package provides Go bindings for the Augeas configuration editing tool

Package: golang-k8s-sigs-yaml-dev
Description-md5: efa7e0fd08daeea51f457bcfb69505bf
Description-en: better way to marshal and unmarshal YAML in Golang (library)
 YAML marshaling and unmarshaling support for Go Build Status
 .
 kubernetes-sigs/yaml is a permanent fork of ghodss/yaml
 (https://github.com/ghodss/yaml). Introduction A wrapper around go-yaml
 (https://github.com/go-yaml/yaml) designed to enable a better way of
 handling YAML when marshaling to and from structs.

Package: golang-layeh-gopher-luar-dev
Description-md5: 709f97b550c5ba731bbc9ddb4a417c8c
Description-en: Simplifies data passing between Go and GopherLua
 A gopher-luar simplifies data passing to and from GopherLua.
 .
 This package provides the library for converting and passing the data
 types between Go and GopherLua.
 .
 Go type values are converted to GopherLua type:
  * Boolean values are converted to lua.LBool.
  * Numeric values are converted to lua.LNumber.
  * String values are converted to lua.LString.
  * A nil value is converted to lua.LNil.
  * Functions are converted to *lua.LFunction.
  * Arrays, channels, maps, pointers, slices, and structs are all
    converted to *lua.LUserData.
 .
 GopherLua type values are converted to Go type:
  * lua.LBool values are converted to bool.
  * lua.LNumber values are converted to float64.
  * lua.LString values are converted to string.
  * lua.LNil can be converted to any channel, func, interface, map,
    pointer, slice, unsafe pointer, or uintptr value.

Package: golang-libgeoip-dev
Description-md5: 65ba3905eae4e8ce6a157b2cbf3e5fad
Description-en: Go implementation for lookups against GeoIP files
 This is the Go implementation of the Maxmind GeoIP API. It is incomplete and
 work in progress the initial goal is support only two of the database types –
 the City Lite and Country Lite. The only supported method is loading the full
 db on startup into memory (memory cache).
 .
 At this point, only IPv4 lookups are supported.

Package: golang-logrus-dev
Description-md5: eacd91c86f8435d3c9a6438333f00e2e
Description-en: Transitional package for golang-github-sirupsen-logrus-dev
 This is a transitional package to ease upgrades to the
 golang-github-sirupsen-logrus-dev package. It can be safely removed.

Package: golang-metrics-dev
Description-md5: 1a75a044b402426a7ebfc737fce1d1d1
Description-en: Go package to capture application-level metrics
 This package is a Go port of Coda Hale's Metrics library for Java. It
 allows one to easily collect metrics from an application written in
 Go in an unobtrusive way. Metrics can then be exported to syslog,
 files or to a storage system like Graphite.

Package: golang-mode
Description-md5: edaee082868682f47479e03fcfd7407b
Description-en: Emacs mode for editing Go code -- transitional package
 This is a transitional package for elpa-go-mode and can be safely
 removed after installation.

Package: golang-mreiferson-httpclient-dev
Description-md5: f5cb2c1b4d3e980493c821ac2f90602e
Description-en: Transitional package for golang-github-mreiferson-go-httpclient-dev
 This is a transitional package to ease upgrades to the
 golang-github-mreiferson-go-httpclient-dev package. It can safely be removed.

Package: golang-nzaat-dev
Description-md5: 066d144f731bef0df882353c976035cf
Description-en: Go implementation of the NZAAT hash algorithm
 Go implementation of the hash algorithm "NUL zaehlen an allen Teilen"
 based on the One At A Time hash (OAAT) by Bob Jenkins. This is not a
 cryptographic hash, but it satisfies the perfect hash criteria well
 enough to be usable as a hash table hash or similar.

Package: golang-objx-dev
Description-md5: 3b5d326da56613376b48b98f2c4f386b
Description-en: Transitional package for golang-github-stretchr-objx-dev
 This is a transitional package to ease upgrades to the
 golang-github-stretchr-objx-dev package. It can safely be removed.

Package: golang-openldap-dev
Description-md5: 47aff8fd142b054bdb1d3910eee55572
Description-en: OpenLDAP client integration for Go, using cgo
 LDAP client library based on the official C client library from the
 OpenLDAP team. This is basically just a thin wrapper allowing to call
 the C API from Go.

Package: golang-pault-go-archive-dev
Description-md5: 56fa929ea766cf20b4afce84657198fa
Description-en: bindings to work with a Debian archive
 pault.ag/go/archive is a set of non-production test bindings to work with a
 Debian archive

Package: golang-pault-go-blobstore-dev
Description-md5: 9881677cea077d81c8cf0e8d31eaa59e
Description-en: de-duplicating storage abstraction
 pault.ag/go/blobstore is a de-duplicating storage abstraction, useful for
 example for building Debian repositories with pault.ag/go/archive.

Package: golang-pault-go-config-dev
Description-md5: b9aaea67292c004199fdfd008830c872
Description-en: Go package for using structs for configuration/flags
 This package allows you define structs which both define the configuration file
 format, and command line flags.

Package: golang-pault-go-debian-dev
Description-md5: eb72ef91ca75a445dca8328b7e7b3f7e
Description-en: library to parse and handle common Debian file formats
 This module contains a bunch of helpers to write programs that interact
 with common Debian file formats - currently centered around Debian Development
 tools.
 .
 This module currently contains support for:
 .
   - Dependencies
   - Versions
   - Control files

Package: golang-pault-go-gecos-dev
Description-md5: 16b14827ea018d7446d9e0262bfdf1e5
Description-en: read GECOS entries from /etc/passwd programmatically
 GECOS entries contain the Linux-specific entries for a user's
 Name, Room, OfficePhone, HomePhone, and Other.

Package: golang-pault-go-macchanger-dev
Description-md5: e4e9842586df71d43e0b2e7d47474cda
Description-en: library to change MAC addresses on Linux systems
 macchanger is a cgo interface to the Linux kernel specific mechanism to
 change the mac address using an ioctl call.

Package: golang-pault-go-technicolor-dev
Description-md5: f45e7cb5bebab8ca3c781543b210e3a2
Description-en: implements a high level interface to write ANSI color sequences
 This library contains an io.Writer wrapper that allows the user to write
 ANSI color sequences, including xterm 256 color sequences to the output
 writer.

Package: golang-pault-go-topsort-dev
Description-md5: b518f385d618780d610b018ba12aa43c
Description-en: library to topologically sort a DAG
 This package containers a few helper routines to help with sorting a DAG
 (directed acyclic graphs) such that nodes with no inbound edges will be
 returned first.
 .
 This is particularly useful for things like ordering tasks, and handling
 dependencies.

Package: golang-pault-go-ykpiv-dev
Description-md5: d554fe4f59b67d6098bff07ae70226f6
Description-en: high level cgo wrapper around libykpiv.so.1
 go-ykpiv implements an idiomatic go API fit for use when applications need to
 communicate with a Yubikey in PIV mode.
 .
 Most PIV tokens, Yubikeys included, can be used as a PKCS#11 device using
 OpenSC, and Yubikeys are even capable of doing Signing and Decryption through
 that interface. However, some management functions are not exposed in the
 PKCS#11 OpenSC interface, so this library may be of use when one wants to write
 a new Certificate, or set PINs.

Package: golang-petname
Description-md5: 4c5f71f683e9e0bff998afd5cc9f678b
Description-en: generate pronouncable, perhaps even memorable, pet names
 This utility will generate "pet names", consisting of a random
 combination of an adverb, adjective, and proper name.  These are
 useful for unique hostnames, for instance.
 The default packaging contains about 2000 names, 1300 adjectives,
 and 4000 adverbs, yielding nearly 10 billion unique combinations,
 covering over 32 bits of unique namespace.
 As such, PetName tries to follow the tenets of Zooko's triangle:
 names are human meaningful, decentralized, and secure.

Package: golang-petname-dev
Description-md5: f5af73b60d4ec26c5c835719bcece7a0
Description-en: golang library for generating pronouncable, memorable, pet names
 This package provides a library for generating "pet names", consisting
 of a random combination of an adverb, adjective, and proper name.
 These are useful for unique hostnames, for instance.
 The default packaging contains about 2000 names, 1300 adjectives,
 and 4000 adverbs, yielding nearly 10 billion unique combinations,
 covering over 32 bits of unique namespace.
 As such, PetName tries to follow the tenets of Zooko's triangle:
 names are human meaningful, decentralized, and secure.

Package: golang-pq-dev
Description-md5: a77c5f083ebecbd9669491b4b063d2c9
Description-en: Transitional package for golang-github-lib-pq-dev
 This is a transitional package to ease upgrades to the golang-github-lib-pq-dev
 package. It can safely be removed.

Package: golang-pretty-dev
Description-md5: 6d8ae27f0bd1612a2b9c2db27022b2ea
Description-en: Transitional package for golang-github-kr-pretty-dev
 This is a transitional package to ease upgrades to the
 golang-github-kr-pretty-dev package. It can safely be removed.

Package: golang-procfs-dev
Description-md5: 262730142b283445cb9ca5615c209868
Description-en: Golang library to retrieve metrics from the proc pseudo-filesystem
 Procfs provides functions to retrieve system, kernel and process metrics from
 the proc pseudo-filesystem.

Package: golang-prometheus-client-dev
Description-md5: 5a400db69044a72d4aebc6af99bb2e36
Description-en: Transitional package for golang-github-prometheus-client-golang-dev
 This is a transitional package to ease upgrades to the
 golang-github-prometheus-client-golang-dev package. It can safely be removed.

Package: golang-protobuf-extensions-dev
Description-md5: 2c83cfad23fc584233c25b92a3ac80b8
Description-en: Protocol Buffer extensions for the Go language
 This repository provides various Protocol Buffer extensions for the Go
 language (golang), namely support for record length-delimited message
 streaming.

Package: golang-redoctober
Description-md5: a6ea28e14d93b9fa12983fef2b063dea
Description-en: Software-based two-man rule style encryption and decryption server
 Red October is a software-based two-man rule style encryption and
 decryption server.  The two-man rule is a control mechanism designed
 to achieve a high level of security by requiring the presence of two
 authorized people at all times. In the case of Red October the
 two-man rule is implemented by encrypting data in such as way as to
 require two authorised key-holds to decrypt it.
 .
 This package contains the server and command-line client.

Package: golang-rice
Description-md5: b2bc7b3e5fcab10acae31fbc3dba1aac
Description-en: tool for embedding web resources into Go executables
 go.rice is a Golang package that makes working with resources such as
 html, js, css, images and templates very easy. During development
 go.rice will load required files directly from disk. Upon deployment
 it is easy to add all resource files to a executable using the rice
 tool, without changing the source code for your package. Several
 methods are provided for adding resources to your binary by go.rice.
 .
 This package contains the command-line tool.

Package: golang-robustirc-bridge-dev
Description-md5: 1e393c9616469df26553ce7979ee550f
Description-en: Go packages to connect to RobustIRC
 These Go packages can be used to write software that directly talks to a
 RobustIRC network without using a bridge. This code is also used by the
 robustirc-bridge, so it is reliable and comes with a stable API.

Package: golang-rsc-qr-dev
Description-md5: f7335ca5f0f932d04193b9737a9247dc
Description-en: Basic QR encoder
 Package rsc.io/qr is a basic QR encoder.

Package: golang-siphash-dev
Description-md5: b37bc4bf2ee75d7a585a8e61fce0992d
Description-en: Go implementation of SipHash-2-4
 SipHash-2-4 is a fast short-input pseudorandom function (a.k.a. keyed hash
 functions) optimized for speed on short messages.
 .
 This package contains the source of a Go implementation.

Package: golang-snappy-go-dev
Description-md5: 5a142c10e96ab491568e49c601433ce2
Description-en: Transitional package for golang-github-golang-snappy-dev
 This is a transitional package to ease upgrades to the
 golang-github-golang-snappy-dev package. It can safely be removed.

Package: golang-sorcix-irc-dev
Description-md5: 8f2607289eb57e62e36eecb1491d8b6a
Description-en: generic support for the IRC protocol in Go
 Package irc allows your application to speak the IRC protocol.
 .
  * Limited scope, does one thing and does it well.
  * Focus on simplicity and speed.
  * Stable API: updates shouldn't break existing software.
  * Well documented code.
 .
 This package does not manage your entire IRC connection. It only translates
 the protocol to easy to use Go types. It is meant as a single component in a
 larger IRC library, or for basic IRC bots for which a large IRC package would
 be overkill.

Package: golang-speter-go-exp-math-dec-inf-dev
Description-md5: 509d2a1c22568c0eb6bdfed6f1307f9c
Description-en: "infinite-precision" decimal arithmetic in Golang
 This library implements "infinite-precision" decimal arithmetic. "Infinite
 precision" describes two characteristics: practically unlimited precision
 for decimal number representation and no support for calculating with any
 specific fixed precision.

Package: golang-statik
Description-md5: 41bb7f2b71481494f6337314ed9f0f55
Description-en: embed static files into a Go executable
 statik allows you to embed a directory of static files
 into your Go binary to be later served from an http.FileSystem.

Package: golang-strk.kbt-projects-go-libravatar-dev
Description-md5: d7b9913166c6cfaa690c3e6cf807c25e
Description-en: Simple Go library for serving federated avatars
 This package provides a simple Go library for looking up and serving
 federated avatars hosted on the libravatar service.
 .
 See: https://wiki.libravatar.org/api/ || https://wiki.libravatar.org/

Package: golang-text-dev
Description-md5: d154868ebe98a0d6d985b86a0d6ce5f2
Description-en: Transitional package for golang-github-kr-text-dev
 This is a transitional package to ease upgrades to the
 golang-github-kr-text-dev package. It can safely be removed.

Package: golang-thrift-dev
Description-md5: 951428e6c5b91951ad71256a811c2934
Description-en: Go language support for Thrift
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization. It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package provides the Go language support for Thrift.

Package: golang-toml-dev
Description-md5: 3ec5df8f5c7714387ccc26dd6541e297
Description-en: Transitional package for golang-github-burntsushi-toml-dev
 This is a transitional package to ease upgrade to the
 golang-github-burntsushi-toml-dev package. It can safely be removed.

Package: golang-vhost-dev
Description-md5: 1374e9d41d5e3b89074ae5ada0cb9c3b
Description-en: Go library to implement virtual hosting for different protocols
 This is a simple library that lets you implement virtual hosting
 functionality for different protocols (HTTP and TLS so far). go-vhost
 has a high-level and a low-level interface. The high-level interface
 lets you wrap existing net.Listeners with "muxer" objects. The
 lower-level interface returns an object implementing net.Conn which
 works as if no bytes had been consumed.

Package: golang-websocket-dev
Description-md5: 41337720adc013e4a353f02e2fff0017
Description-en: Transitional package for golang-github-gorilla-websocket-dev
 This is a transitional package to ease upgrades to the
 golang-github-gorilla-websocket-dev package.
 It can safely be removed.

Package: golang-x-text-dev
Description-md5: b5329026b7ea6fb8504d8bc7bee08454
Description-en: Transitional package for golang-golang-x-text-dev
 This is a transitional package to ease upgrades to the
 golang-golang-x-text-dev package. It can safely be removed.

Package: golang-xi2-x-xz-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: golang-yaml.v2-dev
Description-md5: 134342a0f2b2ca116390de98999a5c8c
Description-en: Transitional package for golang-gopkg-yaml.v2-dev
 This is a transitional package to ease upgrades to the
 golang-gopkg-yaml.v2-dev package. It can safely be removed.

Package: goldencheetah
Description-md5: c4fc423aeac28e64dace99a7b6472f80
Description-en: set of analysis tools for cycling performance
 GoldenCheetah is a software package that:
  * Provides a rich set of analysis tools, including a critical power graph,
   BikeScore calculation, histogram analysis, a best interval finder, and
   a pedal force versus pedal velocity chart, to name just a few.
  * Downloads ride data directly from supported devices.
  * Imports ride data downloaded with other programs, including
   TrainingPeaks WKO+ and the manufacturers' software for the Ergomo,
   Garmin, Polar, PowerTap, and SRM devices.

Package: goldendict
Description-md5: a3db47f95a46ce347f6266cf13d9b48c
Description-en: feature-rich dictionary lookup program
 Features:
  * Use of WebKit for an accurate articles' representation,
    complete with all formatting, colors, images and links.
  * Support of multiple dictionary file formats, namely:
    - Babylon .BGL files, complete with images and resources
    - StarDict .ifo/.dict./.idx/.syn dictionaries
    - Dictd .index/.dict(.dz) dictionary files
    - ABBYY Lingvo .dsl source files, together with abbreviations.
      The files can be optionally compressed with dictzip. Dictionary
      resources can be packed together into a .zip file.
    - ABBYY Lingvo .lsa/.dat audio archives. Those can be indexed
       separately, or be referred to from .dsl files.
  * Support for Wikipedia, Wiktionary, or any other MediaWiki-based
    sites to perform lookups in.
  * Ability to use arbitrary websites as dictionaries via templated
     Url patterns.
  * Hunspell-based morphology system, used for word stemming and
    spelling suggestions.
  * Ability to index arbitrary directories with audio files for
     pronunciation lookups.
  * Full Unicode case, diacritics, punctuation and whitespace folding.
    This means the ability to type in words without any accents,
    correct case, punctuation or spaces (e.g. typing 'Grussen' would
    yield 'grüßen' in German dictionaries).
  * Scan popup functionality. A small window pops up with the
    translation of a word chosen from another application.
  * Support for global hotkeys. You can spawn the program window at
    any point, or directly translate a word from the clipboard.
  * Tabbed browsing in a modern Qt 5 interface.

Package: goldendict-wordnet
Description-md5: a960f41576a465e043851fa792893ee6
Description-en: electronic lexical database of English language (goldendict)
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory at Princeton
 University under the direction of Professor George A. Miller (Principal
 Investigator).
 .
 This package contains an adaptation wordnet database for such dictionaries
 as goldendict.

Package: goldeneye
Description-md5: ea8dc05e1368c831a25e88417c5994c8
Description-en: HTTP DoS test tool
 GoldenEye is a HTTP DoS Test Tool. This tool can be used to test if
 a site is susceptible to Deny of Service (DoS) attacks. Is possible
 to open several parallel connections against a URL to check if the
 web server can be compromised.
 .
 The program tests the security in networks and uses 'HTTP Keep Alive
 + NoCache' as attack vector.
 .
 This package is useful for pentesters.

Package: golint
Description-md5: d09b18cf80d4e2b7164efbc144ed3a21
Description-en: Linter for Go source code
 Golint differs from gofmt. Gofmt reformats Go source code, whereas golint
 prints out style mistakes.
 .
 Golint differs from govet. Govet is concerned with correctness, whereas golint
 is concerned with coding style. Golint is in use at Google, and it seeks to
 match the accepted style of the open source Go project.

Package: golly
Description-md5: c2db145560c729ff258681a7c7ad54e2
Description-en: Game of Life simulator using hashlife algorithm
 Golly simulates Conway's Game of Life with an arbitrarily large grid
 of cells.  It can optionally use a hashlife algorithm, which allows
 it to rapidly compute generations for huge patterns, and to compute
 many generations into the future at a time.
 .
 Golly provides a graphical interface for viewing and editing cellular
 automata.  It supports copy and paste, zoom, auto-fit, multiple
 layers, and viewing different areas of a pattern simultaneously in
 different areas of a window.
 .
 Golly can load patterns from RLE, Life 1.05/1.06, dblife, and
 macrocell file formats; it can also interpret images as Life
 patterns.  Golly provides integrated help, including a copy of the
 Life Lexicon.
 .
 Golly also supports other rules for 2D cellular automata with an
 8-cell neighborhood, and supports 1D cellular automata.

Package: gom
Description-md5: 74d50365b57befd4544fd8350d1b8afa
Description-en: Command line and interactive ncurses-based OSS audio mixer
 gom is a command line mixer utility with optional built-in ncurses
 interactive interface.
 .
 Its main feature is an exhaustive command line interface that makes
 it ideal for scripting (e.g. for audio recording, running at user
 and/or system login time, setting/restoring of settings, etc).
 .
 It supports OSS only, so if you want to use it with ALSA, you will
 need its OSS-compatible modules. If you want to use all possible
 features of ALSA however, you would need to use a mixer program
 dedicated for ALSA.

Package: gomoku.app
Description-md5: 7c09ec7f98083d1c596a01109f79cc70
Description-en: Extended TicTacToe game for GNUstep
 Gomoku is an extended TicTacToe game for GNUstep. You win
 the game if you are able to put 5 of your pieces in a row, column,
 or diagonal. You lose if the computer does so before you. You can
 play the game on boards of different size. The game has 6 different
 difficulty levels.

Package: goo
Description-md5: e2eacda68c7872761c81cf4f8d6babf7
Description-en: generic object-orientator (programming language)
 GOO is a dynamic, type-based, object-oriented language in the same family
 as Dylan and Scheme.  It is designed to be simple, productive, powerful,
 extensible, dynamic, efficient, and real-time.
 .
 Its main goal is to offer the best of both scripting and delivery
 languages, while at the same time incorporating an extreme back-to-basics
 philosophy.
 .
 This package contains the GOO interpreter and compiler, and
 documentation for the language and these programs.

Package: goobook
Description-md5: c5d3dba9c6c356342fe933a79c4868c3
Description-en: command-line interface to Google contacts
 GooBook is a command-line interface to Google contacts which supports:
  * Searching contacts
  * Adding new contacts
  * Mutt integration
 GooBook is written in Python and is designed for use with MUAs such as Mutt in
 the same way as abook.

Package: goobox
Description-md5: 4c662e17b6ff79135f5241d096bb2693
Description-en: CD player and ripper with GNOME 3 integration
 Goobox is an CD player and ripper for the GNOME 3 environment. It follows the
 "Just Works" principle so its interface is beautiful and easy-to-use.
 .
 It uses GNOME/GTK+ for its user interface, GStreamer framework for CD playing,
 and ripping operations, musicbrainz for CD indexing, and Google to search
 for album cover images.

Package: goodvibes
Description-md5: abdbaaa5e66161e6151be118b866426b
Description-en: lightweight internet radio player
 Goodvibes is a lightweight internet radio player for GNU/Linux.
 It offers a simple way to have your favorite radio stations
 at easy reach.

Package: google-cloud-print-connector
Description-md5: f180fb195759d0e2c3fa2e1c2aed6694
Description-en: Google Cloud Print CUPS Connector
 Share CUPS printers with ChromeOS and Android devices, via Google Cloud Print.
 Share hundreds of printers on a powerful server, or two printers on a
 Raspberry Pi.
 .
 Lots of help can be found in the wiki
 (https://github.com/google/cloud-print-connector/wiki).

Package: google-mock
Description-md5: 483ad6c5d0b69156560590a1aeff1f4d
Description-en: Google's framework for writing and using C++ mock classes
 NOTE: This is a transitional package, retained for backwards compatibility.
 New code should instead use either package libgmock-dev (for compiled lib)
 or package googletest (for lib sources).

Package: google-perftools
Description-md5: e4281cefe134d571b065782a00304939
Description-en: command line utilities to analyze the performance of C++ programs
 The gperftools, previously called google-perftools, package contains some
 utilities to improve and analyze the performance of C++ programs. This is a
 part of that package, and includes command line utilities.

Package: google-wire
Description-md5: 85c3d9806505b84fde65b3a2d9ce1e5c
Description-en: Compile-time Dependency Injection for Go (program)
 Wire: Automated Initialization in Go
 .
 Wire is a code generation tool that automates connecting components
 using dependency injection.  Dependencies between components are
 represented in Wire as function parameters, encouraging explicit
 initialization instead of global variables.  Because Wire operates
 without runtime state or reflection, code written to be used with
 Wire is useful even for hand-written initialization.
 .
 For an overview, see the introductory blog post
 https://blog.golang.org/wire
 .
 This package contains the wire command-line program.

Package: googler
Description-md5: 815a3aaafee514f2bb2afc49b150aba1
Description-en: Power tool to Google (Web & News) and Google Site Search from the terminal
 Features:
 .
  - Google Search, Google Site Search, Google News
  - Fast and clean (no ads, stray URLs or clutter), customisable color
  - Open result URLs (or the actual search) in browser
  - Navigate search result pages from omniprompt
  - Fetch n results in a go, start at the nth result
  - Disable automatic spelling correction and search exact keywords
  - Limit search by duration, country/domain specific search (default: .com),
    language preference
  - Supports Google search keywords like 'filetype:mime', 'site:somesite.com'
    etc.
  - Optionally open the first result directly in browser
    (as in "I'm Feeling Lucky")
  - Non-stop searches: fire new searches at omniprompt without exiting
  - Proxy support
  - Man page with examples, shell completion scripts for Bash, Zsh and Fish

Package: googletest
Description-md5: 1e437b718f57755079100bb08186b2de
Description-en: Google's C++ test framework sources
 This package provides sources for Google Test and Google Mock.
 .
 Google Test is a framework for writing C++ tests on a variety of
 platforms. Based on the xUnit architecture. Supports automatic test
 discovery, a rich set of assertions, user-defined assertions, death
 tests, fatal and non-fatal failures, value- and type-parameterized
 tests, various options for running the tests, and XML test report
 generation.
 .
 Google Mock is an extension of Google Test for C++ mocking.  Inspired
 by jMock, EasyMock, and Hamcrest, and designed with C++'s specifics
 in mind, it can help you derive better designs of your system and
 write better tests.
 .
 Google Mock:
 .
  - provides a declarative syntax for defining mocks,
  - can easily define partial (hybrid) mocks, which are a cross of real
    and mock objects,
  - handles functions of arbitrary types and overloaded functions,
  - comes with a rich set of matchers for validating function arguments,
  - uses an intuitive syntax for controlling the behavior of a mock,
  - does automatic verification of expectations (no record-and-replay
    needed),
  - allows arbitrary (partial) ordering constraints on
    function calls to be expressed,
  - lets a user extend it by defining new matchers and actions.
  - does not use exceptions, and
  - is easy to learn and use.
 .
 NOTE: This package does not contain a library to link against, but rather
 the source code to build the google test and mock libraries.  This enables
 building the google test and mock libraries with the same flags as the
 C++ code under test.

Package: googletest-tools
Description-md5: f96f3f5606f34e603240ca7fee8ab596
Description-en: Google's C++ test framework sources
 This package provides tools to be used with Google Test and/or Google
 Mock.

Package: gopass
Description-md5: a5b71579a865850b5beaea543b0e6a57
Description-en: pass implementation in Go
 gopass is a Pass (http://www.passwordstore.org/) implementation in Go.
 .
 Password management should be simple and follow Unix philosophy. With
 gopass, each password lives inside of a gpg encrypted file whose filename
 is the title of the website or resource that requires the password. These
 encrypted files may be organized into meaningful folder hierarchies,
 copied from computer to computer, and, in general, manipulated using
 standard command line file management utilities.
 .
 This package is not gopass.pw (similar project with the same name).
 .
 This package contains the gopass executable.

Package: gopchop
Description-md5: c19dbf02bbcc6e158a2b0e33aa0bc583
Description-en: fast, lossless cuts-only editor for MPEG2 video files
 gopchop cuts and merges MPEG2 video streams. gopchop uses
 a method to cut streams that does not require re-encoding,
 and therefore is fast and not prone to the artifacts
 and degradation of quality inherent in re-encoding.
 However, cuts are limited to I-frames or
 group-of-picture (GOP) boundaries. These frames occur
 frequently enough, and often times at scene transitions,
 so that gopchop's method is adequate for many applications.
 .
 The typical use is manually editing commercials out of
 recorded television programs.
 .
 Another application is splitting .VOB files from
 dual-layer DVD rips so that the content can be
 re-authored such that each half will fit on one
 single-layer DVD recordable.

Package: gopher
Description-md5: 29e09f999292f4024424cd31dc6bbc0b
Description-en: Distributed Hypertext Client, Gopher protocol
 This package contains the client for the distributed global directory
 and hypertext system known as gopher.  This is a text-based (ncurses)
 client from the University of Minnesota.  It also supports the gopher+
 protocol, as well as links to ftp, http, and other external viewers.

Package: gorm.app
Description-md5: 130a504199ea9be9979a0ece6846d7c8
Description-en: Visual Interface Builder for GNUstep
 Gorm, the GNUstep Object Relationship Modeler, is a tool to build GUI
 interfaces for the GNUstep system.  It is a clone of the NeXTStep
 "Interface Builder" app. It works well with ProjectCenter to create
 GUI applications for GNUstep.
 .
 You can create .nib files that also work on macOS and vice versa.

Package: gosa
Description-md5: 4a7ee28a97fa11eaf00760da179126be
Description-en: Web Based LDAP Administration Program
 Provided is access to posix, shadow, samba, proxy, fax, pureftp and
 kerberos accounts. It is able to manage the postfix/cyrus server
 combination and can write user adapted sieve scripts.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-desktop
Description-md5: 8d92c8487563d9f98be0cc19928d2b72
Description-en: Desktop integration for GOsa²
 This package includes a Gosa² menu definition for your desktop
 environment.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-dev
Description-md5: 18889d0d533749f024a74af5fe6d68e4
Description-en: GOsa² development utilities
 This package contains a couple of tools to generate online
 help, extract localizations and howto's for developing Gosa² plugins.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-help-de
Description-md5: 4d1734810c5512007b88d471ed33fe2d
Description-en: German online help for GOsa²
 This package includes the German online documentation for GOsa²
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-help-en
Description-md5: 9f3e430a2eace59402cad5a8b28a61ca
Description-en: English online help for GOsa
 This package includes the English online documentation for GOsa²
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-help-fr
Description-md5: d7b7e4e67411c31d95d240b805d5cdea
Description-en: French online help for GOsa²
 This package includes the French online documentation for GOsa²
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-help-nl
Description-md5: e6b720a7872a366715688d34465861de
Description-en: Dutch online help for GOsa
 This package includes the Dutch online documentation for GOsa²
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-connectivity
Description-md5: e5bda3ec4aeaae25519f8c31acb49cdb
Description-en: connectivity plugin for GOsa²
 Connectivity base plugin - base for really small plugins.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-dhcp
Description-md5: 3747024075ea7be4113af0a3a6e93644
Description-en: dhcp plugin for GOsa²
 DHCP service management plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-dhcp-schema
Description-md5: 1f1c95e4dbc712ba32751a30b669d973
Description-en: LDAP schema for GOsa² dhcp plugin
 This package includes the LDAP schema needed by the GOsa²
 dhcp plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-dns
Description-md5: c886d7968b5b16b95450400746e80234
Description-en: dns plugin for GOsa²
 DNS service management plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-dns-schema
Description-md5: 3061935a17d79042fbc4d321da5b0fb5
Description-en: LDAP schema for GOsa² dns plugin
 This package includes the LDAP schema needed by the GOsa²
 dns plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-gofax
Description-md5: 2dd628e57bd321196c3f44bd7f02de4d
Description-en: gofax plugin for GOsa²
 GOfax management with report functionality
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-gofon
Description-md5: fe675c84e2b5eeb6e887086ca7de3568
Description-en: gofon plugin for GOsa²
 GOfon management with report functionality
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-goto
Description-md5: e5f30893703e4fa4303f59cf8262ee90
Description-en: goto plugin for GOsa²
 GOto desktop management plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-kolab
Description-md5: a2a9265b81338bf86ab7b67b66cb2d78
Description-en: kolab plugin for GOsa²
 Kolab2 account management plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-kolab-schema
Description-md5: 9258d365b5d1ae9299b17751052276f1
Description-en: LDAP schema for GOsa² kolab plugin
 This package includes the LDAP schema needed by the GOsa²
 kolab plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-ldapmanager
Description-md5: 8e9b4b900897eecb675e239e34cb9711
Description-en: ldapmanager plugin for GOsa²
 LDAP import and export management plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-mail
Description-md5: 7ededd7f8024caf4d9dd1c353a6d5925
Description-en: base mail plugin for GOsa²
 Mail management base plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-mailaddress
Description-md5: c0686a2a7a3a33abe7aea3a9b213255e
Description-en: Simple plugin to manage user mail addresses in GOsa²
 This plugin is a very light-weighted version of the GOsa² mail plugin.
 Whereas gosa-plugin-mail can be used to manage a complete mail server
 farm, this tiny plugin only provides means to modify the user's mail
 address via a text field.
 .
 This plugin is useful for people that need to maintain users' email
 addresses via GOsa², but do not run their own mailserver(s).
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-mit-krb5
Description-md5: 4ebc55d5e9e7697696e182e83537b4e4
Description-en: mit-krb5 plugin for GOsa²
 MIT kerberos management plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-mit-krb5-schema
Description-md5: a1ffeed7a19ea30b858f6ccf38f12d0c
Description-en: LDAP schema for GOsa² mit-krb5 plugin
 This package includes the LDAP schema needed by the GOsa²
 mit-krb5 plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-nagios
Description-md5: 642081f060481308bd001feb5eb53a32
Description-en: nagios plugin for GOsa²
 Nagios account settings management
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-nagios-schema
Description-md5: 72b28b2cc6c09756b257d1f9e6228182
Description-en: LDAP schema for GOsa² nagios plugin
 This package includes the LDAP schema needed by the GOsa²
 nagios plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-netatalk
Description-md5: 327b46739c774ef33ca5e68b06d90db2
Description-en: netatalk plugin for GOsa²
 Netatalk account management plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-netgroups
Description-md5: 16b875a6742fc06f91a02dc551492669
Description-en: NIS netgroups plugin for GOsa²
 Manage LDAP-based NIS netgroups with GOsa².
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-opengroupware
Description-md5: c431e5dda2979f03ca502ff398b158e8
Description-en: opengroupware plugin for GOsa²
 Opengroupware account management plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-openxchange
Description-md5: 73fb3612421211e0238fdc771f998b56
Description-en: openxchange plugin for GOsa²
 Open-Xchange account management plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-openxchange-schema
Description-md5: eb5a92478e9c79a63c2fb3fae78bc769
Description-en: LDAP schema for GOsa² openxchange plugin
 This package includes the LDAP schema needed by the GOsa²
 openxchange plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-phpgw
Description-md5: bbc8db12f0e8dbd287a17205ec8cfbe2
Description-en: phpgw plugin for GOsa²
 PHP Groupware connectivity plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-phpgw-schema
Description-md5: bb1e42d224131ca98677bfcd63509b0a
Description-en: LDAP schema for GOsa² phpgw plugin
 This package includes the LDAP schema needed by the GOsa²
 phpgw plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-phpscheduleit
Description-md5: 180bebc8f79d15be7224828f9ec43a0f
Description-en: phpscheduleit plugin for GOsa²
 PHP scheduleit connectivity plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-phpscheduleit-schema
Description-md5: 16c4fa45321eab4d4da122d8205c11bd
Description-en: LDAP schema for GOsa² phpscheduleit plugin
 This package includes the LDAP schema needed by the GOsa²
 phpscheduleit plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-pptp
Description-md5: 5d1ce4c1d7981f01e235eece579f8c79
Description-en: pptp plugin for GOsa²
 PPTP connectivity plugin
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-pptp-schema
Description-md5: ce01d04c7a59e28d9d7c50a977592c63
Description-en: LDAP schema for GOsa² pptp plugin
 This package includes the LDAP schema needed by the GOsa²
 pptp plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-pureftpd
Description-md5: e63151ffdd6e2ec8ba9dec6022dc5e88
Description-en: pureftpd plugin for GOsa²
 PureFTPD connectivity plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-pureftpd-schema
Description-md5: 67e25dc24b116030c5c5ab797aa03271
Description-en: LDAP schema for GOsa² pureftpd plugin
 This package includes the LDAP schema needed by the GOsa²
 pureftpd plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-pwreset
Description-md5: 1c366548f33bbd5aaca9bcb94fda8088
Description-en: Password Management Add-On for GOsa²
 Password management and reset tool for GOsa². Administratively
 mass-reset user passwords based on various approaches. New
 passwords can be auto-generated or uploaded in CSV format.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-rolemanagement
Description-md5: f7505bb7b8acb8a883776abb71f68d28
Description-en: rolemanagement plugin for GOsa²
 Role Management plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-rsyslog
Description-md5: ba747373b0bc5d90bc6614eb05ca34df
Description-en: rsyslog plugin for GOsa²
 Syslog and GOsa logging plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-samba
Description-md5: 695272dbb43f597ffac91b40db534da5
Description-en: samba3 plugin for GOsa²
 Plugin for Samba 3 management.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-scalix
Description-md5: 736172c82a01278f7feec63e1c131c50
Description-en: scalix plugin for GOsa²
 Scalix account management plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-squid
Description-md5: ac6f6d57be8cbbe7c1f1a92b2ed3008c
Description-en: squid plugin for GOsa²
 Squid connectivity plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-ssh
Description-md5: e24f5cf9ea7d40661389f639231c4be5
Description-en: ssh plugin for GOsa²
 SSH key management plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-ssh-schema
Description-md5: a0574ef889338ca0e6f2b528a1e91392
Description-en: LDAP schema for GOsa² ssh plugin
 This package includes the LDAP schema needed by the GOsa²
 ssh plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-sudo
Description-md5: 0e9b694b79f882d0f0a90b5e6034224a
Description-en: sudo plugin for GOsa²
 Sudo management plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-sudo-schema
Description-md5: ee59a6e11cca193f87aba7ef7509a66b
Description-en: LDAP schema for GOsa² sudo plugin
 This package includes the LDAP schema needed by the GOsa
 sudo plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-systems
Description-md5: 9a306a2ddb6c2028d3f8f9bef0c2acfc
Description-en: systems plugin for GOsa²
 Systems management base plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-uw-imap
Description-md5: b123ca3cae5d936882c077cc565ccb0f
Description-en: uw-imap plugin for GOsa²
 UW imap mail method.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-plugin-webdav
Description-md5: 149c82a5ab307c9328470b448b01c595
Description-en: webdav plugin for GOsa²
 WebDAV connectivity plugin.
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gosa-schema
Description-md5: 1b1277eea75f00d2d7cf1f5c7f7eb2b2
Description-en: LDAP schema for GOsa
 This package includes the basics LDAP schemas needed by GOsa².
 .
 GOsa² is a combination of system-administrator and end-user web
 interface, designed to handle LDAP based setups.

Package: gost
Description-md5: 5252f334bf533288f8af31ca5cbb5f71
Description-en: local copy tool of Security Tracker (Red Hat/Debian) written in go
 gost builds a local copy of Security Tracker (Red Hat/Debian).
 After you register CVEs to watch list, gost notify via E-mail/Slack if there
 is an update.
 The pronunciation of gost is the same as the English word "ghost".

Package: gost-crypto-dkms
Description-md5: 14cfd795d1820630ccd9f19206e43848
Description-en: Linux kernel modules implementing GOST cryptography
 This is a set of Linux kernel modules implementing Russian cryptographic
 algorithms:
 .
  - GOST 28147 cipher (RFC 5830)
  - GOST 28147 "Imitovstavka" (MAC mode) (RFC 5830)
  - GOST R 34.11-94 digest (RFC 5831)
   - HMAC using GOST R 34.11-94 (RFC 4357)
  - GOST R 34.12-2015 ciphers (Magma and Kuznyechik) (RFC 7801)
   - CMAC using GOST R 34.12-2015 (as required by GOST R 34.13-2015)
  - GOST R 34.11-2012 digest (RFC 6986)

Package: gostsum
Description-md5: 926ca856d46a216a8ca0c7a4b45ea044
Description-en: Utility to compute GOST hashes
 This package contain utilities to compute GOST hashsums
 gostsum - computes GOST R 34.11-94 hash
 gost12sum - computes GOST R 34.11-2012 hash

Package: gosu
Description-md5: 72c0b42347139534207936c653f27fc4
Description-en: Simple Go-based setuid+setgid+setgroups+exec
 This is a simple tool grown out of the simple fact that "su" and "sudo" have
 very strange and often annoying TTY and signal-forwarding behavior. They're
 also somewhat complex to setup and use (especially in the case of "sudo"),
 which allows for a great deal of expressivity, but falls flat if all you need
 is "run this specific application as this specific user and get out of the
 pipeline".
 .
 The core of how "gosu" works is stolen directly from how Docker/libcontainer
 itself starts an application inside a container (and in fact, is using the
 "/etc/passwd" processing code directly from libcontainer's codebase).
 .
 Once the user/group is processed, we switch to that user, then we "exec" the
 specified process and "gosu" itself is no longer resident or involved in the
 process lifecycle at all. This avoids all the issues of signal passing and TTY,
 and punts them to the process invoking "gosu" and the process being invoked by
 "gosu", where they belong.

Package: gotail
Description-md5: 1bc55c46e49b715536d84ac94de0546e
Description-en: Go implementation of tail
 gotail is a Go implementation of the tail utility (GNU coreutils).

Package: gotestsum
Description-md5: 6945e22cd82dc45f9e0c5994bbfd0f8d
Description-en: Feature-rich runner for go test
 `go test` runner with output optimized for humans, JUnit XML for CI
 integration, and a summary of the test run results.
 .
 gotestsum runs tests, prints friendly test output and a summary
 of the test run.  Requires Go 1.10+.
 .
 gotestsum works by running go test --json ./... and reading the JSON
 output.

Package: gource
Description-md5: 3202d44845ea3e712b16956648db45be
Description-en: graphical source control visualisation
 OpenGL-based 3D visualisation tool for source control repositories.
 .
 The repository is displayed as a tree where the root of the repository is the
 centre, directories are branches and files are leaves. Contributors to the
 source code appear and disappear as they contribute to specific files and
 directories.

Package: goval-dictionary
Description-md5: 775f59165329a232ae0669f0d101e66a
Description-en: Tools to create DB of data written in OVAL
 This is tool to build a local copy of the OVAL(Open Vulnerability and
 Assessment Language).
 .
 The local copy is generated in sqlite format, and the tool has a server
 mode for easy querying.

Package: govendor
Description-md5: c2811216626f5059d4134934c3d7efbe
Description-en: Go vendor tool that works with the standard vendor file
 govendor is a vendoring tool that fetches, annotates, and synchronizes
 dependencies for the Go programming language. It can be useful as a
 stand-alone tool even if it is not the primary vendoring tool. Inspection
 sub-commands such as "govendor list" and "govendor license" can be useful
 to find information on dependencies and their statuses. "govendor fetch"
 will update or add new dependencies directly from remotes. If you choose
 to not check in your dependency source code, use "govendor sync" to pull
 the correct revision into the vendor folder.
 .
 Frustrated by not being able to run "go test ./..." anymore? Run
 "govendor test +local" even if you do not use govendor elsewhere. Look
 into the different statuses that can be assigned to packages, they are
 useful. Still using godep or glock? Migrate them over including manifest
 file using "govendor migrate".

Package: gox
Description-md5: 9958af05880375d27628964540734329
Description-en: simple cross compilation tool for Go
 Gox is a cross compiling build tool for Go which makes it possible to
 produce binaries for foreign platforms without having to install Go on
 the targeted system. Several operating systems and architectures are
 supported, while parallel building on multiple cores is possible.

Package: goxel
Description-md5: bbb7ffedc3f05b709f31bb8a49570017
Description-en: 3D voxel editor
 Goxel is a 3D program that lets you create voxel volumes.
 It supports 24 bits RGB colors, unlimited scene size and undo buffers.
 Layers, procedural generation and Marching Cube rendering.
 Exports to obj, pyl, magica voxel, png, qubicle, povray, and more

Package: goxkcdpwgen
Description-md5: d6ff1e001ecf8426f58ab18de0a8756e
Description-en: xkcd style password generator library and cli tool
 goxkcdpwgen xkcd style is a password generator library. It also supports
 word lists in non English languages.
 .
 Sample execution
 $ goxkcdpwgen -c -d "" -n 5
 VocalistDurableGauntletBluishReputable

Package: gozer
Description-md5: 961ca43525aa9fb50787ca35f32f414d
Description-en: text renderer
 Gozer is a commandline text renderer. It will take text on the
 commandline or from a file and render it using antialiased TrueType
 fonts, using optional font styles, word wrapping, justification and
 layout control.

Package: gpa
Description-md5: 26adcfaaa5e11731dba864e1e68a291d
Description-en: GNU Privacy Assistant (GPA)
 The GNU Privacy Assistant (GPA) is a graphical user interface for the
 GNU Privacy Guard (GnuPG).  It can be used to encrypt, decrypt, and sign
 files, to verify signatures and to manage the private and public keys.

Package: gpac
Description-md5: 8d8951e01429e4e13fbb3580cb366a68
Description-en: GPAC Project on Advanced Content - utilities
 GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is
 an Open Source multimedia framework for research and academic purposes. The
 project covers different aspects of multimedia, with a focus on presentation
 technologies (graphics, animation and interactivity).
 .
 This package contains the following utilities:
   - MP4Client (content packaging)
   - MP4Box

Package: gpac-modules-base
Description-md5: feaa7e89022a9c12da696d9dc0eef201
Description-en: GPAC Project on Advanced Content - modules
 GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is
 an Open Source multimedia framework for research and academic purposes. The
 project covers different aspects of multimedia, with a focus on presentation
 technologies (graphics, animation and interactivity).
 .
 This package contains the GPAC modules.

Package: gpaco
Description-md5: d949aae58a162d4fea187da7ac611389
Description-en: Transitional package to pull in grop
 The gpaco program has been renamed to grop. This is the transitional dummy
 package to get upgrading systems to intall grop.
 .
 You can safely remove this dummy package once nothing depends on it
 anymore.

Package: gpaint
Description-md5: dbb26389c3072fce85832a5aefb72875
Description-en: GNU Paint - a small, easy to use paint program for GNOME
 This is gpaint (GNU Paint), a small-scale painting program for GNOME,
 the GNU Desktop Environment. gpaint does not attempt to compete with
 GIMP, it is just a simple drawing package based on xpaint, along the
 lines of 'Paintbrush' from a popular non-free operating system.
 .
 Currently gpaint has the following features:
   * Drawing tools such as ovals, freehand, polygon and text, with
     fill or shadow for polygons and closed freehand shapes.
   * Cut and paste by selecting irregular regions or polygons.
   * Preliminary print support using gnome-print.
   * Modern, ease-to-use user interface with tool and color palettes.
   * Multiple-image editing in a single instance of the program.
   * All the image processing features present in xpaint.

Package: gpart
Description-md5: 4adc170a5c4ff7927ed10795940f56ee
Description-en: Guess PC disk partition table, find lost partitions
 Gpart is a tool which tries to guess the primary partition table of a PC-type
 disk in case the primary partition table in sector 0 is damaged, incorrect or
 deleted.
 .
 It is also good at finding and listing the types, locations, and sizes of
 inadvertently-deleted partitions, both primary and logical. It gives you the
 information you need to manually re-create them (using fdisk, cfdisk, sfdisk,
 etc.).
 .
 The guessed table can also be written to a file or (if you firmly believe the
 guessed table is entirely correct) directly to a disk device.
 .
 Currently supported (guessable) filesystem or partition types:
 .
  * BeOS filesystem type.
  * BtrFS filesystem type.
  * FreeBSD/NetBSD/386BSD disklabel sub-partitioning scheme used on Intel
    platforms.
  * Linux second extended filesystem (Ext2).
  * MS-DOS FAT12, FAT16 and FAT32 "filesystems".
  * IBM OS/2 High Performance filesystem.
  * Linux LVM and LVM2 physical volumes.
  * Linux swap partitions (versions 0 and 1).
  * The Minix operating system filesystem type.
  * MS Windows NT/2000 filesystem.
  * QNX 4.x filesystem.
  * The Reiser filesystem (version 3.5.X, X > 11).
  * Sun Solaris on Intel platforms uses a sub-partitioning scheme on PC hard
    disks similar to the BSD disklabels.
  * Silicon Graphics journaled filesystem for Linux.
 .
 Gpart is useful in recovery actions and forensics investigations.

Package: gpaste
Description-md5: 26d0a5b1bcb5a3bafc7efa31b9b215bc
Description-en: Clipboard management system for GNOME
 GPaste manages clipboard history and allows easy access to it using
 keyboard shortcuts, gnome-shell extension, command-line tools, gir
 bindings.
 .
 This package contains the command-line tools, the D-Bus service, the
 GNOME Control Center key bindings, and desktop/appdata files.

Package: gpaw
Description-md5: 299c52e61efe392985b4be165a33dfb5
Description-en: DFT and beyond within the projector-augmented wave method
 A density-functional theory (DFT) Python code
 based on the projector-augmented wave (PAW) method and the
 atomic simulation environment (ASE). It uses real-space uniform grids and
 multigrid methods, atom-centered basis-functions or plane-waves.

Package: gpaw-data
Description-md5: 4f79f618f62119adf90c70f75148cdfa
Description-en: gpaw datasets/setups
 A setup is to the PAW method what a pseudo-potential is to the pseudo-
 potential method. The setups are stored as compressed XML specification
 for atomic PAW datasets files.

Package: gperf
Description-md5: 100d8b95fd17c0a38c7613dd1af69b60
Description-en: Perfect hash function generator
 gperf is a program that generates perfect hash functions for sets of
 key words.
 .
 A perfect hash function is simply: A hash function and a data structure
 that allows recognition of a key word in a set of words using exactly 1
 probe into the data structure.

Package: gperf-ace
Description-md5: 671a8c45c2c5bed49ebe0d85f0178985
Description-en: ACE perfect hash function generator (transitional package)
 This package is a transitional package to ace-gperf.
 .
 It can be safely removed after installation.

Package: gperiodic
Description-md5: 9d0fa910facd65c1d1b6bc1be521fcfa
Description-en: periodic table application
 GPeriodic is a small X/GTK+-based program which allows you to
 browse through a periodic table of chemical elements, and view
 somewhat detailed information on each of the elements.
 118 elements are currently listed.

Package: gpg-remailer
Description-md5: b09abf602082219e99c6d483c4e02df3
Description-en: Forwards re-encrypted PGP/GPG mail to a group
 Gpg-remailer is somewhat similar to ordinary mailing list software, but all
 e-mail processed by gpg-remailer is PGP/GPG signed and encrypted.
 .
 Gpg-remailer decrypts received PGP/GPG messages, verifies the received
 signature and re-encrypts the e-mail for the members of a well defined group
 of recipients. Using gpg-remailer the list of members of a group of people
 who want to exchange encrypted and authenticated e-mail can be maintained at
 one location, allowing the members of the group to specify just one e-mail
 address to send PGP/GPG signed and encrypted e-mail to.
 .
 Gpg-remailer recognizes the following e-mail formats:
     *  Standard simple encrypted messages.
     *  Multi-part encrypted messages.
     *  Encrypted messages containing detached signatures.

Package: gpgrt-tools
Description-md5: b8de17dc3264cf31937947e699507cdc
Description-en: GnuPG development runtime library (executable tools)
 gpgrt (also known as libgpg-error) defines common error values,
 messages, and common runtime functionality for all GnuPG components.
 Among these are GPG, GPGSM, GPGME, GPG-Agent, libgcrypt, pinentry,
 SmartCard Daemon and possibly more in the future.
 .
 This package contains yat2m, a Texinfo to nroff/troff converter
 useful for building manpages, and gpg-error, a binary for deciphering
 GnuPG/libgcrypt error codes.

Package: gpgv-static
Description-md5: 842ffff693d698ec180bc5b9b7ce7ffa
Description-en: minimal signature verification tool (static build)
 GnuPG is GNU's tool for secure communication and data storage.
 It can be used to encrypt data and to create digital signatures.
 It includes an advanced key management facility and is compliant
 with the proposed OpenPGP Internet standard as described in RFC 4880.
 .
 This is GnuPG's signature verification tool, gpgv, built statically
 so that it can be directly used on any platform that is running on
 the Linux kernel.  Android and ChromeOS are two well known examples,
 but there are many other platforms that this will work for, like
 embedded Linux OSes.  This gpgv in combination with debootstrap and
 the Debian archive keyring allows the secure creation of chroot
 installs on these platforms by using the full Debian signature
 verification that is present in all official Debian mirrors.

Package: gpgv-win32
Description-md5: 3f8767984a5f4b323de309446d07435d
Description-en: GNU privacy guard - signature verification tool (win32 build)
 GnuPG is GNU's tool for secure communication and data storage.
 .
 gpgv is a stripped-down version of gnupg which is only able to check
 signatures.  It is smaller than the full-blown gnupg and uses a
 different (and simpler) way to check that the public keys used to
 make the signature are trustworthy.
 .
 This is a win32 version of gpgv.  It's meant to be used by the win32-loader
 component of Debian-Installer.

Package: gpgv1
Description-md5: 66298eb08bbd8e97c162d7d75218233f
Description-en: GNU privacy guard - signature verification tool (deprecated "classic" version)
 GnuPG is GNU's tool for secure communication and data storage.
 .
 gpgv is a stripped-down version of gnupg which is only able to check
 signatures.  It is smaller than the full-blown gnupg and uses a
 different (and simpler) way to check that the public keys used to
 make the signature are trustworthy.
 .
 gpgv1 is the "classic" version of gpgv. It does not support as many
 cryptographic primitives as the modern version, so there are
 signatures it cannot verify.  Please use the "gpgv" package instead
 where possible.

Package: gpgv2
Description-md5: e6cd72adf0d5f886dd5a174557278b63
Description-en: GNU privacy guard - signature verification tool (dummy transitional package)
 GnuPG is GNU's tool for secure communication and data storage.  gpgv
 is a stripped-down version of gpg which is only able to check
 signatures.
 .
 This is a dummy transitional package that provides symlinks from gpgv2
 to gpgv.

Package: gphoto2
Description-md5: 216c30e494ceb46dc674329015d18c2a
Description-en: digital camera command-line client
 The gphoto2 library can be used by applications to access various
 digital camera models, via standard protocols such as USB Mass Storage
 and PTP, or vendor-specific protocols.
 .
 This package provides the gphoto2 command-line frontend.

Package: gphotofs
Description-md5: bb204691d38bcab14b35067c2f13c857
Description-en: filesystem to mount digital cameras
 GPhotoFS is a filesystem client based on libgphoto2 that exposes
 supported cameras as filesystems; while some cameras implement the USB
 Mass Storage class and already appear as filesystems (making this
 program redundant), many use the Picture Transfer Protocol (PTP) or
 some other custom protocol. But as long as the camera is supported
 by libgphoto2, it can be mounted as a filesystem using this program.
 .
 This package is based on the FUSE (filesystem in user space)
 infra-structure of the Linux kernel and, therefore, does not require
 any modifications to the kernel, apart from the fuse module.

Package: gpick
Description-md5: 1f14ce64f57c52191da4d07d4d2b35aa
Description-en: advanced GTK+ color picker
 gpick is an advanced color picker used to pick colors from anywhere
 on the screen, mix them to  get new colors, generate shades and tints,
 and export palettes to common file formats or simply copy them
 to the clipboard.

Package: gpicview
Description-md5: 56b6d6ca77ade2581b6c6827342d56bb
Description-en: lightweight image viewer
 GPicView is a lightweight GTK+ 2.x based image viewer with following features:
 .
  * Extremely lightweight and fast with low memory usage
  * Very suitable for default image viewer of desktop system
  * Simple and intuitive interface
  * Minimal lib dependency: Only pure GTK+ is used
  * Desktop independent: Doesn't require any specific desktop environment

Package: gpiod
Description-md5: 9751b0d5a5fb84b3e5932cd199c5f5be
Description-en: Tools for interacting with Linux GPIO character device - binary
 libgpiod encapsulates the ioctl calls and data structures
 behind a straightforward API. This new character device
 interface guarantees all allocated resources are freed after
 closing the device file descriptor and adds several new
 features that are not present in the obsolete sysfs interface
 (like event polling, setting/reading multiple values at once or
 open-source and open-drain GPIOs).
 .
 This package contains the gpiod binary tools.

Package: gplanarity
Description-md5: b5aa3cad52f1e02d88e0e7222da1c9ba
Description-en: simple puzzle game involving untangling planar graphs
 gPlanarity is a puzzle game with the goal to untangle planar graphs for
 fun and prizes. If you tend to get addicted to cute little math  puzzles,
 this one is a doozy.
 .
 gPlanarity is a super-clone of the flash Planarity game written by John
 Tantalo. The original Planarity ran well in IE and Firefox on other
 platforms, but was slow and liked to lock up or abort under Linux
 browsers.
 .
 gPlanarity implements gameplay identical to the original Planarity but
 adds some UI and game extras around the basic game such as multiple
 board generation algorithms, puzzle boards, complete backing state,
 group select/drag and so on.

Package: gplaycli
Description-md5: 219fd11fcbe9a7ad6d0e7ca2377faf3d
Description-en: Google Play downloader command line interface
 GPlayCli is a command line tool to search, install, update Android
 applications from the Google Play Store.  The main goal was to be
 able to run this script with a cronjob, in order to automatically
 update an F-Droid server instance.

Package: gplcver
Description-md5: 5cd7e3e4b6737740e3284d654b0289b6
Description-en: Verilog simulator
 Cver is a full 1995 IEEE P1364 standard Verilog simulator.  It also
 implements some of the 2001 P1364 standard features.  All three
 PLI interfaces (tf_, acc_, and vpi_) are implemented as defined
 in the IEEE 2001 P1364 LRM.
 .
 Homepage: http://www.pragmatic-c.com/gpl-cver

Package: gpm
Description-md5: f4d37ad222a8606e714a0eb799261d0d
Description-en: General Purpose Mouse interface
 This package provides a daemon that captures mouse events when the system
 console is active, and delivers events to applications through a library.
 .
 By default, the daemon provides a 'selection' mode, so that
 cut-and-paste with the mouse works on the console just as it does
 under X.

Package: gpodder
Description-md5: cd41a271191560e8a42acf5dd59b12ca
Description-en: podcast client and feed aggregator
 gPodder is a podcast receiver/catcher. You can subscribe to feeds
 ("podcasts") and automatically download new audio and video content.
 Downloaded content can be played on your computer or synchronized to
 iPods, MTP-based players, filesystem-based MP3 players and Bluetooth
 enabled mobile phones. YouTube video feeds are also supported.
 .
 This package provides the "gpodder" GUI and the "gpo" CLI utility.

Package: gpomme
Description-md5: 7327dd2a7f7be9a11b9f6dd241eb9ca6
Description-en: graphical client for pommed
 pommed handles the hotkeys found on the Apple MacBook Pro, MacBook Air,
 MacBook, PowerBook and iBook laptops and adjusts the LCD backlight, sound
 volume, keyboard backlight or ejects the CD-ROM drive accordingly.
 .
 gpomme is a graphical client for pommed. It listens for signals sent by
 pommed on D-Bus and displays the action taken by pommed along with the
 current state associated to this action.

Package: gpp
Description-md5: c83fa3b36766455e830c89f51c395315
Description-en: general-purpose preprocessor with customizable syntax
 GPP is a general-purpose preprocessor with customizable syntax, suitable for
 a wide range of preprocessing tasks. Its independence from any one
 programming language makes it much more versatile than the C preprocessor
 (cpp), while its syntax is lighter and more flexible than that of GNU m4.
 There are built-in macros for use with C/C++, LaTeX, HTML, XHTML, and Prolog
 files.

Package: gpr
Description-md5: 74c5c819743f1939ceafd5caec23bbad
Description-en: GUI for lpr: print files and configure printer-specific options
 gpr is a graphical interface to lpr that provides for easy configuration
 of printer-specific options. gpr interfaces with a PostScript
 printer's PPD file to create a user-interface of configurable
 options. Based upon user choice, the device-specific option code
 is then inserted into the PostScript job and sent to the printer.
 This can be used to tell the printer to duplex or staple the print
 job, or tell it what paper tray to draw paper from.
 NOTE: gpr will detect if the file to be print is postscript, and, if not,
 it will call a2ps to preprocess it before sending it to ppdfilt and to lpr.

Package: gprbuild
Description-md5: 1e9a7474384ac26c1f0119ef4d33ec98
Description-en: multi-language extensible build tool
 A set of tools for processing GNAT project files:
 gprconfig detects available compilers,
 gprbuild runs them;
 gprslave helps distributing the build work across the network;
 gprinstall copies the objects to their final destination;
 gprclean removes them.
 The default configuration supports Ada, Assembler, C, C++, Fortran,
 and can be extended to support user source processing tools.
 .
 The complete gprbuild user guide cannot be distributed by Debian
 because it is licensed under the GNU Free Documentation License with
 Invariant Sections and Cover Texts. It is published by upstream
 authors at
 http://docs.adacore.com/gprbuild-docs/html/gprbuild_ug.html.

Package: gpredict
Description-md5: 761603bab01399390c5ac55a77c533af
Description-en: Satellite tracking program
 Gpredict is a real time satellite tracking and orbit prediction program
 for the Linux desktop. It uses the SGP4/SDP4 propagation algorithms together
 with NORAD two-line element sets (TLE).
 .
 Some core features of Gpredict include:
 .
  * Tracking of a large number of satellites only limited by the physical
    memory and processing power of the computer
  * Display the tracking data in lists, maps, polar plots and any combination
    of these
  * Have many modules open at the same either in a notebook or in their own
    windows. The modules can also run in full-screen mode
  * You can use many ground stations
  * Predict upcoming passes
  * Gpredict can run in real-time, simulated real-time (fast forward and
    backward), and manual time control
  * Detailed information both the real time and non-real time modes
  * Doppler tuning of radios via Hamlib rigctld
  * Antenna rotator control via Hamlib rotctld

Package: gprename
Description-md5: 4bf9e60013ba879cc977c01954707bc6
Description-en: Complete batch renamer for Linux
 GPRename is a complete batch renamer for files and directorys.
 GPRename easily can replace, remove, insert, delete and number
 consecutively files and directorys.

Package: gprolog
Description-md5: 467ab0737d453fc9cf9f69bd4fa2965f
Description-en: GNU Prolog compiler
 GNU Prolog is a free Prolog compiler with constraint solving over
 finite domains (FD).  GNU Prolog is largely compliant with the ISO
 standard and is part of the Prolog Commons initiative.
 .
 This package contains the compiler and runtime system for the ISO
 standard version of GNU Prolog, including the prototype modules
 implementation.

Package: gprolog-doc
Description-md5: 664508ef9b26aa21facd080315f84e21
Description-en: documentation for the GNU Prolog compiler
 GNU Prolog is a free Prolog compiler with constraint solving over
 finite domains (FD).
 .
 This package contains the documentation (PDF and HTML) for GNU
 Prolog.

Package: gprompter
Description-md5: 8bebaf9148c712a1e6bd155d0e3397bd
Description-en: intelligent predictive GTK+ text editor
 gprompter is a cross-platform predictive text editor, based on
 presage, the intelligent predictive text entry platform.
 .
 gprompter displays predictions in a contextual pop-up box as each
 letter is typed. Predictions can be easily selected and inserted in
 the document.

Package: gprompter-dbg
Description-md5: 767b5d20b293a5a902d5b86b6b95e65d
Description-en: intelligent predictive GTK+ text editor (debugging symbols)
 gprompter is a cross-platform predictive text editor, based on
 presage, the intelligent predictive text entry platform.
 .
 This package contains the debugging symbols for gprompter.

Package: gpsbabel
Description-md5: f94ca0e22606ceaf0445fd81084ec6af
Description-en: GPS file conversion plus transfer to/from GPS units
 GPSBabel converts waypoints, tracks, and routes from one format to
 another, whether that format is a common mapping format like Delorme,
 Streets and Trips, or even a serial upload or download to a GPS unit
 such as those from Garmin and Magellan.
 .
 GPSBabel supports dozens of data formats and will be useful for tasks
 such as geocaching, mapping, and converting from one GPS unit to another.
 Among the interesting formats it supports are several GPS devices via
 a serial link, various PDA-based mapping programs, and various
 Geocaching data formats.
 .
 Among others GPSBabel supports the following formats:
 .
 Cetus, CoPilot Flight Planner, CSV, Custom CSV, Delorme Street Atlas,
 Delorme Street Atlas 2004 Plus, Delorms GPS Log, Delorme routes,
 DNA, EasyGPS Binary, Fugawi, Garmin serial, Geocaching.com loc,
 GeocachingDB, Geoniche, GPilotS, GPSDrive, GPSman, GPSPilot,
 gpsutil, GPX, Holux, IGC, Magellan serial, Magellan SD,
 Magellan Navigator Companion, Mapopolis.Com Mapconverter, Mapsend,
 Mapsource, Maptech, Microsoft Streets and Trips, Navicache,
 Netstumbler, NIMA/GNIS Geographic Names, NMEA sentences, OziExplorer,
 PalmDoc, PCX5, PocketStreets 2002 Pushpin, PSITrex, Quovadis,
 Tab-separated data, Tiger, TopoMapPro, Topo by National Geographic,
 xcsv, xmap, xmapwpt.

Package: gpsbabel-doc
Description-md5: be60ff88f7b040c3b2c3d227343ebcdb
Description-en: GPS file conversion plus transfer to/from GPS units - documentation
 GPSBabel converts waypoints, tracks, and routes from one format to
 another, whether that format is a common mapping format like Delorme,
 Streets and Trips, or even a serial upload or download to a GPS unit
 such as those from Garmin and Magellan.
 .
 GPSBabel supports dozens of data formats and will be useful for tasks
 such as geocaching, mapping, and converting from one GPS unit to another.
 Among the interesting formats it supports are several GPS devices via
 a serial link, various PDA-based mapping programs, and various
 Geocaching data formats.
 .
 This package contains the detailed documentation of gpsbabel.

Package: gpsbabel-gui
Description-md5: 0e1f802005fa4c96bfd58de5cc5403d2
Description-en: GPS file conversion plus transfer to/from GPS units - GUI
 GPSBabel converts waypoints, tracks, and routes from one format to
 another, whether that format is a common mapping format like Delorme,
 Streets and Trips, or even a serial upload or download to a GPS unit
 such as those from Garmin and Magellan.
 .
 This package contains gpsbabelfe, a QT based frontend for gpsbabel.

Package: gpscorrelate
Description-md5: 02f7325cdd3d3a8a10b05bc8ff4c7718
Description-en: correlates digital photos with GPS data filling EXIF fields (command line)
 gpscorrelate fills EXIF (Exchangeable Image File Format) fields of
 digital photos related to GPS (Global Positioning System) information
 (e.g.: GPSLatitude, GPSLongitude, GPSAltitude, ...). The act of filling
 those fields is referred to as "correlation".
 .
 Inputs of the correlation process are a set of JPEG images and GPS data
 encoded in GPX (GPS Exchange Format) format.
 .
 If GPS data are available at the precise moment the photo was taken
 (with a 1-second granularity) the GPS data are stored unmodified in
 EXIF fields. If they are not linear interpolation of GPS data
 available at moments before and after the photo was taken can be used.
 .
 Both a command line tool (package gpscorrelate) and a GTK+ graphical
 user interface for it (package gpscorrelate-gui) are provided.
 .
 This package contains the command line tool and the documentation in HTML
 format.

Package: gpscorrelate-gui
Description-md5: bd7ccfdc3e3dfe79dbbba2b186ea0ae8
Description-en: correlates digital photos with GPS data filling EXIF fields (GUI)
 gpscorrelate fills EXIF (Exchangeable Image File Format) fields of
 digital photos related to GPS (Global Positioning System) information
 (e.g.: GPSLatitude, GPSLongitude, GPSAltitude, ...). The act of filling
 those fields is referred to as "correlation".
 .
 Inputs of the correlation process are a set of JPEG images and GPS data
 encoded in GPX (GPS Exchange Format) format.
 .
 If GPS data are available at the precise moment the photo was taken
 (with a 1-second granularity) the GPS data are stored unmodified in
 EXIF fields. If they are not linear interpolation of GPS data
 available at moments before and after the photo was taken can be used.
 .
 Both a command line tool (package gpscorrelate) and a GTK+ graphical
 user interface for it (package gpscorrelate-gui) are provided.
 .
 This package contains the GTK+ graphical user interface.

Package: gpsd-clients
Description-md5: 4840ffa4180d36d44fe0460fb48ab831
Description-en: Global Positioning System - clients
 The gpsd service daemon can monitor one or more GPS devices connected to
 a host computer, making all data on the location and movements of the
 sensors available to be queried on TCP port 2947.
 .
 This package contains auxiliary tools and example clients for monitoring,
 testing, latency-profiling, device configuration and simulating gpsd.

Package: gpsd-dbg
Description-md5: 25585bac72e1a225e550182eebbba65f
Description-en: Global Positioning System - debugging symbols
 The gpsd service daemon can monitor one or more GPS devices connected to
 a host computer, making all data on the location and movements of the
 sensors available to be queried on TCP port 2947.
 .
 This package contains the python debug modules and some test tools
 to the debug the behaviour of gpsd. Debug symbols are being shipped
 in the dbgsym package.

Package: gpsim
Description-md5: 59693a530ec8e83b49215034607ea15e
Description-en: Simulator for Microchip's PIC microcontrollers
 Gpsim is a full-featured software simulator for Microchip PIC microcontrollers.
 .
 Gpsim has been designed to be as accurate as possible. Accuracy includes the
 entire PIC - from the core to the I/O pins and including ALL of the internal
 peripherals. Thus it's possible to create stimuli and tie them to the I/O pins
 and test the PIC the same PIC the same way you would in the real world.
 .
 Gpsim has been designed to be as fast as possible. Real time simulation speeds
 of 20Mhz PICs are possible.
 .
 Gpsim has been designed to be as useful as possible. The standard simulation
 paradigm including breakpoints, single stepping, disassembling, memory inspect
 & change, have been implemented. In addition, gpsim supports many debugging
 features that are only available with in-circuit emulators. For example, a
 continuous trace buffer tracks every action of the simulator. Also, it's
 possible to set read and write break points on values (e.g. break if a specific
 value is read from or written to a register).

Package: gpsim-dev
Description-md5: 3bf74abee56e86f5b9a5cbaf76cb86b8
Description-en: Libraries needed only for building gpsim components
 Gpsim is a full-featured software simulator for Microchip PIC microcontrollers.
 .
 This package install the libraries and headers necessary to build the
 supporting modules for gpsim.
 .
 NOTE: as a user of gpsim this package does not need to be installed.

Package: gpsim-doc
Description-md5: 31cda71c219866a610e3ebede4b401cd
Description-en: Documentation for gpsim
 This package contains documentation for gpsim in postscript and pdf format
 with original LyX sources.

Package: gpsman
Description-md5: 2db86c4328ab850da591a56c2b2ffe55
Description-en: GPS data graphical manager
 GPS Manager (GPSMan) is a graphical manager of GPS data that
 makes possible the preparation, inspection and edition of GPS data in
 a friendly environment. GPSMan supports communication and real-time
 logging with both Garmin and Lowrance receivers and accepts real-time
 logging information in NMEA 0183 from any GPS receiver.

Package: gpsmanshp
Description-md5: d6b468976323ebf10fd40353989073b4
Description-en: Tcl interface to shapelib
 A Tcl package that provides the means of creating and reading files in the
 ESRI Shapefile for keeping 2 or 3 dimensional points and polylines.
 .
 It was developed for use in GPSMan, a manager of GPS receiver data and is
 based on the shapelib module. Along with this package, gpstr2shp.c was also
 developed which translates GPStrans data files into Shapefile ones.

Package: gpsprune
Description-md5: 4cd2b69a33c05e4615249da27b877da9
Description-en: visualize, edit, convert and prune GPS data
 GpsPrune is an application for viewing, editing and converting coordinate
 data from GPS systems. It's a tool for preparing GPS data before you go on a
 trip, and for playing with your collected GPS data after you get home again.
 .
 It can load data from arbitrary text-based formats (for example, any
 tab-separated or comma-separated file) or XML, or directly from a GPS
 receiver. It can display the data (as map view using OpenStreetMap
 images and as altitude profile), edit this data (for example delete
 points and ranges, sort waypoints, compress tracks), and save the data
 (in various text-based formats). It can also export data as a GPX
 file, or as KML/KMZ for import into Google Earth, or send it to a GPS
 receiver.
 .
 Some example uses of GpsPrune include cleaning up tracks by deleting
 wayward points - either recorded by error or by unintended detours. It
 can also be used to compare and combine tracks, convert to and from
 various formats, compress tracks, export data to Google Earth, or to
 analyse data to calculate distances, altitudes and so on.
 .
 Furthermore, GpsPrune is able to display the tracks in 3d format and
 lets you spin the model round to look at it from various directions.
 You can also export the model in POV format so that you can render a
 nice picture using Povray. You can also create charts of altitudes or
 speeds. It can also load Jpegs and read their coordinates from the
 EXIF tags, and export thumbnails of these photos to Kmz format so that
 they appear as popups in Google Earth. If your photos don't have
 coordinates yet, GpsPrune can be used to connect them (either manually or
 automatically using the photo timestamps) to data points, and write
 these coordinates into the EXIF tags.

Package: gpstrans
Description-md5: ab252dae5e93e7c582c32f70721a91c7
Description-en: communicate with a Garmin Global Positioning System receiver
 GPStrans allows a user with a Garmin GPS receiver to upload and
 download waypoints, routes, almanac (satellite orbit elements),
 and track routes.

Package: gpt
Description-md5: ea0e4bef5b7e800b808180556663b43b
Description-en: G-Portugol is a portuguese structured programming language
 G-Portugol is a portuguese structured programming language, based on the
 popular, freeform, pseudocode known as portugol. The compiler features
 native compilation, translation to C code, and interpretation of algorithms.

Package: gputils
Description-md5: 23d25c246adc8f0254d4e9881db7845d
Description-en: GNU PIC utilities
 Those utilities for the Microchip PIC microcontrollers family contain
 an assembler (compatible with MPASM), a disassembler, and other tools.

Package: gputils-common
Description-md5: 7124715413bd64960498db1e4248115d
Description-en: headers and linker scripts for gputils
 Those utilities for the Microchip PIC microcontrollers family contain
 an assembler (compatible with MPASM), a disassembler, and other tools.
 .
 This package contains the headers and linker scripts needed by
 gputils.

Package: gputils-doc
Description-md5: d36eb740d4c4817d1277f3f93b2d929c
Description-en: documentation for gputils
 Those utilities for the Microchip PIC microcontrollers family contain
 an assembler (compatible with MPASM), a disassembler, and other tools.
 .
 This package contains the gputils documentation in Postscript and PDF
 format.

Package: gpw
Description-md5: f066608c89cdcc3732adbce3da7faf09
Description-en: Trigraph Password Generator
 This package generates pronounceable passwords. It uses the statistics of
 three-letter combinations (trigraphs) taken from whatever dictionaries you
 feed it.
 .
 Thus pronounceability may differ from language to language. Based
 on the ideas in Morrie Gasser's password generator for Multics, and Dan
 Edwards's generator for CTSS.  FIPS Standard 181 describes a similar
 digraph-based generator, derived from Gasser's.

Package: gpx
Description-md5: 80ab6ac1258a592744ac5812ae6a2702
Description-en: Gcode to x3g conversion post processor
 GPX is a post processing utility for converting gcode output from 3D slicing
 software like Cura, KISSlicer, S3DCreator and Slic3r to x3g files for
 standalone 3D printing on Makerbot Cupcake, ThingOMatic, and Replicator 1/2/2x
 printers - with support for both stock and sailfish firmwares.
 .
 G-codes are plain-text files containing instructions for controlling CNC
 milling machines and consumer-grade 3D printers adapted from CNC milling
 machines.

Package: gpxinfo
Description-md5: bac9892c8d96b5912b3fb3a7f47a8dba
Description-en: Command line utility to extract basic statistics from a GPX file
 gpxinfo extracts basic statistics from a GPX file. It prints meta info
 about the file and calculates data about tracks and routes in the file,
 among which are times, distances, and uphill and downhill information.
 .
 gpxinfo uses the gpxpy library.

Package: gpxviewer
Description-md5: 08f439a1a9aa6d765f7dd1aba373e0a8
Description-en: views GPS traces collected in the GPX format
 This application allows the user to load a GPS trace, in the GPX file format,
 and read it in a presentable way. You are shown a few statistics, such as the
 duration or maximum speed. You are also shown the trace on an OpenStreetMap
 map, where you can scroll around and zoom.

Package: gqrx-sdr
Description-md5: 9b1414b9f758477e29d4baa6f7ec7abe
Description-en: Software defined radio receiver
 Gqrx supports many of the SDR hardware available, including Funcube
 Dongles, rtl-sdr, HackRF and USRP devices.
 .
 Includes AM, SSB, FM-N and FM-W (mono and stereo) demodulators, and
 Special FM mode for NOAA APT. Displays FFT plot and spectrum waterfall.
 .
 It is powered by GNU Radio and the Qt GUI toolkit.

Package: gr-air-modes
Description-md5: bd7b856548046046279e205e670faad5
Description-en: Gnuradio Mode-S/ADS-B radio
 A software-defined radio receiver for Mode S
 transponder signals, including ADS-B reports from equipped aircraft.
 .
 Multiple output formats are supported:
  * Raw (or minimally processed) output of packet data
  * Parsed text
  * SQLite database
  * KML for use with Google Earth
  * SBS-1-compatible output for use with e.g. PlanePlotter or Virtual
    Radar Server
  * FlightGear multiplayer interface for real-time display of traffic
    within the simulator

Package: gr-dab
Description-md5: 05c5101253bdd4fc8bc57e98488b8cbe
Description-en: Gnuradio blocks and tools for receiving DAB and DAB+ radio
 Contains necessary DSP blocks for receiving DAB and DAB+ transmissions
 using a software defined radio such as hackrf, rtl-sdr, USRP etc.
 .
 GNURadio Companion modules are also included.

Package: gr-dab-dev
Description-md5: e8b6b7a99c08c43a780de030331b911d
Description-en: Development files for gr-dab (DAB/DAB+ receiver)
 Contains necessary DSP blocks for receiving DAB and DAB+ transmissions
 using a software defined radio such as hackrf, rtl-sdr, USRP etc.
 .
 This package contains the development files for gr-dab

Package: gr-fcdproplus
Description-md5: f6e4fdb803885bd4417acd2db5d712d9
Description-en: Funcube Dongle Pro Plus controller for GNU Radio
 Support hardware for software radio using the fcdproplus
 which provides sampled RF IQ data as a USB audio stereo
 data stream. Control is done over USB HID protocol.
 .
 Both the Funcube Dongle Pro and Pro+ are supported
 by this package. Previous Funcube Dongle device support
 is already included in gnuradio itself.
 .
 This package provides a Python library as well as
 a gnuradio companion source object.

Package: gr-fosphor
Description-md5: 22a6b9110834daf9bb3248036c0083bc
Description-en: gnuradio fosphor block (GPU spectrum display)
 This implements a GNU Radio sink consisting of a GL display window
 that provides a Real Time Spectrum Analyzer (RTSA)-like spectrum
 visualization using OpenCL and OpenGL acceleration.
 .
 To use the fosphor blocks in Python, import it as :
 .
 from gnuradio import fosphor
 .
 See the Doxygen documentation for details about the blocks available
 in this package. A quick listing of the details can be found in Python
 after importing by using:
 .
 help(fosphor)

Package: gr-hpsdr
Description-md5: 32baefba3333715e95afe7e20a633f37
Description-en: gnuradio interface module for OpenHPSDR protocol 1
 Hardware supported includes OpenHPSDR Hermes / Metis and Red Pitaya
 using the OpenHpsdr protocol.
 .
 hermesNB sources decimated downconverted 48K-to-384K receiver complex
 stream(s), and sinks one 48k sample rate transmit complex stream.
 .
 hermesWB sources raw ADC samples as a vector of floats, with
 vlen=16384. Each individual vector contains time contiguous
 samples. However there are large time gaps between vectors. This is
 how HPSDR produces raw samples, it is due to Ethernet interface rate
 limitations between HPSDR and the host computer.
 .
 The modules are compatible with version 3.7 of gnuradio and versions of
 Hermes firmware 1.8 through at 3.2. (known as OpenHPSDR protocol
 1). It is not compatible with the new OpenHPSDR protocol 2.
 .
 This package contains the header files and grc block definitions.

Package: gr-iio
Description-md5: e361fb82f2d76ab5c549d5058df2fab6
Description-en: IIO blocks for GnuRadio
 Libiio is a library that has been conceived to ease the development of
 applications interfacing Industrial Input/Output (IIO) devices through
 the IIO subsystem of the Linux kernel.
 .
 The IIO blocks for GnuRadio can be used to create flowgraphs that interface
 IIO devices through libiio.
 .
 This package contains the development files.

Package: gr-iqbal
Description-md5: d1941592a7b1ef5f4224711566fb3e9c
Description-en: GNU Radio Blind IQ imbalance estimator and correction
 The general idea is to suppress symmetrical images caused by IQ
 imbalance in the RX path of quadrature receivers.
 It's composed of two subblocks:
 .
 "IQ Bal Fix": This applies the actual correction. to a complex
 stream. The correction parameters are only magnitude/phase and the
 actual correction algo is the same as applied in hardware in the USRP.
 You can either specify the correction parameters manually on the
 block, or send them via 'messages'.
 .
 "IQ Bal Optimize": This blocks tries to blindly detect the imbalance
 by minimizing the correlation between the left and right part of the
 spectrum. See the code for the "how it does it". The general idea is
 inspired from papers found on the internet and the way some SDR
 software achieve the same things (sdr# for eg, although there are
 significant differences in the actual implementation).

Package: gr-limesdr
Description-md5: 0990c87b70a40e459c7060873e8903ef
Description-en: LimeSDR blocks for GnuRadio
 LimeSDR is a low cost, open source software defined radio (SDR) platform that
 can be used to support just about any type of wireless communication standard.
 .
 Currently this plugin supports LimeSDR-USB and LimeSDR-Mini boards.
 .
 The gr-limesdr blocks for GnuRadio can be used to create flowgraphs that
 interface LimeSDR devices through liblimesuite.
 .
 This package contains the development files.

Package: gr-osmosdr
Description-md5: 5bcfb89d54d16ef501efc7e959c0bc1c
Description-en: Gnuradio blocks from the OsmoSDR project
 The Osmocom project is a family of projects regarding Open source
 mobile communications.
 .
 While primarily being developed for the OsmoSDR hardware, this block
 as well supports:
  - FUNcube Dongle through gr-fcdproplus
  - FUNcube Dongle Pro+ through gr-fcdproplus
  - sysmocom OsmoSDR Devices through libosmosdr
  - RTL2832U based DVB-T dongles through librtlsdr
  - RTL-TCP spectrum server (see librtlsdr project)
  - MSi2500 based DVB-T dongles through libmirisdr
  - gnuradio .cfile input through libgnuradio-blocks
  - RFSPACE SDR-IQ, SDR-IP, NetSDR (incl. X2 option)
  - Great Scott Gadgets HackRF through libhackrf
  - Nuand LLC bladeRF through libbladeRF library
  - Ettus USRP Devices through Ettus UHD library
  - Fairwaves UmTRX through Fairwaves' fork of UHD
  - AIRSPY Receiver
  - AIRSPY HF+ Receiver
  - SoapySDR support
  - Red Pitaya SDR transceiver (http://bazaar.redpitaya.com)
  - FreeSRP through libfreesrp
 .
 Example applications include osmocom_fft, osmocom_siggen,
 and osmocom_spectrum_sense.
 .
 By using the OsmoSDR block you can take advantage of a common
 software API in your application(s) independent of the underlying
 radio hardware. This package provides C++ header files,
 documentation, and Python3 wrappers to the library.

Package: gr-radar
Description-md5: 516497234069f69ccb45a35f7b96d2d1
Description-en: GNU Radio Radar Toolbox
 The *gr-radar* project provides a toolbox of commonly used radar
 algorithms. An important part is the *UHD Echotimer*, which enables a
 synchronized TX and RX stream from USRPs to ensure a constant phase
 relation in measurements. Example flowgraphs for CW, Dual CW, FSK,
 FMCW and OFDM radar are given and partly tested on hardware. GUI
 elements for target representation and further signal processing
 algorithms such as target tracking are implemented. Check out the
 project website for example videos and further information.
 .
 gr-radar provides GNU Radio Companion flowgraphs to handle RADAR data.

Package: gr-radar-doc
Description-md5: 73da5e2fe5c2ba906af94578ebf6c695
Description-en: GNU Radio Radar Toolbox - Documentation
 The *gr-radar* project provides a toolbox of commonly used radar
 algorithms. An important part is the *UHD Echotimer*, which enables a
 synchronized TX and RX stream from USRPs to ensure a constant phase
 relation in measurements. Example flowgraphs for CW, Dual CW, FSK,
 FMCW and OFDM radar are given and partly tested on hardware. GUI
 elements for target representation and further signal processing
 algorithms such as target tracking are implemented. Check out the
 project website for example videos and further information.
 .
 gr-radar-doc provides Doxygen generated documentation.

Package: gr-rds
Description-md5: 381f2d5bc7ea9a68ee3ab929814a53b8
Description-en: GNU Radio FM RDS/TMC Transceiver
 A Radio Data System - Traffic Message Channel (RDS-TMC) block
 for GNU Radio.
 .
 Radio Data System (RDS) is a communications protocol standard for
 embedding  small amounts of digital information in conventional
 FM radio broadcasts.
 .
 gr-rds provides GNU Radio Companion flowgraphs to handle RDS data.

Package: grabc
Description-md5: 24ba57c1fca546f8f8410efdf7a8f845
Description-en: simple program to determine the color string in hex by clicking on a pixel
 grabc is simple but useful program to determine the color string in
 hex or in RGB components by clicking on a pixel on the screen.
 When this program is run, the mouse pointer is grabbed and changed to
 a cross hair and when the mouse is clicked, the color of the clicked
 pixel is written to stdout in hex and the R, G, B components are
 written to stderr.
 .
 This program can be useful when you see a color and want to use the
 color in xterm or your window manager's border but no clue what the
 name of the color is. It's silly to use a image processing software
 to find it out.

Package: grabcd-encode
Description-md5: a2e6e5429a71b56091b00cbb233fac64
Description-en: rip and encode audio CDs - encoder
 grabcd lets you rip and encode audio CDs.  Ripping and encoding can
 be done on different systems.
 .
 This package contains the encoder script that generates OGG files and
 stores them in a given directory hierarchy.  It receives the raw data
 from a machine where the grabcd-rip package is installed.

Package: grabcd-rip
Description-md5: 276c85ba2052a370681c362fae16075f
Description-en: rip and encode audio CDs - ripper
 grabcd lets you rip and encode audio CDs.  Ripping and encoding can
 be done on different systems.
 .
 This package contains the ripper script that reads an audio CD.  It
 sends the data to a machine where the grabcd-encode package is
 installed.

Package: grabix
Description-md5: 0eef255501daa10dd72d7e36a6dc23b6
Description-en: wee tool for random access into BGZF files
 In biomedical research it is increasing practice to study
 the genetic basis of disease. This now frequently comprises
 the sequencing of human sequences. The output of the machine
 however is redundant, and the real sequence is the best
 sequence to explain the redundancy. The exchange of data
 happens only with compressed files - to huge and redundant
 to perform otherwise. One should avoid uncompression whenever
 possible.
 .
 grabix leverages the fantastic BGZF library of the samtools
 package to provide random access into text files that have
 been compressed with bgzip. grabix creates it's own index
 (.gbi) of the bgzipped file. Once indexed, one can extract
 arbitrary lines from the file with the grab command. Or
 choose random lines with the, well, random command.

Package: grabserial
Description-md5: 46b3e5e34d2886ad3c34402573107cc9
Description-en: python-based serial dump and timing program
 grabserial is a small program which reads a serial port and writes the
 data tostandard output. The main purpose of this tool is to collect messages
 written to the serial console from a target board running Linux, and save
 the message on a host machine.

Package: grace
Description-md5: 47b00fa96f75d436d1eeb1c1e10f9763
Description-en: XY graphing and plotting tool
 Grace is a point-and-click tool that allows the user to draw X-Y plots.
 This is the program formerly known as Xmgr.
 .
 A few of its features are: User defined scaling, tick marks, labels,
 symbols, line styles, colors, polynomial regression, splines, running
 averages, DFT/FFT, cross/auto-correlation, batch mode for unattended
 plotting, and hardcopy support for PostScript, FrameMaker and several image
 formats.

Package: gradle
Description-md5: dc7c348ba78fae9c679e7d8a527a89ff
Description-en: Powerful build system for the JVM
 Gradle is a build tool with a focus on build automation and support for
 multi-language development. If you are building, testing, publishing, and
 deploying software on any platform, Gradle offers a flexible model that can
 support the entire development lifecycle from compiling and packaging code to
 publishing web sites. Gradle has been designed to support build automation
 across multiple languages and platforms including Java, Scala, Android, C/C++,
 and Groovy, and is closely integrated with development tools and continuous
 integration servers including Eclipse, IntelliJ, and Jenkins.

Package: gradle-apt-plugin
Description-md5: d14b610a4a1f33784fe062b68aa09bd7
Description-en: Gradle plugin to use Java annotation processors
 This plugin does a few things to make it easier/safer to use Java annotation
 processors in a Gradle build:
 .
   * it ensures the presence of configurations for your compile-time only
     dependencies (annotations, generally) and annotation processors,
     consistently across all supported Gradle versions;
   * automatically configures the corresponding JavaCompile and GroovyCompile
     tasks to make use of these configurations, when the java or groovy plugin
     is applied;
   * automatically configures IntelliJ IDEA and/or Eclipse when the
     net.ltgt.apt-idea or net.ltgt.apt-eclipse plugins are applied.

Package: gradle-completion
Description-md5: 65849255dfb98cfc79f743c371beef22
Description-en: Bash and Zsh completion support for Gradle
 Bash and Zsh completion support for Gradle.  This provides _fast_ tab
 completion for:
 .
  * Gradle tasks for the current project and sub-projects
  * Gradle CLI switches (e.g. `--parallel`)
  * Common Gradle properties (e.g. `-Dorg.gradle.debug`)
 .
 It also handles custom default build files, so
 `rootProject.buildFileName = 'build.gradle.kts'` is supported.

Package: gradle-debian-helper
Description-md5: ecdc14ab6558e348c752209d5b6475e2
Description-en: Helper tools for building Debian packages with Gradle
 gradle-debian-helper contains helper tools to ease the packaging of Gradle
 based projects in Debian. It consists in:
 .
  * a Gradle plugin resolving the dependencies against the system Maven
    repository (/usr/share/maven-repo). The resolver uses the same Maven
    rule files that maven-debian-helper and maven-repo-helper employ
    (debian/maven.rules, debian/maven.ignoreRules).
  * a debhelper class detecting Gradle build files, initializing the plugin
    and running Gradle in offline mode.

Package: gradle-doc
Description-md5: 04f26ebf5272e7198f7e00b417ed2738
Description-en: Powerful build system for the JVM - Documentations
 Gradle is a build tool with a focus on build automation and support for
 multi-language development. If you are building, testing, publishing, and
 deploying software on any platform, Gradle offers a flexible model that can
 support the entire development lifecycle from compiling and packaging code to
 publishing web sites. Gradle has been designed to support build automation
 across multiple languages and platforms including Java, Scala, Android, C/C++,
 and Groovy, and is closely integrated with development tools and continuous
 integration servers including Eclipse, IntelliJ, and Jenkins.
 .
 This package contains Gradle API Javadoc.

Package: gradle-ice-builder-plugin
Description-md5: d530105dad8f88cdd79c39382da1dab6
Description-en: Gradle plug-in for developing Ice applications in Java
 The Ice Builder for Gradle provides a Gradle plug-in named
 slice. This plug-in manages the compilation of Slice files to
 Java. It compiles your Slice files with slice2java, and it is also
 capable of generating Freeze maps and indices with slice2freezej.

Package: gradle-plugin-protobuf
Description-md5: 34cfe6b527c3378df41e489788edf3ea
Description-en: Protobuf Plugin for Gradle
 The Protobuf plugin processes the Protocol Buffers files (.proto) in the
 src/main/proto directory of Gradle projects. It generates the Java classes
 and compiles them automatically.

Package: gradle-propdeps-plugin
Description-md5: b34e1bf1b0e9f5cbf39e0b85e550591f
Description-en: Gradle plugin enhancing the Maven integration
 The propdeps plugin provides additional optional and provided dependency
 configurations for Gradle along with Maven POM generation support.

Package: gradm2
Description-md5: 4d55b6b31cfa23e59323c7fe9b273b95
Description-en: Administration program for the grsecurity2 RBAC based ACL system
 Used to manage the RBAC based ACL system of grsecurity2. Please note that you
 will need a specially patched kernel for grsecurity to work (see the
 kernel-patch-grsecurity2 Debian package). You can find more information
 about grsecurity at http://www.grsecurity.net/

Package: grads
Description-md5: 84551e8fdd6469194a90b28b624c624e
Description-en: Grid Analysis and Display System for earth science data
 The Grid Analysis and Display System (GrADS) is an interactive desktop tool
 that is used for easy access, manipulation, and visualization of earth
 science data. The format of the data may be either binary, GRIB, NetCDF, or
 HDF-SDS (Scientific Data Sets). GrADS has been implemented worldwide on
 a variety of commonly used operating systems and is freely distributed
 over the Internet.
 .
 GrADS uses a 4-Dimensional data environment: longitude, latitude,
 vertical level, and time. Data sets are placed within the 4-D space
 by use of a data descriptor file. GrADS interprets station data as well as
 gridded data, and the grids may be regular, non-linearly spaced, gaussian, or
 of variable resolution. Data from different data sets may be graphically
 overlaid, with correct spatial and time registration. Operations are executed
 interactively by entering FORTRAN-like expressions at the command line.
 A rich set of built-in functions are provided, but users may also add their
 own functions as external routines written in any programming language.
 .
 Data may be displayed using a variety of graphical techniques: line and
 bar graphs, scatter plots, smoothed contours, shaded contours, streamlines,
 wind vectors, grid boxes, shaded grid boxes, and station model plots.
 Graphics may be output in PostScript or image formats. GrADS provides
 geophysically intuitive defaults, but the user has the option to control
 all aspects of graphics output.
 .
 GrADS has a programmable interface (scripting language) that allows for
 sophisticated analysis and display applications. Use scripts to display
 buttons and dropmenus as well as graphics, and then take action based on user
 point-and-clicks. GrADS can be run in batch mode, and the scripting language
 facilitates using GrADS to do long overnight batch jobs.

Package: grafx2
Description-md5: e771fb5c7d5f136f7be9ce0065ddb60e
Description-en: ultimate 256-color bitmap paint program
 This is a bitmap paint program that allows you to draw in more than 60 video
 resolutions (from 320x200 to 1024x768, including most of the standard Amiga
 resolutions: 320x256, 320x512, 640x256, 640x512, and more, provided your
 videocard knows how to handle them).
 .
 This program is dedicated to everybody who knows what a single pixel is. Its
 layout is not very different from the famous Deluxe Paint or Brilliance, so
 it will be quite easy to handle it if you know at least one of these programs.
 If you aren't used to the art of drawing with up to 256 colors, it will be a
 little more difficult for you, but you should give it a try (or more, because
 most of the power of this program won't show up on the first try).

Package: grail-tools
Description-md5: b2fb46d940e3ac96e9aa55968f37d420
Description-en: Gesture Recognition And Instantiation Library - test tools
 This library consists of an interface and tools for handling gesture
 recognition and gesture instantiation. Applications can use the grail
 callbacks to receive gesture primitives and raw input events from the
 underlying kernel device.
 .
 This package provides some test tools for the grail library.

Package: gramadoir
Description-md5: bdebed776fb5b7ba299f0770c26a1aeb
Description-en: Irish language grammar checker (integration scripts)
 This package contains scripts for integrating the Irish language
 grammar checker module 'liblingua-ga-gramadoir-perl' into a variety
 of packages, including emacs, vim and OpenOffice.

Package: gramofile
Description-md5: a46be48b10c89b73939270ece8ef348a
Description-en: transfer sound from gramophone records to CD
 GramoFile enables you to record audio from (for example) gramophone
 records, process the signal and listen to the results. Because sound
 files in .WAV-format are used, it is possible to exchange the files
 with many other programs. Wodim(1) can burn CD-Recordables of these,
 so you can make CDs with the music of your favorite records.  The user
 interface of GramoFile has a windows-like look-and-feel, making it
 fairly easy to use.
 .
 One of the most important parts of GramoFile is the ability to process
 digital audio signals. Through the application of several filters it
 is possible to accomplish a significant reduction of disturbances like
 ticks and scratches. These filters have been programmed in such a fashion
 that they can be applied in any order (and multiple times) in a single
 run, thus avoiding the use of temporary files. It is possible to adjust
 the properties of each filter independently, so in every situation an
 optimal result can be achieved.
 .
 Another interesting feature is the track splitting. Just make one .wav
 file of an entire side of an record and GramoFile will detect where
 the individual tracks are located. This happens fully automatically,
 without need to set any options. More experienced users may fine-tune
 the algorithm, or change the detected track starts and ends, but
 generally that will not be necessary. Track-times are saved in an
 editable (plaintext) .tracks file, that will be used during the signal
 processing to produce one processed .wav file for each individual track.
 .
 To record and play .wav files, modified versions of brec(1) and bplay(1)
 by David Monro are included. These programs provide buffered recording
 and playback, so all will go well even on a highly loaded system. Both
 programs have been modified to support the standard GramoFile user
 interface.  Brec also got a `graphical' peak level meter, and bplay a
 running time display.

Package: gramophone2
Description-md5: 0042cd0ce6cfd7339f2f19d222709281
Description-en: GRAMophone II is an algorithmic music generator
 GRAMophone is partly based on an idea of Jon McCormack’s, who invented
 the idea of a virtual player (virtual musician). The player in question
 is associated with a MIDI track, and interprets instructions telling it
 what to do. Generally, they say play notes (send MIDI messages).
 GRAMophone’s players together make up an orchestra, which plays a
 composition. Any number of players can play a composition, but in practice
 the hardware used might impose an upper limit. In general every player
 plays an instrument and each has a different set of  grammar rules.
 An individual player is characterised by a set of parameters which are
 shared by the whole orchestra and/or a personal parameter set.

Package: gramps
Description-md5: 4b8460288fec6ae3a1337b9f64a45957
Description-en: Genealogical research program
 Gramps is an Open Source genealogy program written in Python, using
 the GTK/GNOME interface. It is an extremely flexible program fitting
 the needs for both the amateur genealogist and serious genealogical
 researcher.
 Gramps has the ability to import GEDCOM files exported from many
 proprietary genealogy programs and can produce a large number of
 reports in many popular formats.

Package: granatier
Description-md5: 9c1cc3a6aa86ca29a9daa93e6c56892a
Description-en: Bomberman clone
 Granatier is a clone of the classic Bomberman game, inspired by the work of
 the Clanbomber clone.
 .
 This package is part of the KDE games module.

Package: granite-demo
Description-md5: c63278c8762fd6b3f6c0a759729dcd47
Description-en: extension of GTK+ libraries (demo binary)
 Granite is an extension of GTK+. Among other things, it provides
 complex widgets and convenience functions designed for use in apps
 built for elementary OS.
 .
 This package contains a small demo application to show Granite Widgets.

Package: granule
Description-md5: 3a0c0efc797191cc4320d19b1057c8df
Description-en: flashcard program for learning new words
 Granule is a flashcard program that implements Leitner cardfile
 methodology for learning new words. It features both short-term and
 long-term memory training capabilities with scheduling.

Package: granule-docs
Description-md5: ac095c071236ec7f67fcc6086423e5fc
Description-en: flashcard program for learning new words -- documentation
 Granule is a flashcard program that implements Leitner cardfile
 methodology for learning new words. It features both short-term and
 long-term memory training capabilities with scheduling.
 .
 This package contains the HTML documentation for Granule.

Package: grap
Description-md5: 7ff5df83b0cb0e0bb10afd968b5e3bb4
Description-en: program for typesetting graphs
 This is grap, an implementation of Kernighan and Bentley's grap language
 for typesetting graphs. The grap preprocessor works with pic and troff
 (or groff).
 .
 Grap is a language for describing graphical displays of data. It
 provides such services as automatic scaling and labeling of axes,
 and for statements, if statements, and macros to facilitate user
 programmability. Grap is intended primarily for including graphs in
 documents prepared with groff or TeX, and is only marginally useful for
 elementary tasks in data analysis.

Package: graphdefang
Description-md5: 56442872d10189f16df5ea7e34c05805
Description-en: grapher for MIMEDefang spam and virus logs
 GraphDefang is a configurable utility that parses arbitrary
 syslog entries and creates a set of configurable charts (PNG files)
 with the data. These files can be written to disk or displayed by a
 web server through a CGI script.

Package: graphene-tests
Description-md5: 8fdc06ae4b0c0f27850a10c074d05652
Description-en: library of graphic data types (installed tests)
 Graphene provides a small set of mathematical types needed to implement
 graphic libraries that deal with 2D and 3D transformations and projections.
 .
 This library provides types and their relative API; it does not deal with
 windowing system surfaces, drawing, scene graphs, or input.
 .
 This package contains test programs, designed to be run as part of a
 regression testsuite.

Package: graphicsmagick
Description-md5: 49dce754c724afc2a734936e7250be4b
Description-en: collection of image processing tools
 GraphicsMagick provides a set of command-line applications to manipulate
 image files. It is a fork of the ImageMagick project and therefore offers
 a similar set of features, but puts a larger emphasis on stability.
 .
 The tools support a large variety of image formats from the widely used
 jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image
 formats found on some photo CDs. They can convert between formats,
 concatenate several images into one, annotate and distort them, create
 thumbnails or manipulate the colormap. While all features are available
 from the command-line, the package also includes an image viewer that
 allows interactive manipulation.
 .
 Note that unlike ImageMagick, the GraphicsMagick tools are accessed
 through a single executable called 'gm'. Therefore, GraphicsMagick and
 ImageMagick can be used in parallel. Install package
 graphicsmagick-imagemagick-compat to obtain a set of several executables
 that is compatible to ImageMagick's interface.

Package: graphicsmagick-dbg
Description-md5: fcc436d75960b67f4f57213c969230ff
Description-en: format-independent image processing - debugging symbols
 GraphicsMagick provides libraries in several programming languages to read,
 write and manipulate image files across a large number of formats, from the
 widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or
 image formats found on some photo CDs. There are functions for finegrained
 image processing tasks, as well as conversion routines between the various
 image formats.
 .
 The GraphicsMagick library is a fork of ImageMagick and therefore offers
 an interface that is similar in features, but intended to be more stable
 across releases. While compatibility does not go so far that the
 GraphicsMagick library serves as a drop-in replacement for ImageMagick,
 conversion can usually be done with little effort.
 .
 This package contains debugging symbols for the gm executable as well as the
 C, C++, and Perl bindings. Tools like gdb and ltrace make use of these
 symbols.

Package: graphicsmagick-imagemagick-compat
Description-md5: 06e8ce60c8b196d658a1e3bb6c1eebec
Description-en: image processing tools providing ImageMagick interface
 GraphicsMagick provides a set of command-line applications to manipulate
 image files. It is a fork of the ImageMagick project and therefore offers
 a similar set of features, but puts a larger emphasis on stability.
 .
 With this package installed, the GraphicsMagick command line tools can
 be executed like their ImageMagick counterparts. It allows one to migrate
 scripts from ImageMagick to GraphicsMagick without any code changes.

Package: graphicsmagick-libmagick-dev-compat
Description-md5: 9f57ab3d18417d8eacccdce6026304cc
Description-en: image processing libraries providing ImageMagick interface
 GraphicsMagick provides libraries in several programming languages to read,
 write and manipulate image files across a large number of formats, from the
 widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or
 image formats found on some photo CDs. There are functions for finegrained
 image processing tasks, as well as conversion routines between the various
 image formats.
 .
 The GraphicsMagick libraries are a fork of ImageMagick and therefore offer
 programming interfaces that are mostly compatible. While there might be
 small differences, experience tells that many programs only use a compatible
 subset and build fine with either GraphicsMagick or ImageMagick.
 .
 While GraphicsMagick uses different names for libraries, classes, and
 helper applications in order to coexist with ImageMagick, this package
 intends to minimise porting efforts by exporting the GraphicsMagick
 interface with the usual ImageMagick names. Note that this package only
 tries to provide build-time compatibility. Run-time libraries of
 ImageMagick and GraphicsMagick are incompatible and cannot be interchanged.
 .
 This package includes compatibility wrappers for the C, C++, and Perl
 libraries.

Package: graphite-api
Description-md5: b497ba4cff69c3287b68ea35e87aa666
Description-en: Enterprise Scalable Realtime Graphing (API-only frontend)
 Graphite consists of a storage backend and a web-based visualization
 frontend. Client applications send streams of numeric time-series
 data to the Graphite backend (called carbon), where it gets stored in
 fixed-size database files similar in design to RRD.
 .
 Graphite-API is an alternative to Graphite-web, without any built-in
 dashboard. Its role is solely to fetch metrics from a time-series
 database and rendering graphs or JSON data out of these time
 series. It is meant to be consumed by any of the numerous Graphite
 dashboard applications.

Package: graphite-carbon
Description-md5: 81181f1ab7b63c53be5b1e2e74416690
Description-en: backend data caching and persistence daemon for Graphite
 Graphite is a web application using the Twisted framework to provide
 real-time visualization and storage of numeric time-series data.
 .
 The Carbon daemon processes the incoming time-series data and saves it
 as Whisper database files.

Package: graphite-web
Description-md5: d194dc951df04c82ac612f6b137edef8
Description-en: Enterprise Scalable Realtime Graphing
 Graphite consists of a storage backend and a web-based visualization
 frontend. Client applications send streams of numeric time-series
 data to the Graphite backend (called carbon), where it gets stored in
 fixed-size database files similar in design to RRD. The web frontend
 provides 2 distinct user interfaces for visualizing this data in
 graphs as well as a simple URL-based API for direct graph generation.
 .
 Graphite's design is focused on providing simple interfaces (both to
 users and applications), real-time visualization, high-availability,
 and enterprise scalability.

Package: graphlan
Description-md5: 0a404bb87a525c1b3711db4d06798073
Description-en: circular representations of taxonomic and phylogenetic trees
 GraPhlAn is a software tool for producing high-quality circular
 representations of taxonomic and phylogenetic trees. It focuses on
 concise, integrative, informative, and publication-ready representations
 of phylogenetically- and taxonomically-driven investigation.

Package: graphmonkey
Description-md5: 550b1281fb1a774e77e4c8b225b51bcf
Description-en: a GTK#-based graphing calculator
 GraphMonkey is a GTK#-based graphic calculator. It uses a simple interface to
 draw curves.

Package: graphviz
Description-md5: 3596bf983eb939217c51507635922cde
Description-en: rich set of graph drawing tools
 Graph drawing addresses the problem of visualizing structural information
 by constructing geometric representations of abstract graphs and networks.
 Automatic generation of graph drawings has important applications in key
 technologies such as database design, software engineering, VLSI and
 network design and visual interfaces in other domains. Situations where
 these tools might be particularly useful include:
 .
   * you would like to restructure a program and first need to understand
     the relationships between its types, procedures, and source files
   * you need to find the bottlenecks in an Internet backbone - not only
     individual links, but their relationships
   * you're debugging a protocol or microarchitecture represented as a
     finite state machine and need to figure out how a certain
     error state arises
   * you would like to browse a database schema, knowledge base, or
     distributed program represented graphically
   * you would like to see an overview of a collection of linked documents
   * you would like to discover patterns and communities of interest in a
     database of telephone calls or e-mail messages
 .
 This package contains the command-line tools.

Package: graphviz-doc
Description-md5: f78e17da4b5250c519aa60f99d9c7a3c
Description-en: additional documentation for graphviz
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package installs HTML and PDF documentation for Graphviz, as well as
 examples.

Package: grass
Description-md5: 927e5df9adc0c220121824a1dcc21063
Description-en: Geographic Resources Analysis Support System (GRASS GIS)
 Commonly referred to as GRASS, this is a Geographic Information
 System (GIS) used for geospatial data management and analysis,
 image processing, graphics/map production, spatial modeling, and
 visualization. GRASS is currently used in academic and commercial
 settings around the world, as well as by many government agencies
 and environmental consulting companies.
 .
 Install this virtual package to get a full GRASS system.

Package: grass-core
Description-md5: 33e9934554fa4350e5e0a1f805667d00
Description-en: GRASS GIS core components
 Commonly referred to as GRASS, this is a Geographic Information
 System (GIS) used for geospatial data management and analysis,
 image processing, graphics/map production, spatial modeling, and
 visualization. GRASS is currently used in academic and commercial
 settings around the world, as well as by many government agencies
 and environmental consulting companies.
 .
 This package contains the core processing components, but not the GUI.

Package: grass-dev
Description-md5: 616bd30de8154cdd565de75c39c27e9e
Description-en: GRASS GIS development files
 Commonly referred to as GRASS, this is a Geographic Information
 System (GIS) used for geospatial data management and analysis,
 image processing, graphics/map production, spatial modeling, and
 visualization. GRASS is currently used in academic and commercial
 settings around the world, as well as by many government agencies
 and environmental consulting companies.
 .
 This package contains the header files for developing with GRASS.
 Install this package if you wish to develop software that manipulates
 GRASS data sets or install addons using the g.extension module.

Package: grass-dev-doc
Description-md5: ef2a9e3080088e5b0b1f65283e6916f1
Description-en: GRASS GIS Programmers' Manual
 Commonly referred to as GRASS, this is a Geographic Information
 System (GIS) used for geospatial data management and analysis,
 image processing, graphics/map production, spatial modeling, and
 visualization. GRASS is currently used in academic and commercial
 settings around the world, as well as by many government agencies
 and environmental consulting companies.
 .
 This package contains the HTML version of the GRASS Programmers' Manual.

Package: grass-doc
Description-md5: 02ab14d43af943d7df222d347f112b94
Description-en: GRASS GIS user documentation
 Commonly referred to as GRASS, this is a Geographic Information
 System (GIS) used for geospatial data management and analysis,
 image processing, graphics/map production, spatial modeling, and
 visualization. GRASS is currently used in academic and commercial
 settings around the world, as well as by many government agencies
 and environmental consulting companies.
 .
 This package contains HTML documentation for the GRASS system.

Package: grass-gui
Description-md5: 6bf145f40e4f052910e511eb22945ae8
Description-en: GRASS GIS graphical user interfaces
 Commonly referred to as GRASS, this is a Geographic Information
 System (GIS) used for geospatial data management and analysis,
 image processing, graphics/map production, spatial modeling, and
 visualization. GRASS is currently used in academic and commercial
 settings around the world, as well as by many government agencies
 and environmental consulting companies.
 .
 This package contains the GRASS GUIs.

Package: gravit
Description-md5: 791c18ca87021e47f8160e7549b3131a
Description-en: visually stunning gravity simulator
 Gravit is a free, visually stunning gravity simulator,
 where you can spend endless time experimenting
 with various configurations of simulated universes.
 .
 Features:
  - Stunning visualisations
  - Create unlimited variations of simulations
  - You can view the simulation in
    3D without glasses using stereoscopic imaging
  - Can be installed as a screen saver in Windows
  - You can record, then play back at any speed
  - Stores every particle's position for every frame in memory
  - Compresses history when needed, for very long recordings
  - Mouse controllable rotation
  - Console with script execution
  - Colours can be based on mass, velocity, acceleration,
    momentum or kinetic energy
  - Save and Load simulations
  - Update display/input while recording a frame

Package: gravit-data
Description-md5: 78e958209260558241526b1f1bbe663e
Description-en: data files for Gravit
 Gravit is a free, visually stunning gravity simulator,
 where you can spend endless time experimenting
 with various configurations of simulated universes.
 .
 This package contains data files required by Gravit.

Package: gravitation
Description-md5: 2879fb298eed14a8a20fd5f640dd402b
Description-en: game about mania, melancholia, and the creative process
 Gravitation is a short, autobiographical pixelated art game by
 Jason Rohrer. It is a followup to his previous game, "Passage".
 It can be explored in approximately 8 minutes. If in doubt,
 please keep playing. If you still don't understand the game,
 please read the game creators statement on the website and
 the experiences of other people linked from the website.

Package: gravitywars
Description-md5: 5b7f9844738f58205fec58a50bb539a7
Description-en: clone of Gravity Force
 Gravity Wars is inspired by Gravity Force, one of the greatest games
 for the Amiga. This version is a little different, with a much higher
 resolution, and better graphics.
 .
 The aim of the game is to guide a spaceship using only thrust and
 orientation to the exit, fighting against gravity and inertia. It
 includes some new features like water, exploding doors, bonus objects,
 fans (ie. wind), and some other special effects like exploding bullets
 and splashing water.
 .
 Gravity Wars is no longer maintained upstream and this Debian package
 should be considered the current upstream version.

Package: graywolf
Description-md5: 0edd9487336bbca3727ea9c4744a99e6
Description-en: Placement for digital VLSI design
 This is a utility used to perform placement of digital VLSI designs. It is
 based on some code from the early 90s and it is one of the building blocks
 of the open source qflow digital design flow.

Package: grc
Description-md5: 15f9456730365b8748d5cefd8eaa6d49
Description-en: generic colouriser for everything
 generic colouriser, can be used to colourise logfiles,
 output of commands, arbitrary text....
 configured via regexp's.

Package: grcompiler
Description-md5: 0116e4c05a4d75fe20f9f1a6f7b80e93
Description-en: Compiler of smart (graphite) fonts
 SILGraphite is a system that can be used to create and use "smart
 fonts" capable of displaying writing systems with various complex
 behaviors, such as: contextual shaping, ligatures, reordering, split
 glyphs, bidirectionality, stacking diacritics and complex positioning.
 .
 This library was designed and developed by the NRSI (Non-Roman Script
 Initiative) within SIL International (www.sil.org) to act as a
 complement to other smart font rendering technologies with limited
 practical local extensibility. Its purpose is to help meet the needs of
 a very large number of "minority language" communities for local
 extensibility of complex script behaviors.
 .
 The behavior of the SILGraphite rendering engine for a given writing
 system is specified through extra tables added to a TrueType font. These
 tables are generated by compiling a GDL (Graphite Description Language)
 source file into a font using grcompiler.
 .
 This package contains the graphite compiler.

Package: grdesktop
Description-md5: 11fe1404ccd7f3abd972c97948f49006
Description-en: GNOME frontend for the rdesktop client
 grdesktop is a GNOME frontend for the remote desktop client (rdesktop).
 .
 It can save several connections (including their options), and
 browse the network for available terminal servers.

Package: greed
Description-md5: 23e68f1ae0f598e8c31521d5eea05ed7
Description-en: curses-based clone of the DOS free-ware game Greed
 This is a curses-based clone of the DOS free-ware game Greed. The goal
 of this game is to try to eat as much as possible of the board before
 munching yourself into a corner.

Package: greenbone-security-assistant
Description-md5: a336a437d78846594021e5c367aaf7ff
Description-en: remote network security auditor - web interface
 The Greenbone Security Assistant is a web application that
 connects to the OpenVAS Manager and OpenVAS Administrator
 to provide for a full-featured user interface for
 vulnerability management.

Package: greenbone-security-assistant-common
Description-md5: c03f709d30a81941478bd4397bfcc132
Description-en: architecture independent files for greenbone-security-assistant
 The Greenbone Security Assistant is a web application that
 connects to the OpenVAS Manager and OpenVAS Administrator
 to provide for a full-featured user interface for
 vulnerability management.
 .
 This package contains the common greenbone-security-assistant
 files shared by all architectures.

Package: grepcidr
Description-md5: 1a46931c736d83eb11ae7a54ec18f42a
Description-en: Filter IP addresses matching IPv4/IPv6 CIDR/network specification
 grepcidr can be used to filter a list of IP addresses against one or
 more Classless Inter-Domain Routing (CIDR) specifications, or
 arbitrary networks specified by an address range. As with grep, there
 are options to invert matching and load patterns from a file.
 grepcidr is capable of comparing thousands or even millions of IPs
 to networks with little memory usage and in reasonable computation
 time.
 .
 grepcidr has endless uses in network software, including: mail
 filtering and processing, network security, log analysis, and many
 custom applications.

Package: grepmail
Description-md5: 368fd4839025ccd093ea849972b910eb
Description-en: search mailboxes for mail matching an expression
 Grepmail looks for mail messages containing a pattern, and prints the
 resulting messages. Usage is very similar to grep. It can handle
 compressed mailbox files, and can search the header or body of emails.
 It also supports searches constrained by date and size.

Package: gretl
Description-md5: 794e28c78e36c7b7cd146f72657cb173
Description-en: GNU Regression, Econometric & Time-Series Library
 The GNU Regression, Econometric and Time-Series Library (gretl) is a
 software package for econometric analysis.  The package comprises a
 shared library, a command-line client program, and a graphical client
 built using GTK+.
 .
 This package provides the GTK+ client and the command-line client.

Package: gretl-common
Description-md5: ff96d7a4a8ecc0d9dc4d3a5fc7a9276e
Description-en: GNU Regression, Econometric & Time-Series Library -- scripts package
 The GNU Regression, Econometric and Time-Series Library (gretl) is a
 software package for econometric analysis.  The package comprises a
 shared library, a command-line client program, and a graphical client
 built using GTK+.
 .
 This package provides scripts (included in the gretl sources) which are
 used by the main gretl program.

Package: gretl-data
Description-md5: ca39f18d7838200b73a31c129d1a7c2e
Description-en: GNU Regression, Econometric & Time-Series Library -- data package
 The GNU Regression, Econometric and Time-Series Library (gretl) is a
 software package for econometric analysis. The package comprises a
 shared library, a command-line client program, and a graphical client
 built using GTK+.
 .
 This package provides data sets (included in the gretl sources) which are
 used by the main gretl program.

Package: gretl-doc
Description-md5: 12446f8f1d87b3da9e7f7f2362aac9e3
Description-en: GNU Regression, Econometric & Time-Series Library -- doc package
 The GNU Regression, Econometric and Time-Series Library (gretl) is a
 software package for econometric analysis.  The package comprises a
 shared library, a command-line client program, and a graphical client
 built using GTK+.
 .
 This package provides documentation and examples included in the gretl
 sources.

Package: greybird-gtk-theme
Description-md5: c43b7907c5115df43a9907ba3295a728
Description-en: grey GTK+ theme from the Shimmer Project
 Greybird has been the default theme for Xubuntu since 2011 when it
 replaced Bluebird. Greybird can be described as more conservative yet more
 modern than Bluebird. The Shimmer Project are the designers and developers of
 both themes.
 .
 Although originally created for Xfce, Greybird also supports other
 GTK+-based environments including Cinnamon, GNOME Shell, MATE, and Unity.

Package: greylistd
Description-md5: 56c43d08488e8d5d2e49ec502ed506c6
Description-en: Greylisting daemon for use with Exim 4
 This daemon provides a simple greylisting implementation for use with
 the Exim Mail Transport Agent (MTA), version 4.
 .
 Once installed, you will need to configure your MTA to query the
 greylistd server.  This package contains a script to automatically
 configure Exim 4. It may be possible to use greylistd with other MTAs
 as well. Postfix users may want to check out the "postgrey" package
 instead of this one.

Package: grfcodec
Description-md5: d77e60b7da482e8fa34422386a5ecdb8
Description-en: suite of programs to modify Transport Tycoon Deluxe's GRF files
 This package provides the grfcodec, grfdiff, grfmerge and nforenum commands
 which can be used to work with the GRF used by the Transport Tycoon Deluxe,
 TTDPatch and OpenTTD games.

Package: grhino
Description-md5: 72a85f8745f4691404e8419db8cc4545
Description-en: othello/reversi boardgame
 grhino, or rhino its former name, is an Othello/Reversi game.  What
 distinguish grhino from most other Othello games is that grhino is
 targeted for experienced Othello players. Strong AI is the main focus.
 Its AI can be used with quarry.

Package: grhino-data
Description-md5: 4e8922c9bab85c2126ce00d76b2bfa3f
Description-en: othello/reversi boardgame - data-files
 grhino, or rhino its former name, is an Othello/Reversi game.  What
 distinguish grhino from most other Othello games is that grhino is
 targeted for experienced Othello players. Strong AI is the main focus.
 Its AI can be used with quarry.
 .
 This package contains the data files needed by grhino.

Package: gridengine-client
Description-md5: 53498ca1a6859f13dd4042c1f0a68669
Description-en: Utilities for Grid Engine queue management
 Grid Engine is software that facilitates "distributed resource
 management" (DRM).  Far more than just simple load-balancing tools or
 batch scheduling mechanisms, DRM software typically provides the
 following key features across large sets of distributed resources:
 .
   * Policy based allocation of distributed resources (CPU time,
     software licenses, etc.)
   * Batch queuing & scheduling
   * Support diverse server hardware, OS and architectures
   * Load balancing & remote job execution
   * Detailed job accounting statistics
   * Fine-grained user specifiable resources
   * Suspend/resume/migrate jobs
   * Tools for reporting Job/Host/Cluster status
   * Job Arrays
   * Integration & control of parallel jobs
 .
 This package contains the Grid Engine user utilities.

Package: gridengine-common
Description-md5: c872b80b4810c7a2b08cd2febf99decd
Description-en: Distributed resource management - common files
 Grid Engine is software that facilitates "distributed resource
 management" (DRM).  Far more than just simple load-balancing tools or
 batch scheduling mechanisms, DRM software typically provides the
 following key features across large sets of distributed resources:
 .
   * Policy based allocation of distributed resources (CPU time,
     software licenses, etc.)
   * Batch queuing & scheduling
   * Support diverse server hardware, OS and architectures
   * Load balancing & remote job execution
   * Detailed job accounting statistics
   * Fine-grained user specifiable resources
   * Suspend/resume/migrate jobs
   * Tools for reporting Job/Host/Cluster status
   * Job Arrays
   * Integration & control of parallel jobs
 .
 This package contains required shared files and the basic configuration.

Package: gridengine-dev
Description-md5: fe6702234296bccedf5fb737e6e0d025
Description-en: Distributed resource management - development files
 Grid Engine is software that facilitates "distributed resource
 management" (DRM).  Far more than just simple load-balancing tools or
 batch scheduling mechanisms, DRM software typically provides the
 following key features across large sets of distributed resources:
 .
   * Policy based allocation of distributed resources (CPU time,
     software licenses, etc.)
   * Batch queuing & scheduling
   * Support diverse server hardware, OS and architectures
   * Load balancing & remote job execution
   * Detailed job accounting statistics
   * Fine-grained user specifiable resources
   * Suspend/resume/migrate jobs
   * Tools for reporting Job/Host/Cluster status
   * Job Arrays
   * Integration & control of parallel jobs
 .
 This package contains development files for interacting with
 the Grid Engine database and job submission verifier.

Package: gridengine-drmaa-dev
Description-md5: 82baba8c373bfa06b4bfa008d3a9f7b5
Description-en: Distributed resource management Application API library - development files
 DRMAA (Distributed Resource Management Application API) is a specification
 developed by a working group in the Global Grid Forum (GGF).  The intention
 is to provide an API specification for the submission and control of jobs
 to one or more Distributed Resource Management (DRM) systems.
 .
 This package contains the development files for the library which provides
 the Grid Engine implementation of the DRMAA specification.

Package: gridengine-drmaa1.0
Description-md5: 8fec391cd48f75fff0bdd902c418aa83
Description-en: Distributed resource management Application API library
 DRMAA (Distributed Resource Management Application API) is a specification
 developed by a working group in the Global Grid Forum (GGF).  The intention
 is to provide an API specification for the submission and control of jobs
 to one or more Distributed Resource Management (DRM) systems.
 .
 This package contains the library which provides the Grid Engine
 implementation of the DRMAA specification.

Package: gridengine-exec
Description-md5: 6eadea8f174d60413282e34894dc3efc
Description-en: Distributed resource management - Execution Server
 Grid Engine is software that facilitates "distributed resource
 management" (DRM).  Far more than just simple load-balancing tools or
 batch scheduling mechanisms, DRM software typically provides the
 following key features across large sets of distributed resources:
 .
   * Policy based allocation of distributed resources (CPU time,
     software licenses, etc.)
   * Batch queuing & scheduling
   * Support diverse server hardware, OS and architectures
   * Load balancing & remote job execution
   * Detailed job accounting statistics
   * Fine-grained user specifiable resources
   * Suspend/resume/migrate jobs
   * Tools for reporting Job/Host/Cluster status
   * Job Arrays
   * Integration & control of parallel jobs
 .
 This package contains the Grid Engine execution server.

Package: gridengine-master
Description-md5: 68e4d6273a227ee167642634bb3a4218
Description-en: Distributed resource management - Master Server
 Grid Engine is software that facilitates "distributed resource
 management" (DRM).  Far more than just simple load-balancing tools or
 batch scheduling mechanisms, DRM software typically provides the
 following key features across large sets of distributed resources:
 .
   * Policy based allocation of distributed resources (CPU time,
     software licenses, etc.)
   * Batch queuing & scheduling
   * Support diverse server hardware, OS and architectures
   * Load balancing & remote job execution
   * Detailed job accounting statistics
   * Fine-grained user specifiable resources
   * Suspend/resume/migrate jobs
   * Tools for reporting Job/Host/Cluster status
   * Job Arrays
   * Integration & control of parallel jobs
 .
 This package contains the Grid Engine master server.

Package: gridengine-qmon
Description-md5: 67a81db98416bbd0fcc967799f0b0817
Description-en: Graphical utilities for Grid Engine queue management
 Grid Engine is software that facilitates "distributed resource
 management" (DRM).  Far more than just simple load-balancing tools or
 batch scheduling mechanisms, DRM software typically provides the
 following key features across large sets of distributed resources:
 .
   * Policy based allocation of distributed resources (CPU time,
     software licenses, etc.)
   * Batch queuing & scheduling
   * Support diverse server hardware, OS and architectures
   * Load balancing & remote job execution
   * Detailed job accounting statistics
   * Fine-grained user specifiable resources
   * Suspend/resume/migrate jobs
   * Tools for reporting Job/Host/Cluster status
   * Job Arrays
   * Integration & control of parallel jobs
 .
 This package contains the graphical Grid Engine administration frontend.

Package: gridlock.app
Description-md5: 8e1c173bfceadc409b9772f45ee117d0
Description-en: Collection of grid-based board games for GNUstep
 Gridlock is a collection of grid-based board games for GNUstep, including
 Ataxx, Reversi, Gomoku, Connect Four, Breakthrough, Glass Bead, Hexapawn,
 Quad Wrangle, Cats and Dogs and Moray Eels. You can play against another
 person or computer opponents of varying difficulty, even over the network.

Package: gridsite
Description-md5: a81965994806c60e1d865a052a06e01a
Description-en: Grid Security for the Web, Web platforms for Grids
 GridSite was originally a web application developed for managing and
 formatting the content of the http://www.gridpp.ac.uk/ website. Over
 the past years it has grown into a set of extensions to the Apache
 web server and a toolkit for Grid credentials, GACL access control
 lists and HTTP(S) protocol operations.
 .
 This package, gridsite, contains Apache httpd modules for enabling
 mod_gridsite.

Package: gridsite-clients
Description-md5: 973466320939d537b48e238224475332
Description-en: Clients to gridsite: htcp, htrm, htmv
 GridSite was originally a web application developed for managing and
 formatting the content of the http://www.gridpp.ac.uk/ website. Over
 the past years it has grown into a set of extensions to the Apache
 web server and a toolkit for Grid credentials, GACL access control
 lists and HTTP(S) protocol operations.
 .
 This package, gridsite-clients, contains clients for using against
 gridsite, htcp, htrm, ...

Package: gridsite-doc
Description-md5: e2045a0a76de8ce023caa6e2932af60e
Description-en: Developers Documentation for gridsite
 GridSite was originally a web application developed for managing and
 formatting the content of the http://www.gridpp.ac.uk/ website. Over
 the past years it has grown into a set of extensions to the Apache
 web server and a toolkit for Grid credentials, GACL access control
 lists and HTTP(S) protocol operations.
 .
 This package, gridsite-doc, contains developer documentation for
 gridsite.

Package: grig
Description-md5: 1a0594c46daf0c6d67d04d5c53b02c16
Description-en: graphical user interface to the Ham Radio Control Libraries
 GNOME RIG is a graphical user interface to the Ham Radio Control Libraries,
 which lets you control your communication radios and/or antenna rotators from
 a personal computer. GNOME RIG is written using the Gtk+ and GNOME widgets.
 .
 GNOME RIG is in a very early stage and it supports only a very little subset
 of the full Hamlib API, but it can be very useful in testing basic hamlib
 support for your rig.

Package: grilo-plugins-0.3
Description-md5: f7cd8564e177035853c74b50022251cc
Description-en: transitional package
 This is a transitional package to ease upgrades to
 grilo-plugins-0.3-base and grilo-plugins-0.3-extra. It can safely be removed.

Package: grilo-plugins-0.3-extra
Description-md5: e9b8a427f294cedcda5f49bf2899c403
Description-en: Framework for discovering and browsing media - Extra Plugins
 Grilo is a framework focused on making media discovery and browsing
 easy for application developers.
 .
 More precisely, Grilo provides:
   * A single, high-level API that abstracts the differences among
     various media content providers, allowing application developers
     to integrate content from various services and sources easily.
   * A collection of plugins for accessing content from various media
     providers. Developers can share efforts and code by writing
     plugins for the framework that are application agnostic.
   * A flexible API that allows plugin developers to write plugins of
     various kinds.
 .
 This package contains these plugins officially distributed with Grilo:
   * Chromaprint
   * DAAP / DPAP
   * dLeyna
   * Flickr
   * Freebox
   * Magnatune
   * Podcasts
   * TMDb
   * Vimeo
   * Youtube

Package: grilo-plugins-dvb-daemon
Description-md5: b934ad610d4b7445cddce545b0b6d58a
Description-en: grilo plugin for gnome-dvb-daemon
 GNOME DVB Daemon is a GStreamer based daemon to setup your
 DVB devices, record and/or watch TV shows and browse EPG.
 .
 This package contains the Grilo plugin.

Package: grim
Description-md5: 58ff81024c1e940fd1ba2e303bfc9286
Description-en: command-line utility to make screenshots of Wayland desktops
 grim is a command-line utility to take screenshots of Wayland desktops. For now
 it requires support for the screencopy protocol to work. Support for the
 xdg-output protocol is optional, but improves fractional scaling support.
 grim will write a PNG to a file or to stdout.

Package: grinder
Description-md5: 83e4b80a1bd0288759d7cb1739440cc0
Description-en: Versatile omics shotgun and amplicon sequencing read simulator
 Grinder is a versatile program to create random shotgun and amplicon sequence
 libraries based on DNA, RNA or proteic reference sequences provided in a
 FASTA file.
 .
 Grinder can produce genomic, metagenomic, transcriptomic, metatranscriptomic,
 proteomic, metaproteomic shotgun and amplicon datasets from current
 sequencing technologies such as Sanger, 454, Illumina. These simulated
 datasets can be used to test the accuracy of bioinformatic tools under
 specific hypothesis, e.g. with or without sequencing errors, or with low or
 high community diversity. Grinder may also be used to help decide between
 alternative sequencing methods for a sequence-based project, e.g. should the
 library be paired-end or not, how many reads should be sequenced.

Package: gringo
Description-md5: bd436af228b986e8da930746dfb6518b
Description-en: grounding tools for (disjunctive) logic programs
 Current answer set solvers work on variable-free programs. Hence, a
 grounder is needed that, given an input program with first-order
 variables, computes an equivalent ground (variable-free) program.
 .
 This package contains the following tools:
 .
  - gringo: a grounder that, given an input program with first-order
    variables, computes an equivalent ground (variable-free) program in
    aspif format. Its output can be processed further with answer set
    solver clasp. Starting with gringo series 5, its output is no longer
    directly compatible with solvers like smodels or cmodels reading
    smodels format. Use lpconvert for translating aspif format to
    smodels format.
  - clingo: combines both gringo and clasp into a monolithic system.
    This way it offers more control over the grounding and solving
    process than gringo and clasp can offer individually: multi-shot
    solving.
  - lpconvert: converter between gringo's aspif and smodels format.
  - reify: small utility that reifies logic programs given in aspif
    format. It produces a set of facts, which can be processed further
    with gringo.

Package: gringotts
Description-md5: a7a36dcc9d48e26aac0cbc1afea0d55f
Description-en: secure password and data storage manager
 Gringotts is a small utility that allows you to store and organize
 sensitive data (passwords, credit card numbers, PINs etc.) in an
 easy-to-read, easy-to-access, and protected form.

Package: grip
Description-md5: 9e7618d2f246f61911645732a8eb8913
Description-en: Preview GitHub Markdown files like Readme locally
 Grip is a command-line server application written in Python that uses the
 GitHub markdown API to render a local readme file. The styles come directly
 from GitHub, so you'll know exactly how it will appear. Changes you make to
 the Readme will be instantly reflected in the browser without requiring a page
 refresh.

Package: grisbi
Description-md5: 2e2b9189a66757c2dd5b8cfb21577554
Description-en: personal finance management program
 Grisbi is a personal accounting program. Grisbi can handle multiple
 accounts, currencies and users. It helps you manage your money using
 third party, expenditure and receipt categories, as well as budgetary
 lines, financial years, and other information that makes it adapted
 for both personal and associative accounting.
 .
 Grisbi can import accounts from QIF, OFX and Gnucash files. It can
 print reports using LaTeX or export them via HTML.

Package: grisbi-common
Description-md5: f95430f0ac4869224285853fad4936c4
Description-en: shared files for the finance management program Grisbi
 Grisbi is a personal accounting program. Grisbi can handle multiple
 accounts, currencies and users. It helps you manage your money using
 third party, expenditure and receipt categories, as well as budgetary
 lines, financial years, and other information that makes it adapted
 for both personal and associative accounting.
 .
 This package contains architecture-independent files (icons,
 documentation and translations).

Package: grml-debootstrap
Description-md5: 637b80e290fb0ee3d778af7f1a53dfd7
Description-en: wrapper around debootstrap for installing pure Debian
 Provides a wrapper suite around debootstrap and cdebootstrap
 to ease installation of a pure Debian system.
 .
 Configuration can be done on the command line, in a dialog frontend
 or in /etc/debootstrap/config. You will get a pure Debian system
 installed on the specified device or directory, or directly into
 an image file suitable for Virtual Machine use.

Package: grml-rescueboot
Description-md5: 05740118eb37ee22adabc6547ec9f836
Description-en: Integrates Grml ISO booting into GRUB
 This package provides a script for update-grub which looks for
 Grml ISO images in /boot/grml and automatically adds an entry
 for each image. The purpose is to use one of those images to
 boot a Grml rescue system without using a CD or USB stick.

Package: grml2usb
Description-md5: 6f19a2b4627bb17b0c1aa25658a7bf94
Description-en: install Grml system / ISO to usb device
 This script installs a Grml ISO to an USB device to be able
 to boot from it.  Make sure you have at least one Grml ISO
 or a running Grml system (/run/live/medium) available.

Package: groff
Description-md5: 11123d1736a0022d49d06092e56ad563
Description-en: GNU troff text-formatting system
 This package contains optional components of the GNU troff text-formatting
 system. The core package, groff-base, contains the traditional tools like
 troff, nroff, tbl, eqn, and pic. This package contains additional devices
 and drivers for output to DVI, HTML (when recommended packages are
 installed - see below), PDF, HP LaserJet printers, and Canon CAPSL LBP-4
 and LBP-8 printers.
 .
 The X75, X75-12, X100, and X100-12 devices, which allow groff output to be
 conveniently viewed on an X display using the standard X11 fonts, are now
 included here. They were previously in a separate package, groff-x11.
 .
 Besides these, the groff package contains man pages describing the language
 and its macro sets, info documentation, and a number of supplementary
 programs:
 .
  - gxditview, which is used to display the output of the X* devices, and
    can also be used to view PostScript output from groff;
  - grn, a preprocessor for pictures in the 'gremlin' format;
  - tfmtodit, which creates font files for use with 'groff -Tdvi';
  - hpftodit, which creates font files for use with 'groff -Tlj4';
  - afmtodit, which creates font files for use with 'groff -Tps';
  - refer, which preprocesses bibliographic references for use with groff;
  - indxbib, which creates inverted indices for bibliographic databases used
    by 'refer';
  - lkbib and lookbib, which search bibliographic databases;
  - addftinfo, which adds metric information to troff font files for use
    with groff;
  - pfbtops, which translates a PostScript font in .pfb format to ASCII for
    use with groff;
  - mmroff, a simple groff preprocessor which expands references in mm;
  - pic2graph, which converts PIC diagrams into cropped image files;
  - eqn2graph, which converts EQN equations into cropped image files;
  - pdfmom, which runs 'groff -mom' to produce PDFs.
 .
 All the standard macro packages are supported.
 .
 Some facilities only work if certain recommended packages are installed:
 .
  - ghostscript, netpbm, psutils: required for HTML output;
  - imagemagick: required for the pic2graph and eqn2graph programs;
  - libpaper1: paper size detection in dvi, lbp, lj4, and ps devices (falls
    back to a4 if not installed);
  - perl: required for PDF output and for the chem preprocessor.

Package: grok
Description-md5: ec1f0797a6f94ee8fbe6e86d3f2c8233
Description-en: powerful pattern-matching and reacting tool
 The grok program can parse log data and program output. You can match
 any number of complex patterns on any number of inputs (processes and
 files) and have custom reactions.
 .
 Grok is simple software that allows you to easily parse logs and
 other files. With grok, you can turn unstructured log and event data
 into structured data.

Package: grok-dbg
Description-md5: 2eb8128a512820850fe2e0e3a0c515c8
Description-en: debugging symbols for grok
 This package contains the detached debugging symbols for grok.
 .
 Grok is simple software that allows you to easily parse logs and
 other files. With grok, you can turn unstructured log and event data
 into structured data.

Package: grokevt
Description-md5: 6541e382e25cb4013db2705a8043d27f
Description-en: scripts for reading Microsoft Windows event log files
 GrokEVT is a collection of scripts built for reading Microsoft Windows
 NT/2000/XP/2003 event log files.
 .
 Currently the scripts work together on one or more mounted Microsoft Windows
 partitions to extract all information needed (registry entries, message
 templates, and log files) to convert the logs to a human-readable format.
 .
 This program is useful in forensics investigations.

Package: gromacs
Description-md5: 6d908e4fc9e5c66b95da44191b20d095
Description-en: Molecular dynamics simulator, with building and analysis tools
 GROMACS is a versatile package to perform molecular dynamics, i.e. simulate
 the Newtonian equations of motion for systems with hundreds to millions of
 particles.
 .
 It is primarily designed for biochemical molecules like proteins and lipids
 that have a lot of complicated bonded interactions, but since GROMACS is
 extremely fast at calculating the nonbonded interactions (that usually
 dominate simulations) many groups are also using it for research on non-
 biological systems, e.g. polymers.

Package: gromacs-data
Description-md5: 03ddef80c5d959a0289c77ec8b295eee
Description-en: GROMACS molecular dynamics sim, data and documentation
 GROMACS is a versatile package to perform molecular dynamics, i.e. simulate
 the Newtonian equations of motion for systems with hundreds to millions of
 particles.
 .
 It is primarily designed for biochemical molecules like proteins and lipids
 that have a lot of complicated bonded interactions, but since GROMACS is
 extremely fast at calculating the nonbonded interactions (that usually
 dominate simulations) many groups are also using it for research on non-
 biological systems, e.g. polymers.
 .
 This package contains architecture-independent topology and force field
 data, documentation, man pages, and example files.

Package: gromacs-mpich
Description-md5: befd212127fab1c214923ce24592496e
Description-en: Molecular dynamics sim, binaries for MPICH parallelization
 GROMACS is a versatile package to perform molecular dynamics, i.e. simulate
 the Newtonian equations of motion for systems with hundreds to millions of
 particles.
 .
 It is primarily designed for biochemical molecules like proteins and lipids
 that have a lot of complicated bonded interactions, but since GROMACS is
 extremely fast at calculating the nonbonded interactions (that usually
 dominate simulations) many groups are also using it for research on non-
 biological systems, e.g. polymers.
 .
 This package contains only the core simulation engine with parallel
 support using the MPICH (v3) interface.  It is suitable for nodes of a
 processing cluster, or for multiprocessor machines.

Package: gromacs-openmpi
Description-md5: c30854a1f128b7a83468fdd24f8edbc3
Description-en: Molecular dynamics sim, binaries for OpenMPI parallelization
 GROMACS is a versatile package to perform molecular dynamics, i.e. simulate
 the Newtonian equations of motion for systems with hundreds to millions of
 particles.
 .
 It is primarily designed for biochemical molecules like proteins and lipids
 that have a lot of complicated bonded interactions, but since GROMACS is
 extremely fast at calculating the nonbonded interactions (that usually
 dominate simulations) many groups are also using it for research on non-
 biological systems, e.g. polymers.
 .
 This package contains only the core simulation engine with parallel
 support using the OpenMPI interface.  It is suitable for nodes of a
 processing cluster, or for multiprocessor machines.

Package: gromit
Description-md5: 4f41834dc345d718ee645ef19ce49d67
Description-en: GTK based tool to make annotations on screen
 Gromit enables you to make annotations on your screen.
 .
 This is especially useful when making presentations, to highlight
 things or point out things of interest.
 .
 Gromit is XInput-Aware, so if you have a graphic tablet you can
 draw lines with different strength, color, erase things, etc.

Package: gromit-mpx
Description-md5: 63e70648811c5af2c581c580dc05ec58
Description-en: GTK+ based tool to make annotations on screen with multiple pointers
 Gromit-MPX enables you to make annotations on your screen using
 multiple pointing devices at once.
 .
 This is especially useful when making presentations, to highlight
 things or point out things of interest.
 .
 Gromit-MPX is XInput-Aware, so if you have a graphic tablet you can
 draw lines with different strength, color, erase things, etc.

Package: gron
Description-md5: 09d21f7c176f95d58f58caaf783743f0
Description-en: tool to transform JSON into discrete, greppable assignments
 gron transforms JSON into discrete assignments to make it easier to grep for
 what you want and see the absolute 'path' to it. gron can read JSON from a
 local file, over the network, or directly from STDIN.
 .
 gron eases the exploration of APIs that return large blobs of JSON but have
 terrible documentation.
 .
 gron can work backwards too, enabling you to turn your filtered data back
 into JSON.

Package: groonga
Description-md5: a6d26cb75aa26456e8eb85e7bfa9b679
Description-en: Fulltext search engine (metapackage for library use)
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package depends all Groonga related package for library use.

Package: groonga-bin
Description-md5: 075ed45e66a2b96c84165b838a4bc2b9
Description-en: Commands for Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides 'groonga' command.

Package: groonga-doc
Description-md5: 0461023146ecd49c0b6d79f772492f37
Description-en: Documentation of Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides documentation of Groonga.

Package: groonga-examples
Description-md5: d30e10e0051ce4487f28aaf67b98bce6
Description-en: Examples of Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides examples of Groonga.

Package: groonga-httpd
Description-md5: 60fc314d7a089b94e68afb9f71cdc8cc
Description-en: Groonga HTTP server
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides 'groonga-httpd' command.

Package: groonga-munin-plugins
Description-md5: d479687a1c5da0f8b360a95dec3411d5
Description-en: munin-node plugins for Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides munin-node plugins to monitor Groonga.

Package: groonga-normalizer-mysql
Description-md5: 6fe52582a9fbe598ae24ff35b1a56046
Description-en: MySQL derived normalizer for Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext
 search.
 .
 This package provides a normalizer which normalizes text as same as
 MySQL does.
 .
 Groonga has its own normalizers by default, but that behavior is a bit
 defferent from MySQL does, so as a result, it affects search results.
 These normalizers are useful if you regards it important for keeping
 normalizer compatibility with MySQL in Mroonga which uses Groonga as
 storage engine.

Package: groonga-plugin-suggest
Description-md5: d70d7df6990d310e89642c664e18a4b9
Description-en: Suggest plugin for Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides suggest plugin.

Package: groonga-server-common
Description-md5: 7bc881f2518524f5579c51dc6ee8e296
Description-en: Fulltext search engine (metapackage for server use)
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides common settings for server use.

Package: groonga-server-gqtp
Description-md5: eb75d9af8bca61352d9eccc80918e801
Description-en: Fulltext search engine (metapackage for GQTP server use)
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package depends all Groonga related package for GQTP server use.

Package: groonga-token-filter-stem
Description-md5: f5eda96d71c5e68c6257c8b524a8b168
Description-en: Stemming token filter for Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides TokenFilterStem token filter that stem each token.
 The token filter uses libstemmer that uses stemming algorithm written
 by Snowball.

Package: groonga-tokenizer-mecab
Description-md5: 9b62d73f5ac680f18303fed9e4f9f58b
Description-en: MeCab tokenizer for Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides MeCab tokenizer.

Package: groovy
Description-md5: 722015325f3e393c005ff78ae5b6eed4
Description-en: Agile dynamic language for the Java Virtual Machine
 Groovy is an agile dynamic language for the JVM combining lots of great
 features from languages like Python, Ruby and Smalltalk and making them
 available to the Java developers using a Java-like syntax.
 .
 Groovy is designed to help you get things done on the Java platform in a
 quicker, more concise and fun way - bringing the power of Python and Ruby
 inside the Java platform.
 .
 Groovy can be used as an alternative compiler to javac to generate
 standard Java bytecode to be used by any Java project or it can be used
 dynamically as an alternative language such as for scripting Java objects,
 templating or writing unit test cases.

Package: groovy-doc
Description-md5: 711cfc7b2963e0fd15f0a229342ad565
Description-en: Agile dynamic language for the Java Virtual Machine (documentation)
 Groovy is an agile dynamic language for the JVM combining lots of great
 features from languages like Python, Ruby and Smalltalk and making them
 available to the Java developers using a Java-like syntax.
 .
 Groovy is designed to help you get things done on the Java platform in a
 quicker, more concise and fun way - bringing the power of Python and Ruby
 inside the Java platform.
 .
 Groovy can be used as an alternative compiler to javac to generate
 standard Java bytecode to be used by any Java project or it can be used
 dynamically as an alternative language such as for scripting Java objects,
 templating or writing unit test cases.
 .
 This package includes the Groovy API javadocs, and examples.

Package: grop
Description-md5: 9071d42b4016ec7b4bf1cab3054ac77a
Description-en: Graphic interface for the porg package manager/organizer
 Grop is the graphic interface of porg. It uses and depends on the GTKMM
 library. It's not meant to be a replacement of  porg, since it lacks some
 important features like logging package installations, but it allows for
 manipulating the installed packages in a more comfortable way.
 .
 This package is a complete replacement for the deprecated 'gpaco' package.

Package: gross
Description-md5: 66622e5b096f78857b3f744bdc339bd7
Description-en: fast and efficient greylist server with DNSBL support
 Gross is a resource efficient greylist server written in C that supports
 greylisting and/or blocking based on DNSRBL so it will not impact legitimate
 mails
 .
 It also contains a milter implementation and natively supports Postfix,
 Exim and Sendmail.

Package: groundhog
Description-md5: 91241e75c36e66156a4cebe99dd9fef4
Description-en: simple logic game
 The purpose of this game is to put balls in pockets of the same color
 by manipulating a maze of tubes.

Package: growisofs
Description-md5: 11a5fa8747e3713569def36f6fbc2b0e
Description-en: DVD+-RW/R recorder
 growisofs is a general purpose DVD recording program that supports:
 .
  * random-access media (DVD+RW, DVD-RAM, plain files, hard disk partitions)
  * mastering multisession DVD media (DVD+R, DVD-R/-RW, and Blu-ray Disc)
  * first-/single-session recording of arbitrary pre-mastered image
    (formatted as UDF, ISO9660 or any other file system, if formatted at
    all) to all supported DVD media types.
 .
 growisofs is able to either write pre-created ISO images or create them
 on-the-fly (by calling genisoimage).
 .
 This package also contains dvd+rw-format, a utility to format a DVD+RW media.

Package: growl-for-linux
Description-md5: b1368b57d137bc2480564ee57142d173
Description-en: Pluggable notification system which supports GNTP
 Growl For Linux is a linux-compatible notification system of Growl.
 Growl is a notification system for Mac OS X. It uses GNTP (Growl
 Notification Transport Protocol) for notification.
 .
 Growl For Linux provides four kind of display styles - balloon, fog,
 libnotify and nico2.
 The display style is implemented as a plugin, so you can add a new
 display style if you want.
 .
 And more, Growl For Linux supports subscriber which will interact
 with other software. Currently Growl For Linux supports Rhythmbox.

Package: grpn
Description-md5: cd7b42d66945678c4d4342c14501f6c9
Description-en: GTK+ reverse polish notation calculator
 grpn is an RPN calculator which uses the GTK+ widgets. It
 works with real and complex numbers, has 4 different radix
 modes, supports general math functions along with logarithmic
 and trigonometric functions.  Calculator stack is only limited
 by free memory.

Package: grr.app
Description-md5: 8079056b2b36fd4b8411125e5b016c4d
Description-en: RSS reader for GNUstep
 Grr (Günther's RSS Reader) is a reader for RSS news feeds.  It has
 the following features:
 .
  * Parsing RSS feeds (including Atom).
  * Fetching feeds from the web.
  * Showing headlines.
  * Showing the article's descriptions.
  * Categories for feeds.
  * Articles can be rated.
  * Simple HTML rendering on GNUstep.
  * Serializing obtained feed information to hard disk.
  * Managing (adding, removing) feeds.

Package: grsync
Description-md5: 0ac8f84c8fd587a0895d4e8eb0faba34
Description-en: GTK+ frontend for rsync
 grsync is a simple graphical interface using GTK2 for the rsync command line
 program. It currently supports only a limited set of the most important rsync
 features, but can be used effectively for local directory synchronization.

Package: grub-coreboot
Description-md5: 24bd58f91c5eb109ee5e6d95810f1702
Description-en: GRand Unified Bootloader, version 2 (Coreboot version)
 GRUB is a portable, powerful bootloader.  This version of GRUB is based on a
 cleaner design than its predecessors, and provides the following new features:
 .
  - Scripting in grub.cfg using BASH-like syntax.
  - Support for modern partition maps such as GPT.
  - Modular generation of grub.cfg via update-grub.  Packages providing GRUB
    add-ons can plug in their own script rules and trigger updates by invoking
    update-grub.
 .
 This is a dependency package for a version of GRUB that has been built for
 use with platforms running the Coreboot firmware.  Installing this package
 indicates that this version of GRUB should be the active boot loader.

Package: grub-coreboot-bin
Description-md5: be941282995956a28b42e4848b6d427b
Description-en: GRand Unified Bootloader, version 2 (Coreboot modules)
 GRUB is a portable, powerful bootloader.  This version of GRUB is based on a
 cleaner design than its predecessors, and provides the following new features:
 .
  - Scripting in grub.cfg using BASH-like syntax.
  - Support for modern partition maps such as GPT.
  - Modular generation of grub.cfg via update-grub.  Packages providing GRUB
    add-ons can plug in their own script rules and trigger updates by invoking
    update-grub.
 .
 This package contains GRUB modules that have been built for use with
 platforms running the Coreboot firmware.  It can be installed in parallel
 with other flavours, but will not automatically install GRUB as the active
 boot loader nor automatically update grub.cfg on upgrade unless
 grub-coreboot is also installed.

Package: grub-coreboot-dbg
Description-md5: ee3f45ba8ef408dea3b4e172ff46a041
Description-en: GRand Unified Bootloader, version 2 (Coreboot debug files)
 This package contains debugging files for grub-coreboot-bin.  You only need
 these if you are trying to debug GRUB using its GDB stub.

Package: grub-customizer
Description-md5: 1e47b5cb8bb9f041276762f49b47d3d9
Description-en: GUI to configure GRUB2 and BURG
 Grub Customizer is a graphical interface to configure GRUB2 and BURG. It can
 do changes for settings and menuentries easily.
 .
 Grub Customizer has the following features:
   * Move, remove or rename menuentries (they stey updatable by update-grub).
   * Edit the contents of menuentries or create new ones (internally it edits
     the 40_custom file).
   * Provide reinstallation of the bootloader to MBR.
   * Allow make settings like default operating system, kernel params,
     background image, text colors, etc.
   * Allow one to change the installed operating system by running on a live
     CD/DVD or from an OS inside a flash drive.
 .
 Grub Customizer is useful for customizations and recovering actions over any
 Linux distribution.

Package: grub-efi-amd64-signed-template
Description-md5: 6505085992cc3617973cb57dcebc7eeb
Description-en: GRand Unified Bootloader, version 2 (EFI-AMD64 signing template)
 This package contains template files for grub-efi-amd64-signed.
 This is only needed for Secure Boot signing.

Package: grub-emu
Description-md5: eaa24f3f146f8d2e05f7109f088cfa8b
Description-en: GRand Unified Bootloader, version 2 (emulated version)
 This package contains grub-emu, an emulated version of GRUB.  It is only
 provided for debugging purposes.

Package: grub-emu-dbg
Description-md5: 4066695808b06e6425e6d4c1f6d4205e
Description-en: GRand Unified Bootloader, version 2 (emulated debug files)
 This package contains debugging files for grub-emu.  You only need these if
 you are trying to debug GRUB using its GDB stub.

Package: grub-firmware-qemu
Description-md5: cc3754b92a7e1d8e16c04265627088b6
Description-en: GRUB firmware image for QEMU
 This package contains a binary of GRUB that has been built for use as
 firmware for QEMU.  It can be used as a replacement for other PC BIOS
 images provided by seabios, bochsbios, and so on.
 .
 In order to make QEMU use this firmware, simply add `-bios grub.bin' when
 invoking it.
 .
 This package behaves in the same way as GRUB for coreboot, but doesn't
 contain any code from coreboot itself, and is only suitable for QEMU. If
 you want to install GRUB as firmware on real hardware, you need to use the
 grub-coreboot package, and manually combine that with coreboot.

Package: grub-imageboot
Description-md5: a4107cac8a31714bd0d52a3bfce48aa5
Description-en: boot iso, harddisk and floppy images with grub2 and syslinux memdisk
 This package installs a grub hook which integrates iso, harddisk and floppy
 images into the grub menu.
 .
 memdisk can't boot every image see
 http://syslinux.zytor.com/wiki/index.php/MEMDISK for its limitations.

Package: grub-invaders
Description-md5: 97db69c57761b2056a31664c27df89c1
Description-en: multiboot compliant kernel game
 GRUB Invaders is a game that runs directly on a computer, without an operating
 system. It is meant to be started with the GRUB bootloader for PCs.

Package: grub-linuxbios
Description-md5: 8d63829707a75c424f8ce33f10391cc0
Description-en: GRand Unified Bootloader, version 2 (dummy package)
 This is a dummy transitional package that depends on grub-coreboot.

Package: grub-rescue-pc
Description-md5: 5e771fe83a2112f4f90cabc9f93cbd47
Description-en: GRUB bootable rescue images, version 2 (PC/BIOS version)
 This package contains three GRUB rescue images that have been built for use
 with the traditional PC/BIOS architecture:
 .
  - grub-rescue-floppy.img: floppy image.
  - grub-rescue-cdrom.iso: El Torito CDROM image.
  - grub-rescue-usb.img: USB image.

Package: grub-splashimages
Description-md5: e2d79e41abfee90f0889a68ad31bcf6c
Description-en: a collection of great GRUB splashimages
 This package contains a collection of splashimages which can be used
 for GRUB. If you'd like your splashimage in this package send them
 as bug report to Debian BTS.

Package: grub-theme-breeze
Description-md5: 58a5279fa4d0f0fb49250e7fb33c05fe
Description-en: Breeze theme for Grub 2
 Breeze theme for Grub system boot loader to fit in with KDE Plasma's
 themeing.

Package: grub-theme-starfield
Description-md5: 52232de4094a1dafa8ade1df40ec6451
Description-en: GRand Unified Bootloader, version 2 (starfield theme)
 This is the default theme for GRUB's graphical menu.

Package: grub-xen-host
Description-md5: fd8c60ce62b7d58e25af7b045851f73b
Description-en: GRand Unified Bootloader, version 2 (Xen host version)
 GRUB is a portable, powerful bootloader.  This version of GRUB is based on a
 cleaner design than its predecessors, and provides the following new features:
 .
  - Scripting in grub.cfg using BASH-like syntax.
  - Support for modern partition maps such as GPT.
  - Modular generation of grub.cfg via update-grub.  Packages providing GRUB
    add-ons can plug in their own script rules and trigger updates by invoking
    update-grub.
 .
 This package arranges for GRUB binary images which can be used to boot a Xen
 guest (i.e. PV-GRUB) to be present in the control domain filesystem.

Package: grub2
Description-md5: bbb470013d1f2450dba730f10fbd09b4
Description-en: GRand Unified Bootloader, version 2 (dummy package)
 This is a dummy transitional package to handle GRUB 2 upgrades.  It can be
 safely removed.

Package: grub2-splashimages
Description-md5: 0983acbdc9e7f9ccdc1d04b68c562a2d
Description-en: a collection of great GRUB2 splashimages
 This package contains a collection of splashimages which can be used
 for GRUB2. If you'd like your splashimage in this package send them
 as bug report to Debian BTS.

Package: grub2-themes-ubuntu-mate
Description-md5: 825b72338383dd19dab991cf667ed0e4
Description-en: GRand Unified Bootloader, version 2 (ubuntu-mate theme)
 This is the ubuntu-mate theme for GRUB's graphical menu.

Package: grub2-themes-ubuntustudio
Description-md5: 5ae7097170ee7459336d869d71ed2d9a
Description-en: GRand Unified Bootloader, version 2 (Ubuntu Studio theme)
 This is the Ubuntu Studio theme for GRUB's graphical menu. Forked from
 grub2-themes-ubuntu-mate.

Package: grubzfs-testsuite
Description-md5: 3b2a811197bf927c84be7dafe2fc5231
Description-en: Testsuite for grub menu generation on zfs systems
 This package is used as autopkgtests when uploading new versions of
 ZFS or grub scripts to generate the grub menu content.

Package: grun
Description-md5: 9fe9649af0d524c0caecb7f0dcc5eeb9
Description-en: GTK+ based Run dialog
 gRun is a GTK+ based Run dialog that closely resembles
 the Windows Run dialog, just like xexec. It has a
 intelligent history mechanism and a dual level fork()
 mechanism for launching the application in its own
 process. gRun also has support for launching console
 mode application in an XTerm as well as associations
 for file types.
 .
 gRun is much more powerful than xexec, looks a lot
 better, and has the big advantage that you can start
 typing a command without having to mouse-click into
 the text field.
 .
 gRun is especially useful if you do not use the
 GNOME desktop which has a built-in run command, and
 if you use a window-manager (e.g. IceWM) where you can
 define a keyboard shortcut (e.g. Alt-F2) for staring
 gRun.

Package: grunt
Description-md5: d210f6aa660cd8879638edf283f54759
Description-en: JavaScript task runner/build system/maintainer tool
 GRUNT automates several tasks related to maintaining a JavaScript library
 or framework. It can be used for JS code minification, unit testing, code
 checking, and several other tasks.

Package: gsalliere
Description-md5: 5f0d63ff6ca07cbbdd6d6674f8eaf1ad
Description-en: Bridge duplicate scorer (GUI frontend)
 Salliere is a scoring program for duplicate bridge.  It will take a file of
 pair numbers and contracts then score and match point them for duplicate
 bridge. It will then produce nicely tabulated overall results and
 board-by-board results.
 .
 This package contains the GUI frontend for salliere.

Package: gsasl
Description-md5: b37be3137cdd4a115338177eda572e7c
Description-en: GNU SASL command line utility
 GNU SASL is an implementation of the Simple Authentication and
 Security Layer framework and a few common SASL mechanisms. SASL is
 used by network servers (e.g., IMAP, SMTP) to request authentication
 from clients, and in clients to authenticate against servers.
 .
 This package contains the GNU SASL command line tool and the
 GNU SASL manual.

Package: gscan2pdf
Description-md5: e0a8ca3de32b1b61562ce77c92909ff1
Description-en: GUI to produce PDFs or DjVus from scanned documents
 Only five clicks are required to scan several pages and then save all or a
 selection as a PDF or DjVu file, including metadata if required.
 .
 gscan2pdf can control flatbed or sheet-fed (ADF) scanners with SANE via
 libimage-sane-perl, scanimage or scanadf, and can scan multiple
 pages at once. It presents a thumbnail view of scanned pages, and permits
 simple operations such as cropping, rotating and deleting pages.
 .
 OCR can be used to recognise text in the scans, and the output
 embedded in the PDF or DjVu.
 .
 PDF conversion is done by PDF::API2.
 .
 The resulting document may be saved as a PDF, DjVu, multipage TIFF file, or
 single page image file.

Package: gscanbus
Description-md5: c7081b0c9a747785ec22b094b48a1c8c
Description-en: scan IEEE1394 (firewire/i.link) bus
 gscanbus is a little bus scanning, testing and topology visualizing
 tool for the Linux IEEE1394 subsystem, with some AV/C support,
 especially for controlling Camcorders and VCRs. It is intended as a
 debugging tool for IEEE1394 development, but can also be used to
 simply check your IEEE1394 setup on Linux.

Package: gsequencer
Description-md5: 310197ea8f2817bdb533a2894bcace02
Description-en: Advanced Gtk+ Sequencer audio processing engine
 Advanced GTK+ Sequencer is intended to be used for music composition.
 It features a piano roll, as well as a synth, matrix editor, drum
 machine, soundfont2 player, mixer and an output panel. It’s designed to
 be highly configurable. You may add effects to its effect chain; and
 add or remove audio channels/pads. You may set up a fully functional
 network of engines, thus there is a link editor for linking audio lines.

Package: gsequencer-doc
Description-md5: 7d9a8802027700e2bb44920bcf7db87e
Description-en: documentation for Advanced Gtk+ Sequencer
 Advanced GTK+ Sequencer is intended to be used for music composition.
 .
 This package contains the user manual that explains the GUI in html format of
 Advanced Gtk+ Sequencer.

Package: gsetroot
Description-md5: 650bcad84b6b1bbc0522eaf74d32f5b5
Description-en: grahical GTK-based front-end for Esetroot
 It can be used to choose a wallpaper and configure root window.
 It works under Window Managers like FluxBox, Enlightenment, WindowMaker
 NextStep, BlackBox, IceWM and others...
 This software is under the GPL license.

Package: gsettings-ubuntu-touch-schemas
Description-md5: bc40363b9cce358b2ad06a102ddc29fd
Description-en: Transitional package for gsettings-ubuntu-schemas
 This is a transitional package for clean upgrades. You can safely remove it.

Package: gsfonts
Description-md5: c77fd616d53a8b059361ca26ef255651
Description-en: Fonts for the Ghostscript interpreter(s)
 These are free look-alike fonts of the Adobe PostScript fonts.
 Recommended for all flavors of Ghostscript (gs-gpl, gs-afpl and gs-esp).

Package: gsfonts-x11
Description-md5: 53613e7804e522f3d457854d1c7ffe7f
Description-en: Make Ghostscript fonts available to X11
 This package makes the 35 PostScript fonts from the gsfonts package
 available to your X server under their "urw" names and via
 fonts.alias with the official "adobe" names, too.
 .
 This package does not contain any fonts itself but allows one to
 reuse the ghostscript fonts as X11 screen fonts.

Package: gshutdown
Description-md5: ee97b4df0e39068e484578e7e1eb9f2d
Description-en: Graphical shutdown utility and shutdown timer
 GShutdown is a utility which allows you to schedule the shutdown or restart of
 your computer. With it you can simply and quickly choose the turn off time at
 either a specific time or after a countdown.
 .
 The graphical user interface uses Gtk+2.

Package: gsimplecal
Description-md5: bd75fa07a81b2cb91d312a89e7ee71ed
Description-en: lightweight GUI calendar application
 gsimplecal is a lightweight calendar application written in C++ using GTK3.
 .
 It was intentionally made for use with tint2 panel in the openbox environment
 to be launched upon clock click, but can be bound to some hotkey in any window
 manager
 .
 gsimplecal can be configured to not only show the calendar, but also display
 multiple clocks for different world timezones.

Package: gsl-bin
Description-md5: 7553709bb194c39b6d774e61c06ec157
Description-en: GNU Scientific Library (GSL) -- binary package
 The GNU Scientific Library (GSL) is a collection of routines for
 numerical analysis.  The routines are written from scratch by the GSL
 team in C, and present a modern API for C programmers, while allowing
 wrappers to be written for very high level languages.
 .
 This package provides several example binaries.
 .
 URL: http://www.gnu.org/software/gsl/

Package: gsl-ref-html
Description-md5: b7cb557113954f943279502b6043e474
Description-en: GNU Scientific Library (GSL) Reference Manual in html
 This package provides html files with the reference manual for the
 GNU Scientific Library (GSL), a collection of routines for numerical
 analysis.

Package: gsl-ref-psdoc
Description-md5: ce73a967be93eb4cb6f100609608bc22
Description-en: GNU Scientific Library (GSL) Reference Manual in postscript
 This package provides a ps file with the reference manual for the
 GNU Scientific Library (GSL), a collection of routines for numerical
 analysis.

Package: gsm-utils
Description-md5: 3a4f110fec00459ea23445229bde1388
Description-en: GSM mobile phone access applications
 Some simple command line programs to access a GSM mobile phone via
 GSM modem or IrDA.  Functions include: modification of phone books and
 reading, writing, sending and receiving SMS messages.  Uses the GSM
 standards ETSI GSM 07.07, ETSI GSM 07.05, and others.

Package: gsm0710muxd
Description-md5: 7250f6c1700e6586bff5a56081481cc9
Description-en: GSM 07.10 Multiplexer
 Pyneo mobile stack is a muxer for GSM modems to allow more than one channel to
 be used with the modem. Each channel can be used to issue phonecalls, watch
 signal strength, receiving sms or even doing ppp (GPRS) at the same time.
 .
 Access to the multiplexer is managed via D-Bus.

Package: gsmartcontrol
Description-md5: e7025374264e10b98903583375662dd7
Description-en: graphical user interface for smartctl
 GSmartControl is a graphical user interface for smartctl, which is a tool for
 querying and controlling SMART (Self-Monitoring, Analysis, and Reporting
 Technology) data on modern hard disk drives. It allows you to inspect the
 drive's SMART data to determine its health, as well as run various tests on it.
 .
 Features:
 .
  * automatically reports and highlights any anomalies;
  * allows enabling/disabling Automatic Offline Data Collection;
  * allows enabling/disabling SMART itself;
  * supports configuration of global and per-drive options for smartctl;
  * performs SMART self-tests;
  * displays drive IDs, capabilities, attributes, and self-test/error logs;
  * can read in smartctl data from a saved file, interpreting it as a read-only
    virtual device.

Package: gsmc
Description-md5: ddc6007fbfb533dc96cd2786040e7108
Description-en: Smith Chart calculator for impedance matching
 gsmc allows you to perform Smith Chart calculations for
 RF (radio frequency) circuit design.

Package: gsoap
Description-md5: 9c13905607e0132582ebe3b22d7a6910
Description-en: Stub generators for gSOAP
 The gSOAP toolkit provides a unique SOAP-to-C/C++ language binding for the
 development of SOAP Web Services and clients. Stub generators.

Package: gsoap-doc
Description-md5: 93cca0d041075b9cd92a3a1caec98ed6
Description-en: gSOAP documentation
 The gSOAP toolkit provides a unique SOAP-to-C/C++ language binding for the
 development of SOAP Web Services and clients. Documentation files.

Package: gsound-tools
Description-md5: 40d14fa03a51a39917abab325bcc37ae
Description-en: small library for playing system sounds (tools)
 GSound is a small library for playing system sounds. It's designed to
 be used via GObject Introspection, and is a thin wrapper around the
 libcanberra C library.
 .
 This package contains tools for use with GSound.

Package: gspell-1-tests
Description-md5: dea9ed51e6786079f4bf0ba7c19a9cae
Description-en: spell-checking library for GTK+ applications - installed tests
 gspell provides a flexible API to add spell checking to a GTK+ application.
 It features:
  * GObject wrappers around Enchant
  * An inline spell checker for GtkTextView (enhanced version of GtkSpell)
  * A spell checker dialog for GtkTextView
  * Support of the no-spell-check tag defined by GtkSourceView
  * Language choosers (button and dialog)
 .
 This package contains automated tests.

Package: gss-doc
Description-md5: 488f9527477590186eaba5c4524103a3
Description-en: Documentation for GSS (except man pages)
 The GNU Generic Security Service Library (GSSLib) is a free
 implementation of the GSS-API security framework.  GSSLib uses Shishi
 to implement the Kerberos V5 mechanism, but is flexible enough to
 support other mechanisms too.
 .
 This package contain the manual in HTML, PDF and Info formats, and
 API reference in GTK-DOC and Devhelp formats.

Package: gss-man
Description-md5: 8f5232e3760b925acf02454a86d2505e
Description-en: Man pages for GSS
 The GNU Generic Security Service Library (GSSLib) is a free
 implementation of the GSS-API security framework.  GSSLib uses Shishi
 to implement the Kerberos V5 mechanism, but is flexible enough to
 support other mechanisms too.
 .
 This package contain the API reference as man pages.

Package: gss-ntlmssp
Description-md5: 732f795f2c5cf6740a6a81f1448b3b24
Description-en: GSSAPI NTLMSSP Mechanism -- MIT GSSAPI plugin
 GSS-NTLMSSP is a GSSAPI mechanism plugin that implements NTLMSSP.
 NTLMSSP is a Microsoft Security Provider that implements various
 versions and falvors of the NTLM challenge-response family.
 .
 GSS-NTLMSSP, implements both NTLM and NTLMv2 and all the various
 security variants to the key exchange that Microsoft introduced and
 documented over time.
 .
 This code implements the NTLMSSP mechanism as a GSSAPI loadable
 mechanism and has been tested to work with MIT Kerberos' 1.11
 implementation of GSSAPI.
 .
 This package supplies the MIT GSSAPI plugin.

Package: gss-ntlmssp-dev
Description-md5: 62f8f6feb37892145262039298c2e1d8
Description-en: GSSAPI NTLMSSP Mechanism -- development headers
 GSS-NTLMSSP is a GSSAPI mechanism plugin that implements NTLMSSP.
 NTLMSSP is a Microsoft Security Provider that implements various
 versions and falvors of the NTLM challenge-response family.
 .
 GSS-NTLMSSP, implements both NTLM and NTLMv2 and all the various
 security variants to the key exchange that Microsoft introduced and
 documented over time.
 .
 This code implements the NTLMSSP mechanism as a GSSAPI loadable
 mechanism and has been tested to work with MIT Kerberos' 1.11
 implementation of GSSAPI.
 .
 This package supplies the development header.

Package: gssdp-tools
Description-md5: 05e1716eed0be96fde8938d50df1463a
Description-en: GObject-based library for SSDP (tools)
 A GObject-based API for doing SSDP (Simple Service Discovery Protocol)
 transparently.
 .
 This package contains some tools, such as gssdp-device-sniffer.

Package: gssproxy
Description-md5: 15c5ae0f06a0089e95fa32e3b467cf40
Description-en: Privilege separation daemon for GSSAPI
 Applications can choose to use GSS-Proxy for GSSAPI credential management,
 which means that they will not have direct access to the credentials
 themselves.  GSSAPI operations are also offloaded to the gssproxy daemon,
 making it suitable for upcalls from the Kernel as well.
 .
 This package includes both the gssproxy daemon itself and the GSSAPI
 interposer layer for existing applications.

Package: gst-omx-listcomponents
Description-md5: 2a5b98c33b12cc42f8c2b7acc7c16849
Description-en: OpenMax plugins for GStreamer
 This package contains listcomponents for listing components in openmax IL.
 listcomponents is a debugging tool and should be only used for developers.

Package: gst123
Description-md5: 6e07b5ba8d2dc9505043401ffcdf540e
Description-en: GStreamer based command line media player
 The program gst123 is designed to be a more flexible command line player in
 the spirit of ogg123 and mpg123, based on GStreamer. It plays all file formats
 supported by GStreamer, so if you have audio/video collections which contain
 different file formats, like flac, ogg and mp3, you can use gst123 to play all
 your audio/video files.

Package: gstreamer-qapt
Description-md5: 0558e3377dc05a6ba109973b5b0db72a
Description-en: GStreamer plugin to install codecs using QApt
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 This package provides a plugin for the GStreamer multimedia framework
 to search and to install audio and video codecs.

Package: gstreamer1.0-adapter-pulseeffects
Description-md5: 7600aa151969b8eddcede93a45751854
Description-en: GStreamer adapter plugin
 Simple plugin that gives output buffers with the desired number of
 samples.
 .
 It is used in PulseEffects to ensure that the number of audio samples
 in the buffer is a power of 2. The convolver also needs it.
 .
 This package is part of the PulseEffects project.

Package: gstreamer1.0-autogain-pulseeffects
Description-md5: 6e5f3bf4a78ab41e85451ac1fe41f1a2
Description-en: GStreamer autogain plugin
 Simple GStreamer plugin that changes audio gain to match the levels
 recommended by the ebur128 standard.
 .
 This package is part of the PulseEffects project.

Package: gstreamer1.0-convolver-pulseeffects
Description-md5: 75bbadcb9fcad09d8632886791202257
Description-en: GStreamer convolver plugin
 Simple GStreamer crystalizer plugin based on the library of
 Zita-convolver.
 .
 This package is part of the PulseEffects project.

Package: gstreamer1.0-crystalhd
Description-md5: 6af7309316f56b0e9bce49e7ef4bfeff
Description-en: Crystal HD Video Decoder (GStreamer plugin)
 Crystal HD Solution is a product offered by Broadcom. It is used to enable
 flawless playback of 1080p high definition video across a wide range of
 systems.
 .
 This package contains the crystalhd GStreamer plugin.

Package: gstreamer1.0-crystalizer-pulseeffects
Description-md5: ce19a24df2160b0b5ee3bcdb2f7b985e
Description-en: GStreamer crystalizer plugin
 Simple GStreamer plugin, useful to add more dynamic range
 to songs that were overly compressed.
 .
 This package is part of the PulseEffects project.

Package: gstreamer1.0-espeak
Description-md5: ffadb66a9d7e3c13cf929c1a08fa7c29
Description-en: GStreamer plugin for eSpeak speech synthesis
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data. Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related. Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains a GStreamer plugin for using eSpeak library as a
 sound source for GStreamer.  Plugin uses given text to produce audio
 output.

Package: gstreamer1.0-libav
Description-md5: bf84995f63f72239acc44385b62f37da
Description-en: ffmpeg plugin for GStreamer
 This GStreamer plugin supports a large number of audio and video compression
 formats through the use of the ffmpeg library.  The plugin contains GStreamer
 elements for encoding 40+ formats (MPEG, DivX, MPEG4, AC3, DV, ...), decoding
 elements for decoding 90+ formats (AVI, MPEG, OGG, Matroska, ASF, ...),
 demuxing 30+ formats and colorspace conversion.
 .
 http://www.ffmpeg.org/
 http://gstreamer.freedesktop.org/
 http://gstreamer.freedesktop.org/modules/gst-libav.html

Package: gstreamer1.0-libav-dbg
Description-md5: 81645885ddfb32cac0addbe7375de09f
Description-en: ffmpeg plugin for GStreamer (debug symbols)
 This GStreamer plugin supports a large number of audio and video compression
 formats through the use of the ffmpeg library.  The plugin contains GStreamer
 elements for encoding 40+ formats (MPEG, DivX, MPEG4, AC3, DV, ...), decoding
 elements for decoding 90+ formats (AVI, MPEG, OGG, Matroska, ASF, ...),
 demuxing 30+ formats and colorspace conversion.
 .
 http://www.ffmpeg.org/
 http://gstreamer.freedesktop.org/
 http://gstreamer.freedesktop.org/modules/gst-libav.html
 .
 This package contains unstripped shared libraries. It is provided primarily
 to provide a backtrace with names in a debugger, this makes it somewhat
 easier to interpret core dumps. The libraries are installed in
 /usr/lib/debug and are automatically used by gdb.

Package: gstreamer1.0-nice
Description-md5: e6a5fecf0861bb3b1b3bda258d7f2552
Description-en: ICE library (GStreamer plugin)
 Nice is an implementation of the IETF's draft Interactive Connectivity
 Establishment standard (ICE).  ICE is useful for applications that want to
 establish peer-to-peer UDP data streams. It automates the process of
 traversing NATs and provides security against some attacks.
 .
 Existing standards that use ICE include the Session Initiation Protocol (SIP)
 and the Jingle XMPP extension for audio/video calls.
 .
 Nice includes integration with GStreamer1.0.
 .
 This package provides a GStreamer 1.0 plugin for nice.

Package: gstreamer1.0-omx-bellagio-config
Description-md5: 550f385eb93e48c4853dacc7e0007565
Description-en: OpenMax plugins for GStreamer
 This plugin wraps available OpenMAX IL components and makes them available as
 standard GStreamer elements.
 .
 This package is specific for using Bellagio OpenMAX IL. This package contains
 the config for gstreamer1.0-omx-generic.

Package: gstreamer1.0-omx-generic
Description-md5: 6c2b80694062e8a3fd05829ddcb8fd8b
Description-en: OpenMax plugins for GStreamer
 This plugin wraps available OpenMAX IL components and makes them available as
 standard GStreamer elements.

Package: gstreamer1.0-omx-generic-config
Description-md5: 3f27bec14b2fba4fca6e4217256d80ec
Description-en: OpenMax plugins for GStreamer
 This plugin wraps available OpenMAX IL components and makes them available as
 standard GStreamer elements.
 .
 This package contains the config for gstreamer1.0-omx-generic.

Package: gstreamer1.0-opencv
Description-md5: c7e225953a0291993c213186484f1f36
Description-en: GStreamer OpenCV plugins
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared
 to the rest. They might be close to being good quality, but they're missing
 something - be it a good code review, some documentation, a set of tests, a
 real live maintainer, or some actual wide use.
 .
 This package contains the OpenCV plugins.

Package: gstreamer1.0-pipewire
Description-md5: 04f8504ff37ea2974530727932eb2ab6
Description-en: GStreamer 1.0 plugin for the PipeWire multimedia server
 PipeWire is a server and user space API to deal with multimedia
 pipelines. This includes:
 .
  - Making available sources of video (such as from a capture devices or
    application provided streams) and multiplexing this with clients.
  - Accessing sources of video for consumption.
  - Generating graphs for audio and video processing.
 .
 This package contains the GStreamer plugin.

Package: gstreamer1.0-plugins-bad
Description-md5: 96aaaad9b842ce9ddb51b002cc05eca0
Description-en: GStreamer plugins from the "bad" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared
 to the rest. They might be close to being good quality, but they're missing
 something - be it a good code review, some documentation, a set of tests, a
 real live maintainer, or some actual wide use.

Package: gstreamer1.0-plugins-bad-dbg
Description-md5: 0144acc8435d5a037793c91c8b38f83c
Description-en: GStreamer plugins from the "bad" set (debug symbols)
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains unstripped shared libraries. It is provided primarily
 to provide a backtrace with names in a debugger, this makes it somewhat
 easier to interpret core dumps. The libraries are installed in
 /usr/lib/debug and are automatically used by gdb.

Package: gstreamer1.0-plugins-bad-doc
Description-md5: 51fb5c44051312c56f11b688ba0347ae
Description-en: GStreamer documentation for plugins from the "bad" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared
 to the rest. They might be close to being good quality, but they're missing
 something - be it a good code review, some documentation, a set of tests, a
 real live maintainer, or some actual wide use.
 .
 This package contains the documentation for plugins from the "bad" set.

Package: gstreamer1.0-plugins-good-dbg
Description-md5: 23ae3e3874bef9755952358103d2a3d3
Description-en: GStreamer plugins from the "good" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains unstripped shared libraries. It is provided primarily
 to provide a backtrace with names in a debugger, this makes it somewhat
 easier to interpret core dumps. The libraries are installed in
 /usr/lib/debug and are automatically used by gdb.

Package: gstreamer1.0-plugins-rtp
Description-md5: ced3eebf84902ba2b193eea381ec6b1d
Description-en: GStreamer elements from the "rtp" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 GStreamer RTP plugins provide elements that handle RTP streaming to
 and from the network and provide bindings to the rtp:// URI interface.

Package: gstreamer1.0-plugins-ugly
Description-md5: c036226562f55540aad2e51fbde63d54
Description-en: GStreamer plugins from the "ugly" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains plugins from the "ugly" set, a set of
 good-quality plug-ins that might pose distribution problems.

Package: gstreamer1.0-plugins-ugly-dbg
Description-md5: 47702d7e32f410e08f88c3d445b6a122
Description-en: GStreamer plugins from the "ugly" set (debug symbols)
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains unstripped shared libraries. It is provided primarily
 to provide a backtrace with names in a debugger, this makes it somewhat
 easier to interpret core dumps. The libraries are installed in
 /usr/lib/debug and are automatically used by gdb.

Package: gstreamer1.0-plugins-ugly-doc
Description-md5: 4dc4589fd84941ce672ce2ec90d43924
Description-en: GStreamer documentation for plugins from the "ugly" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains documentation for plugins from the "ugly" set,
 a set of good-quality plug-ins that might pose distribution problems.

Package: gstreamer1.0-pocketsphinx
Description-md5: a31545030d49dfb507d68628dc7883c0
Description-en: Speech recognition tool - GStreamer plugin
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains the GStreamer plugin

Package: gstreamer1.0-python3-dbg-plugin-loader
Description-md5: 3f53c1644e8f6a51aec7ef4297bb0c0b
Description-en: GStreamer Loader for Python Plugin (Python 3, debug extensions)
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains the Python 3 version of the Python plugin loader,
 which allows GStreamer plugins to be written in Python.

Package: gstreamer1.0-python3-plugin-loader
Description-md5: 95096b7522782c3f7600444ec56c34a6
Description-en: GStreamer Loader for Python Plugin (Python 3)
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains the Python 3 version of the Python plugin loader,
 which allows GStreamer plugins to be written in Python.

Package: gstreamer1.0-qt5
Description-md5: 6fd76fa62a56dd4ac66dadd0e99cbe61
Description-en: GStreamer plugin for Qt5
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains the GStreamer plugin for Qt5.

Package: gstreamer1.0-rtsp
Description-md5: 324c293cb019895b9491331ce49fd119
Description-en: RTSP plugin for GStreamer
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains the GStreamer plugin for RTSP.

Package: gstreamer1.0-rtsp-dbg
Description-md5: 324c293cb019895b9491331ce49fd119
Description-en: RTSP plugin for GStreamer
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains the GStreamer plugin for RTSP.

Package: gstreamer1.0-vaapi
Description-md5: 8adddb56a86e9aa5c8e45098c3045f7a
Description-en: VA-API plugins for GStreamer
 Gstreamer-vaapi is a collection of GStreamer plugins and helper libraries that
 allow hardware accelerated video decoding, encoding and processing through
 VA-API.
 .
 This package contains GStreamer plugins for VA-API support:
 - `vaapiconvert': converts from YUV pixels to VA surfaces
 - `vaapisink': a VA-API based video sink

Package: gstreamer1.0-vaapi-doc
Description-md5: 008862739eacaf739927ea33e30c7a1f
Description-en: GStreamer VA-API documentation and manuals
 Gstreamer-vaapi is a collection of GStreamer plugins and helper libraries that
 allow hardware accelerated video decoding, encoding and processing through
 VA-API.
 .
 This package contains documentation for libraries and elements.

Package: gsutil
Description-md5: 3891eafadc1ee2365efaf793d68085a2
Description-en: configure and manage Grandstream BudgeTone 100 VOIP and GX2000 phones
 GsUtil is a short program written to dump and restore the data
 from randstream BudgeTone 100 VOIP and GX2000 phone.
 Since a reboot is required to make the configuration change effective,
 this program does that too.

Package: gt5
Description-md5: 8b45d5984373ce1327a1fd7d484f5f7d
Description-en: shell program to display visual disk usage with navigation
 Years have passed and disks have become larger and larger, but even
 on this incredibly huge harddisk era, the space seems to disappear
 over time. This small shell program provides more convenient
 listing than the default disk usage du(1). The program displays what has
 happened since last run and displays dir size and the total
 percentage. It is possible to navigate and descend to directories by
 using cursor keys with text based browsers like links, elinks, lynx etc.

Package: gtamsanalyzer.app
Description-md5: b3ec3e2064afd32d73bde4890b97598f
Description-en: Text analysis application for GNUstep
 GTAMS Analyzer is a complete coding and analysis package, it is a
 port of TAMS Analyzer for MacOS X.  GTAMS stands for GNUstep Text
 Analysis Markup System, it is a convention for identifying themes in
 text.  The software offers a wide range of tools for applying themes
 to texts and identifying patterns of themes within and between texts.

Package: gtans
Description-md5: 70e732ecd7a25af50d78546cd213afb3
Description-en: Tangram (puzzle) game using GTK+
 The Tangram is a Chinese puzzle where the player has to arrange a set of
 pieces to match a given shape. All the pieces must be used and should not
 be laid on top of one another. The pieces are five triangles, a square and
 a parallelogram. gtans contains more than 380 figures to play with. It uses
 the mouse to control pieces. gtans is highly customizable using the
 interface.

Package: gthumb
Description-md5: 3f6830e69f57dd7b815a1c7022d6e9ce
Description-en: image viewer and browser
 gThumb is an advanced image viewer and browser. It has many useful
 features, such as filesystem browsing, slide show, image catalogs, web
 album creation, camera import, image CD burning, batch file operations and
 quick image editing features like transformation and color manipulation.
 .
 It's designed for GNOME desktop environment and uses its platform. For
 camera import feature, the gPhoto2 library is used.

Package: gthumb-data
Description-md5: 27b86b080e857e9ca2a0a372423eda5e
Description-en: image viewer and browser - arch-independent files
 gThumb is an advanced image viewer and browser. It has many useful
 features, such as filesystem browsing, slide show, image catalogs, web
 album creation, camera import, image CD burning, batch file operations and
 quick image editing features like transformation and color manipulation.
 .
 It's designed for GNOME desktop environment and uses its platform. For
 camera import feature, the gPhoto2 library is used.
 .
 This package contains the architecture-independent files needed by gthumb.

Package: gthumb-dev
Description-md5: 89444e8ff88a9f480bd9ecb468c893ab
Description-en: image viewer and browser - development files
 gThumb is an advanced image viewer and browser. It has many useful
 features, such as filesystem browsing, slide show, image catalogs, web
 album creation, camera import, image CD burning, batch file operations and
 quick image editing features like transformation and color manipulation.
 .
 It's designed for GNOME desktop environment and uses its platform. For
 camera import feature, the gPhoto2 library is used.
 .
 This package contains the files needed to develop third-party extensions.

Package: gtick
Description-md5: 18a498066c356f053afb5135b2263e0d
Description-en: Metronome application
 GTick is an acoustical and graphical metronome application (usually used to
 support musicians at practising an instrument). It features a scale from 30 to
 250 beats per minute and 2/4, 3/4, 4/4 and other meters. You need a sound
 device for using it.

Package: gtimelog
Description-md5: 023e6a2df5e6f097060afd4882d2c61c
Description-en: time logging application
 gtimelog provides a time tracking application to allow the user to track what
 they work on during the day and how long they spend doing it.

Package: gtimer
Description-md5: 9451729b892b0fb4ef66332a018f23b1
Description-en: GTK-based X11 task timer
 A graphical program that tracks how your time is spent.  Maintains a
 simple list of tasks, each of which can belong to a project, and allows
 you to track time in each.  Multiple clocks can run simultaneously,
 annotations can be added to a day's time, and reports can be generated
 in either HTML or text.  If GTimer detects that you're idle, you are
 given the option of subtracting off the time you spent idle when you
 return.
 .
 Compared to other time tracking applications, GTimer is graphical without
 depending on a desktop environment and aims for simplicity rather than
 attempting to be a full-fledged project tracking and billing application.

Package: gtk-chtheme
Description-md5: dc8afeebd61b9bcfd00882b3c3a38157
Description-en: GTK+ 2.0 theme changer and previewer utility
 'Gtk Theme Switch' based utility that aims to make themes previews and
 selections as slick as possible. Themes installed on the system are
 presented for selection and previewed on the fly.
 .
 This utility was develop only to work for GTK+ 2.x themes. For GTK+ 1.x themes
 use 'gtk-theme-switch' package

Package: gtk-doc-tools
Description-md5: d81800b98624f248b7995144c6f0cbf1
Description-en: GTK+ documentation tools
 These tools extract documentation embedded in GNOME source code, and
 produce pretty output via DocBook SGML.

Package: gtk-gnutella
Description-md5: d7de85a82ada391b19d4ce312fe673e2
Description-en: shares files in a peer to peer network
 Gtk-Gnutella is a reliable and efficient Gnutella client, supporting the
 latest Gnutella protocol, bandwidth limitation (both incoming and outgoing)
 traffic compression, and advanced search filters among other features.
 .
 Gnutella is a peer-based file-sharing protocol that allows a user
 running a Gnutella client to search for and download files from other
 Gnutella users, as well as share some files of his/her own.
 .
 Gtk-Gnutella offers all the extra features you expect from a modern client:
 persistent downloads, searches and filters, intuitive interface, upload
 statistics, queuing, and of course total control over many configuration
 parameters.

Package: gtk-layer-shell-doc
Description-md5: 38b4418dcc6b73c6c5ec0f2c8e2b1728
Description-en: documentation for the Wayland Layer Shell protocol desktop component library
 A library to write GTK applications that use Layer Shell. This library only
 makes sense on Wayland compositors that support Layer Shell, and will not work
 on X11. It supports all Layer Shell features including popups and popovers (GTK
 popups Just Work™). Please open issues for any bugs you come across.
 .
 This package contains the HTML documentation for the GTK library
 in /usr/share/gtk-doc/gtk-layer-shell/ .

Package: gtk-layer-shell-examples
Description-md5: a0b8a1cd0ac5970e282f870a40f7b3be
Description-en: example files for the Wayland Layer Shell protocol desktop component library
 A library to write GTK applications that use Layer Shell. This library only
 makes sense on Wayland compositors that support Layer Shell, and will not work
 on X11. It supports all Layer Shell features including popups and popovers (GTK
 popups Just Work™). Please open issues for any bugs you come across.
 .
 This package contains the example files and a demonstration program.

Package: gtk-redshift
Description-md5: 85646bae0eee3748924aaae253093b7a
Description-en: transitional dummy package
 This is a transitional dummy package for redshift-gtk. It can safely be
 removed.
 .
 This package provides GTK+ integration.

Package: gtk-sharp2
Description-md5: cdd354d227029cf80635fa9731cc87b1
Description-en: GTK# 2.10 suite, CLI bindings for GTK+
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 gtk-sharp2 is a metapackage containing dependencies for the GTK# 2.10 suite.

Package: gtk-sharp2-examples
Description-md5: ebe2699f12a4078218f82ff84d736171
Description-en: sample applications for the GTK# 2.10 toolkit
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 This package contains some example programs that are distributed with
 the GTK# assemblies, including source to build them and learn from
 them.

Package: gtk-sharp2-gapi
Description-md5: 38acd13008e1b751281924b2e53ad340
Description-en: C source parser and C# code generator for GObject based APIs
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 This package includes the parser and code generator used by the GTK#
 project to parse and bind GObject libraries. Install if you want to
 bind GObject-based libraries, or need to compile a project that uses
 it to bind such a library.

Package: gtk-sharp3
Description-md5: e0d5aeb89cfb91a6bbd7d915984792d4
Description-en: GTK# 3.0 suite, CLI bindings for GTK+
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.
 .
 gtk-sharp3 is a metapackage containing dependencies for the GTK# 3.0 suite.

Package: gtk-sharp3-examples
Description-md5: 6f8000e633d856fdb04a31785dc81c66
Description-en: sample applications for the GTK# 3.0 toolkit
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.
 .
 This package contains some example programs that are distributed with
 the GTK# assemblies, including source to build them and learn from
 them.

Package: gtk-sharp3-gapi
Description-md5: 99bea776d37bf6cd74e6cb92ce8b486a
Description-en: C source parser and C# code generator for GObject based APIs
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.
 .
 This package includes the parser and code generator used by the GTK#
 project to parse and bind GObject libraries. Install if you want to
 bind GObject-based libraries, or need to compile a project that uses
 it to bind such a library.

Package: gtk-theme-switch
Description-md5: df36afddfc5db8a8165c75349fdb2b93
Description-en: GTK+ theme switching utility
 Utilities to easily switch GTK+ themes that can be run from the console, and
 has an optional GUI dock and theme preview. It can install themes downloaded
 from gtk.themes.org as well straight from the tarball.

Package: gtk-vector-screenshot
Description-md5: 023c2c7c8439b9018b467b2699359635
Description-en: takes screenshots of applications as PDF or SVG files
 This gtk module allows you to take a screenshot of a running gtk-3 application
 as a vector image, with fully scalable graphics and selectable text. It
 supports rendering the application to PDF, SVG and PostScript.

Package: gtk2-engines
Description-md5: 431d7b89dfc9dd3fc4ebcf14cfd7ba82
Description-en: theme engines for GTK+ 2.x
 This package contains the "engines" that hide behind the themes for
 GTK+ and GNOME applications. They redefine the way GTK+ widgets are
 drawn. The package includes the following engines:
  * Clearlooks, the default GNOME theme, based on Bluecurve;
  * Crux, formerly known as the Eazel engine;
  * High contrast, which is used by some accessibility themes;
  * Industrial, the famous engine from Novell (formerly Ximian);
  * LighthouseBlue, another engine based on Bluecurve;
  * Metal, which gives a metallic look;
  * Mist, a flat and high performance engine;
  * Redmond95, which provides a look similar to that of Windows;
  * ThinIce.
 .
 A few sample themes using these engines are also included.

Package: gtk2-engines-aurora
Description-md5: 73f60a2010d7a8c5caa44061236a4a64
Description-en: Aurora gtk+-2.0 theme engine
 "Aurora" refers to the natural light displays in the sky in polar regions. This
 package contains the Aurora theme engine for the GTK+ toolkit, version 2.0.
 .
 GTK+ is a multi-platform toolkit for creating graphical user interfaces.

Package: gtk2-engines-cleanice
Description-md5: a19b677be2b20bed31e78d88c7d5a761
Description-en: CleanIce themes for GTK+ 2.x
 This package contains a theme engine and 3 themes that allow you to
 configure the look of GTK+ 2.x-based programs. The programs need no
 modifications to use themes; the support is built in to GTK+ 2.x.
 .
 The Debian package provides these themes:
 CleanIce, CleanIce-Dark, CleanIce-Debian, CleanIce-Marble.

Package: gtk2-engines-moblin
Description-md5: 57f60f24f9371e3c41c52dc26d363a24
Description-en: gtk2 engines for moblin
 Moblin is an open source project focused on building a Linux-based platform
 optimized for the next generation of mobile devices including Netbooks,
 Mobile Internet Devices, and In-vehicle infotainment systems.
 .
 This package includes the gtk2 engine for moblin

Package: gtk2-engines-nodoka
Description-md5: 3e40c7e8fc9f8e0fa4eea377ce1f2520
Description-en: Nodoka theme engine for GTK+ 2.x
 The engine behind the new default theme for Fedora, Nodoka is inspired
 by such themes engines as Clearlooks and Murrine.  It features smooth,
 subtle gradients and rounded widgets.
 .
 Also included are a variety of GTK+ themes using the Nodoka engine.

Package: gtk2-engines-oxygen
Description-md5: c4024c5732f841db3adaae757e88ca06
Description-en: Oxygen widget theme for GTK+-based applications
 Oxygen-Gtk is a port of the Oxygen widget style to GTK+.
 .
 It ensures visual consistency between GTK+ and Qt-based applications running
 under KDE.

Package: gtk2-engines-qtcurve
Description-md5: 3fa3b9f76b72798f1c77f79b3508db7f
Description-en: QtCurve widget style for applications based on GTK+ 2.x
 This package contains the QtCurve theme engine for GTK+ 2.x.
 .
 This package is most useful when installed together with kde-style-qtcurve.
 .
 QtCurve is a set of widget styles for Qt and GTK+ libraries. It provides a
 consistent look between KDE, GNOME and other applications based on these
 libraries, which is easy on the eyes and visually pleasing.

Package: gtk2-engines-sugar
Description-md5: ad2a6dd285d6dada10eb92fcf2136be0
Description-en: Sugar Learning Platform - GTK+ 2.x theme engine
 Sugar Learning Platform promotes collaborative learning through Sugar
 Activities that encourage critical thinking, the heart of a quality
 education.  Designed from the ground up especially for children, Sugar
 offers an alternative to traditional “office-desktop” software.
 .
 This package contains the GTK+ theme engine for Sugar.

Package: gtk2-ex-formfactory-perl
Description-md5: f38aa886ac35e35b54063a39058f682d
Description-en: Makes building complex GUI's easy (dummy package)
 This is a framework which tries to make building complex GUI's easy, by
 offering these two main features:
 .
 This dummy package is provided for a smooth transition from
 gtk2-ex-formfactory-perl to libgtk2-ex-formfactory-perl. It may safely
 be removed after installation.

Package: gtk2.0-examples
Description-md5: 1e988dc8e8dc3cc88c70b9a70d0f53fe
Description-en: example files for GTK 2
 GTK is a multi-platform toolkit for creating graphical user
 interfaces. Offering a complete set of widgets, GTK is suitable
 for projects ranging from small one-off tools to complete application
 suites.
 .
 This package contains the example files and a demonstration program
 for GTK 2.

Package: gtk2hs-buildtools
Description-md5: f16bc6e73c85e0b48d98a38c6d0a00d6
Description-en: Tools to build the Gtk2Hs suite of User Interface libraries
 This package provides a set of helper programs necessary to build the Gtk2Hs
 suite of libraries. These tools include a modified c2hs binding tool that is
 used to generate FFI declarations, a tool to build a type hierarchy that
 mirrors the C type hierarchy of GObjects found in glib, and a generator for
 signal declarations that are used to call back from C to Haskell. These tools
 are not needed to actually run Gtk2Hs programs.

Package: gtk3-engines-breeze
Description-md5: a7d1a1974ba99f84b00ae6450358d12d
Description-en: Transitional package for KDE's Breeze
 This transitional package allows one to migrate to the package providing
 the KDE's Breeze GTK theme.
 .
 It can be safely removed after the installation.

Package: gtk3-engines-unico
Description-md5: e8d181b58ea774fbea9ee3ad82a2d502
Description-en: Unico Gtk+ 3 theme engine
 Unico is a Gtk+ engine that aims to be the more complete yet powerful theming
 engine for Gtk+ 3.0 and newer. It’s the first Gtk+ engine written with Gtk+
 style context APIs in mind, using CSS as first class citizen.

Package: gtk3-im-libthai
Description-md5: 2cc67e28ea1494714952ea4a382c046f
Description-en: GTK+ 3 Input Method Module using LibThai
 LibThai is a set of Thai language support routines aimed to ease
 developers' tasks to incorporate Thai language support in their applications.
 It includes important Thai-specific functions e.g. word breaking, input and
 output methods as well as basic character and string supports.
 .
 This package provides third-party plugin for Thai input method in GTK+ 3,
 including input sequence correction, using LibThai.

Package: gtk3-nocsd
Description-md5: 8b951ed34e19b48a27da7890dd71b3f9
Description-en: Disable Gtk+ 3 client side decorations (CSD)
 gtk3-nocsd LD_PRELOADs a small library to disable the client side
 decorations (CSD) of Gtk+ 3.
 .
 Since Gtk+ 3.10, its developers added a so-called header bar or custom
 title bar. With this and the client-side decoration, the original
 title bar and window border provided by the window manager are
 disabled by Gtk+. This makes all Gtk+ 3 programs look like alike, but
 have different handling from other windows on non-GNOME desktops. Even
 worse, this may break some window manager or compositors.
 .
 Unfortunately, there is no reliable way of turning off CSDs in Gtk+
 directly. This library makes this possible.

Package: gtkam
Description-md5: 485603a8eee7d40e8bd537c724dfa142
Description-en: application for retrieving media from digital cameras
 GTKam is a graphical application based on GTK+ that allows you
 to transfer pictures and movies from a digital camera.

Package: gtkam-gimp
Description-md5: 515b4e4facaa08a41f996a814d4e2375
Description-en: gtkam gimp plugin to open digital camera pictures
 GTKam is a graphical application based on GTK+ that allows you
 to transfer pictures and movies from a digital camera.
 .
 GTKam-gimp is a plug-in for GIMP that allows you to open pictures
 on a digital camera within GIMP.

Package: gtkatlantic
Description-md5: 324e7a1f72967fc7a6b97daa3810c7e2
Description-en: Game like Monopoly
 GtkAtlantic is a game, like Monopoly™.
 This is a network client that works with the monopd server. You will either
 have to install monopd or connect to an existing internet server to play the
 game.

Package: gtkballs
Description-md5: b533d5aa2ffe32546374b8eb1661ea70
Description-en: simple logic game
 The goal of this game is to make the highest score by matching a number of
 balls of the same color in a horizontal,  vertical or diagonal line.
 This is  a clone  of well-known DOS game "Lines".

Package: gtkboard
Description-md5: 4160e74883099f51d136ca82ec3800db
Description-en: many board games in one program
 Gtkboard aims to provide a common platform for all board games.  All
 games have a common interface.  The first thing you do on starting
 gtkboard is to select a game from the menu.  Currently 18 games are
 implemented.  Of these, Antichess, Ataxx, Fifteen puzzle, Hiq,
 Memory, Othello, Pentaline, Plot4, Rgb and Samegame are fully
 implemented.  Checkers, Infiltrate, Hypermaze, Mastermind, Maze, and
 Tetris are partially implemented but playable.  Chess, and Pacman are
 partially implemented and currently unplayable.  Some are single
 player and some are two player games.

Package: gtkguitune
Description-md5: 7a8c74dd6df23b80acbf88a1eb8f28a4
Description-en: Guitar and other instruments tuner
 gtkGuitune is a Linux program for tuning guitars and other
 instruments by using the method of Schmitt-triggering, i.e.  counting
 the number of triggerings between two trigger levels in a certain
 amount of time.

Package: gtkhash
Description-md5: 68639c6ef844c34871b3bc6c1a76e790
Description-en: GTK+ utility for computing checksums and more
 GtkHash is a small GTK+ utility which allows users to compute
 message digests or checksums using the mhash library.
 Currently supported hash functions include MD5, MD6, SHA1,
 SHA256, SHA512, RIPEMD, TIGER and WHIRLPOOL.

Package: gtklp
Description-md5: cf7dfc0d41bfe6e9ff15fdb64f4ac0af
Description-en: printing tool for CUPS on the GNOME Desktop
 GtkLP lets you print files under the Common Unix Printing System
 (CUPS).  Written in GTK+ 2.0 and for the GNOME desktop environment,
 it supports multiple option settings for each printer it knows,
 with all the standard CUPS options available.
 .
 Other features include localized dialogs for several languages, as
 well as multiple interfaces; with a little more effort, you can use
 GtkLP as a drop-in replacement for `lp'.

Package: gtkmorph
Description-md5: 836bc70bc8eb32b70faf1ac6246c6c56
Description-en: Digital image warp and morph (gtk)
 gtkmorph loads, saves, warps, and dissolves images, and loads, saves,
 creates, and manipulates control meshes which determine the warping.   The
 technique was invented and first used by Industrial Light and Magic, and is
 commonly called "morphing."
 .
 gtkmorph is a GUI for libmorph, using GTK+.  It has many features, as the
 support for making movies automatically (it needs extra packages).

Package: gtkmorph-example
Description-md5: bc3761a66546f5e24e5da1692de8efb8
Description-en: digital image warp and morph, examples
 gtkmorph loads, saves, warps, and dissolves images, and loads, saves,
 creates, and manipulates control meshes which determine the warping.  It has
 support for making movies automatically (it needs extra packages).  The
 technique was invented and first used by Industrial Light and Magic, and is
 commonly called "morphing."
 .
 This package contains an example session, that can be used also with xmorph.

Package: gtkperf
Description-md5: ef5e24a44c2a77b3f06457405dc0fb99
Description-en: GTK+ performance benchmark
 GtkPerf is an application designed to test GTK+ performance.
 The point is to create common testing platform to run predefined
 GTK+ widgets (opening comboboxes, toggling buttons, scrolling text)
 and this way define the speed of device/platform.

Package: gtkpod
Description-md5: a655e15e7264a295f9953a604469ad81
Description-en: manage songs and playlists on an Apple iPod
 gtkpod is a platform independent GUI for Apple's iPod using GTK3.
 It allows you to upload songs and playlists to your iPod. It supports ID3
 tag editing, multiple charsets for ID3 tags, detects duplicate songs,
 allows offline modification of the database with later synchronisation,
 and more.

Package: gtkpod-data
Description-md5: 8d1d5d5676260b313dd5c7ab790fc429
Description-en: architecture-independent files for gtkpod
 gtkpod is a platform independent GUI for Apple's iPod using GTK3.
 It allows you to upload songs and playlists to your iPod. It supports ID3
 tag editing, multiple charsets for ID3 tags, detects duplicate songs,
 allows offline modification of the database with later synchronisation,
 and more.
 .
 This package contains documentation, translations and images for
 gtkpod.

Package: gtkpod-dbg
Description-md5: 0ac08656283635b02fce8a51ce86a8f5
Description-en: debugging symbols for gtkpod
 gtkpod is a platform independent GUI for Apple's iPod using GTK3.
 It allows you to upload songs and playlists to your iPod. It supports ID3
 tag editing, multiple charsets for ID3 tags, detects duplicate songs,
 allows offline modification of the database with later synchronisation,
 and more.
 .
 This package contains the debugging symbols for gtkpod.

Package: gtkpool
Description-md5: 1cb160761985120d2d5a9cfca13d6e2d
Description-en: simple pool billiard game written with GTK+
 GtkPool brings you that classic favourite game of lounge lizards.
 It has a rather simple playing interface and it supports a really
 nifty, customizable physics system.

Package: gtkterm
Description-md5: 3d7a91c3750e2b60a35853abca3cf8ec
Description-en: simple GTK+ serial port terminal
 gtkterm is a simple GTK+ terminal used to communicate with the serial port.
 .
 Its features :
 .
  * Serial port terminal window
  * Serial port setup (speed, parity, bits, stopbits, flow control)
  * Using the termios API
  * Possible to send a file (only RAW data, no protocol)
  * End of line delay while sending a file
  * Special character wait before next line while sending a file
  * Possible to toggle control lines manually (DTR, CTS)
  * Also reads the state of control lines (RTS, CD, DSR, RI)
 .
  Author:   Julien Schmitt <julien@jls-info.com>

Package: gtkwave
Description-md5: 61715897448c17f5ed5a42e1469ffffe
Description-en: VCD (Value Change Dump) file waveform viewer
 gtkwave is a viewer for VCD (Value Change Dump) files which
 are usually created by digital circuit simulators. (These files
 have no connection to video CDs!)

Package: gtml
Description-md5: 9182dc6f8bcdae2101e80f467ee99c0e
Description-en: HTML pre-processor
 GTML is an HTML pre-processor which adds some extra features specially
 designed for maintaining multiple web pages.  HTML files generated by GTML are
 just like any other HTML files.  Because GTML does not attempt to interpret
 your HTML commands in any way, it's fully compatible with all versions of HTML,
 and does not require any specific browser or server.

Package: gtranscribe
Description-md5: f076f1d9cfeea4007bb6fcd350f3f90a
Description-en: simple GTK+ tool focussed on easy transcription of spoken words
 gTranscribe is a simple GTK+ tool to transcribe audio files and other
 sources. The playback speed can be adjusted without changing the pitch of the
 voice. It supports spell checking and resuming at the last transcribed
 position.

Package: gtranslator
Description-md5: 54ddd35be8fc55de1363296cf64af3fd
Description-en: PO-file editor for the GNOME Desktop
 GNOME Translation Editor is a po file editor which makes translating gettext
 applications as easy as possible, even for people with no translation
 experience.
 .
 It supports the usage of translation memories, syntax highlighting, spell
 checking, undoing of insertions and deletions, and general integration with
 the GNOME Desktop.

Package: gtrayicon
Description-md5: 4ca8a77c2badf186fd72570a2caae294
Description-en: Generic tray icon for GNOME
 Generic tray icon for GNOME is a small utility which allows
 to add a icon to the system tray that can be used to trigger
 customized enable/disable actions.

Package: gtrayicon-dbg
Description-md5: b56bb2c04fe7634ad0cd888983b4933c
Description-en: Generic tray icon for GNOME - debug symbols
 Generic tray icon for GNOME is a small utility which allows
 to add a icon to the system tray that can be used to trigger
 customized enable/disable actions.
 .
 This package contains the debugging symbols

Package: gtypist
Description-md5: 7ca59c5c0c494e41735b7be676401357
Description-en: simple ncurses touch typing tutor
 Displays exercise lines, measures your typing speed and
 accuracy, and displays the results. Two exercise types
 are possible: drills and speed tests.
 .
 You need fortune cookies (fortune-cookie-db) to use
 typefortune. There are several languages specific
 cookie packages (fortunes-*).

Package: guake
Description-md5: dea59684bb9d39df8af8a54964b9acc8
Description-en: Drop-down terminal for GNOME Desktop Environment
 Guake is a drop-down terminal for GNOME Desktop Environment, so you just
 need to press a key to invoke him, and press again to hide.
 Guake supports hotkeys, tabs, background transparent, etc.

Package: guake-indicator
Description-md5: 8f0caa3df024569dc476cb6acb25cb2f
Description-en: Guake terminal app indicator
 Guake indicator lets you send custom commands through the D-Bus System.
 Commands can be manually edited in a XML file under ~/.guake.indicator
 or generated through guake-indicator edit-menu system GUI.
 .
 Guake-indicator sticks to your "System Tray" and displays your
 favorites commands retrieved from ~/.guake.indicator/guake-indicator.xml.
 If guake-indicator.xml does not exist, guake-indicator will create a default
 configuration file with some examples.

Package: gubbins
Description-md5: cc258d495d1b902fa507e53b5cc3902e
Description-en: phylogenetic analysis of genome sequences
 Gubbins supports rapid phylogenetic analysis of large samples of
 recombinant bacterial whole genome sequences.
 .
 Gubbins (Genealogies Unbiased By recomBinations In Nucleotide
 Sequences) is an algorithm that iteratively identifies loci containing
 elevated densities of base substitutions while concurrently constructing
 a phylogeny based on the putative point mutations outside of these
 regions. Simulations demonstrate the algorithm generates highly accurate
 reconstructions under realistic models of short-term bacterial
 evolution, and can be run in only a few hours on alignments of hundreds
 of bacterial genome sequences.

Package: gucharmap
Description-md5: e99485eac2609af50962be9c053d886a
Description-en: Unicode character picker and font browser
 This program allows you to browse through all the available Unicode
 characters and categories for the installed fonts, and to examine
 their detailed properties.  It is an easy way to find the character
 you might only know by its Unicode name or code point.

Package: gucumber
Description-md5: a2751a0528f2d04c2f6e6e4403c77734
Description-en: Cucumber BDD-style testing for Go -- utility
 An implementation of Cucumber (http://cukes.info) BDD-style testing
 for Go.
 .
 This package provides command line utility "gucumber".

Package: gudhi-utils
Description-md5: 860590f39c2258d93e52bbe8459148fb
Description-en: Utilities from the GUDHI library
 The GUDHI library is a generic open source C++ library for
 Topological Data Analysis (TDA) and Higher Dimensional Geometry
 Understanding. The library offers state-of-the-art data structures
 and algorithms to construct simplicial complexes and compute
 persistent homology.
 .
 The GUDHI library is developed as part of the GUDHI project supported
 by the European Research Council.
 .
 This package contains some utilities built with the GUDHI library.

Package: gudhui
Description-md5: 5bfe2ada879aaead9d2941c59a879ccf
Description-en: GUI for some of the functionality of the GUDHI library
 The GUDHI library is a generic open source C++ library for
 Topological Data Analysis (TDA) and Higher Dimensional Geometry
 Understanding. The library offers state-of-the-art data structures
 and algorithms to construct simplicial complexes and compute
 persistent homology.
 .
 The GUDHI library is developed as part of the GUDHI project supported
 by the European Research Council.
 .
 This package contains GudhUI, a graphical interface to a few of GUDHI's
 features. Be aware that it is quite rough around the edges.

Package: guessnet
Description-md5: f85b72c92997cf3bb442e9c7edb96037
Description-en: Guess which LAN a network device is connected to
 Guessnet is a non-aggressive network detection tool to use when moving
 a machine among networks which don't necessarily provide DHCP.
 .
 Guessnet takes in input a list of candidate network profiles,
 each of which includes a test description; then it runs all
 the tests in parallel and prints the name of the profile whose
 test was the first one to succeed.
 .
 Available tests are:
  * ARP probes to check for known hosts in the network
  * link beat check, to check if the interface is connected to anything
  * PPPOE check to see if there is a concentrator accessible via PPPOE
  * Checks provided by custom arbitrary scripts.
 .
 Guessnet can be used in either native mode or "ifupdown mode".
 In the latter case guessnet integrates nicely with ifupdown
 as a "mapping script".

Package: guestfsd
Description-md5: 08296bddcf65cda2436f60a7c10347c3
Description-en: Daemon to access to guest virtual machine through virtio serial
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains a standalone version the back-end daemon that
 carries out file system access on behalf of libguestfs applications.
 It is not needed for regular operation.

Package: guetzli
Description-md5: 2267998e63e53c130f29db16f2c94894
Description-en: perceptual JPEG encoder
 Guetzli is a JPEG encoder that aims for excellent compression density
 at high visual quality. Guetzli-generated images are typically 20-30%
 smaller than images of equivalent quality generated by libjpeg. Guetzli
 generates only sequential (nonprogressive) JPEGs due to faster
 decompression speeds they offer.

Package: gufw
Description-md5: 6ba71ac631b02c0d683f78355db5e633
Description-en: graphical user interface for ufw
 gufw is an easy and intuitive way to manage your Linux firewall. It supports
 common tasks such as allowing or blocking pre-configured, common p2p, or
 individual port(s), and many others!

Package: guidedog
Description-md5: 4e2e6b53532107304aa51b327f8b0fd3
Description-en: NAT/masquerading/port-forwarding configuration tool in Qt5
 Guidedog is a GUI utility which allows you to easily activate and
 configure your machine for packet routing, Network Address
 Translation/IP Masquerading (NAT) and port-forwarding.
 .
 If you are using the functions of this program, it is recommended that
 you setup/configure a firewall to protect your machine - guidedog does
 not setup a firewall for you.

Package: guile-2.0
Description-md5: 92e64a8da1c1f586d1a7fc7b1ad1ec5d
Description-en: GNU extension language and Scheme interpreter
 Guile is a Scheme implementation designed for real world programming,
 providing a rich Unix interface, a module system, an interpreter, and
 many extension languages.  Guile can be used as a standard #! style
 interpreter, via #!/usr/bin/guile, or as an extension language for
 other applications via libguile.

Package: guile-2.0-dev
Description-md5: ff686195c9946b251edb1db64ccf707d
Description-en: Development files for Guile 2.0
 This package contains files needed for development using Guile 2.0.
 .
 Guile is a Scheme implementation designed for real world programming,
 providing a rich Unix interface, a module system, an interpreter, and
 many extension languages.  Guile can be used as a standard #! style
 interpreter, via #!/usr/bin/guile, or as an extension language for
 other applications via libguile.

Package: guile-2.0-doc
Description-md5: 0a004c9e5b876d950b35f4408ccf6e80
Description-en: Documentation for Guile 2.0
 This package contains the Guile documentation, including the Guile
 Reference Manual.
 .
 Guile is a Scheme implementation designed for real world programming,
 providing a rich Unix interface, a module system, an interpreter, and
 many extension languages.  Guile can be used as a standard #! style
 interpreter, via #!/usr/bin/guile, or as an extension language for
 other applications via libguile.

Package: guile-2.0-libs
Description-md5: cba24c28494e692c2455c31f93fe375a
Description-en: Core Guile libraries
 Guile is a Scheme implementation designed for real world programming,
 providing a rich Unix interface, a module system, an interpreter, and
 many extension languages.  Guile can be used as a standard #! style
 interpreter, via #!/usr/bin/guile, or as an extension language for
 other applications via libguile.

Package: guile-3.0
Description-md5: 92e64a8da1c1f586d1a7fc7b1ad1ec5d
Description-en: GNU extension language and Scheme interpreter
 Guile is a Scheme implementation designed for real world programming,
 providing a rich Unix interface, a module system, an interpreter, and
 many extension languages.  Guile can be used as a standard #! style
 interpreter, via #!/usr/bin/guile, or as an extension language for
 other applications via libguile.

Package: guile-3.0-dev
Description-md5: f43ad0536f6c2feae13503d27d38fbd3
Description-en: Development files for Guile 3.0
 This package contains files needed for development using Guile 3.0.
 .
 Guile is a Scheme implementation designed for real world programming,
 providing a rich Unix interface, a module system, an interpreter, and
 many extension languages.  Guile can be used as a standard #! style
 interpreter, via #!/usr/bin/guile, or as an extension language for
 other applications via libguile.

Package: guile-3.0-doc
Description-md5: b21efd84c02d49c7437c9dd0b9aef6d6
Description-en: Documentation for Guile 3.0
 This package contains the Guile documentation, including the Guile
 Reference Manual.
 .
 Guile is a Scheme implementation designed for real world programming,
 providing a rich Unix interface, a module system, an interpreter, and
 many extension languages.  Guile can be used as a standard #! style
 interpreter, via #!/usr/bin/guile, or as an extension language for
 other applications via libguile.

Package: guile-3.0-libs
Description-md5: cba24c28494e692c2455c31f93fe375a
Description-en: Core Guile libraries
 Guile is a Scheme implementation designed for real world programming,
 providing a rich Unix interface, a module system, an interpreter, and
 many extension languages.  Guile can be used as a standard #! style
 interpreter, via #!/usr/bin/guile, or as an extension language for
 other applications via libguile.

Package: guile-bytestructures
Description-md5: 73301f716b66649074e02506b1a65a9f
Description-en: Structured access to bytevector contents
 This library offers a system imitating the type system of the C
 programming language, to be used on bytevectors.  C's type system
 works on raw memory, and bytestructures works on bytevectors which
 are an abstraction over raw memory in Scheme.  The system is in fact
 more powerful than the C type system, elevating types to first-class
 status.

Package: guile-cairo
Description-md5: 9429241610359b2f738d873b8a64fb0f
Description-en: Guile bindings for Cairo
 This package contains Guile modules that provide access to the Cairo
 library. Cairo is a multi-platform library providing anti-aliased
 vector-based rendering for multiple target backends.

Package: guile-cairo-dev
Description-md5: 6f7c7e6c28f285e8fed38be859e8c245
Description-en: Guile bindings for Cairo, development files
 This package contains the info manual for guile-cairo and the header
 files to allow compilation of wrappers depending on guile-cairo.
 Cairo is a multi-platform library providing anti-aliased
 vector-based rendering for multiple target backends.

Package: guile-g-wrap
Description-md5: adadc4bc576b509d4a083bd8b587f735
Description-en: scripting interface generator for C - Guile runtime
 G-Wrap is a tool (and Guile library) for generating function wrappers
 for inter-language calls. It currently only supports generating Guile
 wrappers for C functions.
 .
 This package contains the Guile standard wrapset, needed by Guile
 bindings generated by G-Wrap.

Package: guile-gcrypt
Description-md5: f71a389269f74064a31133aa80190b5d
Description-en: gcrypt bindings for guile
 Guile-Gcrypt provides a Guile 2.x interface to a subset of the
 GNU Libgcrypt crytographic library, which is itself used by the
 GNU Privacy Guard (GPG).
 .
 Guile-Gcrypt provides modules for cryptographic hash functions,
 message authentication codes (MAC), public-key crytography, strong
 randomness, and more.  It is implemented using the foreign function
 interface (FFI) of Guile.

Package: guile-git
Description-md5: bc9e012175347d67756a1babb37d673c
Description-en: guile bindings for libgit2
 Guile-Git is a GNU Guile library providing bindings to libgit2, a
 library implementation of the Git distributed version control system
 core methods.

Package: guile-gnome2-dev
Description-md5: 46aabe68affbd2c75ceba09b275a93cd
Description-en: Guile GObject binding support library, development files
 This package contains the development files for the Guile GObject
 binding support library.
 .
 You only need to install this package for building new wrapsets based
 on the guile-gnome GObject bindings.

Package: guile-gnome2-glib
Description-md5: 743e78b9e6b6616919ae8b322081e06b
Description-en: Guile bindings for GLib
 This package contains Guile modules that provide access to the GLib
 library, including its object system, GObject.

Package: guile-gnome2-gtk
Description-md5: d704fae598ef3be7b6502cfca826d8e2
Description-en: Guile bindings for GTK+, libglade, Pango and ATK
 This package contains Guile modules that provide access to the GTK+
 widget set library and its companion libraries libglade, Pango and
 ATK.

Package: guile-gnutls
Description-md5: 1f793cfdac00ad023948c6e99a10a0ef
Description-en: GNU TLS library - GNU Guile bindings
 GnuTLS is a portable library which implements the Transport Layer
 Security (TLS 1.0, 1.1, 1.2, 1.3) and Datagram
 Transport Layer Security (DTLS 1.0, 1.2) protocols.
 .
 GnuTLS features support for:
  - certificate path validation, as well as DANE and trust on first use.
  - the Online Certificate Status Protocol (OCSP).
  - public key methods, including RSA and Elliptic curves, as well as password
    and key authentication methods such as SRP and PSK protocols.
  - all the strong encryption algorithms, including AES and Camellia.
  - CPU-assisted cryptography with VIA padlock and AES-NI instruction sets.
  - HSMs and cryptographic tokens, via PKCS #11.
 .
 This package contains the GNU Guile modules.

Package: guile-json
Description-md5: 5b6d0d66ccb1732856a1572f75d68da5
Description-en: JSON module for Guile
 Guile-json supports parsing and building JSON documents according
 to the http://json.org specification. These are the main features:
  - Strictly compiles to the http://json.org specification.
  - Build JSON documents programmatically via macros.
  - Unicode support for strings.
  - Allow JSON pretty printing.

Package: guile-library
Description-md5: e94a7d3d26b5f244afa9bc80157e98fc
Description-en: Library of useful Guile modules
 A set of various-purpose library modules for Guile. Covered areas include:
 .
  * Unit testing framework ala JUnit
  * Logging system
  * String routines (wrapping, completion, soundex algorithm)
  * OS process chains (think "shell pipes in scheme")
  * ANSI escape sequence text coloring
  * A thread-safe message queue
  * Routines to perform topological sorts
  * Neil Van Dyke's permissive ("pragmatic") HTML parser
  * Nifty and concise regular expression routines
  * Classic search functions

Package: guile-sqlite3
Description-md5: b118e9aa091aa24c11e319c59eb6aa08
Description-en: guile bindings for sqlite3
 Guile bindings for the SQLite3 database engine.

Package: guile-ssh
Description-md5: f2091f5040437db695b9aa2b43b9333c
Description-en: guile bindings for libssl
 Guile-SSH is a library that provides access to the SSH protocol for programs
 written in GNU Guile interpreter.  It is built upon the libssh library.
 .
 This includes the GNU Guile bindings.

Package: guilt
Description-md5: 849c974e0891c5ff51c79d0e2569c04c
Description-en: quilt for git; similar to Mercurial queues
 Guilt (Git Quilt) is a series of bash scripts which add a Mercurial
 queues-like functionality and interface to git.  The one distinguishing
 feature from other quilt-like porcelains, is the format of the patches
 directory.
 .
 All the information is stored as plain text - a series file and the
 patches (one per file). This easily lends itself to versioning the patches
 using any number of SCMs.

Package: guitarix
Description-md5: 782efa04973ae9e99843c9527ac14400
Description-en: Rock guitar amplifier for JACK
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It provides one JACK input port and two JACK output
 ports. It is designed to produce nice trash/metal/rock/blues guitar sounds.
 Controls for bass, treble, gain, compressor, tube selector, distortion,
 flanger, freeverb, crybaby (wah), etc are available.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package provides the standalone application with a GTK+ interface.

Package: guitarix-common
Description-md5: 219b643adb53a30d25abea6b5ce44fc7
Description-en: Guitarix - Common files
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains Guitarix's Architecture independent files. Examples of
 such shared files are: configuration files, images and sounds.

Package: guitarix-doc
Description-md5: b967c7fe6624ef8687d9e5b31b455306
Description-en: Guitarix - Development documentation
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains Guitarix's Development documentation.

Package: guitarix-ladspa
Description-md5: 77ffe580ff573863048b2bfc9768159e
Description-en: Guitarix - ladspa plugins
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains the ladspa audio plugins that come together with
 guitarix, but can also be used by any other ladspa host.

Package: guitarix-lv2
Description-md5: 49e17c8aaad917e78576ccca5f1911bc
Description-en: Guitarix - LV2 plugins
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains the LV2 audio plugins that come together with guitarix,
 but can also be used by any other LV2 host.

Package: gulp
Description-md5: ff891d30cbe4acdc69f2e10219e9e3da
Description-en: streaming build system to automate painful or time-consuming tasks
 gulp is a toolkit that helps you automate painful or time-consuming tasks in
 your development workflow.
 .
 Platform-agnostic - Integrations are built into all major IDEs and people are
 using gulp with PHP, .NET, Node.js, Java, and other platforms.
 Strong Ecosystem - Use npm modules to do anything you want + over 2000 curated
 plugins for streaming file transformations
 Simple - By providing only a minimal API surface, gulp is easy to learn and
 simple to use
 .
 Node.js is an event-based server-side JavaScript engine.

Package: gummi
Description-md5: 52c152f9d2f999349086a8eff4f41079
Description-en: simple LaTeX editor with live preview
 Gummi is a LaTeX editor based on GTK3.
 The basic features are:
  - Live preview pane for the compiled document,
  - BibTeX integration,
  - Helpers for tables and matrices,
  - Exporting to PDF,
  - Error checking,
  - Syntax highlighting,
  - Spellchecking,
  - Document statistics,
  - Persistent configuration.

Package: guncat
Description-md5: ef52bebbcd628fe25b6b015499bd0961
Description-en: Catenates files while decrypting PGP-encrypted sections
 Guncat acts like unix's cat command, but handles
 (partially) encrypted sections of processed files.
 Sections of guncat's input files which are surrounded by
 -----BEGIN PGP MESSAGE-----
 and
 -----END PGP MESSAGE-----
 markers are decrypted before being concatenated to the
 standard output stream.
 .
 Guncat's output (i.e., the standard output stream) may
 subsequently be processed by other programs, like grep
 or less.  Guncat supports reading a decryption passphrase
 either from stdin or from gpg-agent.
 .
 Guncat stands for (Gpg UNencrypting CAT) and was designed to
 facilitate searching through (partially) PGP encrypted files,
 as encountered in mailboxes, for example.

Package: gunicorn
Description-md5: 2ff72d8c5c219c7f7f89448c09a76c6c
Description-en: Event-based HTTP/WSGI server
 Green Unicorn (gunicorn) is an HTTP/WSGI server designed to serve fast clients
 or sleepy applications. That is to say; behind a buffering front-end server
 such as nginx or lighttpd.
 .
  * Optional support for Eventlet, Tornado and Gevent to provide asynchronous
    long-polling ("Comet") connections.
  * Process management: Gunicorn reaps and restarts workers that die.
  * Easy integration with Django and Paster compatible applications (Pylons,
    TurboGears 2, etc.
  * Load balancing via pre-fork and a shared socket
  * Graceful worker process restarts
  * Upgrading without losing connections
  * Decode chunked transfers on-the-fly, allowing upload progress notifications
    or stream-based protocols over HTTP

Package: gunicorn-examples
Description-md5: 534f86a64db17a6022cff39be7f319e8
Description-en: Event-based HTTP/WSGI server (examples)
 Green Unicorn (gunicorn) is an HTTP/WSGI server designed to serve fast clients
 or sleepy applications. That is to say; behind a buffering front-end server
 such as nginx or lighttpd.
 .
  * Optional support for Eventlet, Tornado and Gevent to provide asynchronous
    long-polling ("Comet") connections.
  * Process management: Gunicorn reaps and restarts workers that die.
  * Easy integration with Django and Paster compatible applications (Pylons,
    TurboGears 2, etc.
  * Load balancing via pre-fork and a shared socket
  * Graceful worker process restarts
  * Upgrading without losing connections
  * Decode chunked transfers on-the-fly, allowing upload progress notifications
    or stream-based protocols over HTTP
 .
 These are the examples.

Package: gunroar
Description-md5: 5837402444ef87fb8d944793486629a7
Description-en: 360-degree gunboat shooter
 Gunroar is an excellent shooter in which the game environment turns to be
 the sea and your spaceship an armed boat. The player moves around in a
 level generated randomly, and containing enemy islands, turrets and
 enemy boats) and that never ends. Each enemy killed increments your
 points according to the time it took you to destroy it and its size.
 .
 Gunroar is another gem among many by Kenta Cho.

Package: gunroar-data
Description-md5: 7cce76bc0e11f02bf20556ab298a43d9
Description-en: 360-degree gunboat shooter - game data
 Gunroar is an excellent shooter in which the game environment turns to be
 the sea and your spaceship an armed boat. The player moves around in a
 level generated randomly, and containing enemy islands, turrets and
 enemy boats) and that never ends. Each enemy killed increments your
 points according to the time it took you to destroy it and its size.
 .
 This package includes the architecture-independent data for the game Gunroar.

Package: gup
Description-md5: 6d6cbba9d8c2b6655f461f0947b2bca6
Description-en: let a remote site change their newsgroups subscription
 Gup, the Group Update Program is a Unix mail-server that lets a remote
 site change their newsgroups subscription without requiring the
 intervention of the news administrator at the feed site.
 .
 Gup is suited to news administrators that find they are spending an
 inordinate amount of time editing the INN newsfeeds file on behalf of
 the remote sites.

Package: gupnp-dlna-tools
Description-md5: 35f782c637e5ead1da1c1d5bc1645030
Description-en: GObject-based library for GUPnP DLNA (tools)
 A small utility library that aims to ease the DLNA-related tasks
 such as media profile guessing, transcoding to a given profile, etc.
 .
 This package contain tools, like gupnp-dlna-info.

Package: gupnp-tools
Description-md5: f70a75ea9fb3804874c314d5b4e5d0a5
Description-en: tools for testing UPnP devices and control points
 GUPnP is an object-oriented open source framework for creating UPnP devices
 and control points, written in C using GObject and libsoup. The GUPnP API is
 intended to be easy to use, efficient and flexible.
 .
 GUPnP Tools are free replacements of Intel UPnP tools that use GUPnP. They
 provides the following client and server side tools which enable one to easily
 test and debug one's UPnP devices and control points:
  * Universal Control Point: a tool that enables one to discover UPnP devices
    and services, retrieve information about them, subscribe to events and
    invoke actions.
  * Network Light: a virtual light bulb that allows control points to switch
    it on and off, change its dimming level and query its current status.
  * AV Control Point: a simple media player UI that enables one to discover and
    play multimedia content available on the network.
  * MediaServer upload: upload files to MediaServers
  * Upload: a simple commandline utility that uploads files to known
    MediaServers. Use Universal Control Point for discovering the MediaServers.

Package: gutenprint-locales
Description-md5: c06f8d8c092a26a2cec5bf268fbc9027
Description-en: locale data files for Gutenprint
 This package contains the i18n files of Gutenprint, used by
 libgutenprint9, printer-driver-gutenprint and escputil.  It is also
 used by the Print plugin for the GIMP, gimp-gutenprint.
 .
 They are needed when you want the programs in Gutenprint to print
 their messages in other languages than US English.
 .
 Gutenprint is the print facility for the GIMP, and in addition a
 suite of drivers that may be used with common UNIX spooling systems
 using GhostScript or CUPS.  These drivers provide printing quality
 for UNIX/Linux on a par with proprietary vendor-supplied drivers in
 many cases, and can be used for many of the most demanding printing
 tasks.  Gutenprint was formerly known as Gimp-Print.

Package: gutsy-wallpapers
Description-md5: 18b3b5fcb6c72854c9d32620f4c4539e
Description-en: Gutsy Wallpapers
 The default Wallpapers for Gutsy. At the moment the package contains:
  * Simple Ubuntu

Package: guvcview
Description-md5: 5024a12bbce2ca7e5b27f501da68c2c6
Description-en: GTK+ base UVC Viewer
 guvcview is a simple GTK+ interface for capturing and viewing video from
 devices supported by the Linux UVC driver.

Package: guymager
Description-md5: 2419b9caa872ca2f2755a677279d2daf
Description-en: Forensic imaging tool based on Qt
 The forensic imager contained in this package, guymager, was designed to
 support different image file formats, to be most user-friendly and to run
 really fast. It has a high speed multi-threaded engine using parallel
 compression for best performance on multi-processor and hyper-threading
 machines.

Package: gv
Description-md5: 7ff4c134e7c6b4a98c92d2ff2d1218ec
Description-en: PostScript and PDF viewer for X
 gv is a comfortable viewer of PostScript and PDF files for the X
 Window System. It uses the ghostscript PostScript interpreter
 and is based on the classic X front-end for gs, ghostview, which
 it has replaced now.

Package: gvb
Description-md5: 6df54c2b17a2a17122740d2caec3ca07
Description-en: visual simulator of 1 and 2-dimensional vibrations
 Good ViBrations (gvb) is a small program that aims at providing a nice
 interface to play with waves in 1 or 2 dimensions.
 .
 It features several ways of setting initial conditions, as well as different
 calculation methods and graphic outputs. It is also possible to dump animation
 frames to png images in order to make a movie with them.
 .
 It relies on the Python library scipy to get the best possible performance in
 calculations.

Package: gvidm
Description-md5: cedfbca127f5cceeb65f72a9e30c01fd
Description-en: quickly and easily change video resolutions in X
 Running gvidm will pop up a list of available modes and allows the user
 to select one if desired. This makes it perfect for running from an
 application menu or a hotkey, so you don't have to use ram for an applet
 constantly running. If you are running dual or multi-head displays, it
 will give you a list of screens so you can select the appropriate one.

Package: gvncviewer
Description-md5: ec74ac2b96e27f8fea8f0aff0a83cc41
Description-en: VNC viewer using gtk-vnc
 It is built using coroutines, allowing it to be completely asynchronous while
 remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the
 VeNCrypt authentication extension providing SSL/TLS encryption with x509
 certificate authentication.
 .
 The core library is written in C and a bindings for other languages are
 available via GObject introspection. The networking layer supports connections
 over both IPv4 and IPv6.
 .
 This package contains the VNC viewer and VNC capture utility.

Package: gvpe
Description-md5: bdc26d37ab2cdd9f97fa934aa7647d11
Description-en: creates a virtual ethernet between multiple endpoints
 GVPE creates a virtual ethernet (broadcasts supported, any protocol that
 works with a normal ethernet should work with GVPE) by creating encrypted
 host-to-host tunnels between multiple endpoints.
 .
 Unlike other virtual private "network" solutions which merely create a
 single tunnel, GVPE creates a real network with multiple endpoints.
 .
 It is designed to be very simple and robust (cipher selection done at
 compiletime etc.), and easy to setup (only a single config file shared
 unmodified between all hosts).
 .
 VPN hosts can neither sniff nor fake packets, that is, you can use
 MAC-based filtering to ensure authenticity of packets even from member
 nodes.
 .
 GVPE can also be used to tunnel into some vpn network using a variety
 of protocols (raw IP, UDP, TCP, HTTPS-proxy-connect, ICMP and DNS). It
 is, however, primarily designed to sit on the gateway machines of
 company branches to connect them together.

Package: gwaei
Description-md5: 9d6d7cf1a967c5feb92eeb8574b725ce
Description-en: Japanese-English Dictionary for GNOME
 gWaei is an easy to use and yet powerful full-featured
 dictionary program for Japanese to English translation.
 It organizes results by relevance, supports regex searches,
 tabs, spell checking, kanji handwriting recognition and
 an accompanying console version for searches through
 the terminal.

Package: gwama
Description-md5: db863b4b12508d1f49402a76e1c5de8f
Description-en: Genome-Wide Association Meta Analysis
 GWAMA (Genome-Wide Association Meta Analysis) software performs
 meta-analysis of the results of GWA studies of binary or
 quantitative phenotypes. Fixed- and random-effect meta-analyses are
 performed for both directly genotyped and imputed SNPs using
 estimates of the allelic odds ratio and 95% confidence interval for
 binary traits, and estimates of the allelic effect size and standard
 error for quantitative phenotypes. GWAMA can be used for analysing
 the results of all different genetic models (multiplicative,
 additive, dominant, recessive). The software incorporates error
 trapping facilities to identify strand alignment errors and allele
 flipping, and performs tests of heterogeneity of effects between studies.

Package: gwaterfall
Description-md5: 67e6c9c5c75baab5cd094d9358de36d4
Description-en: View all characters of a font in all sizes
 This program facilitates comparison of various fonts and font
 rendering parameters.
 .
 It displays sample lines of text in sizes from 5 to 36px
 simultaneously and allows changing of font size range and increment,
 hinting, autohinting, anti-aliasing, subpixel smoothing, and aspect,
 immediately showing the changes on the screen.  All Unicode
 characters are divided into blocks of 128 characters, and user can
 choose block of 128 characters to use as sample line of text.

Package: gwave
Description-md5: b12602810612efc1b477db9e1a6434d6
Description-en: waveform viewer eg for spice simulators
 Gwave is a tool for viewing analog data, such as the output of Spice
 simulations.
 .
 Gwave can read "raw" files from spice2G6, spice3F5 or ngspice, and a tabular
 ASCII format suitable for use with GnuCAP or homegrown tools. It can also read
 several binary and ascii files written by commercial spice-type simulators
 such as hspice, tspice, and nanosim.
 .
 It supports multiple "panels" (graticules) with multiple variables displayed
 in each. Two vertical-bar cursors are available for time-difference
 measurements. Multiple files can be loaded, for comparing results of several
 simulations.

Package: gwc
Description-md5: 4ea63372ebd03a95a3bab9fda6c735ef
Description-en: Audio file denoiser
 The Gtk Wave Cleaner (gwc) is an application that helps you in
 getting rid of noise and clicks in audio files. This is most
 commonly used when translating your old vinyl or old tapes to
 a digital format, but it can be applied to every soundfile that
 has a more or less constant noise level.

Package: gweled
Description-md5: f99b31ef7befad1d04ccfe091f087c1e
Description-en: "Diamond Mine"-style puzzle game
 Gweled is a GNOME version of a popular PalmOS/Windows/Java
 game called "Bejeweled" or "Diamond Mine". The aim of the game
 is to make alignment of 3 or more gems, both vertically
 or horizontally by swapping adjacent gems. The game ends
 when there are no possible moves left.

Package: gwenhywfar-tools
Description-md5: 4cb6f1a30f46370b62d3b63ad5de3e6b
Description-en: helper applications for Gwenhywfar library
 This package contains some helper tools provided by Gwenhywfar and
 useful for applications making use of Gwenhywfar: mklistdoc,
 gct-tool, xmlmerge and typemaker.
 .
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.

Package: gwenview
Description-md5: bd0ae087e915d16cc0dd13c978d49d83
Description-en: image viewer
 Gwenview is an image viewer, ideal for browsing and displaying a collection of
 images.  It is capable of showing images in a full-screen slideshow view and
 making simple adjustments, such as rotating or cropping images.
 .
 This package is part of the KDE graphics module.

Package: gwhois
Description-md5: 700acfb94991db15492e8b8feade951e
Description-en: generic Whois Client / Server
 gwhois is a generic whois client / server. This means that it know
 for many (virtually all) TLDs, IP-Ranges and Handles out there where
 to ask. It queries other whois-servers as well as web-lookup forms.
 .
 gwhois can be used as a client, but you can invoke it as a server
 (e.g. via inetd) as well for usage with a normal whois client.

Package: gworkspace-apps-wrappers
Description-md5: a4d4f761afd2c46408c77f53bf211706
Description-en: Application wrappers for GWorkspace
 A few wrapper scripts to enable usage of non-GNUstep applications
 within a GNUstep environment.
 .
 More wrappers can be made with wrapperfactory.app.

Package: gworkspace-common
Description-md5: cb9432227d9f6377f0cadaeabe3ebe35
Description-en: GNUstep Workspace Manager - common files
 GWorkspace is the official GNUstep workspace manager.
 .
 This package contains the architecture-independent files; it is not
 useful on its own.

Package: gworkspace.app
Description-md5: e0359999aaf23d9bdfc711f3e41443c6
Description-en: GNUstep Workspace Manager
 GWorkspace is the official GNUstep workspace manager. It is a clone
 of NeXT's workspace manager. GWorkspace is probably one of the most
 useful and usable workspace managers available on any platform,
 owing to its well-designed interface and the natural, consistent
 design that it inherits from the GNUstep framework.

Package: gworldclock
Description-md5: 58e5b2915af6a0f84659e20d43e95da6
Description-en: Displays time and date in specified time zones
 This program displays the time and date of specified time zones using a
 GTK+ interface. It also provides a "rendezvous" function allowing the zones
 to be synchronised to a time other than the current time. This can help you
 organise meetings across different timezones.
 .
 The time zones may be entered by hand in TZ format or chosen from a list
 prepared from /usr/share/zoneinfo/zone.tab.  The zone list is kept in a format
 consistent with the shell script tzwatch.

Package: gwsetup
Description-md5: ac84b458eada093904848b0ea6379442
Description-en: utilities to configure and manipulate Geneweb databases
 Geneweb allows keeping track of ancestral data. It is a powerful
 system for maintaining a set of data about your family history. It
 supports much of the GEDCOM tag system for data storage, and can be
 used either as your primary system for archiving genealogical data,
 or as a web service (through a CGI interface) for publishing your
 data for others to use.
 .
 Gwsetup is a command-line program which also includes a mini web server
 to help Geneweb users to setup and manipulate genealogical databases
 to be used with the main Geneweb program.

Package: gwtp
Description-md5: d04e1d97d11fd29e9df2f76a3b2c3d24
Description-en: web interface interacting with Geneweb databases
 Geneweb allows keeping track of ancestral data. It is a powerful
 system for maintaining a set of data about your family history. It
 supports much of the GEDCOM tag system for data storage, and can be
 used either as your primary system for archiving genealogical data,
 or as a web service (through a CGI interface) for publishing your
 data for others to use.
 .
 Gwtp is a CGI program allowing owners of databases hosted on a
 GeneWeb site to upload and download their databases on the site and
 change their configuration parameters.

Package: gwyddion
Description-md5: 9b76d20e9f53c7546ac0d0807f0e18ce
Description-en: Scanning Probe Microscopy visualization and analysis tool
 Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data
 visualization and analysis. It is primarily intended for analysis of height
 field data obtained by microscopy techniques like
  * Atomic Force Microscopy (AFM),
  * Magnetic Force Microscopy (MFM),
  * Scanning Tunneling Microscopy (STM),
  * Near-field Scanning Optical Microscopy (SNOM or NSOM)
 and others. However, it can be used for arbitrary height field and
 image analysis.
 .
 This package contains the main application and its modules. It also contains
 a GNOME (and Xfce) thumbnailer which creates previews for all file types known
 to Gwyddion.

Package: gwyddion-common
Description-md5: da1fbbb42e74e52017f7380872bdcc8e
Description-en: architecture-independent files for Gwyddion SPM analysis tool
 Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data
 visualization and analysis. It is primarily intended for analysis of height
 field data obtained by microscopy techniques like
  * Atomic Force Microscopy (AFM),
  * Magnetic Force Microscopy (MFM),
  * Scanning Tunneling Microscopy (STM),
  * Near-field Scanning Optical Microscopy (SNOM or NSOM)
 and others. However, it can be used for arbitrary height field and
 image analysis.
 .
 This package contains architecture-independent files for Gwyddion - a modular
 program for Scanning Probe Microscopy data visualization and analysis.

Package: gxemul
Description-md5: 7eaffad420a36eee2722e5f1f874ab41
Description-en: machine emulator for multiple architectures
 GXemul aims at emulating complete machines with enough hardware
 emulated to run real unmodified operating systems. The emulation of
 these machine types is good enough to run a least one guest operating
 system:
 .
 ARM-based machines:
  * CATS (NetBSD/cats, OpenBSD/cats)
  * IQ80321 (NetBSD/evbarm)
  * NetWinder (NetBSD/netwinder)
 MIPS-based machines:
  * DECstation 5000/200 (NetBSD/pmax, OpenBSD/pmax, Ultrix,
    Linux/DECstation, Sprite)
  * Acer Pica-61 (NetBSD/arc)
  * NEC MobilePro 770, 780, 800, 880 (NetBSD/hpcmips)
  * Cobalt (NetBSD/cobalt)
  * Malta (NetBSD/evbmips, Linux/Malta)
  * Algorithmics P5064 (NetBSD/algor)
  * SGI O2 (aka IP32) (NetBSD/sgi)
 Motorola 88K-based machines:
  * Motorola MVME187 (OpenBSD/mvme88k)
  * Luna 88K (OpenBSD/luna88k)
 PowerPC-based machines:
  * IBM 6050/6070 (PReP, PowerPC Reference Platform) (NetBSD/prep)
  * MacPPC (generic "G4" Macintosh) (NetBSD/macppc)
  * Artesyn PM/PPC (NetBSD/pmppc)
 SuperH-based machines:
  * Sega Dreamcast (NetBSD/dreamcast, Linux/dreamcast)
  * Landisk I-O DATA USL-5P (OpenBSD/landisk)
 .
 Other machine types and architectures are emulated less completely.
 See the documentation in the gxemul-doc package for the exact details
 and guides to installing guest operating systems.

Package: gxemul-doc
Description-md5: 8f6c404ed9dad14228dcba2c4c391624
Description-en: gxemul documentation
 This package contains the documentation for gxemul, the machine
 emulator for multiple architectures. Among other things, instructions
 for how to get different guest operating systems running are
 included.

Package: gxkb
Description-md5: 978e249cf7265f9b6289bb8dfb166c1b
Description-en: X11 keyboard indicator and switcher
 gxkb is a little indicator applet which allows one to quickly
 switch between different keyboard layouts in X. A flag
 corresponding to the country of the active layout is shown
 in the indicator area.
 .
 The applet is written in C and uses the GTK+ library and
 therefore does not depend on any GNOME components.

Package: gxmessage
Description-md5: 449ab2763292b48c2502876a53c3a2c3
Description-en: xmessage clone based on GTK+
 gxmessage provides an easy way to display scriptable pop-up dialogs.
 Based on the GIMP Toolkit, gxmessage fits in well with desktops such
 as GNOME, Xfce, and ROX.

Package: gxmms2
Description-md5: 060c42792e067f47c0ebb8f7e72522bd
Description-en: XMMS2 client for the GNOME desktop
 gxmms2 is a GTK2-based XMMS2 client, written in C. Its main window is small and
 simple. It includes a playlist editor with a medialib search and an artist
 browser and a file information dialog.

Package: gxneur
Description-md5: fa6cbe2326652ac83e9f526c0c2ceed5
Description-en: GTK+ frontend for xneur keyboard layout switcher
 gXNeur runs in system tray and shows XNeur's state. It also allows one to
 configure XNeur via GUI dialog.
 .
 XNeur is an in-place converter of text typed in with a wrong keyboard layout.
 See xneur package for more info.

Package: gxtuner
Description-md5: 790923153679cfd7e1b405dfa053f3b8
Description-en: Tuner for Jack
 A simple, small and lightweight guitar/bass tuner for jack.
 Gxtuner comes with a analogue like interface (scale),
 show the tune (char) and the accumulated frequency (Hz).
 .
 It's a break out of the guitarix tuner module.

Package: gxw-glade
Description-md5: e71b4c04052decb8567f014244030d8e
Description-en: Guitarix - GTK+ library Glade support
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains Guitarix's GTK+ library Glade support.

Package: gyoto
Description-md5: 42f3f41a98855d4c5ad2107ebb2e316d
Description-en: General relativistic geodesic integration and ray-tracing
 Gyoto is a framework for computing geodesics in curved
 space-times. The gyoto utility program uses this framework to compute
 images of astronomical objects in the vicinity of compact objects
 (e.g. black-holes). Such images are distorted by strong gravitational
 lensing. The gyoto program takes a scenery description in XML format,
 computes this scenery using relativistic ray-tracing, and saves the
 result in FITS format.
 .
 This metapackage depends on all the Gyoto interfaces (command-line,
 Python 3 and Yorick).
 .
 MPI parallelization requires the mpi-default-bin package. The Gyoto
 documentation is provided in the gyoto-doc package.

Package: gyoto-bin
Description-md5: fb9e88c91468d7e196d13f4494bd0a42
Description-en: General relativistic ray-tracing command-line interface
 Gyoto is a framework for computing geodesics in curved
 space-times. The gyoto utility program uses this framework to compute
 images of astronomical objects in the vicinity of compact objects
 (e.g. black-holes). Such images are distorted by strong gravitational
 lensing. The gyoto program takes a scenery description in XML format,
 computes this scenery using relativistic ray-tracing, and saves the
 result in FITS format.
 .
 This package contains the `gyoto' command-line utility. More complex
 uses of the Gyoto framework can be achieved using the python3-gyoto
 or yorick-gyoto packages. Gyoto can be extended with plug-ins (see
 libgyoto8-dev).
 .
 MPI parallelization requires the mpi-default-bin package. Producing
 videos requires the python3-gyoto and python3-opencv packages.

Package: gyoto-doc
Description-md5: b6a061c3492629ca6779320a8822478c
Description-en: documentation for the Gyoto library
 Gyoto aims at providing a framework for computing orbits and
 ray-traced images in General relativity. It consists in a library
 (libgyoto), utility programs, and a plug-in for the Yorick programming
 language.
 .
 This package contains the Gyoto Reference Manual.

Package: gyp
Description-md5: 3cb0119977e28cd08f4b2dc95f53cfe4
Description-en: Cross-platform build script generator
 GYP (Generate Your Projects) is a tool to generate native Visual Studio,
 Xcode, SCons and make build files from a description of a project in a
 simple JSON-inspired format. Its syntax is a universal cross-platform
 build representation that still allows sufficient per-platform flexibility
 to accommodate irreconcilable differences.

Package: gyrus
Description-md5: e955853ccb5463839f4b8ab993ca1810
Description-en: GNOME tool for Cyrus-IMAP servers administration
 It allows through a nice GUI to control user quotas, create
 and remove mailboxes, and to manipulate the Access Control List
 for each mailbox.

Package: gzip-win32
Description-md5: e5550f175fbb84d1bdaa6ee641bd5f8d
Description-en: GNU compression utility (win32 build)
 This is a win32 version of gzip, meant to be used by the win32-loader
 component of Debian-Installer.

Package: gzrt
Description-md5: 72ee7cd2313c27c5584133769a01129f
Description-en: gzip recovery toolkit
 gzrecover will attempt to skip over corrupted data in a gzip archive,
 thereby allowing the remaining data to be recovered.
 .
 Please install cpio to facilitate recovery from damaged gzipped tarballs.

Package: h2o
Description-md5: 6f22a8b3e0e2325f8513f1b278bb0bb1
Description-en: optimized HTTP/1.x, HTTP/2 server
 H2O is a new generation HTTP server that provides quicker response to users
 with less CPU utilization when compared to older web servers.  Designed from
 ground-up, the server takes full advantage of HTTP/2 features including
 prioritized content serving and server push.

Package: h2o-doc
Description-md5: 7ce9b278f95d83a72705c6778b5f3687
Description-en: optimized HTTP/1.x, HTTP/2 server - documentation
 H2O is a new generation HTTP server that provides quicker response to users
 with less CPU utilization when compared to older web servers.  Designed from
 ground-up, the server takes full advantage of HTTP/2 features including
 prioritized content serving and server push.
 .
 This package contains the server documentation.

Package: h5utils
Description-md5: 562c330e66938b1a32273c1013f06e6a
Description-en: HDF5 files visualization tools
 HDF5 (Hierarchical Data Format 5) is a file format for storing
 scientific data. These tools allow converting other formats to
 HDF5 and to visualize HDF5 files. They include:
  - h5topng, which extracts a 2d slice of an HDF5 file and
    outputs a corresponding image in PNG format;
  - h5totxt, which extracts 2d slices and outputs comma-delimited
    text (suitable for import into a spreadsheet);
  - h5fromtxt, which converts simple text input into
    multi-dimensional numeric HDF5 datasets;
  - h5fromh4, which converts HDF4 data to HDF5;
  - h5tovtk, which converts HDF5 files to VTK files for
    visualization with VTK-aware programs;

Package: hachu
Description-md5: 687a3df2060b7b35a9c6e8984e128d5d
Description-en: AI engine for many Shogi and Chess variants, including Chu, Sho, and Dai Shogi
 HaChu is an AI engine for many variants of Shogi (japanese chess), and Chess.
 .
 It currently plays the following games:
  * Sho Shogi, the immediate ancestor of modern Shogi
  * Chu Shogi, the most widely played large-board variant (12x12 board),
    featuring the famous Lion piece
  * Mighty Lion (a simple variant of normal chess to more easily get to know
    the Lion; does not implement castling yet)
  * Dai Shogi, a variant on 15x15 board (no GUI support yet)
  * Tenjiku Shogi, a 16x16 variant with even more exotic pieces (unfinished,
    no GUI support yet)
  * More classical chess variants:
    * Shatranj (does not implement the baring rule yet)
    * Makruk (does not implement counting yet)
    * Standard Chess (without castling)
 .
 Most games (excluding Dai and Tenjiku) can be played through the
 XBoard GUI (version 4.8 and later).

Package: haci
Description-md5: 3ffcd462778bef2d06a11bf8d82ddbe4
Description-en: web based IP(v6) address and network administration tool
 HaCi is an IP Address / Network Administration Tool with IPv6 support.
 It stores its data efficiently in a relational database and uses a
 treelike structure to illustrate supernets and subnets. Additional data
 can be linked to each network following user defined templates.

Package: hackrf
Description-md5: 6066a2daf7b430e5e3fda64b30c83484
Description-en: Software defined radio peripheral - utilities
 HackRF is an open source Software Defined Radio that can receive
 and transmit between 30 MHz and 6 GHz. HackRF has a 20 MHz bandwidth.
 It is a High Speed USB device powered by the USB bus.
 .
 This package contains a set of command line utilities:
  * hackrf_cpldjtag: program CLPD
  * hackrf_info: probe device and show configuration
  * hackrf_max2837: chip register read/write tool
  * hackrf_rffc5071: chip register read/write tool
  * hackrf_si5351c: chip register read/write tool
  * hackrf_spiflash: read and write flash data from file.
  * hackrf_transfer: file based transmit and receive sdr

Package: hacktv
Description-md5: 81301238b9da390e3687e21c7cbb5c3e
Description-en: Analogue TV transmitter for the HackRF
 This is a program to generate a PAL/NTSC video signal from a video file or
 test pattern. Input is any file type or URL supported by ffmpeg. Output can
 be to a file or directly to a HackRF.
  - Teletext support
  - NICAM stereo audio
  - Rudimentary Videocrypt I hardware support

Package: hadori
Description-md5: c1316d9dd71a0fcbe73cf5ae06ed24a5
Description-en: Hardlinks identical files
 This might look like yet another hardlinking tool, but it is the only one
 which only memorizes one filename per inode. That results in less merory
 consumption and faster execution compared to its alternatives. Therefore
 (and because all the other names are already taken) it's called
 "HArdlinking DOne RIght".
 .
 Advantages over other hardlinking tools:
  * predictability: arguments are scanned in order, each first version is kept
  * much lower CPU and memory consumption

Package: halibut
Description-md5: 1ecf5316d9daac7e07a26114eec19d75
Description-en: yet another free document preparation system
 This is yet another text formatting system, intended primarily for writing
 software documentation. It accepts a single source format and outputs any
 or all of text, HTML, Windows Help, man pages, GNU info, PostScript, or
 PDF. It has comprehensive indexing and cross-referencing support, and
 generates hyperlinks within output documents wherever possible. It supports
 Unicode, with the ability to fall back to an alternative representation
 when Unicode output is not available.

Package: hamexam
Description-md5: 62b6c1e67306fc5b9f2cbad9f461612e
Description-en: Study tool for USA FCC amateur radio (ham) exams.
 hamexam is an interactive study tool for the 3 USA FCC amateur radio
 (ham radio) question pools.
 The 3 question pools are:
     t element 2, Technician Class (entry level),
     g element 3, General Class (also requires element 2),
     e element 4, Extra Class (also requires elements 2 and 3).
 Questions are chosen randomly from the selected pool.
 Incorrect answers cause the question to be asked again later.
 Licenses are issued by the FCC, but exams are conducted by Volunteer Examiners.
 For more information about USA amateur radio licensing:
     http://www.arrl.org/licensing-preparation-exams

Package: haml-elisp
Description-md5: bffe3069b2ea69720d32074686083fc2
Description-en: Emacs Lisp mode for the Haml markup language
 This package provides the emacs-lisp for syntax-highlighting Haml
 mode for emacs. Haml (see haml-ruby) is a markup language designed
 to simplify and beautify the maintenance and ease of writing HTML templates.

Package: hamradio-antenna
Description-md5: 7b4d55ad6372b8c6084bbcace4f7aca6
Description-en: Debian Hamradio Antenna Packages
 This metapackage will install packages useful when working with antennas
 in Debian.

Package: hamradio-datamodes
Description-md5: 3003e05983af51043f33e286f70443e5
Description-en: Debian Hamradio Data Modes Packages
 This metapackage will install packages useful for using data modes, such as
 RTTY and SSTV, including weak signal modes, such as JT65, with Debian.

Package: hamradio-digitalvoice
Description-md5: c03f240ef34024c4d1a23d145bd8a3e4
Description-en: Debian Hamradio Digital Voice Packages
 This metapackage will install packages useful when using
 digital voice modes on RF and for Internet linking.

Package: hamradio-files
Description-md5: 089b5b827a4bf00897125c6429bbf4e2
Description-en: Ham radio call sign and prefix lists
 Ham radio call signs start with prefixes that indicate which country issued
 their license. The country files are listings of these prefixes together with
 exceptions for use in logging software.

Package: hamradio-logging
Description-md5: 67b4dc76ed8be4efd68f6e1506fdc4cd
Description-en: Debian Hamradio Logging Packages
 This metapackage will install packages useful for logging (including for
 contests) with Debian.

Package: hamradio-maintguide
Description-md5: 6aa8ef1a87c6817d52ccbf78305f513b
Description-en: Debian Hamradio Maintainers Guide
 The Debian Hamradio Maintainers Guide is a document that outlines team policy
 and best current practice for the Deian Hamradio Maintainers packaging team.
 .
 The documentation is installed in both HTML and PDF format.

Package: hamradio-morse
Description-md5: 516ade287d57d7e4e99d805dda7e7a2c
Description-en: Debian Hamradio Morse/CW Packages
 This metapackage will install packages useful for CW operation and
 for learning morse with Debian.

Package: hamradio-nonamateur
Description-md5: 49353e7189c160ff96c6384950ef8a6c
Description-en: Debian Hamradio Non-Amateur Modes Packages
 This metapackage will install packages useful for listening to non-amateur
 modes such as AIS and ADS-B.

Package: hamradio-packetmodes
Description-md5: aeab35abe45fdb1a7c8514051ca07338
Description-en: Debian Hamradio Packet Mode Packages
 This metapackage will install packages useful when using AX.25, including IPv4
 over AX.25 and APRS, with Debian.

Package: hamradio-rigcontrol
Description-md5: 5e9abd92f2dac53fc491b98d884228f9
Description-en: Debian Hamradio Rig Control Packages
 This metapackage will install packages with useful for rig
 control and programming.

Package: hamradio-satellite
Description-md5: 29acddb4dc3193d3d3eb5a7b27d2cd94
Description-en: Debian Hamradio Satellite Operation Packages
 This metapackage will install packages useful for amateur satellite operation.

Package: hamradio-sdr
Description-md5: 7f17ec19ac66e7883ea2f4a3f7764d34
Description-en: Debian Hamradio Software Defined Radio Packages
 This metapackage will install packages useful when using
 Software-Defined Radio with Debian.

Package: hamradio-tasks
Description-md5: 0ec823eeee46079098761c6a84bef050
Description-en: Debian Hamradio Tasks
 This package contains tasksel information for the Debian Hamradio Pure Blend.

Package: hamradio-tools
Description-md5: 2837171ea51752a22aab84d0f7de415d
Description-en: Debian Hamradio Tools Packages
 This metapackage will install packages with useful hamradio-related tools.

Package: hamradio-training
Description-md5: 43e54ad6af84bea0633062fe5010a397
Description-en: Debian Hamradio Training Packages
 This metapackage will install packages useful when training
 for hamradio exams with Debian.

Package: handbrake
Description-md5: eeba9245b2e11345e1ac7e71db495015
Description-en: versatile DVD ripper and video transcoder (GTK+ GUI)
 HandBrake is a versatile, easy-to-use tool for converting DVDs and other
 videos into H.264, XViD, or Ogg formatted media. It's particularly useful
 for making videos that are compatible with portable video devices such as
 the Apple iPod/iPhone or Sony PSP.
 .
 This package contains the graphical variant, ghb.

Package: handbrake-cli
Description-md5: 49463e12bb084dcd2298d5160270fd48
Description-en: versatile DVD ripper and video transcoder (command line)
 HandBrake is a versatile, easy-to-use tool for converting DVDs and other
 videos into H.264, XViD, or Ogg formatted media.  It's particularly useful
 for making videos that are compatible with portable video devices such as
 the Apple iPod/iPhone or Sony PSP.
 .
 This package contains the command-line variant, HandBrakeCLI.

Package: handlebars
Description-md5: 0c938aee9f675239063d4dbac5c69168
Description-en: build semantic templates effectively with no frustration
 Handlebars templates look like regular HTML, with embedded handlebars
 expressions. You can deliver a template to the browser by including it
 in a <script> tag.
 .
 Learn more about its usage from https://handlebarsjs.com/
 .
 This package includes handlebars command useable from command line.

Package: hannah
Description-md5: bcc88734f78b965d8a248d3ccad34674
Description-en: pacman-like game, child oriented
 Help Hannah's Horse is like a cross between Pacman and the Dizzy game
 "Fastfood". The objective is to move Hannah to collect the pills around
 the maze while avoiding the ghosts. Moving around the maze there are also
 carrots which Hannah must also collect in order to complete the level.

Package: hannah-data
Description-md5: 8b140db34b45981bc8c6d636d8b9308c
Description-en: pacman-like game, child oriented - data files
 Help Hannah's Horse is like a cross between Pacman and the Dizzy game
 "Fastfood". The objective is to move Hannah to collect the pills around
 the maze while avoiding the ghosts. Moving around the maze there are
 also carrots which Hannah must also collect in order to complete the level.
 .
 This package contains the data files for the game.

Package: hapolicy
Description-md5: 25f6d826a36c306a10e8619d0c99b709
Description-en: Balancing and fallback postfix policy delegation service
 Hapolicy enables high availability, weighted loadbalancing and a fallback
 action for postfix policy delegation services. Invoked via postfix spawn
 it acts as a wrapper that queries other policy servers via tcp connection.
 The order of the service queries can be influenced by assigning a specific
 priority and weight to each service.

Package: happy
Description-md5: 8b3c15ce0fbcbaad9b1fcb88f5dbaae9
Description-en: Parser generator for Haskell
 Happy is a parser generator system for Haskell, similar to the tool `yacc' for
 C. Like `yacc', it takes a file containing an annotated BNF specification of a
 grammar and produces a Haskell module containing a parser for the grammar.
 .
 Happy is flexible: you can have several Happy parsers in the same program, and
 several entry points to a single grammar. Happy can work in conjunction with a
 lexical analyser supplied by the user (either hand-written or generated by
 another program), or it can parse a stream of characters directly (but this
 isn't practical in most cases).

Package: happycoders-libdbg
Description-md5: 1a16e3e6d2a2070df8f1e78a1ca90b29
Description-en: C++ utilities to facilitate modern debugging idioms
 It provides various constraint checking utilities together with an
 integrated error logging facility. These utilities are flexible and
 customisable. They can be enabled and disabled at runtime, and in release
 builds, dbg library use can be compiled away to nothing.
 .
 Rich debugging can only be implemented in large code bases from the outset,
 it is hard to retrofit full defensive programming techniques onto existant
 code. For this reason it is good practice to use a library like dbg when
 you start a new project. By using dbg extensively you will find bugs
 quicker, and prevent more insideous problems rearing their head later in
 the project's life.

Package: happycoders-libdbg-dev
Description-md5: d8f1fc2338d44501feeb5215551a56cb
Description-en: C++ utilities to facilitate modern debugging idioms
 It provides various constraint checking utilities together with an
 integrated error logging facility. These utilities are flexible and
 customisable. They can be enabled and disabled at runtime, and in release
 builds, dbg library use can be compiled away to nothing.
 .
 Rich debugging can only be implemented in large code bases from the outset,
 it is hard to retrofit full defensive programming techniques onto existant
 code. For this reason it is good practice to use a library like dbg when
 you start a new project. By using dbg extensively you will find bugs
 quicker, and prevent more insideous problems rearing their head later in
 the project's life.
 .
 This package contains the symlinks, headers, and object files needed to
 compile and link programs which use libdbg.

Package: happycoders-libsocket
Description-md5: 85b044dfa0447f0362c80242f7f3d0d3
Description-en: Generic C++ library implementing Udp/Tcp socket interface
 It provides a portable socket abstraction for all OS.
 It provides an abstraction for UDP/TCP sockets, for client and server
 in IPv4 and IPV6. It includes local socket support for Unix and Linux systems.

Package: happycoders-libsocket-dev
Description-md5: cc7e2edf8a2140a67490ec51406b980e
Description-en: Generic C++ library implementing Udp/Tcp socket interface - development files
 It provides a portable socket abstraction for all OS.
 It provides an abstraction for UDP/TCP sockets, for client and server
 in IPv4 and IPV6. It includes local socket support for Unix and Linux systems.
 .
 This package contains the symlinks, headers, and object files needed to
 compile and link programs which use libdbg.

Package: haproxy-doc
Description-md5: f72de64c8c07f125957ba405924efb5e
Description-en: fast and reliable load balancing reverse proxy (HTML documentation)
 HAProxy is a TCP/HTTP reverse proxy which is particularly suited for high
 availability environments. It features connection persistence through HTTP
 cookies, load balancing, header addition, modification, deletion both ways. It
 has request blocking capabilities and provides interface to display server
 status.
 .
 This package contains the HTML documentation for haproxy.

Package: haproxy-log-analysis
Description-md5: ac63dc4cb1452c3f0d784ad085d1351e
Description-en: analyse the HTTP logs generated by HAProxy
 haproxy log analysis can generate aggregate statistics from HAProxy logs in
 the HTTP log format. This can be over a specific period, or over a subset of
 the entries by specifying a filter.
 .
 This package contains the command line tool, haproxy_log_analysis. See
 python3-haproxy-log-analysis for the library that this tool uses.

Package: haproxyctl
Description-md5: a0df3ef41b35cb1057aff89d5b25ded0
Description-en: Utility to manage HAProxy
 Wrapper to make life with HAProxy a little more convenient.
 Features:
  - enable and disable servers on the fly
  - change server's weight
  - show HAProxy status in CLI
  - formats server weight and backends in a readable way
  - provides Nagios and Cloudkick health checks

Package: harden-doc
Description-md5: 80ccdc3843b02b19f8deb21f212c51a5
Description-en: useful documentation to secure a Debian system
 This package contains documentation an administrator can use
 to make a Debian system more secure. It currently provides
 the 'Securing Debian Manual' from the Debian Documentation
 Project.
 .
 The manual is provided both in English and in all available
 translations including: Brazilian Portuguese, Chinese, French, German,
 Italian, Japanese, and Spanish. Note that all not translations are up to date.

Package: hardening-runtime
Description-md5: da8a98c4f4a46c9c936f13f03441e590
Description-en: Runtime hardening configuration files
 This package contains configuration files intended to improve at runtime the
 hardening of a default Debian installation. It uses Linux kernel
 self-protection project recommended settings.
 .
 It supports:
  * Linux command line, when using grub
  * sysctl
 .
 Some options have side effects on performance or usability, users are
 encouraged to tune the configuration files according to README.Debian in order
 to fit their system.

Package: hardinfo
Description-md5: 19d3763ccb20f95253134c924f126657
Description-en: Displays system information
 HardInfo is a small application that displays information about your
 hardware and operating system. Currently it knows about PCI, ISA PnP, USB,
 IDE, SCSI, Serial and parallel port devices.

Package: hardlink
Description-md5: e159cf7199737f4d85461ceb3e0d31d3
Description-en: Hardlinks multiple copies of the same file
 Hardlink is a tool which detects multiple copies of the same file and replaces
 them with hardlinks. Amongst other things, it can be used to merge identical,
 duplicate files in backup trees and save space.
 .
 The idea has been taken from http://code.google.com/p/hardlinkpy/, but the
 code has been written from scratch and licensed under the MIT license.

Package: harminv
Description-md5: fd48a1976edca6557a44be013156ea97
Description-en: extraction of complex frequencies and amplitudes from time series
 Harminv is a free program to solve the problem of harmonic inversion, given
 a discrete-time, finite-length signal that consists of a sum of finitely-many
 sinusoids (possibly exponentially decaying) in a given bandwidth, it
 determines the frequencies, decay constants, amplitudes, and phases of those
 sinusoids.

Package: harp
Description-md5: e95aeab644f4ff2a8d73fc78179ca78f
Description-en: Data harmonization toolset for Earth Observation formats
 HARP is a toolset for ingesting, processing and inter-comparing satellite or
 model data against correlative data. The toolset is composed of a set of
 command line tools, a C library of analysis functions, and import/export
 interfaces for Python. The main goal of HARP is to assist
 in the inter-comparison of data sets. By appropriatelty chaining calls to
 the HARP command line tools one can preprocess satellite, model, and/or
 correlative data such that two datasets that need to be compared end up
 having the same temporal/spatial grid, same data format/structure, and same
 physical units.
 .
 This package provides the HARP binary utilities.

Package: harvest-tools
Description-md5: 41ad3e6e6601dbcefc4707942b81bc6e
Description-en: archiving and postprocessing for reference-compressed genomic multi-alignments
 HarvestTools is a utility for creating and interfacing with Gingr files,
 which are efficient archives that the Harvest Suite uses to store
 reference-compressed multi-alignments, phylogenetic trees, filtered
 variants and annotations. Though designed for use with Parsnp and Gingr,
 HarvestTools can also be used for generic conversion between standard
 bioinformatics file formats.

Package: harvid
Description-md5: cafe5dfaf34a8df484cc4329770d6131
Description-en: HTTP Ardour Video Server
 Harvid is a HTTP server to efficiently extract, cache and
 serve still images from movie files.
 .
 It provides for frame-accurate decoding and is main use-case
 is to act as backend and second level cache for rendering the
 videotimeline in Ardour.
 .
 Harvid uses ffmpeg/libav and supports a wide variety of video
 codecs and formats.

Package: hasciicam
Description-md5: 283073d326ee1e8a2bba4242864cc179
Description-en: (h)ascii for the masses: live video as text
 Hasciicam makes it possible to have live ASCII video on the web. It
 captures video from a tv card and renders it into ascii, formatting the
 output into an html page with a refresh tag or in a live ASCII window or
 in a simple text file as well, giving the possibility to anybody that has a
 bttv card, a Linux box and a cheap modem line to show a live ASCII video
 feed that can be browsable without any need for plugin, java etc.

Package: haserl
Description-md5: b77d8a66f67b7bc4c60a90f8bbba7bb9
Description-en: CGI scripting program for embedded environments
 Haserl is a CGI wrapper that allows PHP-style programming in Lua or a
 POSIX-compliant shell. It is very small, so it can be used in embedded
 environments, or where something like PHP is too big.
 .
 It combines three features into a small CGI engine:
  * It parses POST and GET requests, placing form-elements into the
    environment as name=value pairs for the CGI script to use. This is
    somewhat similar to the uncgi wrapper.
  * It opens a shell, and translates all text into printable statements.
    All text within <% ... %> constructs is passed verbatim to the shell.
    This is somewhat similar to how PHP scripts are parsed.
  * It can be set up to drop its permissions to the owner of the script,
    giving it some of the security features of suexec or cgiwrapper.

Package: hashalot
Description-md5: e676351ceaa5fea9e9c9092dec2694f0
Description-en: Read and hash a passphrase
 This program will read a passphrase from standard input and print a binary
 (not printable) hash to standard output. The output is suitable for use as
 an encryption key.

Package: hashcash
Description-md5: eaa96cdd449cc357ab7e26e51c125c6c
Description-en: postage payment scheme for email based on hash calculations
 Hash cash is a payment scheme that uses CPU cycles as the form of payment.
 This can be used as a counter-measure for junk email (spam) by using the hash
 cash token as a proof of payment for each email that you sent.

Package: hashcat
Description-md5: 19a7ccd275950acef6d3b0d443bfdecd
Description-en: World's fastest and most advanced password recovery utility
 Hashcat supports five unique modes of attack for over 200 highly-optimized
 hashing algorithms. hashcat currently supports CPUs, GPUs, and other
 hardware accelerators on Linux, and has facilities to help enable
 distributed password cracking.
 .
 Examples of hashcat supported hashing algorithms are:
 MD5, HMAC-MD5, SHA1, HMAC-SHA1, MySQL323, MySQL4.1/MySQL5, phpass,
 MD5(Wordpress), MD5(phpBB3), MD5(Joomla), md5crypt, MD5(Unix),
 FreeBSD MD5, Cisco-IOS, MD4, NTLM, Domain Cached Credentials (DCC),
 MS Cache, SHA256, HMAC-SHA256, md5apr1, MD5(APR), Apache MD5, SHA512,
 HMAC-SHA512, Cisco-PIX, Cisco-ASA, WPA/WPA2, Double MD5, bcrypt,
 Blowfish(OpenBSD), MD5(Sun), Double SHA1, SHA-3(Keccak),Half MD5,
 Password Safe SHA-256, IKE-PSK MD5, IKE-PSK SHA1,
 NetNTLMv1-VANILLA/NetNTLMv1-ESS, NetNTLMv2, Cisco-IOS SHA256,
 Android PIN, AIX {smd5}, AIX {ssha256}, AIX {ssha512}, AIX {ssha1},
 GOST, GOST R 34, Fortigate (FortiOS), OS X v10.8+, GRUB 2, IPMI2, RAKP,
 HMAC-SHA1, sha256crypt, SHA256(Unix), Drupal7, WBB3, scrypt, Cisco $8$,
 Cisco $9$, Radmin2, Django (PBKDF2-SHA256), Cram MD5, SAP, iSSHA-1,
 PrestaShop, PostgreSQL, Challenge-Response Authentication (MD5),
 MySQL Challenge-Response, Authentication (SHA1),
 SIP digest authentication (MD5), Plaintext, Joomla < 2.5.18, PostgreSQL,
 osCommerce, xt:Commerce, Skype, nsldap, Netscape, LDAP, nsldaps,
 SSHA-1(Base64), Oracle S: Type (Oracle 11+), SMF > v1.1, OS X v10.4,
 v10.5, v10.6, EPi, Django (SHA-1), MSSQL(2000), MSSQL(2005),
 PeopleSoft, EPiServer 6.x < v4, hMailServer, SSHA-512(Base64),
 LDAP {SSHA512}, OS X v10.7, MSSQL(2012 & 2014), vBulletin < v3.8.5,
 PHPS, vBulletin > v3.8.5, IPB2+, MyBB1.2+, Mediawiki B type,
 WebEdition CMS, Redmine.
 .
 Hashcat offers multiple attack modes for obtaining effective and
 complex coverage over a hash's keyspace. These modes are:
 .
  * Brute-Force attack
  * Combinator attack
  * Dictionary attack
  * Fingerprint attack
  * Hybrid attack
  * Mask attack
  * Permutation attack
  * Rule-based attack
  * Table-Lookup attack
  * Toggle-Case attack
  * PRINCE attack

Package: hashcat-data
Description-md5: 863cd303269b7bca077d94cad44b9fa5
Description-en: Data files for hashcat advanced password recovery utility
 Hashcat is an advanced CPU/GPU-based password recovery utility supporting
 seven unique modes of attack for over 100 optimized hashing algorithms.
 .
 This package contains the data files for hashcat, including charsets,
 rules, salts, and tables.

Package: hashcheck
Description-md5: 8a0b3865d58c678c27f1a8b774fd35b8
Description-en: verifies the files on a live mounted ISO image
 Provides a graphical interface to verify a live mounted ISO image.
 The image is expected to be mounted under /lib/live/mount/medium
 .
 It is useful as part of a live environment

Package: hashdeep
Description-md5: c1ecc5635dabf27270b04e416d079800
Description-en: recursively compute hashsums or piecewise hashings
 hashdeep is a set of tools to compute MD5, SHA1, SHA256, tiger
 and whirlpool hashsums of arbitrary number of files recursively.
 .
 The main hashdeep features are:
 .
   * It can compare those hashsums with a list of known hashes;
   * The tools can display those that match the list or those that
     does not match;
   * It can  display a time estimation when processing large files.
   * It can do piecewise hashing (hash input files in arbitrary
     sized blocks).
 .
 This package is useful in forensics investigations.

Package: hashid
Description-md5: d5300d92fc6e2767a609c7992295a8ba
Description-en: Identify the different types of hashes used to encrypt data
 Identify the different types of hashes used to encrypt data and especially
 passwords.
 .
 hashID is a tool written in Python 3.x which supports the identification of
 over 175 unique hash types using regular expressions.
 It is able to identify a single hash or parse a file and identify the hashes
 within it.
 There is also a nodejs version of hashID available which is easily set up to
 provide online hash identification.

Package: hashrat
Description-md5: 4b1bba579741a4592601af9d4cc52704
Description-en: hashing tool supporting several hashes and recursivity
 Hashrat is a hash-generation utility that supports the md5, sha1, sha256,
 sha512, whirlpool, jh-244, jh256, jh-384 and jh-512 hash functions, and
 also the HMAC versions of those functions. It can output in 'traditional'
 format (same as md5sum and shasum and the like), or it's own format.
 .
 Hashes can be output in octal, decimal, hexadecimal, uppercase hexadecimal
 or base64.
 .
 Hashrat also supports directory recursion, hashing entire devices,
 generating a hash for an entire directory, operations in remote machines
 and several other features. It has a 'CGI' mode that can be used as a
 web-page to lookup hashes.
 .
 This tool is useful in forensics investigations and network security.

Package: haskell-cracknum-utils
Description-md5: 60ec17c07d02b69e8d713f435f720a96
Description-en: crack various integer, floating-point data formats
 Display/show/analyze IEEE754 Half-precision, Single-precision, and
 Double-precision values; along with various integer types:
 Signed/Unsigned, 8, 16, 32, 64 bits.

Package: haskell-dbus-hslogger-utils
Description-md5: cd6b7eb25b26ae1d100bd2ed60a4a7cf
Description-en: expose a dbus server to control hslogger
 dbus-hslogger exports:
 setLogLevel, setLogLevelMethodCall, maybeToEither,
 setLogLevelFromPriorityString, setLogLevel, logInterface,
 logPath, startLogServer, and logIntrospectionInterface.

Package: haskell-debian-utils
Description-md5: a3d1346732d013adf53617ab02c4fd9b
Description-en: Various helpers to work with Debian packages
 This package contains tools shipped with the Haskell library “debian”:
 .
   * fakechanges:
     Sometimes you have the .debs, .dsc, .tar.gz, .diff.gz, etc from a package
     build, but not the .changes file. This package lets you create a fake
     .changes file in case you need one.
 .
   * debian-report:
     Analyze Debian repositories and generate reports about their contents and
     relations. For example, a list of all packages in a distribution that are
     trumped by another distribution.
 .
   * apt-get-build-depends:
     Tool which will parse the Build-Depends{-Indep} lines from debian/control
     and apt-get install the required packages

Package: haskell-derive-utils
Description-md5: c05fd8fd5e5606f6fedbc65d75dee338
Description-en: Deriving instances for data types in Haskell
 Data.Derive is a library and a tool for deriving instances for Haskell
 programs.  It is designed to work with custom derivations, SYB and
 Template Haskell mechanisms.  The tool requires GHC, but the generated
 code is portable to all compilers.  This tool can be regarded as a
 competitor to DrIFT.

Package: haskell-devscripts
Description-md5: e2ecdbdce97c559b1a3716779e8d2e91
Description-en: Tools to help Debian developers build Haskell packages
 This package provides a collection of scripts to help build Haskell
 packages for Debian.  Unlike haskell-utils, this package is not
 expected to be installed on the machines of end users.
 .
 This package is designed to support Cabalized Haskell libraries.  It
 is designed to build a library for each supported Debian compiler or
 interpreter, generate appropriate postinst/prerm files for each one,
 generate appropriate substvars entries for each one, and install the
 package in the Debian temporary area as part of the build process.
 .
 This package is actually an empty package and is only useful for its
 dependency on hscolour.

Package: haskell-devscripts-minimal
Description-md5: f72f8fd50d6f812e6f9c5dc006bec61d
Description-en: Tools to help Debian developers build Haskell packages
 This package provides a collection of scripts to help build Haskell
 packages for Debian.  Unlike haskell-utils, this package is not
 expected to be installed on the machines of end users.
 .
 This package is designed to support Cabalized Haskell libraries.  It
 is designed to build a library for each supported Debian compiler or
 interpreter, generate appropriate postinst/prerm files for each one,
 generate appropriate substvars entries for each one, and install the
 package in the Debian temporary area as part of the build process.
 .
 This package doesn't provide functionality for generating coloured
 documentation and is mostly intended for bootstrapping a new
 architecture. If you want coloured documentation, use
 haskell-devscripts.

Package: haskell-doc
Description-md5: f70748edb87ebbd0b02ed3c715e09ce8
Description-en: Assorted Haskell language documentation
 This metapackage provides all the documentation for Haskell, "the"
 standard lazy functional programming language, packaged for Debian.

Package: haskell-gtk-sni-tray-utils
Description-md5: befd634bed0207c68c3f1a8c499d0015
Description-en: standalone StatusNotifierItem/AppIndicator tray
 gtk-sni-tray provides a StatusNotifierHost widget written using the
 gtk+3 bindings for haskell provided by gi-gtk. It also provides a
 simple standalone executable, gtk-sni-tray-standalone, that is
 configured with command line arguments. This executable will run the
 aforementioned widget by itself in a strut window, on each monitor
 for each it is requested.

Package: haskell-hosc-utils
Description-md5: bdec4d47d0ef883b268031edce2c06c0
Description-en: Haskell Open Sound Control
 hosc implements a subset of the Open Sound Control
 byte protocol.
 .
 Sound.OSC.Core implements the actual protocol.
 .
 Sound.OSC.Transport.FD implements a
 file-descriptor-based transport layer for UDP
 and TCP.
 .
 Sound.OSC.Transport.Monad implements a
 monadic interface to the FD transport layer.
 .
 Composite modules are at Sound.OSC and Sound.OSC.FD.

Package: haskell-lazy-csv-utils
Description-md5: 7c9bcb8e11c6ee8af76f19f4a10ee71c
Description-en: efficient lazy parsers for CSV (comma-separated values)
 The CSV format is defined by RFC 4180.
 These efficient lazy parsers (String and ByteString variants)
 can report all CSV formatting errors, whilst also
 returning all the valid data, so the user can choose
 whether to continue, to show warnings, or to halt on
 error.  Valid fields retain information about their
 original location in the input, so a secondary parser from
 textual fields to typed values can give intelligent error
 messages.

Package: haskell-misfortune
Description-md5: 0f76feec53af6b1d8f0a69e27cd10360
Description-en: fortune-mod clone
 fortune-mod clone, in library and executable form.

Package: haskell-mode
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: haskell-platform
Description-md5: 691e7f008a8809ac955958eb0736aa24
Description-en: Standard Haskell libraries and tools
 The Haskell Platform is a suite of tools and libraries that contain the most
 important and best supported components. It is meant to be a starting point
 for Haskell developers who are looking for libraries to use.
 .
 Installing this meta package will also install the Debian packages containing
 the libraries and tools as specified in the official Haskell Platform, in the
 version available in Debian. This may or may not be the version specified in
 the platform. If this is of relevance to you, please check them on the
 official Haskell Platform homepage.

Package: haskell-platform-doc
Description-md5: f7d9839e601437fe1bfa0cd9576a0c89
Description-en: Standard Haskell libraries and tools; documentation
 The Haskell Platform is a suite of tools and libraries that contain the most
 important and best supported components. It is meant to be a starting point
 for Haskell developers who are looking for libraries to use.
 .
 Installing this meta package will install the documentation for the
 libraries as specified in the official Haskell Platform.

Package: haskell-platform-prof
Description-md5: 3db27f1a4a918e85ef26aa232753c973
Description-en: Standard Haskell libraries and tools; profiling libraries
 The Haskell Platform is a suite of tools and libraries that contain the most
 important and best supported components. It is meant to be a starting point
 for Haskell developers who are looking for libraries to use.
 .
 Installing this meta package will install the profiling data for the
 libraries as specified in the official Haskell Platform.

Package: haskell-raaz-utils
Description-md5: 24b7655982e753c9c7dd1292a99909c9
Description-en: cryptographic networking library for Haskell
 Raaz uses strong typing to eliminate some common errors that occur in
 cryptographic settings, such as side channel attacks.
 .
 This package implements basic types and cryptographic primitives like
 hashes, macs etc. Actual network protocols are expected to use this
 library.  Common abstractions, such as packet parsing, are part of
 this library.

Package: haskell-relational-record
Description-md5: c5607d13b9a2c21e2085ac443e9be669
Description-en: metapackage of Relational Record
 metapackage to install Relational Record quickly

Package: haskell-relational-record-dev
Description-md5: 9f25a6b5c9b3f8920f580d6b91417184
Description-en: metapackage of Relational Record
 metapackage to install Relational Record quickly
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: haskell-relational-record-doc
Description-md5: 46161b0d6c95f1a5e477a83865687241
Description-en: metapackage of Relational Record; documentation
 metapackage to install Relational Record quickly
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: haskell-relational-record-prof
Description-md5: c5d7dbb5d6d8d6c6e4def15344cd4bba
Description-en: metapackage of Relational Record; profiling libraries
 metapackage to install Relational Record quickly
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: haskell-stack
Description-md5: a814827c5c719f3c8817b829a47e381b
Description-en: The Haskell Tool Stack
 Stack is a program for developing Haskell projects. It is aimed at Haskellers
 both new and experienced.
 .
 It features:
 .
  * Installing GHC automatically.
 .
  * Installing packages needed for your project.
 .
  * Building your project.
 .
  * Testing your project.
 .
  * Benchmarking your project.

Package: haskell-status-notifier-item-utils
Description-md5: 654e604c35394e348051971b317b3ba4
Description-en: StatusNotifierItem/libappindicator dbus implementation
 A Haskell implementation of the StatusNotifierItem protocol
 (https://www.freedesktop.org/wiki/Specifications/StatusNotifierItem/).

Package: haskell98-report
Description-md5: 7f8b8776af2fdeb5222bd9765ed182e3
Description-en: The Haskell 98 Language and Libraries Revised Report & addenda
 Haskell is a non-strict, pure functional general-purpose programming
 language.  This package contains its definition in HTML, PS and PDF
 formats. It is a revised version of "The Haskell 98 Report" and
 "The Haskell 98 Library Report", fixing the bugs documented in
 "Errata for the Haskell 98 Language Report" (which is included).
 .
 It also contains the Foreign Function Interface (FFI) addendum
 and the Hierarchical Modules addendum in PS and PDF formats.

Package: haskell98-tutorial
Description-md5: aca3fc592874ab2e4a98cac592be8464
Description-en: A Gentle Introduction to Haskell 98
 The Gentle Introduction is designed to serve as a supplement to the
 Haskell 98 Report; it provides a tutorial introduction for someone
 who is already familiar with another programming language (preferably
 a functional one).

Package: hasktags
Description-md5: 8c68878275565a1be8693ba7dd49cdcb
Description-en: Producer of ctags "tags" and etags "TAGS" files for Haskell programs
 This package provides the hasktags program, which provides ctags "tags" and
 etags "TAGS" files for Haskell programs.

Package: hatari
Description-md5: d7aaa20993675cd52c2afbe185a01209
Description-en: Emulator for the Atari ST, STE, TT, and Falcon computers
 Hatari is an emulator for the Atari ST line of computers.
 .
 The Atari ST was a 16/32 bit computer system which was first released by
 Atari in 1985. Using the Motorola 68000 CPU, it was a very popular computer
 having quite a lot of CPU power at that time.
 .
 Unlike many other Atari ST emulators which try to give you a good environment
 for running GEM applications, Hatari tries to emulate the hardware of a ST as
 close as possible so that it is able to run most of the old ST games and
 demos.

Package: haveged
Description-md5: e29e090ec35dfe759c8580ce04904d0c
Description-en: Linux entropy source using the HAVEGE algorithm
 haveged is a userspace entropy daemon which is not dependent upon the
 standard mechanisms for harvesting randomness for the system entropy
 pool. This is important in systems with high entropy needs or limited
 user interaction (e.g. headless servers).
 .
 haveged uses HAVEGE (HArdware Volatile Entropy Gathering and Expansion)
 to maintain a 1M pool of random bytes used to fill /dev/random
 whenever the supply of random bits in dev/random falls below the low
 water mark of the device.
 .
 More information about HAVEGE is available at
 http://www.irisa.fr/caps/projects/hipsor/

Package: havp
Description-md5: 9a86c1dfa5f633dbbde0d75c2edbb28a
Description-en: HTTP Anti Virus Proxy
 HAVP (HTTP Antivirus Proxy) is a proxy with a ClamAV anti-virus scanner. The
 main aims are continuous, non-blocking downloads and smooth scanning of
 dynamic and password protected HTTP traffic. Havp antivirus proxy has a
 parent and transparent proxy mode. It can be used with squid or standalone.

Package: haxe
Description-md5: 1f09d5fb265a7e50d5a44ed2b5e29a58
Description-en: multi-target universal programming language
 Haxe is an open source toolkit based on a modern,
 high level, strictly typed programming language, a cross-compiler,
 a complete cross-platform standard library and ways to access each
 platform's native capabilities.

Package: haxml
Description-md5: 7cb1207f0d3f8e92cf0dc50feba27cae
Description-en: utilities for using XML documents with Haskell
 .
 HaXml is a collection of utilities for parsing, filtering,
 transforming, and generating XML documents using Haskell. Its basic
 facilities include:
  - a parser for XML,
  - a separate error-correcting parser for HTML,
  - an XML validator,
  - pretty-printers for XML and HTML.
 .
 This package contains the HaXml command-line tools.

Package: hcloud-cli
Description-md5: cd7a91725ec15931b7a3b5a9a767f895
Description-en: command-line interface for Hetzner Cloud
 hcloud-cli is a command-line interface for interacting with
 Hetzner Cloud.
 .
 The Hetzner Cloud API operates over HTTPS and uses JSON as
 its data format. The API is a RESTful API and utilizes HTTP
 methods and HTTP status codes to specify requests and responses.

Package: hcxdumptool
Description-md5: beb569999aa992ceb2ac0e7b26f3942d
Description-en: Small tool to capture packets from wlan devices
 Tool to capture wpa handshacke from Wi-Fi networks and run several tests to
 determine if Wi-Fi access points or clients are vulnerable to brute-force
 atacks.

Package: hd-idle
Description-md5: ce146f67dc9580b65dfd2b2293631587
Description-en: Spin down idle [USB] hard disks
 hd-idle is a utility program for spinning-down external disks after a period
 of idle time. Since most external IDE disk enclosures don't support setting
 the IDE idle timer, a program like hd-idle is required to spin down idle disks
 automatically.
 .
 A word of caution: hard disks don't like spinning up too often. Laptop disks
 are more robust in this respect than desktop disks but if you set your disks
 to spin down after a few seconds you may damage the disk over time due to the
 stress the spin-up causes on the spindle motor and bearings. It seems that
 manufacturers recommend a minimum idle time of 3-5 minutes, the default in
 hd-idle is 10 minutes.
 .
 One more word of caution: hd-idle will spin down any disk accessible via the
 SCSI layer (USB, IEEE1394, ...) but it will not work with real SCSI disks
 because they don't spin up automatically. Thus it's not called scsi-idle and
 It is not recommended to use it on a real SCSI system unless you have a kernel
 patch that automatically starts the SCSI disks after receiving a sense buffer
 indicating the disk has been stopped. Without such a patch, real SCSI disks
 won't start again and you can as well pull the plug.

Package: hdapsd
Description-md5: e57a13a1adba652fb7d84f201866f449
Description-en: HDAPS daemon for various laptops with motion sensors
 This is a disk protection user-space daemon. It monitors the acceleration
 values through an interface and automatically initiates disk head parking
 if a fall or sliding of the laptop is detected.
 .
 Currently, the follwowing interfaces are supported:
  * IBM/Lenovo ThinkPad (HDAPS)
  * Apple iBook/PowerBook (AMS)
  * Apple MacBook/MacBook Pro (APPLESMC)
  * HP (HP3D)
  * Dell (FREEFALL)
  * Toshiba (ACPI and HAPS)
  * Acer (INPUT)
 .
 On ThinkPads, it is recommended that you use this daemon with the hdaps
 module provided by tp-smapi rather the one in the kernel, as this will save
 you a bit of power and will work on a wider range of ThinkPads.

Package: hdate
Description-md5: 3a748b7e3d5e0c3e7492c6f9096040d5
Description-en: Provides the hcal and hdate binaries that help use Hebrew dates
 LibHdate is a small C,C++ library for Hebrew dates,
 holidays, and reading sequence (parasha). It is using
 the source code from Amos Shapir's "hdate" package fixed
 and patched by Nadav Har'El. The Torah reading sequence
 is from tables by Zvi Har'El.
 .
 This package provides the hdate command-line utility.

Package: hdate-applet
Description-md5: b4df14b42a2c061b16c262d188c49798
Description-en: Hebrew calendar applet
 A GNOME desktop applet providing an Hebrew calendar that gives the user easy
 access to the Jewish calendar (including Hebrew date, parasha, sunset and
 sunrise times, holydays and moon phase).

Package: hdav
Description-md5: fabd0869748c9161ccb1869a067e0201
Description-en: command-line WebDAV client
 hdav currently only supports copying a file and associated WebDAV
 properties from one URL to another.

Package: hddemux
Description-md5: d4e41515f9022d3c2f40e0179ccdb46b
Description-en: HTTP/1.x and DNS demultiplexer
 hddemux listens on a stream and routes incoming clients to either an
 HTTP/1.x backend or a DNS stream-based backend depending on the first
 request to appear on the stream.
 .
 This is useful when making DNS-over-TLS (RFC 7858) connections that
 appear to the network be HTTPS connections, for example, which makes
 it easier to traverse a network that would prefer to block the user
 from making DNS-over-TLS queries.

Package: hddtemp
Description-md5: cc8308c65166c3359ef77bfda794b73f
Description-en: hard drive temperature monitoring utility
 The hddtemp program monitors and reports the temperature of PATA, SATA
 or SCSI hard drives by reading Self-Monitoring Analysis and Reporting
 Technology (S.M.A.R.T.) information on drives that support this feature.

Package: hdevtools
Description-md5: e9a628a6d1d79bdeb60a273695e480c4
Description-en: GHC powered daemon for fast Haskell development
 hdevtools is a backend for text editor plugins, to allow for things such as
 syntax and type checking of Haskell code, and retrieving type information, all
 directly from within your text editor.
 .
 The advantage that hdevtools has over ghc-mod is that it runs silently
 in a persistent background process, and therefore is able to keeps all of your
 Haskell modules and dependent libraries loaded in memory. This way, when you
 change only a single source file, only it needs to be reloaded and rechecked,
 instead of having to reload everything.
 .
 This makes hdevtools very fast for checking syntax and type errors (runs
 just as fast as the ":reload" command in GHCi).
 .
 In fact, syntax and type checking is so fast, that you can safely enable auto
 checking on every save. Even for huge projects, checking is nearly instant.
 .
 In addition to checking Haskell source code for errors, `hdevtools` has tools
 for getting info about identifiers, and getting type information for snippets
 of code.

Package: hdf-compass
Description-md5: 0240ea5b6809532c2653710ebff66548
Description-en: viewer for HDF5 and related formats
 HDF Compass is an experimental viewer program for HDF5 and related formats,
 designed to complement other more complex applications like HDFView. Strong
 emphasis is placed on clean minimal design, and maximum extensibility through
 a plugin system for new formats.
 .
 This package provides the HDF Compass application.

Package: hdf-compass-doc
Description-md5: b801b05e0cd9ac40ba0007e6e2da277c
Description-en: documentation and examples for the HDF Compass
 HDF Compass is an experimental viewer program for HDF5 and related formats,
 designed to complement other more complex applications like HDFView. Strong
 emphasis is placed on clean minimal design, and maximum extensibility through
 a plugin system for new formats.
 .
 This package provides the documentation and examples for the HDF Compass
 application.

Package: hdf4-tools
Description-md5: 1347813b8c48d14458a6c283785b6e8e
Description-en: Hierarchical Data Format library -- runtime package
 HDF is a multi-object file format for storing and transferring
 graphical and numerical data mainly used in scientific computing. HDF
 supports several different data models, including multidimensional
 arrays, raster images, and tables.
 Each defines a specific aggregate data type and provides an API for
 reading, writing, and organizing the data and metadata. New data models
 can be added by the HDF developers or users.
 .
 This package includes some basic utilities to view, pack, unpack,
 HDF files.

Package: hdf5-helpers
Description-md5: 4d0ab5b8f832e67e843ba645a49ea0ef
Description-en: Hierarchical Data Format 5 (HDF5) - Helper tools
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains helper tools for HDF5.

Package: hdf5-tools
Description-md5: e1dfaee3e10ed55fbd952b2a5b59f008
Description-en: Hierarchical Data Format 5 (HDF5) - Runtime tools
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains runtime tools for HDF5.

Package: hdfview
Description-md5: 4b9ccbb5f76814f27025f1f65e5b4fbf
Description-en: Java HDF Object viewer
 HDF is a versatile data model that can represent very complex data objects
 and a wide variety of metadata. It is a completely portable file format
 with no limit on the number or size of data objects in the collection.
 .
 This package provides a HDF4/HDF5 viewer.

Package: hdhomerun-config
Description-md5: 90341820421605113fd111e0d403f36d
Description-en: Configuration utility for Silicon Dust HD HomeRun
 This package supports the Silicon Dust HDHomeRun.
 The HDHomeRun is a networked, two tuner digital TV tuner compatible with
 MythTV, SageTV, and VLC.
 .
 This utility can be used for:
  * Discovering your tuner location and name
  * Gathering tuner settings
  * Setting tuner setting
  * Performing scans
  * Performing firmware upgrades

Package: hdhomerun-config-gui
Description-md5: e68dab758d820ec4676a761c3167a896
Description-en: GUI Configuration utility for Silicon Dust HD HomeRun
 This package supports the Silicon Dust HDHomeRun.
 The HDHomeRun is a networked, two tuner digital TV tuner
 compatible with MythTV, SageTV, and VLC.
 .
 This utility can be used for:
  * Discovering your tuner location and name
  * Gathering tuner settings
  * Setting tuner setting
  * Performing scans
  * Performing firmware upgrades

Package: hdmi2usb-fx2-firmware
Description-md5: f7e344621f4b5bd7486c837eb9e898e3
Description-en: FX2 firmware for hdmi2usb board development
 This package contains the FX2 firmware for several modes of the Numato Opsis
 board's USB interface.
 .
 It is used for flashing updates to the board.

Package: hdmi2usb-mode-switch
Description-md5: ac730e23d8315fa0a0c4a7c355d56b64
Description-en: Configuration and firmware tool for HDMI2USB devices
 This is the tool for flashing and configuring the HDMI2USB devices.
 .
 It can load a runtime firmware, and write firmware to the device's flash.
 .
 https://hdmi2usb.tv/ is an open hardware and software project for capturing
 HDMI video with an FPGA board. This package supports the Digilent Atlys and
 Numato Opsis boards.

Package: hdmi2usb-udev
Description-md5: 01178a0c0e55b7e4199bcdb8d69f76c9
Description-en: udev rules for HDMI2USB devices
 This package provides a set of udev rules files for HDMI2USB devices. They
 grant access to users in the "video" group, provide stable device symlinks,
 and suppress ModemManager.
 .
 https://hdmi2usb.tv/ is an open hardware and software project for capturing
 HDMI video with an FPGA board. This package supports the Digilent Atlys and
 Numato Opsis boards.

Package: hdrmerge
Description-md5: 7e375bfdc943df1820a40cbc347cf08e
Description-en: HDR exposure merging
 This combines two or more raw images into a single raw with an extended
 dynamic range. It can import any raw image supported by LibRaw, and outputs
 a DNG 1.4 image with floating point data. The output raw is built from the
 less noisy pixels of the input, so that shadows maintain as much detail as
 possible. This tool also offers a GUI to remove ghosts from the resulting
 image.

Package: hdup
Description-md5: cecc1fa2debbb3514609748c3c09c971
Description-en: Filesystem duplicator and backup
 hdup is a backup utility with the following characteristics:
 .
 remote backups (move the backup to another machine); encrypted backups;
 no obscure format for the backups; compression (gzip/bzip or none);
 simple to use.
 .
 The source also includes two manpages, one for hdup itself and the other
 for the configuration file. It should be fairly obvious how to use hdup.

Package: headache
Description-md5: 0bca7a7fe7fcc3f6fcbcfedfd99557b9
Description-en: Tool to manage license notes of source files
 This tool allows a developer to add or remove the license note that
 one usually finds in a comment at the beginning of source files.  It
 knows about the syntax of comments in different languages: Makefile,
 OCaml, C, (La)TeX.

Package: healpy-data
Description-md5: c4ee58a35b8a2b11453f31bb679a1262
Description-en: HEALPix representation of spherical data - Python data
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This package provides platform-independent data and test files for the Python
 wrapper around the C++ implementation of HEALPix.

Package: health-check
Description-md5: dd818751875dba2377f169ecb27865ba
Description-en: process monitoring tool
 Health-check monitors processes and optionally their child
 processes and threads for a given amount of time.  At the end
 of the monitoring it will display the CPU time used, wakeup
 events generated and I/O operations of the given processes.
 It can be used to diagnose unhealthy bad processes.

Package: heaptrack
Description-md5: 56d96531fdd564d2850fedeec3b1576e
Description-en: heap memory profiler for Linux
 Heap memory usage profiler. It uses LD_PRELOAD to track all calls
 to the core memory allocation functions and logs these occurrences.
 Additionally, backtraces are obtained and logged. It can also
 generate a historigram of allocation sizes over the number of
 calls. Heaptrack measures the following:
 .
   * Heap memory consumption (like Massif).
   * Number of calls to allocation functions (like callgrind).
   * Total amount of memory allocated, ignoring deallocations.
   * Leaked memory (like memcheck).
 .
 Heaptrack is notable for it's ability to attach to running processes,
 for consuming substantially less memory than Valgrind, and for not
 reducing an application's interactivity as much as Valgrind does.
 Heaptrack is useful for debugging memory leaks and memory ballooning.
 .
 The package contains the command line tools.

Package: heaptrack-gui
Description-md5: 81174acb789b6d87eef358fb7119aacf
Description-en: heap memory profiler for Linux
 Heap memory usage profiler. It uses LD_PRELOAD to track all calls
 to the core memory allocation functions and logs these occurrences.
 Additionally, backtraces are obtained and logged. It can also
 generate a historigram of allocation sizes over the number of
 calls. Heaptrack measures the following:
 .
   * Heap memory consumption (like Massif).
   * Number of calls to allocation functions (like callgrind).
   * Total amount of memory allocated, ignoring deallocations.
   * Leaked memory (like memcheck).
 .
 Heaptrack is notable for it's ability to attach to running processes,
 for consuming substantially less memory than Valgrind, and for not
 reducing an application's interactivity as much as Valgrind does.
 Heaptrack is useful for debugging memory leaks and memory ballooning.
 .
 The package contains the GUI for data analysis.

Package: hearse
Description-md5: 5794f9e6ad2af9faa035c500662b5ce9
Description-en: exchange Nethack bones files with other players
 Nethack sometimes saves the level on which you die (including your
 stuff, what killed you, and your ghost) in a "bones file".  These files
 get loaded into later Nethack games.  If you're the only Nethack player
 on your system you'll only get bones files you created yourself.
 .
 With Hearse, you can automatically exchange bones files with other
 Nethack players.  When run it uploads any new bones files it finds
 on your system, then downloads any bones files the server feels like
 giving it.  See http://www.argon.org/~roderick/hearse/ for more
 information.
 .
 An important thing to note is that by default using Hearse will cause
 you to end up with more bones than you otherwise would have.  This
 changes the game's balance and is considered by many players to be a
 mild form of cheating.  You can address this by turning on the
 --delete-uploaded option, but the down side is you'll never encounter
 your own bones files.

Package: heartbeat
Description-md5: ddb086c6c9251076ab28140d4a3653ba
Description-en: Subsystem for High-Availability Linux
 heartbeat is a messaging subsystem for high availability which implements
 serial, UDP, and PPP/UDP heartbeats.
 .
 It is one of the messaging layers supported by the Pacemaker
 cluster resource manager.

Package: heartbeat-dev
Description-md5: 2db4a8a5564e6bdaa093155ea6bcbb9c
Description-en: Subsystem for High-Availability Linux - development files
 heartbeat is a messaging subsystem for high availability which implements
 serial, UDP, and PPP/UDP heartbeats.
 .
 It is one of the messaging layers supported by the Pacemaker
 cluster resource manager.
 .
 This package contains Heartbeat-specific development files.

Package: heartbleeder
Description-md5: 23a3b300a2cc44388ddae46a1df2e1a7
Description-en: test servers for OpenSSL CVE-2014-0160 aka Heartbleed
 The Heartbleed Bug is a serious vulnerability in the popular OpenSSL
 cryptographic software library. This weakness allows stealing the
 information protected, under normal conditions, by the SSL/TLS
 encryption used to secure the Internet. SSL/TLS provides communication
 security and privacy over the Internet for applications such as web,
 email, instant messaging (IM) and some virtual private networks (VPNs).
 .
 The Heartbleed bug allows anyone on the Internet to read the memory
 of the systems protected by the vulnerable versions of the OpenSSL
 software. This compromises the secret keys used to identify the service
 providers and to encrypt the traffic, the names and passwords of the
 users and the actual content. This allows attackers to eavesdrop on
 communications, steal data directly from the services and users and
 to impersonate services and users.
 .
 heartbleeder is a tool that tests remotely (over a network) if a
 system is compromised by an insecure OpenSSL service.
 .
 More about Heartbleed Bug can be viewed at http://heartbleed.com.

Package: heat-cfntools
Description-md5: 30d1fe7aad87ef6b0467ec3a53c13c1a
Description-en: Tools required to be installed on Heat provisioned cloud
 This package contains tools for use with CloudFormation
 cfn-init   - Reads the AWS::CloudFormation::Init for the instance resource,
              installs packages, and starts services
 cfn-signal - Waits for an application to be ready before continuing, ie:
              supporting the WaitCondition feature
 cfn-hup    - Handle updates from the UpdateStack CloudFormation API call

Package: heat-dashboard-common
Description-md5: e7ee5e28fefd2e87034766b0e98c4b95
Description-en: OpenStack orchestration service - Common files
 Heat is a service to orchestrate multiple composite cloud applications using
 templates, through both an OpenStack-native ReST API and a
 CloudFormation-compatible Query API.
 .
 This package contains common files.

Package: hebcal
Description-md5: cebd67adf5acb47aee2219dab6030b27
Description-en: A Perpetual Jewish Calendar
 Hebcal is a program which prints out the days in the Jewish calendar
 for a given gregorian year.  Hebcal is fairly flexible in terms of which
 events in the Jewish calendar it displays.

Package: hedgewars
Description-md5: 95a283ed5766101c57cbbde0d1b67a66
Description-en: Funny turn-based artillery game, featuring fighting hedgehogs!
 Each player controls a team of several hedgehogs. During the
 course of the game, players take turns with one of their
 hedgehogs. They then use whatever tools and weapons are
 available to attack and kill the opponents' hedgehogs, thereby
 winning the game. Hedgehogs may move around the terrain in a
 variety of ways, normally by walking and jumping but also by
 using particular tools such as the "Rope" or "Parachute", to
 move to otherwise inaccessible areas. Each turn is time-limited
 to ensure that players do not hold up the game with excessive
 thinking or moving.
 .
 A large variety of tools and weapons are available for players
 during the game: Grenade, Cluster Bomb, Bazooka, UFO, Homing Bee,
 Shotgun, Desert Eagle, Fire Punch, Baseball Bat, Dynamite, Mine,
 Rope, Pneumatic pick, Parachute. Most weapons, when used, cause
 explosions that deform the terrain, removing circular chunks.
 The landscape is an island floating on a body of water, or a
 restricted cave with water at the bottom. A hedgehog dies when
 it enters the water (either by falling off the island, or
 through a hole in the bottom of it), it is thrown off either
 side of the arena or when its health is reduced, typically from
 contact with explosions, to zero (the damage dealt to the
 attacked hedgehog or hedgehogs after a player's or CPU turn is
 shown only when all movement on the battlefield has ceased).

Package: hedgewars-data
Description-md5: a00ae77af1a4a8317e7becce4e0821a3
Description-en: Data files for hedgewars
 This package contains data files for the hedgewars package.
 Examples of files are: maps, scripts, themes, images, sounds,
 level data and other miscellaneous files needed by hedgewars.

Package: heif-gdk-pixbuf
Description-md5: 7d5e019db350c4fb0ebe91ea53b28ff4
Description-en: ISO/IEC 23008-12:2017 HEIF file format decoder - gdk-pixbuf loader
 libheif is an ISO/IEC 23008-12:2017 HEIF file format decoder. HEIF is a new
 image file format employing HEVC (h.265) image coding for the best compression
 ratios currently possible.
 .
 A gdk-pixbuf loader module for applications such as "gpicview" and "pcmanfm"
 is provided by this package.

Package: heif-thumbnailer
Description-md5: e50d2d70bb250e23f33b019c049adc6d
Description-en: ISO/IEC 23008-12:2017 HEIF file format decoder - thumbnailer
 libheif is an ISO/IEC 23008-12:2017 HEIF file format decoder. HEIF is a new
 image file format employing HEVC (h.265) image coding for the best compression
 ratios currently possible.
 .
 A thumbnailer for HEIF images that can be used by Nautilus is provided by this
 package.

Package: heimdal-clients
Description-md5: f60ede2793e741fd3d7f011dec220928
Description-en: Heimdal Kerberos - clients
 Heimdal is a free implementation of Kerberos 5 that aims to be
 compatible with MIT Kerberos.
 .
 This package includes Kerberos utilities like kadmin, kinit, kpasswd and
 klist.

Package: heimdal-kcm
Description-md5: 86f9cce037e4e689b26fa360d8bf0702
Description-en: Heimdal Kerberos - KCM daemon
 Heimdal is a free implementation of Kerberos 5 that aims to be
 compatible with MIT Kerberos.
 .
 This package includes the KCM daemon which can hold the credentials
 for all users in the system. Access control is done with Unix-like
 permissions. The daemon checks the access on all operations based on
 the UID and GID of the user. The tickets are renewed as long as is
 permitted by the KDC's policy.

Package: heimdal-kdc
Description-md5: 341da9732edd5d975ab7c6361f80ddfe
Description-en: Heimdal Kerberos - key distribution center (KDC)
 Heimdal is a free implementation of Kerberos 5 that aims to be
 compatible with MIT Kerberos.
 .
 This package includes the KDC (key distribution center) server,
 which is designed to run on a secure computer and keeps track
 of users' passwords. This is done using the Kerberos protocol in
 such a way that the server computers do not need to know the
 passwords.

Package: heimdal-servers
Description-md5: 9208757d7183097184487952fa5b222d
Description-en: Heimdal Kerberos - server programs
 Heimdal is a free implementation of Kerberos 5 that aims to be
 compatible with MIT Kerberos.
 .
 This package contains the kfd server, for receiving forwarded tickets.

Package: heimdall-flash
Description-md5: 82e002fb8f666e055231afa425732f75
Description-en: tool for flashing firmware on Samsung Galaxy S devices
 Heimdall is a tool for flashing firmware (aka ROMs) onto Samsung Galaxy S
 devices over a USB connection.  It accomplishes this using the same
 protocol as Odin, Samsung's internal Windows-only firmware updater.

Package: heimdall-flash-frontend
Description-md5: f1bdd32b87ba24df7b0b5ff4f5194f70
Description-en: tool for flashing firmware on Samsung Galaxy S devices - Qt GUI
 Heimdall is a tool for flashing firmware (aka ROMs) onto Samsung Galaxy S
 devices over a USB connection.  It accomplishes this using the same
 protocol as Odin, Samsung's internal Windows-only firmware updater.
 .
 This package includes a Qt-based frontend for managing Galaxy devices.

Package: hellfire
Description-md5: fa8047046fc861bf9f81a152e89ec232
Description-en: PATHspider Effects List Resolver
 Hellfire is a parallelised DNS resolver. It is written in Go and for the
 purpose of generating input lists to PATHspider, though may be useful for other
 applications. It supports fetching commonly used domain lists in Internet
 Measurement Research.
 .
 Additional metadata may be looked up from RIPEstat using canid integration.

Package: hello-traditional
Description-md5: 5b672b9e8dff1814062ff9b2e8b2fe5a
Description-en: example package not using any helper package
 The GNU hello program produces a familiar, friendly greeting.  It
 allows non-programmers to use a classic computer science tool which
 would otherwise be unavailable to them.
 .
 Seriously, though: this is an example of how to do a Debian package.
 It is the Debian version of the GNU Project's `hello world' program
 (which is itself an example for the GNU Project).
 .
 This is the same as the hello package, except it does not use
 debhelper (hence the "traditional" suffix).

Package: help2man
Description-md5: 81b7f764f56e92da4f916f9b4836fe21
Description-en: Automatic manpage generator
 Program to create simple man pages from the --help and
 --version output of other programs.
 .
 Since most GNU documentation is now in info format, this provides a
 way to generate a placeholder man page pointing to that resource while
 still providing some useful information.

Package: helpman
Description-md5: 4c8ced2fe131ae89126b77d95174ec76
Description-en: quick & easy access to 4000+ manuals / guides / tutorials
 Helpman provides a classified access to the manuals, installed by user/system
 programs, automatically. It also supports typing a program / manual name, in
 the Select Manual: text / combo box directly, to display it quickly. Error
 Messages will be shown below, if a manual is not found.
 .
 The Manual Type list box, shows sections 1 to 8, useful for specific display
 of  a version of the manual. Say for example, if you see, CRON(8) in a manual,
 it means the CRON manual in the 8th section. So users should choose
 appropriately.
 .
 Please click the info button for more details.

Package: helpviewer.app
Description-md5: 82b6f7a628c7f780dd569304942ac89c
Description-en: Online help viewer for GNUstep programs
 HelpViewer is an online help viewer for GNUstep programs.  It can
 render files in the XLP format, although this format has been
 deprecated and only several packages still use it.

Package: hepmc-examples
Description-md5: 5002a799f8b4b61990bc4017e649915f
Description-en: Event Record for Monte Carlo Generators - example files
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package provides example source files for HepMC.

Package: hepmc-reference-manual
Description-md5: 5849471a3a64dd659016a13257474c59
Description-en: Event Record for Monte Carlo Generators - reference manual
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package provides reference manual for HepMC2.

Package: hepmc-user-manual
Description-md5: f7f9e8905a4be2da7230958bfc9d323a
Description-en: Event Record for Monte Carlo Generators - user manual
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package provides user manual for HepMC2.

Package: hepmc3-doc
Description-md5: bb3b4a185933d03805863e31cdd984c4
Description-en: Event Record for Monte Carlo Generators (docs)
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
  Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package provides documentation  and examples for HepMC3.

Package: herbstluftwm
Description-md5: 88b19e921a0d332a12c54edee00e38b4
Description-en: manual tiling window manager for X11
 In herbstluftwm the layout is based on splitting frames into
 subframes which can be split again or can be filled with windows,
 Tags (or workspaces or virtual desktops or ...) can be added/removed
 at runtime. Each tag contains an own layout and exactly one tag is
 viewed on each monitor. The tags are monitor independent.
 .
 It is configured at runtime via ipc calls from herbstclient. So the
 configuration file is just a script which is run on startup.

Package: hercules
Description-md5: c2e6a257bcc7031d61ecd415485a3bfc
Description-en: System/370, ESA/390 and z/Architecture Emulator
 Hercules is an open source software implementation of the mainframe System/370
 and ESA/390 architectures, in addition to the new 64-bit z/Architecture.
 .
 This means that your PC can emulate an IBM mainframe processor. The
 mainframe can range from a 360 to a z900 - running in "System/370"
 mode, "ESA/390" mode, or "z/Architecture" mode. Hercules executes
 S/370, ESA/390, and z/Architecture instructions and channel
 programs. It emulates mainframe I/O devices by using PC devices. For
 example, 3390 DASD devices are emulated by large files on your hard
 disk, and local 3270 screens are emulated by tn3270 sessions.
 .
 Hercules implements only the raw S/370, ESA/390, and z/Architecture
 instruction set; it does not provide any operating system facilities. This
 means that you need to provide an operating system or standalone program which
 Hercules can load from an emulated disk or tape device. You will have to use a
 free software operating system such as Linux, write the operating system or
 standalone program yourself, obtain a license from IBM to run one of their
 operating systems on your PC, or use IBM programs and operating systems which
 have been placed in the public domain.
 .
 Virtual networking can be accomplished using the TUN/TAP driver in
 host Linux kernel.

Package: herculesstudio
Description-md5: b0643232eedc59a37d975820c4239154
Description-en: Hercules GUI front-end
 Hercules Studio is a GUI front-end of the Hercules mainframe Emulator.
 With Hercules Studio, you can easily control and monitor the hercules
 virtual machine.

Package: herisvm
Description-md5: 190ec42378a2f449852967ddae49282d
Description-en: machine learning tools for classification algorithms
 herisvm project is a collection of simple tools implementing
 evaluation algorithms for classification (machine learning).
 In particular, heri-eval implements N-fold cross-validation
 where training and testing is run in parallel.

Package: heroes
Description-md5: 6acee3293d99f22cc69084aab068c7b2
Description-en: Collect powerups and avoid your opponents' trails
 Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes
 many graphical improvements and new game features.  In it, you must maneuver
 a small vehicle around a world and collect powerups while avoiding obstacles,
 your opponents' trails, and even your own trail.
 .
 Several styles of play are available, including "get-all-the-bonuses",
 deathmatch, and "squish-the-pedestrians".  All game styles can be played
 in both single-player and two-player (split-screen) modes.
 .
 You should install the heroes-sound-effects package if you want sound effects,
 and the heroes-sound-tracks package if you want background music in the game.

Package: heroes-data
Description-md5: 72f3d9cf070a5b1299343a6965d94835
Description-en: Required data files for heroes
 Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes
 many graphical improvements and new game features.  In it, you must maneuver
 a small vehicle around a world and collect powerups while avoiding obstacles,
 your opponents' trails, and even your own trail.
 .
 This package contains required data files for heroes, including images,
 level files, and tile sets.

Package: heroes-sound-effects
Description-md5: 666f9b1a13a42d863665943894c523f2
Description-en: Optional sound files for heroes
 Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes
 many graphical improvements and new game features.  In it, you must maneuver
 a small vehicle around a world and collect powerups while avoiding obstacles,
 your opponents' trails, and even your own trail.
 .
 This package contains optional sound effects for heroes.  Install these if
 you want nifty little noises while playing the game.

Package: heroes-sound-tracks
Description-md5: 79062520657724ff31d0aa624ffbf1f0
Description-en: Optional sound files for heroes
 Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes
 many graphical improvements and new game features.  In it, you must maneuver
 a small vehicle around a world and collect powerups while avoiding obstacles,
 your opponents' trails, and even your own trail.
 .
 This package contains optional background music for Heroes.  Install this
 if you want to listen to music while playing the game, or if you just happen
 to like the tunes.  Be warned that this package is quite large and will take
 a long time to download over a modem or other slow link!

Package: herold
Description-md5: f4db50f19bfd481d027b581d75621d89
Description-en: HTML to DocBook XML conversion
 The reuse of HTML content in presentation-neutral form is a frequent problem.
 One possible solution is to convert HTML to DocBook XML, because DocBook is a
 semantic markup language for documentation, which enables its users to create
 document content that captures the logical structure of the content.
 .
 The command line tool herold can be used to convert HTML to DocBook. Because
 HTML elements are often used not as intended, the possibilities for such a
 transformation are somewhat limited. herold is part of the dbdoclet suite of
 tools. For more information visit http://www.dbdoclet.org.

Package: hershey-font-gnuplot
Description-md5: 08f3e746333434ee3a6ef3afc4d9cad9
Description-en: Hershey vector fonts renderer for gnuplot
 The Hershey fonts are a collection of vector fonts developed circa 1967
 by Dr. A. V. Hershey.
 .
 hershey-font-gnuplot renders Hershey vector font text in the form of a
 gnuplot input file.

Package: hershey-fonts-data
Description-md5: 04b40c8f2ace445f2c531b0a482f104e
Description-en: Hershey vector fonts collection
 The Hershey fonts are a collection of vector fonts developed circa 1967
 by Dr. A. V. Hershey.
 .
 This package includes Latin, Greek, Cyrilic, Japanese, and various symbol
 glyph sets encoded as .jhf format Hershey font files.

Package: hesiod
Description-md5: a961e1508b081366d98b77b58c16e59d
Description-en: Project Athena's DNS-based directory service - utilities
 Hesiod is a name service library that can provide general name service
 for a variety of applications. It is derived from BIND, the Berkeley
 Internet Name Daemon, and leverages the existing DNS infrastructure of a
 network. It is used on a number of university networks, including MIT
 and Iowa State University.
 .
 This package is only useful on networks that already use
 Hesiod.

Package: hevea
Description-md5: e6697fa60641efc6a5cd5d7c4bafc4bc
Description-en: translates from LaTeX to HTML, info, or text
 Its remarkable features are
  - It produces good output. Special symbols (like mathematical symbols) are
    translated into HTML entities which should be rendered by any graphical
    browser. Picture files are only generated on demand, for instance when
    translating graphics.
  - It is highly configurable through (La)TeX macros. Though aimed at
    LaTeX input it understands a fair subset of TeX's macro language.
  - It runs fast.

Package: hex-a-hop
Description-md5: e9af04f1df664e98c876395b31801bbf
Description-en: puzzle game based on hexagonal tiles
 Hex-a-hop is a great puzzle game in which a girl has to break all the green
 tiles in an hexagonal map and step onto a safe tile without getting trapped.
 As you progress through the game, more types of tiles are introduced which
 make things more difficult and interesting as you progress through the many
 levels. You can use the infinite undo feature to retrace your steps if you
 make a mistake. You can take as long as you like to complete each level.

Package: hex-a-hop-data
Description-md5: a91384e2859c8d4985f12e87dfa5a224
Description-en: graphics, audio, levels and translations for Hex-a-hop
 This package contains graphics, audio, levels, docs and l10n for Hex-a-hop.
 .
 Graphics included are the background, icons, map layers, tile images,
 title graphics and movement animations of the heroine. Audio included
 are the music and sound effects.

Package: hexalate
Description-md5: 2f0f885808169896967fb32ea040266d
Description-en: Color matching puzzle
 Hexalate is a color matching game. The goal of the game is to rotate and
 position the circles so that each touching line matches in color. You rotate
 circles by right clicking, and you move circles by dragging them. The game
 stores the positions and rotations of the circles across runs.

Package: hexbox
Description-md5: 4d61d8144973763ed2142ef2a8f41c71
Description-en: Hex Edit Control for .NET developers - apps
 Be.HexEditor contains a reusable control called HexBox, that you can use in
 your application. It's written in C# and drawn by using GDI+ technology.

Package: hexchat
Description-md5: 355cb18c605fe27ffc9810a3700b8583
Description-en: IRC client for X based on X-Chat 2
 HexChat is a graphical IRC client with a GTK+ GUI. Features include Python
 and Perl scripting support, a plugin API, multiple server/channel windows,
 spell checking, multiple authentication methods including SASL,
 and customizable notifications. For more information on IRC,
 see http://irchelp.org/.

Package: hexchat-common
Description-md5: aa1d4fd0c11a8d9b810f38999ed64ded
Description-en: Common files for HexChat
 This package includes documentation, headers, and locale files for the
 Debian HexChat packages that are common for all architectures. Hexchat is a
 popular and featureful IRC client with a GTK+ GUI. For more information on
 IRC, see http://irchelp.org/.

Package: hexchat-dev
Description-md5: 3a82bddb41ba7f4457573e9e10933d8c
Description-en: Development files for HexChat
 This package contains the hexchat-plugin.h and hexchat-plugin.pc
 files, which facilitate building binary plugins. Hexchat is a
 popular and featureful IRC client with a GTK+ GUI. For more information on
 IRC, see http://irchelp.org/.

Package: hexchat-indicator
Description-md5: c36c6e5a57c5aec66ede71aaedb9d536
Description-en: Hexchat Indicator Plugin
 Hexchat plugin to utilize the Messaging Indicator.

Package: hexchat-lua
Description-md5: d6963485b4835624cb4d1a7cbe14202e
Description-en: Lua plugin for HexChat
 This package contains the Lua plugin for Hexchat.
 Previously included in the main HexChat package, it's now separate as it's not
 actually required for core functionality.

Package: hexchat-otr
Description-md5: 15f5ac18d21bcb6af16e598e928ed76a
Description-en: hexchat plugin to support Off The Record (OTR)
 A plugin for the IRC client hexchat to support Off The Record (OTR)
 conversations.
 .
 OTR allows you to have private conversations over IM by providing:
  - Encryption
   - No one else can read your instant messages.
  - Authentication
   - You are assured the correspondent is who you think it is.
  - Deniability
   - The messages you send do _not_ have digital signatures that are
     checkable by a third party.  Anyone can forge messages after a
     conversation to make them look like they came from you.  However,
     _during_ a conversation, your correspondent is assured the messages
     they see are authentic and unmodified.
  - Perfect forward secrecy
   - If you lose control of your private keys, no previous conversation
     is compromised.

Package: hexchat-perl
Description-md5: d8453713ad1cc654dacacd420df73fa7
Description-en: Perl plugin for HexChat
 This package contains the perl plugin for HexChat. Previously included in the
 main HexChat package, it's now separate as it's not actually required for core
 functionality.

Package: hexchat-plugins
Description-md5: 4032377420fef37282baa6e24d01e9ac
Description-en: Common plugins for HexChat
 This package contains the FiSHLiM, Sysinfo, Do At and Checksum plugins.
 Previously included in the main HexChat package, they're now separate as
 they're not actually required for core functionality.

Package: hexchat-python3
Description-md5: 0c9908188183179286e4c8e916a6eaba
Description-en: Python 3 plugin for HexChat
 This package contains the Python 3 plugin for HexChat. Previously included in
 the main HexChat package, it's now separate as it's not actually required for
 core functionality.

Package: hexcompare
Description-md5: 03160f0acb3abd313de1fa4fbdeb07b1
Description-en: utility to compare and identify binary files
 hexcompare is a curses-based utility to compare and identify differences
 between two binary files. These differences are then represented visually
 with an interactive block diagram. Hexcompare is useful in forensics cases
 when you have two files and you want to compare them to find out whether
 they are identicals or similars.

Package: hexcurse
Description-md5: 90bac3223ca5fd76a78ba45c2a3d4db9
Description-en: Ncurses-based hex editor with many features
 HexCurse is a versatile ncurses-based hex editor written in C that provides
 the user with many features. It currently supports searching, hex, and
 decimal address output, jumping  to specified locations in a file, and
 quick keyboard shortcuts to commands.

Package: hexdiff
Description-md5: 86c9ddde7e9cf2cc9a7621031e32eeb1
Description-en: Visual hexadecimal difference editor
 Editor to visualize binary differences in hexadecimal between 2 files.
 The editor open in an horizontal split view with each file per view.
 Each view has 3 columns : offset, hexadecimal output, ASCII output.
 Differences are highlighted by having the background color inverted.
 Some crucial information are displayed as current offset, etc...
 Has shortcut to move into the file, to the next difference, etc...

Package: hexec
Description-md5: c6b5d9dfd3dca63bc8964e2d53eba8d8
Description-en: Command line tool to hook into exec calls
 hexec can be used to hook into exec system calls. It evaluates a user
 defined expression against all hooked exec calls. This expression can
 contain simple path checks (e.g. -path "*/name") and even complex bash
 scripts.

Package: hexedit
Description-md5: 2d8273d511784f0f3c8cbdada3dc68e5
Description-en: viewer and editor in hexadecimal or ASCII for files or devices
 hexedit shows a target both in hexadecimal and in ASCII. This target can be
 a device or a file. You can edit the target and search through it. There are
 also copy&paste and save to file functions. Is allowed truncating or appending
 to the target. All modifications are shown in bold.
 .
 hexedit is ideal to edit or inspect very large files or devices, as hard disks
 or its partitions, flash drives, etc. These elements will not be wholly read
 because hexedit loads a piece at a time.
 .
 hexedit can be used by programmers in several activities. It also is useful
 for debugging, forensics investigations and recovering from digital disasters.

Package: hexer
Description-md5: f45deb31abfde1efc528da1bbf2acec5
Description-en: interactive binary editor with a Vi-like interface
 Hexer is an interactive binary editor (also known as a hexeditor)
 with a Vi-like interface.  Its most important features are
 multiple buffers, multi-level undo, command-line editing with
 completion, and binary regular expressions.

Package: hexter
Description-md5: d53c6c3a95ff0100a35e925eb8aba8dd
Description-en: Yamaha DX7 modeling DSSI plugin
 hexter is a software synthesizer that models the sound generation of a Yamaha
 DX7 synthesizer. It can easily load most DX7 patch bank files, accept patch
 editing commands via MIDI sys-ex messages, and recreate the sound of the DX7
 with great accuracy.

Package: hexxagon
Description-md5: e38dc6f35662fa80e6ec388d96cd6b81
Description-en: Hexagonal Ataxx clone
 The goal of the game is to conquer as much of the board as possible.
 This is done by capturing the opponent’s pieces or by cloning your own.
 The board is a hexagon built from smaller hexagons.
 .
 Hexxagon is just like Ataxx apart from the board design;
 the Ataxx board is square and the Hexxagon board is hexagonal.
 Hexxagon also offers alternatives boards.

Package: hexyl
Description-md5: bc2d1cfc8e4ca83fb3f5254ad7df7215
Description-en: Command-line hex viewer with colored output
 hexyl is a simple hex viewer for the terminal. It uses colored output to
 distinguish different categories of bytes (NULL bytes, printable ASCII
 characters, ASCII whitespace characters, other ASCII characters and non-ASCII).
 .
 This package contains the following binaries built from the Rust crate
 "hexyl":
  - hexyl

Package: hey
Description-md5: 6c7cde0adaa5c6af8fdeebf480cdcd19
Description-en: Sends some load to a web application
 HTTP load generator, ApacheBench (ab) replacement, formerly
 known as rakyll/boom
 .
 Originally called boom and was influenced from Tarek Ziade's
 tool at github.com/tarekziade/boom. Using the same name was a
 mistake as it resulted in cases where binary name conflicts
 created confusion.
 .
 To preserve the name for its original owner, this project
 was renamed to hey.

Package: hfsprogs
Description-md5: 2227b7676c8238af395d98e3c4d3e103
Description-en: mkfs and fsck for HFS and HFS+ file systems
 The HFS+ file system used by Apple Computer for their Mac OS is
 supported by the Linux kernel.  Apple provides mkfs and fsck for
 HFS+ with the Unix core of their operating system, Darwin.
 .
 This package is a port of Apple's tools for HFS+ filesystems.
 .
 For users, HFS+ seems to be a good compromise to carry files between
 MacOS X and Linux Machines, as HFS+ doesn't suffer the problems of
 FAT32 like:
 .
  * huge space waste (in slack space as devices grow faster);
  * ability to create files that are more than 4GB in size (especially
    good for those working with multimedia and that need to carry large
    ISO files);
  * ability to use case preserving (and even sensitivity!);
  * ability to use uid's and gid's on the filesystem.
 .
 Users in general can enjoy such benefits since it is expected to have
 more HFS+ filesystems in use, as Apple has announced Macintoshes for
 ix86-64, besides the filesystem being already supported by PowerPC
 systems since the beginning.

Package: hfst
Description-md5: ffae1fe3a8a7b7e38c5314293481b737
Description-en: Helsinki Finite-State Transducer Technology
 The Helsinki Finite-State Transducer software is intended for the
 implementation of morphological analysers and other tools which are
 based on weighted and unweighted finite-state transducer technology.

Package: hfsutils-tcltk
Description-md5: 6e699622d68088f4866891ef6939bfa1
Description-en: Tcl/Tk interfaces for reading and writing Macintosh volumes
 HFS is the native Macintosh filesystem format.
 .
 This package contains xhfs, a Tk-based X windows interface, and hfssh,
 a Tcl-based shell.

Package: hgsubversion
Description-md5: 23995d280b6c113e11579a2d74eb9e5b
Description-en: Subversion client as Mercurial extension
 hgsubversion is an extension for Mercurial that
 allows using Mercurial as a Subversion client.
 .
 At this point, hgsubversion is usable by users reasonably
 familiar with Mercurial as a VCS. It's not recommended to
 dive into hgsubversion as an introduction to Mercurial,
 since hgsubversion "bends the rules" a little and violates
 some of the typical assumptions of early Mercurial users.

Package: hhsuite
Description-md5: 33cb7e8c769908e574aec96cb70eb73a
Description-en: sensitive protein sequence searching based on HMM-HMM alignment
 HH-suite is an open-source software package for sensitive protein sequence
 searching based on the pairwise alignment of hidden Markov models (HMMs).
 .
 This package contains HHsearch and HHblits among other programs and utilities.
 .
 HHsearch takes as input a multiple sequence alignment (MSA) or profile HMM
 and searches a database of HMMs (e.g. PDB, Pfam, or InterPro) for homologous
 proteins. HHsearch is often used for protein structure prediction to detect
 homologous templates and to build highly accurate query-template pairwise
 alignments for homology modeling.
 .
 HHblits can build high-quality MSAs starting from single sequences or from
 MSAs. It transforms these into a query HMM and, using an iterative search
 strategy, adds significantly similar sequences from the previous search to
 the updated query HMM for the next search iteration. Compared to PSI-BLAST,
 HHblits is faster, up to twice as sensitive and produces more accurate
 alignments.

Package: hhsuite-data
Description-md5: 2ddd2546c2236539eec2c6d8d88d3111
Description-en: sensitive protein sequence searching based on HMM-HMM alignment (data)
 HH-suite is an open-source software package for sensitive protein sequence
 searching based on the pairwise alignment of hidden Markov models (HMMs).
 .
 This package contains architecture independent scripts and data files.

Package: hibernate
Description-md5: 56f68fb0a4a2864a3b5ccf3bd54281b3
Description-en: smartly puts your computer to sleep (suspend to RAM or disk)
 The hibernate script helps you in putting your computer to sleep, using one
 of the various methods available in the kernel.
 .
 Hibernate can take care of loading and unloading modules, provides various
 hacks needed to get some video cards to resume properly under X, can
 optionally restart networking and system services, and basically do whatever
 else you ask it. It can be extended by writing new "scriptlets" which run at
 different points during the suspend process.
 .
 Currently the script supports all suspend mechanisms available through the
 /sys/power/state interface (including ACPI suspend and the in-kernel software
 suspend), as well as TuxOnIce.

Package: hibiscus
Description-md5: bdaef5cf498725b9f94368638667dfb5
Description-en: Java online banking client using the HBCI standard
 A Java based, platform independent (running on Linux, Windows, OS X, OpenBSD,
 OpenSolaris) homebanking application, that uses the German FinTS/HBCI
 standard. All data (accounts, transactions, addresses, ..) are stored
 encrypted into an embedded database (H2) by default or on a MySQL/MariaDB
 server (if configured).
 .
 Hibiscus runs as a plugin within the Jameica framework as either a typical
 desktop application or headless in server mode.

Package: hibiscus-doc
Description-md5: 59c96cb68e37e17c581717018b4210e1
Description-en: Java online banking client using the HBCI standard - documentation package
 A Java based, platform independent (running on Linux, Windows, OS X, OpenBSD,
 OpenSolaris) homebanking application, that uses the German FinTS/HBCI
 standard. All data (accounts, transactions, addresses, ..) are stored
 encrypted into an embedded database (H2) by default or on a MySQL/MariaDB
 server (if configured).
 .
 Hibiscus runs as a plugin within the Jameica framework as either a typical
 desktop application or headless in server mode.
 .
 This package contains the API documentation of the hibiscus package.

Package: hidl-gen
Description-md5: 41449f95b3cec834a42dfde65c91c719
Description-en: Compiler for the HIDL
 HAL interface definition language or HIDL is an interface description language
 (IDL) to specify the interface between a HAL and its users. It allows
 specifying types and method calls, collected into interfaces and packages.
 More broadly, HIDL is a system for communicating between codebases that may be
 compiled independently.

Package: hiera
Description-md5: 83651e8019625ca6bf22f64e4095c372
Description-en: Light weight hierarchical data store
 ruby-hiera is a simple pluggable hierarchical database. It can be
 used to store various information and therefore is a good fit for
 the representation of infrastructure information.
 It can be used to query multiple data backends e.g. YAML or Puppet.

Package: hiera-eyaml
Description-md5: d41e6c6236c21703a91b523a6ae42cf1
Description-en: OpenSSL Encryption backend for Hiera
 A backend for Hiera that provides per-value encryption of sensitive
 data within yaml files to be used by Puppet.
 .
 Only the values are encrypted, allowing files to be swiftly reviewed
 without decryption.
 .
 The value of each key is encrypted individually, which means that
 "git diff" is meaningful.
 .
 Includes a command line tool for encrypting, decrypting, editing and
 rotating keys. This makes it almost as easy as using clear text
 files.
 .
 Basic asymmetric encryption (PKCS#7) is used by default. This does
 not require any native libraries to be compiled, and it allows users
 without the private key to encrypt values that the puppet master can
 decrypt
 .
 hiera-eyaml includes a pluggable encryption framework (e.g. GPG
 encryption (hiera-eyaml-gpg) can be used if you have the need for
 multiple keys and easier key rotation)

Package: higan
Description-md5: 56eab6611f3601a77df483d9fd9f92d0
Description-en: Accurate multi-system emulator
 higan is an emulator for systems from Nintendo (SNES, NES, Gameboy,
 Gameboy Color, Gameboy Advance), Sega (Master System, Game Gear),
 NEC (PC Engine, SuperGrafx) and Bandai (WonderSwan, WonderSwan Color).
 It was formerly called bsnes and the SNES emulation is especially
 complete and polished.
 .
 higan strives to provide the most faithful hardware emulation possible.
 It focuses on accuracy and clean code, rather than speed and special
 features. It is meant as a reference emulator to document how the underlying
 hardware works. As a result the minimum system requirements are high.

Package: highlight
Description-md5: 41582079c56affb2a98f081f9b1e9702
Description-en: Universal source code to formatted text converter
 A utility that converts sourcecode to HTML, XHTML, RTF, LaTeX, TeX,
 SVG, XML or terminal escape sequences with syntax highlighting.  It
 supports several programming and markup languages.  Language
 descriptions are configurable and support regular expressions.  The
 utility offers indentation and reformatting capabilities.  It is
 easily possible to create new language definitions and colour themes.

Package: highlight-common
Description-md5: 08ae57b93f7c2207f853162d2187294f
Description-en: source code to formatted text converter (architecture independent files)
 A utility that converts sourcecode to HTML, XHTML, RTF, LaTeX, TeX,
 SVG, XML or terminal escape sequences with syntax highlighting.  It
 supports several programming and markup languages.  Language
 descriptions are configurable and support regular expressions.  The
 utility offers indentation and reformatting capabilities.  It is
 easily possible to create new language definitions and colour themes.
 .
 These are the architecture independent files.

Package: highlight.js-doc
Description-md5: 222cc1c8693cb387f9fc39e2b3dd0b41
Description-en: JavaScript library for syntax highlighting - documentation
 Highlight.js is a JavaScript library which automatically detects the
 language of code blocks in a web page, and provides syntax highlighting
 for them. The library supports more than fifty languages and is bundled
 with more than twenty style themes.
 .
 This package contains the documentation of this library.

Package: hiki
Description-md5: b74d14ad3085073316eefce152b861f5
Description-en: Wiki Engine written in Ruby
 Hiki is one of WikiWiki Web clone (Wiki Engine) written in programming
 language Ruby. WikiWikiWeb is web base collaboration tool. Hiki has
 following features:
 .
   * Simple syntax like original Wiki.
   * Theme function by CSS(Cascading Style Sheet). You can use a lot of
     tDiary themes (http://www.tdiary.net/theme.rhtml).
   * Plugin function, you can add various functions.
   * InterWiki support.
   * Categorize.

Package: hildon-theme-mobile-basic
Description-md5: b2041a1a6588b415e86529e6c3f981b5
Description-en: Basic Ubuntu Mobile theme
 This is the theme used as the default Ubuntu Mobile theme, it is
 based on the Plankton theme used as the default in Maemo.

Package: hilive
Description-md5: 180e5fd04684d876675df86db79a9f5b
Description-en: realtime alignment of Illumina reads
 HiLive is a read mapping tool that maps Illumina HiSeq (or comparable)
 reads to a reference genome right in the moment when they are produced.
 This means, read mapping is finished as soon as the sequencer is
 finished generating the data.

Package: hime
Description-md5: b489a47e12b18afca9a0bec788eaf446
Description-en: GTK+ based input method for Chinese users
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 To use HIME, the correspondence immodule packages also need to be installed.
 They are:
 .
  * hime-gtk2-immodule for GTK2
  * hime-gtk3-immodule for GTK3
  * hime-qt5-immodule for Qt5

Package: hime-anthy
Description-md5: 71823448038a74a59342003eddcc0b5e
Description-en: support library to use Anthy in HIME
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 This package is the support library to use anthy in HIME.

Package: hime-chewing
Description-md5: 87450af7291e595fe0ef7c92b1b5e213
Description-en: support library to use Chewing in HIME
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 This package is the support library to use chewing in HIME.

Package: hime-data
Description-md5: a10a92078a29a5c3ad9fecec03c0526b
Description-en: icons, locales and scripts for HIME
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 This package contains icons, locales, scripts and other architecture-
 independent things.

Package: hime-gtk2-immodule
Description-md5: af766b32a59da4ae3b2b3d1f9b813e25
Description-en: GTK2 input method module with HIME as backend
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 This package is the GTK2 input method module (immodule) for HIME.

Package: hime-gtk3-immodule
Description-md5: a15c640a29c295b86bf001ff6ad4adac
Description-en: GTK3 input method module with HIME as backend
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 This package is the GTK3 input method module (immodule) for HIME.

Package: hime-qt5-immodule
Description-md5: 5d8d8ea6157015f58fb12dedc22207e9
Description-en: Qt5 input method module with HIME as backend
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 This package is the Qt5 input method module (immodule) for HIME.

Package: hime-tables
Description-md5: cf36c1068d52cc0eafa1012b8fe40277
Description-en: input method tables for HIME
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 This package contains architecture-dependent input method tables.

Package: hinawa-utils
Description-md5: 086ca1fa0ac09f4ebd7b541912665739
Description-en: Utilities to control Audio and Music units on FireWire (IEEE1394)
 hinawa-utils are utilities to control Audio and Music units on
 FireWire (IEEE1394) and it contains below command line tools.
 .
  * hinawa-bebob-parser
     - Plug structure parser for BeBoB firmware
  * hinawa-config-rom-printer
     - A lexer/parser of configuration ROM on FireWire
  * hinawa-dg00x-cui
     - CLI tool for functionalities of Digidesign Digi 00x family
  * hinawa-dice-common-cui
     - CLI tool for Dice common functionalities
  * hinawa-dice-extension-cui
     - CLI tool for Dice extended functionalities
  * hinawa-fireworks-cui
     - CLI tool for Echo Audio Fireworks module
  * hinawa-focusrite-saffirepro-io-cui
     - CLI tool for Focusrite SaffirePro IO series
  * hinawa-griffin-firewave-cui
     - CLI tool for Griffin Firewave
  * hinawa-lacie-speakers-cui
     - CLI tool for Lacie FireWire speakers
  * hinawa-maudio-bebob-cui
     - CLI tool for M-Audio FireWire series based on BeBoB solution
  * hinawa-motu-common-cui
     - CLI tool for MOTU FireWire series
  * hinawa-oxfw-generic-cui
     - CLI tool for OXFW generic functionalities
  * hinawa-tascam-fireone-cui
     - CLI tool for Tascam FireOne
  * hinawa-tascam-fw-console-cui
     - CLI tool for console models of Tascam FireWire series (FW1082/1884)
  * hinawa-tascam-fw-rack-cui
     - CLI tool for rack models of Tascam FireWire series (FW1804)
  * hinawa-yamaha-terratec-cui
     - CLI tool for Yamaha GO series and Terratec PHASE series

Package: hindent
Description-md5: ae01987ef3149ba1763410ef49cf638f
Description-en: Extensible Haskell pretty printer
 hindent reindents Haskell source in the style of
 Johan Tibell.

Package: hinge
Description-md5: 796f6bb30e0dd11acb73bb5eeeaf4c08
Description-en: long read genome assembler based on hinging
 HINGE is a genome assembler that seeks to achieve optimal repeat resolution
 by distinguishing repeats that can be resolved given the data from those that
 cannot. This is accomplished by adding “hinges” to reads for constructing an
 overlap graph where only unresolvable repeats are merged. As a result, HINGE
 combines the error resilience of overlap-based assemblers with
 repeat-resolution capabilities of de Bruijn graph assemblers.

Package: hippomocks
Description-md5: 1520328de66e36276b0d0a8d86184884
Description-en: C++ mocking framework
 An easily usable mocking framework for C++ that allows you to
 instantiate derived typeswhilst making your test,
 without explicitly writing classes to do so.

Package: hisat2
Description-md5: 5f7b7bae1f6d1899a67fb91260ec0d0f
Description-en: graph-based alignment of short nucleotide reads to many genomes
 HISAT2 is a fast and sensitive alignment program for mapping next-generation
 sequencing reads (both DNA and RNA) to a population of human genomes (as well
 as against a single reference genome). Based on an extension of BWT for graphs
 a graph FM index (GFM) was designed and implementd. In addition to using
 one global GFM index that represents a population of human genomes, HISAT2
 uses a large set of small GFM indexes that collectively cover the whole genome
 (each index representing a genomic region of 56 Kbp, with 55,000 indexes
 needed to cover the human population). These small indexes (called local
 indexes), combined with several alignment strategies, enable rapid and
 accurate alignment of sequencing reads. This new indexing scheme is called a
 Hierarchical Graph FM index (HGFM).

Package: hitch
Description-md5: e2b798858be2c6540890c8462a808364
Description-en: scalable TLS proxy
 Hitch is a libev-based high performance SSL/TLS proxy, used for terminating
 HTTPS traffic in front of origin servers.
 .
 It features:
   * TLS 1.0, 1.1 and 1.2 support.
   * SNI, with and without wildcard certificates
   * Support for HAproxy's PROXY protocol

Package: hitori
Description-md5: 0ae2474b4abbd88e058851a033144dc4
Description-en: logic puzzle game similar to sudoku
 Hitori puzzles give you a grid filled with numbers. The goal is to
 remove numbers such that no row or column contains duplicates.
 .
 This implementation has undo/redo support, can give hints, and
 generates puzzles up to 10x10 cells large.

Package: hivelytracker
Description-md5: e0318eae9593f8b80aefc595cfc6390a
Description-en: Music tracker for AHX and HVL formats
 This is a music tracker program based upon the AHX. The
 original dates back to Amiga.

Package: hledger
Description-md5: 6b8a5eb2f99f3234c9f443348a3622a9
Description-en: command-line double-entry accounting program
 hledger is a Haskell port and friendly fork of John Wiegley's ledger
 accounting tool. This package provides the main hledger command-line
 tool; see the other hledger-* packages for web and curses interfaces
 and chart generation. hledger aims to be a reliable, practical
 financial reporting tool for day-to-day use, and also a useful
 library for building financial apps in haskell. Given a plain text
 file describing transactions, of money or any other commodity,
 .
 hledger will print the chart of accounts, account balances, or
 transactions you're interested in. It can also help you add
 transactions to the journal file, or convert CSV data from your bank.

Package: hledger-interest
Description-md5: dbedb89121bfea822677ecf5adf207d7
Description-en: interest computing for (h)ledger
 hledger-interest is a small command-line utility based on Simon Michael's
 hledger library. Its purpose is to compute interest for a given ledger
 account. Using command line flags, the program can be configured to use
 various schemes for day-counting, such as act/act, 30/360, 30E/360, and
 30/360isda. Furthermore, it supports a (small) number of interest schemes,
 i.e. annual interest with a fixed rate and the scheme mandated by the
 German BGB288 (Basiszins für Verbrauchergeschäfte). Extending support for
 other schemes is fairly easy, but currently requires changes to the source
 code.

Package: hledger-ui
Description-md5: eda458f9743446a0c681d8a595df1adb
Description-en: curses-style user interface for the hledger accounting tool
 This is hledger's curses-style interface.
 It is simpler and more convenient for browsing data than the
 command-line interface, but lighter and faster than hledger-web.

Package: hledger-web
Description-md5: d5107a553f8485e6c6e7c7a81cae87be
Description-en: web interface for the hledger accounting tool
 hledger is a haskell port and friendly fork of John Wiegley's ledger
 accounting tool. This package provides a web interface as an alternative
 to the hledger command line interface.

Package: hlins
Description-md5: a1a956a2d4dda7940e57b8d9a6311f56
Description-en: Insert URLs into html documents
 Hlins is a tool to insert hypertext links into HTML documents,
 using a database with entries of the form "name = url". It is
 designed for inserting URLs of real persons: it knows about
 abbreviations of first and middle names and tolerates dropping
 the second part of a composite last name.

Package: hlint
Description-md5: 471310f3151a54c611a86fa0e7ebdafa
Description-en: Haskell source code suggestions
 HLint gives suggestions on how to improve your source code. It can either
 print them directly, or generate a colored HTML output.

Package: hmmer
Description-md5: 1a932e8cd9001f44e8b56d46f8c58f4b
Description-en: profile hidden Markov models for protein sequence analysis
 HMMER is an implementation of profile hidden Markov model methods for
 sensitive searches of biological sequence databases using multiple sequence
 alignments as queries.
 .
 Given a multiple sequence alignment as input, HMMER builds a statistical
 model called a "hidden Markov model" which can then be used as a query into
 a sequence database to find (and/or align) additional homologues of the
 sequence family.

Package: hmmer-doc
Description-md5: 3d416aeeca0571401b45f82c8190001c
Description-en: profile hidden Markov models for protein sequence analysis (docs)
 HMMER is an implementation of profile hidden Markov model methods for
 sensitive searches of biological sequence databases using multiple sequence
 alignments as queries.
 .
 Given a multiple sequence alignment as input, HMMER builds a statistical
 model called a "hidden Markov model" which can then be used as a query into
 a sequence database to find (and/or align) additional homologues of the
 sequence family.
 .
 This package contains the documentation and a tutorial for the hmmer package.

Package: hmmer-examples
Description-md5: 7e1828cffe3d3ca4e64cc862f962c422
Description-en: profile hidden Markov models for protein sequence analysis (examples)
 HMMER is an implementation of profile hidden Markov model methods for
 sensitive searches of biological sequence databases using multiple sequence
 alignments as queries.
 .
 Given a multiple sequence alignment as input, HMMER builds a statistical
 model called a "hidden Markov model" which can then be used as a query into
 a sequence database to find (and/or align) additional homologues of the
 sequence family.
 .
 This package contains example files to test the hmmer package.

Package: hmmer2
Description-md5: 1a932e8cd9001f44e8b56d46f8c58f4b
Description-en: profile hidden Markov models for protein sequence analysis
 HMMER is an implementation of profile hidden Markov model methods for
 sensitive searches of biological sequence databases using multiple sequence
 alignments as queries.
 .
 Given a multiple sequence alignment as input, HMMER builds a statistical
 model called a "hidden Markov model" which can then be used as a query into
 a sequence database to find (and/or align) additional homologues of the
 sequence family.

Package: hmmer2-doc
Description-md5: d7af4f289694abe40ce3484eb9fc1f1e
Description-en: profile hidden Markov models for protein sequence analysis (docs)
 HMMER is an implementation of profile hidden Markov model methods for
 sensitive searches of biological sequence databases using multiple sequence
 alignments as queries.
 .
 Given a multiple sequence alignment as input, HMMER builds a statistical
 model called a "hidden Markov model" which can then be used as a query into
 a sequence database to find (and/or align) additional homologues of the
 sequence family.
 .
 This package contains documents and example files for the hmmer2 package.

Package: hmmer2-pvm
Description-md5: 45362c53c5467874f3923bd80d5ca746
Description-en: HMMER programs with PVM (Parallel Virtual Machine) support
 HMMER is an implementation of profile hidden Markov model methods for
 sensitive searches of biological sequence databases using multiple sequence
 alignments as queries.
 .
 Given a multiple sequence alignment as input, HMMER builds a statistical
 model called a "hidden Markov model" which can then be used as a query into
 a sequence database to find (and/or align) additional homologues of the
 sequence family.
 .
 This package contains HMMER programs compiled with PVM support.

Package: hnb
Description-md5: 9a1d5d236fb11c0f7a56b570de7e06b8
Description-en: hierarchical notebook
 Hnb is an ncurses program to organize many kinds of data in one place, for
 example addresses, todo lists, ideas, book reviews or to store snippets of
 brainstorming, to make a structured packing list or just to take random notes.
 It can export ascii, html and xml, supports todo checkboxes, checkbox trees
 with percentages, priorities, preferences, searching and more.
 .
 Hnb is orphaned upstream. Though the current Debian maintainer tries to keep
 hnb in a usable and releasable state he does not plan to add many new
 features. If you are interested in hnb and want to become its new upstream
 maintainer please contact the original author and the Debian maintainer.
 .
 Hnb does currently not support UTF-8.

Package: ho22bus
Description-md5: f466bdf2575eb34ddb9d838e663d776d
Description-en: simple application to memorize words
 This application is for second language learners to memorize words.
 It is user-friendly and light-weighted.
 .
 Features included:
  * New words collection
  * Multi-dictionary support
  * Games to help memorizing

Package: ho22bus-data
Description-md5: 6734894cc5465684f150e0a0d9ff3662
Description-en: common data for ho22bus
 This application is for second language learners to memorize words.
 It is user-friendly and light-weighted.
 .
 Features included:
  * New words collection
  * Multi-dictionary support
  * Games to help memorizing
 .
 This package provides common data files for ho22bus.

Package: hobbit-plugins
Description-md5: 2c6f2d39413070bd5502bf5ec886efb9
Description-en: plugins for the Xymon network monitor
 This package provides plugins for the Xymon network monitor.
 (Formerly called Hobbit.)
 .
 Included client plugins:
  * apt - check for outstanding updates (uses aptitude and dctrl-tools
    if installed)
  * backuppc - check for errors reported by BackupPC servers (needs
    backuppc and sudo)
  * cciss - check hardware RAIDs in HP ProLiant servers (needs
    cciss-vol-status and sudo)
  * cntrk - check the size of the Netfilter Connection Tracking table.
    (needs libfile-slurp-perl)
  * dirtyetc - check for conffiles which are modified compared to
    Debian's defaults but should not be (needs sudo and debsums,
    supports dphys-config)
  * dirtyvcs - check for dirty VCS working copies (supports Git,
    Mercurial, Bzr and Subversion, needs appropriate VCS packages
    and libfile-which-perl installed)
  * dnsq - checks for working DNS on clients (needs libnet-dns-perl
    and libfile-slurp-perl)
  * entropy - check kernel entropy pool size
  * ipmi - read IPMI sensors and event log (needs ipmitool)
  * libs - check for running processes with upgraded libraries (needs
    binutils, lsof, sudo, libyaml-tiny-perl, libfile-slurp-perl and
    libsort-naturally-perl)
  * mailman - checks the existence of Mailman shunt files and aged
    queue files. (needs sudo)
  * mdstat - check for failed or resyncing RAID devices
  * megaraid - check state of LSI MegaRAID SAS controllers (uses
    xynagios, see below, and additionally needs megaclisas-status from
    https://hwraid.le-vert.net/wiki/DebianPackages and sudo)
  * mq - check (postfix's) mail queue (needs libtimedate-perl)
  * misc - meta plugin for running series of scripts (needs
    libipc-run-perl)
  * net - check network interface states (needs libfile-which-perl,
    libfile-slurp-perl, libipc-run-perl, libyaml-tiny-perl, either
    iproute2 or net-tools and optionally ethtool).
  * ntpq - check the ntpd daemon synchronization status (needs ntp)
  * postgres - statistics graphs for PostgreSQL databases (needs
    libdbd-pg-perl)
  * sftbnc - check if the local Postfix MTA has soft_bounce enabled.
  * temp - simple temperature monitor (needs libfile-which-perl and
    libyaml-tiny-perl; depending on the hardware to monitor it optionally
    also needs hddtemp, smartmontools, libxml-twig-perl, nvidia-smi
    (non-free), sudo)
  * yum - check for outstanding updates on RPM based distros (not
    usable on Debian and derivatives).
 .
 Included server plugins:
  * aptdiff - monitor list of installed packages in host pools
  * conn6 - check IPv6 connectivity (needs fping)
  * ircbot - relay status changes to IRC (needs
    libpoe-component-irc-perl)
  * pgbouncer - monitor pool usage and traffic
  * tftp - checks TFTP servers by downloading a file from them
    (needs libnet-tftp-perl)
 .
 Helper software:
  * Hobbit.pm: Perl module for writing plugins
  * xynagios: adaptor for running Nagios plugins with Xymon (needs
    libipc-run-perl)

Package: hodie
Description-md5: e300479a03383e2068c1db8d7020015b
Description-en: prints the date in Latin
 hodie has the same functionality as the date (1) program, only it prints
 it in grammatically correct Latin

Package: hoichess
Description-md5: 06ee5840909ea31497d5cf3a18ad2f80
Description-en: xboard compatible chess engine to play chess with
 chess engine compatible with xboard, but may also be used
 stand-alone, via terminal. Hoichess is written in C++ for
 GNU/Linux systems, but should work on most other Unix like
 systems. It provides also a xiangqi ("Chinese chess") playing
 program.

Package: hol-light
Description-md5: 4a69d13e99a5d21da7555a1ffc45abd5
Description-en: HOL Light theorem prover
 HOL Light is an interactive theorem prover for Higher-Order Logic
 with a very simple logical core running in an OCaml toplevel. HOL
 Light is famous for the verification of floating-point
 arithmetic as well as for the Flyspeck project, which aimed at the
 formalization of Tom Hales' proof of the Kepler conjecture.

Package: hol88
Description-md5: 856cec9d207e6303a374714c344fc2a5
Description-en: Higher Order Logic, system image
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: hol88-contrib-help
Description-md5: d78dae76f790d231cd99bcb9d7919b79
Description-en: Higher Order Logic, user contributed online help files
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: hol88-contrib-source
Description-md5: ecaaa8ef767bf5b313a2fac994e4dd57
Description-en: Higher Order Logic, user contributed source
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: hol88-doc
Description-md5: 4dedd761e4ac74cd5822cf0ab6bc3ed2
Description-en: Documentation for hol88
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: hol88-help
Description-md5: 3cbb7591c156d7493454903e09a5e3de
Description-en: Higher Order Logic, online help files
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: hol88-library
Description-md5: ed59d638b3f8235fcf108a92c86aacfe
Description-en: Higher Order Logic, binary library modules
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: hol88-library-help
Description-md5: b894edd3e0bf365898f7846ac6e6d35e
Description-en: Higher Order Logic, library online help files
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: hol88-library-source
Description-md5: e521c5a5140872f1eacc44ebd11ff5bc
Description-en: Higher Order Logic, library source files
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: hol88-source
Description-md5: 27c7f6d4c5f2c1b835d23e7c80a45f30
Description-en: Higher Order Logic, source files
 The HOL System is an environment for interactive theorem proving in a
 higher-order logic. Its most outstanding feature is its high degree
 of programmability through the meta-language ML. The system has a
 wide variety of uses from formalizing pure mathematics to
 verification of industrial hardware. Academic and industrial sites
 world-wide are using HOL.

Package: holes
Description-md5: aa67c5b7752624388f760e57a76c06ba
Description-en: find runs of zero bytes
 holes looks for runs of zero bytes (a.k.a. holes) in the specified input files
 (or the standard input), and prints the start addresses (in hexadecimal) as
 well as the lengths (in decimal). When multiple input files are specified,
 holes prefixes each line with the file name.
 .
 It can be used with fallocate(1), truncate(1), or virt-sparsify(1).

Package: hollywood
Description-md5: 768f44c76220ea2b35f855ea34c8bc35
Description-en: fill your console with Hollywood melodrama technobabble
 This utility will split your console into a multiple panes of genuine
 technobabble, perfectly suitable for any Hollywood geek melodrama.
 It is particularly suitable on any number of computer consoles in the
 background of any excellent schlock technothriller.

Package: holotz-castle
Description-md5: a9b3f493ee84468c8deae8cf48234f10
Description-en: platform game with high doses of mystery
 A great mystery is hidden beyond the walls of Holotz's Castle. Will
 you be able to help Ybelle and Ludar to escape alive from the castle?
 Test your dexterity with this tremendously exciting platform game!

Package: holotz-castle-data
Description-md5: 86ffc54928bd804e4e1d589a73f1d206
Description-en: platform game with high doses of mystery - data files
 This package contains data files for the Holotz's Castle game.
 .
 Included are the milanb and holotz-castle maps.

Package: holotz-castle-editor
Description-md5: d80b595423a4a4f7e505b8b2dfdff804
Description-en: platform game with high doses of mystery - level editor
 This package contains the level editor for the Holotz's Castle game.
 .
 The level editor allows you to modify or create new maps and levels
 for Holotz's Castle.

Package: homebank
Description-md5: 5ebad0a03a9b1f70802f7bd53a61999b
Description-en: Manage your personal accounts at home
 HomeBank is a fast, simple and easy to use program to manage your personal
 accounting.
 It has a lot of features such as easy analysis with  graphical charts
 (statistics, budget, overdrawn, car cost), useful reports ("Where your
 money goes", "Trend Time Report" ), multi-accounts  support, budget
 management, reminder, import from  OFX/QFX-CSV files, visual status of
 operations. It is based on GTK2.

Package: homebank-data
Description-md5: ec2b8b88fba1a08d316f97e42982a74e
Description-en: Data files for homebank
 HomeBank is a fast, simple and easy to use program to manage your personal
 accounting.
 It has a lot of features such as easy analysis with  graphical charts
 (statistics, budget, overdrawn, car cost), useful reports ("Where your
 money goes", "Trend Time Report" ), multi-accounts  support, budget
 management, reminder, import from  OFX/QFX-CSV files, visual status of
 operations. It is based on GTK2.
 .
 This package contains the architecture independent portions of homebank

Package: homer-api
Description-md5: 9dcaa022f54bfa69a5852e3e86a01d65
Description-en: HOMER Capture Node REST API
 HOMER5 a robust, carrier-grade, scalable SIP Capture system and
 Monitoring Application with HEP/HEP2, IP Proto4 (IPIP) encapsulation
 & port mirroring/monitoring support right out of the box, ready to
 process & store insane amounts of signaling with instant search,
 end-to-end analysis and drill-down capabilities for ITSPs,
 VoIP Providers and Trunk Suppliers using SIP signaling.
 .
 This package provides the API for handling data aggregated from
 the capture agent(s).

Package: homer-api-mysql
Description-md5: ab4410b067012ac85c2f9a539b16e1ed
Description-en: HOMER Capture Node REST API
 HOMER5 a robust, carrier-grade, scalable SIP Capture system and
 Monitoring Application with HEP/HEP2, IP Proto4 (IPIP) encapsulation
 & port mirroring/monitoring support right out of the box, ready to
 process & store insane amounts of signaling with instant search,
 end-to-end analysis and drill-down capabilities for ITSPs,
 VoIP Providers and Trunk Suppliers using SIP signaling.
 .
 This package provides the MySQL-specific parts of the API.

Package: homer-api-postgresql
Description-md5: 3c07dd386e116970ba48ce8e760ceac7
Description-en: HOMER Capture Node REST API
 HOMER5 a robust, carrier-grade, scalable SIP Capture system and
 Monitoring Application with HEP/HEP2, IP Proto4 (IPIP) encapsulation
 & port mirroring/monitoring support right out of the box, ready to
 process & store insane amounts of signaling with instant search,
 end-to-end analysis and drill-down capabilities for ITSPs,
 VoIP Providers and Trunk Suppliers using SIP signaling.
 .
 This package provides the PostgreSQL-specific parts of the API.

Package: homesick
Description-md5: 4b76e30eff5687528c99ec4903b0f9af
Description-en: keep your dotfiles (configs) in git
 homesick allows one to keep some set of files from home directory in separate
 git repository.
 User can have several repositories attached to your home directory with several
 sets of configs: one repository for console tools, another for GUI, third for
 some development utilities.
 .
 homesick is similar to vsch, another tool for managing config files.

Package: hoogle
Description-md5: 400b195870cbd4ce25cd58acc77000e0
Description-en: Haskell API Search for Debian system
 Hoogle is a Haskell API search engine, which allows you to
 search many standard Haskell libraries by either function name,
 or by approximate type signature.
 .
 This package contains the hoogle command.

Package: hopenpgp-tools
Description-md5: 80c0a54dc45e5b0402ac1060a589f9b1
Description-en: hOpenPGP-based command-line tools
 These utilities, hot, hokey, and hkt, are works in progress.  They
 provide some OpenPGP-related functionality.

Package: horgand
Description-md5: d83d80149b987f6895ada70ce99fc4b5
Description-en: JACK capable organ softsynth
 Horgand is a JACK capable organ client with presets and some effects
 incorporated. It generates the sound in real time like a FM
 synthesizer. Due this, you can change the frecuency of all the drawars
 and add some special effects. It features auto-accompaniment, looped
 drums, and a bass line in a wave table way.

Package: horgand-data
Description-md5: 9454c5ff7c5b29bff94920c4f484d4a8
Description-en: JACK capable organ softsynth (data files)
 Horgand is a JACK capable organ client with presets and some effects
 incorporated. It generates the sound in real time like a FM
 synthesizer. Due this, you can change the frecuency of all the drawars
 and add some special effects. It features auto-accompaniment, looped
 drums, and a bass line in a wave table way.
 .
 This package contains architecture-independent data files for horgand.

Package: horizon-eda
Description-md5: 6f4f6ca4fd2de09fe926d79150d955e1
Description-en: EDA layout and schematic application
 Horizon is an Electronic Design Automation (EDA) tool for circuit
 board design. It manages the full design flow from schematic entry
 to gerber export. The main differentiator from KiCAD is a different
 (more sane) part library design. It is quite new software in 2018
 so may have rough edges but is already very capable.
 .
 Notable features:
  * Sane library management
  * Unified editor for everything from symbol to board
  * Netlist-aware schematic editor
  * KiCad's awesome interactive router
  * Lag- and glitch-free rendering
  * Rule-based DRC
  * Undo/redo
  * Copy/paste for some objects
  * Builds and runs on Linux and Windows

Package: horst
Description-md5: bb70bc6d3317152762fb2df6e481ecc2
Description-en: Highly Optimized Radio Scanning Tool
 horst is a small, lightweight IEEE802.11 WLAN analyzer with a text
 interface. Its basic function is similar to tcpdump, Wireshark or
 Kismet, but it's much smaller and shows different, aggregated
 information which is not easily available from other tools. It is
 made for debugging wireless LANs with a focus on getting a quick
 overview instead of deep packet inspection and has special features
 for Ad-hoc (IBSS) mode and mesh networks. It can be useful to get a
 quick overview of what's going on all wireless LAN channels and to
 identify problems.
 .
  * Shows signal (RSSI) values per station, something hard to get,
    especially in IBSS mode
  * Calculates channel utilization ("usage") by adding up the amount of
    time the packets actually occupy the medium
  * "Spectrum Analyzer" shows signal levels and usage per channel
  * Graphical packet history, with signal, packet type and physical rate
  * Shows all stations per ESSID and the live TSF per node as it is
    counting
  * Detects IBSS "splits" (same ESSID but different BSSID – this is/was
    a common driver problem on IBSS mode)
  * Statistics of packets/bytes per physical rate and per packet type
  * Has some support for mesh protocols (OLSR and batman)
  * Can filter specific packet types, operating modes, source addresses
    or BSSIDs
  * Client/server support for monitoring on remote nodes
  * Automatically adds and removes monitor interface
 .
 horst is a Linux program and can be used on any wireless LAN interface
 which supports monitor mode.

Package: hostapd
Description-md5: ccde30443fe7e1cdca9a1a088bb04b0e
Description-en: IEEE 802.11 AP and IEEE 802.1X/WPA/WPA2/EAP Authenticator
 Originally, hostapd was an optional user space component for Host AP
 driver. It adds more features to the basic IEEE 802.11 management
 included in the kernel driver: using external RADIUS authentication
 server for MAC address based access control, IEEE 802.1X Authenticator
 and dynamic WEP keying, RADIUS accounting, WPA/WPA2 (IEEE 802.11i/RSN)
 Authenticator and dynamic TKIP/CCMP keying.
 .
 The current version includes support for other drivers, an integrated
 EAP authenticator (i.e., allow full authentication without requiring
 an external RADIUS authentication server), and RADIUS authentication
 server for EAP authentication.
 .
 hostapd works with the following drivers:
 .
  * mac80211 based drivers with support for master mode [linux]
  * Host AP driver for Prism2/2.5/3 [linux]
  * Driver interface for FreeBSD net80211 layer [kfreebsd]
  * Any wired Ethernet driver for wired IEEE 802.1X authentication.

Package: hostfiles
Description-md5: dfe73f1a3607b4f54a0e43bff03f5210
Description-en: simple script to manage multiple sets of hostfiles
 hostfiles is a command-line tool
 which will read the hostfile fragments
 specified to be enabled from /etc/hostfiles/<fragment_name>
 and add them to /etc/hosts.
 .
 It will remove any fragments from /etc/hosts
 that are specified to be disabled.

Package: hostsed
Description-md5: 23e427945e147d46ffc3cdecee645e93
Description-en: Simple CLI tool for hosts file edition
 Tool for editing hosts file(default /etc/hosts), you can add or delete a DNS
 entry via command line shell.
 Hotsed provides an idemponent command line experience with its
 'add' and 'delete' commands avoiding duplicated or missing entries in the
 hosts file.

Package: hoteldruid
Description-md5: 379fc2dcdd44b2abf11bde3bed714526
Description-en: web-based property management system for hotels or B&Bs
 HotelDruid is designed to make hotel rooms, bed and breakfast apartments,
 or any other kind of daily rental easy to manage from a web browser.
 .
 Main features:
  * automatic assignment of reservations to rooms by user-defined rules;
  * creation of public web pages displaying room availability, etc.;
  * saving, printing, and emailing of documents and invoices;
  * electronic point of sale;
  * management of groups and privileges for multi-user operation;
  * generation of statistical reports.
 .
 It uses an SQLite database by default but can be configured for use
 with MySQL or PostgreSQL.

Package: hothasktags
Description-md5: 882a19319c52444c55449b9fb8a30823
Description-en: Haskell ctags generator
 hothasktags generates ctags files for Haskell, with knowledge of
 import lists and qualified imports. It provides a smart go-to-definition
 for Vim, that almost always gets it right in the presence of multiple names
 from different modules.

Package: hotspot
Description-md5: 8da7c10226b50250fb4462d9b8256d26
Description-en: GUI tool for performance analysis
 hotspot project is a KDAB R&D effort to create a
 standalone GUI tool for performance data. This tool
 now only support perf output data. But is intended to
 support various other performance data formats in the
 future.

Package: hotswap
Description-md5: 97eecea31b2108bcd08e79657a109c51
Description-en: (de)register hotswappable IDE hardware
 Hotswap is a utility to register and deregister hotswappable IDE
 hardware. It is written to be used on Laptops with some sort of
 hardware bay to remove the module from the machine without rebooting
 it. eg. Dell Laptops.
 .
 Note that this utility is not required to insert or remove batteries
 or floppy disk drives; only for IDE devices.
 .
 This is a metapackage that Depends on both the command line tool
 and the graphical front-end to allow seamless upgrades.

Package: hotswap-gui
Description-md5: ca0f0aafd7862d14aab0d0b5702019c3
Description-en: (de)register hotswappable IDE hardware (GUI front-end)
 Hotswap is a utility to register and deregister hotswappable IDE
 hardware. It is written to be used on Laptops with some sort of
 hardware bay to remove the module from the machine without rebooting
 it. eg. Dell Laptops.
 .
 Note that this utility is not required to insert or remove batteries
 or floppy disk drives; only for IDE devices.
 .
 This package includes the Motif front-end to the command line tool.

Package: hotswap-text
Description-md5: bb5cbdf1d637d1356e6685d986c50fee
Description-en: (de)register hotswappable IDE hardware (command line tool)
 Hotswap is a utility to register and deregister hotswappable IDE
 hardware. It is written to be used on Laptops with some sort of
 hardware bay to remove the module from the machine without rebooting
 it. eg. Dell Laptops.
 .
 Note that this utility is not required to insert or remove batteries
 or floppy disk drives; only for IDE devices.
 .
 This package includes the command line tool.

Package: hovercraft
Description-md5: f85af63704e1837f539352369040c8ee
Description-en: generator for impress.js presentations from reStructuredText
 Hovercraft provides the convenience of reStructuredText together
 with the capability of impress.js for spectacular presentations.
 With that, slides for fancy presentations including pan, zooming,
 and even 3d rotating are written as reST sources and then get generated
 a into HTML output which a standard browser is capable to display.

Package: how-can-i-help
Description-md5: 00e4a0303254ba410bb16083bafe8357
Description-en: show opportunities for contributing to Debian
 how-can-i-help hooks into APT to list opportunities for contributions to
 Debian (orphaned packages, bugs tagged 'newcomer') for packages installed
 locally, after each APT invocation. It can also be invoked directly, and
 then lists all opportunities for contribution (not just the new ones).

Package: howdoi
Description-md5: 77bd810ea7cc1739ddda396f3b12c39f
Description-en: command line tool for instant coding answers
 howdoi will search Google for StackOverflow answers and output you the most
 voted one. Multiple output options are supported, such as providing just a
 link to the answer, providing multiple answers for the same question, etc.

Package: howm
Description-md5: 16cef9fd6c722f25aae87bb6ecff75fb
Description-en: Note-taking tool on Emacs
 Howm(Hitori Otegaru Wiki Modoki) is a note-taking tool on Emacs.
 .
 It is similar to emacs-wiki; you can enjoy hyperlinks and full-text
 search easily. It is not similar to emacs-wiki; it can be combined
 with any format.

Package: hoz
Description-md5: 4505cde465db6f86c3f6b1f0ba7effbb
Description-en: file splitter that uses the hacha file format
 HOZ is a file splitter, which uses the same file format as the popular
 'Hacha' program.

Package: hoz-gui
Description-md5: 005f69f6bfb1c22528d18c1f252c17ab
Description-en: file splitter that uses the hacha file format
 HOZ is a file splitter, which uses the same file format as the popular
 'Hacha' program.
 .
 This package includes the GUI interface for the program.

Package: hp-ppd
Description-md5: 1eb9301dd41595de93bbd5b5c2678d05
Description-en: HP Postscript Printer Definition (PPD) files
 Because PostScript is just a page description language,
 there is a need to provide a mechanism for a print spooler to
 customize the PostScript Job to the actual printer device.
 .
 A PPD (PostScript Printer Definitions) specify the device specific
 PostScript commands needed to utilize printer features (such as
 printing quality, paper tray, duplex printing).
 .
 This package contains some PPDs for HP printers that are not in
 package linuxprinting.org-ppds

Package: hp-search-mac
Description-md5: 119d8f6356747771177879a288afc728
Description-en: Search for a MAC address on HP switches
 This package contains a small utility that can query HP switches for their
 connection table. It then allow you to search for a MAC address and tell you
 where it is physically connected (best match first).
 .
 The functionality is similar to traceroute but on Ethernet level and only
 for HP switches.

Package: hp2xx
Description-md5: 83f406e2c0fb8111ef534cced67f5661
Description-en: HPGL converter into some vector- and raster formats
 HP2XX reads HPGL ASCII source files, interprets them, and converts them
 into either another vector-oriented format or one of several rasterfile
 formats. Currently, its HPGL parser recognizes a subset of the HP 7550A
 command set. Some high-level functions are missing. Also, only some of the
 fixed space vector fonts and none of the variable space arc fonts are
 supported. Beside these limitations, hp2xx has proven to work with many
 HP-GL sources without any trouble.

Package: hp48cc
Description-md5: 0b142028f3ff1a2ae4cbcfc3f5108ec0
Description-en: C-like compiler which produces HP48 RPN
 `hp48cc' is a C-like compiler that translates the input code into the
 HP48 RPN language.  The language recognized by the compiler is only a small
 subset of the C language, with some non-standard extensions, but powerful
 enough to write complex programs simply.

Package: hpack
Description-md5: 21b488bf2ebf7b003d0ec6ef15d76927
Description-en: alternative format for Haskell packages
 hpack is a tool to create the usual Haskell packaging metadata, i.e. the
 .cabal file, from a YAML description of the program, with some fields field in
 by inferred defaults and other additional conveniences.

Package: hpanel
Description-md5: c0029ec63cd83607dc331aa3fb76cdc9
Description-en: minimalist panel for X
 Hpanel is hacked version of fspanel, a small panel that lists your
 windows and allows you to switch workspaces. It requires a window
 manager that is compliant with the NETWM specification. It works
 nicely with pekwm and aewm++, and handles maximized windows better
 than fspanel.

Package: hpcc
Description-md5: 9ba9f4d0258b754f36a6b86c90697ea9
Description-en: HPC Challenge benchmark
 The High Performance Computing (HPC) Challenge benchmark runs a suite
 of 7 tests that measure the performance of CPU, memory and network for
 HPC clusters.  Amongst others, it includes the High-Performance LINPACK
 (HPL) benchmark, used by the Top500 ranking (http://www.top500.org/).

Package: hpijs-ppds
Description-md5: 979d2d553e8b615deb4af0e078d0def7
Description-en: HP Linux Printing and Imaging - HPIJS PPD files
 This package contains PPD (printer definition) files for the
 printers supported through the HP Linux Printing and Imaging
 System HPIJS driver.
 .
 These PPDs should work well with the matching versions of HPLIP
 and HPIJS, but may not be the most up-to-date PPDs available for
 a given printer.  See http://www.openprinting.org/ for the latest
 version of the PPDs (which are not guaranteed to work well).

Package: hping3
Description-md5: 38162e85685024dfa6dee678aff60576
Description-en: Active Network Smashing Tool
 hping3 is a network tool able to send custom ICMP/UDP/TCP packets and
 to display target replies like ping does with ICMP replies. It handles
 fragmentation and arbitrary packet body and size, and can be used to
 transfer files under supported protocols. Using hping3, you can test
 firewall rules, perform (spoofed) port scanning, test network
 performance using different protocols, do path MTU discovery, perform
 traceroute-like actions under different protocols, fingerprint remote
 operating systems, audit TCP/IP stacks, etc.  hping3 is scriptable
 using the Tcl language.

Package: hplip-gui
Description-md5: 0e8b9881942aaafee62df05b01b6d0d0
Description-en: HP Linux Printing and Imaging - GUI utilities (Qt-based)
 The HP Linux Printing and Imaging System provides full support for
 printing on most HP SFP (single function peripheral) inkjets and many
 LaserJets, and for scanning, sending faxes and for photo-card access on
 most HP MFP (multi-function peripheral) printers.
 .
 This package contains utilities with graphical user interface (GUI) for
 HPLIP: HP Toolbox, HP Fax, ...
 .
 Note that all GUI utilities are based on the Qt GUI environment. There
 are currently no equivalent utilities based on GTK+.

Package: hprof-conv
Description-md5: c3a05970679ce80e38ac5278792f80a6
Description-en: HPROF Converter
 The hprof-conv tool converts the HPROF file that is generated by the Android
 SDK tools to a standard format so you can view the file in a profiling tool of
 your choice.

Package: hpsockd
Description-md5: 544101f70a2e02405d1f66cb684b1e08
Description-en: HP SOCKS server
 Hpsockd is yet-another SOCKS server, with both version 5 (RFC1928 and
 others) as well as version 4 support, originally written at
 Hewlett-Packard Company.

Package: hsail-tools
Description-md5: 84a6569ea3a88a3ccfcb81047b10381a
Description-en: tools for parsing, assembling, and disassembling HSAIL
 HSAIL-Tools are used for parsing, assembling, and disassembling HSAIL.
 .
 This version of libHSAIL supports the HSA PRM 1.02 (Final) specification.

Package: hsbrainfuck
Description-md5: 92e124f388ab4af80a355fa075d5ffab
Description-en: interpreter for the brainfuck programming language
 This package provides an interpreter for the Brainfuck programming
 language, written in the pure, lazy, functional language Haskell.

Package: hsc
Description-md5: 76ac6d806d6d2580ad396237ee6e9c39
Description-en: html sucks completely, a developer tool for HTML projects
 It can be used on any HTML file and creates a new HTML file as output. In the
 input file, you may use an extended syntax based on HTML, which allows for
 things like macros, conditionals, variables, expressions etc.
 .
 It is mainly intended for creating and maintaining larger HTML projects.
 As a commandline tool, it can be used together with `make', and a
 dependency generator is included.
 .
 Hsc is not a tool to make HTML easier for beginners; it's a tool
 to make HTML a bit less painful and brain-damaged for people who
 already know how to work with it.

Package: hscolour
Description-md5: 45d6d1dc45a175d8e2f981a6655db978
Description-en: Haskell program to colourise Haskell code
 HsColour currently has five output formats: ANSI terminal codes, HTML 3.2
 with <font> tags, HTML 4.01 with CSS, LaTeX, and mIRC chat client
 codes.

Package: hsetroot
Description-md5: a185532352cef5ac72b72024cd6b48aa
Description-en: tool for composing root-pixmaps for X11
 hsetroot is a tool which allows you to compose wallpapers ("root pixmaps")
 for X. It has a lot of options like rendering gradients, solids, images but
 it also allows you to perform manipulations on those things, or chain them
 together.

Package: hspec-discover
Description-md5: 03a0732fdffd89cc37e1ad87dce21d7d
Description-en: Automatically discover and run Hspec tests
 It is a useful convention to have one spec file for each source file. That way
 it is straightforward to find the corresponding spec for a given piece of
 code. But it requires error prone, and neither challenging nor interesting
 boiler plate code. So it should be automated. Hspec provides a solution for
 that. It makes creative use of GHC's support for custom preprocessors. The
 developer only has to create a test driver that contains a single line.
 .
 A complete example is at https://github.com/hspec/hspec-example.

Package: hspell
Description-md5: 6e2183beb70f135a179470834714c7d7
Description-en: Hebrew spell checker and morphological analyzer
 Hspell is a spellchecker for Hebrew. It checks nikkud-less writing (Ktiv
 Male) and follows the rules set by the Academy of Hebrew Language.
 .
 This package provides a command-line tool as well as a static library.

Package: hspell-gui
Description-md5: 443a2b583e7f544cf53eaf975204569a
Description-en: graphical front-end for the hspell hebrew spell checker
 graphical front-end for hspell the hebrew spell checker.
 Provides an easy access to the spell checker to the command-line challenged.

Package: hsqldb-utils
Description-md5: 8790ad9c9ca663dc4dc2734d16431d2f
Description-en: Java SQL database engine (utilities)
 HSQLDB is an SQL relational database engine written in Java.  It has a
 JDBC driver and supports a rich subset of SQL-92 (BNF tree format) plus
 SQL:2.29 and SQL:2.23 enhancements.  It offers a small, fast database
 engine that offers both in-memory and disk-based tables.  Embedded and
 server modes are available.  Additionally, it includes tools such as a
 minimal web server, in-memory query and management tools (can be run as
 applets), and a number of demonstration examples.
 .
 This package contains some scripts to invoke the Utilities of HSQLDB
 (org.hsqldb.util.*):
  - hsqldb-databasemanager: DatabaseManager
  - hsqldb-databasemanagerswing: DatabaseManagerSwing
  - hsqldb-transfer: Transfer
  - hsqldb-sqltool: SqlTool

Package: hsx2hs
Description-md5: 461b0320df183f57143573db3c54e3d7
Description-en: literal XML syntax in Haskell source code - preprocessor
 HSX (Haskell Source with XML) allows literal XML syntax in Haskell
 source code. The hsx2hs preprocessor translates .hsx source files
 into ordinary .hs files. Literal XML syntax is translated into
 function calls for creating XML values of the appropriate forms.
 hsx2hs transforms literal XML syntax into a series of function calls.
 Any project can make use of the syntax by providing definitions for
 those functions, and the XML values produced will be of the types
 specified. This works for any types, since hsx2hs doesn't make any
 assumptions, or inserts any information depending on types.
 XMLGenerator defines a few typeclasses that together cover the
 functions injected by the preprocessor. A project that uses these
 classes to provide the semantics for the injected syntax will be
 able to use any functions written in terms of these, allowing
 better code reusability than if each project defines its own
 semantics for the XML syntax. Also, the classes makes it possible
 to use the literal syntax at different types within the same module.
 Achieving that is not as simple as it may seem, but the XMLGenerator
 module provides all the necessary machinery.
 .
 This contains the hsx2hs executable for preprocessing.

Package: ht
Description-md5: 506b1d91d3a57cd5091fce189bca0591
Description-en: Viewer/editor/analyser (mostly) for executables
 This program is a file viewer, editor and analyzer for text, binary,
 and (especially) executable files - in other words it is an advanced
 hexeditor and disassembler.
 .
 For more info (e.g. keybindings) see the README file!
 .
 1. Supported file formats
      - common object file format (COFF/XCOFF32)
      - executable and linkable format (ELF)
      - linear executables (LE)
      - standard DO$ executables (MZ)
      - new executables (NE)
      - portable executables (PE32/PE64)
      - java class files (CLASS)
      - Mach exe/link format (MachO)
      - X-Box executable (XBE)
      - Flat (FLT)
      - PowerPC executable format (PEF)
 2. Code & Data Analyser
      - finds branch sources and destinations recursively
      - finds procedure entries
      - creates labels based on this information
      - creates xref information
      - allows one to interactively analyse unexplored code
      - allows one to create/rename/delete labels
      - allows one to create/edit comments
      - supports x86, ia64, alpha, ppc and java code
 3. Target systems
      - DJGPP
      - GNU/Linux
      - FreeBSD
      - OpenBSD
      - Win32
 .
 Please note that the program is called "hte" not "ht" as the package name
 suggests.

Package: htag
Description-md5: 4f07130122050ed68229fe0a7de57371
Description-en: A tagline/.signature adder for email, news and FidoNet messages
 htag is a tagline (aka .signature for those without a Fidonet background)
 adder but it has now been so over engineered that it will also do
 anything you want it to[0], do lots of stuff I want it to, and do stuff
 it wants to.
 .
 [0] Or at least it will if you write the appropriate plugin.

Package: htcondor
Description-md5: 903f297977fa999b1736134b3022d178
Description-en: distributed workload management system
 Like other full-featured batch systems, HTCondor provides a job queueing
 mechanism, scheduling policy, priority scheme, resource monitoring, and
 resource management. Users submit their serial or parallel jobs to HTCondor;
 HTCondor places them into a queue. It chooses when and where to run the jobs
 based upon a policy, carefully monitors their progress, and ultimately
 informs the user upon completion.
 .
 Unlike more traditional batch queueing systems, HTCondor can also effectively
 harness wasted CPU power from otherwise idle desktop workstations. HTCondor
 does not require a shared file system across machines - if no shared file
 system is available, HTCondor can transfer the job's data files on behalf of
 the user.
 .
 This package can set up an appropriate initial configuration at install
 time for a machine intended either as a member of an existing HTCondor pool
 or as a "Personal" (single machine) HTCondor pool.

Package: htcondor-dbg
Description-md5: 2634bf1f51effbe8ccd8f9cec6c1d63a
Description-en: distributed workload management system - debugging symbols
 Like other full-featured batch systems, HTCondor provides a job queueing
 mechanism, scheduling policy, priority scheme, resource monitoring, and
 resource management. Users submit their serial or parallel jobs to HTCondor;
 HTCondor places them into a queue. It chooses when and where to run the jobs
 based upon a policy, carefully monitors their progress, and ultimately
 informs the user upon completion.
 .
 Unlike more traditional batch queueing systems, HTCondor can also effectively
 harness wasted CPU power from otherwise idle desktop workstations. HTCondor
 does not require a shared file system across machines - if no shared file
 system is available, HTCondor can transfer the job's data files on behalf of
 the user.
 .
 This package provides the debugging symbols for HTCondor.

Package: htcondor-dev
Description-md5: dc9a883b5eba811692b8493cb216896e
Description-en: distributed workload management system - development files
 Like other full-featured batch systems, HTCondor provides a job queueing
 mechanism, scheduling policy, priority scheme, resource monitoring, and
 resource management. Users submit their serial or parallel jobs to HTCondor;
 HTCondor places them into a queue. It chooses when and where to run the jobs
 based upon a policy, carefully monitors their progress, and ultimately
 informs the user upon completion.
 .
 Unlike more traditional batch queueing systems, HTCondor can also effectively
 harness wasted CPU power from otherwise idle desktop workstations. HTCondor
 does not require a shared file system across machines - if no shared file
 system is available, HTCondor can transfer the job's data files on behalf of
 the user.
 .
 This package provides headers and libraries for development of HTCondor
 add-ons.

Package: htcondor-doc
Description-md5: 3488e078f56320a592df953086a4149a
Description-en: distributed workload management system - documentation
 Like other full-featured batch systems, HTCondor provides a job queueing
 mechanism, scheduling policy, priority scheme, resource monitoring, and
 resource management. Users submit their serial or parallel jobs to HTCondor;
 HTCondor places them into a queue. It chooses when and where to run the jobs
 based upon a policy, carefully monitors their progress, and ultimately
 informs the user upon completion.
 .
 Unlike more traditional batch queueing systems, HTCondor can also effectively
 harness wasted CPU power from otherwise idle desktop workstations. HTCondor
 does not require a shared file system across machines - if no shared file
 system is available, HTCondor can transfer the job's data files on behalf of
 the user.
 .
 This package provides HTCondor's documentation in HTML and PDF format, as well
 as configuration and other examples.

Package: htdig
Description-md5: bff3bacb9cb737bcdb6d770a00783225
Description-en: web search and indexing system - binaries
 The ht://Dig system is a complete web search engine for a small
 domain or intranet. It is not meant to replace the major
 Internet-wide search engines; instead it is meant to cover the search
 needs of a single company, campus, or even a particular subsection of
 a website.
 .
 Features:
  - intranet searching, spanning multiple local web servers;
  - robot exclusion;
  - boolean expression searches;
  - configurable search results;
  - fuzzy searching (various algorithms supported);
  - indexing of HTML and text files;
  - keyword tagging of HTML documents;
  - email notification of expired documents;
  - indexing of protected servers;
  - searches on subsections of the database;
  - limitation of search depth;
  - ISO-Latin-1 character set support.

Package: htdig-doc
Description-md5: 162de0afbf59101589999afe0d344ffb
Description-en: web search and indexing system - documentation
 The ht://Dig system is a complete web search engine for a small
 domain or intranet. It is not meant to replace the major
 Internet-wide search engines; instead it is meant to cover the search
 needs of a single company, campus, or even a particular subsection of
 a website.
 .
 This package provides the HTML documentation and examples.

Package: html-xml-utils
Description-md5: 2b92b05314ad72babebf852a1e768e15
Description-en: HTML and XML manipulation utilities
 HTML-XML-utils provides a number of simple utilities for manipulating and
 converting HTML and XML files in various ways. The suite consists of the
 following tools:
 .
  asc2xml      -  convert from UTF-8 to &#nnn; entities
  xml2asc      -  convert from &#nnn; entities to UTF-8
  hxaddid      -  add IDs to selected elements
  hxcite       -  replace bibliographic references by hyperlinks
  hxcite-mkbib -  expand references and create bibliography
  hxclean      -  apply heuristics to correct an HTML file
  hxcopy       -  copy an HTML file while preserving relative links
  hxcount      -  count elements and attributes in HTML or XML files
  hxextract    -  extract selected elements
  hxincl       -  expand included HTML or XML files
  hxindex      -  create an alphabetically sorted index
  hxmkbib      -  create bibliography from a template
  hxmultitoc   -  create a table of contents for a set of HTML files
  hxname2id    -  move some ID= or NAME= from A elements to their parents
  hxnormalize  -  pretty-print an HTML file
  hxnsxml      -  convert output of hxxmlns back to normal XML
  hxnum        -  number section headings in an HTML file
  hxpipe       -  convert XML to a format easier to parse with Perl or AWK
  hxprintlinks -  number links & add table of URLs at end of an HTML file
  hxprune      -  remove marked elements from an HTML file
  hxref        -  generate cross-references
  hxselect     -  extract elements that match a (CSS) selector
  hxtoc        -  insert a table of contents in an HTML file
  hxuncdata    -  replace CDATA sections by character entities
  hxunent      -  replace HTML predefined character entities to UTF-8
  hxunpipe     -  convert output of pipe back to XML format
  hxunxmlns    -  replace "global names" by XML Namespace prefixes
  hxwls        -  list links in an HTML file
  hxxmlns      -  replace XML Namespace prefixes by "global names"

Package: html2ps
Description-md5: 62fc50b03c59d477d3150cd72ba96598
Description-en: HTML to PostScript converter
 This program converts HTML directly to PostScript. The HTML code can be
 retrieved from one or more URLs or local files, specified as parameters
 on the command line. A comprehensive level of HTML is supported,
 including inline images, CSS 1.0, and some features of HTML 4.0.
 .
 This is our justification for the suggests list:
 .
 We suggest ghostscript since it is required in order to output DSC
 compliant PS (--dsc option) and make cross references at links within
 the set of converted documents (--xref option).
 .
 We suggest weblint-perl because it's the default method for checking
 HTML syntax (use the -c option).
 .
 We suggest texlive-base because we need TeX hyphenation pattern in
 order to hyphenate text (use -H option).
 .
 We suggest postscript-viewer because this package generates postscripts
 that can be viewed on a postscript-viewer.
 .
 We suggest xhtml2ps because it's a interesting graphical interface for
 this package.

Package: html2text
Description-md5: a57fb9ed5921cd11bfc59269f601f3c0
Description-en: advanced HTML to text converter
 html2text is a converter from HTML to plain text.
 .
 html2text reads HTML documents supplied in the command line (or from standard
 input), converts each of them into a stream of plain text characters and
 writes output to the file or the terminal.
 .
 Debian version also can recognize encoding of documents, do on-fly
 input and output recoding.
 .
 html2text was written because the author wasn't happy with the
 output of "lynx -dump" and so he wrote something better.

Package: html2wml
Description-md5: 47fb0af34fc89f8e3f7f4104cc172114
Description-en: converts HTML pages to WML (WAP) or i-mode pages
 html2wml converts HTML pages to WML or i-mode(tm) pages, suitable
 for being viewed on a WAP or i-mode devices, e.g. cell phones.
 The conversion can be done either on the command line to create
 static pages or on-the-fly by calling this program as a CGI.
 .
 Different WAP browsers are available to view the results of
 html2wml, e.g. the wmlbrowser add-on for iceweasel (aka firefox)
 and wapua.

Package: htmldoc
Description-md5: 7eac64051be488714b6fe5cba14de685
Description-en: HTML processor that generates indexed HTML, PS, and PDF
 HTMLDOC is a program for writing documentation in HTML and producing
 indexed HTML, PostScript, or PDF output (with tables of contents).
 It supports most HTML 3.2 and some HTML 4.0 syntax, as well as GIF,
 JPEG, and PNG images.

Package: htmldoc-common
Description-md5: 901a9dca8c859918d977a5cca8bdc4a0
Description-en: Common arch-independent files for htmldoc
 HTMLDOC is a program for writing documentation in HTML and producing
 indexed HTML, PostScript, or PDF output (with tables of contents).
 It supports most HTML 3.2 and some HTML 4.0 syntax, as well as GIF,
 JPEG, and PNG images.
 .
 This package contains the htmldoc files common to all architectures.

Package: htmlmin
Description-md5: ad5e3cb4727154354ae039ae294df478
Description-en: HTML Minifier - command line interface
 Command line interface for python-htmlmin.
 .
 htmlmin is an HTML minifier that just works. It comes with safe
 defaults and an easily configurable set options.

Package: htp
Description-md5: 1ad44ca426dd3dfee5d9aca48ccc3333
Description-en: nice HTML pre-processor
 htp is an HTML pre-processor. It is designed to be a flexible authoring tool
 that can easily be integrated into the HTML design process.
 .
 Documentation and examples are included.

Package: htpdate
Description-md5: 591296bd6b016838243fcf058ec7d163
Description-en: HTTP based time synchronization tool
 The  HTTP Time Protocol (HTP) is used to synchronize a computer's time with
 web servers as reference time source. This program can be used instead
 ntpdate or similar, in networks that has a firewall blocking the NTP port.
 .
 Htpdate will synchronize the computer time to Greenwich Mean Time (GMT),
 using the timestamps from HTTP headers found in web servers response (the
 HEAD method will be used to get the information).
 .
 Htpdate works through proxy servers. Accuracy of htpdate will be usually
 within 0.5 seconds (better with multiple servers).

Package: htsengine
Description-md5: 999961620d8818481b72d7598fe6d81c
Description-en: frontend of HMM-based speech synthesis engine
 This engine is software to synthesize speech waveform from Hidden Markov
 Models (HMM) trained by the HMM-based speech synthesis system (a.k.a. HTS).
 .
 This package is a frontend of HTS engine.

Package: htslib-test
Description-md5: 97521308d09de8852db67f3bd3843152
Description-en: Test data for HTSlib
 HTSlib is an implementation of a unified C library for accessing common file
 formats, such as SAM (Sequence Alignment/Map), CRAM and VCF (Variant Call
 Format), used for high-throughput sequencing data, and is the core library
 used by samtools and bcftools. HTSlib only depends on zlib. It is known to be
 compatible with gcc, g++ and clang.
 .
 HTSlib implements a generalized BAM (binary SAM) index, with file extension
 ‘csi’ (coordinate-sorted index). The HTSlib file reader first looks for the
 new index and then for the old if the new index is absent.
 .
 This package contains test files and scripts for the HTSlib.

Package: httest
Description-md5: 4dfcf5f77bc1c136e9a4f163708e85c4
Description-en: HTTP test tool
 HTTP Test Tool is a script based tool for testing and benchmarking
 web applications, web servers, proxy servers and web browsers.
 htttest can emulate clients and servers even in the same test script.
 Emulating servers is a unique feature, very useful for testing proxy
 servers, web browsers and HTTP clients.  The testing can match
 patterns in answers (both server(s) and client(s)) to test the
 validity.

Package: http-icons
Description-md5: e685fbc360f5aed504c0633ef0e1d7cc
Description-en: classic MIME icons
 http-icons date back to Mosaic for X and have been included in the NCSA
 httpd and Apache server distributions. Modernized, maintaining the
 original size so they can be used as a drop in replacement.

Package: httpcode
Description-md5: a851d9d0af47a635167246c8c50828df
Description-en: Explains the meaning of an HTTP status code on the command line
 httpcode is a little utility that explains the meaning of an HTTP
 status code on the command line.

Package: httpdirfs
Description-md5: e83427a9ef2b2014d708d1099b7a17d2
Description-en: filesystem client for HTTP directory listings
 httpdirfs is program that can be used to mount HTTP directory listings
 (generated using an Apache DirectoryIndex, for example) as a virtual filesystem
 through the FUSE interface. It supports HTTP basic authentication.

Package: httperf
Description-md5: 6a97f96f3303865ca9133e3909fb5fe2
Description-en: HTTP server performance tester
 httperf is a tool to measure web server performance. It speaks the HTTP
 protocol both in its HTTP/1.0 and HTTP/1.1 flavors and offers a variety of
 workload generators. While running, it keeps track of a number of performance
 metrics that are summarized in the form of statistics that are printed at
 the end of a test run.
 .
 This package provide httperf and idleconn commands.

Package: httpfs2
Description-md5: 0a4c7ec7c205045b2fa707a9f2b05848
Description-en: FUSE filesystem for mounting files from http servers
 httpfs2 is a FUSE based filesystem for mounting http or https URLS as files in
 the filesystem. There is no notion of listable directories in http so only a
 single URL can be mounted. The server must be able to send byte ranges.

Package: httpie
Description-md5: a93fec7677de7601feefe5cc8467934c
Description-en: CLI, cURL-like tool for humans
 HTTPie is a CLI HTTP utility that makes CLI interaction with HTTP-based
 services as human-friendly as possible.
 .
 HTTPie does so by providing an http command that allows for issuing
 arbitrary HTTP requests using a simple and natural syntax and
 displaying colorized responses.

Package: httping
Description-md5: 9ee69bd6b64016d8b0c063e83e47a7da
Description-en: ping-like program for http-requests
 httping is like ping for HTTP. It sends requests to a hostname or a remote
 URL and it shows you how long it takes to connect, send a HTTP request and
 retrieve the reply (only the header).
 .
 It supports SSL as well as various different ways to use it.

Package: httpry
Description-md5: 33636b7f430c389ab37a3866f20e2fb6
Description-en: HTTP logging and information retrieval tool
 httpry is a tool designed for displaying and logging HTTP traffic. It is not
 intended to perform analysis itself, but instead to capture, parse and/or
 log the traffic for later analysis. It can be run in real-time displaying
 the live traffic on the wire, or as a daemon process that logs to an output
 file. It is written to be as lightweight and flexible as possible, so that
 it can be easily adaptable to different applications. It does not display
 the raw HTTP data transferred, but instead focuses on parsing and displaying
 the request/response line along with associated header fields.
 .
 This package contains the httpry executable. Package httpry-daemon contains
 required init script for running httpry as a daemon. Scripts for parsing
 log files are provided by httpry-tools.

Package: httpry-daemon
Description-md5: c758e141aa5ce9332447ede6604262f6
Description-en: HTTP logging and information retrieval tool - daemon
 httpry is a tool designed for displaying and logging HTTP traffic. It is not
 intended to perform analysis itself, but instead to capture, parse and/or
 log the traffic for later analysis. It can be run in real-time displaying
 the live traffic on the wire, or as a daemon process that logs to an output
 file. It is written to be as lightweight and flexible as possible, so that
 it can be easily adaptable to different applications. It does not display
 the raw HTTP data transferred, but instead focuses on parsing and displaying
 the request/response line along with associated header fields.
 .
 This package contains required init script to run httpry as a daemon.

Package: httpry-dbg
Description-md5: bb5f3438557ad5d8523c1ac3c7d4f04f
Description-en: HTTP logging and information retrieval tool - debug symbols
 httpry is a tool designed for displaying and logging HTTP traffic. It is not
 intended to perform analysis itself, but instead to capture, parse and/or
 log the traffic for later analysis. It can be run in real-time displaying
 the live traffic on the wire, or as a daemon process that logs to an output
 file. It is written to be as lightweight and flexible as possible, so that
 it can be easily adaptable to different applications. It does not display
 the raw HTTP data transferred, but instead focuses on parsing and displaying
 the request/response line along with associated header fields.
 .
 This package contains the debug symbols for httpry. Install this package if
 you need to debug such a program or if you need a useful backtrace of a crash.

Package: httpry-tools
Description-md5: fcf4061091cfe79e33d31f3e05391607
Description-en: HTTP logging and information retrieval tool - log parsing scripts
 httpry is a tool designed for displaying and logging HTTP traffic. It is not
 intended to perform analysis itself, but instead to capture, parse and/or
 log the traffic for later analysis. It can be run in real-time displaying
 the live traffic on the wire, or as a daemon process that logs to an output
 file. It is written to be as lightweight and flexible as possible, so that
 it can be easily adaptable to different applications. It does not display
 the raw HTTP data transferred, but instead focuses on parsing and displaying
 the request/response line along with associated header fields.
 .
 This package contains log parsing scripts written in Perl.

Package: httptunnel
Description-md5: ed96b7d53407ae311a6c5ef2eb229c3f
Description-en: Tunnels a data stream in HTTP requests
 Creates a bidirectional virtual data stream tunnelled in
 HTTP requests. The requests can be sent via a HTTP proxy
 if so desired.
 .
 This can be useful for users behind restrictive firewalls. If WWW
 access is allowed through a HTTP proxy, it's possible to use
 httptunnel and, say, telnet or PPP to connect to a computer
 outside the firewall.

Package: httrack
Description-md5: b81551baf54e5a0e8679bd1840c13912
Description-en: Copy websites to your computer (Offline browser)
 HTTrack is an offline browser utility, allowing you to download a World
 Wide website from the Internet to a local directory, building recursively
 all directories, getting html, images, and other files from the server to
 your computer.
 .
 HTTrack arranges the original site's relative link-structure. Simply
 open a page of the "mirrored" website in your browser, and you can
 browse the site from link to link, as if you were viewing it online.
 HTTrack can also update an existing mirrored site, and resume
 interrupted downloads. HTTrack is fully configurable, and has an
 integrated help system.

Package: httrack-doc
Description-md5: 49f0ab3d97c0f5c3edff11564984b88d
Description-en: Httrack website copier additional documentation
 This package adds supplemental documentation for httrack and webhttrack
 as a browsable html documentation

Package: httraqt
Description-md5: ab46956fa549f67dcb2a219bea0267f2
Description-en: program for downloading of internet sites
 Program is intended for loading of Internet pages and/or their contents,
 equipped with flexible system of settings.
 HTTraQt - graphical user interface (GUI) for HTTrack library,
 developed in C++ and based on multiplatform Qt library.

Package: hub
Description-md5: 1ec5d54ce84e104b227c776f544396ce
Description-en: make git easier with GitHub
 hub is GitHub’s official tool that wraps git in order to extend it
 with extra functionality that make working with GitHub easier.
 .
   $ hub clone rtomayko/tilt
 .
   # expands to:
   $ git clone git://github.com/rtomayko/tilt.git
 .
 hub is best aliased as git, so you can type "git <command>" in the shell
 and get all the usual hub features.
 .
 You should place this command in your .bash_profile or other
 startup script:
 .
   eval "$(hub alias -s)"
 .
 This package contains the binaries.

Package: hubicfuse
Description-md5: 797fd776df71b4d503d7019a77a061f9
Description-en: Support for mounting hubiC drives
 HubicFuse is a FUSE application which provides access to hubiC's
 cloud files via a mount-point.
 .
 It supports segmented objects to store large files, symlinks, and
 seeing other tenants' containers.

Package: hud
Description-md5: b04907a06b678f3d59cae82834a5efa4
Description-en: Backend for the Unity HUD
 Unity HUD is a heads-up-display interface for controlling the behavior of
 applications as well as Unity via typed-in commands. It provides access to
 all applications menu via a single central interface, in order to simplify
 application usage and make menus more accessible.
 .
 This package provides a service for Unity's HUD

Package: hud-doc
Description-md5: 6f462a46ce37bf857af0688d624e6f32
Description-en: Backend for the Unity HUD
 Unity HUD is a heads-up-display interface for controlling the behavior of
 applications as well as Unity via typed-in commands. It provides access to
 all applications menu via a single central interface, in order to simplify
 application usage and make menus more accessible.
 .
 This package contains developer documentation.

Package: hud-tools
Description-md5: 88c311045dc6672fa7c1675154601775
Description-en: Tools for debugging the Unity HUD.
 Unity HUD is a heads-up-display interface for controlling the behavior of
 applications as well as Unity via typed-in commands. It provides access to
 all applications menu via a single central interface, in order to simplify
 application usage and make menus more accessible.
 .
 This package provides tools for working with Unity's HUD.

Package: hugin
Description-md5: 78f5a0f9948643026e70c492cfac271a
Description-en: panorama photo stitcher - GUI tools
 Hugin is a panorama photo stitching program. Essentially, Hugin is a
 GUI frontend for Panorama Tools. Stitching is accomplished by using
 several overlapping photos taken from the same location, and using
 control points to align and transform the photos so that they can be
 blended together to form a larger image. Hugin allows for the easy
 creation of control points between two images, optimization of the
 image transforms, and much more.
 .
 This package includes the following graphical interfaces, using the
 command-line tools provided in the hugin-tools package:
  * hugin                - Hugin panorama creator.
  * hugin_stitch_project - Hugin batch stitcher.
  * PTBatcherGUI         - Batch controller for the stitching process.
  * calibrate_lens_gui   - Lens calibration tool.

Package: hugin-data
Description-md5: 0dc76a2ce827e15a9b09fe843bb5bd44
Description-en: panorama photo stitcher - common data files
 Hugin is a panorama photo stitching program.
 .
 This package includes the data files needed by the hugin and
 hugin-tools packages.

Package: hugin-tools
Description-md5: 0d6015ce460c9721663f3f64489401a7
Description-en: panorama photo stitcher - commandline tools
 Hugin is a panorama photo stitching program.
 .
 This package includes command-line tools used by hugin and other
 packages for image stitching and High Dynamic Range (HDR) images:
  * align_image_stack  - Align overlapping images for HDR creation.
  * autooptimiser      - Optimize image positions.
  * celeste_standalone - Remove cloud-like control points.
  * cpclean            - Remove wrong control points.
  * cpfind             - Patent-free control-point detector
  * deghosting_mask    - Creates mask for removing ghosting in images.
  * fulla              - Correct lens distortion, vignetting, and
                         chromatic aberration.
  * geocpset           - Set geometric control points.
  * hugin_executor     - CLI stitching tool.
  * hugin_hdrmerge     - Merge overlapping images.
  * hugin_lensdb       - Hugin lens database maintenance.
  * icpfind            - Control-point detector wrapper program.
  * linefind           - Detect vertical features in images.
  * nona               - Remap images. (Also does simple stitching.)
  * pano_modify        - Change output parameters of project file.
  * pto_gen            - Generate a Hugin project file from a list of images.
  * pto_lensstack      - Modify assigned lenses and stack in pto files.
  * pto_mask           - Apply a mask.
  * pto_merge          - Merges two or more Hugin project files.
  * pto_move           - Move a project file with all images in it.
  * pto_template       - Apply a template file.
  * pto_var            - Change image variables inside Hugin .pto project
                         files
  * tca_correct        - Calculate transverse chromatic aberration.
  * verdandi           - Blend images using watershed algorithm.
  * vig_optimize       - Determine the radial vignetting.

Package: hugo
Description-md5: 9151e417bc3d41f66f63544cd771c463
Description-en: Fast and flexible Static Site Generator written in Go
 Hugo is a static site generator written in Go. It is optimized for speed,
 ease of use, and configurability. Hugo takes a directory with content and
 templates, and renders them into a full HTML website.
 .
 Hugo relies on Markdown files with front matter for meta data. And you
 can run Hugo from any directory. This works well for shared hosts and
 other systems where you don’t have a privileged account.
 .
 Hugo renders a typical website of moderate size in a fraction of a
 second. A good rule of thumb is that each piece of content renders in
 around 1 millisecond.
 .
 Hugo is meant to work well for any kind of website including blogs,
 tumblelogs and docs.
 .
 Complete documentation is available at https://gohugo.io/

Package: hugs
Description-md5: 2298afbd1c01627f864705c8897f2501
Description-en: A Haskell 98 interpreter
 Hugs is an interpreter for the non-strict, purely functional programming
 language Haskell.  This version of Hugs, Hugs 98, supports nearly all of
 the Haskell 98 specification, as well as a number of extensions.
 .
 The Haskell language is described by documents in the haskell-doc
 package.  Other libraries are documented in the ghc6-doc package.

Package: human-icon-theme
Description-md5: 06251ffada5394e6ff0c8103869ece9c
Description-en: Human Icon theme
 Human is a nice and well polished icon theme for
 the GNOME desktop.

Package: human-theme
Description-md5: d0a1fff6e60b7a3fbe81de0845282c9b
Description-en: Human theme
 The default Human theme. At the moment the package contains
  - the theme definitions
  - metacity theme elements.
 .
 It pulls in the Cursor theme, the GTK+ theme and the Icon theme which gives
 Ubuntu the Human look.

Package: humanfriendly
Description-md5: 8eb0835d2a49467be3dde6a0aa92c93b
Description-en: Helper command for the humanfriendly Python3 library
 A Python library that can be used to make text interfaces more user friendly.
 It provides parsing and formatting numbers, file sizes, pathnames and
 timespans in simple human friendly formats. It provides easy to use timers for
 long running operations, prompts for selecting a choice from a list of options
 by typing the option's number or a unique substring of the option, and
 terminal interaction including text styling (ANSI escape sequences), user
 friendly rendering of usage messages and querying the terminal for its size.
 .
 This package provides a helper command to access the functions in the library.

Package: hunspell
Description-md5: 0cb13f3fed802d47e7c5bf5a7e7a6f5b
Description-en: spell checker and morphological analyzer (program)
 Hunspell is a spell checker and morphological analyzer library and program
 designed for languages with rich morphology and complex word compounding or
 character encoding. It is based on MySpell and features an Ispell-like
 terminal interface using Curses library, an Ispell pipe interface and an
 OpenOffice.org UNO module.
 .
 Main features:
  - Unicode support (first 65535 Unicode characters)
  - morphological analysis (in custom item and arrangement style)
  - Max. 65535 affix classes and twofold affix stripping (for agglutinative
    languages, like Azeri, Basque, Estonian, Finnish, Hungarian, Turkish, etc.)
  - Support complex compoundings (for example, Hungarian and German)
  - Support language specific algorithms (for example, handling Azeri
    and Turkish dotted i, or German sharp s)
  - Handling conditional affixes, circumfixes, fogemorphemes,
    forbidden words, pseudoroots and homonyms.
 .
 This package contains the program with the Ispell-like terminal and pipe
 interfaces.

Package: hunspell-de-med
Description-md5: 99df8f9fe3c9ec32ff56273ba8ff3640
Description-en: German medical dictionary for hunspell
 This package contains a German medical dictionary for use with the
 hunspell spellchecker which is currently used within OpenOffice.org2
 and as an ispell clone.

Package: hunspell-en-med
Description-md5: f763e37e0d4b5aad9558dd4f1240eb4e
Description-en: English medical dictionary for hunspell
 This package contains an English medical dictionary for use
 with the hunspell spell checker which is currently used by
 OpenOffice.org2

Package: hunspell-fr-comprehensive
Description-md5: 9d6b81a0d6c62dcf9ac9405debf10e33
Description-en: French dictionary for hunspell (comprehensive version)
 This dictionary includes both the old and the new spelling for the words that
 were affected by the 1990 reform.
 .
 For an overview of the available French dictionaries, see the description of
 the hunspell-fr package.

Package: hunspell-fr-modern
Description-md5: 681e84620d173983a7bf89bcf1c38568
Description-en: French dictionary for hunspell (modern version)
 This dictionary is a selection of classic and revised spellings. It follows
 the slow evolution of modern spelling. It contains the less controversial
 spellings introduced by the 1990 reform.
 .
 For an overview of the available French dictionaries, see the description of
 the hunspell-fr package.

Package: hunspell-fr-revised
Description-md5: 49eb6b5bc2c4c5aa79a4548740722d4c
Description-en: French dictionary for hunspell (revised version)
 This dictionary includes only the new spelling for the words that were
 affected by the 1990 reform.
 .
 For an overview of the available French dictionaries, see the description of
 the hunspell-fr package.

Package: hunt
Description-md5: 9c7e9f0b4f1d0eda85455deadab35262
Description-en: Advanced packet sniffer and connection intrusion
 Hunt is a program for intruding into a connection, watching it and
 resetting it.
 .
 Note that as hunt is operating on Ethernet, it is best used for connections
 which can be watched through it. However, it is possible to do something
 even for hosts on another segments or hosts that are on switched ports.

Package: hv3
Description-md5: 35fe63ca16cc74be8e40ed5da1005671
Description-en: Lightweight web browser
 Hv3 is a cross-platform web browser with support for modern web
 standards like HTML, CSS, HTTP.
 .
 ECMAScript (a.k.a. javascript) is not supported since the corresponding
 library (SEE) is not available in Debian yet.

Package: hw-probe
Description-md5: 088b0a96e3da757d312da632484223b4
Description-en: Tool to check operability of computer hardware
 A tool to check operability of computer hardware and upload result
 to the Linux hardware database.
 .
 Probe — is a snapshot of your computer's hardware state and system
 logs. The tool returns a permanent URL to view the probe of the
 computer.
 .
 The tool is intended to simplify collecting of logs necessary for
 investigating hardware related problems. Just ask user to run one
 simple command to collect all the system logs at once:
 .
     sudo hw-probe -all -upload
 .
 By creating probes you contribute to the HDD/SSD Real-Life
 Reliability Test study: https://github.com/linuxhw/SMART

Package: hwinfo
Description-md5: 970442d853c387b8e2c5e7373c497270
Description-en: Hardware identification system
 hwinfo is the hardware detection tool used in SuSE Linux.
 .
 In Debian Edu (Skolelinux) hwinfo has shown better results than discover when
 detecting mouse, keyboard and monitor.
 .
 hwinfo collects information about the hardware installed on a system.  Among
 others, libhd contains information about cdrom, zip, floppy, disks and
 partitions, network card, graphics card, monitor, camera, mouse, sound, pppoe,
 isdn, modem, printer, scanner, bios, cpu, usb, memory and smp.
 .
 This package does not include the binaries hwscan, hwscand and hwscanqueue. If
 you think one or more of these should be included in the package, please
 contact the maintainer at hwinfo@packages.debian.org.

Package: hwloc
Description-md5: 877ef6728b56d267f3f9a04f906dd344
Description-en: Hierarchical view of the machine - utilities
 Hardware Locality (hwloc) provides a portable abstraction (across OS, versions,
 architectures, ...) of the hierarchical topology of modern architectures. It
 primarily aims at helping high-performance computing applications with
 gathering information about the hardware so as to exploit it accordingly and
 efficiently.
 .
 hwloc provides a hierarchical view of the machine, NUMA memory nodes,
 sockets, shared caches, cores and simultaneous multithreading. It also gathers
 various attributes such as cache and memory information.
 .
 hwloc supports old kernels not having sysfs topology information,
 with knowledge of cpusets, offline cpus, and Kerrighed support
 .
 This package contains utilities to show the topology of the machine (lstopo and
 hwloc-ls), manipulate cpu masks (hwloc-calc), and bind processes (hwloc-bind).

Package: hwloc-nox
Description-md5: a1faf04bab2b91df1aa622e6835e62f1
Description-en: Hierarchical view of the machine - non-X version of utilities
 Hardware Locality (hwloc) provides a portable abstraction (across OS, versions,
 architectures, ...) of the hierarchical topology of modern architectures. It
 primarily aims at helping high-performance computing applications with
 gathering information about the hardware so as to exploit it accordingly and
 efficiently.
 .
 hwloc provides a hierarchical view of the machine, NUMA memory nodes,
 sockets, shared caches, cores and simultaneous multithreading. It also gathers
 various attributes such as cache and memory information.
 .
 hwloc supports old kernels not having sysfs topology information,
 with knowledge of cpusets, offline cpus, and Kerrighed support
 .
 This package contains utilities to show the topology of the machine (lstopo
 and hwloc-ls, without X support), manipulate cpu masks (hwloc-calc), and bind
 processes (hwloc-bind).

Package: hxtools
Description-md5: f3ff8d83192e56475e60295b15d86d4c
Description-en: Collection of tools and scripts
 A collection of tools and scripts that have accumulated over the years, and
 each of which seems to be too small to warrants its own project.
 .
  * bsvplay(1) - convert BASICA music files to PCM
  * cctypeinfo(1) - show sizes of C types on the implementation it was compiled with
  * checkbrack(1) - check parenthesis and bracket count
  * declone(1) - break hardlinks
  * diff2php(1) - transform patch to self-serving PHP file
  * fd0ssh(1) - pipe for password-over-stdin support to ssh
  * fnt2bdf(1) - convert VGA raw fonts to X11 BDF
  * gpsh(1) - grep in tracklists and play audio files
  * logontime(8) - show cumulative logon time from wtmp
  * mailsplit(1) - split an mbox into single files
  * mod2opus(1) – wrapper for tracker module/MIDI to Opus transcoding
  * hxnetload(8) – show utilization of network interface
  * ofl(1) - open file lister (replaces fuser and lsof -m)
  * paddrspacesize(1) - print size of processes' address spaces
  * pesubst(1) - perl-regexp stream substitution (replaces sed for sub-stitutions)
  * pmap_dirty(1) - display amount of RAM a process uses hard
  * proc_iomem_count(1) - show MMIO region sizes
  * qplay(1) - convert QBASIC play strings to PCM
  * qtar(1) - faster interface to tar with file ordering
  * recursive_lower(1) - recursively lowercase all filenames
  * sourcefuncsize(1) - statistical analysis of code
  * spec-beautifier(1) - program to clean up RPM .spec files
  * su1(8) - what sudo should have done
  * utmp_register(1) - make entries in the utmp/wtmp database
  * vcsaview(8) - display a screen dump in VCSA format
  * vfontas(1) - VGA font file assembler
  * wktimer(1) - work timer

Package: hy
Description-md5: 8d91c250d6f1577427c15889ec85072b
Description-en: Lisp (s-expression) based frontend to Python (metapackage)
 Hy is a wonderful dialect of Lisp that's embedded in Python.
 .
 Since Hy transforms its Lisp code into the Python Abstract Syntax Tree, you
 have the whole beautiful world of Python at your fingertips, in Lisp form!
 .
 This package is here for future installability. This is the stable interface
 to install the `hy' language.

Package: hyantesite
Description-md5: ce68ce5bb8cb0ee639a8c01e0cff7f61
Description-en: geomatic tool to compute neighbourhood population potential
 Client to perform actions provided by libhyantes.
 hyantes aims to develop new methods for the cartographic representation of
 human distributions (population density, population increase, etc.) with
 various smoothing functions and opportunities for time-scale animations
 of maps.
 It provides a smoothing method related to multiscalar neighbourhood
 density estimation.

Package: hybrid-dev
Description-md5: 3213ec9ce808db3926a66ada19254ea8
Description-en: high-performance secure IRC server - development files
 These are the headers used when writing modules for ircd-hybrid.
 For more information on how to write these modules, see the ircd-hybrid
 documentation or example_module.c in the source code for ircd-hybrid.
 .
 It also includes mbuild-hybrid, a shell script that simplifies building
 and installation of such modules. This shell script is simplistic and
 assumes a lot; if you possess clue, you will know what to do anyway.

Package: hydra
Description-md5: c849a0faa8f1b7cb385d4edb63828061
Description-en: very fast network logon cracker
 Hydra is a parallelized login cracker which supports numerous protocols
 to attack. It is very fast and flexible, and new modules are easy to add.
 .
 This tool makes it possible for researchers and security consultants to
 show how easy it would be to gain unauthorized access to a system
 remotely.
 .
 It supports: Cisco AAA, Cisco auth, Cisco enable, CVS, FTP, HTTP(S)-FORM-GET,
 HTTP(S)-FORM-POST, HTTP(S)-GET, HTTP(S)-HEAD, HTTP-Proxy, ICQ, IMAP, IRC,
 LDAP, MS-SQL, MySQL, NNTP, Oracle Listener, Oracle SID, PC-Anywhere, PC-NFS,
 POP3, PostgreSQL, RDP, Rexec, Rlogin, Rsh, SIP, SMB(NT), SMTP, SMTP Enum,
 SNMP v1+v2+v3, SOCKS5, SSH (v1 and v2), SSHKEY, Subversion, Teamspeak (TS2),
 Telnet, VMware-Auth, VNC and XMPP.

Package: hydra-gtk
Description-md5: 25364077eb1285c95bf71e904e139347
Description-en: very fast network logon cracker - GTK+ based GUI
 Hydra is a parallelized login cracker which supports numerous protocols
 to attack. It is very fast and flexible, and new modules are easy to add.
 .
 This tool makes it possible for researchers and security consultants to
 show how easy it would be to gain unauthorized access to a system
 remotely.
 .
 It supports: Cisco AAA, Cisco auth, Cisco enable, CVS, FTP, HTTP(S)-FORM-GET,
 HTTP(S)-FORM-POST, HTTP(S)-GET, HTTP(S)-HEAD, HTTP-Proxy, ICQ, IMAP, IRC,
 LDAP, MS-SQL, MySQL, NNTP, Oracle Listener, Oracle SID, PC-Anywhere, PC-NFS,
 POP3, PostgreSQL, RDP, Rexec, Rlogin, Rsh, SIP, SMB(NT), SMTP, SMTP Enum,
 SNMP v1+v2+v3, SOCKS5, SSH (v1 and v2), SSHKEY, Subversion, Teamspeak (TS2),
 Telnet, VMware-Auth, VNC and XMPP.
 .
 This package provides the GTK+ based GUI for hydra.

Package: hydroffice.bag-doc
Description-md5: 68aa3d083c6a9c75408ca6be34a9e392
Description-en: documentation for hydroffice.bag
 HydrOffice is a research development environment for ocean mapping. It
 provides a collection of hydro-packages, each of them dealing with a
 specific issue of the field. The main goal is to speed up both
 algorithms testing and research-2-operation.
 .
 The BAG hydro-package collects tools for working with BAG files. BAG is
 a data format by the ONS-WG (Open Navigation Surface Working Group).
 .
 This package provides the documentation.

Package: hydroffice.bag-tools
Description-md5: 9dc523fd5daa7479d1adac0e6c33344f
Description-en: command-line tools for hydroffice.bag
 HydrOffice is a research development environment for ocean mapping. It
 provides a collection of hydro-packages, each of them dealing with a
 specific issue of the field. The main goal is to speed up both
 algorithms testing and research-2-operation.
 .
 The BAG hydro-package collects tools for working with BAG files. BAG is
 a data format by the ONS-WG (Open Navigation Surface Working Group).
 .
 This package provides the command-line tools.

Package: hydrogen
Description-md5: ecbd66477342cdb6320afeb18eb3b8b4
Description-en: advanced drum machine/step sequencer
 Hydrogen is an advanced drum machine.  It's main goal is to bring
 professional yet simple and intuitive pattern-based drum programming.
 .
 General features:
  * Very user-friendly, modular, fast and intuitive graphical interface
    based on QT 5.
  * Sample-based stereo audio engine, with import of sound samples in
    .wav, .au and .aiff formats.
  * Support of samples in compressed FLAC file.
 .
 Sequencer and mixer features:
  * Pattern-based sequencer, with unlimited number of patterns and
    ability to chain patterns into a song.
  * Up to 64 ticks per pattern with individual level per event and
    variable pattern length.
  * 32 instrument tracks with volume, mute, solo, pan capabilities.
  * Multi layer support for instruments (up to 16 samples for each
    instrument).
  * Ability to import/export song files.
  * Unique human velocity, human time, pitch and swing functions.
  * Multiple patterns playing at once.
 .
 Other features:
  * JACK, ALSA, PortAudio, OSS and PulseAudio audio drivers.
  * ALSA MIDI and PortMidi input with assignable midi-in channel (1..16,
    ALL).
  * Import/export of drumkits.
  * Export song to wav file.
  * Export song to midi file.

Package: hydrogen-data
Description-md5: 459030594ec23fb8075c4922b2d5ab3f
Description-en: advanced drum machine/step sequencer (data)
 Hydrogen is an advanced drum machine.  It's main goal is to bring
 professional yet simple and intuitive pattern-based drum programming.
 .
 This package contains the architecture-independent data files.

Package: hydrogen-doc
Description-md5: 93393e329c0a7970969154fa25634870
Description-en: advanced drum machine/step sequencer (doc)
 Hydrogen is an advanced drum machine.  It's main goal is to bring
 professional yet simple and intuitive pattern-based drum programming.
 .
 This package contains the architecture-independent documentation.

Package: hydrogen-drumkits
Description-md5: dccad11dfe63db54d092653dd9a7d3d6
Description-en: drumkits for Hydrogen
 This package contains a collection of drumkits for Hydrogen, a
 sample based drum machine/step sequencer.
 .
 More drumkits can be installed per-user via the Hydrogen's "Import library"
 function in the "Instruments" menu.

Package: hydrogen-drumkits-effects
Description-md5: f2499de102c7ae831c938b5b7d51fa9a
Description-en: effect drumkits for Hydrogen
 This package contains a collection of unusual drumkits for Hydrogen, a sample
 based drum machine/step sequencer.
 .
 Even more unusual drumkits can be installed per-user via the Hydrogen's "Import
 library" function in the "Instruments" menu.

Package: hylafax-client
Description-md5: 39c86a73a8f4a79750b1313eb4030112
Description-en: Flexible client/server fax software - client utilities
 The HylaFAX client software communicates with a HylaFAX server via TCP/IP.
 .
 HylaFAX support the sending and receiving of facsimiles, the polled
 retrieval of facsimiles and the send of alphanumeric pages.

Package: hylafax-client-dbg
Description-md5: b9a4b801b7dab4378b6895e8eed9dad4
Description-en: Flexible client/server fax software - client utilities
 The HylaFAX client software communicates with a HylaFAX server via TCP/IP.
 .
 HylaFAX support the sending and receiving of facsimiles, the polled
 retrieval of facsimiles and the send of alphanumeric pages.
 .
 This package only contains debugging symbols.

Package: hylafax-server
Description-md5: f6d21a82d4c9a54d7b8f613296b12eae
Description-en: Flexible client/server fax software - server daemons
 This package support the sending and receiving of facsimiles, the polled
 retrieval of facsimiles and the send of alphanumeric pages.
 .
 The host running the server must have either a Class 1, Class 2, or a
 Class 2.0 fax modem attached to one of its serial ports. End-user
 applications to manage the transmission of documents via facsimile are
 provided separately by the hylafax-client package.

Package: hylafax-server-dbg
Description-md5: 655d688f764091d85072ae8d25e3c7ad
Description-en: Debug symbols for the hylafax server
 This package support the sending and receiving of facsimiles, the polled
 retrieval of facsimiles and the send of alphanumeric pages.
 .
 The host running the server must have either a Class 1, Class 2, or a
 Class 2.0 fax modem attached to one of its serial ports. End-user
 applications to manage the transmission of documents via facsimile are
 provided separately by the hylafax-client package.
 .
 This package only contains debugging symbols.

Package: hyperrogue
Description-md5: 9aab77daa5af734ab0b3177944e473ee
Description-en: non-euclidean graphical rogue-like game
 HyperRogue is a game in which the player collects treasures and fights
 monsters -- rogue-like but for the fact that it is played on the hyperbolic
 plane and not in euclidean space.
 .
 In HyperRogue, the player can move through different parts of the world, which
 are home to particular creatures and may be subject to own rules of "physics".
 .
 While it can use ASCII characters to display the world the classical rogue
 symbols, the game needs graphics to render the non-euclidean world.

Package: hyperrogue-music
Description-md5: 694e72998bc91b3741d38ee6e3f35137
Description-en: in-game music for hyperrogue
 HyperRogue is a game in which the player collects treasures and fights
 monsters -- rogue-like but for the fact that it is played on the hyperbolic
 plane and not in euclidean space.
 .
 This package contains the in-game music.

Package: hyphen-show
Description-md5: 9062f7ff62203fa836f8b0f99f6c5d7d
Description-en: Show hyphenations in DVI-files
 hyphen_show scans a DVI-file, tries to find all hyphenations and writes them
 to stdout. It is useful to check whether TeX's hyphenation algorithm really
 did the good job it is supposed to do.

Package: hyphy-common
Description-md5: 29fb3ecb2c129ae6357a78d4e47aa407
Description-en: Hypothesis testing using Phylogenies (common files)
 HyPhy is an open-source software package for the analysis of genetic
 sequences using techniques in phylogenetics, molecular evolution, and
 machine learning. It features a complete graphical user interface (GUI)
 and a rich scripting language for limitless customization of analyses.
 Additionally, HyPhy features support for parallel computing environments
 (via message passing interface) and it can be compiled as a shared
 library and called from other programming environments such as Python or
 R.  Continued development of HyPhy is currently supported in part by an
 NIGMS R01 award 1R01GM093939.
 .
 This package provides files that are common to all binary versions.

Package: hyphy-mpi
Description-md5: bf880100fd3d0b8d321965866c6d667b
Description-en: Hypothesis testing using Phylogenies (MPI version)
 HyPhy is an open-source software package for the analysis of genetic
 sequences using techniques in phylogenetics, molecular evolution, and
 machine learning. It features a complete graphical user interface (GUI)
 and a rich scripting language for limitless customization of analyses.
 Additionally, HyPhy features support for parallel computing environments
 (via message passing interface) and it can be compiled as a shared
 library and called from other programming environments such as Python or
 R.  Continued development of HyPhy is currently supported in part by an
 NIGMS R01 award 1R01GM093939.
 .
 This package provides an executable using MPI to do multiprocessing.

Package: hyphy-pt
Description-md5: 27e3303e49d4d235306c006bc3c67912
Description-en: Hypothesis testing using Phylogenies (pthreads version)
 HyPhy is an open-source software package for the analysis of genetic
 sequences using techniques in phylogenetics, molecular evolution, and
 machine learning. It features a complete graphical user interface (GUI)
 and a rich scripting language for limitless customization of analyses.
 Additionally, HyPhy features support for parallel computing environments
 (via message passing interface) and it can be compiled as a shared
 library and called from other programming environments such as Python or
 R.  Continued development of HyPhy is currently supported in part by an
 NIGMS R01 award 1R01GM093939.
 .
 This package provides an executable using pthreads to do multiprocessing.

Package: i18nspector
Description-md5: 499bee4fb9ed76fb6277779087dcc5bc
Description-en: checking tool for gettext POT, PO and MO files
 i18nspector is a tool for checking translation templates (POT), message
 catalogues (PO) and compiled message catalogues (MO) files for common problems.
 These files are used by the GNU gettext translation functions and tools in many
 different development environments.
 .
 Checks include: incorrect or inconsistent character encoding, missing headers,
 incorrect language codes and improper plural forms.
 .
 This tool was formerly known as gettext-inspector.

Package: i2c-tools
Description-md5: 675f45359e19feae2eb165a5b0b5bdd7
Description-en: heterogeneous set of I2C tools for Linux
 This package contains a heterogeneous set of I2C tools for Linux: a bus
 probing tool, a chip dumper, register-level access helpers, EEPROM
 decoding scripts, and more.

Package: i2p
Description-md5: af30cb5729ed02b68be39b03bbe331bf
Description-en: Invisible Internet Project (I2P) - anonymous network
 I2P is an anonymizing network, offering a simple layer that identity-sensitive
 applications can use to securely communicate. All data is wrapped with several
 layers of encryption, and the network is both distributed and dynamic, with no
 trusted parties.
 .
 This package depends on the router, jbigi, the java service wrapper, and
 includes support to run I2P as a daemon.

Package: i2p-doc
Description-md5: a38a3343330ab23e4039d143d8b57c15
Description-en: Invisible Internet Project (I2P) - developer documentation
 I2P is an anonymizing network, offering a simple layer that identity-sensitive
 applications can use to securely communicate. All data is wrapped with several
 layers of encryption, and the network is both distributed and dynamic, with no
 trusted parties.
 .
 This package contains the Javadoc files.

Package: i2p-router
Description-md5: 6db3698c53eaf1e56ad10a8afb869e15
Description-en: Invisible Internet Project (I2P) - router
 I2P is an anonymizing network, offering a simple layer that identity-sensitive
 applications can use to securely communicate. All data is wrapped with several
 layers of encryption, and the network is both distributed and dynamic, with no
 trusted parties.
 .
 TrueType fonts (such as those provided in the package fonts-dejavu) are
 required in order to generate graphs.

Package: i2pd
Description-md5: d9b71fddfa4f0e9bc75bf48400387be0
Description-en: I2P Router written in C++
 I2P (Invisible Internet Protocol) is a universal anonymous network layer. All
 communications over I2P are anonymous and end-to-end encrypted, participants
 don't reveal their real IP addresses.

Package: i2util-tools
Description-md5: 225277958f0c8d8365ed74da471e9a8f
Description-en: Internet2 utility tools
 I2utils is a small support library with a set of command line tools
 needed by several software projects from Internet2, most notably bwctl.
 .
 This package contains the command line tools.

Package: i3
Description-md5: f5cb0728a19ad1e0e01b2d7cc6311aa0
Description-en: metapackage (i3 window manager, screen locker, menu, statusbar)
 This metapackage installs the i3 window manager (i3-wm), the i3lock screen
 locker, i3status (for system information) and suckless-tools (for dmenu).
 These are all the tools you need to use the i3 window manager efficiently.

Package: i3-wm
Description-md5: 2be7e62f455351435b1e055745d3e81c
Description-en: improved dynamic tiling window manager
 Key features of i3 are good documentation, reasonable defaults (changeable in
 a simple configuration file) and good multi-monitor support. The user
 interface is designed for power users and emphasizes keyboard usage. i3 uses
 XCB for asynchronous communication with X11 and aims to be fast and
 light-weight.
 .
 Please be aware i3 is primarily targeted at advanced users and developers.

Package: i3-wm-dbg
Description-md5: 5f4136360fbbbdabb5e650ce51c69560
Description-en: Debugging symbols for the i3 window manager
 Debugging symbols for the i3 window manager. Please install this to produce
 useful backtraces before creating new tickets.

Package: i3blocks
Description-md5: ef162006c271af7125939b8042ce5cde
Description-en: highly flexible status line for the i3 window manager
 Status line for the i3 window manager and i3bar that handles clicks, signals
 and language-agnostic user scripts.
 .
 The content of each block (e.g. time, battery status, network state, ...)
 is the output of a command provided by the user.  Blocks are updated on click,
 at a given interval of time or on a given signal, also specified by the user.
 .
 It aims to respect the i3bar protocol, providing customization such as text
 alignment, urgency, color, and more.

Package: i3lock
Description-md5: 4e6416465311b751731cda48e87fce00
Description-en: improved screen locker
 i3lock is a simple screen locker like slock. After starting it, you will
 see a white screen (you can configure the color/an image). You can return
 to your screen by entering your password.
 .
 i3lock forks so you can combine it with an alias to suspend to RAM.
 .
 You can specify either a background color or a PNG image which will be
 displayed while your screen is locked.
 .
 You can specify whether i3lock should bell upon a wrong password.
 .
 i3lock uses PAM and therefore is compatible with LDAP etc.

Package: i3lock-fancy
Description-md5: 1c135767cda697c6dd0ee94e36c7e796
Description-en: i3lock custom wrapper script
 i3lock bash script that takes a screenshot of the desktop, blurs the
 background and adds a lock icon and text.
 .
 The current package pulls code from a branch called `dualmonitors`.

Package: i3pystatus
Description-md5: dfa65737bf1cf73f5ad7488281889979
Description-en: Large collection of status modules compatible with i3bar
 from the i3 window manager.

Package: i3status
Description-md5: b7945e67d7743800240c086cc6c8bdaa
Description-en: Generates a status line for dzen2, xmobar or i3bar
 i3status is a small (about 3000 SLOC) and light-weight program for generating
 a status bar for i3bar, dzen2, xmobar or similar programs by combining several
 information about your system (IP addresses of your interfaces, load, current
 date/time, ESSID of the network you are associated to, disk status, and so on).

Package: i7z
Description-md5: d8d8666ba498676d026473aeeac2f152
Description-en: reporting tool for i7, i5, i3 CPUs
 i7z reports Intel Core i7, i5, i3 CPU information about Turbo Boost,
 frequencies, multipliers, ... and comes top-like display showing per core the
 current frequency, temperature and times spent in the C0/C1/C3/C6/C7 states.
 .
 There is also an i7z_rw_registers script that allows toggling Turbo mode
 or set multipliers.

Package: i810switch
Description-md5: 1c3c5fddcaee1a216f924d9aa47cdc68
Description-en: Enables/disables video output to CRT/LCD on i810 video hardware
 i810switch enables/disables the output to the CRT display and LCD,
 depending on the i810 graphics controller hardware. Such hardware is found
 on some laptops (eg, Sony Vaios, some Dell models, etc). Chipsets also
 supported include i855, i830, i845.
 .
 This package includes the i810rotate script, which toggles the output
 between three states: LCD only, LCD + CRT, and CRT only.

Package: i8c
Description-md5: 99334508cc4f3a27763773fbe563d8ce
Description-en: infinity note compiler
 Infinity is a platform-independent system for executables and shared
 libraries to export information to software development tools such as
 debuggers.
 .
 In Infinity, executable and shared library files contain *Infinity
 notes* in addition to their regular contents. Each Infinity note
 contains a function encoded in a platform-independent instruction
 set that note-consuming tools can load and execute.
 .
 This package provides I8C, a compiler for creating object files
 containing Infinity notes. This package also provides I8X, an
 execution environment that can be used to create unit tests for
 compiled notes.

Package: i8kutils
Description-md5: ae6fdc83cb064ebfc99b06d7d3165e4c
Description-en: Fan control for Dell laptops
 This is a collection of utilities to control Dell laptops fans. It includes
 programs to turn the fans on and off, to read fans status, CPU temperature,
 BIOS version.

Package: i965-va-driver
Description-md5: fe43e5416d8dfcc2afdd343101427eec
Description-en: VAAPI driver for Intel G45 & HD Graphics family
 The VA-API (Video Acceleration API) enables hardware accelerated video
 decode/encode at various entry-points (VLD, IDCT, Motion Compensation
 etc.) for the prevailing coding standards today (MPEG-2, MPEG-4
 ASP/H.263, MPEG-4 AVC/H.264, and VC-1/WMV3). It provides an interface
 to fully expose the video decode capabilities in today's GPUs.
 .
 This package contains the video decode and encode driver backend for the
 Intel G45 chipsets and Intel HD Graphics for the Intel Core processor family.
 The supported platforms include:
  * Cantiga, Intel GMA 4500MHD (GM45)
  * Ironlake, Intel HD Graphics for 2010 Intel Core processor family
  * Sandy Bridge, Intel HD Graphics for 2011 Intel Core processor family
  * Ivy Bridge
  * Haswell
  * Broadwell
  * Skylake
  * Kaby Lake
  * Coffee Lake
  * Cannon Lake

Package: iagno
Description-md5: 2341177da6176cc28d23607d7fcadd2a
Description-en: popular Othello game for GNOME
 Iagno is a computer version of the game Reversi, more popularly called
 Othello. Iagno is a two player strategy game similar to Go. The board
 is 8 by 8 with tiles that are black on one side and white on the other
 side. The object of Iagno is to flip as many of your opponent's tiles
 to your color as possible without your opponent flipping your tiles.
 This is done by trapping your opponent's tiles between two tiles of
 your own color.

Package: iamerican
Description-md5: b5822be7a8c9b0ed058672fb296cf975
Description-en: American English dictionary for ispell (standard version)
 This package provides the standard, medium-sized American English dictionary,
 based on the americanmed+ dictionary supplied with the source for ispell,
 with additional words added from the more comprehensive wamerican word
 list package.
 .
 There are also -small, -large, -huge, and -insane versions of this dictionary,
 and there are ibritish* packages as well.
 .
 The package also suggests wamerican because ispell's (L)ookup command
 needs a word list.

Package: iamerican-huge
Description-md5: b94bbf20d9ccf3f12f45d217c95872fe
Description-en: American English dictionary for ispell (huge)
 This package provides the american-huge dictionary, based on the americanxlg+
 dictionary supplied with the source for ispell, with additional words added
 from the more comprehensive wamerican-huge word list package.
 .
 This is an even larger dictionary than the one installed by
 iamerican-large. Nothing prevents you installing both (and others) at the
 same time.
 .
 There are also -small, -large, -insane, and standard versions of this
 dictionary, and there are ibritish* packages as well.
 .
 The package also suggests wamerican-huge because ispell's (L)ookup command
 needs a word list.

Package: iamerican-insane
Description-md5: d3ed22d2085c1460a3c9c093074a502a
Description-en: American English dictionary for ispell (insane version)
 This package provides the american-insane dictionary, based on the
 americanxlg+ dictionary supplied with the source for ispell, with additional
 words added from the more comprehensive wamerican-insane word list package.
 .
 This is an even larger dictionary than the one installed by iamerican-huge,
 and possibly contains invalid words (as well as words that are very
 uncommon). Nothing prevents you installing both (and others) at the same time.
 .
 There are also -small, -large, -huge, and standard versions of this
 dictionary, and there are ibritish* packages as well.
 .
 The package also suggests wamerican-huge because ispell's (L)ookup command
 needs a word list.

Package: iamerican-large
Description-md5: b63ed4f8b8ae50b22925711d0afd2c1d
Description-en: American English dictionary for ispell (large)
 This package provides the american-large dictionary, based on the americanlrg+
 dictionary supplied with the source for ispell, with additional words added
 from the more comprehensive wamerican-large word list package.
 .
 This is larger dictionary than the one installed by iamerican. Nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -small, -huge, -insane, and standard versions of this
 dictionary, and there are ibritish* packages as well.
 .
 The package also suggests wamerican-large because ispell's (L)ookup
 command needs a word list.

Package: iamerican-small
Description-md5: ca238eb2552ee38e0c998bdc7044b606
Description-en: American English dictionary for ispell (small)
 This package provides the american-small dictionary, based on the americansml+
 dictionary supplied with the source for ispell, with additional words added
 from the more comprehensive wamerican-small word list package.
 .
 This is smaller dictionary than the one installed by iamerican. Nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -large, -huge, -insane, and standard versions of this
 dictionary, and there are ibritish* packages as well.
 .
 The package also suggests wamerican-small because ispell's (L)ookup
 command needs a word list.

Package: iannix
Description-md5: 438b121615de0bbed073f96f2656028d
Description-en: graphical OSC sequencer for digital arts
 IanniX is a graphical sequencer for digital arts,
 inspired by Iannis Xenakis' upic system for graphical scores.
 IanniX manages events described via graphical elements (like curves) and
 controls your real-time environment via Open Sound Control (OSC).
 It can also be fully controlled via OSC (or FUDI, if you prefer).

Package: iat
Description-md5: 43a4b9330480c7263abcdfcfab5ec58c
Description-en: Converts many CD-ROM image formats to iso9660
 iat (Iso9660 Analyzer Tool) is a tool for detecting the structure
 of many types of CD-ROM image file formats, such as BIN, MDF, PDI,
 CDI, NRG, and B5I, and  converting them into ISO-9660.

Package: iaxmodem
Description-md5: 68224e53a58025bdad30f8a9981b2d55
Description-en: software modem with IAX2 connectivity
 IAXmodem is a software modem written in C that uses an IAX channel (commonly
 provided by an Asterisk PBX system) instead of a traditional phone line and
 uses a DSP library instead of DSP hardware chipsets.
 .
 IAXmodem was originally conceived to function as a fax modem usable with
 HylaFAX, and it does that well. However IAXmodem also has been known to
 function with mgetty+sendfax and efax.

Package: ibacm
Description-md5: 94afd2c241abf6569edf525ba236170f
Description-en: InfiniBand Communication Manager Assistant (ACM)
 The IB ACM implements and provides a framework for name, address, and
 route (path) resolution services over InfiniBand.
 It is intended to address connection setup scalability issues running
 MPI applications on large clusters.  The IB ACM provides information
 needed to establish a connection, but does not implement the CM protocol.
 A primary user of the ibacm service is the librdmacm library.

Package: ibam
Description-md5: 9f2eaf6880e9a93ebb69ae65c76ba869
Description-en: Advanced battery monitor for laptops
 IBAM is an advanced battery monitor for laptops, which uses statistical and
 adaptive linear methods to provide accurate estimations of minutes of
 battery left or of the time needed until full recharge. It requires APM, ACPI
 or PMU.

Package: ibniz
Description-md5: 05ca4ff27e14919ba67b0e187f5f61cb
Description-en: Virtual machine for compact low-level audiovisual programs
 IBNIZ is a virtual machine designed for extremely compact low-level
 audiovisual programs. The leading design goal is usefulness as a
 platform for demoscene productions, glitch art and similar projects.
 Mainsteam software engineering aspects are considered totally
 irrelevant.

Package: ibod
Description-md5: a20f36cec3e06191e0a5195c37c20863
Description-en: ISDN MPPP bandwidth on demand daemon
 ibod is an ISDN MPPP bandwidth on demand daemon designed to operate in
 conjunction with isdn4linux. The program monitors inbound and outbound
 traffic on the ISDN interface. When the required bandwidth exceeds the
 capacity for the current number of ISDN B-channels, more (slave)
 channels are connected according to the MPPP protocol. When the traffic
 decreases, the slave channel(s) are disconnected.

Package: ibrazilian
Description-md5: f09cb090d148d38b6107d9ecf74d355b
Description-en: Brazilian Portuguese dictionary for ispell
 This is the Brazilian Portuguese dictionary for ispell as put together by
 Ricardo Ueda Karpischek <ueda@ime.usp.br>.
 .
 Current status is good enough to be used for daily needs. This dictionary
 is being developed in a structured way. Verbs are treated apart by a
 specific software, a verb conjugator (conjugue). Names are partitioned
 into semantic classes to make vocabulary completion and revision easier.

Package: ibritish
Description-md5: ef129c09c67f68f104bceb23bd194b45
Description-en: British English dictionary for ispell (standard version)
 This package provides the standard, medium-sized British English dictionary,
 based on the britishmed+ dictionary supplied with the source for ispell, with
 additional words added from the more comprehensive wbritish word list package.
 .
 There are also -small, -large, -huge, and -insane versions of this dictionary,
 and there are iamerican* packages as well.
 .
 The package also suggests wbritish because ispell's (L)ookup command
 needs a word list.

Package: ibritish-huge
Description-md5: 0b789b7ed7f3bd20517cd360b35ca83a
Description-en: British English dictionary for ispell (huge)
 This package provides the british-huge dictionary, based on the britishxlg+
 dictionary supplied with the source for ispell, with additional words added
 from the more comprehensive wbritish-huge word list package.
 .
 This is an even larger dictionary than the one installed by
 ibritish-large. Nothing prevents you installing both (and others) at the
 same time.
 .
 There are also -small, -large, -insane, and standard versions of this
 dictionary, and there are iamerican* packages as well.
 .
 The package also suggests wbritish-huge because ispell's (L)ookup command
 needs a word list.

Package: ibritish-insane
Description-md5: 42d0dbe098233ca675e7b028eb34caff
Description-en: British English dictionary for ispell (insane version)
 This package provides the british-insane dictionary, based on the britishxlg+
 dictionary supplied with the source for ispell, with additional words added
 from the more comprehensive wbritish-insane word list package.
 .
 This is an even larger dictionary than the one installed by ibritish-huge,
 and possibly contains invalid words (as well as words that are very
 uncommon). Nothing prevents you installing both (and others) at the same time.
 .
 There are also -small, -large, -huge, and standard versions of this
 dictionary, and there are iamerican* packages as well.
 .
 The package also suggests wbritish-huge because ispell's (L)ookup command
 needs a word list.

Package: ibritish-large
Description-md5: fecec63e04dabee2fbe41468490d7450
Description-en: British English dictionary for ispell (large)
 This package provides the british-large dictionary, based on the britishlrg+
 dictionary supplied with the source for ispell, with additional words added
 from the more comprehensive wbritish-large word list package.
 .
 This is larger dictionary than the one installed by ibritish. Nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -small, -huge, -insane, and standard versions of this
 dictionary, and there are iamerican* packages as well.
 .
 The package also suggests wbritish-large because ispell's (L)ookup command
 needs a word list.

Package: ibritish-small
Description-md5: 32eadcbca9d2289ecde55119cb1805af
Description-en: British English dictionary for ispell (small)
 This package provides the british-small dictionary, based on the britishsml+
 dictionary supplied with the source for ispell, with additional words added
 from the more comprehensive wbritish-small word list package.
 .
 This is smaller dictionary than the one installed by ibritish. Nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -large, -huge, -insane, and standard versions of this
 dictionary, and there are iamerican* packages as well.
 .
 The package also suggests wbritish-small because ispell's (L)ookup command
 needs a word list.

Package: ibsim-utils
Description-md5: 213cfc3282bdc0c42f1565a0696d728b
Description-en: InfiniBand fabric simulator utilities
 ibsim provides a simulation of an InfiniBand fabric,
 which can be used by the opensm subnet manager and
 infiniband diagnostics and management tools.
 .
 This package provides utilities for use with the
 simulator.

Package: ibulgarian
Description-md5: e0887f57e0097eaf46c7727412669872
Description-en: Bulgarian dictionary for ispell
 This is the Bulgarian dictionary for ispell.
 .
 This package also recommends wbulgarian because ispell's (L)ookup command
 needs a wordlist.

Package: ibus-anthy
Description-md5: 505c94c7454cb60018e66638b33fc6c0
Description-en: anthy engine for IBus
 IBus is an Intelligent Input Bus. It is a new input framework for Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 IBus-Anthy is an input method (IM) for Japanese, based on IBus.

Package: ibus-anthy-dev
Description-md5: 51066f2ee01056b293e228aa3638e623
Description-en: anthy engine for IBus, development files
 IBus is an Intelligent Input Bus. It is a new input framework for Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 IBus-Anthy is an input method (IM) for Japanese, based on IBus.
 .
 This package provides ibus-anthy development files.

Package: ibus-array
Description-md5: 69c56e5944d27d130af1d98c59051851
Description-en: Array 30 input engine for iBus
 IBus is an Intelligent Input Bus. It is a new input framework for Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 ibus-array is an IM Engine for Traditional Chinese, based on IBus.

Package: ibus-avro
Description-md5: a4e45fc7e2170175e61ab6eef554298f
Description-en: IBus engine for Avro Phonetic
 ibus-avro is a port of the popular Avro Phonetic Bangla typing method
 to Linux. This software works as an Engine (plugin) of IBus and lets
 users type in English and on-the-fly transliterate them phonetically
 to Bangla.

Package: ibus-braille
Description-md5: 4ba1bbfebc13d5c1c8925fefb700c81e
Description-en: Braille input engine for IBus
 Ibus-braille allows one to use the PC keyboard to type text in
 graphical desktops in a Perkins-like way, i.e. braille patterns.
 .
 It supports several braille tables  (english, french, indian, spanish),
 contracted braille and abbreviations.
 .
 It comes with table editors.

Package: ibus-cangjie
Description-md5: 0ab0e726b6df932a0ccbd42d4f4d5fcf
Description-en: Cangjie and Quick input methods for IBus
 This is an IBus engine for users of the Cangjie and Quick input
 methods.
 .
 It is primarily intended to Hong Kong people who want to input
 Traditional Chinese, however, it should work for others as well
 (e.g. to input Simplified Chinese).

Package: ibus-clutter
Description-md5: deea38aac42040667a6e48f66dfa799c
Description-en: ibus input method framework for clutter
 IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It
 provides full featured and user friendly input method user interface. It also
 may help developers to develop input method easily.
 .
 ibus-clutter is the clutter client of ibus, it provides a clutter-immodule
 for ibus.

Package: ibus-input-pad
Description-md5: dfe32a6379ef71c6582ec3621660b596
Description-en: Input Pad for IBus
 The input pad engine for IBus platform.
 It provides the interface to use input-pad with IBus.
 .
 This package contains the IBus engine.

Package: ibus-keyman
Description-md5: f20c4f91de05feccfdfa17e0ab700467
Description-en: Keyman engine for IBus
 Originally created in 1993 to type Lao on Windows, Keyman is now a free and
 open source keyboarding platform which allows anyone to write a keyboard layout
 for their language. Keyman is available for many platforms, including Windows,
 macOS, iOS, Android, Linux and the web.
 .
 Keyboard layouts are defined with a clear and easy to understand keyboard
 grammar. Keyman's contextual input model means keyboard layouts can be
 intelligent and make it simple to type even the most complex languages.
 Keyboard layouts are distributed through an open catalog to all major desktop
 and mobile platforms.
 .
 IBus-keyman is a IM (input method) Engine for multiple languages,
 based on IBus.
 .
 This package provides the Keyman IM engine for IBus. With this module, you can
 use keyboard layouts designed for Keyman version 11 or earlier under the IBus
 platform.

Package: ibus-kkc
Description-md5: 226d2b7968f84e65c3b87e2709ca027d
Description-en: Japanese Kana Kanji input engine for IBus
 IBus is an Intelligent Input Bus. It is a new input framework for Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 ibus-kkc is an input method (IM) for Japanese, based on IBus.

Package: ibus-kmfl
Description-md5: 62a26144b96dc9401cdca7437f3f4a72
Description-en: Input method engine for multiple languages using KMFL for IBus
 This package provides the KMFL (Keyboard Mapping for Linux) input method
 engine for IBus. With this module, you can use keyboard layouts designed
 for Keyman for Windows 6.0 under the IBus platform.

Package: ibus-libthai
Description-md5: cb53221e7109c300c735ba183e042ad5
Description-en: Thai input method engine for IBus based on LibThai
 ibus-libthai is a Thai IBus input method engine based on LibThai library.
 .
 It supports Ketmanee, TIS-820.2538 and Pattachote keyboard layouts, and
 can check and correct input sequences with three levels of strictness.

Package: ibus-libzhuyin
Description-md5: c876ccd0e8b09cd86684b80299717c99
Description-en: New Zhuyin engine based on libzhuyin for IBus
 ibus-libzhuyin is a Chinese Zhuyin (Bopomofo) input method based on
 libzhuyin. It provides an intelligent sentence-based Chinese zhuyin
 algorithm to improve input experience.

Package: ibus-pinyin
Description-md5: c12dfc1dad0e79391a2c854df6b8fd17
Description-en: Pinyin engine for IBus
 ibus-pinyin is a IBus based IM engine for Chinese.
 .
 For more information on pinyin input method, please check
 http://en.wikipedia.org/wiki/Pinyin_method
 .
 This package contains pinyin input method.
 .
 This pinyin input method is deprecated; new users should
 switch to ibus-libpinyin, which is a contemporary implementation
 of pinyin input method.

Package: ibus-rime
Description-md5: 6dfb45a3b630a864dbcbc4b6e2d6ef6d
Description-en: Rime Input Method Engine for IBus
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the IBus frontend of RIME.

Package: ibus-skk
Description-md5: c4f9ca6ffcc82b8661b9301e1cb479ec
Description-en: SKK engine for IBus
 IBus is an Intelligent Input Bus. It is a new input framework for Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 IBus-SKK is an input method (IM) for Japanese, based on IBus.

Package: ibus-sunpinyin
Description-md5: 09535412ce7ac7a2dbc02de8607f3f55
Description-en: sunpinyin engine for ibus
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains the sunpinyin engine for ibus.

Package: ibus-table-array30
Description-md5: 906639e5bbb3576176dd1d9b4dbfb87a
Description-en: Array30 input method based on table engine of ibus
 This package provide one input method: Array30.
 .
 Array30 is a Chinese input method, check more information at
 http://zh.wikipedia.org/wiki/%E8%A1%8C%E5%88%97%E8%BC%B8%E5%85%A5%E6%B3%95 (in
 Chinese).

Package: ibus-table-cantonese
Description-md5: 63969e1173da8c4a4130a876d00eb8ea
Description-en: ibus-table input method: Cantonese
 This package provides one input methods: Cantonese

Package: ibus-table-cantonhk
Description-md5: 3d10a62067b85d573b691cd3aac2dc10
Description-en: ibus-table input method: Cantonhk
 This package provides one input methods: Cantonhk

Package: ibus-table-cns11643
Description-md5: 52acbafe21012b28dba69801a56239cb
Description-en: ibus-table input method: Cns11643
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provides one input method: Cns11643.

Package: ibus-table-compose
Description-md5: 3ca3b0514465fa7439f350403160f1e8
Description-en: ibus-table input method: Compose
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provide one input method: Compose

Package: ibus-table-easy
Description-md5: 820705967a3acb9377827b589e512ec7
Description-en: ibus-table input method: Easy (dummy package)
 This is a dummy package for stable upgrade.
 .
 You can safely remove it from your system.

Package: ibus-table-easy-big
Description-md5: db2d3bf86b380671cd954d65e5e43d33
Description-en: ibus-table input method: Easy (big)
 This package provide one input method: Easy (big).
 .
 Easy (big) is a Traditional Chinese input method.

Package: ibus-table-emoji
Description-md5: 50ce21706b069f76d1097f46bbf67ab6
Description-en: ibus-table input method: Emoji
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provides one input method: Emoji.
 .
 Emoji is the Japanese term for the picture characters or emoticons used in
 Japanese wireless messages and webpages.  For more information, see
 http://en.wikipedia.org/wiki/Emoji.

Package: ibus-table-erbi
Description-md5: 392dfe636cd5717cb1f83161bc72cfb3
Description-en: ibus-table input method: ErBi
 This package provide one input methods: ErBi
 .
 ErBi is a Simplified Chinese input method, check more information at
 http://zh.wikipedia.org/wiki/%E4%BA%8C%E7%AC%94%E8%BE%93%E5%85%A5%E6%B3%95 (in
 Chinese).

Package: ibus-table-erbi-qs
Description-md5: 43032f8e9bd97dffd6cea8a18d2edbde
Description-en: ibus-table input method: ErBi(QS)
 This package provide one input methods: ErBi(QS)
 .
 ErBi is a Simplified Chinese input method, check more information at
 http://zh.wikipedia.org/wiki/%E4%BA%8C%E7%AC%94%E8%BE%93%E5%85%A5%E6%B3%95 (in
 Chinese).

Package: ibus-table-extraphrase
Description-md5: 01d06f393cb71e1e2c8434088db312c3
Description-en: Extra phrase for table engine of ibus
 IBus-Table is the IM Engine framework for table-based input methods, such as
 WuBi, ErBi, Cangjie and so on.
 .
 This package provide extra phrase for table engine of ibus.

Package: ibus-table-ipa-x-sampa
Description-md5: bab6dda0aa09039cdf258071416f1454
Description-en: ibus-table input method: IPA-X-SAMPA
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provide one input method: IPA-X-SAMPA
 .
 For more information of IPA-X-SAMPA, see http://en.wikipedia.org/wiki/X-SAMPA

Package: ibus-table-jyutping
Description-md5: 84d6a5d61010d9fafba279b6f7620f60
Description-en: ibus-table input method: Jyutping
 This package provides one input method: Jyutping
 .
 http://en.wikipedia.org/wiki/Jyutping

Package: ibus-table-latex
Description-md5: 7970c1e6a8e0bd3959c92b4134a291e1
Description-en: ibus-table input method: LaTeX
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provides one input method: LaTeX.
 .
 For more information of LaTex, see http://en.wikipedia.org/wiki/LaTeX.

Package: ibus-table-quick
Description-md5: e6c912f96cb1c9c706c426e70f645975
Description-en: ibus-table input method: Quick (dummy package)
 This is a dummy package for stable upgrade.
 .
 You can safely remove it from your system.

Package: ibus-table-quick3
Description-md5: 0725347546242d8bcfe88a405b139456
Description-en: ibus-table input method: Quick3
 This package provide one input method: Quick3.
 .
 Quick3 is a Traditional Chinese input method.

Package: ibus-table-quick5
Description-md5: 9bc203839477c4bd0de61f0bca715d1e
Description-en: ibus-table input method: Quick5
 This package provide one input method: Quick5.
 .
 Quick5 is a Traditional Chinese input method.

Package: ibus-table-rustrad
Description-md5: 0c5b52bb15df0da63085ee05a27c2f89
Description-en: ibus-table input method: Russian Traditional
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provide one input method: Russian Traditional
 .
 Russian Traditional is a Russian input method.

Package: ibus-table-scj6
Description-md5: 799fdfbd4c32d6e0d8ba6ab2d3d9eaaf
Description-en: ibus-table input method: Smart CangJie 6
 This package provide one input method: Smart CangJie 6.
 .
 Smart CangJie 6 is a Traditional Chinese input method, check more information
 at http://www.scj2000.com/ and http://www.scj2000.net/

Package: ibus-table-stroke5
Description-md5: c7a034a21235a1cb36f754c09b5acbbe
Description-en: ibus-table input method: Stroke5
 This package provides one input method: Stroke5.

Package: ibus-table-thai
Description-md5: 80a85f763ea3c52043ffd35df8e50389
Description-en: ibus-table input method: Thai
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provides one input method: Thai.
 .
 Thai is a Thai language input method.

Package: ibus-table-translit
Description-md5: 2408da1134e52fa77b6a63f6725fba3f
Description-en: ibus-table input method: Translit
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provide one input method: Translit
 .
 Translit is a Russian input method.

Package: ibus-table-translit-ua
Description-md5: 37de5c92784d8615e3f957dc0de966f7
Description-en: ibus-table input method:  Ukrainian Translit
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provide one input method: Ukrainian Translit.
 .
 Ukrainian Translit is a Ukrainian input method.

Package: ibus-table-viqr
Description-md5: 8bb1c8b3f06831d16016590cc9f60076
Description-en: ibus-table input method: Viqr
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provides one input method: Viqr.
 .
 Viqr is a Vietnamese input method.

Package: ibus-table-wu
Description-md5: 0fbcc6fde8f65454667861acb991e0db
Description-en: ibus-table input method: Wu
 This package provides one input method: Wu.

Package: ibus-table-yawerty
Description-md5: 1b5810c045bcf57ce1465dae853e7332
Description-en: ibus-table input method: Yawerty
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package provide one input method: Yawerty.

Package: ibus-table-yong
Description-md5: 721d8a21a95b0d10850050efbef1d0b5
Description-en: ibus-table input method: YongMa
 This package provide one input method: YongMa.
 .
 YongMa is a Chinese input method, check more information at
 http://yong.uueasy.com/read.php?tid=218 .

Package: ibus-tests
Description-md5: fdc06b8df25b46282205263a0058ee1a
Description-en: Intelligent Input Bus - installed tests
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package contains test programs, designed to be run as part of a
 regression testsuite.

Package: ibus-wayland
Description-md5: 1e9348a71fcaa851b58b1ec63c4c08bc
Description-en: Intelligent Input Bus - Wayland support
 IBus is an Intelligent Input Bus. It is a new input framework for the Linux
 OS. It provides full featured and user friendly input method user interface.
 It also may help developers to develop input method easily.
 .
 This package contains the Wayland IM module.

Package: ibus-zhuyin
Description-md5: c4e727caf7d0f62cc45224c9ac1e9cbc
Description-en: IBus Traditional ZhuYin Input Method
 This traditional Chinese zhuyin input method is designed for old school users.
 .
 There is no intelligent phonetic matching mechanism.
 You have to select which word you want everytime.

Package: ibutils
Description-md5: 31c3cd12ef2e32510739cbe398755b48
Description-en: InfiniBand network utilities
 This package contains a set of utilities useful for
 diagnosing and testing InfiniBand based networks.

Package: ibverbs-utils
Description-md5: a33873b95d7b0cff0d895ec3f573b2fc
Description-en: Examples for the libibverbs library
 libibverbs is a library that allows userspace processes to use RDMA
 "verbs" as described in the InfiniBand Architecture Specification and
 the RDMA Protocol Verbs Specification.  iWARP ethernet NICs support
 RDMA over hardware-offloaded TCP/IP, while InfiniBand is a
 high-throughput, low-latency networking technology.  InfiniBand host
 channel adapters (HCAs) and iWARP NICs commonly support direct
 hardware access from userspace (kernel bypass), and libibverbs
 supports this when available.
 .
 This package contains useful libibverbs1 example programs such as
 ibv_devinfo, which displays information about InfiniBand devices.

Package: ical2html
Description-md5: 2001915df8b1e733aaf1956be006319b
Description-en: create an HTML table from icalendar data
 ical2html takes an iCalendar file and outputs an HTML file showing one
 or more months in the form of tables.
 .
 This package contains the following commandline utilities:
  * ical2html - create an HTML table from icalendar data
  * icalmerge - merge icalendar files, keeping only the most recent
    version of each event
  * icalfilter - filter an iCalendar file based on class and/or category

Package: icatalan
Description-md5: 5315763f04d3913721dd006d2a490e55
Description-en: Catalan dictionary for ispell
 This is the Catalan dictionary for ispell as put together by
 Joan Moratinos using data from different sources.

Package: icdiff
Description-md5: c7d83d0df1c478e311aa883a2d1bb344
Description-en: terminal side-by-side colorized word diff
 By highlighting changes, icdiff can show you the differences between similar
 files without getting in the way. This is especially helpful for identifying
 and understanding small changes within existing lines.
 Instead of trying to be a diff replacement for all circumstances, the goal
 of icdiff is to be a tool you can reach for to get a better picture of what
 changed when it's not immediately obvious from diff.

Package: icebreaker
Description-md5: 926a53afe0cd03e3d7658b381f5aeb63
Description-en: Break the iceberg
 So, uh, there's a bunch of penguins on an iceberg in Antarctica. You have
 been selected to catch them so they can be shipped to Finland, where they
 are essential to a secret plot for world domination.
 .
 In order to trap the penguins, you'll need to break the iceberg into small
 chunks. (They're afraid of water, for no apparent reason. Ah well. "The
 Matrix" had more plot holes than this, and it still was a hit.) You do
 this by melting lines in the ice with Special High-Tech GNU Tools.
 .
 Once 80% or more of the iceberg is gone, the remaining chunks are small
 enough for shipping. Of course, if you manage to get rid of more than
 that, you'll save on postage, thus earning you exponential amounts of Geek
 Cred (a.k.a. "score").

Package: icecast2
Description-md5: c8a4d364d7555ed5faa920c33bd9771f
Description-en: streaming media server
 Icecast is a versatile multimedia streaming server which can create
 (for instance) a private jukebox or "Internet radio station". It
 supports Ogg streaming using the Vorbis and Theora codecs, as well as
 other formats such as MP3, AAC, or NSV, and is compatible with most
 media players.

Package: icecc
Description-md5: e7de76b64730752c795cedcf1cbcee94
Description-en: distributed compiler (client and server)
 icecc (also known as Icecream) is a distributed compile system. It allows
 parallel compiling by distributing the compile jobs to several nodes of
 a compile network running the icecc daemon. The icecc scheduler routes
 the jobs and provides status and statistics information to the icecc monitor.
 .
 Each compile node can accept one or more compile jobs depending on the number
 of processors and the settings of the daemon. Link jobs and other jobs which
 cannot be distributed are executed locally on the node where the compilation
 is started.

Package: icecc-monitor
Description-md5: 9eae46c06406bc5179f9c805cfc7aeb3
Description-en: monitor for icecc
 icecc-monitor is a monitoring application for icecc (a distributed compiler).
 It provides a view on the distributed compile network, which jobs run where
 and details about jobs and nodes (statistics and overview information).
 .
 See the 'icecc' package for more information.

Package: icecream
Description-md5: e34a5d312fa7bfd96adb9ee1d91fb395
Description-en: lightweight stream download utility
 icecream is a non-interactive stream download utility written in Perl.
 It connects to icecast and shoutcast servers or direct stream URLs, and
 redirects all fetched content to stdout and/or to media files on your disk.
 .
 Listen to the stream piping the output to a stdin-capable media player.
 Save the stream to a named file or split it into different tracks.
 It is possible to redirect the stream and save it to disk at the same time.

Package: icecream-sundae
Description-md5: 8cc73735c33e7af7b5c4d1b4b22f0baf
Description-en: Commandline Monitor for Icecream (icecc)
 This program is a commandline Monitor for Icecream (icecc) for an
 overview of nodes connected to the icecream-scheduler, their loads
 and other useful statistical information

Package: icedax
Description-md5: 5b3c8322b48ac5df8ae8e665c366700a
Description-en: Creates WAV files from audio CDs
 icedax lets you digitally copy ("rip") audio tracks from a CD, avoiding
 the distortion that is introduced when recording via a sound card. Data
 can be dumped into raw (cdr), wav or sun format sound files. Options control
 the recording format (stereo/mono; 8/16 bits; sampling rate, etc).
 .
 Please install cdrkit-doc if you want most of the documentation and
 README files.

Package: icedtea-netx
Description-md5: 052037f44a864c63fefe21804d48141f
Description-en: NetX - implementation of the Java Network Launching Protocol (JNLP)
 NetX provides a drop-in replacement for javaws (Java Web Start). Since
 upstream NetX is dormant, IcedTea is hosting and modifying the sources
 in the IcedTea-Web directory.
 .
 IcedTea's NetX currently supports verification of signed jars, trusted
 certificate storing, system certificate store checking, and provides
 the services specified by the jnlp API.

Package: ices2
Description-md5: 995534453fae9cc8aa5275726b2aa163
Description-en: Ogg Vorbis streaming source for Icecast 2
 IceS 2.x is used to source Icecast 2 streaming audio
 servers with Ogg Vorbis audio streams.  It supports both
 live audio input from a soundcard and re-encoding of Ogg
 Vorbis files from a playlist.

Package: icewm
Description-md5: 690f826fadc289155859a8d83a4cff39
Description-en: wonderful Win95-OS/2-Motif-like window manager
 IceWm is a Window Manager for X Window System. It is fast and
 memory-efficient, and it provides many different looks including Windows'95,
 OS/2 Warp 3,4, Motif. It tries to take the best features of the above
 systems. Additional features include  multiple workspaces, opaque move/resize,
 task bar, window list, mailbox status, digital clock.

Package: icewm-common
Description-md5: 95ebf911ffa84e4e1d93c7b253b4fc71
Description-en: wonderful Win95-OS/2-Motif-like window manager
 IceWm is a Window Manager for X Window System. Can emulate the look of
 Windows'95, OS/2 Warp 3,4, Motif. Tries to take the best features of the above
 systems.
 Features multiple workspaces, opaque move/resize, task bar, window list,
 mailbox status, digital clock. Fast and small.
 .
 This package provides the common files for icewm, icewm-experimental and
 icewm-lite binary packages.

Package: icewm-experimental
Description-md5: ec685794b2bb6d5bbba1bcb89d2c3080
Description-en: wonderful Win95-OS/2-Motif-like window manager
 IceWm is a Window Manager for X Window System. It is fast and
 memory-efficient, and it provides many different looks including Windows'95,
 OS/2 Warp 3,4, Motif. It tries to take the best features of the above
 systems. Additional features include  multiple workspaces, opaque move/resize,
 task bar, window list, mailbox status, digital clock.
 .
 This package sometimes provides special IceWm versions with experimental
 features enabled. When the configurations of the packages do not diverge
 it simply enforces an upgrade to the current icewm package.

Package: icewm-lite
Description-md5: e73cbf6a96471b0ab76f0a9f091e6667
Description-en: transitional package for icewm
 IceWm is a Window Manager for X Window System. It is fast and
 memory-efficient, and it provides different looks and some desktop-like
 functionality.
 .
 This package only exists to maintain compatibility to system setups that
 relied on the former icewm-lite package. It can be safely removed once the
 system dependencies has been adjusted.

Package: icheck
Description-md5: 2d1b0028b8b82b44d54a65a87e7fe39e
Description-en: C interface ABI/API checker
 A tool for statically checking C interfaces for API and ABI
 changes. All changes to type declarations that can cause ABI changes
 should be detected, along with most API changes.
 .
 icheck is intended for use with libraries, as a method of preventing
 ABI drift.

Package: icinga2
Description-md5: 2437d4eec840a772b3ba09c39cbe2bb3
Description-en: host and network monitoring system
 Icinga 2 is a general-purpose monitoring application to fit the needs of
 any size of network. Icinga 1.x was a Nagios fork; this new generation
 has been rewritten from scratch in C++, with multi-threading and cluster
 support.
 .
 Features:
  * all standard features of Icinga and Nagios;
  * much faster and more scalable than Icinga 1 and Nagios;
  * new, more intuitive, template-based configuration format;
  * monitoring services on ICMP (ping) or TCP ports (HTTP, NNTP, POP3,
    SMTP, etc.) by executing checks (see monitoring-plugins*);
  * any small script following the Nagios plugin API can be used as a
    check plugin;
  * notifications about alerts for any custom script (with examples);
  * native support for Livestatus and Graphite.
 .
 This is the metapackage to install all Icinga 2 features.

Package: icinga2-bin
Description-md5: 2786f709af874963bf1e186a3d434d9f
Description-en: host and network monitoring system - daemon
 Icinga 2 is a general-purpose monitoring application to fit the needs of
 any size of network. Icinga 1.x was a Nagios fork; this new generation
 has been rewritten from scratch in C++, with multi-threading and cluster
 support.
 .
 Features:
  * all standard features of Icinga and Nagios;
  * much faster and more scalable than Icinga 1 and Nagios;
  * new, more intuitive, template-based configuration format;
  * monitoring services on ICMP (ping) or TCP ports (HTTP, NNTP, POP3,
    SMTP, etc.) by executing checks (see monitoring-plugins*);
  * any small script following the Nagios plugin API can be used as a
    check plugin;
  * notifications about alerts for any custom script (with examples);
  * native support for Livestatus and Graphite.
 .
 This package provides the Icinga 2 daemon.

Package: icinga2-common
Description-md5: a606f8c9a028867e7d20cd49f3fab5ea
Description-en: host and network monitoring system - common files
 Icinga 2 is a general-purpose monitoring application to fit the needs of
 any size of network. Icinga 1.x was a Nagios fork; this new generation
 has been rewritten from scratch in C++, with multi-threading and cluster
 support.
 .
 Features:
  * all standard features of Icinga and Nagios;
  * much faster and more scalable than Icinga 1 and Nagios;
  * new, more intuitive, template-based configuration format;
  * monitoring services on ICMP (ping) or TCP ports (HTTP, NNTP, POP3,
    SMTP, etc.) by executing checks (see monitoring-plugins*);
  * any small script following the Nagios plugin API can be used as a
    check plugin;
  * notifications about alerts for any custom script (with examples);
  * native support for Livestatus and Graphite.
 .
 This package provides configuration and some basic helper scripts.

Package: icinga2-doc
Description-md5: 300da885d3a11ca41475e32815b8cff1
Description-en: host and network monitoring system - documentation
 Icinga 2 is a general-purpose monitoring application to fit the needs of
 any size of network. Icinga 1.x was a Nagios fork; this new generation
 has been rewritten from scratch in C++, with multi-threading and cluster
 support.
 .
 Features:
  * all standard features of Icinga and Nagios;
  * much faster and more scalable than Icinga 1 and Nagios;
  * new, more intuitive, template-based configuration format;
  * monitoring services on ICMP (ping) or TCP ports (HTTP, NNTP, POP3,
    SMTP, etc.) by executing checks (see monitoring-plugins*);
  * any small script following the Nagios plugin API can be used as a
    check plugin;
  * notifications about alerts for any custom script (with examples);
  * native support for Livestatus and Graphite.
 .
 This package provides the Icinga 2 documentation.

Package: icinga2-ido-mysql
Description-md5: 52c90cfa8b96fd2c669519e8c63cec0c
Description-en: host and network monitoring system - MySQL support
 Icinga 2 is a general-purpose monitoring application to fit the needs of
 any size of network. Icinga 1.x was a Nagios fork; this new generation
 has been rewritten from scratch in C++, with multi-threading and cluster
 support.
 .
 Features:
  * all standard features of Icinga and Nagios;
  * much faster and more scalable than Icinga 1 and Nagios;
  * new, more intuitive, template-based configuration format;
  * monitoring services on ICMP (ping) or TCP ports (HTTP, NNTP, POP3,
    SMTP, etc.) by executing checks (see monitoring-plugins*);
  * any small script following the Nagios plugin API can be used as a
    check plugin;
  * notifications about alerts for any custom script (with examples);
  * native support for Livestatus and Graphite.
 .
 This package provides the IDO module for the MySQL database.

Package: icinga2-ido-pgsql
Description-md5: 04211973d12167dab60262ef8ff3138c
Description-en: host and network monitoring system - PostgreSQL support
 Icinga 2 is a general-purpose monitoring application to fit the needs of
 any size of network. Icinga 1.x was a Nagios fork; this new generation
 has been rewritten from scratch in C++, with multi-threading and cluster
 support.
 .
 Features:
  * all standard features of Icinga and Nagios;
  * much faster and more scalable than Icinga 1 and Nagios;
  * new, more intuitive, template-based configuration format;
  * monitoring services on ICMP (ping) or TCP ports (HTTP, NNTP, POP3,
    SMTP, etc.) by executing checks (see monitoring-plugins*);
  * any small script following the Nagios plugin API can be used as a
    check plugin;
  * notifications about alerts for any custom script (with examples);
  * native support for Livestatus and Graphite.
 .
 This package provides the IDO module for the PostgreSQL database.

Package: icingacli
Description-md5: 1a6656d7bea04a0cb347e50677ad69a3
Description-en: simple CLI tool for Icingaweb2 and its modules
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 `icingacli` is a command line utility, allowing the admin to configure Icinga
 Web 2 and provides the possibility to query Icinga data from your shell.
 All modules of Icingaweb2 can add additional commands to the icingacli.

Package: icingaweb2
Description-md5: ad76bf54e5536f365d3c1bcd65b635a7
Description-en: simple and responsive web interface for Icinga
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 The software will give you a web frontend for your monitoring solution, and
 can run additional modules, extending monitoring data, or even supplying
 something new to the webinterface.
 .
 This package installs the web interface with all needed dependencies.

Package: icingaweb2-common
Description-md5: 3754116cfd44cbbaf7a457d6b618ee01
Description-en: simple and responsive web interface for Icinga - common files
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 The software will give you a web frontend for your monitoring solution, and
 can run additional modules, extending monitoring data, or even supplying
 something new to the webinterface.
 .
 This package contains common files for the web interface, and the CLI tool.

Package: icingaweb2-module-audit
Description-md5: 8d4f7144adb6d2432a19d1ceaf9061aa
Description-en: Audit logs for Icinga Web 2
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This module creates audit logs for Icinga Web 2.

Package: icingaweb2-module-boxydash
Description-md5: 3716de3b141dd17badccdcbbf56fca10
Description-en: simple and responsive web interface for Icinga - boxydash module
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This module is intended to give you another view of the status of your board.

Package: icingaweb2-module-businessprocess
Description-md5: 15dd2bf75459ff2d4815c71fcf0c2114
Description-en: simple and responsive web interface for Icinga - businessprocess module
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 The software will give you a web frontend for your monitoring solution, and
 can run additional modules, extending monitoring data, or even supplying
 something new to the webinterface.
 .
 Business Process viewer and modeler provides a web-based process modeler. It
 integrates as a module into Icinga Web 2 and provides a plugin check command
 for Icinga. Tile and tree views can be shown inline, as dashlets or fullscreen.
 All of those for whole processes or just parts of them.

Package: icingaweb2-module-cube
Description-md5: 80cc1211bb438066a1bc3f4fa7798317
Description-en: simple and responsive web interface to show host statistics
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 Cube is a tiny module. It currently shows host statistics (total count, health)
 grouped by various custom variables in multiple dimensions.

Package: icingaweb2-module-director
Description-md5: a788c6f09090c096fb6b877cd994d700
Description-en: simple and responsive web interface for Icinga - director module
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 The software will give you a web frontend for your monitoring solution, and
 can run additional modules, extending monitoring data, or even supplying
 something new to the webinterface.
 .
 Icinga Director is a configuration tool that has been designed to make Icinga 2
 configuration easy and understandable.

Package: icingaweb2-module-doc
Description-md5: 7794ede3b5f64cd453511ac5f2f0de6a
Description-en: simple and responsive web interface for Icinga - documentation module
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This module adds the documentation viewer of Icinga Web 2, which can also
 display the documentation of other modules.

Package: icingaweb2-module-eventdb
Description-md5: 4ddc8a99ab9d560e31506012772d64fe
Description-en: simple and responsive web interface for Icinga - eventdb module
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 With the EventDB Module you can browse, comment and acknowledge events
 collected by EventDB easily in Icinga Web 2.

Package: icingaweb2-module-graphite
Description-md5: 2b27b703981420fb6f9658f96b8cf924
Description-en: simple and responsive web interface for Icinga - graphite module
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This module adds graphite graphs to the web interface.

Package: icingaweb2-module-ipl
Description-md5: c2d3281c417f23590a8dec7a13970ef5
Description-en: PHP library for icingaweb2 modules
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This package contains the commonly used PHP library in Icinga Web 2 modules.

Package: icingaweb2-module-map
Description-md5: 37d6e37eff91caf589d3158f3a01ea6a
Description-en: Displays host objects as markers on openstreetmap
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This module displays host objects as markers on openstreetmap using leaflet.js.
 If you configure multiple hosts with the same coordinates, i.e. servers in a
 datacenter, a clustered view is rendered.

Package: icingaweb2-module-monitoring
Description-md5: 5d6b8ef6da875c41de5c8a60b0eadee8
Description-en: simple and responsive web interface for Icinga - monitoring module
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This module adds the Icinga monitoring frontend to the web interface.

Package: icingaweb2-module-pnp
Description-md5: 5f84a678051f14f74b5bbcb5357a5cbd
Description-en: view beautiful graphs in the host/service detail view
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This module integrates PNP into Icinga Web 2 and allows you to view beautiful
 graphs in the host/service detail view.

Package: icingaweb2-module-statusmap
Description-md5: acbdcb0af04b180efb8b41ba2fa1d192
Description-en: simple and responsive web interface for Icinga - statusmap module
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 This module adds a very basic status map for Icinga Web 2.

Package: icmake
Description-md5: a550161f00554825a211dc2edc36ee34
Description-en: Intelligent C-like MAKEr, or the ICce MAKE utility
 Icmake is a hybrid between a 'make' utility and a 'shell script'
 language.  Originally, it was written to provide a useful tool for
 automatic program maintenance and system administrative tasks on MS-DOS
 platforms.

Package: icmake-doc
Description-md5: 0a86c81f02273f004ba3e0de2258304c
Description-en: Documentation files for icmake
 Icmake is a hybrid between a 'make' utility and a 'shell script'
 language.  Originally, it was written to provide a useful tool for
 automatic program maintenance and system administrative tasks on MS-DOS
 platforms.
 .
 This package provides the supplemental documentation for icmake.

Package: icmpinfo
Description-md5: 3bce8af22af1551a5331ef8816faa09a
Description-en: interpret ICMP messages
 Icmpinfo is a tool for looking at the ICMP messages received on the running
 host. It can be used to detect and record 'bombs' as well as various network
 problems.
 .
 Icmpinfo is useful for network security tests.

Package: icmptx
Description-md5: 82320505e19949c349b53c1398e9655e
Description-en: Tunnel IP over ICMP
 ICMPTX is a program that allows a user with root privledges to create a
 virtual network link between two computers, encapsulating data inside of
 ICMP packets.

Package: icmpush
Description-md5: 5bc7604a67a83eaffd7ded695d911e52
Description-en: ICMP packet builder
 icmpush is a tool that builds ICMP packets fully customized
 from command line.
 .
 It supports the following ICMP error types: Redirect, Source
 Quench, Time Exceeded, Destination Unreach and Parameter
 Problem.
 .
 And the following ICMP information types: Address Mask Request,
 Timestamp, Information Request, Echo Request, Router Solicitation
 and Router Advertisement.

Package: icnsutils
Description-md5: c126faaaa3a444918133558a8ee30a9b
Description-en: utilities for manipulating Mac OS icns files
 icnsutils includes icns2png and png2icns, two utilies used to
 extract PNG images from icns files, and create icns files from
 PNG images.

Package: icom
Description-md5: bd9f2bd1d4a74ca7928b71b97e7ca38e
Description-en: Software control for ICOM radios with CI-V interface
 This program allows you to control many types of ICOM radio
 (transceivers and receivers) from the serial port on your computer.
 You need a CI-V interface circuit to connect the radio to the computer
 (to convert between RS-232 and TTL), which can be easily found on the web.

Package: icon-ipl
Description-md5: 561870fc816eef9502bea4e230d182d2
Description-en: Libraries for Icon, a high-level programming language
 Ideal for both complex nonnumerical applications and for situations where
 users need quick solutions with a minimum of programming effort, Icon is a
 high-level, general purpose programming language with a syntax similar to
 Pascal and C. Its applications include: rapid prototyping, analyzing
 natural languages, generating computer programs, and artificial
 intelligence. (From the back cover of the book "The Icon Programming
 Language," by Griswold and Griswold.)
 .
 This package contains the Icon program library, a library of Icon
 procedures and programs contributed by Icon users.

Package: icon-naming-utils
Description-md5: 5aaeb0f96a8778a442c08d744b22e0bd
Description-en: script for maintaining backwards compatibility of Tango Project
 Tango is a project to create a new cross-desktop and cross-platform icon
 theme, using a standard style guide, and the new Icon Naming Specification.
 This package contains the perl script for maintaining backwards
 compatibility.

Package: icon-slicer
Description-md5: a5d8797848ffb1ac71def0cbd5c3a160
Description-en: utility for generating icon themes and libXcursor cursor themes
 The inputs to icon-slicer are conceptually:
 .
  - A set of multi-layer images, one for each size
  - Am XML theme description file
 .
 Each image contains all the cursors arranged in a grid; For cursors the
 layers are:
 .
  - A layer with a dot for the hotspot of each cursor
  - The main image or first animation frame for multi-frame animated
    cursors
  - The second animation frame for multi-frame animated cursors
  - ...
 .
 For icons, the layers are:
 .
  - A layer with the images
  - An optional layer with attachment points for emblems
  - An optional layer with boxes for embedding text into icons
 .
 In practice, since loading of multilayer images is not supported by
 standard image libraries, each layer is input as a separate image file.
 .
 The theme description file contains, among other things, information
 about the source images to read, the location of each named cursor or
 icon within the grid, and a set of aliases from names to other names.

Package: icont
Description-md5: 32a55e9c6e8ddde3e843ee6c82b54ab5
Description-en: Interpreter for Icon, a high-level programming language
 Ideal for both complex nonnumerical applications and for situations where
 users need quick solutions with a minimum of programming effort, Icon is a
 high-level, general purpose programming language with a syntax similar to
 Pascal and C. Its applications include: rapid prototyping, analyzing
 natural languages, generating computer programs, and artificial
 intelligence. (From the back cover of the book "The Icon Programming
 Language," by Griswold and Griswold.)
 .
 This package contains the Icon translator, which converts Icon source code
 into `ucode` that can then be executed with the help of the iconx program.

Package: icontool
Description-md5: 796b97aa802a0a6a3ab93f13d41de568
Description-en: tools for maintaining icons in software projects
 icontool is a suite of tools for maintaining icons in a software project
 or within an icon theme project. These scripts can be used to render icons
 using the Tango Project's single canvas SVG workflow, and to provide
 symlinks for backward compatibility in icon themes.

Package: iconx
Description-md5: 4afe00cd9fc1151ce65edb169ff82c7f
Description-en: Executor for Icon, a high-level programming language
 Ideal for both complex nonnumerical applications and for situations where
 users need quick solutions with a minimum of programming effort, Icon is a
 high-level, general purpose programming language with a syntax similar to
 Pascal and C. Its applications include: rapid prototyping, analyzing
 natural languages, generating computer programs, and artificial
 intelligence. (From the back cover of the book "The Icon Programming
 Language," by Griswold and Griswold.)
 .
 This package contains the Icon executor, iconx, which is needed to execute
 interpreted Icon programs. If you are starting from Icon source code (as
 opposed to precompiled `ucode' files), you will also need the icont
 package, which converts your Icon source into a program that iconx can
 execute.

Package: icoutils
Description-md5: 56989c011716253059b25fa3cebe2594
Description-en: Create and extract MS Windows icons and cursors
 Icoutils is a set of programs that deal with MS Windows icons and
 cursors. Resources such as icons and cursors can be extracted from MS
 Windows executable and library files with "wrestool". Conversion of
 these files to and from PNG images is done with "icotool". "extresso"
 automates these tasks with the help of special resource scripts.
 .
 This package can be used to create "favicon.ico" files for web sites.

Package: iczech
Description-md5: d1c267e3dafe308759da27faef245e76
Description-en: The Czech dictionary for ispell
 This is the Czech dictionary for ispell.
 Author: Petr Kolář <Petr.Kolar@vslib.cz>

Package: id-utils
Description-md5: 3f85175a34f0d5e2c543d52fd8a2607f
Description-en: Fast, high-capacity, identifier database tool
 Actually, the term `identifier' is too limiting--`mkid' stores
 tokens, be they program identifiers of any form, literal numbers, or
 words of human-readable text.  Database queries can be issued from the
 command-line, or from within emacs, serving as an augmented tags
 facility.

Package: id3
Description-md5: d91cc8db52621a037ad1e9e1950543b5
Description-en: Editor for ID3 tags
 A command-line based program that can list, modify, or delete ID3 tags
 from a file. ID3 tags are a way of identifying streaming music files.
 You can store Artist, Album, Title, Track, Year, and Genre in a tag, as
 well as a 28-character comment.

Package: id3ren
Description-md5: 5ba912562a8bc6090aeb390c3dd90d48
Description-en: id3 tagger and renamer
 Tool used to rename batches of mpeg3 files by reading the ID3 tag at the
 end of the file which contains the song name, artist, album, year, and a
 comment.
 .
 The secondary function of id3ren is a tagger, which can create, modify, or
 remove ID3 tags. The id3 fields can be set on the command line, entered
 interactively, or "guessed" from the path and the filename.

Package: id3tool
Description-md5: 6cb7942cd8caa7dedd0111b86c2bfd6d
Description-en: Command line editor for id3 tags
 A simple and complete editor for ID3 tags in MP3 files. ID3 tags are a way of
 identifying mp3 music files - you can store Artist, Album, Title, Track,
 Year, and Genre in a tag, as well as a 28-character comment.
 .
 Highly recommended for scripting and bulk operations where you need
 to edit id3 tags from scripts.
 .
 Can also be used to read id3 tags.

Package: id3v2
Description-md5: 28cfd529419561f1124178668fc00a2d
Description-en: command line id3v2 tag editor
 A command-line tool to add, modify, remove, or view ID3v2 tags, as well as
 convert or list ID3v1 tags. ID3 tags are commonly embedded in compressed
 music files such as MP3 and are the standard way to more fully describe
 the work than would normally be allowed by putting the information in the
 filename.

Package: idanish
Description-md5: 557e4ff5a992d3c54918390299d00957
Description-en: The Comprehensive Danish Dictionary (DSDO) - ispell
 The Comprehensive Danish Dictionary (DSDO) is a free spell-checking
 dictionary for Danish published by Skaane Sjaelland Linux User Group
 (SSLUG).  One thing which makes this dictionary different from most
 other dictionaries is that it basically is the result of a vote among
 the proof-readers.  The editorial group has _not_ proof-read all the
 words in the dictionary, but guides the proof-readers and keeps track
 of the overall status of the dictionary.
 .
 This is the Danish dictionary, to be used with ispell to check and
 correct spelling in Danish texts.

Package: idba
Description-md5: 42263fd178b76409cff6e6b610ab7ec1
Description-en: iterative De Bruijn Graph short read assemblers
 IDBA stands for iterative de Bruijn graph assembler. In computational
 sequence biology, an assembler solves the puzzle coming from large
 sequencing machines that feature many gigabytes of short reads from a
 large genome.
 .
 This package provides several flavours of the IDBA assembler, as they all
 share the same source tree but serve different purposes and evolved over time.
 .
 IDBA is the basic iterative de Bruijn graph assembler for
 second-generation sequencing reads. IDBA-UD, an extension of IDBA,
 is designed to utilize paired-end reads to assemble low-depth regions
 and use progressive depth on contigs to reduce errors in high-depth
 regions. It is a generic purpose assembler and especially good for
 single-cell and metagenomic sequencing data. IDBA-Hybrid is another
 update version of IDBA-UD, which can make use of a similar reference
 genome to improve assembly result. IDBA-Tran is an iterative de Bruijn
 graph assembler for RNA-Seq data.

Package: ident2
Description-md5: c3df7c3fcf7671dd47da06d6dfe9cf9a
Description-en: An advanced ident daemon
 ident2 is an advanced, configurable ident daemon. You can set it to lie,
 not lie, or not return any response at all, and it is per-user configurable
 (e.g. if user daniel was IRCing, it'd use ~daniel/.ident for its config, if
 user kim was IRCing, it'd use ~kim/.ident). The admin can specify whether
 users can configure the type of return they want or not.

Package: idesk
Description-md5: 83a0b8afe86a69e05e8521e50b6d585b
Description-en: program to show icons on the desktop
 Idesk displays icons with a short description on the desktop and gives
 shortcuts to launch any X app.
 .
 The icons can be resized, use tooltip and has transparency with other
 nice image effects. It can use many images types formats how PNG, JPG,
 GIF, XPM, etc. Idesk also allows the binding of different actions to
 every mouse button.

Package: ideviceinstaller
Description-md5: 90af38530619f287fcb09421b4b1a146
Description-en: Utility to manage installed applications on an iDevice
 ideviceinstaller is a tool to interact with the installation_proxy
 of an iDevice allowing to install, upgrade, uninstall, archive, restore,
 and enumerate installed or archived applications.
 .
 It makes use of the libimobiledevice library that allows communication
 with the devices.

Package: ideviceinstaller-dbg
Description-md5: 6b3cf6060aedf707fe3eb9c956af084c
Description-en: Utility to manage installed applications on an iDevice - debug
 ideviceinstaller is a tool to interact with the installation_proxy
 of an iDevice allowing to install, upgrade, uninstall, archive, restore,
 and enumerate installed or archived applications.
 .
 It makes use of the libimobiledevice library that allows communication
 with the devices.
 .
 This package contains the debugging symbols.

Package: idl-font-lock-el
Description-md5: d10d7c7ad1686587a0f401642d48edaf
Description-en: OMG IDL font-locking for Emacs
 This module adds font-lock highlighting to the Emacs IDL-mode.
 This will be useful for people doing CORBA stuff, but it is not
 related to the Interactive Data Language.

Package: idle
Description-md5: 4803bf1eef1d919f13c749d7bae7d0ea
Description-en: IDE for Python using Tkinter (default version)
 IDLE is an Integrated Development Environment for Python.
 IDLE is written using Tkinter and therefore quite platform-independent.
 .
 This package is a dependency package, which depends on Debian's default
 Python 3 version (currently v3.8).

Package: idle-python2.7
Description-md5: 1fd93a936611995336444949b73d0b8a
Description-en: IDE for Python (v2.7) using Tkinter
 IDLE is an Integrated Development Environment for Python (v2.7).
 IDLE is written using Tkinter and therefore quite platform-independent.

Package: idle-python3.8
Description-md5: 58009ba2bb385e2cf47cec312a6405fb
Description-en: IDE for Python (v3.8) using Tkinter
 IDLE is an Integrated Development Environment for Python (v3.8).
 IDLE is written using Tkinter and therefore quite platform-independent.

Package: idle3
Description-md5: 4b22643c8e35d9c200ff9cf8ea4b9d66
Description-en: IDE for Python using Tkinter (transitional package)
 IDLE is an Integrated Development Environment for Python.
 .
 This package is a transitional package, you can safely remove it.

Package: idle3-tools
Description-md5: a4f996c5b53547bf8c6550facb52d022
Description-en: change the idle3 timer of recent Western Digital Hard Disk Drives
 Idle3-tools provides a linux/unix utility that can disable, get and
 set the value of the infamous idle3 timer found on recent Western
 Digital Hard Disk Drives.
 .
 It can be used as an alternative to the official wdidle3.exe
 proprietary utility, without the need to reboot in a DOS environment.
 .
 A power off/on cycle of the drive will still be mandatory for new
 settings to be taken into account.
 .
 Modern Western Digital "Green" Drives include the Intellipark feature
 that stops the disk when not in use.
 .
 Unfortunately, the default timer setting is not perfect on linux/unix
 systems, including many NAS, and leads to a dramatic increase of the
 Load Cycle Count value (SMART attribute #193). With the default timer
 setting, the drive will spin down *every eight seconds* if idle and
 this may get the spindle motor to burn out in a few months or so.
 .
 If you have a Western Digital EADS or EARS drive, please check you
 SMART information before it's too late by running the following
 command:
 .
  sudo smartctl -A /dev/sda | grep "^193"
 .
 If the Load cycle count (which is in the last column) exceeds 1000,
 you're probably affected by the idle3 timer problem.

Package: idlestat
Description-md5: 77aaa7f95d78cfd1d7c169ddb62108ad
Description-en: measure CPU time in idle and operating states
 Idlestat uses kernel FTRACE function to monitor and capture C-state and
 P-state transitions of CPUs over a time interval.  It calculates the total,
 average, min and max times spend in each C-state, P-state for each CPU and in
 each CPU cluster.  It also reports the times specific IRQs caused the CPU
 to exit idle state, per CPU and per-IRQ.

Package: idn
Description-md5: c73d65fb80d0787b438d9f81db3da87b
Description-en: Command line and Emacs interface to GNU Libidn
 GNU Libidn is a fully documented implementation of the Stringprep,
 Punycode and IDNA specifications.  Libidn's purpose is to encode and
 decode internationalized domain names.  The Nameprep, XMPP, SASLprep,
 and iSCSI profiles are supported.
 .
 This package contains the idn command-line tool and its Emacs lisp
 interface.

Package: idn2
Description-md5: 2e8d88bb53c68f06d46ec1acfecc8143
Description-en: Internationalized domain names (IDNA2008/TR46) command line tool
 Libidn2 implements the revised algorithm for internationalized domain
 names called IDNA2008/TR46.
 .
 This package contains the idn2 command-line tool.

Package: idutch
Description-md5: 65b49754fce3124eb0d559ea12b398e8
Description-en: Dutch dictionary for Ispell
 A Dutch spelling dictionary for the spelling checker Ispell.
 .
 This dictionary, from the OpenTaal project, uses the official
 spelling of 2005 and has been officially approved by the TaalUnie.
 .
 For a simple word list, see the wdutch package instead.

Package: idzebra-2.0
Description-md5: b2b40c51c21537cacb0e71c958f89e4a
Description-en: IDZebra metapackage (the works)
 This metapackage installs all the necessary packages to start
 working with IDZebra - including utility programs, development libraries,
 documentation and modules.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: idzebra-2.0-common
Description-md5: ae5105abd94ab5cbd23879bfe9bdb6ce
Description-en: IDZebra common files
 This package includes common essential IDZebra configuration files.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: idzebra-2.0-doc
Description-md5: c9935031a15b3cf88dcaeaf5551103bf
Description-en: IDZebra documentation
 This package includes documentation for IDZebra in PDF and HTML.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: idzebra-2.0-examples
Description-md5: cc58f2ba0788a679cea708316e9ab980
Description-en: IDZebra example configurations
 This package includes examples for indexing of various
 data formats like OAI-PMH, Marc21, and MarcXML.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: idzebra-2.0-utils
Description-md5: a9cb116344190df6d1169cea06a39d62
Description-en: IDZebra utility programs
 This package contains IDZebra utilities such as the zebraidx indexer
 utility and the zebrasrv server.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: iec16022
Description-md5: e009a8ed35eff4c0717044baa5f8f707
Description-en: Generates 2d ISO/IEC 16022 barcodes (data matrix/semacode)
 The program generates a 2d datamatrix/semacode barcode from a
 parameter or from a file and produces output in various formats (png,
 eps, ascii-art).
 .
 http://www.semapedia.org/ for example uses semacode tags to create
 real-world "links" to wikipedia articles.

Package: iem-plugin-suite-standalone
Description-md5: 1d3c913ada5f31ac2e982f47649c6580
Description-en: IEM's spatialization suite - standalone
 The IEM Plug-in Suite is an audio plugin suite created at the Institute of
 Electronic Music and Acoustics (Graz, Austria).
 It features Higher-Order Ambisonic plug-ins (up to 7th order), among them a
 number of state of the art encoders, directional compressors, directivity
 shapers, delay and reverb effects and analysis tools.
 .
 This package provides the plugins as standalone applications.

Package: iem-plugin-suite-vst
Description-md5: 11861391cbd52a4f31af55e61d9a6782
Description-en: IEM's spatialization suite - VST plugins
 The IEM Plug-in Suite is an audio plugin suite created at the Institute of
 Electronic Music and Acoustics (Graz, Austria).
 It features Higher-Order Ambisonic plug-ins (up to 7th order), among them a
 number of state of the art encoders, directional compressors, directivity
 shapers, delay and reverb effects and analysis tools.
 .
 This package provides the VST2 plugins

Package: ienglish-common
Description-md5: 00100424e9bbeb721b9f506e8d037b49
Description-en: Common files for British and American ispell dictionaries
 This package provides common files and dependencies for all American and
 British ispell dictionary packages. The package is useless if none of the
 dictionaries is also installed.

Package: iep
Description-md5: 48e68828c34f26ad013b9b3e63e25847
Description-en: transitional dummy package for IEP
 Pyzo is a cross-platform Python IDE focused on interactivity and introspection,
 which makes it very suitable for scientific computing. Its practical design is
 aimed at simplicity and efficiency.
 .
 It consists of two main components, the editor and the shell, and uses a set of
 pluggable tools to help the programmer in various ways. Some example tools are
 source structure, project manager, interactive help, workspace...
 .
 Pyzo is written in (pure) Python 3 and uses the Qt GUI toolkit. Binaries are
 provided for all major operating system. After installing Pyzo, it can be used
 to execute code on any Python version available on your system (Python 2.4 -
 3.x, including Pypy).
 .
 This is a transitional dummy package enabling the upgrade from IEP to Pyzo.
 It can safely be removed.

Package: iesperanto
Description-md5: 7bfe5b23dd854beb82a8e54a3bc768c8
Description-en: Esperanto dictionary for ispell
 This is the Esperanto dictionary for use with the ispell spellchecker,
 version 3.1.04 and following.  The dictionary is based on the words from
 Plena Ilustrita Vortaro, with additional country/language names.  It
 accepts Latin-3, 'cx' and '^c' forms.

Package: iestonian
Description-md5: 1199b3bdd47c68e92b9f8f941ed21dd9
Description-en: Estonian dictionary for Ispell
 This dictionary provides Estonian wordlists for the Ispell spellchecker.
 .
 The wordlists are based on work by the Institute of the Estonian Language
 and subsequently improved by Jaak Pruulmann who also created the affix file.

Package: ifaroese
Description-md5: 83175b9c55738b24d892968b953d204b
Description-en: Faroese dictionary for ispell
 This is the Faroese dictionaries, to be used with ispell
 to check and correct spelling in Faroese texts.

Package: ifcico
Description-md5: a695c9368c698752ed3aa1a262229107
Description-en: Fidonet Technology transport package
 Ifcico is a FidoTech mailer for connecting to other nodes via the phone
 or the Internet.
 This version includes the "tx" patches and other misc patches.

Package: ifcplusplus
Description-md5: 0cba2e0e2a72a4269e795bfbbcc93593
Description-en: Reader and writer for IFC files in STEP format
 IfcPlusPlus is an open source C++ class model, as well as a reader
 and writer for IFC files in STEP format.
 .
 Features:
 - easy and efficient memory management using smart pointers
 - parallel reader for very fast parsing on multi-core CPU's
 .
 Additionally, there's a simple IFC viewer application, using Qt and
 OpenSceneGraph.
 .
 This package contains the sample viewer.

Package: ifenslave
Description-md5: 74732f22bb89d876029092ddddb4e653
Description-en: configure network interfaces for parallel routing (bonding)
 This is a tool to attach and detach slave network interfaces to a bonding
 device. A bonding device will act like a normal Ethernet network device to
 the kernel, but will send out the packets via the slave devices using a simple
 round-robin scheduler. This allows for simple load-balancing, identical to
 "channel bonding" or "trunking" techniques used in switches.
 .
 The kernel must have support for bonding devices for ifenslave to be useful.
 This package supports 2.6.x kernels and the recent 3.x.x kernels.

Package: ifenslave-2.6
Description-md5: 9c4d28f6aed0f5dbf0603d3f68d763cb
Description-en: transitional package, use "ifenslave"
 This is a transitional package to aid migration to "ifenslave".
 It can be safely removed from the system once nothing depends on it.

Package: ifetch-tools
Description-md5: e0d6ff951e37987d95f914856e0115f1
Description-en: Collect, monitor, and view images from ip cameras
 A set of tools that can collect images from IP based cameras, monitor the
 collection process, and provide an interface to view the collected history.

Package: ifgate
Description-md5: cba845f95285e79263706dd099cf2b16
Description-en: Internet to Fidonet gateway
 The program can act as a gateway between email and netmail and Usenet
 newsgroups and echomail.
 This version includes the "tx" patches and other misc patches.

Package: ifhp
Description-md5: 4d42156c518281a01a995b6474f9e523
Description-en: Printer filter for HP LaserJet printers
 ifhp is a highly versatile print filter for BSD based print spoolers.
 It can be configured to handle text, PostScript, PJL, PCL, and
 raster printers, supports conversion from one format to another,
 and can be used as a stand-alone print utility.  It is the primary
 supported print filter for the LPRng print spooler.
 .
 It provides access to printer features like duplex printing, paper tray
 selection and strong accounting using the printer's internal page counter.

Package: ifile
Description-md5: ce1f10359341ec01a7ef263a4e29b4f7
Description-en: spam filter capable of learning
 A text filter that uses machine learning to classify texts into
 groups. ifile works great as a spam filter. The text is classified by
 a simple statistical method called Naive Bayes, which basically
 considers each text an unordered collection of words and classifies
 by matching the distribution with the most closely matching group
 distribution. Alternatives to ifile are annoyance-filter, bogofilter,
 spamoracle, and spamprobe.

Package: ifmail
Description-md5: d182c2e66b2cddfb4caabe66d57ed0d0
Description-en: Internet to Fidonet gateway
 The package contains common files needed by ifcico and ifgate packages.
 This version includes the "tx" patches and other misc patches.

Package: ifmetric
Description-md5: 731fc98cef2d3fc86f413b40b0f69006
Description-en: Set routing metrics for a network interface
 ifmetric is a Linux tool for setting the metrics of all IPv4 routes
 attached to a given network interface at once.  This may be used to
 change the priority of routing IPv4 traffic over the interface.
 Lower metrics correlate with higher priorities.

Package: ifp-line-libifp
Description-md5: a27afaf64755453d942695eb91a04ec7
Description-en: command line tool to access iRiver iFP audio players
 This package contains a tool compatible with the `ifp' program (in the
 ifp-line package) that uses libifp.
 .
 ifp-line tool manages music on iRiver iFP audio devices, including
 the 1XX, 3XX, 5XX, 7XX, 8XX, and N10 models. It lets you upload or download
 music or other files to or from the device, manage directories on it, or
 update your firmware version.

Package: ifplugd
Description-md5: 1e81b24efbffc26be8c4c06a4960c8e7
Description-en: configuration daemon for ethernet devices
 ifplugd is a daemon which will automatically configure your ethernet device
 when a cable is plugged in and automatically de-configure it if the cable is
 pulled out. This is useful on laptops with onboard network adapters, since it
 will only configure the interface when a cable is really connected.  Features
 include:
 .
  * syslog support
  * Multiple ethernet interface support
  * Uses Debian's native ifup/ifdown programs
  * Small executable size and memory footprint
  * Option to beep when the cable is unplugged or plugged
  * Option to beep when the interface configuration succeeds or fails
  * Can be configured to ignore short unplugged or plugged periods
  * Configure WLAN devices (on detecting a successful association to an AP)
  * Supports SIOCETHTOOL, SIOCGMIIREG and SIOCDEVPRIVATE for getting link status
  * Compatibility mode for network devices which do not support cable detection

Package: ifrench
Description-md5: 0c816eb716923f54d9acfbd701c3530d
Description-en: French dictionary for ispell (Hydro-Quebec version)
 This is a French dictionary, to be used with the ispell program,
 version 3.1.04 and following. The dictionary contains roughly
 50,000 roots, which expand to about 220,000 words.
 .
 This is the Martin Boyer and Hydro-Quebec version.
 You may prefer to use the GUTenberg version installed by the
 ifrench-gut package.

Package: ifrench-gut
Description-md5: f5f8babd2cb0e1009e62b96174a4c38a
Description-en: French dictionary for ispell (GUTenberg version)
 This is a French dictionary, to be used with the ispell program,
 version 3.1.20 and following.
 .
 This is the GUTenberg version.

Package: ifscheme
Description-md5: a133c827dc237453f8aa8d3c9d44c4eb
Description-en: scheme control for network interfaces
 ifscheme allows you to change network configuration schemes or query the
 current scheme. It integrates with the ifup(8) command and interfaces(5). For
 example, you might use this program to configure a "home" scheme and a "work"
 scheme for a network device on a laptop. When you move between home and work,
 a simple command can reconfigure your networking.

Package: ifstat
Description-md5: 51547744ce9c254f943908f6e2bf6b44
Description-en: InterFace STATistics Monitoring
 ifstat is a tool to report network interfaces bandwidth just like
 vmstat/iostat do for other system counters. It can monitor local
 interfaces by polling the kernel counters, or remote hosts
 interfaces using SNMP.

Package: iftop
Description-md5: f7e93593aba6acc7b5a331b49f97466f
Description-en: displays bandwidth usage information on an network interface
 iftop does for network usage what top(1) does for CPU usage. It listens to
 network traffic on a named interface and displays a table of current bandwidth
 usage by pairs of hosts. Handy for answering the question "Why is my Internet
 link so slow?".

Package: ifupdown
Description-md5: 442edbd184a6e1febc9b203604eb38f4
Description-en: high level tools to configure network interfaces
 This package provides the tools ifup and ifdown which may be used to
 configure (or, respectively, deconfigure) network interfaces based on
 interface definitions in the file /etc/network/interfaces.

Package: ifupdown-extra
Description-md5: c953dc7fe8401eca495e66e61ccf450f
Description-en: Network scripts for ifupdown
 This package provides a set of network testing scripts to be used together
 with the ifupdown package. These scripts can:
   - check the network cable before an interface is configured.
   - test if an assigned IPv4 or IPv6 address is already in use in the network.
   - test if default network gateways are reachable.
   - setup default static routes for interfaces.
 .
 Additionally network static routes can also be defined globally for the
 system when this is needed (e.g. for 'reject' rules) and will be
 added after network initialisation.
 .
 This package also provides 'network-test', a script to test the network
 configuration status by checking:
   - Status of available interface.
   - Availability of configured gateway routes.
   - If host resolution is working properly (DNS checks).
   - If network connectivity is working, including ICMP and web connections to
     remote web servers.

Package: ifuse
Description-md5: f98578e76fc102c53d3c118fa494c4f0
Description-en: FUSE module for iPhone and iPod Touch devices
 iFuse is a FUSE filesystem driver which uses libiphone to connect to iPhone
 and iPod Touch devices without needing to "jailbreak" them. iFuse uses the
 native Apple AFC protocol over a normal USB cable in order to access the
 device's media files.
 .
 Although iFuse is now in a working state it is still under heavy
 development and should be considered experimental.

Package: igaelic
Description-md5: 2d4ee6bc74e56a727b10a9a44ff49317
Description-en: Scots Gaelic dictionary for ispell
 This is a Scots Gaelic dictionary for  the ispell spell checker program.
 There are about 1400 words in this alpha-quality dictionary; please help
 by using this program and submitting extra words.

Package: igal2
Description-md5: 47b544b6ec370b14d25f2d7ccbb74d6c
Description-en: online image gallery generator
 iGal2 is a Perl-based program that can generate an
 entire online picture show (HTML slides, thumbnails and index page
 included) with just one command line invocation.
 .
 iGal2 is a major rewrite of iGal (which is no longer maintained)
 and introduces various new features and capabilities.

Package: igalician-minimos
Description-md5: 41d63ba5d5656d24555fdcf50ec6b616
Description-en: Ispell dictionary for Galician (minimos)
 This is an ispell dictionary for Galician, using the "minimos"
 standard, as put together by Andre Ventas and Ramon Flores.
 .
 There are at least three orthographic conventions for Galician:
 ILG (official), reintegrationist and minimos. ILG uses orthographic
 conventions more similar to Spanish; reintegrationists are
 weighed towards Portuguese. Minimos tries to reach a middle
 consensus point.

Package: igdiscover
Description-md5: 39f84305b0483d5ea406deb469be1924
Description-en: analyzes antibody repertoires to find new V genes
 IgDiscover analyzes antibody repertoires and discovers new V genes from
 high-throughput sequencing reads. Heavy chains, kappa and lambda light
 chains are supported (to discover VH, VK and VL genes).

Package: igdiscover-doc
Description-md5: e2c39c0d4dfd5cd8191e6f324d29ccd1
Description-en: analyzes antibody repertoires to find new V genes - doc
 IgDiscover analyzes antibody repertoires and discovers new V genes from
 high-throughput sequencing reads. Heavy chains, kappa and lambda light
 chains are supported (to discover VH, VK and VL genes).
 .
 This is the common documentation package.

Package: igmpproxy
Description-md5: 7c3f568312d927aa3001624a60ed2881
Description-en: IGMP multicast routing daemon
 IGMPproxy is a simple dynamic Multicast Routing Daemon using only IGMP
 signalling. It's intended for simple forwarding of Multicast traffic
 between networks.

Package: ignore-me
Description-md5: 5efb4025b7d5f417bbc910e25b51fb84
Description-en: Generator for ignore files for autotools based projects
 This program helps by creating a ignore file for your autotools
 basedproject. After installing it provides some binaries, which can copy
 the shipped Makefile into your current project directory.
 Currently it supports BZR, CVS, GIT, HG and SVN.
 The Makefiles itself providing some useful rules for
 blacklisting some of your files to put them into the ignore file.
 See it man pages for further information.

Package: igor
Description-md5: 31969e1c198ef7d8d6b227efce73d8db
Description-en: infers V(D)J recombination processes from sequencing data
 IGoR (Inference and Generation of Repertoires) is a versatile software
 to analyze and model immune receptors generation, selection, mutation
 and all other processes.

Package: igtf-policy-classic
Description-md5: a825da19ec7ecc3cf4d4369b27ca0de8
Description-en: IGTF classic profile for Certificate Authorities
 The Interoperable Global Trust Federation (IGTF) maintains a common trust
 base for the benefit of distributed science and research computing
 infrastructures. It provides a list of accredited trust anchors, with
 root certificates, certificate revocation list locations, contact
 information, and signing policies.
 .
 This package contains the trust anchors for the classic profile.
 See also: http://www.eugridpma.org/guidelines/classic

Package: igtf-policy-experimental
Description-md5: f6806b1cbe9d31c5d3c079cd5c80f97d
Description-en: IGTF experimental Certificate Authorities
 The Interoperable Global Trust Federation (IGTF) maintains a common trust
 base for the benefit of distributed science and research computing
 infrastructures. It provides a list of accredited trust anchors, with
 root certificates, certificate revocation list locations, contact
 information, and signing policies.
 .
 This package contains several experimental trust anchors. Use with
 caution, as they come without any guarantees.

Package: igtf-policy-iota
Description-md5: 704194d97e71e19cf95ef84a9a52f6f4
Description-en: IGTF IOTA profile for Certificate Authorities
 The Interoperable Global Trust Federation (IGTF) maintains a common trust
 base for the benefit of distributed science and research computing
 infrastructures. It provides a list of accredited trust anchors, with
 root certificates, certificate revocation list locations, contact
 information, and signing policies.
 .
 This package contains the trust anchors for the IOTA (Identifier-Only
 Trust Assurance with Secured Infrastructure) profile. See also:
 http://www.eugridpma.org/guidelines/IOTA/

Package: igtf-policy-mics
Description-md5: c4bcca26814032bb3e6b5f7a16f79032
Description-en: IGTF MICS profile for Certificate Authorities
 The Interoperable Global Trust Federation (IGTF) maintains a common trust
 base for the benefit of distributed science and research computing
 infrastructures. It provides a list of accredited trust anchors, with
 root certificates, certificate revocation list locations, contact
 information, and signing policies.
 .
 This package contains the trust anchors for the MICS (Member Integrated
 Credential Services) profile. See also:
 http://www.eugridpma.org/guidelines/MICS/

Package: igtf-policy-slcs
Description-md5: f82a89b3c27a06ad3b4c63c897f9453c
Description-en: IGTF SLCS profile for Certificate Authorities
 The Interoperable Global Trust Federation (IGTF) maintains a common trust
 base for the benefit of distributed science and research computing
 infrastructures. It provides a list of accredited trust anchors, with
 root certificates, certificate revocation list locations, contact
 information, and signing policies.
 .
 This package contains the trust anchors for the SLCS (Short Lived
 Credential Services) profile. See also:
 http://www.eugridpma.org/guidelines/SLCS/

Package: igtf-policy-unaccredited
Description-md5: 0e1d38a5a037c26f29eda9a2b1ad546f
Description-en: IGTF unaccredited Certificate Authorities
 The Interoperable Global Trust Federation (IGTF) maintains a common trust
 base for the benefit of distributed science and research computing
 infrastructures. It provides a list of accredited trust anchors, with
 root certificates, certificate revocation list locations, contact
 information, and signing policies.
 .
 This package contains several unaccredited trust anchors. Use with
 caution, as they come without any guarantees.

Package: ihungarian
Description-md5: 54cd5aaa815d342eda94de269682d006
Description-en: Hungarian dictionary for ispell
 This is the Hungarian dictionary for ispell made by NEMETH Laszlo.

Package: ii
Description-md5: 8160adbdb85507f5fa6134d1cee4761f
Description-en: minimalist FIFO and filesystem-based IRC client
 ii (irc it) is a minimalist FIFO and filesystem-based IRC client. It creates an
 irc directory tree with server, channel and nick name directories. In every
 directory a FIFO in file and a normal out file is created.
 .
 The in file is used to communicate with the servers and the out files contain
 the server messages. For every channel and every nick name there are related in
 and out files created. This allows IRC communication from command line and
 adheres to the Unix philosophy.
 .
 It consists of <= 1000 lines of code and is the big brother of sic.

Package: ii-esu
Description-md5: b7d2842821c0bca548a774bc643c7a1a
Description-en: shooter game
 Your ship is surrounded by two circles. You shoot in the direction of
 your mouse points, and you move if the mouse pointer is outside the
 inner circle.
 .
 The original name of the game is ES, which is pronounced ii-esu in Japanese.

Package: iiod
Description-md5: 381c5ac0a614548f6c763749394d601d
Description-en: Daemon to share IIO devices on the network
 IIOD is a network daemon that communicates with the network backend of libiio.
 It can be used, for instance, to stream the flow of data samples from one weak
 system to a more powerful one, where the samples will be processed.

Package: iipimage-doc
Description-md5: 973720f02730f862fa045756ad4e79a9
Description-en: Web-based streamed viewing and zooming of ultra high-resolution images - doc
 IIPImage is an advanced high-performance feature-rich imaging server
 system for web-based streamed viewing and zooming of ultra
 high-resolution images. It is designed to be fast and
 bandwidth-efficient with low processor and memory requirements. The
 system can comfortably handle gigapixel size images as well as advanced
 image features such as both 8 and 16 bit depths, CIELAB colorimetric
 images and scientific imagery such as multispectral images.
 .
 Streaming is tile-based, making it possible to view, navigate and zoom
 in real-time around gigapixel size images that would be impossible to
 download and manipulate on the local machine. It also makes the system
 very scalable as the number of image tile downloads will remain the same
 regardless of the size of the source image.
 .
 Source images can be in either TIFF or JPEG2000 format. Whole images or
 regions within images can also be rapidly and dynamically resized and
 exported by the server from a single source image without the need to
 store multiple files in various sizes.
 .
 This is the documentation for iipimage-server

Package: iipimage-server
Description-md5: 6cfbb1b2ac0ede0f37af3b0af3cd5504
Description-en: Web-based streamed viewing and zooming of ultra high-resolution images
 IIPImage is an advanced high-performance feature-rich imaging server
 system for web-based streamed viewing and zooming of ultra
 high-resolution images. It is designed to be fast and
 bandwidth-efficient with low processor and memory requirements. The
 system can comfortably handle gigapixel size images as well as advanced
 image features such as both 8 and 16 bit depths, CIELAB colorimetric
 images and scientific imagery such as multispectral images.
 .
 Streaming is tile-based, making it possible to view, navigate and zoom
 in real-time around gigapixel size images that would be impossible to
 download and manipulate on the local machine. It also makes the system
 very scalable as the number of image tile downloads will remain the same
 regardless of the size of the source image.
 .
 Source images can be in either TIFF or JPEG2000 format. Whole images or
 regions within images can also be rapidly and dynamically resized and
 exported by the server from a single source image without the need to
 store multiple files in various sizes.

Package: iirish
Description-md5: 9e8f0e85178687d47184fe257e6271f3
Description-en: Irish (Gaeilge) dictionary for ispell
 This is an Irish dictionary for the ispell spell-checker program.
 The dictionary has about 26,000 words, conforming strictly to
 standardised Irish spelling.

Package: iisemulator
Description-md5: b311d57312f9de7f0bc28cddd055a867
Description-en: Emulation for the IIS web server
 This package provides an emulation for the Internet Information
 Services (IIS) web server which can be used in conjunction
 with honeypot-deployment software (such as honeyd) to create a virtual
 server that emulates it.

Package: iitalian
Description-md5: 08c4a3a932e158c32d9e8eae8ce435aa
Description-en: Italian dictionary for ispell
 This is an italian dictionary to be used with ispell.
 It contains more than 185,000 italian words.

Package: ikarus
Description-md5: a1aa8f83106de4b70637dad3c7699690
Description-en: Scheme compiler and interpreter
 Ikarus is a compiler-based implementation of the Scheme programming
 language which targets the i386/amd64 (with sse2) architecture.  It
 has good performance, is rather light weight, is case-sensitive, and
 implements a substantial portion of the language described in R6RS.

Package: ike-scan
Description-md5: 78116f677806f608929d4b2713d45a2a
Description-en: discover and fingerprint IKE hosts (IPsec VPN Servers)
 ike-scan discovers IKE hosts and can also fingerprint them using the
 retransmission backoff pattern.
 .
 ike-scan does two things:
 .
 a) Discovery: Determine which hosts are running IKE.
    This is done by displaying those hosts which respond to the IKE requests
    sent by ike-scan.
    .
 b) Fingerprinting: Determine which IKE implementation the hosts are using.
    This is done by recording the times of the IKE response packets from the
    target hosts and comparing the observed retransmission backoff pattern
    against known patterns.
    .
    The retransmission backoff fingerprinting concept is discussed in more
    detail in the UDP backoff fingerprinting paper which should be included
    in the ike-scan kit as udp-backoff-fingerprinting-paper.txt.

Package: ikiwiki
Description-md5: 0cf5166fc2f9cf80c74ea6c0497b7353
Description-en: wiki compiler
 Ikiwiki converts a directory full of wiki pages into HTML pages suitable
 for publishing on a website. Unlike many wikis, ikiwiki does not have its
 own ad-hoc means of storing page history, and instead uses a revision
 control system such as Subversion or Git.
 .
 Ikiwiki implements all of the other standard features of a wiki, including
 web-based page editing, user registration and logins, a RecentChanges
 page, BackLinks, search, Discussion pages, tags, smart merging and conflict
 resolution, and page locking.
 .
 Ikiwiki also supports generating news feeds (RSS and Atom) and blogging.
 Ikiwiki provides a plugin system which allows many other features to be
 added. Some of the plugins have additional dependencies, found among the
 Recommends and Suggests of this package.

Package: ikiwiki-hosting-common
Description-md5: a9dbb4ce8cf09b5888a36e71afaa2735
Description-en: ikiwiki hosting: common files
 A hosting interface for ikiwiki. Facilitates management of many separate
 ikiwiki sites, with capabilities including web-based signup to create new
 sites, easy support for branching sites, deleting sites, and transferring
 sites between servers. Ikiwiki-hosting was developed for Branchable.com.
 .
 This package contains common files for all ikiwiki hosting servers,
 and documentation.

Package: ikiwiki-hosting-dns
Description-md5: 4c1ee9d4ce9b8d5a774afbcf88a097bd
Description-en: ikiwiki hosting: dns server
 A hosting interface for ikiwiki. Facilitates management of many separate
 ikiwiki sites, with capabilities including web-based signup to create new
 sites, easy support for branching sites, deleting sites, and transferring
 sites between servers. Ikiwiki-hosting was developed for Branchable.com.
 .
 This package should be installed on the master DNS server, only if you
 will be allowing ikiwiki-hosting to automatically manage DNS for
 sites. It contains the ikidns program.

Package: ikiwiki-hosting-web
Description-md5: 241a687ddb963bcb7f26722ed3701479
Description-en: ikiwiki hosting: web server
 A hosting interface for ikiwiki. Facilitates management of many separate
 ikiwiki sites, with capabilities including web-based signup to create new
 sites, easy support for branching sites, deleting sites, and transferring
 sites between servers. Ikiwiki-hosting was developed for Branchable.com.
 .
 This package contains the ikisite program, and related things to install
 on each web server.

Package: ilisp
Description-md5: c43e093d07daf72442c9525bfc0959e2
Description-en: Emacs interface to LISP implementations
 ILISP is a powerful GNU Emacs interface to many dialects of Lisp,
 including Lucid, Allegro, Harlequin LispWorks, GCL, KCL, AKCL, ECL,
 IBCL, and CMUCL.  Also some Scheme implementations are supported as
 well as a preliminary version of Xlisp/XlispStat.

Package: ilisp-doc
Description-md5: 3c531a6c8d68d925ba2745ad2053bee9
Description-en: Documentation for ILISP package
 This package supplies PDF and HTML documentation for the ILISP
 package. ILISP is a powerful GNU Emacs interface to many dialects of
 Lisp.

Package: ilithuanian
Description-md5: 234999fd9d308a0b956a37e56a9ca06a
Description-en: ispell dictionary for Lithuanian (LT)
 This is the Lithuanian dictionary, to be used with ispell
 to check and correct spelling in Lithuanian texts.

Package: im
Description-md5: 97a53ae7b8540d47e116ae4cabc2b133
Description-en: mail/news handling commands and Perl modules
 IM (Internet Message) provides a series of user interface commands
 (imput, imget, imls, ...) and backend Perl5 modules to integrate
 E-mail and NetNews user interface.  They are designed to be used
 both from Mew version 1.x and on command line.
 .
 The folder style for IM is exactly the same as that of MH.  So,
 you can replace MH with this package without any migration works.
 Moreover, you are able to operate your messages both by IM and MH
 with consistent manner.
 .
 Mail/news messages are dispatched by way of SMTP/NNTP and are
 retrieved from local mailbox (mbox file, Maildir, MMDF file, MH folder),
 POP3 server (plain password, APOP), IMAP server, or NNTP server.

Package: ima-evm-utils
Description-md5: cdca0ad0dcf2acb1e9a67359402e5892
Description-en: Linux IMA Extended Verification Module signing tools
 The package provides the Linux Integrity Measurement Architecture (IMA)
 Extended Verification Module (EVM) tools.
 .
 With EVM, the security sensitive extended attributes are verified against
 offline tampering.

Package: image-transport-tools
Description-md5: 87c3afdaf687d98668e005d6155d49d6
Description-en: Robot OS image_transport package tools
 This package is part of Robot OS (ROS). This library should always be
 used to subscribe to and publish images. It (image_transport)
 provides transparent support for transporting images in low-bandwidth
 compressed formats. Examples (provided by separate plugin packages)
 include JPEG/PNG compression and Theora streaming video.
 .
 This package provides the tools list_transports and republish.

Package: imageindex
Description-md5: e958338f47c29b0115e924a002a58732
Description-en: generate static HTML galleries from images
 Imageindex generates standards-compliant static HTML galleries of images
 (usually JPG photos, but can deal with images of just about any format).
 Some features:
 .
    * Only out-of-date thumbnail/medium images are generated
    * Captions come from comments embedded in images (never get lost!)
    * Output is customizable with CSS stylesheets
    * Can fetch EXIF header data from digicam photos
    * Can optionally recurse directory trees
    * Index, detail, slide, and frame views
    * Can use mplayer for dealing with video files.

Package: imageinfo
Description-md5: ccb85ff7401b60b976a2baf63e617e08
Description-en: Displays selected image attributes
 imageinfo prints image attributes (e.g. format, geometry) selected via
 command line options. It is similar in function to the ImageMagick
 "identify" utility, but provides some additional attributes (such as
 details of embedded ICC profiles), and is more convenient for use within
 a script since the command line selection of specific attributes avoids
 unnecessary computation and allows easier parsing of the result.

Package: imagej
Description-md5: d64a1d4b24bcb1fe82817a5a62d85a46
Description-en: Image processing program with a focus on microscopy images
 It can display, edit, analyze, process, save and print 8-bit, 16-bit and
 32-bit images. It can read many image formats including TIFF, GIF, JPEG,
 BMP, DICOM, FITS and "raw". It supports "stacks", a series of images that
 share a single window.
 .
 It can calculate area and pixel value statistics of user-defined
 selections. It can measure distances and angles. It can create density
 histograms and line profile plots. It supports standard image processing
 functions such as contrast manipulation, sharpening, smoothing, edge
 detection and median filtering.
 .
 Spatial calibration is available to provide real world dimensional
 measurements in units such as millimeters. Density or gray scale
 calibration is also available.
 .
 ImageJ is developed by Wayne Rasband (wayne@codon.nih.gov), is at the
 Research Services Branch, National Institute of Mental Health, Bethesda,
 Maryland, USA.

Package: imagemagick
Description-md5: 454d44b3e8d4177be027105c6c73b6f9
Description-en: image manipulation programs -- binaries
 ImageMagick is a software suite to create, edit, and compose bitmap images.
 It can read, convert and write images in a variety of formats (over 100)
 including DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript,
 SVG, and TIFF. Use ImageMagick to translate, flip, mirror, rotate, scale,
 shear and transform images, adjust image colors, apply various special
 effects, or draw text, lines, polygons, ellipses and Bézier curves.
 All manipulations can be achieved through shell commands as well as through
 an X11 graphical interface (display).
 .
 This package include links to channel depth specific binaries and manual
 pages.
 .
 This is a dummy package.  You can safely purge or remove it.

Package: imagemagick-6-common
Description-md5: 242e9070bb8cf8876ae8723472cc8f76
Description-en: image manipulation programs -- infrastructure
 imagemagick-common contains the filesystem infrastructure required for
 further installation of imagemagick in any configuration; it does not provide
 a full installation of binaries, libraries, and utilities
 required to run imagemagick.
 .
 This package is independent of channel depth.

Package: imagemagick-6-doc
Description-md5: f514d30b27cec105434014153f1921ca
Description-en: document files of ImageMagick
 This package contains the document files shipped with ImageMagick, a software
 suite to create, edit, and compose bitmap images.
 .
 Documentations includes html manuals, examples files, and doxygen generated API
 documentation.

Package: imagemagick-6.q16
Description-md5: 47ea41425a6fb931790be5843ed7d1e7
Description-en: image manipulation programs -- quantum depth Q16
 ImageMagick is a software suite to create, edit, and compose bitmap images.
 It can read, convert and write images in a variety of formats (over 100)
 including DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript,
 SVG, and TIFF. Use ImageMagick to translate, flip, mirror, rotate, scale,
 shear and transform images, adjust image colors, apply various special
 effects, or draw text, lines, polygons, ellipses and Bézier curves.
 All manipulations can be achieved through shell commands as well as through
 an X11 graphical interface (display).
 .
 For working with the SVG, WMF, OpenEXR, DjVu and Graphviz formats,
 you need to install the libmagickcore-6.q16-6-extra package.
 .
 This version of imagemagick is compiled for a channel
 depth of 16 bits (Q16).

Package: imagemagick-6.q16hdri
Description-md5: 4d8c26b45b75abd3c52d5b43c7e16277
Description-en: image manipulation programs -- quantum depth Q16HDRI
 ImageMagick is a software suite to create, edit, and compose bitmap images.
 It can read, convert and write images in a variety of formats (over 100)
 including DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript,
 SVG, and TIFF. Use ImageMagick to translate, flip, mirror, rotate, scale,
 shear and transform images, adjust image colors, apply various special
 effects, or draw text, lines, polygons, ellipses and Bézier curves.
 All manipulations can be achieved through shell commands as well as through
 an X11 graphical interface (display).
 .
 For working with the SVG, WMF, OpenEXR, DjVu and Graphviz formats,
 you need to install the libmagickcore-6.q16hdri-6-extra package.
 .
 This version of imagemagick is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).

Package: imagemagick-common
Description-md5: 4c746bf1d9d18dd7bd6d5f798754abc0
Description-en: image manipulation programs -- infrastructure dummy package
 imagemagick-common contained the filesystem infrastructure required for
 further installation of imagemagick in any configuration.
 .
 This is a transitional package to help migrate systems to the new
 imagemagick-6-common package.
 .
 This is a dummy package.  You can safely purge or remove it.

Package: imagemagick-doc
Description-md5: b0721b7d624a0d684407c0c9ca6fc3de
Description-en: document files of ImageMagick -- dummy package
 This package contained the document files shipped with ImageMagick, a software
 suite to create, edit, and compose bitmap images.
 .
 This is a transitional package to help migrate systems to the new
 imagemagick-6-doc package.
 .
 This is a dummy package.  You can safely purge or remove it.

Package: imagetooth
Description-md5: 25e3fa984d77f8603103bddf129a0814
Description-en: library generating images of teeth for odontograms
 This library generates images of teeth for odontograms with tooth
 faces painted in a color (red, green, blue, white or black for example).
 This is very useful for dental applications.

Package: imagination
Description-md5: d0d7b948b39d26a4d7ab92301194f08f
Description-en: DVD slide show maker
 Imagination is a lightweight and user-friendly DVD slide show maker with
 a clean interface and few dependencies. It only requires the ffmpeg encoder
 to produce a movie to be burned with another application.
 .
 Imagination has the following features:
   - Easy to operate.
   - It can make a slideshow from photos selected by user.
   - Support to VOB (DVD Video), OGV (Theora Vorbis), FLV (Flash Video) and
     3GP (Mobile Phones) when exporting videos.
   - Support screen resolutions from 128x96 pixels up to 1920x1080 pixels,
     when exporting videos.
   - Background audio (music, as MP3 files, or other audio files).
   - Auto resize (optional) for images.
   - Allow the users to select a background color.
   - Over 50 transition effects.
   - Zoom effect with duration time.
   - Allow users to add explanatory texts (e.g. subtitles).
 .
 Imagination can be used to make presentations to be shown by a projector or
 by a smart TV.

Package: imagination-common
Description-md5: afd517bc6b31f1f71683939fa70b62c9
Description-en: DVD slide show maker - common files
 Imagination is a lightweight and user-friendly DVD slide show maker with
 a clean interface and few dependencies. It only requires the ffmpeg encoder
 to produce a movie to be burned with another application.
 .
 This package contains architecture independent files such as icons, pixmaps,
 documentation, and translations.

Package: imanx
Description-md5: 5b5fb136798f881a14ca242407bb7429
Description-en: Manx Gaelic dictionary for ispell
 This is a Manx Gaelic dictionary for ispell spelling checker.
 The dictionary has about 30,000 words, but is of Alpha quality. Help
 in checking its quality and word submissions gratefully accepted.

Package: imapcopy
Description-md5: 5474255ecdaf7d517a671ae0ced99a88
Description-en: IMAP backup, copy and migration tool
 IMAPCopy is a small command line tool to copy messages for multiple
 users from one imap server to another.  You can use it with any IMAP
 implementation, for example you can migrate from Cyrus to MS Exchange
 or from MS Exchange to Courier IMAP.

Package: imapfilter
Description-md5: 928468b2201248e2e9f283e15691102b
Description-en: filter mail in your IMAP account
 Imapfilter is a client application that allows you to
 filter mail in your imap mail account. When used in
 conjunction with mutt, as your precommand, it allows
 you to have your mail sorted into imap folders.

Package: imapproxy
Description-md5: 76b744a409a9f67cd0257cbcf23a787c
Description-en: IMAP protocol proxy
 IMAP Proxy proxies IMAP transactions between an IMAP client and an IMAP
 server. The general idea is that the client should never know that it is
 not talking to the real IMAP server while IMAP Proxy caches server connections.
 .
 IMAP Proxy was written to compensate for webmail clients that are unable to
 maintain persistent connections to an IMAP server. Most webmail clients
 need to log in to an IMAP server for nearly every single transaction. This
 behaviour can cause tragic performance problems on the IMAP server.
 IMAP Proxy tries to deal with this problem by leaving server connections
 open for a short time after a webmail client logs out. When the webmail client
 connects again, IMAP Proxy will determine if there is a cached connection
 available and reuse it if possible.

Package: imaprowl
Description-md5: 2b3d6d626c370877d8cdeaf8aa604f2f
Description-en: IMAP new mail notification utility for iPhone using Prowl Public API
 Prowl is a service and an App for iPhone's Push Notification service.(APNs)
 see http://prowl.weks.net/ for more about Prowl.
 .
 IMAProwl is an utility script to notify new mail of IMAP server with Prowl
 service.
 It's very useful to push notification of GMail or any other IMAP mail service
 to your iPhone.
 .
 This program uses IMAP/IDLE(RFC2177) or IMAP/NOOP to check the new mail on
 IMAP server and uses Prowl Public API via HTTPS.

Package: imaptool
Description-md5: a3b896ec11efa63f91e48a8661c2d698
Description-en: tool for creating client-side image maps
 A simple (yet useful and free) tool for creating client-side image maps,
 supporting GIF and JPEG images.

Package: imediff
Description-md5: 7ab63c2e829e8327a7703707f1adef4a
Description-en: interactive full screen 2/3-way merge tool
 The imediff command helps you to merge 2 slightly different files with an
 optional base file interactively using the in-place alternating display of
 the changed content on a single-pane full screen terminal user interface.
 .
 The source of line is clearly identified by the color of the line or the
 identifier character at the first column.
 .
 The advantage of this user interface is the minimal movement of the line of
 sight for the user.  Other great tools such as vimdiff, xxdiff, meld and
 kdiff3 require you to look at different points of display to find the exact
 position of changes.  This makes imediff the most stress-free tool.
 .
 Other great tools for merge such as "diff3 -m ..." and "git merge ..."
 operate only on the difference by line.  So even for the non-overlapping
 changes, they yield the merge conflict if changes happen on the same line.
 .
 The automatic merge logic of the imediff command operates not only on the
 difference by line but on the difference by character.  This is another
 great feature of the imediff command. So for the non-overlapping changes, it
 always yields the clean merge.
 .
 This comes with git-ime script which helps you to split squished git commit
 using imediff and with a support script to use imediff as the backend of
 git-mergetool.

Package: imediff2
Description-md5: 6b83b340177997a75b175468d8897784
Description-en: interactive full screen 2-way merge tool
 This is a dummy transitional package that provides symlinks from
 imediff2 to imediff. It may be safely removed.
 .
 Imediff2 lets you merge two (slightly different) files
 interactively with a user friendly full screen interface
 on a text terminal. In other words, it is an ncurses based
 replacement for sdiff.
 .
 The program shows the differences of given files (in color
 if the terminal supports them), lets you scroll up and down
 and toggle changes between the old and new versions of
 the differing hunks one by one.
 .
 Unlike split screen based merge tools, it shows only one,
 partially merged, version of the file at a time, making
 it more "WYSIWYG", perhaps more intuitive for beginners and
 most importantly, suitable for narrow terminals.
 .
 This also comes with git-ime wrapper script to use imediff2
 with git VCS.

Package: img2pdf
Description-md5: 321f642507c293d3cfba614e7905f8ba
Description-en: Lossless conversion of raster images to PDF
 This program will take a list of raster images and produce a PDF file with the
 images embedded in it. JPEG and JPEG2000 images will be included without
 recompression. Raster images in other formats will be included with zip/flate
 encoding which usually leads to an increase in the resulting size because
 formats like png compress better than PDF which just zip/flate compresses the
 RGB data. As a result, this tool is able to losslessly wrap images into a PDF
 container with a quality to filesize ratio that is typically better (in case
 of JPEG and JPEG2000 images) or equal (in case of other formats) than that of
 existing tools.
 .
 This package contains the executable.

Package: img2simg
Description-md5: 098b53cb5345c79e9d43a7c3970e37d5
Description-en: Transitional package
 This is a transitional package. It can safely be removed.

Package: imgp
Description-md5: e0771bbaa5ebb5195f44f9303dc10051
Description-en: Superfast batch image resizer and rotator
 Feature
 .
  - Resize by percentage or resolution
  - Rotate clockwise by specified angle
  - Adaptive resize considering orientation
  - Brute force to a resolution
  - Optimize images to save more space
  - Convert PNG to JPEG
  - Erase exif metadata
  - Force smaller to larger resize
  - Process directories recursively
  - Overwrite source image option
  - Completion scripts for bash, fish, zsh
  - Minimal dependencies

Package: imgsizer
Description-md5: feea5bdc903e9f63a01f58789f73db07
Description-en: Adds WIDTH and HEIGHT attributes to IMG tags in HTML files
 The imgsizer script automates away the tedious task of creating and
 updating the extension HEIGHT and WIDTH parameters in HTML IMG tags.
 These parameters help browsers to multi-thread image loading, instead of
 having to load images in strict sequence in order to have each one's
 dimensions available so the next can be placed.  This generally allows
 text on the remainder of the page to load much faster.
 .
 The package needs either the imagemagick package or both the file and
 libjpeg-progs packages.

Package: imgvtopgm
Description-md5: 24d3458138a0ece6b266a01d14db752b
Description-en: PalmPilot/III Image Conversion utility
 This program can convert, compress, and decompress up to 4-bit grayscale
 images for displaying on the PalmPilot. It can take any pbm, pnm, pgm file
 generated by the netpbm package and convert it into a suitable image
 for the Pilot.
 .
 Together with netpbm many image formats, including JPEG, PNG, GIF, TIFF
 and BMP, could be converted into PDB format. This can be displayed on
 PalmPilot/III by viewers like "ImageViewer", "TinyViewer" or "Spec".

Package: impass
Description-md5: 5eba872bf0a9dfbfda420046fe5f2c0a
Description-en: Simple and secure password management and retrieval system
 Impass is a secure password manager that relies on your OpenPGP key
 for security and is designed to integrate in a minimal fashion into
 any X11 window manager.
 .
 Passwords and context strings are stored in a single
 OpenPGP-encrypted and signed file (meaning entry contexts are not
 exposed to the filesystem).  Along with a simple command-line
 interface, there is a streamlined GUI meant for X11 window manager
 integration.  When invoked, the GUI produces a prompt to search
 stored contexts.  New entries can also easily be created.  Passwords
 are securely retrieved without displaying on the screen.  Multiple
 retrieval methods are available, including auto-typing them directly
 into an X11 window (default), or inserting them into the X11 clipboard.

Package: impose+
Description-md5: 0fb0a48fbda8fff46196505c0e2c42c7
Description-en: Postscript utilities for two-up printing, bbox, etc
 A set of utilities for manipulating DSC compliant postscript. The
 following programs are included:
 .
 impose: A preprocessor to pstops for creating 2-up printouts. It
 tries to remove white space from the printout by probing the original
 postscript for the printed area's bounding box.  This makes the
 output more esthetic than a simplistic layout of non-cropped original
 pages.
 .
 bboxx: Extracts the bounding boxes of a postscript file, with the
 option of entering the bounding box into the file. This program uses
 the ghostscript bbox device.
 .
 fixtd: Sets options in a Postscript file asking the printer to turn
 on tumbling or duplex printing.
 .
 psbl: Rearranges pages in a file to create booklets.

Package: imv
Description-md5: 6da3b654f00d366a026c9938c3d3b4ec
Description-en: command line image viewer intended for use with tiling window managers
 imv is an image viewer for X11 and Wayland, aimed at users of tiling
 window managers. It supports a wide variety of image file formats,
 including animated gif files. imv will automatically reload the current
 image, if it is changed on disk.
 .
 The package provides the imv-x11 and x11-wayland binaries. The 'imv' wrapper
 script is not installed because of a file name clash with another package.

Package: imview
Description-md5: 261e83029bff00ab258e9e9240e05a08
Description-en: Image viewing and analysis application
 Imview is an application which
 .
  * Displays a large number of image formats.
  * Displays 2D or 3D (as slices) images with a very good zoom and pan
    feature.
  * Works with multi-spectral, time series or multi-page documents (e.g.:
    Satellite images, TIFF stacks, animated GIFs and heterogeneous
    multi-component files).
  * Displays all pixel types (1-bit to 64-bit data, integer or floating
    point).
  * Arbitrary 1-D profile of 2-D images (or of 2-D slices of 3-D images) can
    be displayed.
  * Has support for arbitrary colourmaps for all pixel types (i.e.: false
    colour display).
  * Has standard image manipulation facilities (brightness/contrast, gamma,
    zoom, crop, rotation, etc).
  * Can be controlled remotely via sockets and text commands (for easy
    integration into various image analysis systems).
  * Images can be uploaded into Imview via sockets or shared memory.
  * And much more!

Package: imvirt
Description-md5: a092d36828dbc6634139505abbdc7d26
Description-en: detects several virtualizations
 This Perl script tries to detect if it is run in a virtualization container.
 .
 In this version it is able to detect the following virtualization
 technologies:
  ARAnyM
  KVM
  lguest
  LXC
  OpenVZ/Virtuozzo
  QEMU
  UML
  VMware GSX, ESX, Workstation
  Virtual PC/Virtual Server
  VirtualBox
  Xen (para and non-para virtualized)
 .
 And much more.

Package: imvirt-helper
Description-md5: 1a1938c40f6a2430291c877ab69408f1
Description-en: helper programs to detect several virtualizations
 This package includes several helper programs from imvirt to test for
 several virtualizations.
 .
 In this version it is able to detect the following virtualization
 technologies:
  ARAnyM
  KVM
  lguest
  LXC
  OpenVZ/Virtuozzo
  QEMU
  UML
  VMware GSX, ESX, Workstation
  Virtual PC/Virtual Server
  VirtualBox
  Xen (para and non-para virtualized)
 .
 And much more.

Package: imwheel
Description-md5: 2bf9b3f93570ba3d27860b3f3740df13
Description-en: program to support non-standard buttons on mice in Linux
 Many mice have side or "thumb" buttons that see limited use in Linux, as well
 as a wheel that is not used by many older applications.
 .
 IMWheel supports these non-standard buttons and/or wheel operations by
 allowing the user to map their input to specific key combinations depending
 on the application in use.

Package: imx-code-signing-tool
Description-md5: 63a6ce0dbc4128e084864b940319d223
Description-en: code signing tool for i.MX platform
 This package provides a code signing tool for signing images
 for i.MX-based NXP processors using High Assurance Boot (HABv4)
 library in the internal boot ROM or the Advanced High Assurance
 Boot (AHAB) subsystem.
 .
 This package also provides a variety of support scripts.

Package: imx-usb-loader
Description-md5: 66b128f5c76116a7f1a4fe01cc1861a4
Description-en: imx_loader - i.MX/Vybrid recovery utility
 This utility allows one to download and execute code on Freescale
 i.MX5/i.MX6/i.MX7 and Vybrid SoCs through the Serial Download Protocol (SDP).
 Depending on the board, there is usually some kind of recovery button to
 bring the SoC into serial download boot mode, check documentation of your
 hardware.
 .
 The utility support USB and UART as serial link.

Package: in-toto
Description-md5: a112ed186f1bf4f7ed9e2fe71d9542a7
Description-en: software supply chain security framework
 in-toto provides tools both for software developers to authenticate operations
 in the supply chain, as well as for end-users to verify the authentication
 trail when installing a software product.
 .
 in-toto is developed at the Secure Systems Lab of NYU.

Package: inadyn
Description-md5: d77e38ebfb1fd2df791e377780b91ec0
Description-en: Simple and small DynDNS client written in the C language
 With this package the user can have an Internet name for his host
 even though he might not have a name server or a static IP. It works
 by being a client of a supposedly open name server and updating the
 server's records when the need arise. A list of the servers that are
 supported is:
   * http://www.dyndns.org
   * http://freedns.afraid.org
   * http:// www.zoneedit.com
   * http://www.no-ip.com
   * http://www.easydns.com
   * http://www.tzo.com
   * http://www.3322.org
   * http://www.dnsomatic.com
   * http://www.tunnelbroker.net
   * http://dns.he.net
   * http://www.dynsip.org
   * http://www.sitelutions.com
   * http://www.dnsexit.com
   * http://www.changeip.com
 .
 Some of the services of these servers are free of charge.
 .
 This is a command line tool that is written in portable ANSI C with a
 little OS abstraction layer. It can maintain multiple host names with
 the same IP address, and has a web based IP detection which runs well
 behind a NAT router.

Package: incron
Description-md5: 267a35828e3e0895895631033dec2d69
Description-en: cron-like daemon which handles filesystem events
 incron is an "inotify cron" system. It works like the regular cron but is
 driven by filesystem events instead of time events. This package provides two
 programs, a daemon called "incrond" (analogous to crond) and a table
 manipulator "incrontab" (like "crontab").
 .
 incron uses the Linux Kernel inotify syscalls.
 .
 like cron, each user can edit its own incron tables.
 .
 incron can be used to :
  - notifying programs (e.g. server daemons) about changes in configuration
  - guarding changes in critical files (with their eventual recovery)
  - file usage monitoring, statistics
  - automatic on-crash cleanup
  - automatic on-change backup or versioning
  - new mail notification (for maildir)
  - server upload notification
  - installation management (outside packaging systems)
  - ... and many others

Package: indelible
Description-md5: c481b79347a79fb03305db0619f5a7fa
Description-en: powerful and flexible simulator of biological evolution
 INDELible is a new, portable, and flexible application for biological
 sequence simulation that combines many features in the same place for
 the first time. Using a length-dependent model of indel formation it
 can simulate evolution of multi-partitioned nucleotide, amino-acid,
 or codon data sets through the processes of insertion, deletion, and
 substitution in continuous time.
 .
 Nucleotide simulations may use the general unrestricted model or the
 general time reversible model and its derivatives, and amino-acid
 simulations can be conducted using fifteen different empirical rate
 matrices. Substitution rate heterogeneity can be modeled via the
 continuous and discrete gamma distributions, with or without a proportion
 of invariant sites. INDELible can also simulate under non-homogeneous
 and non-stationary conditions where evolutionary models are permitted
 to change across a phylogeny.
 .
 Unique among indel simulation programs, INDELible offers the ability
 to simulate using codon models that exhibit nonsynonymous/synonymous
 rate ratio heterogeneity among sites and/or lineages.

Package: indi-bin
Description-md5: db0e8f43df68cc93bef3aa45ef531342
Description-en: INDI server, drivers and tools
 INDI (Instrument-Neutral Device Interface) is a distributed XML-based
 control protocol designed to operate astronomical instrumentation.
 INDI is small, flexible, easy to parse, scalable, and stateless.
 It supports common DCS functions such as remote control, data acquisition,
 monitoring, and a lot more.
 .
 This package contains the INDI server, the drivers for the supported
 astronomical instrumentation, and other INDI tools.

Package: indicator-applet
Description-md5: fcbe6881110bd4e7859d318afee14d6e
Description-en: GNOME panel indicator applet
 indicator-applet is an applet to display information from
 various applications consistently in the GNOME panel.
 .
 Currently this includes support for messaging applications in the
 indicator-messages package.

Package: indicator-applet-appmenu
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: indicator-applet-complete
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: indicator-applet-session
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: indicator-application
Description-md5: fec3a294dcadc4ec7cf73d92b3adc2ae
Description-en: Application Indicators
 An indicator to take menus from applications and place them in the panel.

Package: indicator-appmenu
Description-md5: 411fe4661e19ef0cd325d0a6c997ec00
Description-en: Indicator for application menus.
 .
 This package provides support for application menus.

Package: indicator-appmenu-tools
Description-md5: 3563689edf83b10166ff560c4f933b9c
Description-en: Tools for debuging application menus.
 .
 This package provides tools for supporting application menus.

Package: indicator-bluetooth
Description-md5: 6c65b08124db76931866665b2baebc9e
Description-en: System bluetooth indicator.
 System bluetooth indicator which provides fast user controls for Bluetooth
 devices.

Package: indicator-china-weather
Description-md5: a1662c7ad8a8547844a2fe4bc8a89c69
Description-en: Indicator that displays China weather information
 Kylin Weather displays detail weather information for one place,
 including weather forecast and observe weather, and you can
 change it.

Package: indicator-common
Description-md5: d99b61628384906db1cdddd7b5c838c2
Description-en: indicator shared files
 A package that has files needed to work with the indicators. This
 includes the systemd indicators-pre.target.

Package: indicator-cpufreq
Description-md5: 6f34a7c9948543b9eaec8fa9809f9c7e
Description-en: CPU frequency scaling indicator
 Indicator applet for displaying and changing CPU frequency on the fly. It
 provides the same functionality as the GNOME CPU frequency applet, but doesn't
 require GNOME panel and works under Unity.

Package: indicator-datetime
Description-md5: 083f98dd29157b1f6c5a8c4594d7d774
Description-en: Simple clock
 A simple clock appearing in the indicator bar

Package: indicator-keyboard
Description-md5: 0399ae266619db0e430ad43d8d5ad076
Description-en: Keyboard indicator
 This package contains the keyboard indicator, which should show as an icon in
 the top panel when using the Unity environment. It can be used to switch
 key layouts or languages, and helps the user identifying which layouts are
 currently in use.

Package: indicator-messages
Description-md5: 4b542e22d4916b1b888ba25c790e7864
Description-en: indicator that collects messages that need a response
 A place on the user's desktop that collects messages that need a response.
 This menu provides a condensed and collected view of all of those messages
 for quick access, but without making them annoying in times that you want
 to ignore them.

Package: indicator-multiload
Description-md5: 7e4e71e4d80c60b720d6389a40010459
Description-en: Graphical system load indicator for CPU, ram, etc.
 A system load indicator capable of displaying graphs for CPU, ram, and swap
 space use, plus network traffic.

Package: indicator-notifications
Description-md5: fb8e71ffeb2dcee17b707d29441ddfc7
Description-en: View recent notifications
 An indicator applet to display recent notifications
 sent to a notification daemon such as notify-osd.

Package: indicator-power
Description-md5: a640c20ce04b08d6092562fe0fecbddd
Description-en: Indicator showing power state.
 This indicator displays current power management information and gives
 the user a way to access power management preferences.

Package: indicator-printers
Description-md5: 3b6c1a985bc7a48b6754888ad18d064e
Description-en: indicator showing active print jobs
 This indicator is designed to let you view and control active print jobs.
 .
 It requires some way to be hosted into a panel.  Either Unity or an indicator
 plugin for your desktop environment.

Package: indicator-sensors
Description-md5: bd6c933cb9755b0c302dbdf404710f3a
Description-en: Hardware sensors indicator
 Application indicator to display and monitor the readings
 from various hardware sensors (temperature, fan speeds, voltages
 etc) in the desktop panel for GNOME

Package: indicator-session
Description-md5: 039ad83f5a2abd8ebf915df110887494
Description-en: indicator showing session management, status and user switching
 This indicator is designed to be placed on the right side of a panel and
 give the user easy control for changing their instant message status.
 Switching to another user.  Starting a guest session.  Or controlling the
 status of their own session.
 .
 It requires some way to be hosted into a panel.  For the GNOME Panel the
 appropriate package is indicator-applet-session.

Package: indicator-sound
Description-md5: fab6eabedadace061843b11db0e18547
Description-en: System sound indicator.
 System sound indicator which provides easy control of the PulseAudio sound
 daemon.

Package: indigo-utils
Description-md5: 5e6cc526314c7df276ca356658d080ca
Description-en: Organic Chemistry Toolkit Utilities
 Indigo is a C++ based organic chemistry and cheminformatics software
 environment.  Features Include:
 .
  * Molecule and reaction rendering including SVG support
  * Automatic layout for SMILES-represented molecules and reactions
  * Canonical (isomeric) SMILES computation
  * Exact matching, substructure matching, SMARTS matching
  * Matching of tautomers and resonance structures
  * Molecule fingerprinting, molecule similarity computation
  * Fast enumeration of SSSR rings, subtrees, and edge sugraphs
  * Molecular weight, molecular formula computation
  * R-Group deconvolution and scaffold detection
  * Computation of the exact maximum common substructure for an
    arbitrary amount of input structures
  * Combinatorial chemistry * Plugin support in the API
 .
 File formats Indigo support include MDL Mol, SDF, RDF, CML, SMILES and
 SMARTS.
 .
 This package contains the following utilities:
 .
  * indigo-depict: Molecule and reaction rendering utility
  * indigo-cano: Canonical SMILES generator
  * indigo-deco: R-Group deconvolution utility
  * chemdiff: Visual comparison of two SDF or SMILES files (needs the JAVA
    libraries)

Package: inetsim
Description-md5: c836789214e536e09e385702a57500be
Description-en: Software suite for simulating common internet services
 INetSim is a software suite for simulating common internet services
 in a lab environment, e.g. for analyzing the network behaviour of
 unknown malware samples.
 .
 INetSim supports simulation of the following services:
 HTTP, SMTP, POP3, DNS, FTP, NTP, TFTP, IRC, Ident, Finger, Syslog,
 'Small servers' (Daytime, Time, Echo, Chargen, Discard, Quotd)
 .
 Additional features:
  * Faketime
  * Connection redirection
  * Detailed logging and reports
  * TLS/SSL support for several services

Package: inetutils-ftp
Description-md5: 73d2fe548303a3bcc7b121f6b8c9426a
Description-en: File Transfer Protocol client
 The ftp command is used to transfer files between hosts using the
 FTP protocol.

Package: inetutils-ftpd
Description-md5: ab797b9be2c2043f3a1cccfcbbd951f0
Description-en: File Transfer Protocol server
 Ftpd is the server that allows another host to connect with the ftp
 command to transfer files using the FTP protocol.

Package: inetutils-inetd
Description-md5: 4d6f447fb5156051840d637383dc72ad
Description-en: internet super server
 Inetd is the daemon that listens on various TCP and UDP ports and spawns
 programs that can't or won't do it for themselves.
 .
 This is the portable GNU implementation of inetd. The package supports
 IPv6, tcpmux services and unlimited server arguments.

Package: inetutils-ping
Description-md5: 6200ee972698b85f830ed80937e3d9da
Description-en: ICMP echo tool
 Ping uses ICMP to send out echo requests, and uses the reply packets to
 calculate latency between the sending and the destination hosts.
 .
 This is the portable GNU implementation of ping.

Package: inetutils-syslogd
Description-md5: 2114d87f02896f73b904f74e7b55d1af
Description-en: system logging daemon
 The syslog daemon is responsible for providing logging of messages
 received from programs and facilities on the local host as well as
 from remote hosts.

Package: inetutils-talk
Description-md5: cde471b5a5efdf23f3a11e79f5aa22fe
Description-en: talk to another user
 Talk is a visual communication program which copies lines from your terminal
 to that of another user.

Package: inetutils-talkd
Description-md5: 37f99045d03bb12798fa38fc19e717cb
Description-en: remote user communication server
 Talkd is the server that notifies a user that someone else wants to initiate
 a conversation. It acts a repository of invitations, responding to requests
 by clients wishing to rendezvous to hold a conversation.

Package: inetutils-telnet
Description-md5: e8f16d39a9ea57d2216c233cbe9d1901
Description-en: telnet client
 The telnet command is used for interactive communication with another host
 using the TELNET protocol.

Package: inetutils-telnetd
Description-md5: 307eb7852949929b7d82313556f3dc78
Description-en: telnet server
 The in.telnetd program is a server which supports the DARPA telnet
 interactive communication protocol.

Package: inetutils-tools
Description-md5: 7d9edd5d12d4a17e30f7a0657a276dbf
Description-en: base networking utilities (experimental package)
 Base utilities for network administration:
 .
  - ifconfig
  - hostname
  - dnsdomainname
  - logger
 .
 WARNING: The current ifconfig implementation provided is not fully
 compatible with the net-tool's ifconfig version.

Package: inetutils-traceroute
Description-md5: 4a32545f5c244d2cb70b9da16bbc2212
Description-en: trace the IPv4 route to another host
 The traceroute utility displays the route taken by IP packets on their
 way to another host or another network.
 .
 Install this package if you need a tool to examine network connectivity
 or to diagnose network problems.

Package: infernal
Description-md5: 0fa26f15adcc8d61cdb1b817c872c7ec
Description-en: inference of RNA secondary structural alignments
 Infernal ("INFERence of RNA ALignment") searches DNA sequence
 databases for RNA structure and sequence similarities. It provides an
 implementation of a special variant of profile stochastic context-free
 grammars called covariance models (CMs). A CM is like a sequence
 profile, but it scores a combination of sequence consensus and RNA
 secondary structure consensus, so in many cases, it is more capable of
 identifying RNA homologs that conserve their secondary structure more
 than their primary sequence.
 .
 The tool is an integral component of the Rfam database.

Package: infernal-doc
Description-md5: e9b1617d2c59e14b9d4c57e66f9dfb48
Description-en: inference of RNA secondary structural alignments – documentation
 Infernal ("INFERence of RNA ALignment") searches DNA sequence
 databases for RNA structure and sequence similarities. It provides an
 implementation of a special case of profile stochastic context-free
 grammars called covariance models (CMs). A CM is like a sequence
 profile, but it scores a combination of sequence consensus and RNA
 secondary structure consensus, so in many cases, it is more capable of
 identifying RNA homologs that conserve their secondary structure more
 than their primary sequence.
 .
 This package provides the documentation which is shipped with the
 code of infernal.

Package: infiniband-diags
Description-md5: 7b1103d02d514ef168f0320a1ca158c2
Description-en: InfiniBand diagnostic programs
 InfiniBand is a switched fabric communications link used in
 high-performance computing and enterprise data centers. Its features
 include high throughput, low latency, quality of service and
 failover, and it is designed to be scalable.
 .
 This package provides diagnostic programs and scripts needed to
 diagnose an InfiniBand subnet.

Package: infinoted
Description-md5: c3aa15026ef9b37d4a5ad58042d9cf7c
Description-en: dedicated server for infinote-based collaborative editing
 infinoted is a dedicated server which allows clients to edit plain text
 documents and source files collaboratively over a network. Changes
 to the documents are synchronised instantly to the other clients.
 .
 It serves the documents using the same protocol as gobby-0.5.

Package: influxdb
Description-md5: d2c23046924ed5fc4da44930df902688
Description-en: Scalable datastore for metrics, events, and real-time analytics
 InfluxDB is a time series, metrics, and analytics database. It’s written
 in Go and has no external dependencies. That means once you install it
 there’s nothing else to manage (such as Redis, ZooKeeper, Cassandra,
 HBase, or anything else). InfluxDB is targeted at use cases for DevOps,
 metrics, sensor data, and real-time analytics.

Package: influxdb-client
Description-md5: 6a069ec63536b234ccfd6c6cb9c622be
Description-en: command line interface for InfluxDB
 InfluxDB is a time series, metrics, and analytics database. It’s written
 in Go and has no external dependencies. That means once you install it
 there’s nothing else to manage (such as Redis, ZooKeeper, Cassandra,
 HBase, or anything else). InfluxDB is targeted at use cases for DevOps,
 metrics, sensor data, and real-time analytics.
 .
 This package contains the command line interface.

Package: infnoise
Description-md5: ca82f5e0ad850bf0816dc64c4c80646c
Description-en: Infinite Noise TRNG driver and tools
 This package contains the driver for the Infinite Noise True Random
 Number Generator, enabling it automatically upon insertion and
 allowing the entropy it generates to be added to the system's entropy
 pool. It also includes the various tools available in the Infinite
 Noise repository, including the health check tool.

Package: info-beamer
Description-md5: 7c87f16abb4d95a45ea79dcbc8817d2e
Description-en: interactive multimedia presentation framework
 info-beamer allows you to rapidly prototype interactive multimedia
 presentations using the Lua programming language. A directory (called
 a node) consists of resource files (images, videos, fonts or shaders)
 and a control script called node.lua.

Package: info2man
Description-md5: af65a696a1448fcfd1522e4afe95b115
Description-en: Convert GNU info files to POD or man pages
 This package provides the info2pod tool to convert GNU info documents into
 Perl's POD (Plain Old Documentation) format. From there, they can be
 converted to manual pages to be viewed by people who prefer conventional
 pagers to the standard info viewer or Emacs.
 .
 The info2man tool uses info2pod and pod2man to perform the conversion in
 one step.

Package: info2www
Description-md5: c5dd9b9880e4b2b128e65d682f96e1eb
Description-en: Read info files with a WWW browser
 info2www lets you read info files with a WWW browser. It requires
 an HTTP server with CGI support.

Package: infon-server
Description-md5: efe87f2a97a15fbfebbe3cc8307a94f2
Description-en: Program bugs to compete for food and survival - Server
 Infon is a game which simulates the live of simple bugs who eat,
 propagate, eat each other and evolve. The players can not control
 the bugs directly but write their “intelligence” in the simple
 script language lua and upload it to the game using a plain telnet
 connection. The code can then be modified even while the game
 is running.
 .
 This package contains the infon server which hosts the game. To
 upload code to it, you only need a telnet client. To be able to
 view the game, see the infon-viewer package.

Package: infon-viewer
Description-md5: 8fd3f7ae4d9833f699c4677486e5e264
Description-en: Program bugs to compete for food and survival - GUI
 Infon is a game which simulates the live of simple bugs who eat,
 propagate, eat each other and evolve. The players can not control
 the bugs directly but write their “intelligence” in the simple
 script language lua and upload it to the game using a plain telnet
 connection. The code can then be modified even while the game
 is running.
 .
 This package contains the graphical client to view the game
 in progress. To host a game, see the infon-server package.

Package: inform-mode
Description-md5: 37c01b51237cf222d4360a1828cea96a
Description-en: Emacs mode for editing Inform files
 This is an (X)Emacs mode for editing Inform source code.  Inform source
 is used when writing games for the Z-Code virtual machine (aka Infocom).
 This package will add an editing mode to your favorite Emacsen for
 editing these files.

Package: inform6-compiler
Description-md5: 8715b9d39f61745d417271c8ed0d2852
Description-en: Inform 6 interactive fiction language — compiler
 Inform 6 is an object-oriented language for writing interactive
 fiction, also known as “text adventures” or “adventure games”.
 .
 As an interactive fiction developer, you create Inform 6 source code
 defining the story. Then compile from source code to a “story file”.
 The resulting story files are highly portable, with interpreters
 available for many different platforms.
 .
 The Inform 6 compiler can produce a story file in these formats:
  * Z-code, executed by implementations of the Infocom Z-machine.
  * Glulx, a modern platform for interactive fiction.
 .
 This package installs:
  * The Inform 6 compiler program, ‘inform6’.

Package: inform6-library
Description-md5: f05fd6a191dbeaa657b881dc1b1b9cd8
Description-en: Inform 6 interactive fiction language — standard library
 Inform 6 is an object-oriented language for writing interactive
 fiction, also known as “text adventures” or “adventure games”.
 .
 As an interactive fiction developer, you create Inform 6 source code
 defining the story. Then compile from source code to a “story file”.
 The resulting story files are highly portable, with interpreters
 available for many different platforms.
 .
 This package installs:
  * The Inform 6 standard library.

Package: ingerman
Description-md5: a4df59d0382bc764acbb1113950bfab0
Description-en: New German orthography dictionary for ispell
 This is a dictionary for ispell for the new German orthography
 (de-DE-1996), which is used since 1998-08-01 and which is obligatory
 as of 2005-08-01.
 .
 It is based on the famous hkgerman dictionary (using the old German
 orthography (de-DE-1901), which is available as package iogerman),
 with many corrections and additions.

Package: inhomog
Description-md5: d0c93d3e28742f466ea9565d98661f44
Description-en: kinematical backreaction and average scale factor evolution
 The inhomog library calculates average cosmological expansion.
 This particular package contains an example front end program that uses the
 biscale_partition routines of the inhomog library, illustrating
 effective scale factor evolution in a universe with a T^3 spatial
 section that is divided into two complementary domains. The inhomog
 library provides Raychaudhuri integration of cosmological
 domain-wise average scale factor evolution using an analytical formula for
 kinematical backreaction Q_D evolution. The library routine
 lib/Omega_D_precalc.c is callable by RAMSES using ramses-scalav.
 .
 You may use this front-end program for command-line investigation
 of the role of virialisation as a potential replacement for dark
 energy (see Roukema 2017, arXiv:1706.06179).

Package: initz
Description-md5: a54a61c318c2b292799b70488339016a
Description-en: Handles the switching of various initialization files of emacsen
 Initz handles the switching of various startup initialization files
 of emacsen provided for various environment. According to the
 environment (maybe emacs version, OS type or OS versions) Initz
 reads appropriate init files provided by the user in several
 directories, one for one environment.

Package: ink
Description-md5: 0f3afbb9a7893c7aaa55688c30a26da3
Description-en: tool for checking the ink level of your local printer
 Ink is a command line tool based on libinklevel5 to check ink level
 of your local printer.
 .
 Printers of Canon, Epson, HP and Sony are supported. Complete list
 can be found at README.Debian of libinklevel5 package.

Package: ink-generator
Description-md5: 61b92022afe2c78eb07932c73010d0b5
Description-en: Inkscape extension to automatically generate files from a template
 ink-generator is an extension to replace text and data to automatically
 generate files (like PDF, PS, JPG, etc...), based on a SVG template and a CSV
 data file.

Package: inkscape
Description-md5: 1579c0c3fedebf9ec377f87483a72627
Description-en: vector-based drawing program
 Inkscape is an illustration editor which has everything needed to
 create professional-quality computer art. You can use it to make
 diagrams and illustrations, technical drawings, web graphics, clip art,
 icons and logos. A collection of hands-on tutorials show you how to
 combine lines, shapes and text of different types and styles to build
 up a picture.
 .
 A selection of powerful vector graphics editing tools comes as
 standard. There is excellent support for paths, gradients, layers,
 alpha transparency and text flow control. An extensive library of
 filters allow you to apply realistic effects and extensions allow you
 to work with bitmaps, barcodes and printing marks, amongst other things.
 .
 Most of the common vector formats are supported, including PDF, Adobe
 Illustrator and AutoCAD files, and it has unrivalled support for the
 SVG web graphics standard.
 .
 Between the suggested packages:
  * dia: to export Dia shapes;
  * libsvg-perl: to import .txt files (txt2svg extension);
  * libxml-xql-perl: to use the shadow effect;
  * python-uniconvertor: enables several import/export extensions;
  * pstoedit: to work with eps files;
  * ruby: there are several extensions written in ruby;

Package: inkscape-open-symbols
Description-md5: 3803ec37fe915fc325510e417df7a0d0
Description-en: Open source SVG symbol sets that can be used as Inkscape symbols
 The included symbols libraries so far are:
    - Google Material Design Icons
    - Github Octicons
    - Humble UI
    - Ubuntu Suru
    - Font Awesome Icons
    - GNOME Icon Set
    - Automattic Genericons
    - Taiga Icons
    - Open Iconic
    - Entypo's Social Extension
    - StateFace
    - EmojiOne
    - Dashicons
    - CircuiTikz
    - SJJB Map Icons

Package: inkscape-speleo
Description-md5: a182431eb5f6272e2f8400be653f8ade
Description-en: Inkscape plugin to help draw surveys
 This plugin makes inkscape useful for cave surveyors. It allows importing of
 pockettopo files and both reading and writing of therion th2 files, making it
 possible to use inkscape as a drawing tool for Therion sketching instead of
 the xtherion first aid.

Package: inkscape-survex-export
Description-md5: be0b0f2c24f23001dbd145f206ac020e
Description-en: Inkscape plugin to digitise printed surveys
 This plugin is a tool for cave surveyors. It enables you to regenerate
 survex centreline date for caves when you only have a drawn-up paper
 survey available. You import the survey image into inkscape, then draw
 on the scale, orientation (e.g. north arrow or grid), and centreline(s)
 in different colours. The tool then parses these and generates a correctly
 scaled and oriented .svx file. It generates multiple surveys for multiple
 paths, including necessary equates. The output survey file is flat as there
 is (as yet) no mechanism to input height data.

Package: inkscape-tutorials
Description-md5: a99694ba2d7d4264d8d9771ae593ad53
Description-en: vector-based drawing program - tutorials
 Inkscape is an illustration editor which has everything needed to
 create professional-quality computer art. You can use it to make
 diagrams and illustrations, technical drawings, web graphics, clip art,
 icons and logos. A collection of hands-on tutorials show you how to
 combine lines, shapes and text of different types and styles to build
 up a picture.
 .
 This package contains the tutorials, accessible from the Help > Tutorials
 menu.

Package: inn
Description-md5: 94d47a86936a5f450692741671bbbed2
Description-en: News transport system `InterNetNews' by the ISC and Rich Salz
 This is INN version 1.x, provided for smaller sites which do not need
 the complexity of INN 2.x.
 Large sites should use Debian's inn2 package instead.
 .
 The news transport is the part of the system that stores the articles
 and the lists of which groups are available and so on, and provides
 those articles on request to users.  It receives news (either posted
 locally or from a newsfeed site), files it, and passes it on to any
 downstream sites.  Each article is kept for a period of time and then
 deleted (this is known as `expiry').
 .
 By default Debian's INN will install in a fairly simple `local-only'
 configuration.
 .
 In order to make use of the services provided by INN you'll have to
 use a user-level newsreader program such as pan.  The newsreader is
 the program that fetches articles from the server and shows them to
 the user, remembering which the user has seen so that they don't get
 shown again.  It also provides the posting interface for the user.

Package: inn2
Description-md5: 63e9cfa94e4a7e41032459586c02d0fb
Description-en: 'InterNetNews' news server
 This package provides INN 2.x, which is a very complex news server
 daemon useful for big sites. The 'inn' package still exists for smaller
 sites which do not need the complexity of INN 2.x.
 .
 The news transport is the part of the system that stores the articles
 and the lists of which groups are available and so on, and provides
 those articles on request to users. It receives news (either posted
 locally or from a newsfeed site), files it, and passes it on to any
 downstream sites. Each article is kept for a period of time and then
 deleted (this is known as 'expiry').
 .
 By default Debian's INN will install in a fairly simple 'local-only'
 configuration.
 .
 In order to make use of the services provided by INN you'll have to
 use a user-level newsreader program such as pan. The newsreader is
 the program that fetches articles from the server and shows them to
 the user, remembering which the user has seen so that they don't get
 shown again. It also provides the posting interface for the user.

Package: inn2-dev
Description-md5: 8e652d34db9099db023b92811eb2d07b
Description-en: libinn.a library, headers and man pages
 You will only need this if you are going to compile programs that
 require the functions in libinn.a.

Package: inn2-inews
Description-md5: a5db9d905d5a55d55a233c52b39dad00
Description-en: NNTP client news injector, from InterNetNews (INN)
 'inews' is the program that newsreaders call when the user wishes to
 post an article; it does a few elementary checks and passes the article
 on to the news server for posting.
 .
 This version is the one from Rich Salz's InterNetNews news transport
 system (which is also available as a Debian package).

Package: innoextract
Description-md5: 88e15273e4ade0b3c53380d128361aeb
Description-en: Tool for extracting data from an Inno Setup installer
 Inno Setup is a tool to create installers for Microsoft Windows applications.
 Inno Extracts allows one to extract such installers under non-windows systems
 without running the actual installer using wine. Inno Extract currently
 supports installers created by Inno Setup 1.2.10 to 5.6.0.

Package: ino-headers
Description-md5: 461244dc2d629f8908f8072fb5e9796c
Description-en: C API to execute JavaScript code - header files
 ino is a minimalist C API to execute JavaScript code and to expose
 native methods to JavaScript execution contexts.
 .
 This package only provides the common headers for all ino
 implementations.
 .
 ino is part of the NASPRO Sound PROcessing Architecture.

Package: ino-headers-doc
Description-md5: f7e723fa13e01782a690358781ec2a7c
Description-en: C API to execute JavaScript code - documentation
 ino is a minimalist C API to execute JavaScript code and to expose
 native methods to JavaScript execution contexts.
 .
 This package provides the documentation files.
 .
 ino is part of the NASPRO Sound PROcessing Architecture.

Package: inorwegian
Description-md5: 3270cdccbf6d154ac3497747bff95d27
Description-en: Norwegian dictionary for ispell
 This package provides the Norwegian dictionary, to be used with ispell
 to check and correct spelling in Norwegian texts.

Package: inoticoming
Description-md5: 27001ce1a03f67f8c4b682e1765839d3
Description-en: trigger actions when files hit an incoming directory
 inoticoming is a daemon to watch a directory with Linux's inotify
 framework and trigger actions once files with specific names are placed
 in there.
 .
 For example it can be used to wait for .changes files uploaded
 into a directory and call reprepro to put them into your repository.

Package: inotify-hookable
Description-md5: b4d2cca9ab33dc8d56091fa8b94b30fe
Description-en: blocking command-line interface to inotify
 inotify-hookable is a program that monitor files with Linux inotify. This
 program accepts options to specify the files to be monitored and the command
 to run when a file has changed (based in kernel inotify)
 .
 inotify-hookable main advantage over inotifywait are:
  - command to run after watch can be specified with an option
  - emacs and vi backup files are ignored by default
 .
 Example:
 .
  inotify-hookable -f foo.c -c 'gcc -o foo foo.c'

Package: inotify-tools
Description-md5: 75b00fa82511a5bdec777dcd118c2a99
Description-en: command-line programs providing a simple interface to inotify
 inotify-tools is a set of command-line programs for Linux providing a
 simple interface to inotify. These programs can be used to monitor and
 act upon filesystem events. inotify-tools consists of two utilities:
 .
 inotifywait simply blocks for inotify events, making it appropriate
 for use in shell scripts.
 .
 inotifywatch collects filesystem usage statistics and outputs counts
 of each inotify event.

Package: input-pad
Description-md5: 18b6ea174d7bbe657e1d0fd3ecab40c9
Description-en: On-screen Input Pad to Send Characters with Mouse
 The input pad is a tool to send a character to text applications when the
 corresponging button is pressed. It provides the GTK+ based GUI and can send
 characters when the GTK+ buttons are pressed.
 .
 This package contains a standalone application.

Package: input-utils
Description-md5: dd66158405459c9bc0352a2fdde0b7d0
Description-en: utilities for the input layer of the Linux kernel
 This is a collection of utilities which are useful when working with
 the input layer of the Linux kernel (version 2.6 and later). Included
 are utilities to list the input devices known to the kernel, show
 the input events that are received by a device, and query or modify
 keyboard maps.

Package: inputlirc
Description-md5: 879aafca1b08356534451c0277fdcb8b
Description-en: Zeroconf LIRC daemon using input event devices
 This is a small LIRC-compatible daemon that reads from /dev/input/eventX
 devices and sends the received keycodes to connecting LIRC clients. Inputlircd
 needs no configuration, it uses the standardised names for the keycodes as
 used by the kernel. Many USB remote controls that present HID devices, as well
 as multimedia keyboards should work out of the box.

Package: inputplug
Description-md5: ddad4bd859fad8bd288da58d91f205eb
Description-en: XInput monitor
 inputplug is a daemon which connects to a running X server
 and monitors its XInput hierarchy change events, such as
 an input device being attached or removed, enabled or disable
 etc, and runs some command when these events happen.

Package: insighttoolkit4-examples
Description-md5: 0b91247783c6b4511d79b99e9bc85dcb
Description-en: Image processing toolkit for registration and segmentation - examples
 ITK is an open-source software toolkit for performing registration and
 segmentation. Segmentation is the process of identifying and
 classifying data found in a digitally sampled
 representation. Typically the sampled representation is an image
 acquired from such medical instrumentation as CT or MRI
 scanners. Registration is the task of aligning or developing
 correspondences between data. For example, in the medical
 environment, a CT scan may be aligned with a MRI scan in order to
 combine the information contained in both.
 .
 This package contains the source for example programs.

Package: insighttoolkit4-python3
Description-md5: 8fb2f8174ca7b52dad886d0475c8e41c
Description-en: Image processing toolkit for registration and segmentation - Python bindings
 ITK is an open-source software toolkit for performing registration and
 segmentation. Segmentation is the process of identifying and
 classifying data found in a digitally sampled
 representation. Typically the sampled representation is an image
 acquired from such medical instrumentation as CT or MRI
 scanners. Registration is the task of aligning or developing
 correspondences between data. For example, in the medical
 environment, a CT scan may be aligned with a MRI scan in order to
 combine the information contained in both.
 .
 This package contains the Python bindings.

Package: inspectrum
Description-md5: adb1b96dee24fb05608b4e16c1c3413c
Description-en: tool for visualising captured radio signals
 inspectrum is a tool for analysing captured signals, primarily from
 software-defined radio receivers.
 .
 inspectrum supports the following file types:
  *.cf32, *.cfile - Complex 32-bit floating point (GNURadio, osmocom_fft)
  *.cs16 - Complex 16-bit signed integer (BladeRF)
  *.cs8 - Complex 8-bit signed integer (HackRF)
  *.cu8 - Complex 8-bit unsigned integer (RTL-SDR)
 .
 Features:
  * Large (100GB+) file support
  * Spectrogram with zoom/pan
  * Plots of amplitude, frequency, phase and IQ samples
  * Cursors for measuring period, symbol rate and extracting symbols
  * Export of selected time period, filtered samples and demodulated data

Package: inspircd
Description-md5: bae820fb7f3d845ba00ff7694e0cc9b4
Description-en: Modular IRCd written in C++
 InspIRCd is a modular C++ IRC Daemon for several operating systems created
 to provide a stable, modern, lightweight irc server from scratch and provide
 a vast number of features in a modularised form using an advanced module API.
 By keeping the functionality of the main core to a minimum, the server is very
 stable, fast and customizable.
 .
 This package contains the daemon.

Package: inspircd-dev
Description-md5: 326b8a4b3688cb6492dc70b8826c23d4
Description-en: Modular IRCd written in C++ - development headers
 InspIRCd is a modular C++ IRC Daemon for several operating systems created
 to provide a stable, modern, lightweight irc server from scratch and provide
 a vast number of features in a modularised form using an advanced module API.
 By keeping the functionality of the main core to a minimum, the server is very
 stable, fast and customizable.
 .
 This package contains the development headers for developing modules.

Package: install-mimic
Description-md5: 4b0ae88a06724f7d80b66918e84d6acd
Description-en: overwrite files and preserve their ownership
 The install-mimic utility copies the specified files to the specified
 destination (file or directory) similarly to install(1), but it preserves
 the ownership and access mode of the destination files.  This is useful when
 updating files that have already been installed with locally modified copies
 that may be owned by the current user and not by the desired owner of the
 destination file (e.g. root).

Package: installation-birthday
Description-md5: d839dde8f80272e1a26fc7db50838353
Description-en: Receive a message on system installation anniversary
 Installing this package will celebrate each birthday of your system by
 automatically sending a message to the local system administrator.
 .
 The installation date is based on the system installation time, not this
 package. The email is sent via cron(8).

Package: installation-guide-powerpc
Description-md5: 92a1f217bd580e43e3bcf77a8a095906
Description-en: Ubuntu installation guide for powerpc
 This package contains the Ubuntu installation guide for the PowerPC
 architecture, in a variety of languages.
 .
 A shorter reference, the installation HOWTO, is included in an appendix.

Package: instead
Description-md5: ef0040d4434ac942fb089e9e171d022f
Description-en: Simple text adventures/visual novels engine
 INSTEAD -- INterpreter of Simple TExt ADventure.
 Using INSTEAD you can create and play games that could be classified
 as a mix of visual novel and text quest.
 .
 Features:
   * Simple story source code (Lua-based script)
   * GUI interface that supports music and pictures
   * Theme support
   * Portability (INSTEAD depends on SDL and Lua)
 .
 Game repository: https://instead-hub.github.io/page/games/

Package: instead-data
Description-md5: abbaa9f2bdb5492dca18ea9558b57a9d
Description-en: Data files for INSTEAD
 INSTEAD -- INterpreter of Simple TExt ADventure.
 Using INSTEAD you can create and play games that could be classified
 as a mix of visual novel and text quest.
 .
 Features:
   * Simple story source code (Lua-based script)
   * GUI interface that supports music and pictures
   * Theme support
   * Portability (INSTEAD depends on SDL and Lua)
 .
 This package contains language files, graphical themes, STEAD scripts
 and tutorial.

Package: integrit
Description-md5: 8ed7e8da857b39b17e43668958903563
Description-en: A file integrity verification program
 Integrit helps you determine whether an intruder has modified your
 system.  Without the use of integrit, a sysadmin wouldn't know if the
 programs used for investigating the system are trojan horses or not.
 Integrit works by creating a database that is a snapshot of the most
 essential parts of the system.  You put the database somewhere safe,
 and then later you can use it to make sure that no one has made any
 illicit modifications to your file system.
 .
 Integrit's key features are the small memory footprint, the design
 with unattended use in mind, intuitive cascading rulesets for the
 paths listed in the configuration file, the possibility of XML or
 human-readable output, and simultaneous checks and updates.
 .
 See http://integrit.sourceforge.net/ for more information.

Package: intel-cmt-cat
Description-md5: 5e8596da4b51ae1f1715203e431ee98e
Description-en: Intel cache monitoring and allocation technology config tool
 intel-cmt-cat provides monitoring and configuration of Intel
 Cache Monitoring Technology (CMT), Memory Bandwidth Monitoring (MBM) and
 Cache Allocation Technology (CAT) features on modern Intel (R) Xeon (R)
 processors: Xeon D, Xeon E5 v3, Xeon E3 v4, or later models.

Package: intel-gpu-tools
Description-md5: 564f3bda44ca25bdb6227f2b18149b73
Description-en: tools for debugging the Intel graphics driver
 intel-gpu-tools is a package of tools for debugging the Intel graphics driver,
 including a GPU hang dumping program, performance monitor, and performance
 microbenchmarks for regression testing the DRM.

Package: intel-media-va-driver
Description-md5: 0bc04cc9f325da8c27e992628b428e97
Description-en: VAAPI driver for the Intel GEN8+ Graphics family
 The VA-API (Video Acceleration API) enables hardware accelerated video
 decode/encode at various entry-points (VLD, IDCT, Motion Compensation
 etc.) for the prevailing coding standards today (MPEG-2, MPEG-4
 ASP/H.263, MPEG-4 AVC/H.264, and VC-1/WMV3). It provides an interface
 to fully expose the video decode capabilities in today's GPUs.
 .
 This package contains the video decode and encode driver backend for
 the Intel HD Graphics of the Intel Core processor family. The supported
 platforms include:
  * Broadwell
  * Skylake
  * Broxton
  * Apollo Lake
  * Kaby Lake
  * Coffee Lake
  * Whiskey Lake
  * Cannon Lake
  * Ice Lake
 .
 Only a limited set of encoders is available via this driver: JPEG
 (Skylake and newer), AVC (Boxton and newer), HEVC and VP9 (Ice Lake and
 newer). Media shaders are only available on Ice Lake and newer.

Package: intel-opencl-icd
Description-md5: de503defe251f21b24bdf57e624f2425
Description-en: Intel graphics compute runtime for OpenCL
 The Intel(R) Graphics Compute Runtime for OpenCL(TM) is a open source
 project to converge Intel's development efforts on OpenCL(TM) compute
 stacks supporting the GEN graphics hardware architecture.
 .
 Supported platforms:
 - Intel Core Processors with Gen8 GPU (Broadwell) - OpenCL 2.1
 - Intel Core Processors with Gen9 GPU (Skylake, Kaby Lake, Coffee Lake) - OpenCL 2.1
 - Intel Atom Processors with Gen9 GPU (Apollo Lake, Gemini Lake) - OpenCL 1.2
 - Intel Core Processors with Gen11 GPU (Ice Lake) - OpenCL 2.1

Package: intel2gas
Description-md5: dc3bd9bfbaaec2758474e55511125adc
Description-en: converter from NASM assembly language to GAS
 Intel2GAS is a converter that will convert assembler source files
 written for NASM to files that can be assembled using the
 GNU Assembler (GAS), on the i386 platform. It provides support for basic
 MMX instructions as well.

Package: intercal
Description-md5: 4239902779ae5ad4bff2b2e9991ca8bc
Description-en: INTERCAL de-obfuscator
 This package is an implementation of the language INTERCAL, legendary for
 its perversity and horribleness, designed by Don Woods and James Lyon, who
 have since spent more than twenty years trying to live it down. This
 version adds COME FROM for extra flavor, and supports multithreading. Comes
 with language manual and examples including possibly the entire extant body
 of INTERCAL code.
 .
 This INTERCAL implementation works by compiling INTERCAL to C (thus
 de-obfuscating it), and then uses a C compiler to produce binaries (which
 are themselves possibly easier to read than the original intercal "source"
 code).

Package: interimap
Description-md5: dffbcf0d2e1d618857c00a0f669d4d63
Description-en: Fast bidirectional synchronization for QRESYNC-capable IMAP servers
 InterIMAP performs stateful bi-directional synchronization between two
 IMAP4rev1 servers.  Compared to the so-called "full" synchronization
 solutions, InterIMAP is both much faster and parsimonious in network
 traffic.
 .
 Stateful synchronization is made possible by the QRESYNC extension from
 [RFC7162]; for convenience reasons servers must also support LIST-EXTENDED
 [RFC5258], LIST-STATUS [RFC5819] and UIDPLUS [RFC4315].
 .
 Furthermore, while InterIMAP can work with servers lacking support for
 LITERAL+ [RFC2088] and MULTIAPPEND [RFC3502], these extensions greatly
 improve performance by reducing the number of required round trips and it
 thus strongly recommended to use a server supporting these.
 .
 InterIMAP supports the COMPRESS=DEFLATE extension from [RFC4978].  It is
 enabled by default on remote servers advertising it, in order to reduce
 network traffic, especially for long-lived connections.

Package: internetarchive
Description-md5: 3e29b5bc60e2d746e8092710a685416d
Description-en: commandline interface to archive.org
 Command-line tool (`ia`) for searching, downloading and uploading
 content to the Internet Archive.

Package: intltool
Description-md5: 72cf82626aca7106375b8705c1270e71
Description-en: Utility scripts for internationalizing XML
 Automatically extracts translatable strings from oaf, glade, bonobo
 ui, nautilus theme and other XML files into the po files.
 .
 Automatically merges translations from po files back into .oaf files
 (encoding to be 7-bit clean). The merging mechanism can also be
 extended to support other types of XML files.

Package: invada-studio-plugins-ladspa
Description-md5: 005717ff799624511e7b8fb1a6c826c2
Description-en: Invada Studio Plugins - a set of LADSPA audio plugins
 Utility Plugins
   Input Module (Stereo only)
 Alter gain, balance, width, phase on a stereo signal
 .
 Filter Plugins
   Low Pass (Mono and Stereo version)
   High Pass (Mono and Stereo version)
 Gentle high pass and low pass filters
 .
 Simulation Plugins
   Tube - (Mono and Stereo version)
 Valve warmth/distortion simulation
 .
 Dynamics Plugins
   Compressor - (Mono and Stereo version)
 Peak/RMS soft-clipping compressor
 .
 Reverb Plugins
   ER Reverb - (Mono and Stereo summing In)
 Early reflection based reverb.

Package: invada-studio-plugins-lv2
Description-md5: 4dfb464c6fa54e8dfc443cc1a62da5fb
Description-en: Invada Studio Plugins - a set of LV2 audio plugins
 Distortion Plugins
   Tube - (Mono and Stereo version)
 Valve warmth/distortion simulation.
 .
 Delay Plugins
   Delay Munge - (Mono and Sum version)
 Delay with munged (non-linear) feedback & delay calculator.
 .
 Dynamics Plugins
   Compressor - (Mono and Stereo version)
 Peak/RMS soft-clipping compressor.
 .
 Filter Plugins
   Low Pass (Mono and Stereo version)
   High Pass (Mono and Stereo version)
 Gentle high pass and low pass filters.
 .
 Phaser Plugins
   Stereo Phaser (Mono, Stereo and Sum version)
 Long slow stereo phaser.
 .
 Reverb Plugins
   ER Reverb - (Mono and Sum version)
 Early reflection based reverb.
 .
 Utility Plugins
   Input Module (Stereo only)
 Alter gain, balance, width, phase on a stereo signal.
   Meters (Stereo only)
 Peak, VU, Phase and spectrograph meters.
   Test Tones (Mono only)
 Generate testtones at specific frequencies.

Package: inventor-clients
Description-md5: 074bd532f432c99a8d41abcacbbb76ac
Description-en: Open Inventor client programs
 This package contains Open Inventor file viewers and converters.
 .
 Open Inventor is an object-oriented 3D toolkit offering a comprehensive
 solution to interactive graphics programming problems.  It presents a
 programming model based on a 3D scene database that simplifies graphics
 programming.  It includes a large set of objects such as cubes, polygons,
 text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and
 editors can speed up your programming and extend your 3D program's
 capabilities.

Package: inventor-data
Description-md5: c20048a38cb927f2feedd91c6461a8cd
Description-en: Open Inventor sample data files
 This package contains sample 3D model and scene files in inventor format.
 .
 Open Inventor is an object-oriented 3D toolkit offering a comprehensive
 solution to interactive graphics programming problems.  It presents a
 programming model based on a 3D scene database that simplifies graphics
 programming.  It includes a large set of objects such as cubes, polygons,
 text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and
 editors can speed up your programming and extend your 3D program's
 capabilities.

Package: inventor-demo
Description-md5: a3468c248e73fe48e11428e88bdbaa63
Description-en: Open Inventor demonstration programs and example code
 This package includes several Open Inventor demos: a scene viewer
 (SceneViewer), a scene graph viewer and editor (gview), a maze game (maze),
 an extrusion editor (noodle), a 3D morphing tool (qmorf), a surface of
 revolution editor (revo), among others.
 .
 This package also contains example code, including the examples
 from the books "The Inventor Mentor", and "The Inventor Toolmaker".
 .
 Open Inventor is an object-oriented 3D toolkit offering a comprehensive
 solution to interactive graphics programming problems.  It presents a
 programming model based on a 3D scene database that simplifies graphics
 programming.  It includes a large set of objects such as cubes, polygons,
 text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and
 editors can speed up your programming and extend your 3D program's
 capabilities.

Package: inventor-dev
Description-md5: cbaba725be7c2edcd76ac8eb1c1ab539
Description-en: Open Inventor development files
 This package contains the files required to develop Open Inventor
 applications.
 .
 Open Inventor is an object-oriented 3D toolkit offering a comprehensive
 solution to interactive graphics programming problems.  It presents a
 programming model based on a 3D scene database that simplifies graphics
 programming.  It includes a large set of objects such as cubes, polygons,
 text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and
 editors can speed up your programming and extend your 3D program's
 capabilities.

Package: inventor-doc
Description-md5: 8bab35994591bda24abc7e2c8fc9bafe
Description-en: Open Inventor documentation
 This package contains the Open Inventor documentation files.
 .
 Open Inventor is an object-oriented 3D toolkit offering a comprehensive
 solution to interactive graphics programming problems.  It presents a
 programming model based on a 3D scene database that simplifies graphics
 programming.  It includes a large set of objects such as cubes, polygons,
 text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and
 editors can speed up your programming and extend your 3D program's
 capabilities.

Package: invesalius
Description-md5: 821349267c7fd811dea4f995630c2a40
Description-en: 3D medical imaging reconstruction software
 InVesalius generates 3D medical imaging reconstructions based on a sequence of
 2D DICOM files acquired with CT or MRI equipments. InVesalius is
 internationalized (currently available in English, Portuguese, French,
 Spanish, Turkish, Italian, Czesh, Japanese, Catalan, Korean, Romanian and
 German) and provides several tools:
  * DICOM support including: (a) ACR-NEMA version 1 and 2; (b) DICOM
    version 3.0 (including various encodings of JPEG -lossless and lossy-, RLE)
  * Image manipulation features (zoom, pan, rotation, brightness/contrast,
    etc)
  * Segmentation based on 2D slices
  * Pre-defined threshold ranges according to tissue of interest
  * Segmentation based on watershed
  * Region growing segmentation
  * Edition tools (similar to Paint Brush) based on 2D slices
  * Semi-automatic segmentation based on Watershed
  * 3D surface creation
  * 3D surface connectivity tools
  * 3D surface exportation (including: binary STL, OBJ, VRML, Inventor)
  * High-quality volume rendering projection
  * Pre-defined volume rendering presets
  * Volume rendering crop plane
  * Picture exportation (including: BMP, TIFF, JPG, PostScript, POV-Ray)
  * Minimum, Maximum or Mean Intensity Projection, Maximum Intensity Difference
    Accumulation and Contour based visualizations

Package: invesalius-bin
Description-md5: 57304da02acc6c104179b2b8a697654f
Description-en: Implementation of MIDA and contour based visualizations
 InVesalius generates 3D medical imaging reconstructions based on a sequence of
 2D DICOM files acquired with CT or MRI equipments.
 .
 This package contains a compiled implementation of MIDA and some contour based
 visualizations

Package: invesalius-examples
Description-md5: 33b06a19f32b7da3eeab791da567270b
Description-en: examples for 3D medical imaging reconstruction software
 InVesalius generates 3D medical imaging reconstructions based on a sequence of
 2D DICOM files acquired with CT or MRI equipments.
 .
 This package contains a sample file to open in InVesalius.

Package: inxi
Description-md5: 13277f07e950aaf291de2de69ab2684c
Description-en: full featured system information script
 Inxi is a system information script that can display various things about
 your hardware and software to users in an IRC chatroom or support forum.
 It runs with the /exec command in most IRC clients.

Package: iodbc
Description-md5: 4fc1d71b52e8f84bd8094342f6d23462
Description-en: GTK+ config frontend for the iODBC Driver Manager
 The iODBC (intrinsic Open Database Connectivity) driver manager is compatible
 with the ODBC 2.x and 3.x specification and performs all the jobs of a
 ODBC driver manager (i.e. driver loading, parameters and function sequence
 checking, driver's function invoking, etc). Any ODBC driver working with
 ODBC 2.0 and 3.x driver manager will also work with iODBC driver manager
 and vice versa.
 .
 Applications (using ODBC function calls) linked with iODBC driver manager
 will be able to simultaneously access different types of data sources within
 one process through suitable iODBC drivers.
 .
 This package contains the configuration binaries.

Package: iodine
Description-md5: 9bad400dda7d73fa2ccadb4ceb0ced82
Description-en: tool for tunneling IPv4 data through a DNS server
 This is a piece of software that lets you tunnel IPv4 data through a DNS
 server. This can be usable in different situations where internet access is
 firewalled, but DNS queries are allowed.
 .
 iodine is similar to nstx but has password authentication, brings up the
 tun interface automatically and lets the user specify the IP address as a
 command line option.

Package: iog
Description-md5: b4bcabd79a034cc127f07ae7a778db13
Description-en: network I/O grapher
 IOG is a network traffic grapher designed to record cumulative kB/MB/GB
 statistics per hour/day/month. It is intended to be simple, fast
 (supporting thousands of hosts), and well integrated with MRTG. Data for
 each host is updated hourly and HTML graphs are created. It uses a
 data consolidation algorithm which allows for a small, non-growing
 database file for each host. No external graphing libraries or
 executables are required.

Package: iogerman
Description-md5: 24f65096ab7e468fc890cc24b4aad15f
Description-en: Traditional German orthography dictionary for ispell
 This is the traditional German dictionary for ispell as put together
 by heinz.knutzen@web.de.
 .
 This dictionary refers to the traditional German orthography
 (de-DE-1901).  For the current orthography (de-DE-1996) see package
 ingerman.

Package: ion
Description-md5: 0e528727e446e9f573264641020d9516
Description-en: NASA implementation of Delay-Tolerant Networking (DTN)
 Interplanetary Overlay Network (ION) software distribution
 is an implementation of Delay-Tolerant Networking (DTN)
 architecture as described in Internet RFC 4838.
 .
 This is a suite of communication protocol implementations designed
 to support mission operation communications across an end-to-end
 interplanetary network, which might include on-board (flight) subnets,
 in-situ planetary or lunar networks, proximity links,
 deep space links, and terrestrial internets.
 .
 Included in the ION software distribution are the following packages:
  * ici (interplanetary communication infrastructure) a set of libraries
    that provide flight-software-compatible support for functions on
    which the other packages rely
  * bp (bundle protocol), an implementation of the Delay-Tolerant
    Networking (DTN) architecture's Bundle Protocol.
  * dgr (datagram retransmission), a UDP reliability system that implements
    congestion control and is designed for relatively high performance.
  * ltp (licklider transmission protocol), a DTN convergence layer for reliable
    transmission over links characterized by long or highly variable delay.
  * ams - an implementation of the CCSDS Asynchronous Message Service.
  * cfdp - a class-1 (Unacknowledged) implementation of the CCSDS File
    Delivery Protocol.
  .
  This package contains the binary files.

Package: ion-doc
Description-md5: 7235ce24323e283435ec5ed423bc80fc
Description-en: Interplanetary Overlay Network - examples and documentation
 Interplanetary Overlay Network (ION) software distribution
 is an implementation of Delay-Tolerant Networking (DTN)
 architecture as described in Internet RFC 4838.
 .
 This is a suite of communication protocol implementations designed
 to support mission operation communications across an end-to-end
 interplanetary network, which might include on-board (flight) subnets,
 in-situ planetary or lunar networks, proximity links,
 deep space links, and terrestrial internets.
 .
 Included in the ION software distribution are the following packages:
  * ici (interplanetary communication infrastructure) a set of libraries
    that provide flight-software-compatible support for functions on
    which the other packages rely
  * bp (bundle protocol), an implementation of the Delay-Tolerant
    Networking (DTN) architecture's Bundle Protocol.
  * dgr (datagram retransmission), a UDP reliability system that implements
    congestion control and is designed for relatively high performance.
  * ltp (licklider transmission protocol), a DTN convergence layer for reliable
    transmission over links characterized by long or highly variable delay.
  * ams - an implementation of the CCSDS Asynchronous Message Service.
  * cfdp - a class-1 (Unacknowledged) implementation of the CCSDS File
    Delivery Protocol.
  .
  This package contains the documentation and some configuration example.

Package: ionit
Description-md5: 74cba944882a4f2ecf988f667fc73bce
Description-en: Render configuration files from Jinja templates
 ionit is a simple and small configuration templating tool. It collects a
 context and renders Jinja templates in a given directory. The context can be
 either static JSON or YAML files or dynamic Python files. Python files can also
 define functions passed through to the rendering.
 .
 ionit comes with an early boot one shot service that is executed before the
 networking service which allows one to generate configurations files for the
 networking and other services before they are started. In this regard, ionit
 can act as tiny stepbrother of cloud-init.

Package: ioping
Description-md5: 6e9bbe4b8af5074282e6d85678417818
Description-en: Simple disk I/O latency measuring tool
 ioping monitors disk I/O latency in real time. The main idea behind ioping is
 to have a utility similar to ping, which will show disk I/O latency in the
 same way ping shows network latency.

Package: ioport
Description-md5: d96fea827188a7021088cb39d18056bf
Description-en: direct access to I/O ports from the command line
 This package provides tools to read and wrote to the I/O ports of PC
 hardware directly from a script or a command line: inb, inw, inl,
 outb, outw and outl.

Package: ioquake3
Description-md5: 4237f556816e32a2757896de1fa6ca6c
Description-en: Game engine for 3D first person shooter games
 This package installs a modified version of the ioQuake3 game engine,
 which can be used to play various games based on that engine, such as
 OpenArena, Quake III: Arena, World of Padman and Urban Terror.
 .
 This package alone isn't of any use; to get a playable game, install
 openarena or another suitable set of game data.

Package: ioquake3-server
Description-md5: 3cde23092cd526e85270f3a4a7eb29bd
Description-en: Engine for 3D first person shooter games - server and common files
 This package contains common files for the ioQuake3 game engine,
 which can be used to play various games based on that engine, such as
 OpenArena, Quake III: Arena, World of Padman and Urban Terror.
 It also contains the dedicated server binary.
 .
 This package alone isn't of any use; to get a playable game, install
 openarena or another suitable set of game data, or to get a usable server,
 install openarena-server or another suitable set of server data.

Package: ip2host
Description-md5: 36b721cc9d3fc04df0c04a579407c5d6
Description-en: Resolve IPs to hostnames in web server logs
 This script is a drop-in replacement for the logresolve.pl
 script distributed with the Apache web server.
 .
 ip2host has the same basic design of forking children to handle
 the DNS resolution in parallel, but multiplexes the communication
 to minimize the impact of slow responses.  This results in a
 significant speed improvement (approximately 10x faster), and
 the performance degrades more gracefully as the DNS timeout value
 is increased.

Package: ipadic-common
Description-md5: b9304b2b0901d46e24132c2963f0cbaf
Description-en: Dictionaries for ChaSen (UTF-8 text)
 Dictionaries for ChaSen, a morphological analysis system to
 segment and tokenize Japanese text string.  It provides many
 additional information (pronunciation, semantic information,
 and others).
 .
 It is based on ICOT dictionary.
 .
 This package contains a large dictionary data in UTF-8 text.

Package: ipband
Description-md5: 0be4450a13737db456fcb076686dbe2e
Description-en: daemon for subnet bandwidth monitoring with reporting via email
 This is a daemon which can monitor as many different subnets (or individual
 hosts, by specifying a "subnet" of /32) as you'd like. The reporting facility
 will only be triggered when a defined bandwidth level had been exceeded for a
 defined time.
 .
 Information reported includes the connections which are taking up the most
 bandwidth (ip address and port pairs). Reporting is done via email.

Package: ipcalc
Description-md5: 90aeace2079863754b86114c33be6aa2
Description-en: parameter calculator for IPv4 addresses
 ipcalc takes an IPv4 address and netmask and calculates the resulting
 broadcast, network, Cisco wildcard mask, and host range. By giving a
 second netmask, you can design sub- and supernetworks. It is also
 intended to be a teaching tool and presents the results as
 easy-to-understand binary values.
 .
 Originally, ipcalc was intended for use from the shell prompt, but a
 CGI wrapper is provided to enable colorful HTML display through a
 webserver.
 You can find it in /usr/share/doc/ipcalc/examples directory.

Package: ipe
Description-md5: e01eb5b7ef94a4733f36f281b2fd8f44
Description-en: drawing editor for creating figures in PDF or PS formats
 Ipe supports making small figures for inclusion into LaTeX
 documents as well as making multi-page PDF presentations
 Ipe's main features are:
  * Entry of text as LaTeX source code. This makes it easy to enter
    mathematical expressions, and to reuse the LaTeX-macros of the main
    document.  In the display text is displayed as it will appear in
    the figure.
  * Produces pure Postscript/PDF, including the text. Ipe converts the
    LaTeX-source to PDF or Postscript when the file is saved.
  * It is easy to align objects with respect to each other (for
    instance, to place a point on the intersection of two lines, or to
    draw a circle through three given points) using various snapping
    modes.
  * Users can provide ipelets (Ipe plug-ins) to add functionality to
    Ipe.  This way, Ipe can be extended for each task at hand.
  * The text model is based on Unicode, and has been tested with Korean,
     Chinese, and Japanese.
 .
 Package lua5.3 is recommended if using Ipe with LaTeX.
 .
 Package texlive-latex-recommended enables unicode text entry (for
 accented characters).

Package: ipe5toxml
Description-md5: 9984bd7f803cf0a2547d0181524b98b7
Description-en: converts Ipe5 figures to an XML file readable by Ipe
 Converts figures that were made with Ipe 5 to the format understood
 by Ipe 6. You can then run ipe6upgrade (from package ipe) to convert
 them to Ipe 7 format. Ipe is a drawing editor for creating figures,
 provided in package ipe.

Package: iperf
Description-md5: 1b25d29ae979d65c1a67cf08177ba875
Description-en: Internet Protocol bandwidth measuring tool
 Iperf is a modern alternative for measuring TCP and UDP bandwidth performance,
 allowing the tuning of various parameters and characteristics.
 .
 Features:
    * Measure bandwidth, packet loss, delay jitter
    * Report MSS/MTU size and observed read sizes.
    * Support for TCP window size via socket buffers.
    * Multi-threaded. Client and server can have multiple simultaneous
      connections.
    * Client can create UDP streams of specified bandwidth.
    * Multicast and IPv6 capable.
    * Options can be specified with K (kilo-) and M (mega-) suffices.
    * Can run for specified time, rather than a set amount of data to transfer.
    * Picks the best units for the size of data being reported.
    * Server handles multiple connections.
    * Print periodic, intermediate bandwidth, jitter, and loss reports at
      specified intervals.
    * Server can be run as a daemon.
    * Use representative streams to test out how link layer compression affects
      your achievable bandwidth.

Package: iperf3
Description-md5: 868f6472f9c9d19fbddc8629a2bc01a5
Description-en: Internet Protocol bandwidth measuring tool
 Iperf3 is a tool for performing network throughput measurements. It can
 test either TCP or UDP throughput.
 .
 This is a new implementation that shares no code with the original
 iperf from NLANR/DAST and also is not backwards compatible.
 .
 This package contains the command line utility.

Package: ipfm
Description-md5: 996d993b0f438f8324ece1dce0d4bc18
Description-en: a bandwidth analysis tool
 IPFM counts how much data was sent and received by specified hosts through
 an Internet link.

Package: ipgrab
Description-md5: 7308e793d044c4fbf4dba3d8ccbb8ec2
Description-en: tcpdump-like utility that prints detailed header information
 ipgrab is a network debugging utility not unlike tcpdump except
 that it prints out detailed header field information for
 data link, network and transport layers.

Package: ipheth-utils
Description-md5: 4c4f1234a01d89521ba262d9fb5a4cf9
Description-en: USB tethering driver support utilities for the iPhone
 Internet tethering driver for the iPhone which allows Linux systems to
 make use of the phone's internet connection using a USB cable. Unlike
 other solutions out there, you don't need to jailbreak your phone or
 install third-party proxy applications.
 .
 This package provides the support utilities required to automatically
 set up the tethered connection.

Package: ipig
Description-md5: e7fed74addfdd4f7e012d77bd745b91e
Description-en: integrating PSMs into genome browser visualisations
 iPiG targets the integration of peptide spectrum matches (PSMs) from
 mass spectrometry (MS) peptide identifications into genomic
 visualisations provided by genome browser such as the UCSC genome
 browser (http://genome.ucsc.edu/).
 .
 iPiG takes PSMs from the MS standard format mzIdentML (*.mzid) or in
 text format and provides results in genome track formats (BED and GFF3
 files), which can be easily imported into genome browsers.

Package: ipip
Description-md5: fd913d130f37b2751a056dd0848a2efe
Description-en: IP over IP Encapsulation Daemon
 This daemon provides an alternative to the kernel-resident support for IP
 encapsulation links.  It is better suited for situations where there are many
 encapsulation connections to be managed, such as is the case for amateur
 radio interconnection of network 44.  Also, because the daemon is outside the
 kernel, it provides an excellent environment for experimenting with alternate
 mechanisms for distributing encapsulation routing updates.
 .
 If you need one encapsulation link, use the kernel's built-in support.  If
 you need a lot of encapsulation links, give this daemon a try.

Package: ipkungfu
Description-md5: d756549a1a43889ea0df5d79d5842c6d
Description-en: iptables-based Linux firewall
 ipkungfu is an advanced iptables script that can be also used by
 people who have only limited knowledge of proper security and IP
 filtering practices.  Many advanced features are included in
 ipkungfu, although IPv6 support is still not included.
 .
  Homepage http://www.linuxkungfu.org

Package: ipmctl
Description-md5: 82742e41379b83675f177ee177d876af
Description-en: utility for configuring and managing Intel Optane DC persistent memory modules
 This package provides a CLI with the following functionality:
  * Discover PMMs on the platform.
  * Provision the platform memory configuration.
  * View and update the firmware on PMMs.
  * Configure data-at-rest security on PMMs.
  * Monitor PMM health.
  * Track performance of PMMs.
  * Debug and troubleshoot PMMs.

Package: ipmitool
Description-md5: 25a9e9b297f6cb408542afe642c815cb
Description-en: utility for IPMI control with kernel driver or LAN interface (daemon)
 A utility for managing and configuring devices that support the
 Intelligent Platform Management Interface.  IPMI is an open standard
 for monitoring, logging, recovery, inventory, and control of hardware
 that is implemented independent of the main CPU, BIOS, and OS.  The
 service processor (or Baseboard Management Controller, BMC) is the
 brain behind platform management and its primary purpose is to handle
 the autonomous sensor monitoring and event logging features.
 .
 The ipmitool program provides a simple command-line interface to this
 BMC.  It features the ability to read the sensor data repository
 (SDR) and print sensor values, display the contents of the System
 Event Log (SEL), print Field Replaceable Unit (FRU) inventory
 information, read and set LAN configuration parameters, and perform
 remote chassis power control.
 .
 This package contains the daemon.

Package: ipmiutil
Description-md5: 12adce720b002c62fb9a30ccf925b3f0
Description-en: IPMI management utilities
 ipmiutil performs a series of common IPMI server management
 functions to allow administrators to perform management functions
 without a learning curve. It can gather FRU inventory data,
 SEL firmware log, sensors, watchdog, power control, health,
 monitoring, and has an SOL console. It can write sensor thresholds,
 FRU asset tags, and supports a full IPMI configuration save/restore.

Package: ipolish
Description-md5: d8a91824feb037cd42b2839bd508012d
Description-en: Polish dictionary for ispell
 This is the Polish dictionary, to be used with the ispell program,
 version 3.1.04 and following.  The dictionary contains roughly
 200,000 roots, which expand to about 2,500,000 words.

Package: iportuguese
Description-md5: 5b2ea8de1a1dd30f26389f11ef861d12
Description-en: European Portuguese dictionary for ispell
 This is the Portuguese dictionary for ispell currently developed by the
 Informatics Department at Minho's University in Portugal.

Package: ippl
Description-md5: d6d6d95dbf5c7f1aa0a7ac0abb43341c
Description-en: IP protocols logger
 writes information about incoming ICMP messages, TCP connections and
 UDP datagrams to syslog.
 .
 It is highly configurable and has a built-in DNS cache.
 .
 Please note that upstream is rather inactive lately (no release since
 2001), and that there are some rather nasty bugs.
 .
 An incomplete list of the bugs includes:
   - random packets don't get logged sometimes
   - stops logging at all after some weeks
   - ipv6 never got implemented
   - documentation is out of sync.
 .
 Trying to fix these bugs is not easy. Please do not expect the Debian
 maintainer to do this, but patches are appreciated.
 .
 Please consider using a fully-grown intrusion detection system (like
 snort) instead of ippl.
 .
 Upstream URL: http://pltplp.net/ippl/

Package: ippl-dbg
Description-md5: 17ab4c913fc14a524605ed7f6a409c3c
Description-en: debugging systems for ippl
 writes information about incoming ICMP messages, TCP connections and
 UDP datagrams to syslog.
 .
 This package contains the debugging symbols for ippl.
 .
 Upstream URL: http://pltplp.net/ippl/

Package: ipppd
Description-md5: 451f420e80e9305b0dbb249fce04d0dc
Description-en: ISDN utilities - PPP daemon
 This package provides the specially modified version of the Point to
 Point Protocol daemon needed to connect to the Internet through an
 internal ISDN card. It also supports dial-in connections from Windows
 clients.

Package: ippsample
Description-md5: 3829b86bddedcb1d1e1a263f1150864e
Description-en: ippsample - Samples/development tools for the IPP
 The ippsample project provides sample implementations of an IPP
 Client, Proxy, Server, and other tools. It is based on the CUPS
 source code and is maintained by the PWG IPP workgroup.

Package: iprange
Description-md5: 11c20817e7dddec24f0e6088dfe90486
Description-en: optimizing ipsets for iptables
 This tool is capable of managing sets of IPs.
 .
 Why to use iprange over any other aggregate ?
  * simpler
  * supports many input formats, all together in the same file
  * faster, actually a lot faster (thanks to the original design
    by Gabriel Somlo)
  * can reduce the subnets/prefixes to produce high-performing
    netfilter/iptables ipsets
  * can compare files with IPs to find if they overlap and to what degree
  * can find the IPs common to a set of files
  * can exclude IPs (merge a set of files while excluding all IPs matched
    by another set of files)

Package: ips
Description-md5: 61d2bf7caf600772cbdcc90e8fb9a1d9
Description-en: Intelligent process status
 Ips is an intelligent ps-like program which displays process status obtained
 from the /proc filesystem. It has features to make tracking of active,
 semi-active, and transient processes easy. It is extremely configurable, but
 is still efficient.

Package: ipset-persistent
Description-md5: 3e40046a4c991bbb4e8c6a46ddf0887f
Description-en: boot-time loader for netfilter rules, ipset plugin
 netfilter-persistent is a loader for netfilter configuration using a
 plugin-based architecture.
 .
 This package contains the ipset plugin.

Package: ipsvd
Description-md5: bb543d8f7b34c5d7c9627cac992dfa2c
Description-en: Internet protocol service daemons
 ipsvd is a set of Internet protocol service daemons for TCP/IP (optionally
 SSLv3) and UDP/IP.  A daemon waits for incoming connections on a socket;
 for new connections, it conditionally runs an arbitrary program to handle
 the connection.  The daemons can be told to read and follow pre-defined
 instructions on how to handle incoming connections; based on the client's
 IP address or hostname, they can run different programs, set a different
 environment, deny a connection, or set a per host concurrency limit.
 .
 ipsvd can be used to run services usually run by inetd or tcpserver.
 Normally the daemons are run by a supervisor process, such as runsv from
 the runit package.
 .
 See http://smarden.org/ipsvd/ for more information.

Package: iptables-netflow-dkms
Description-md5: 26669500ed996328e4db0fbdc886db3b
Description-en: iptables target which generates netflows
 ipt-netflow is an iptables/netfilter target which generates traffic
 statistics in NetFlow v5 and v9 format as well as in IPFIX format.
 .
 It provides high performance and scalability. For highest performance
 module could be run without conntrack being enabled in
 kernel. Reported to be able to handle 10Gbit traffic with more than
 1500000 pps with negligible server load (on S5500BC).

Package: iptables-persistent
Description-md5: 1cde2713365aeda7fa3bba00e9a06380
Description-en: boot-time loader for netfilter rules, iptables plugin
 netfilter-persistent is a loader for netfilter configuration using a
 plugin-based architecture.
 .
 This package contains the iptables and ip6tables plugins.

Package: iptotal
Description-md5: 559d7b01763169c2e72b50e0c0c80a7c
Description-en: monitor for IP traffic, not requiring SNMP
 iptotal is yet another IP traffic monitor. It listens to a network interface in
 non-promiscuous mode, and measures IP bandwidth usage. After the specified
 number of seconds, the average throughput is printed at total, input and output
 usage.
 .
 The utility can be used to measure bandwidth usage without the need for an SNMP
 daemon.  In combination with a simple script and rrdtool it can be used to
 present the measured data in graphical format e.g. through a web interface.
 The package contains www + CGI sample files.

Package: iptraf
Description-md5: 011ff2c2d6d412fa6ab2a1580d4ee7e3
Description-en: transitional package to iptraf-ng
 IPTraf-ng is an ncurses-based IP LAN monitor that generates various network
 statistics including TCP info, UDP counts, ICMP and OSPF information,
 Ethernet load info, node stats, IP checksum errors, and others.
 .
 IPTraf-ng is the next generation of IPTraf started as a fork of original
 iptraf-3.0.0.
 .
 This is a transitional dummy package to help iptraf users to upgrade to
 iptraf-ng, you may remove it safely.

Package: iptstate
Description-md5: ac2a7254ece706202d1f89c53145c43e
Description-en: top-like interface to your netfilter connection-tracking table
 IP Tables State (iptstate) was originally written to implement
 the "state top" feature of IP Filter in IP Tables. "State top"
 displays the states held by your stateful firewall in a
 top-like manner.
 .
 Features include:
  - Top-like realtime state table information
  - Sorting by any field
  - Reversible sorting
  - Single display of state table
  - Customizable refresh rate
  - Display filtering
  - Color-coding
  - much more...
 .
 To use iptstate, CONNTRACK should be enabled in your kernel.

Package: iptux
Description-md5: 0e2a14a870a45f416a44fd78dff4cf71
Description-en: Intranet communication tool for Linux
 iptux is an “IP Messenger” client for Linux.
 .
 It can:
  - auto-detect other clients on the intranet.
  - send message to other clients.
  - send file to other clients.
 .
 It is (supposedly) compatible with 飞鸽传书 (Feige) and 飞秋 (FeiQ)
 from China, and with the original “IP Messenger” clients from Japan
 as listed on http://ipmsg.org/ including g2ipmsg and xipmsg in Debian.

Package: iputils-clockdiff
Description-md5: 9decf160dafd56034002d36fa8dc3289
Description-en: Measure the time difference between networked computers
 Clockdiff computes the difference between the time of the machine on
 which it is called and the time of other network-accessible machines.
 The time differences measured by clockdiff are obtained using a
 sequence of ICMP TSTAMP messages which are returned to the sender by
 the IP module in the remote machine.

Package: ipv6calc
Description-md5: 5691bf001a0c3ea48abbc8deaa29add3
Description-en: small utility for manipulating IPv6 addresses
 The ipv6calc utility can convert between different formats of IPv4 or IPv6
 addresses. It can also show information about the addresses, including who
 they are assigned to on the Internet.

Package: ipv6pref
Description-md5: 1c3bd5a90050ebf5152fe7fd9ba386c4
Description-en: utility to set IPv6 address preferences
 ipv6pref provides a utility that preloads a library and
 allows users to control the use of IPv6 privacy extensions.
 .
 For longrunning tasks it may be undesirable to use the periodically
 changing and random addresses; using the supplied utility,
 the kernel can be instructed whether to prefer the temporary addresses
 or stick to the static ones when opening sockets for a specific program.

Package: ipv6toolkit
Description-md5: b81fcb563c4a3882073d316d7d1739cc
Description-en: Security assessment and troubleshooting tool for the IPv6 protocols
 The SI6 Networks' IPv6 toolkit is a set of IPv6 security and
 trouble-shooting tools, that can send arbitrary IPv6-based
 packets.
 .
 List of tools:
  * addr6: An IPv6 address analysis and manipulation tool.
  * flow6: A tool to perform a security asseessment of the IPv6 Flow
    Label.
  * frag6: A tool to perform IPv6 fragmentation-based attacks and to
    perform a security assessment of a number of fragmentation-related
    aspects.
  * icmp6: A tool to perform attacks based on ICMPv6 error messages.
  * jumbo6: A tool to assess potential flaws in the handling of IPv6
    Jumbograms.
  * na6: A tool to send arbitrary Neighbor Advertisement messages.
  * ni6: A tool to send arbitrary ICMPv6 Node Information messages,
     and assess possible flaws in the processing of such packets.
  * ns6: A tool to send arbitrary Neighbor Solicitation messages.
  * ra6: A tool to send arbitrary Router Advertisement messages.
  * rd6: A tool to send arbitrary ICMPv6 Redirect messages.
  * rs6: A tool to send arbitrary Router Solicitation messages.
  * scan6: An IPv6 address scanning tool.
  * tcp6: A tool to send arbitrary TCP segments and perform a variety
    of TCP-based attacks.

Package: ipwatchd
Description-md5: d96bad203b9451e0e69309ccc488ad46
Description-en: IP conflict detection tool
 IPwatchD is a simple daemon that analyses all incoming ARP packets in order
 to detect IP conflicts on Linux. It can be configured to listen on one or
 more interfaces (alias interfaces are also supported) in active or passive
 mode. In active mode IPwatchD protects your host before IP takeover by
 answering Gratuitous ARP requests received from conflicting system.
 In passive mode it just records information about conflict through standard
 syslog interface.

Package: ipwatchd-gnotify
Description-md5: d4b6fd559097ebcdf4d27174058a37c0
Description-en: Gnome notification tool for IPwatchD
 IPwatchD-G-Notify is notification tool for Gnome environment used
 by IPwatchD daemon to display notification "bubble" when IP
 conflict occurs.

Package: ipython3
Description-md5: d49b6f5183335e828e40c215255f4f6d
Description-en: Enhanced interactive Python 3 shell
 IPython can be used as a replacement for the standard Python shell,
 or it can be used as a complete working environment for scientific
 computing (like Matlab or Mathematica) when paired with the standard
 Python scientific and numerical tools. It supports dynamic object
 introspections, numbered input/output prompts, a macro system,
 session logging, session restoring, complete system shell access,
 verbose and colored traceback reports, auto-parentheses, auto-quoting,
 and is embeddable in other Python programs.
 .
 This package contains the actual terminal shell for Python 3.

Package: iqtree
Description-md5: 74d9431c410fc0f02ede82d14c6a3692
Description-en: efficient phylogenetic software by maximum likelihood
 IQ-TREE is a very efficient maximum likelihood phylogenetic software
 with following key features among others:
 .
  * A novel fast and effective stochastic algorithm to estimate maximum
    likelihood trees. IQ-TREE outperforms both RAxML and PhyML in terms
    of likelihood while requiring similar amount of computing time (see
    Nguyen et al., 2015)
  * An ultrafast bootstrap approximation to assess branch supports (see
    Minh et al., 2013).
  * A wide range of substitution models for binary, DNA, protein, codon,
    and morphological alignments.
  * Ultrafast model selection for all data types, 10 to 100 times faster
    than jModelTest and ProtTest.
  * Finding best partition scheme like PartitionFinder.
  * Partitioned models with mixed data types for phylogenomic (multi-
    gene) alignments, allowing for separate, proportional, or joint
    branch lengths among genes.
  * Supporting the phylogenetic likelihod library (PLL) (see Flouri et
    al., 2014)

Package: ir-keytable
Description-md5: 46da68cd9f35b0c79d43a1ca6be4328d
Description-en: Alter keymaps of Remote Controller devices
 This package allows one to change the keymap of controller receivers.
 Those receivers are found as infrared receivers on DVB sticks or on
 framegrabber cards. Via ir-keytable the mapping from a scancode to
 the generated event can be customized and made persistent.

Package: ir.lv2
Description-md5: 7d2143c3dbbb8c524a2a7015eb8f5b68
Description-en: LV2 IR reverb
 IR is a zero-latency, realtime, high performance signal convolver
 especially for creating reverb effects. Supports impulse responses
 with 1, 2 or 4 channels, in any soundfile format supported by libsndfile.
 .
 IR files can be for example here:
  - https://musical-artifacts.com/artifacts?tags=impulse+response
  - https://musical-artifacts.com/artifacts?tags=ir
 .
 For converting 2ch impupuses to 4ch *True stereo* format
 use 'convert4chan' utility. See man pages.

Package: iraf
Description-md5: 6c55b8d0405360237049c296582d2c11
Description-en: Image Reduction and Analysis Facility
 IRAF is the "Image Reduction and Analysis Facility". The main
 IRAF distribution includes a good selection of programs for
 general image processing and graphics.
 .
 Other external or layered packages are available for
 applications such as data acquisition or handling data from other
 observatories and wavelength regimes such as the Hubble Space
 Telescope (optical), EUVE (extreme ultra-violet), or ROSAT and
 AXAF (X-ray). These external packages are distributed separately
 from the main IRAF distribution but can be easily installed.
 The IRAF system also the programmable Command Language scripting
 facility.

Package: iraf-dev
Description-md5: 355c3cf7ee6906bdc0b9af3ea7eb52f8
Description-en: Image Reduction and Analysis Facility (development files)
 IRAF is the "Image Reduction and Analysis Facility". The main
 IRAF distribution includes a good selection of programs for
 general image processing and graphics, plus a large number of
 programs for the reduction and analysis of optical and IR
 astronomy data.
 .
 This package contains the IMFORT Fortran/C programming interface, and
 the full SPP/VOS programming environment in which the portable IRAF
 system and all applications are written.

Package: iraf-fitsutil
Description-md5: 1230e044376b0dde314b5c779e108c24
Description-en: FITS utilities for IRAF
 Several tasks to operate of FITS files and extensions from within IRAF,
 like copying, extension extraction, conversion etc.
 .
 The following tasks are available:
 .
  * fgread: Read a FITS file with FOREIGN extensions
  * fgwrite: Create a FITS file with FOREIGN extensions
  * funpack: Uncompress a FITS file
  * fxconvert: Convert between IRAF image types.
  * fxcopy: Copy FITS files or FITS extension to an output FITS file
  * fxdelete: Delete FITS extensions in place
  * fxdummyh: Create a dataless single FITS file
  * fxextract: Extract a FITS extension
  * fxheader: List one line of header description per FITS unit
  * fxinsert: Insert FITS files or extensions into another FITS file
  * fxplf: Converts a pixel list file into a BINTABLE extension
  * fxsplit: Split a multiple extension FITS file into single FITS files
  * ricepack: Rice compress a FITS file
  * sum32: Compute the 32-bit FITS 1's complement checksum

Package: iraf-mscred
Description-md5: 4fe800a41e5a04cc676e61c6bbed49c5
Description-en: CCD mosaic reduction package for IRAF
 The MSCRED external package is used to reduce CCD mosaic data in
 multiextension FITS format. This format is produced for example by
 the Data Capture Agent when observing with the NOAO CCD Mosaic
 Imager, as well as similar instruments from AAO, CFA, CFHT, ESO, INT
 and others.
 .
 The tasks in the mscred package perform the following functions:
 .
  * display the mosaic data as an apparent single mosaic image,
  * provide interactive examination of displayed mosaic data,
  * combine multiple calibration exposures into master calibration files,
  * perform the basic CCD calibrations such as zero level and gain,
  * reconstruct a single mosaic image with distortions removed,
  * register and combine dithered operations, and
  * save and restore data on tape.

Package: iraf-noao
Description-md5: 27f22c08a6b1c4c85bc7d8c198b28160
Description-en: IRAF NOAO data reduction package
 IRAF is the "Image Reduction and Analysis Facility". The main
 IRAF distribution includes a good selection of programs for
 general image processing and graphics, plus a large number of
 programs for the reduction and analysis of optical and IR
 astronomy data.
 .
 This file contains the NOAO packages for the reduction and analysis
 of Optical Astronomy data.

Package: iraf-noao-dev
Description-md5: 01dc270f04f52a826856c831c3da2261
Description-en: IRAF NOAO data reduction package (development files)
 IRAF is the "Image Reduction and Analysis Facility". The main
 IRAF distribution includes a good selection of programs for
 general image processing and graphics, plus a large number of
 programs for the reduction and analysis of optical and IR
 astronomy data.
 .
 This package contains additional libraries and headers used for
 compiling packages that extend the functionality of the iraf-noao
 package.

Package: iraf-rvsao
Description-md5: 8d2839180823b9298af36be7816016e6
Description-en: IRAF package to obtain radial velocities from spectra
 This package obtains radial velocities and velocity dispersions using
 cross-correlation methods or emission line fits.  It consists of
 several SPP tasks:
 .
  * XCSAO and PXCSAO: cross-correlate spectra,
  * EMSAO and PEMSAO: find emission lines in spectra,
  * BCVCORR: solar system barycentric velocity correction,
  * SUMSPEC: add and/or modify spectra,
  * LINESPEC: synthetic emission line templates,
  * EQWIDTH: equivalent widths of lines in spectra,
  * WLRANGE: wavelength overlap range for a list of spectra,
  * LISTSPEC: list pixel, wavelength, delta wavelength, and/or pixel value for
    spectrum image,
  * PIX2WL: wavelength at a given pixel in a spectrum,
  * WL2PIX: pixel at a given wavelength in a spectrum,
  * VELSET Artificially change the redshift of (log-wavelength) spectra

Package: iraf-sptable
Description-md5: 808ae50d1f2b4142b2cb93d10b763904
Description-en: IRAF package for Tabular Spectra
 The SPTABLE external package contains IRAF spectral tasks intended to
 support data in various tabular formats. These formats currently
 include text files and FITS tables (direct support for the VOTable
 format is still pending) that are increasingly being used to store
 spectra as multi-column tables of wavelength/frequency and flux in a
 variety of formats.

Package: iraf-wcstools
Description-md5: 6d5bb4243b5b0406f411dc9a588db001
Description-en: Handle the WCS of a FITS image (IRAF package)
 WCSTools is a set of software utilities, written in C, which create,
 display and manipulate the world coordinate system of a FITS or IRAF
 image, using specific keywords in the image header which relate pixel
 position within the image to position on the sky.  Auxiliary programs
 search star catalogs and manipulate images.
 .
 This package contains the IRAF package.

Package: ircd-hybrid
Description-md5: 6d0ecd7f1095a9a8ad287cd1870f2be9
Description-en: high-performance secure IRC server
 ircd-hybrid is a stable, high-performance IRC server that features:
 .
  * SSL client support and server-to-server RSA encryption;
  * Compressed server links;
  * Channel exceptions (+e) and invitation exceptions (+I);
  * New configuration file format;
  * Halfops (+h) and anti-spam user mode +g;
  * Dynamically loadable modules;
  * Channel and nickname RESV's (reservations).

Package: ircd-irc2
Description-md5: c0464a4a31446446f9ff1ca05b32cd1e
Description-en: The original IRC server daemon
 This is the original Internet Relay Chat (IRC) daemon, allowing interactive
 character based communication between people connected to this server
 with IRC clients.
 .
 This version of ircd is mostly used on the IRCNet irc network.

Package: ircd-ircu
Description-md5: 46649cd4aa0a970dced331baa01922d5
Description-en: Undernet IRC Server daemon
 This is ircu, the Undernet Internet Relay Chat daemon, which allows
 interactive character based communication between people connected to
 this server with IRC clients. It is based on the latest stable version
 which can be downloaded from http://coder-com.undernet.org/.
 .
 This version is compiled for network usage but it can also run standalone.
 You can modify its behaviour with reconfiguring/rebuilding.

Package: ircii
Description-md5: 3a15243ee0084faa77ccd3844c653b4c
Description-en: Internet Relay Chat client
 The ircII program is a full screen, termcap based interface
 to Internet Relay Chat. It gives full access to all
 of the normal IRC functions, plus a variety of additional
 options. This Version is able to display mIRC colors.
 It supports "/encrypt -cast"

Package: irclog2html
Description-md5: b53c684c1620b6b07728d3a908cb11a1
Description-en: Converts IRC log files to HTML with pretty colours
 Python scripts that converts IRC log files from several formats to coloured
 HTML.
 .
 Features include:
  * XHTML & CSS output
  * Unique, automatic nick colours (preserved across nick changes)
  * URL highlighting
  * Bookmarkable timestamps for each line
  * Navigation links between daily log files

Package: ircmarkers
Description-md5: 84ee539c2429e8102def217db4e3df3c
Description-en: place markers on maps at given coordinates
 IrcMarkers takes a map in .png or .jpg format and a list of coordinates and
 labels in xplanet format and places markers on the map. It was written to
 generate user maps of IRC channels. GnuPG/PGP key ids can be associated with
 each marker, to create "maps of trust".

Package: ircp-tray
Description-md5: cd494a2fa0d28389cbfdfd00e33775eb
Description-en: IrDA and OBEX wireless file transfer
 Ircp Tray is a IrDA file transfer program for Linux. It stays inside
 your system tray, listening for incoming IrOBEX file transfer request,
 as well as sending file out to remote devices via IrDA.
 .
 Ircp Tray is compliant with OBEX Push protocol.

Package: irker
Description-md5: 30a05cfd0fa64ff05c40793edf4196f0
Description-en: submission tools for IRC notifications
 irkerd is a specialized IRC client that runs as a daemon, allowing other
 programs to ship IRC notifications by sending JSON objects to a listening
 socket.

Package: iroffer
Description-md5: 80aef2823af6a3415240d86f8e156db5
Description-en: IRC file distribution bot
 iroffer is a file server for IRC (commonly referred to as a DCC bot).
 It uses the DCC feature of IRC to send files to other users. iroffer
 will connect to an IRC server and let people request files from it.
 Unlike similar programs, iroffer is not a script; it is a standalone
 executable written entirely in C, from scratch, with high transfer
 speed and efficiency in mind. iroffer has been known to reach
 2MByte/sec or higher bandwidth usage when multiple transfers are
 occurring at the same time.

Package: ironic-api
Description-md5: 863e8d2c95e07a62c81356a265d1174e
Description-en: Openstack bare metal provisioning service - API
 Ironic is an Incubated OpenStack project which aims to provision
 bare metal machines instead of virtual machines, forked from the
 Nova Baremetal driver. It is best thought of as a bare metal
 hypervisor **API** and a set of plugins which interact with
 the bare metal hypervisors. By default, it will use PXE and IPMI
 in concert to provision and turn on/off machines, but Ironic
 also supports vendor-specific plugins which may implement additional
 functionality.
 .
 This package contains the API.

Package: ironic-common
Description-md5: 46dd7769cc0125cbefb3bd8f7096ae11
Description-en: Openstack bare metal provisioning service - daemons
 Ironic is an Incubated OpenStack project which aims to provision
 bare metal machines instead of virtual machines, forked from the
 Nova Baremetal driver. It is best thought of as a bare metal
 hypervisor **API** and a set of plugins which interact with
 the bare metal hypervisors. By default, it will use PXE and IPMI
 in concert to provision and turn on/off machines, but Ironic
 also supports vendor-specific plugins which may implement additional
 functionality.
 .
 This package contains the common files.

Package: ironic-conductor
Description-md5: 7925a6e11699f5cc2980bfe15b96b57c
Description-en: Openstack bare metal provisioning service - conductor
 Ironic is an Incubated OpenStack project which aims to provision
 bare metal machines instead of virtual machines, forked from the
 Nova Baremetal driver. It is best thought of as a bare metal
 hypervisor **API** and a set of plugins which interact with
 the bare metal hypervisors. By default, it will use PXE and IPMI
 in concert to provision and turn on/off machines, but Ironic
 also supports vendor-specific plugins which may implement additional
 functionality.
 .
 This package contains the conductor.

Package: ironic-inspector
Description-md5: ae4b222c1fd253b0520f97ab16c42180
Description-en: discovering hardware properties for OpenStack Ironic - Daemon
 This is an auxiliary service for discovering hardware properties for a node
 managed by OpenStack Ironic. Hardware introspection or hardware properties
 discovery is a process of getting hardware parameters required for scheduling
 from a bare metal node, given it's power management credentials (e.g. IPMI
 address, user name and password).
 .
 A special discovery ramdisk is required to collect the information on a node.
 The default one can be built using diskimage-builder and
 ironic-inspector-ramdisk element.
 .
 This package contains the daemon.

Package: ironic-neutron-agent
Description-md5: d2beabd521676b2edd61a3b9a03e7255
Description-en: OpenStack virtual network service - Ironic agent
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Ironic agent.

Package: irony-mode
Description-md5: 25c23f63bdab3d5f19dcbc785ee9ef6b
Description-en: Transition Package, irony-mode to elpa-irony
 The irony-mode addon has been elpafied. This dummy package
 helps ease the transition from irony-mode to elpa-irony
 and is safe to remove.

Package: irony-server
Description-md5: e55f886fff8089a906090b0a0573e5f6
Description-en: Emacs C/C++ minor mode powered by libclang (server)
 irony-mode is an Emacs minor-mode that aims at improving the editing
 experience for the C, C++ and Objective-C languages. It works by
 using a combination of an Emacs package and a C++ program
 (irony-server) that uses libclang.
 .
 This package contains the architecture-specific irony-server.

Package: irqtop
Description-md5: 5718d6ab25df339e7642065022c2cf8b
Description-en: Observe IRQ and SoftIRQ in a top-like fashion
 irqtop is a small ruby-written and curses-based utility which shows
 interrupt requests and software interrupts like top does for
 processes, but enhanced with colors.

Package: irsim
Description-md5: f1ad31b623c5e843b98df992e03204a8
Description-en: Switch-level simulator
 IRSIM is a tool for simulating digital circuits. It is a "switch-level"
 simulator; that is, it treats transistors as ideal switches. Extracted
 capacitance and lumped resistance values are used to make the switch a little
 bit more realistic than the ideal, using the RC time constants to predict the
 relative timing of events.

Package: irssi-plugin-otr
Description-md5: ccdc9bf1f4518f74c48965de9b687e8b
Description-en: Off-The-Record messaging plugin for Irssi
 This plugin adds Off-The-Record (OTR) messaging support to the Irssi IRC
 client. Although primarily designed for use with the BitlBee IRC2IM
 gateway, it works within any query window, provided that your
 conversation partner's IRC client supports OTR.
 .
 OTR allows you to have private conversations over IM by providing:
  * Encryption - no one else can read your instant messages.
  * Authentication - you are assured the correspondent is who you think
    it is.
  * Deniability - the messages you send do _not_ have digital signatures
    that are checkable by a third party. Anyone can forge messages after
    a conversation to make them look like they came from you. However,
    _during_ a conversation, correspondents are assured the messages
    they see are authentic and unmodified.
  * Forward secrecy - if you lose control of your private keys,
    no previous conversation is compromised.

Package: irssi-plugin-robustirc
Description-md5: 96e63064bd637e05c6ae7423c24cea6f
Description-en: RobustIRC plugin for irssi
 irssi plugin which allows you to connect to a RobustIRC network without having
 to use a bridge.

Package: irssi-plugin-xmpp
Description-md5: 9f5f35daf3f2cffed19808e2dced9b43
Description-en: XMPP plugin for irssi
 An irssi plugin to connect to the Jabber network, using the XMPP protocol.
 .
 Its main features are:
  - Sending and receiving messages in irssi's query windows
  - A roster with contact & resource tracking (contact list)
  - Contact management (add, remove, manage subscriptions)
  - Tab completion of commands, JIDs and resources
  - Support for multiple accounts
  - Unicode support (UTF-8)
  - SSL support

Package: irssi-scripts
Description-md5: 90f5e9b9f780a7da5e81ab542d9399f9
Description-en: collection of scripts for irssi
 This is a collection of scripts for the irssi IRC-client.
 .
 Some individual scripts need other packages in order to work
 properly. Please see the list of Suggests as well as the contained
 README.Debian for additional information.
 .
 Almost all scripts can also be downloaded from http://scripts.irssi.org/.
 .
 A list of included scripts
 .
   0x0st -- upload file to 0x0.st service
   8-ball -- the 8-ball game
   accent -- this script strips the Hungarian accents
   active_notice -- shows notices into the active channel
   active_notify -- notify messages into the active window or broadcast
   act -- reset window activity status. defines command /act
   adv_windowlist -- Adds a permanent advanced window list on the right
   aidle -- antyidler with random time
   ai -- puts people on ignore if they do a public away
   akftp -- full configurable FTP advertiser for Irssi
   akilluser -- akill a specified nick
   alame -- converts towards lame speech
   anotherway -- another auto away script
   antiplenk -- notices users who plenk
   apm -- shows your battery status in your Statusbar
   armeija -- ignores people bringin up boring/repeated subjects
   ascii -- ascii-art bassed on figlet.
   auto_away -- sets an away message automatically when you're idle
   autoaway -- automatically goes  away after defined inactivity
   autochannel -- auto add channels to channel list on join
   autocycle -- auto regain ops in empty opless channels
   autolimit -- does an autolimit for a channel
   autoopper -- auto-op script with dynamic address support and random delay
   autorealname -- print realname of everyone who join to channels
   autorejoinpunish -- kickbans or knockouts people who use autorejoin on kick
   autoreminder -- this script Reminds people to do stuff! :)
   autoversion -- auto-CTCP Version on every joining nick
   autovoice -- autovoice
   auto_whois -- /WHOIS all the users who send you a private message.
   autowhois -- /WHOIS all the users who send you a private message.
   autowrap -- automatically wraps long sent messages
   away2web --  write /away information to a file to be used on web pages
   awaybar -- provides a menubar item with away message
   away_hilight_notice -- notice your away message
   awaylogcnt -- displays in statusbar number of messages in awaylog
   away -- away with reason, unaway, and autoaway
   awayproxy -- sets nick away when client disconnects from the irssi-proxy
   away_verbose -- displays a verbose away/back message in the channels
   badword -- configurable badword kickbanning script
   bandwidth -- shows bandwidth usage in statusbar
   ban -- bans several nicks/masks on channel
   bansearch -- Search for bans, quiets, and channel modes affecting a user
   bantime -- print time when ban was set in a nicer way
   beepaway -- only beep when you are away
   beep_beep -- runs arbitrary command instead of system beep
   beep -- replaces your terminal bell by a command specified via /set
   bestoiber -- stoibers your messages
   bgta -- bytes Gallery of the TAilor Script
   binary -- converts what you type into 2-base string representation
   bitlbee_blist -- bitlbee buddy list
   bitlbee_join_notice -- bitlbee join notice
   bitlbee_nick_change -- shows an IM nickchange in an Irssi way
   bitlbee_tab_completion -- intelligent Tab-completion for BitlBee commands
   bitlbee_typing_notice -- typing notification for Bitlbee
   blowjob -- crypt IRC communication with blowfish encryption
   bmi -- a simple body mass index calculator for  depression
   calc -- simple /calc mechanism
   callerid -- reformats CallerID Messages on Hybrid & Ratbox to be Easier
   cap_sasl -- PLAIN SASL authentication mechanism
   centericq -- indicates how many new messages you have in your centericq
   cgrep -- lists users on the channel matching the specified regexp
   challenge -- run a challenge response oper thingie
   chanact -- adds new powerful and customizable [Act: ...] item
   chanfull_duden -- notify if Channellimit is reached
   chanfull -- notifies the user when some channel limit is reached
   chanpeak -- log maximum number of people ever been in a channel
   chansearch -- searches for specific channels
   chanshare -- display people who are in more than one channel with you
   chansort -- sort all channel and query windows
   chansync -- /who a channel and optionally executes a command
   chops -- simulates BitchXs /CHOPS and /NOPS commands.
   Cirssi -- Controls Audacious2 and MOCP from Irssi
   cleanpublic -- removes colors and other  formatting from public channels
   clipboard -- better quoting of content from clipboard
   cloneprot -- parses OperServ notices
   clones --  display clones in the active channel
   colorkick --  kicking users for using colors or blinks
   colorswap -- swap between green and white format for public messages.
   connectcmd -- run arbitrary shell commands while [dis]connecting to a server
   copy -- copy a line in a paste buffer
   countdown --  adds public channel command for counting down something
   country -- print the country name in /WHOIS replies
   cp1250_kick -- Kicks people using cp1250 charset
   crapbuster -- removes CRAP or CLIENTCRAP messages from your buffer
   cron -- allows one to execute commands  at given interval/time
   cwho -- cached WHO
   dancer_forwardfix -- fix problem with channel forwarding on the Dancer ircd
   dancer_hide_477 -- this script hides the 477 numerics from the dancer IRCd
   dau -- write like an idiot
   dcc_ip -- this script sets dcc_own_ip when starting a DCC send or chat
   dccmove -- Move completed dcc gets to the subfolder done
   dccself -- starts a dcc chat with yourself on that host/port.
   dccstat -- Shows verbose or short information of dcc send/gets on statusbar
   defaultchanmode -- allows your client to automatically set desired chanmode
   desktop-notify Sends notification using the Desktop Notifications
   df -- adds an item which displays the current disk usage.
   dice -- a dice Simulator for Roleplaying in Channels or just for fun
   dictcomplete -- caching dictionary based tab completion
   dim_nicks -- Dims nicks that are not in channel anymore
   dispatch -- this scripts sends unknown commands to the server
   doc -- manage tips  url help in a doc file in the keyword=definition form
   doublefilter -- filters msgs which appear the same on different channels
   dtach_away -- set (un)away, if dtach is attached/detached
   eliza -- answers to /msgs using Chatbot::Eliza when youre away
   emaildb -- a script for accessing an email MySQL database  through irc
   email_msgs -- Emails you messages sent/received while you're away or not
   eng_no_translate_dpryo -- english->norwegian translation
   events -- expand event mode and emit event mode {channel,user,server}
   exec_clean -- execute process whose parent window has been closed
   fakectcp -- sends fake ctcp replies to a client using a fake ctcp list
   figlet -- safe figlet implementation
   file -- a command to output content of files in various  ways
   findbot -- public command @find script
   find -- finds a nick by real name, if hes on a channel with you
   fleech -- fserve leecher - helps you download files from file servers
   fnotify -- Write notifications to a file in a consistent format
   follow -- automatically switch to active windows
   foo -- rot n+i encryption and decryption
   foreach_user -- extends the /foreach command to have /foreach user
   fortune -- send a random fortune cookie to an user in channel
   forward -- forward incoming messages to another nick
   fpaste -- copy infos to fpaste
   freenode_filter -- this script will filter some Freenode IRCD servernotices
   friends_peder -- basically an autoop script with a nice interface
   friends_shasta -- maintains list of people you know
   fserve -- file server for irssi
   fuckem -- simulates the BitchX /FUCKEM command
   getop -- automatically request op from random opped person
   gimmie -- a bot script
   gitscriptassist -- script management with git
   go2 -- Switch to the window with the given name or item
   google -- This script queries google.com and returns the results
   go -- activates a window given a name/partial name
   gpgvalidator -- have gpg-based trusting features in your irssi client
   grep -- GREP command
   guts -- adds the uppercased version of the tab completes
   hddtemp -- adds a statusbar item which shows temperatures of harddisks
   hello -- this script allows you to greet the channel youre living
   hideauth -- stops eggdrop passwords showing up
   hide -- a little interface to irssis activity_hide_* settings
   hideshow -- Removes and re-adds lines to the Irssi buffer view
   highlite -- shows events happening in all channels
   hignore -- if you use this command in a query it will ignore the host
   hilightwin -- print highlighted messages to window named highlight
   history_search -- search within your typed history as you type
   hlbot -- floods the channel about things that are happening in your server
   hl -- responds to !hl counterstrike.server
   hostname -- list all IP addresses on all interfaces found on your machine
   identify-md5 -- MD5 NickServ identification script for SorceryNet
   idlesince -- adds 'idle since' line to whois replies.
   idletime -- retrieves the idletime of any nick
   idonkey -- equips Irssi with an interface to mldonkey
   ignore_log -- script to log ignored messages
   ignoreoc -- ignore messages from people not on your channels
   il -- adds a statusbar item which show length of the inputline
   imdb -- automatically lookup IMDB-numbers in nicknames
   iMPD -- this controls Music Player Daemon from the familiar irssi interface
   invitejoin -- this script will join a channel if somebody invites you to it
   ipupdate -- auto /set dcc_own_ip IP on connect.
   irccomplete -- adds words from IRC to your tab-completion list
   ircgallery -- show IRC gallery information on /WHOIS or /GALLERY
   ircgmessagenotify -- review IRC galleria.net and says when you have messages
   ircops -- display IrcOps in current channel
   ircsec -- secures your conversation
   irssiBlaster -- display the song played by mp3blaster
   isdn -- displays incoming ISDN calls
   itime -- internet Time statusbar item
   ixmmsa -- announces which _file_ is currently playing.
   joininfo -- reports WHOIS information and channel list
   kban-referrals -- Script for kickbanning those who post referral links
   kblamehost -- kicks (and bans) people with >= 4 dots in theirs hostname
   keepnick -- try to get your nick back when it becomes available.
   kenny -- autodekennyfies /kenny, adds /kenny, /dekenny
   kernel -- fetches the version(s) of the latest Linux kernel(s).
   kicks -- enhances /k /kb and /kn with some nice options.
   kill_fake_gets -- checks if there are old identical sends and closes them
   kline_warning -- shows a warning in the statuswindow
   l33tmusic -- show playing xmms song in channel or in a statusbar
   lastspoke -- remembers what people said last on what channels
   len -- if you try to get a nick with 11 characters but only 9 are allowed
   leodict -- translates via dict.leo.org
   licq -- licq statusbar thingy
   linkchan -- link several channels on several networks
   listen -- display what mp3 you are playing in which software
   loadavg -- display a loadavg statusbar item using vm.loadavg
   localize -- localizes users using traceroute
   log2ansi -- convert mirc color and irssi internal formatting to ansi colors
   logcompress -- compress logfiles then they're rotated
   logresume -- print last n lines of logs when opening queries/channels
   ls -- show all nicks matching regex in the current channel
   mailcheck_imap -- staturbar item which indicates how many new emails
   mailcheck_mbox_flux -- polls your unix mailbox for new mail
   mailcheck_pop3_kimmo -- POP3 new mail notification
   mangle -- translates your messages into Morse code, rot13 and other
   map -- generates simple tree of IRC network based on the output of the LINKS
   mass_hilight_blocker -- disables highlighting for messages of some nicks
   miodek -- simple wordkick system
   mkick -- mass kick
   mkshorterlink -- automatically shortens links
   mldonkey_bandwidth -- shows your mldonkeys current down- and upload rate
   modelist -- cache of invites and ban exceptions in channel
   modelist-r -- cache of invites, ban exceptions and reops in channel
   mood -- keeps track of the channel mood
   morse -- turns your messages into morse or spelling code
   mouse -- control irssi using mouse clicks and gestures
   mpg123 -- display current mpg123 track
   multipaste -- helps pasting multiple lines to a channel
   my_beep -- runs arbitrary command instead of system beep
   mygoogle -- query Google
   myimdb -- query imdb
   mysqlurllogger -- logs urls to MySQL database
   nact -- adds an item which displays the current network activity
   newsline -- brings various newstickers to Irssi
   news -- news reader
   nickban -- a simple nick banner. If it encounters a nick it bans its host
   nickcolor -- assign a different color for each nick
   nickident -- identify to nickserv
   nickignore -- ignores nick changes when only the case or special characters
   nicklist -- draws a nicklist to another terminal
   nickmix-c0ffee -- the number of chars you want to keep from your orig nick
   nickmix_pasky -- perturbates given nick (or just a word) in certain way
   nickserv -- this script will authorize you into NickServ
   niq -- bitchX like Nickcompletion at line start plus statusbar
   nm -- right aligned nicks depending on longest nick
   nocaps -- replaces lines in ALL CAPS with something easier on the eyes
   nocollide -- automatically changes nick when certain amount of colissions
   noisyquery -- prints an info about a newly started Query
   nopl -- replaces polish national characters with their corresponding letters
   norepeat -- stops public repeating
   noteserve -- utilizes NoteServ to implement a buddylist
   noticemove -- prints private notices from people in the channel
   notonline -- answers $nick: No. if youre away and someone asks are you online
   ogg123 -- display current ogg123 track
   oidenty -- oidentd support for irssi
   on -- tries to fit into Irssis usage style more than emulating ircII.
   ontv -- turns irssi into a tv program guide
   oopsie -- Stops those silly mistakes being sent (spaces at start of line,etc)
   oops -- turns ls in the beginning of a sent line into the names
   openurl -- stores URLs in a list and launches mail, web or ftp software
   operit -- perform certain action on request authenticated by the IRC operator
   operview -- reformats some server notices
   opnotice -- send a notice to the ops in a channel
   opnotify -- highlights window refnumber in statusbar
   osd -- an OnScreenDisplay it shows who is talking to you, on what IRC Network
   page-c0ffee -- ignore pages
   page_reeler -- display and send CTCP PAGE
   pager -- notifies people if they send you a private message or a DCC chat
   pangotext -- Render text with various color modifications using HTML tags
   paste_derwan -- pasting lines to specified targets
   paste_huggie -- paste reformats pieces of text typically pasted
   paste_kimmoke -- start and stop recording and then replay without linebreaks
   pelix --  this script allows you flood shit.
   people -- userlist with autoopping, autokicking etc.
   pggb_sound -- does CTCP SOUNDs and other similar things.
   phpdoc -- display all functions of the famous language
   poison -- equips Irssi with an interface to giFT
   postpone -- postpones messages sent to a split user
   ppl -- port of asmodeans /ppl command from skuld3
   query -- give you more control over when to jump to query windows
   queryresume -- restores the last lines of a query on re-creation
   quiet -- adds support for +q (quiet user) channel modes to irssi
   quitrand -- random quit messages - based on quitmsg
   quizgr -- turns irssi into a quiz bot. Has Greek language
   quizmaster -- a trivia script for Irssi
   quiz -- turns irssi into a quiz bot
   q_username -- prints the Q username in right format
   rainbow -- prints colored text. Rather simple than sophisticated
   randaway -- random away-messages
   randname -- random /set real_name taken from a file
   relm -- keeps last 15 messages in cache
   remote -- lets you run commands remotely via /msg and a password
   repeat -- hide duplicate lines
   resize_split -- resizes a split window when it is made active
   revolve -- Summarizes multiple sequential joins/parts/quits
   rk -- kicks random nick from ops lusers | all on channel
   romajibind -- dynamic romaji binds
   romaji -- translates romaji to hiragana or katakana in text enclosed in ^R
   rot13 -- ROT13 encoding and reverse :)
   rotator -- displaye changeing statusbar item to show irssi is still running
   sana_cmd -- translates english-finnish-english.
   sana -- sanakirja info bot
   schwaebisch -- translates your messages from German to swabian
   screen_away -- set (un)away, if screen is attached/detached
   scripthelp -- bebProvides access to scripts help
   scriptinfo -- Access script information
   scroller -- scrolls specified text on the status bar
   seen -- tell people when other people were online
   servercomplete -- tab complete servers and userhosts
   seti -- tell ppl how far youve gotten with you SETI@home  workunit.
   shortenurl -- use http://42.pl/url/ to make smaller URLs
   showhilight -- show highlight messages in active window
   showhost -- show host kicks
   showmode -- show modes in parts, quits, kicks, topic changes or actions
   smiley -- very useful smiley-flooder
   sms -- address-book with smssender, for now supports only Polish operators
   snmpup -- queries remote hosts running snmpd for its uptime and cpu usage
   spambot -- oper script to kill Spam Bots
   special_complete -- complete irssi special variables
   spellcheck -- checks for spelling errors using Aspell
   sping -- checks latency between current server and [server]
   stocks -- prints the stats for German stocks
   synccheck -- script checking channel synchronization
   sysinfo277-irssi -- cross-platform/architecture system information script
   sysinfo_dg -- prints system information
   sysinfoplus -- Linux system information
   tab_stop -- replaces the evil inverted
   talk -- This script talks to you *g*. It reads the chat-msgs for you
   target -- advances IRC warfare to the next level
   thankop -- remembers the last person oping you on a channel
   theme -- activate, show or get theme
   thistory -- keeps information about the most recent topics of the channels
   tictactoe -- tic-tac-toe game
   timer -- provides /timer command for mIRC/BitchX type timer functionality
   tinyurl -- create a tinyurl from a long one
   title -- display configurable title as XTerm title
   tlock -- locks current or specified topic on [channel]
   tmux-nicklist-portable -- displays a list of nicks in a separate tmux pane
   topic-diff -- this script shows you changes in the topic
   topicsed -- editing channel topics by regexps
   topics -- records a topic history and locks the channel topic
   trackbar -- shows a bar where youve last read a window
   tracknick -- lets you see them with the real nick all the time
   track -- Keeps track of users by building a database of  online
   trigger -- execute a command or replace text
   trustweb -- illustrates the trust between ops
   tvmusor -- asks for the current tv-lineup
   twirssi -- send twitter updates using /tweet
   twprompt -- bitchXs CrackRock3 animated prompt bar
   twsocials -- IRC version of Social Commands
   twtopic -- animated Topic bar
   UNIBG-autoident -- automatically  ident  when you reconnect
   upgradeinfo -- statusbaritem notifying you about updated binary
   u -- show all nicks  matching regex in the current channel
   uptime -- try a little harder to figure out client uptime
   urlfeed -- Provides RSS feeds with URLs pasted on your channels
   urlgrab -- captures urls said in channel and private messages and save
   url_log -- logs urls to textfile or/and database
   url -- grabs URLs in messages and allows you to open them on the fly
   urlplot -- URL grabber with HTML generation and cmd execution
   urlwindow -- Print urls to window named "urls"
   userhost -- adds a -cmd option to the /USERHOST builtin command
   users -- implements /USERS
   version-stat -- shows top[0-9]+ irc client versions in a channel
   verstats -- draws a diagram of the used clients in a channel
   vowels -- silly script, removes vowels, idea taken from  #Linuxnews
   warnkick -- warns you if someone kicks you out of a channel
   washnicks -- removes annoying characters from nicks
   watch -- watch for irssi
   whitelist -- specific nicks or hosts and ignore messages from anyone
   whois -- highlights @ in whois channel reply
   whos -- this script allows  you to  view all users  on a specific server
   wilm -- whois on a person who sent you last private message
   wkb -- a simple word kickbanner
   wlstat -- adds a window list in the status area.
   wordcompletition -- adds words from IRC to your tab-completion list
   wordscramble -- scrambles all the letters in a word except the first and last
   xauth -- undernet X Service Authentication Program
   xcmd -- makes Undernets X commands easier and faster to use
   xdccget -- advances downloading from XDCC bots
   xetra -- brings the stock exchanges of the world to your irssi
   xlist -- better readable listing of channel names
   xmms2 -- Returns XMMS-InfoPipe data
   xmmsinfo -- /xmmsinfo to tell what youre currently playing
   xmms -- XMMS-InfoPipe front-end - allow /np [-help] [dest]
   xqf -- automatically sends xqf data to irssi and optionally licq

Package: irstlm
Description-md5: 9d30da722339720fc161041210d17e3c
Description-en: IRST Language Modeling Toolkit
 The IRST Language Modeling Toolkit can be used to learn a language model
 from data. The generated n-gram models should be usable on any system
 supporting ARPA language model format.
 .
 This package provides the command line tools.

Package: irtt
Description-md5: 0da45fc12a43e44f00aa8f77d3a9e46a
Description-en: Isochronous Round-Trip Tester
 IRTT (Isochronous Round-Trip Tester) IRTT measures round-trip time,
 one-way delay and other metrics using UDP packets sent on a fixed period,
 and produces both user and machine parseable output.

Package: irussian
Description-md5: 6a3cbcbf9d5d1bc54115f15fa74d0b26
Description-en: Russian dictionary for Ispell
 This dictionary contains Russian wordlists for the Ispell spellchecker.
 .
 The dictionary contains over 122,200 stem words and produces over
 1,168,000 derivate words, including support for the :E (yo) letter.

Package: isag
Description-md5: 10d9efc76195047a9a61b2432a52444d
Description-en: Interactive System Activity Grapher for sysstat
 This package provides the command isag, which graphically displays the
 system activity data stored in the binary logs produced by sar (in the
 package sysstat).

Package: isakmpd
Description-md5: 6d48eb43b0986915aca95aa368d0ee9f
Description-en: The Internet Key Exchange protocol openbsd implementation
 IKE is a protocol which allows one to exchange security information between
 to peers. This implementation requires the native Linux ipsec support.

Package: isal
Description-md5: 7f9035928ec89f5e08326038dda3a0d1
Description-en: Intel(R) Intelligent Storage Acceleration Library - tools
 Collection of low-level functions used in storage applications.
 Contains fast erasure codes that implement a general
 Reed-Solomon type encoding for blocks of data that helps protect against
 erasure of whole blocks. The general ISA-L library contains an expanded
 set of functions used for data protection, hashing, encryption, etc.
 .
 This package contains CLI tools.

Package: isatapd
Description-md5: a15e66e4c8e1744347876ee1da186ec1
Description-en: creates and maintains an ISATAP client tunnel (RFC 5214)
 isatapd creates and maintains an ISATAP client tunnel (RFC 5214) in Linux.
 .
 It uses the in-kernel ISATAP support first introduced in linux-2.6.25.
 It does NOT operate the tunnel or handle any IPv6 traffic, it only sets
 up the tunnel parameters, the Potential Router List, sends periodic
 router solicitations and tries to detect link changes.

Package: isbg
Description-md5: 88d9311855e168aced06c5d89df4aab4
Description-en: scan spam with SpamAssassin via IMAP
 isbg is a script that makes it easy to scan an IMAP inbox for spam
 using SpamAssassin and get your spam moved to another folder.
 .
 Unlike the normal mode of deployments for SpamAssassin, isbg does not
 need to be involved in mail delivery, and can run on completely
 different machines to where your mailbox actually is. So this is the
 perfect tool to take good care of your ISP mailbox without having to
 leave it.

Package: isc-dhcp-client-ddns
Description-md5: b50603a1b35d2890c76eeaa6a2333d69
Description-en: Dynamic DNS (DDNS) enabled DHCP client
 This a Dynamic DNS enabled version of the DHCP client.
 .
 Dynamic Host Configuration Protocol (DHCP) is a protocol like BOOTP
 (actually dhcpd includes much of the functionality of bootpd). It
 gives client machines "leases" for IP addresses and can
 automatically set their network configuration. If your machine
 depends on DHCP (especially likely if it's a workstation on a large
 network, or a laptop, or attached to a cable modem), keep this or
 another DHCP client installed.
 .
 Extra documentation can be found in the package isc-dhcp-common.

Package: isc-dhcp-relay
Description-md5: 8caafb99fc6d2e4f67d3a9eda47b9265
Description-en: ISC DHCP relay daemon
 This is the Internet Software Consortium's DHCP relay.
 .
 Installing this package will make the machine a DHCP relay, which
 requires a reachable DHCP or BOOTP server in order to function.
 .
 Extra documentation can be found in the package isc-dhcp-common.

Package: isc-dhcp-server-ldap
Description-md5: 5128e40dee2335c1d24210a6ce42aae2
Description-en: DHCP server that uses LDAP as its backend
 This is the server from the Internet Software Consortium's implementation of
 DHCP.
 .
 Dynamic Host Configuration Protocol (DHCP) is a protocol like BOOTP
 (actually dhcpd includes much of the functionality of bootpd). It
 gives client machines "leases" for IP addresses and can
 automatically set their network configuration.
 .
 This is the DHCP server with LDAP patches applied to it.

Package: iscsiuio
Description-md5: 79d033daf8cf245af6e699a137d27da9
Description-en: iSCSI offloading daemon for QLogic devices
 Open-iSCSI is a high-performance, transport independent, multi-platform
 implementation of the RFC3720 Internet Small Computer Systems Interface
 (iSCSI).
 .
 This package contains the userspace offloading daemon, for use with
 QLogic (formerly Broadcom) NetXtreme II hardware, as supported by the
 'bnx2' and 'bnx2x' drivers, in conjunction with the 'bnx2i' driver.
 .
 The following network controllers are supported:
  bnx2:  BCM5706, BCM5708, BCM5709 devices
  bnx2x: BCM57710, BCM57711, BCM57711E, BCM57712, BCM57712E, BCM57800,
         BCM57810, BCM57840
 .
 This package is required to offload iSCSI onto these devices.

Package: isdnlog
Description-md5: 961fe84f30ef5734b5e4c9677a453739
Description-en: ISDN utilities - connection logger
 This package provides a set of utilities that can log ISDN connections and
 calculate the cost of calls. If sufficient data is available, it can even
 recommend which alternate carrier would have been cheaper for a given call.
 For countries where calls are charged per discrete unit, it can disconnect
 the line just before the next unit starts.

Package: isdnlog-data
Description-md5: ec3bb51a574f38c6864b4013268577e2
Description-en: ISDN utilities - connection logger data
 This package provides data related to call pricing, area codes, etcetera,
 in various countries, and is only useful with isdnlog.

Package: isdnutils-base
Description-md5: 84ab8b459becf7d5e2f4684d20204157
Description-en: ISDN utilities - minimal set
 This package provides the basic set of ISDN utilities needed to make use
 of an ISDN card.

Package: isdnutils-doc
Description-md5: ccc0986261470efe5de36478b75f3fec
Description-en: ISDN utilities - documentation
 This package includes the FAQ and other miscellaneous documentation
 for the ISDN utilities suite, in English and German.

Package: isdnutils-xtools
Description-md5: ceffaa94af9e77fef38af626a4668950
Description-en: ISDN utilities - graphical tools
 This package provides xmonisdn and xisdnload, which are graphical tools
 for ISDN. Each in its own way gives a visual indication of the status of
 the ISDN lines, so that (for example) it is obvious when there is a
 connection.
 .
 They have been split out into a separate package to allow the others
 in the suite to avoid dependencies on the X Window System.

Package: isdnvbox
Description-md5: 3ae73fd01459cf324fb6caddb8d7bb46
Description-en: ISDN utilities - answering machine dependency package
 Let your Debian system be your answering machine! Messages can be accessed
 remotely, automatically emailed, etc.
 .
 This package depends for convenience on both the vbox server (with which
 the messages are recorded) and the client (with which you listen to them).

Package: isdnvboxclient
Description-md5: 4a893b1f369951e25b53298f3388417e
Description-en: ISDN utilities - answering machine client
 Let your Debian system be your answering machine! Messages can be accessed
 remotely, automatically emailed, etc.
 .
 This package provides the vbox client, with which you can listen to the
 messages recorded by a vbox server (either running on the same machine or
 accessible over the network).

Package: isdnvboxserver
Description-md5: 88ae00537f129afff2df436622b8c9ea
Description-en: ISDN utilities - answering machine server
 Let your Debian system be your answering machine! Messages can be accessed
 remotely, automatically emailed, etc.
 .
 This package provides the vbox server, which must be installed on the
 system with the ISDN card. To actually listen to the recorded messages
 you need the client package (isdnvboxclient) somewhere, not necessarily on
 the same system.

Package: iselect
Description-md5: d5ace58e0f463bb09718d97ff6516c24
Description-en: ncurses-based interactive line selection tool
 iSelect is an interactive line selection tool, operating via a
 full-screen Curses-based terminal session. It can be used either as
 an user interface frontend controlled by a Bourne-Shell/Perl/Tcl
 backend as its control script or in batch mode as a pipeline filter
 (usually between grep and the final executing command).
 .
 This package also includes screen-ir, a script to interactively
 reattach to one of several screen sessions.

Package: islamic-menus
Description-md5: 54d1192ed24efba3402528e89de2c8b7
Description-en: Islamic menu categories for applications under GNOME and KDE
 This package installs desktop menus for grouping Islamic applications on
 GNOME, KDE and other XDG menu-spec compliant desktop environments.

Package: ismrmrd-schema
Description-md5: df78e1645a604a1855bdc4108b0c4596
Description-en: schema for ISMRMRD
 The ISMRMRD format combines a mix of flexible data structures (XML header)
 and fixed structures (equivalent to C-structs) to represent MRI data.
 .
 In addition, the ISMRMRD format also specifies an image header for storing
 reconstructed images and the accompanying C++ library provides a convenient
 way of writing such images into HDF5 files along with generic arrays for
 storing less well defined data structures, e.g. coil sensitivity maps or
 other calibration data.
 .
 This package provides the XML schema.

Package: ismrmrd-tools
Description-md5: 9a70e1d5dadf7ba1e53974fd03b5dd8f
Description-en: command-line tools for ISMRMRD
 The ISMRMRD format combines a mix of flexible data structures (XML header)
 and fixed structures (equivalent to C-structs) to represent MRI data.
 .
 In addition, the ISMRMRD format also specifies an image header for storing
 reconstructed images and the accompanying C++ library provides a convenient
 way of writing such images into HDF5 files along with generic arrays for
 storing less well defined data structures, e.g. coil sensitivity maps or
 other calibration data.
 .
 This package provides the command-line tools.

Package: iso-flags-png-320x240
Description-md5: 279f5508f7fd432aef204ea207777da9
Description-en: ISO country flags in PNG format (320x240)
 A 4x3 country flag collection in PNG format in the resolution 320x240.
 Generated from the high quality vector graphics country flag icons (shipped in
 the iso-flags-svg package).
 .
 It contains more than 240 country flag icons.

Package: iso-flags-svg
Description-md5: 84b317925b87dbb39a4ed07ea2416e62
Description-en: ISO country flags in SVG format
 High quality vector graphics country flag icons.
 .
 It contains more than 240 country flag icons in a squared and in a 4x3 aspect
 ratio.

Package: isomaster
Description-md5: f9a03cbc079e43f2ae8cc8c28311ff3c
Description-en: Graphical CD image editor
 You can use isomaster to:
  - add files to an image
  - extract files from an image
  - delete files from an image

Package: isomd5sum
Description-md5: f6138bc2eedff9a12a16a1e58e03b460
Description-en: ISO9660 checksum utilities
 isomd5sum is a set of utilities for implanting a MD5 checksum in an
 ISO (or any block device), then verifying the checksum later.  isomd5sum
 is not simply an MD5 of the entire ISO; it checksums the data inside a
 standard ISO9660 image and write block checksum information to an ISO9660
 header, that will carry over to burning the CD.
 .
 This package contains the utilities implantisomd5 and checkisomd5.

Package: isoqlog
Description-md5: fc147a7c89b7606ab0458a3d4186e216
Description-en: Mail Transport Agent log analysis program
 Isoqlog is an MTA log analysis program written in C.
 It designed to scan qmail, postfix, sendmail logfile
 and produce usage statistics in HTML format for viewing
 through a browser. It produces Top domains output according
 to Sender, Receiver, Total mails and bytes; it keeps
 your main domain mail statistics with regard to Days Top
 Domain, Top Users values for per day, per month and years.

Package: isoquery
Description-md5: c153a6ff7aeb974b58393d41bc120cda
Description-en: Search and display various ISO codes (country, language, ...)
 This program can be used to generate a tabular output of the ISO standard
 codes provided by the package iso-codes.
 .
 It parses the JSON files and shows all included ISO codes or just
 matching entries, if specified on the command line.
 .
 Moreover, it's possible to get all available translations for
 the ISO standard.

Package: isort
Description-md5: 7fd3f28ab9a98ab4d495c5a4de255652
Description-en: utility for sorting Python imports
 isort is a Python utility / library to sort imports alphabetically, and
 automatically separated into sections. It provides a command line
 utility, Python library and plugins for various editors to quickly sort
 all your imports.
 .
 This package contains the isort utility.

Package: ispanish
Description-md5: ff009c729322a9b64c5556d97bc41056
Description-en: Spanish dictionary for ispell
 This is the Spanish dictionary for use with the ispell spellchecker.
 Put together by Santiago Rodriguez and Jesus Carretero.

Package: ispell
Description-md5: 577e95b1fdc6375f1bcda985b53bef21
Description-en: International Ispell (an interactive spelling corrector)
 Ispell corrects spelling in plain text, LaTeX, sgml/html/xml, and nroff files.
 [x]Emacs and jed have nice interfaces to ispell, and ispell works from many
 other tools and from the command line as well.
 .
 No ispell dictionaries are included in this package; you must install
 at least one of them ("iamerican" is recommended by default for no good
 reason); install the "ispell-dictionary" package(s) for the language(s)
 you and your users will want to spell-check.
 .
 It's a good idea to install "word list" package(s) for the same language(s),
 because they'll be used by ispell's (L)ookup command.

Package: isrcsubmit
Description-md5: 9c9f15e159b461d5b1e66b3b4a93744c
Description-en: extract ISRCs from audio CDs and submit them to MusicBrainz
 isrcsubmit is a command line utility to extract International Standard
 Recording Codes (ISRC) from audio CDs. It allows one to submit the extracted
 data to MusicBrainz. ISRCs are used to uniquely identify sound and music video
 recordings.
 .
 isrcsubmit supports multiple backends to extract ISRCs: libdiscid (the default)
 and cdrdao for discs that do not store ISRCs in the subchannel information.
 .
 A valid MusicBrainz account is required to submit ISRCs.

Package: isso
Description-md5: e3afd1f8b1503f9be2b13e1b23405a34
Description-en: lightweight web-based commenting system
 A lightweight commenting system written in Python. It supports CRUD comments
 written in Markdown, Disqus import, I18N, website integration via JavaScript.
 Comments are stored in SQLite.

Package: istgt
Description-md5: c219befdb4bbcb6ddeb6d016658f3234
Description-en: iSCSI userspace target daemon for Unix-like operating systems
 istgt is a iSCSI target for Unix-like operating systems (including those with
 Linux and kFreeBSD kernels) running as daemon process in user space.
 .
 It supports:
 .
  * Multipath I/O
  * 64bit LBA for volumes over 2 TiB size
  * Header/Data digest by CRC32C
  * Mutual authentication with CHAP
  * Multiple LUNs and ACLs for portals
  * IPv6/IPv4 support

Package: iswedish
Description-md5: 703f3741c590db2a650c9895b9d8d6cc
Description-en: Swedish dictionary for ispell
 This is the Swedish dictionary, to be used with ispell
 to check and correct spelling in Swedish texts.
 The dictionary contains approximately 120,000 words.

Package: iswiss
Description-md5: 460d234101b4d9b9c62b840bb8c90070
Description-en: Swiss (German) orthography dictionary for ispell
 This is a dictionary for ispell for the new German orthography
 (de-CH-1996), which is used since 1998-08-01 and which is obligatory
 as of 2005-08-01.
 .
 It is based on the famous hkgerman dictionary (using the old German
 orthography, which is available as iogerman), with many corrections
 and additions.
 .
 This particular rendering supports swiss spelling.  This includes some
 Swiss words, as well as ss instead of German sz ligature.

Package: isympy-common
Description-md5: 8dca72c1473ef125ca39b93b95331424
Description-en: Python shell for SymPy
 SymPy is a Python library for symbolic mathematics (manipulation). It aims to
 become a full-featured computer algebra system (CAS) while keeping the code as
 simple as possible in order to be comprehensible and easily extensible. SymPy
 is written entirely in Python and does not require any external libraries,
 except optionally for plotting support.
 .
 This support package contains the common isympy Python script,
 a wrapper for SymPy which can be invoked with python3.
 .
 Install the isympy3 package to ensure all required dependencies
 are loaded.

Package: isympy3
Description-md5: b89dce2a128334c4ebeefb9d19414645
Description-en: Python3 shell for SymPy
 SymPy is a Python library for symbolic mathematics (manipulation). It aims to
 become a full-featured computer algebra system (CAS) while keeping the code as
 simple as possible in order to be comprehensible and easily extensible. SymPy
 is written entirely in Python and does not require any external libraries,
 except optionally for plotting support.
 .
 This package contains a Python 3 shell (IPython shell if you have the
 ipython3 package installed) wrapper for SymPy.

Package: isync
Description-md5: 2304fc754da07ee341c66b6081d0d798
Description-en: IMAP and MailDir mailbox synchronizer
 mbsync/isync is a command line application which synchronizes mailboxes;
 currently Maildir and IMAP4 mailboxes are supported. New messages, message
 deletions and flag changes can be propagated both ways. isync is suitable
 for use in IMAP-disconnected mode.
 .
 The main application was much improved in version 1.0. Those improvements
 lead to interface changes and the application being renamed to mbsync. The
 application isync is now only a wrapper to keep compatibility with earlier
 versions.
 .
 Features:
  * Fine-grained selection of synchronization operations to perform
  * Synchronizes single mailboxes or entire mailbox collections
  * Partial mirrors possible: keep only the latest messages locally
  * Trash functionality: backup messages before removing them
 IMAP features:
  * Security: supports TLS/SSL via imaps: (port 993) and STARTTLS; CRAM-MD5
    for authentication
  * Supports NAMESPACE for simplified configuration
  * Pipelining for maximum speed (currently only partially implemented)

Package: itagalog
Description-md5: c981c9599ee583f8283c5fd3db948b76
Description-en: Tagalog dictionary for Ispell
 This is the Tagalog dictionary to be used with Ispell.
 It contains more than 18000 words.

Package: itamae
Description-md5: 91e3ed962ea0790d77c1661198c03da1
Description-en: Simple Configuration Management Tool
 Itamae is a simple and lightweight configuration management tool inspired by
 Chef. It has a Chef-like DSL, recipes only, and is idempotent.
 .
 Itamae can run locally, or over SSH. It can also operate on Docker or Vagrant
 targets. Itamae leverages the Serverspec/Specinfra project to be OS agnostic.

Package: itcl3
Description-md5: 643c8a563963f7e6a7188ff456a6032d
Description-en: [incr Tcl] OOP extension for Tcl - run-time files
 [incr Tcl] (or itcl) is a popular object-oriented extension for the
 embeddable Tcl scripting language.  The name is a play on C++, and
 itcl has a similar object model, including multiple inheritance and
 public and private classes and variables.  Unlike most OOP extensions
 to Tcl, itcl is written in C for speed.
 .
 This package contains everything you need to run itcl scripts and
 itcl-enabled apps.

Package: itcl3-dev
Description-md5: d208ff067ab8f60dbf42137b84dc4056
Description-en: [incr Tcl] OOP extension for Tcl - development files
 [incr Tcl] (or itcl) is a popular object-oriented extension for the
 embeddable Tcl scripting language.  The name is a play on C++, and
 itcl has a similar object model, including multiple inheritance and
 public and private classes and variables.  Unlike most OOP extensions
 to Tcl, itcl is written in C for speed.
 .
 This package contains the headers and libraries needed to embed or
 add C extensions to itcl.

Package: itcl3-doc
Description-md5: bcf432475695f3b73abbfe93de0bb326
Description-en: [incr Tcl] OOP extension for Tcl - manual pages
 [incr Tcl] (or itcl) is a popular object-oriented extension for the
 embeddable Tcl scripting language.  The name is a play on C++, and
 itcl has a similar object model, including multiple inheritance and
 public and private classes and variables.  Unlike most OOP extensions
 to Tcl, itcl is written in C for speed.
 .
 This package contains the man pages for the new commands that itcl
 adds to Tcl.

Package: itk3
Description-md5: b7cbfbe18692fe1e39baabbd619a1663
Description-en: [incr Tk] OOP extension for Tk - run-time files
 [incr Tk] (or itk) combines the object-oriented power of [incr Tcl]
 with the popular Tk graphical toolkit to create a framework for
 creating mega-widgets.  One such set of mega-widgets is provided by
 the iwidgets package.
 .
 This package contains everything you need to run itk scripts and
 itk-enabled apps.

Package: itk3-dev
Description-md5: 1eb5b8ec2a401281e2a9e7e509f3a689
Description-en: [incr Tk] OOP extension for Tk - development files
 [incr Tk] (or itk) combines the object-oriented power of [incr Tcl]
 with the popular Tk graphical toolkit to create a framework for
 creating mega-widgets.  One such set of mega-widgets is provided by
 the iwidgets package.
 .
 This package contains the headers and libraries needed to embed or
 add C extensions to itk.

Package: itk3-doc
Description-md5: e942e86891307d1c00ea360639255c2e
Description-en: [incr Tk] OOP extension for Tk - manual pages
 [incr Tk] (or itk) combines the object-oriented power of [incr Tcl]
 with the popular Tk graphical toolkit to create a framework for
 creating mega-widgets.  One such set of mega-widgets is provided by
 the iwidgets package.
 .
 This package contains the man pages for the classes provided by itk.

Package: itksnap
Description-md5: b68c2b3ecddce2d560f1680156d2882f
Description-en: semi-automatic segmentation of structures in 3D images
 SNAP provides semi-automatic segmentation of structures in medical
 images (e.g.  magnetic resonance images of the brain) using active
 contour methods, as well as manual delineation and image navigation.
 Noteworthy features are:
 .
  * Linked cursor for seamless 3D navigation
  * Manual segmentation in three orthogonal planes at once
  * Support for many different 3D image formats, including NIfTI
  * Support for concurrent, linked viewing and segmentation of multiple images
  * Limited support for color images (e.g., diffusion tensor maps)
  * 3D cut-plane tool for fast post-processing of segmentation results

Package: itools
Description-md5: 9cbecfadd58d657324e1b06e94ab8253
Description-en: Islamic hijri date and prayer time utilities
 The itools is a collection of command line tools that mimics the
 development of the underlying ITL library (libitl) and is meant to
 always give the end-user simple means to access its functions. The
 available tools are:
 .
   * ical: Display a Hijri calendar.
   * idate: Multi method Hijri/Gregorian date converter.
   * ipraytime: Prayer times and Qibla calculator and schedule table generator.
   * ireminder: Prayer time reminder Perl script.
 .
 This package is part of the Islamic Tools and Libraries project.

Package: itop
Description-md5: 0d9a21fc5cbdd417278592febf60646e
Description-en: simple top-like interrupt load monitor
 itop is a simple interrupt load monitor which opens and
 monitors /proc/interrupts in a top-like way. It can be set
 to monitor the file a specific number of times and monitor all
 interrupts, not only the ones currently in use.

Package: its-playback-time
Description-md5: 8600aa9351e6261084aa7f0a1fcf1832
Description-en: ttyrec time-travelling playback tool
 ipbt (It's Playback Time) is a ttyrec player which lets you go
 backwards and forwards through the recording.
 .
 (A ttyrec is a timestamped recording of a terminal session.)

Package: itstool
Description-md5: 9f2ec5e942fbd453418d12d2a39ade84
Description-en: tool for translating XML documents with PO files
 ITS Tool allows you to translate your XML documents with PO files, using rules
 from the W3C Internationalization Tag Set (ITS) to determine what to translate
 and how to separate it into PO file messages.
 .
 ITS Tool is designed to make XML documents translatable through PO files by
 applying standard ITS rules, as well as extension rules specific to ITS Tool.
 ITS also provides an industry standard way for authors to override translation
 information in their documents, such as whether a particular element should be
 translated.

Package: iukrainian
Description-md5: f9018d3ce0ad5ba8c330b4d89477a0b7
Description-en: Ukrainian dictionary for ispell
 This is a Ukrainian dictionary, to be used with the ispell program.

Package: iva
Description-md5: 698e26411c0dbe5917f816e7b7229be9
Description-en: iterative virus sequence assembler
 IVA is a de novo assembler designed to assemble
 virus genomes that have no repeat sequences,
 using Illumina read pairs sequenced from mixed
 populations at extremely high depth.
 .
 IVA's main algorithm works by iteratively extending
 contigs using aligned read pairs. Its input can be
 just read pairs, or additionally you can provide an
 existing set of contigs to be extended. Alternatively,
 it can take reads together with a reference sequence.

Package: iverilog
Description-md5: 8d6c25d1601b4e55eb201717e035adb9
Description-en: Icarus verilog compiler
 Icarus Verilog is intended to compile all of the Verilog HDL as
 described in the IEEE-1364 standard. It is not quite there
 yet. It does currently handle a mix of structural and behavioral
 constructs.
 .
 The compiler can target either simulation, or netlist (EDIF).

Package: ivtools-bin
Description-md5: 994832e1591bd9c9cb3e9a0af6a270ce
Description-en: Drawing Editors evolved from idraw
 InterViews is written in C++ and portable to several different Unix
 platforms.
 Applications written with the InterViews library can be configured to
 provide a special "Look and Feel", such as SGI-Motif and normal Motif.
 This package contains mainly drawing programs written using the
 InterViews library: idraw, a basic vector graphics editor, graphdraw,
 flipbook, a vector graphics animation tool, a vector graphics server,
 and some small sample programs like dclock.

Package: ivtools-dev
Description-md5: 965f123c6208b9fc3352309ff6ad9d9f
Description-en: Development files for the InterViews library
 InterViews is written in C++ and portable to several different Unix
 platforms.
 Applications written with the InterViews library can be configured to
 provide a special "Look and Feel", such as SGI-Motif and normal Motif.
 This package contains the include files needed to develop
 applications with the ivtools library, along with appropriate dynamic
 library links and static libraries.

Package: ivy
Description-md5: 0ab55554c371b847fd21b35c7c384d95
Description-en: agile dependency manager
 Ivy is a very powerful dependency manager oriented toward Java
 dependency management, even though it could be used to manage
 dependencies of any kind.

Package: ivy-debian-helper
Description-md5: 386904f7c91b9b783206a60358619878
Description-en: Helper tools for building Debian packages with Ivy
 ivy-debian-helper contains helper tools to ease the packaging of Ivy
 based projects in Debian. It consists in:
 .
  * an Ivy plugin resolving the dependencies against the system Maven
    repository (/usr/share/maven-repo). The resolver uses the same Maven
    rule files that maven-debian-helper and maven-repo-helper employ
    (debian/maven.rules, debian/maven.ignoreRules).
  * a debhelper class initializing the plugin and running Ant+Ivy in offline
    mode.

Package: ivy-doc
Description-md5: 9cb552d087d4baa4a77179acd6a17c53
Description-en: agile dependency manager (documentation)
 Ivy is a very powerful dependency manager oriented toward Java
 dependency management, even though it could be used to manage
 dependencies of any kind.
 .
 This package contains the documentation.

Package: ivyplusplus
Description-md5: 081dcfadfeae2d8c13b620c46ef4a5da
Description-en: Adds features to ivy for creating projects
 ivyplusplus (ipp) adds a few useful features to ivy, such as creating
 an eclipse project from your deps.  Aside from ivy itself, you get a
 few extra tasks and a command line tool that creates a new project by
 filling out a skeleton build.xml and ivy configuration.

Package: iwatch
Description-md5: e9e0cbf02ad63d7e56fd074e7c6eef80
Description-en: realtime filesystem monitoring program using inotify
 inotify (inode notify) is a Linux kernel subsystem that monitors
 events in filesystems and reports those events to applications in
 real time.
 .
 inotify can be used to monitor individual files or directories.
 When a directory is monitored, inotify will return events for the
 directory itself and for files inside the directory.
 .
 iWatch is a Perl wrap to inotify to monitor changes in specific
 directories or files, sending alarm to the system administrator
 (or other destination) in real time. It can:
 .
   - Send notifications via email about changes.
   - Take programmable actions immediately, as emit alerts via
     XMPP (jabber) messengers, WhatsApp or execute a local program
     or script.
   - Act as HIDS (Host-based Intrusion Detection System) or an
     integrity checker, complementing the firewall system in
     networks and improving the security.
 .
 iWatch can run as a simple command, as well a daemon.
 .
 A good example of iWatch usage is to monitor the pages directory
 in webservers to notify, in real time, about defacements or file
 insertions. Other example is to synchronize configuration files
 between machines, when they are changed, as in DHCP servers acting
 in failover mode. You also use to synchronize files, via rsync
 when these files are changed.

Package: iwd
Description-md5: 364566bd1597739146a17b7fcf3458a2
Description-en: wireless daemon for Linux
 Minimalistic wireless daemon that uses modern Linux interfaces like
 cfg80211 and nl80211 (netlink). The daemon provides a D-Bus API.
 .
 The daemon can be controlled from the command line with the included
 iwctl client utility.
 .
 The included iwmon utility can be used to monitor the 802.11 subsystem
 generic netlink commands and events. It uses the nlmon kernel driver
 from Linux 3.10 and later.

Package: iwidgets4
Description-md5: 5d42e28d1bbed5914552be91f3dd0c90
Description-en: [incr Widgets] Tk-based widget collection - run-time files
 [incr Widgets] (or iwidgets) is an object-oriented "mega-widget" set,
 or collection of high-level user-interface components, based on the
 popular Tk toolkit and the itcl/itk OO framework.  Iwidgets contains
 over 50 ready-to-use mega-widgets including calendar, canvasprintdialog,
 combobox, fileselectiondialog, hyperhelp, notebook, radiobox, spinner,
 and many more.

Package: iwidgets4-doc
Description-md5: 2e32b418db07b69ef5c2de3a7e3b9ba5
Description-en: [incr Widgets] Tk-based widget collection - man pages
 [incr Widgets] (or iwidgets) is an object-oriented "mega-widget" set,
 or collection of high-level user-interface components, based on the
 popular Tk toolkit and the itcl/itk OO framework.  This package
 contains man pages documenting the widget classes.

Package: iwyu
Description-md5: 9a2968593adb5f99f5bf60fc4f4f341a
Description-en: Analyze #includes in C and C++ source files
 "Include what you use" means this: for every symbol (type, function variable,
 or macro) that you use in foo.cc, either foo.cc or foo.h should #include a .h
 file that exports the declaration of that symbol. The include-what-you-use
 tool is a program that can be built with the clang libraries in order to
 analyze #includes of source files to find include-what-you-use violations,
 and suggest fixes for them.
 .
 The main goal of include-what-you-use is to remove superfluous #includes.
 It does this both by figuring out what #includes are not actually needed for
 this file (for both .cc and .h files), and replacing #includes with
 forward-declares when possible.

Package: ixo-usb-jtag
Description-md5: cbed5c2c8e85384e518593f58ca00451
Description-en: Altera Bus Blaster emulation using Cypress FX2 chip
 This firmware allows a USB-capable microcontroller to act like an Altera
 USB-Blaster JTAG pod. Which in turn may allow you to use tools you'd normally
 use with the Altera USB-Blaster, including UrJTAG and openocd.
 .
 Supported hardware: The Cypress FX2 EZ-USB family, or an FTDI FT245 in
 combination with a CPLD. Builds are included for the hdmi2usb project's boards
 (Digilet Nexys, Nexys2, Atlys and Numato Opsis).

Package: j4-dmenu-desktop
Description-md5: 0df38c83c2989b19f88cff1542dff873
Description-en: faster replacement for i3-dmenu-desktop
 j4-dmenu-desktop is a replacement for i3-dmenu-desktop. It's purpose
 is to find .desktop files and offer you a menu to start an application
 using dmenu.
 .
 It is much faster than the default i3-dmenu-desktop method to obtain a list
 of programs parsed from .desktop files.

Package: jaaa
Description-md5: e74196245b79a0d930c15fb18a205d39
Description-en: audio signal generator and spectrum analyser
 Jaaa (JACK and ALSA Audio Analyser) is an audio signal generator and
 spectrum analyser designed to make accurate measurements.
 .
 Jaaa allows you select on of the four inputs,
 'Min' and 'Max' the min and max displayed frequencies.
 There can be up to two markers which helps accurately
 read off values in the display.

Package: jabber-muc
Description-md5: 8b95a284839a0c676d88153ca06e10ce
Description-en: Multi User Conference component for the Jabber IM server
 Multi User Conference component provides an implementation of XEP-0045
 which allow the creation of multi-users chat.
 So with jabber-muc, you can run your own Jabber chatrooms server.

Package: jabber-querybot
Description-md5: 185f272ead33da88e905909d19c82e1c
Description-en: Modular xmpp/jabber bot
 You can easy write bots and use it over the jabber network.
 .
 jabber-querybot connects a jabber account and wait for messages. If a message
 comes in, it forward it to your self programmend modul. The return string of
 your module, jabber-querybot send it back to the jabber sender.
 .
 It is designed to be re-usable and to make it easy to write small
 Jabber bots that do one thing and do it well. A simple concept with a
 lot of examples and experiences are implemented.

Package: jabberd2
Description-md5: e73b33867c7220d068eac469d8a52925
Description-en: Jabber instant messenger server
 Jabber is a free instant messaging server based on XMPP.
 .
 This package contains Jabberd 2, the next generation of the Jabberd
 server. It has been rewritten from the ground up to be scalable,
 architecturally sound, and to support the latest protocol extensions
 coming out of the XSF.

Package: jabref
Description-md5: 46f0e5450733eb86b4991d1ee88e7853
Description-en: graphical frontend to manage BibTeX and BibLaTeX databases
 JabRef is a graphical Java application for editing BibTeX (.bib) databases.
 JabRef lets you organize your entries into overlapping logical groups, and
 with a single click limit your view to a single group or an intersection or
 union of several groups. You can customize the entry information shown in the
 main window, and sort by any of the standard BibTeX fields. JabRef can
 autogenerate BibTeX keys for your entries. JabRef also lets you easily link
 to PDF or web sources for your reference entries.
 .
 JabRef can import from and export to several formats, and you can customize
 export filters. JabRef can be run as a command line application to convert
 from any import format to any export format.

Package: jacal
Description-md5: 9a32298a4e7ab531aa64e1225ee8ed3e
Description-en: Interactive symbolic math system
 JACAL is an interactive symbolic math system which can manipulate and
 simplify equations, scalars, vectors, and matrices of single and
 multiple valued algebraic expressions containing numbers, variables,
 radicals, and algebraic differential, and holonomic functions.

Package: jack-capture
Description-md5: b3b58df63e6c2b01e188e5f599426eae
Description-en: program for recording soundfiles with jack
 With this program you can record any sound which is
 played via jack.
 .
 jack_capture allow you record to all formats supported by sndfile.
 (wav, aiff, ogg, flac, wavex, au, etc.)

Package: jack-delay
Description-md5: cab2ada6b296fea05e6d5a6640f816d1
Description-en: JACK latency meter
 jack_delay can be used to measure the round-trip latency of a soundcard.
 To do this, start the program and connect like this:
 .
 jack_delay -> playback_port -> cable from soundcard output to input ->
 -> capture port -> jack_delay
 .
 jack_delay generates a signal consisting of 13 sine waves, measures the
 phase difference between the input and output for each of these, and
 computes the delay from those phase differences. The algorithm used is
 one developed originally for satellite ranging -  that is measuring the
 distance between a satellite and a ground station.

Package: jack-keyboard
Description-md5: ed3c688be88fcb780286c4223b8340c1
Description-en: Virtual MIDI keyboard for JACK MIDI
 JACK keyboard is a program that allows you to send JACK MIDI
 events (play ;-) using your PC keyboard. It's somewhat similar to
 vkeybd, except it uses JACK MIDI instead of ALSA, and the default
 keyboard mapping is much better - it uses the same layout as
 trackers (like Impulse Tracker) did, so you have two and half
 octaves under your fingers.

Package: jack-midi-clock
Description-md5: ff39e97fafafd5e0c7c3a5a5644ff912
Description-en: JACK client that sends MIDI beat clock pulses
 A simple and robust command-line tool to send
 MIDI beat clock that accurately follows JACK Transport.
 .
 It also features an instumentation tool to parse and dump
 incoming MCLK messages.

Package: jack-rack
Description-md5: 5055aee04bd42c20dbce89d10c71af1b
Description-en: LADSPA effects "rack" for JACK
 JACK Rack is an effects "rack" for the JACK low latency audio API. The
 rack can be filled with LADSPA effects plugins and can be controlled
 using the ALSA sequencer. It's phat; it turns your computer into an
 effects box.

Package: jack-stdio
Description-md5: d479933edd6a296c6eb6ea2287a6f98d
Description-en: program to pipe audio-data from and to JACK
 jack-stdout is a small tool that writes JACK audio-sample data to
 buffered standard output. jack-stdin reads raw audio data from
 standard input and writes it to a JACK audio port.
 .
 By default jack-stdout writes 16 bit signed integer raw audio data
 (much like mpg123 -s at JACK's samplerate, but it can output
 signed/unsigned 8/16/24/32 bit integer and 32bit floating-point
 data, both big/little endian.

Package: jack-tools
Description-md5: 0aad80b14d3c61700c2616d2a794e5c5
Description-en: various JACK tools: dl, record, scope, osc, plumbing, udp, play, transport
 jack-tools is a collection of small tools for the JACK Audio Connection
 Kit.
 .
 JACK allows the connection of multiple applications to an audio device,
 as well as allowing them to share audio between themselves.
 .
 jack-dl loads dsp algorithms from shared libraries.  Commands are sent
 as OSC packets over a UDP connection.
 .
 jack-record is a light-weight JACK capture client to write an arbitrary
 number of channels to disk.
 .
 jack-scope draws either a time domain signal trace or a self
 correlation trace.  Multiple input channels are superimposed, each
 channel is drawn in a different color.  jack-scope accepts OSC packets
 for interactive control of drawing parameters.
 .
 jack-osc publishes the transport state of the local JACK server as OSC
 packets over a UDP connection.  jack-osc allows any OSC enabled
 application to act as a JACK transport client, receiving sample
 accurate pulse stream timing data, and monitoring and initiating
 transport state change.
 .
 jack-plumbing maintains a set of port connection rules and manages
 these as clients register ports with JACK.  Port names are implicitly
 bounded regular expressions and support sub-expression patterns.
 .
 jack-udp is a UDP audio transport mechanism for JACK.  jack-udp is
 obsolete: use net driver instead.
 .
 jack-play is a light-weight JACK sound file player. It creates as many
 output ports as there are channels in the input file.
 .
 jack-transport is a JACK session manager.  It reads configuration
 information from a system wide and a user specific configuration file
 and manages sessions involving the JACK daemon proper and optionally a
 set of secondary jack daemons.

Package: jackd
Description-md5: 43e39fc581e16852c662fc98fa2d411f
Description-en: JACK Audio Connection Kit (default server package)
 JACK is a low-latency sound server, allowing multiple applications to
 connect to one audio device, and to share audio between themselves.
 .
 This dummy package depends on the current default JACK implementation.

Package: jackd1
Description-md5: d236af7f48ea4bfa984ea4189cd1b635
Description-en: JACK Audio Connection Kit (server and example clients)
 JACK is a low-latency sound server, allowing multiple applications to
 connect to one audio device, and to share audio between themselves.
 .
 This package contains the daemon jackd as well as some example clients.

Package: jackd1-firewire
Description-md5: c3f26cc90d191e69646780df881bf7f5
Description-en: JACK Audio Connection Kit (FFADO backend)
 JACK is a low-latency sound server, allowing multiple applications to
 connect to one audio device, and to share audio between themselves.
 .
 This package contains the IEEE1394 (FireWire) backend (FFADO).

Package: jackd2
Description-md5: d236af7f48ea4bfa984ea4189cd1b635
Description-en: JACK Audio Connection Kit (server and example clients)
 JACK is a low-latency sound server, allowing multiple applications to
 connect to one audio device, and to share audio between themselves.
 .
 This package contains the daemon jackd as well as some example clients.

Package: jackd2-firewire
Description-md5: 9dfd1fd2943e615b8306d61e7422d9a5
Description-en: JACK Audio Connection Kit (FFADO and FreeBoB backends)
 JACK is a low-latency sound server, allowing multiple applications to
 connect to one audio device, and to share audio between themselves.
 .
 This package contains the IEEE1394 (FireWire) backends FFADO and FreeBoB.

Package: jackeq
Description-md5: d0e1eb78c3d2a24535ca9505c13db9d5
Description-en: routes and manipulates audio from/to multiple sources
 jackEQ is a tool for routing and manipulating audio from/to multiple
 input/output sources. It runs in the JACK Audio Connection Kit, and
 uses LADSPA for its backend DSP work, specifically the DJ EQ swh
 plugin created by Steve Harris, one of jackEQ's main authors.
 .
 jackEQ is intended to provide an accessible method for tweaking the
 treble, mid and bass of any JACK aware applications output. Designed
 specifically for live performance, it is modelled on various DJ mixing
 consoles which the main author has used.
 .
 jackEQ wll allow sound as rich and powerful as Jackie O, as street
 smart as Jackie Brown. jackEQ may be the first female entity in JACKs
 realm. Is jackEQ more than you can handle?

Package: jackmeter
Description-md5: 9ee62be3c24eb07894f1bfb9e03b5e98
Description-en: a basic command line meter for the JACK audio system
 Jack Meter is a command line audio meter for the JACK audio system. This
 allows the user to check signal levels on remote computers without the need
 for graphical systems.

Package: jacktrip
Description-md5: 9cc01c9ffdee41c015d305a742c6e517
Description-en: high-quality system for audio network performances
 JackTrip is a Linux and Mac OS X-based system used for multi-machine
 network performance over the Internet. It supports any number of
 channels (as many as the computer/network can handle) of bidirectional,
 high quality, uncompressed audio signal streaming.
 .
 You can use it between any combination of Linux and Mac OS X systems
 (i.e., one end using Linux can connect to the other using Mac OS X).
 .
 It is currently being developed and actively tested at CCRMA by the
 SoundWIRE group.

Package: jag
Description-md5: 38e24f4fde7bd763b817c9c63b1ea047
Description-en: arcade and puzzle 2D game
 Puzzle game where the goal is to break all the target pieces in each level
 and do this before the time runs out.
 .
 Move game pieces using mouse into matches of 3 or more in a straight
 line horizontally or vertically.

Package: jag-data
Description-md5: cee1312355ad1429f4bc929f957934a7
Description-en: arcade and puzzle 2D game (data file)
 Puzzle game where the goal is to break all the target pieces in each level
 and do this before the time runs out.
 .
 Move game pieces using mouse into matches of 3 or more in a straight
 line horizontally or vertically.
 .
 This package contains data files required by the game JAG.

Package: jags
Description-md5: eb53ec5ed1f2a0fd257e76a5c5bd1cf5
Description-en: Just Another Gibbs Sampler for Bayesian MCMC - binary
 JAGS is Just Another Gibbs Sampler.  It is a program for analysis of
 Bayesian hierarchical models using Markov Chain Monte Carlo (MCMC)
 simulation not wholly unlike BUGS.
 .
 JAGS was written with three aims in mind:
  * To have an engine for the BUGS language that runs on Unix
  * To be extensible, allowing users to write their own functions,
    distributions and samplers.
  * To be a plaftorm for experimentation with ideas in Bayesian modelling
 .
 This package contains the 'jags' binary as well as the associated
 shared library modules loaded by the binary.

Package: jailkit
Description-md5: d038ebcbeb66f98849b68a202646dbf6
Description-en: tools to make chroot jails easily
 Jailkit is a set of utilities to limit user accounts to specific files using
 chroot() and or specific commands. Setting up a chroot shell, a shell limited
 to some specific command or a daemon inside a chroot jail is a lot easier and
 can be automated using these utilities.
 .
 Jailkit is a specialized tool that is developed with a focus on security. It
 will abort in a secure way if the configuration, the system setup or the
 environment is not 100% secure, and it will send useful log messages that
 explain what is wrong to syslog.
 .
 Jailkit is known to be used in network security appliances from several
 leading IT security firms, Internet servers from several large enterprise
 organizations, Internet servers from Internet service providers, as well as
 many smaller companies and private users that need to secure login in services
 or in daemon processes.
 .
 Currently, Jailkit provide jails for cvs, git, scp sftp, ssh, rsync, procmail,
 openvpn, vnc, etc.
 .
 Jailkit make available the following commands: jk_check, jk_chrootlaunch,
 jk_chrootsh, jk_cp, jk_init, jk_jailuser, jk_list, jk_lsh, jk_socketd,
 jk_uchroot, jk_update.

Package: jaligner
Description-md5: 9e3d916c2471463211c65debaedbcd1f
Description-en: Smith-Waterman algorithm with Gotoh's improvement
 JAligner is an open source Java implementation of the Smith-Waterman
 algorithm with Gotoh's improvement for biological local pairwise sequence
 alignment with the affine gap penalty model.

Package: jalv
Description-md5: 227812df9dfdde4ce0efb91615276b76
Description-en: tool to run LV2 plugins as stand-alone applications
 jalv is a stand-alone version of lv2jack that supports plugin UIs
 via libsuil. It allows one to run an LV2 plugin as a JACK application.
 .
 This package contains a replacement for the lv2jack utility, which was
 previously provided by the lilv-utils package.

Package: jam
Description-md5: 5a03130b3858cafbf6e0b7cbd0ebe7ed
Description-en: Software-build tool, replacement for make
 Perforce's Jam (formerly called Jam/MR) is a powerful and highly
 customizable utility to build programs and other things, that can run
 on Un*x, Nt, VMS, OS/2 and Macintosh MPW, using portable Jamfiles.
 It can build large projects spread across many directories in one
 pass, and can run jobs in parallel where make would not.
 .
 It takes some time to fully grasp, especially when one's already
 accustomed to make(1), but there's no comparison in power when
 comparing these two tools.
 .
 Standard rules:
  - can automatically extract header dependencies for C/C++ (you can
 customize for you own language)
  - provide for automatic "clean", "install", "uninstall" rules,
 so that an automake-like tool is not needed

Package: jameica
Description-md5: 09e6cff40ff1868541dc2603a199586a
Description-en: Run-time system for Java applications
 runtime environment for Java applications, which are implemented as plugins.
 .
 Jameica takes care of recurring tasks, such as:
  * consistent Look & Feel
  * strict user- and programdata separation
  * standardized access to configuration files
  * common navigation- and menuelements
  * synchronous and asynchronous data exchange between plugins
  * supports client-server model via RMI, XML-RPC or SOAP
  * simplified usage of encryption methods (integrated wallet system)
  * headless support for servers
  * logging

Package: jameica-doc
Description-md5: 2129515b594739caf10a2c441fcb3a21
Description-en: Run-time system for Java applications - documentation package
 runtime environment for Java applications, which are implemented as plugins.
 .
 This package contains the API documentation of the jameica package.

Package: jami
Description-md5: a7ec1e2e3809290bf1316cb9c07822a5
Description-en: Secure and distributed voice, video and chat platform - desktop client
 Jami (jami.net) is a secure and distributed voice, video and chat communication
 platform that requires no centralized server and leaves the power of privacy
 in the hands of the user.
 .
 This package contains the desktop client: gnome-ring.

Package: jami-daemon
Description-md5: 8b01ab36ab4eff1db8a2e0e36936eecf
Description-en: Secure and distributed voice, video and chat platform - daemon
 Jami (jami.net) is a secure and distributed voice, video and chat communication
 platform that requires no centralized server and leaves the power of privacy
 in the hands of the user.
 .
 This package contains the Jami daemon: dring.

Package: jamin
Description-md5: 5727392f193fd50d0a3dd90709c95f45
Description-en: Audio mastering from a mixed down multitrack source with JACK
 JAM is a tool for producing audio masters from a mixed down multitrack source.
 It runs in the JACK Audio Connection Kit, and uses LADSPA for its backend DSP
 work, specifically the swh plugins created by Steve Harris, JAM's main author.
 .
 Features:
  * Linear filters
  * JACK I/O
  * 30 band graphic EQ
  * 1023 band hand drawn EQ with parametric controls
  * Spectrum analyser
  * 3 band peak compressor
  * Lookahead brickwall limiter
  * Multiband stereo processing
  * Presets and scenes
  * Loudness maximiser

Package: jamnntpd
Description-md5: 13d4bc67931c235f4f15309e43b9c653
Description-en: NNTP Server allowing newsreaders to access a JAM messagebase
 JamNNTPd is an attempt to merge Fidonet technology with modern Usenet
 newsreaders. Basically, JamNNTPd is NNTP server that allows newsreaders
 to access a JAM messagebase. (If you didn't understand a word of this, you
 may not want to use JamNNTPd anyway).

Package: janino
Description-md5: 8766c795bc6ef399f9a49d03eaf105ae
Description-en: runtime compiler for Java expressions - binary
 Janino is a compiler that reads a Java expression, block, class body,
 source file or a set of source files, and generates Java bytecode that is
 loaded and executed directly. Janino is not intended to be a development tool,
 but an embedded compiler for run-time compilation purposes, e.g. expression
 evaluators or embedded code as with JSP.
 .
 This package contains the janinoc tool.

Package: janus
Description-md5: ff2ffd906817aa03fdd55383bac0493e
Description-en: general purpose WebRTC gateway
 Janus is a general purpose WebRTC Gateway with a minimal footprint.
 .
 As such, it provides no functionality per se other than implementing
 the means to set up a WebRTC media communication with a browser,
 exchanging JSON messages with it, and relaying RTP/RTCP and messages
 between browsers and the server-side application logic they are
 attached to. Any specific feature/application are implemented in server
 side plugins, that browsers contact via the gateway to take advantage
 of the functionality they provide.
 .
 Example uses for Janus are applications involving echo tests,
 conference bridges, media recorders, and SIP gateways.

Package: janus-demos
Description-md5: fca00f8b1d2337062a309664090b980e
Description-en: Open Source, general purpose, WebRTC gateway - demos
 Janus is a general purpose WebRTC Gateway with a minimal footprint.
 .
 This package contains a set of demo html applications using Janus.
 .
 The demos require online referenced Javascript code.
 .
 Packages gstreamer1.0-plugins-good gstreamer1.0-x are needed for
 included example streaming script.

Package: janus-dev
Description-md5: 1a611adad9d500ecea863e6d90c354ef
Description-en: general purpose WebRTC gateway - development files
 Janus is a general purpose WebRTC Gateway with a minimal footprint.
 .
 This package contains headers for plugin development.

Package: janus-doc
Description-md5: bf47cc6445ed7c8d189961eb3e4be632
Description-en: Open Source, general purpose, WebRTC gateway - documentation
 Janus is a general purpose WebRTC Gateway with a minimal footprint.
 .
 This package contains the html documentation for Janus.

Package: janus-tools
Description-md5: bdcf64f1b7185851f120691b15fa1289
Description-en: Open Source, general purpose, WebRTC gateway - helper tools
 Janus is a general purpose WebRTC Gateway with a minimal footprint.
 .
 This package contains the helper tool janus-pp-rec to post-process
 Janus recordings.

Package: japa
Description-md5: b15018e113c2acb99b002ddc667f96ca
Description-en: JACK and ALSA Perceptual Analyser
 Japa, is a 'perceptual' or 'psychoacoustic' audio spectrum analyser. Possible
 uses include spectrum monitoring while mixing or mastering, evaluation of
 ambient noise, and (using pink noise) equalisation of PA systems.
 .
 It supports up to four audio inputs of which two can graphically be compared.
 Additionally a pink noise and white noise generator is running after starting
 japa.

Package: japi-compliance-checker
Description-md5: 6ed62986ae390d7dd6863bb212239d25
Description-en: tool to compare compatibility of Java library API
 Java API Compliance Checker (Java ACC) is a tool for checking backward binary
 and source-level compatibility of a Java library API. The tool checks classes
 declarations of old and new versions and analyzes changes that may break
 compatibility: removed methods, removed class fields, added abstract methods,
 etc. Binary incompatibility may result in crashing or incorrect behavior of
 existing clients built with an old version of a library when they are running
 with a new one. Source incompatibility may result in recompilation errors with
 a new library version. The tool is intended for library developers and
 operating system maintainers who are interested in ensuring backward
 compatibility, i.e. allow old clients to run or to be recompiled with newer
 library versions.

Package: japitools
Description-md5: 9c238aad1e5f27d5c5ac84feee383191
Description-en: Java API compatibility testing tools
 It consists of two simple tools designed to test for compatibility between
 Java APIs. They were originally designed for testing free implementations
 of Java itself for compatibility with Sun's JDK, but they can also be used
 for testing backward compatibility between versions of any API.
 .
 The tools are japize and japicompat. Japize is a Java program which emits
 a listing of an API in a machine-readable format. Japicompat then takes two
 such listings and compares them for binary compatibility, as defined by Sun
 in the Java Language Specification.

Package: jardiff
Description-md5: 9266504b8978108c8e33757b89ae3b55
Description-en: tool to visualise API differences between two JAR files
 Jardiff is a tool to help visualise API differences between two different
 versions of a project.
 .
 Jardiff takes two jar files and outputs all the public API changes as
 xml, html or plain text.
 .
 It can be used from command line or via an Apache Ant task.
 .
 It requires Java >= 1.4 to run.

Package: jargon
Description-md5: b6779870ef57bea6a8a68fb4c5d9253f
Description-en: the definitive compendium of hacker slang
 This is the Jargon File, a comprehensive compendium of hacker slang
 illuminating many aspects of hackish tradition, folklore, and humor.
 The file is in info format, but includes an HTML page with links
 to the home site.
 .
 Note that this package is now out-of-date, because the upstream author
 is no longer maintaining an info version of the file.

Package: jargon-text
Description-md5: 81345d6a771bdc345635e5aa055b7690
Description-en: definitive compendium of hacker slang
 This is the Jargon File, a comprehensive compendium of hacker slang
 illuminating many aspects of hackish tradition, folklore, and humor.
 This version is in the original text format.

Package: jargoninformatique
Description-md5: 6a640505bfa562a62ee18e9e6b18b9e4
Description-en: French dictionary of computer vocabulary
 Front End for the French computing dictionary Jargon Informatique.
 .
 This computing dictionary contains more than 10 000 words!
 Its user-friendly interface lets you easily find the words
 you want.
 .
 Homepage: http://jargon.tuxfamily.org

Package: jargoninformatique-data
Description-md5: be73a696484e470bc3d3ddc293fb5466
Description-en: Data files for jargoninformatique
 This package contains the dictionary for Jargon Informatique, and
 its GUI graphic elements.
 .
 Homepage: http://jargon.tuxfamily.org

Package: jarwrapper
Description-md5: 3ec7df94fe3bf54bc2eb2bb6ff245986
Description-en: Run executable Java .jar files
 Jarwrapper sets up binfmt-misc to run executable jar files
 using the installed java runtime.
 .
 It also includes a /usr/share/jarwrapper/java-arch.sh script
 to convert Debian architecture names into java names to locate
 libjvm.so

Package: jasmin-sable
Description-md5: 3be7869782632547391d6b0bc3eafd35
Description-en: Java class (.class) file assembler
 Jasmin is a Java ASseMbler INterface. It takes ASCII descriptions of Java
 classes, written in the Java Virtual Machine instruction set in an
 assembler-like syntax.
 .
 Jasmin converts these input files into binary Java class files (.class)
 suitable for executing on an Java Virtual Machine.

Package: jattach
Description-md5: 0509317183036d84da603ec1754d70cd
Description-en: JVM Dynamic Attach utility all in one jmap jstack jcmd jinfo
 jattach is a utility implementing commands for the JVM Dynamic Attach
 mechanism. Instead of installing a complete JDK you can use this small
 utility to query information from your running JVM.

Package: java-policy
Description-md5: 085fb0f267bb116af2fdf951e3e19418
Description-en: Debian Java Policy
 This package contains:
  * The Java policy document which describes the layout of Java support in
    Debian and how Java packages should behave.
  * The Debian-Java-FAQ which provides information on the status of
    Java support in Debian, available compilers, virtual machines, Java
    programs and libraries as well as on legal issues.

Package: java-propose-classpath
Description-md5: 309a04a51c19035f07af976a1ca3370d
Description-en: Helper script to suggest a classpath for jar files
 java-propose-classpath analyzes a set of jar files and the installed
 jars on the system to suggest a correct classpath for use with
 jh_classpath/jh_manifest
 .
 This package should not be in any build-depends since java-propose-classpath
 cannot be run automatically.

Package: java-wrappers
Description-md5: e86d708e5e3f275f38cfa60fd19637c6
Description-en: wrappers for java executables
 Wrapper script facilities for java executables.
 .
 This package can be used by packagers of java programs to
 provide java runtime detection, jar lookup and a consistent
 user interface (debugging, environment variables).

Package: java2html
Description-md5: 10691822e2739e5157313b4b7257fded
Description-en: Highlight Java and C++ sources for WWW presentation
 java2html can highlight your source for presentation in the WWW.
 It can also be used as a CGI script and can detect whether
 the client browser supports compressed data to save bandwidth.

Package: java3ds-fileloader
Description-md5: f4cce8364334a038cc32d594a923bd34
Description-en: Java3D 3DS File Loader
 File loaders perform the job of taking a file or stream and turning
 that into a Java 3D scene graph that you can use in your application.
 It's a multi-platform, highly functional 3DS file loader. It works on
 platforms supporting JDK1.4 and Java 3D. Main supported features are:
  * Hierarchical Animation
  * Cameras
  * Point Lights
  * Directional Lights
  * Textures
  * Smooth Groups
 3DS file format is generated by 3D-Studio by Autodesk Ltd.

Package: javacc
Description-md5: 61505d89a764133cbd782b370c93fe98
Description-en: Parser generator for use with Java
 Java Compiler-Compiler (JavaCC) is (according to sun)
 "the most popular parser generator" for use with Java [tm]
 applications.
 .
 A parser generator is a tool that reads a grammar specification
 and converts it to a Java program that can recognize matches to
 the grammar. In addition to the parser generator itself, JavaCC
 provides other standard capabilities related to parser
 generation such as tree building (via a tool called JJTree
 included with JavaCC), actions, debugging, etc.

Package: javacc-doc
Description-md5: 63bd08852a4922c925edd7d1c73ffbbc
Description-en: Documentation for the JavaCC Parser Generator
 Documentation and examples for JavaCC parser generator.
 .
 Java Compiler-Compiler (JavaCC) is (according to sun)
 "the most popular parser generator" for use with Java [tm]
 applications.
 .
 A parser generator is a tool that reads a grammar specification
 and converts it to a Java program that can recognize matches to
 the grammar. In addition to the parser generator itself, JavaCC
 provides other standard capabilities related to parser
 generation such as tree building (via a tool called JJTree
 included with JavaCC), actions, debugging, etc.

Package: javacc4
Description-md5: 61505d89a764133cbd782b370c93fe98
Description-en: Parser generator for use with Java
 Java Compiler-Compiler (JavaCC) is (according to sun)
 "the most popular parser generator" for use with Java [tm]
 applications.
 .
 A parser generator is a tool that reads a grammar specification
 and converts it to a Java program that can recognize matches to
 the grammar. In addition to the parser generator itself, JavaCC
 provides other standard capabilities related to parser
 generation such as tree building (via a tool called JJTree
 included with JavaCC), actions, debugging, etc.

Package: javacc4-doc
Description-md5: 63bd08852a4922c925edd7d1c73ffbbc
Description-en: Documentation for the JavaCC Parser Generator
 Documentation and examples for JavaCC parser generator.
 .
 Java Compiler-Compiler (JavaCC) is (according to sun)
 "the most popular parser generator" for use with Java [tm]
 applications.
 .
 A parser generator is a tool that reads a grammar specification
 and converts it to a Java program that can recognize matches to
 the grammar. In addition to the parser generator itself, JavaCC
 provides other standard capabilities related to parser
 generation such as tree building (via a tool called JJTree
 included with JavaCC), actions, debugging, etc.

Package: javahelp2
Description-md5: 10a23138899d3c2d8c1d9840ad4aa236
Description-en: Java based help system
 The JavaHelp system is an online help system that developers can use to add
 online help to their Java platform applications. The JavaHelp system provides
 developers and authors with a standard, fully featured, easy to use system for
 presenting online information to Java application users. The JavaHelp system
 consists of a fully featured, extensible specification and API, and
 a reference implementation of that specification and API that is written
 entirely in the Java programming language. The JavaHelp system reference
 implementation, based on the Java Foundation Classes (JFC, also known
 as Swing), provides a standard interface that enables both application
 developers and authors to add online help to their applications.

Package: javahelp2-doc
Description-md5: fed43edd73cbc3518a2039585e223879
Description-en: Java based help system - contains Javadoc API documentation
 The JavaHelp system is an online help system that developers can use to add
 online help to their Java platform applications. The JavaHelp system provides
 developers and authors with a standard, fully featured, easy to use system for
 presenting online information to Java application users. The JavaHelp system
 consists of a fully featured, extensible specification and API, and
 a reference implementation of that specification and API that is written
 entirely in the Java programming language. The JavaHelp system reference
 implementation, based on the Java Foundation Classes (JFC, also known
 as Swing), provides a standard interface that enables both application
 developers and authors to add online help to their applications.
 .
 This package contains Javadoc API documentation.

Package: javahelper
Description-md5: d45b9daf1c52a4839fc72a1c8d80017d
Description-en: Helper scripts for packaging Java programs
 Javahelper contains several scripts which help in packaging
 Java programs.
 .
 jh_depends searches jars to find which packages they depend on,
 similar to dh_shlibdeps. It will also add depends on jarwrapper
 for packages with executable jars.
 .
 jh_classpath will register the classpath dependencies for each
 jar so that they can be automatically loaded when that jar is
 used by other programs.
 .
 jh_manifest updates the manifest in jar files according to
 a provided spec so that the resultant jar files will work with
 jarwrapper-enabled packages.
 .
 jh_exec looks for symlinks to jars which should be executable
 and makes them executable
 .
 jh_build builds java files to jars for you.
 .
 jh_installlibs installs library jars into the correct locations
 .
 jh_makepkg generates a template for a Debian Java package for you
 .
 jh_installeclipse installs features/plugins built by pde-build.
 .
 jh_setupenvironment prepares an out of source built for eclipse builds.
 .
 jh_generateorbitdir creates and populates an orbit dir for eclipse
 builds.
 .
 jh_compilefeatures compiles eclipse features based on feature ids.
 .
 /usr/share/javahelper/java-vars.mk provides a selection of useful
 variables such as various JVM paths and the JVM name for the architecture
 .
 /usr/share/javahelper/java-arch.sh converts Debian architecture
 names into java names to locate libjvm.so in non-make build systems
 .
 javahelper provides CDBS and dh macros so that it can be used with those
 package build systems.
 .
 Note that some of the eclipse helpers depends on packages not pulled
 by default (like with devscripts). You can find these scripts in
 Suggests.

Package: javamorph
Description-md5: 7d31acdf90793dc13f4c85be922c11f4
Description-en: Java morphing film-make program for pixel picture-input
 Give two human-face pictures as inputs into the program. Then mark
 important locations whithin both faces by pairs of corresponding points.
 The program will create a short enumerated picture series in which the left
 face moves (morphs) into the right one. You can then create a MPEG (see
 mencoder) from the picture series. An animated GIF (see GIMP) is also
 possible.

Package: jaxb
Description-md5: 2c7429e13d7be2d82c8254b77f747baf
Description-en: JAXB Reference Implementation (Command Line Tools)
 GlassFish Java Architecture for XML Binding (JAXB) Reference
 Implementation.
 .
 Java Architecture for XML Binding (JAXB) provides a fast and convenient
 way to bind XML schemas and Java representations, making it easy for
 Java developers to incorporate XML data and processing functions in Java
 applications. As part of this process, JAXB provides methods for
 unmarshalling (reading) XML instance documents into Java content trees,
 and then marshalling (writing) Java content trees back into XML instance
 documents. JAXB also provides a way to generate XML schema from Java
 objects.
 .
 This package contains the xjc and schemagen command line tools.

Package: jaxe
Description-md5: 0057381fc7ed766937803877ac69326b
Description-en: JAva Xml Editor
 Jaxe is an XML editor adaptable to XML languages. It uses an XML schema and a
 configuration file for the graphical user interface.

Package: jaxws
Description-md5: e0a17fd403cc956991e83603e1f9d8e6
Description-en: JAX-WS Reference Implementation (Command Line Tools)
 The Java API for XML-Based Web Services (JAX-WS) provides the API
 implementation for creating web services, particularly SOAP services.
 .
 The JAX-WS Reference Implementation (JSR-224) provides the actual
 implementation behind the javax.xml.ws.* packages which were integrated
 to the JRE since Java 6 and were eventually removed in Java 11.
 .
 This package contains the wsgen and wsimport command line tools.

Package: jayatana
Description-md5: 6f17f164dd36ae26dd0454c312d148de
Description-en: Java Native Library for ayatana project
 This library integrates Java swing applications to Ubuntu, like application
 menu support.

Package: jazip
Description-md5: 248f84be1fc8048f3d0320d45bb78a12
Description-en: Mount and unmount Iomega Zip and/or Jaz drives
 It combines Grant Guenther's original command line utility, ziptool, with
 Jaz drive support, a nice X interface and additional utilities to allow
 users to easily mount and unmount disks formatted in either ext2 or fat.
 .
 It supports the Iomega Zip drive with USB, parallel, SCSI or ATAPI
 interfaces, but ATAPI Zip drives are supported only when using kernel SCSI
 emulation.  I don't know about the Zip-plus version (someone please tell
 me).  The SCSI Jaz drive is supported in both the 1G and 2G capacities. It
 does not support the much older IDE (non-ATAPI) interface drives, nor
 Syquest drives.
 .
 The package also includes jazipconfig, a configuration tool.

Package: jbibtex-base
Description-md5: 6c6a61b50529b4e40a627054df0adaa0
Description-en: make a bibliography for ASCII p(La)TeX / NTT j(La)TeX
 This is JBibTeX, a Japanized BibTeX based on the original BibTeX 0.99c.
 JBibTeX can handle Kanji characters (EUC-JP).
 .
 This package contains library files for JBibTeX.  You need to install
 jbibtex-bin to use JBibTeX.
 .
 This is a part of ASCII pTeX distribution,  but you can use this
 program not only with ASCII p(La)TeX but also with NTT j(La)TeX.

Package: jbig2dec
Description-md5: 3f407d6a3769e336b9fafc4952334207
Description-en: JBIG2 decoder library - tools
 jbig2dec is a decoder library and example utility implementing the JBIG2
 bi-level image compression spec. Also known as ITU T.88 and ISO IEC
 14492, and included by reference in Adobe's PDF version 1.4 and later.
 .
 This package contains the command-line utility jbig2dec.

Package: jbigkit-bin
Description-md5: c24110cd197abef8c60744cecc6c49ed
Description-en: JBIGkit binaries
 JBIG-KIT provides a portable library of compression and decompression functions
 with a documented interface that you can include very easily into your image or
 document processing software.
 .
 This package contains the binaries from JBIG-KIT.

Package: jblas
Description-md5: 5eedbfbaf0318107a1698b2f21613046
Description-en: fast linear algebra library for Java
 jblas is a fast linear algebra library for Java. jblas is essentially
 a light-weight wrapper around BLAS and LAPACK routines, the
 de-facto industry standard for matrix computations. It uses
 state-of-the-art implementations like ATLAS for all its computational
 routines, making it very fast.
 .
 This package contains the binaries and examples.

Package: jblas-doc
Description-md5: 6a21c63b0dfb12f9dc0968fd1b129ec0
Description-en: fast linear algebra library for Java --documentation
 jblas is a fast linear algebra library for Java. jblas is essentially
 a light-weight wrapper around BLAS and LAPACK routines, the
 de-facto industry standard for matrix computations. It uses
 state-of-the-art implementations like ATLAS for all its computational
 routines, making it very fast.
 .
 This package contains the documentation.

Package: jcadencii
Description-md5: 9929924b9cdc812c15f6fe1fa1ea787c
Description-en: Piano roll editor for singing synthesis
 Cadencii is a multi-track piano roll editor for MacOS and Windows. It is
 designed for editing lyrics for singing and supports VOCALOID, VOCALOID2,
 UTAU, WORLD, AquesTone engines for synthesis.

Package: jcal
Description-md5: 5536005664059e0b01daef3d01d40f79
Description-en: UNIX-cal-like tool to display Jalali calendar
 JCal is a UNIX-cal-like tool to display Jalali (Persian) calendar.

Package: jcat
Description-md5: 68b4b5333d69aee5fe7a9944747dcf81
Description-en: JSON catalog library tool
 The libjcat library assembles checksum and metadata into a JSON based catalog.
 .
 This tool is used to interact with the library to analyze and produce JCAT
 files.

Package: jcc
Description-md5: 0e9ecaea415e9c5da985ef855e55dbdb
Description-en: code generator producing a Python extension from Java classes
 JCC is a code generator for producing a Python extension providing
 access to a set of Java classes. For every Java class, JCC generates
 a C++ wrapper class that hides the gory details necessary for
 accessing methods and fields from C++ via Java's Native Invocation
 Interface.  JCC can also generate C++ wrappers that make it possible
 to access these classes from Python.  When generating Python
 wrappers, JCC produces a complete Python extension via the distutils
 package that makes it readily available to the Python interpreter.
 JCC is a project maintained by the Open Source Applications
 Foundation.

Package: jclassinfo
Description-md5: 953ff6c148b87e74addabc76f2b0ef1c
Description-en: extracts information from Java class files
 jclassinfo reads Java class files and extract useful information
 from them, such as:
   * the classes/methods/constants/fields provided
   * their dependencies
   * the version of the virtual machine necessary to run them
   * a full disassembly of the bytecode
   * other attributes
 .
 Its main advantage over other similar programs is that it is written
 in C, which makes it much faster and more suitable for scripting.

Package: jclic
Description-md5: 996d378885b06305e751db0071de2f78
Description-en: Tool for the development & use of multimedia educational activities
 JClic is formed by a set of computer applications that are used for
 carrying out different types of educational activities: puzzles,
 associations, text exercises, crosswords...
 .
 The activities are not usually used alone, but packed in projects. A
 project is formed by a set of activities and one or more sequences,
 which indicate the order in which they have to be shown.
 .
 Its community (http://clic.xtec.net/db/listact_en.jsp) has developed a web
 site with more than 1.000 activities available in several languages.
 .
 This package contains JClic-author to create and modify activity
 projects, JClic standalone player to play the activities and
 JClic-reports that allows the management of a database to track
 students work and results.

Package: jconvolver
Description-md5: c56e81da840feda3c4d7bb7a4a38aa86
Description-en: Convolution reverb Engine for JACK
 Jconvolver is a Convolution Engine for JACK using FFT-based
 partitioned convolution with multiple partition sizes.
 It can execute up to a 64 by 64 convolution matrix
 (i.e. 4096 simultaneous convolutions) as long as
 your CPU(s) can handle the load.

Package: jconvolver-config-files
Description-md5: 25ad3f2d3151c06e8b562ce283222bd9
Description-en: Demo config files for jconvolver
 Package include example files for easy start using jconvolver.
 These files will be installed in /usr/share/jconvolver/config-files dir.
 More info in /usr/share/doc/jconvolver/README.CONFIG.
 .
 You can also download additional reverb file jconvolver-reverbs.tar.bz2
 from http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html

Package: jdelay
Description-md5: afc172e7c270726db6b617dd00888b74
Description-en: Sound card latency measurement tool
 Jdelay is a simple JACK application that you can use to measure the
 latency of your sound card. It uses a phase measurements on a set of
 tones to measure the delay from the output to the input. Accuracy is
 about 1/1000 of a sample.

Package: jdim
Description-md5: e8acc73d930875db33767a62011b3d45
Description-en: simple browser for "2ch-style" web forum sites
 JDim (JD improved) offers a comfortable browsing experience on 2ch-style
 bulletin board systems. Its features include:
 .
  - automated login,
  - message viewing/posting,
  - image viewing,
  - mouse gestures,
  - ignore lists,
  - "play-by-play" mode.
 .
 It can also significantly reduce the load on servers by bypassing CGI programs
 and directly retrieving thread data files to your local hard drives.
 .
 "2ch-style" web forum sites have their origins in 2channel, which was a very
 popular Internet forum in Japan. Such sites are often available only in the
 Japanese language.

Package: jdns
Description-md5: 28480d5567814ed10146af9c39853586
Description-en: command-line tool to test functionality
 Qt4-based command-line tool called ‘jdns’ that can be used to test
 functionality.

Package: jdns-dbg
Description-md5: e4468d1fed889b146c775c03a47e35f3
Description-en: command-line tool to test functionality - debugging symbols
 Qt4-based command-line tool called ‘jdns’ that can be used to test
 functionality.
 .
 This package contains the debugging symbols for debugging crashes in the
 qjdns Qt4 wrapper.

Package: jdresolve
Description-md5: ed4a94bf6e63388b4c888cdc088d573c
Description-en: fast alternative to apache logresolve
 The jdresolve application resolves IP addresses into hostnames. To
 reduce the time necessary to resolve large batches of addresses,
 jdresolve opens many concurrent connections to the DNS servers, and
 keeps a large number of text lines in memory. These lines can have
 any content, as long as the IP addresses are the first field to the
 left. This is usually the case with most formats of HTTP and FTP log
 files.

Package: jdupes
Description-md5: c39c2d10a5bfd8aedad8944d3ef07d4f
Description-en: identify and delete or link duplicate files
 jdupes is a program based in fdupes. The main goal of jdupes is identify
 and taking actions upon duplicate files. In comparison with fdupes, jdupes
 is heavily modified from and improved.
 .
 The biggest reason to use jdupes is raw speed. In testing on various data
 sets, jdupes is over 7 times faster than fdupes-1.51 on average.
 .
 Code in jdupes is written with data loss avoidance as the highest priority.
 If a choice must be made between being aggressive or careful, the careful
 way is always chosen.
 .
 jdupes includes features that are not found in fdupes. Examples of such
 features include btrfs block-level deduplication and control over which
 file is kept when a match set is automatically deleted. jdupes is not
 afraid of dropping features of low value; a prime example is the -1 switch
 which outputs all matches in a set on one line, a feature which was found
 to be useless in real-world tests and therefore thrown out.
 .
 jdupes can convert duplicate files in hardlinks or relative softlinks. It
 is useful in several scenarios, as in Debian packaging, to create relative
 symlinks to lots of duplicate files (it will substitute rdfind + symlinks
 commands, used to same purpose, when solving lintian duplicate-files).
 .
 Packages build for Linux versions have support to btrfs filesystem.

Package: jed
Description-md5: 0817fb02c803282d7aef2e219c951fa9
Description-en: editor for programmers (textmode version)
 Jed is a small, fast and powerful text editor, yet starts faster than
 bash.
 .
 Completely customizable with prepared emulation modes for Emacs, CUA
 (similar to KDE/Gnome/OpenOffice), Borland-IDE, Brief, and EDT. Extensible
 in the S-Lang scripting language (with a syntax resembling C).
 .
 Editing functions: folding support, rectangular cut/paste, regular
 expression search/replace, incremental searches, search/replace across
 multiple files, multiple windows, multiple buffers, ...
 .
 Tools: directory editor (dired), info (browse GNU info files), mail,
 rmail, ispell, shell mode, ...
 .
 Special modes (syntax highlight, indention, compile, ...) for
 Basic, C, C++, DCL, FORTRAN, IDL, Java, nroff, Pascal, Perl, PHP,
 PostScript, Python, sh.  Modes for markup languages include HTML and
 (La)TeX (with AUC-TeX style editing and BibTeX)
 .
 Additional tools and modes can be found in the jed-extra package.

Package: jed-common
Description-md5: a1c3de10e831e9720a056b7fae6b9255
Description-en: S-Lang runtime files for jed and xjed
 Jed is a small, fast and powerful text editor.
 .
 This package provides the S-Lang runtime files that are needed by both
 jed and xjed.

Package: jed-extra
Description-md5: 4de156eb4e5e0e1b1e9f45f1d186fece
Description-en: collection of useful Jed modes and utilities
 The Jed text editor can be easily extended using the S-Lang scripting
 language.
 .
 This package contains add-on packages for the Jed editor, mainly from the
 Jedmodes repository at SourceForge (http://jedmodes.sourceforge.net/)
 .
 Enhancements include:
  * new or enhanced modes for:
       Jed help, file management, GNU info, man pages, calculator, calendar,
       dict dictionary lookup (including thesaurus), spellchecking,
       "Game of Life" and snake, version control (CVS/SVN interface)
  * additional language modes:
       CSS, email, gnuplot, make, man, mupad, po_mode, reStructuredText,
       ruby, SQL, yodl
  * new or enhanced editor emulation modes for:
       vi, CUA, Brief
  * extensible URI-scheme handler
       http:, ftp:, man:, floppy:, locate:, grep:
  * buffer history and fast switching between (auto-)numbered buffers
  * S-Lang utility functions and extended set of Key_* variables for xjed
  * framework for installation of local or private extensions.

Package: jedit
Description-md5: eb137161fe733c50e24507a41acb14ce
Description-en: Plugin-based editor for programmers
 As one of the most feature rich editors available, jEdit boasts support for
 syntax highlighting in more than 140 languages. jEdit combines the power of
 Emacs, the user-friendliness of Kate, and the advanced editing features
 (such as vertical paste) of Ultraedit, to bring you an open-source
 plugin-based programmer's editor of professional quality.
 .
 It is possible to define complex macros in BeanShell or Jython, or other
 languages that fit into the BSF. jEdit offers a powerful and user-friendly
 keyboard mapping system (including 2-keystroke shortcuts), making it
 possible to give jEdit a very Emacs-like feel, if you so desire.
 .
 Its functionality is easily extended by the use of 'plugins' which can be
 downloaded, updated, and installed, all without exiting the editor. These
 include a built-in Console shell integration, which lets you execute
 interactive external commands inside your editor, as well as bind them to
 keyboard shortcuts. The FTP plugin lets you browse and edit files on remote
 systems over FTP or SFTP. Other plugins provide shells, object oriented
 structure/code browsers, or completion popups for Java, XML, HTML, Ant,
 LaTeX, Python, Ruby, Perl, C, C++, bash, Scheme, Prolog, and many other
 languages.

Package: jeepney-doc
Description-md5: 9d10f57f5fcfc59215ac14906ed18201
Description-en: pure Python D-Bus interface — documentation
 Jeepney is a low-level, pure Python D-Bus protocol client. It has an
 I/O-free core, and integration modules for different event loops.
 .
 DBus is an inter-process communication system, mainly used in Linux.
 .
 This package contains the HTML documentation for Jeepney.

Package: jeepyb
Description-md5: c05a3ff94d58274db908e75cc8c3c28e
Description-en: tools for managing gerrit projects and external sources
 jeepyb is a collection of tools which make managing a gerrit easier.
 .
 Specifically, management of gerrit projects and their associated upstream
 integration with things like github, launchpad, and storyboard.

Package: jeex
Description-md5: 0c73edfcfb45cef61f497dd5d434dc63
Description-en: visual editor to view and edit files in hexadecimal
 Jeex is a simple hexadecimal editor which allows user to create, open
 and edit files in hexadecimal, binary, octal and ASCII. The features include
 insert, delete, copy-and-paste, search and many others.
 .
 It also shows several information about the opened file, like file mode bits,
 ownership, last access and modification timestamps.

Package: jekyll
Description-md5: a169388e2e5235eafb9bc5da24aa26f3
Description-en: Simple, blog aware, static site generator
 Jekyll is a simple, blog aware, static site generator. It takes a
 template directory (representing the raw form of a website), runs it
 through Textile or Markdown and Liquid converters, and spits out a
 complete, static website suitable for serving with Apache or your
 favorite web server.
 .
 This is also the engine behind GitHub Pages(http://pages.github.com),
 which you can use to host your project's page or blog right here from
 GitHub.

Package: jel-java
Description-md5: 30b467f1419ff93354619f8b88105aab
Description-en: Library for evaluating algebraic expressions in Java
 The JEL library enables users to enter algebraic expressions into their
 program. Since JEL converts expressions directly into Java bytecode,
 it significantly speeds up their evaluation time. If the user's Java
 virtual machine has a JIT compiler, expressions are transparently
 compiled into native machine code.
 .
 JEL may be a very useful tool for a variety of applications in science
 involving user-defined functions, e.g. to create plots, to apply fits
 to a data set and to solve integrals or differential equations.
 Another relevant use case for JEL is given by algebraic operations
 between two or more columns of a database table.

Package: jel-java-doc
Description-md5: 5c57c9ac46b6724bc4b93554c6ec99f8
Description-en: Java Expressions Library (documentation)
 The JEL library enables users to enter algebraic expressions into their
 program. Since JEL converts expressions directly into Java bytecode,
 it significantly speeds up their evaluation time. If the user's Java
 virtual machine has a JIT compiler, expressions are transparently
 compiled into native machine code.
 .
 JEL may be a very useful tool for a variety of applications in science
 involving user-defined functions, e.g. to create plots, to apply fits
 to a data set and to solve integrals or differential equations.
 Another relevant use case for JEL is given by algebraic operations
 between two or more columns of a database table.
 .
 This package contains the JavaDoc documentation of the package.

Package: jellyfish
Description-md5: 33c2d211a341ae9429c6f8ced355efd0
Description-en: count k-mers in DNA sequences
 JELLYFISH is a tool for fast, memory-efficient counting of k-mers in
 DNA. A k-mer is a substring of length k, and counting the occurrences
 of all such substrings is a central step in many analyses of DNA
 sequence. JELLYFISH can count k-mers using an order of magnitude less
 memory and an order of magnitude faster than other k-mer counting
 packages by using an efficient encoding of a hash table and by
 exploiting the "compare-and-swap" CPU instruction to increase
 parallelism.
 .
 JELLYFISH is a command-line program that reads FASTA and multi-FASTA
 files containing DNA sequences. It outputs its k-mer counts in an
 binary format, which can be translated into a human-readable text
 format using the "jellyfish dump" command.

Package: jellyfish-examples
Description-md5: 70cd6238484ba024b031a85b283b1b27
Description-en: count k-mers in DNA sequences (examples for testing)
 JELLYFISH is a tool for fast, memory-efficient counting of k-mers in
 DNA. A k-mer is a substring of length k, and counting the occurrences
 of all such substrings is a central step in many analyses of DNA
 sequence. JELLYFISH can count k-mers using an order of magnitude less
 memory and an order of magnitude faster than other k-mer counting
 packages by using an efficient encoding of a hash table and by
 exploiting the "compare-and-swap" CPU instruction to increase
 parallelism.
 .
 JELLYFISH is a command-line program that reads FASTA and multi-FASTA
 files containing DNA sequences. It outputs its k-mer counts in an
 binary format, which can be translated into a human-readable text
 format using the "jellyfish dump" command.
 .
 This package contains examples to test the package

Package: jellyfish1
Description-md5: e6c6a2a63227c526113a1ef4decae328
Description-en: count k-mers in DNA sequences
 JELLYFISH is a tool for fast, memory-efficient counting of k-mers in
 DNA. A k-mer is a substring of length k, and counting the occurrences
 of all such substrings is a central step in many analyses of DNA
 sequence. JELLYFISH can count k-mers using an order of magnitude less
 memory and an order of magnitude faster than other k-mer counting
 packages by using an efficient encoding of a hash table and by
 exploiting the "compare-and-swap" CPU instruction to increase
 parallelism.
 .
 JELLYFISH is a command-line program that reads FASTA and multi-FASTA
 files containing DNA sequences. It outputs its k-mer counts in an
 binary format, which can be translated into a human-readable text
 format using the "jellyfish dump" command.
 .
 This is the latest version of the 1.x series of jellyfish which is
 used by some other applications that are not compatible with version
 2.x which is provided inside the jellyfish package.

Package: jemboss
Description-md5: 4a8fbae4884c8d88f110332b42c9fc21
Description-en: graphical user interface to EMBOSS
 EMBOSS is a free Open Source software analysis package specially developed for
 the needs of the molecular biology (e.g. EMBnet) user community. The software
 automatically copes with data in a variety of formats and even allows
 transparent retrieval of sequence data from the web. Also, as extensive
 libraries are provided with the package, it is a platform to allow other
 scientists to develop and release software in true open source spirit. EMBOSS
 also integrates a range of currently available packages and tools for sequence
 analysis into a seamless whole. EMBOSS breaks the historical trend towards
 commercial software packages.
 .
 Jemboss is a Graphical User Interface (GUI) to EMBOSS, the European Molecular
 Biology Open Software Suite. It is part of the EMBOSS distribution.

Package: jenkins-debian-glue
Description-md5: 7b51a8e326a1db99808ce80d3c2b6fb0
Description-en: Jenkins Debian glue scripts
 This package provides scripts which make building Debian
 packages inside Jenkins (a Continuous Integration suite) easier.
 .
 It's meant to make Q/A builds of Debian packages inside Jenkins
 as manageable and homogeneous as possible.
 .
 You need to install the according packaging tools, depending on
 your VCS type and the features you want to use. To get all tools
 you can just install the jenkins-debian-glue-buildenv package.

Package: jenkins-debian-glue-buildenv
Description-md5: 52268246a2cf4404e99f0227a4db77d1
Description-en: Jenkins Debian glue scripts - dependency package
 This package depends on all Debian packaging/repository
 management and VCS tools which may be used with
 jenkins-debian-glue for easier installation.
 .
 If disk space is a concern consider installing only the subset
 of packages you actually need.

Package: jenkins-job-builder
Description-md5: bae2e5b5ad5a8f0d738d04258bc63631
Description-en: Configure Jenkins using YAML files - metapackage
 Jenkins Job Builder takes simple descriptions of Jenkins jobs in YAML format
 and uses them to configure Jenkins. You can keep your job descriptions in
 human readable text format in a version control system to make changes and
 auditing easier. It also has a flexible template system, so creating many
 similarly configured jobs is easy.
 .
 Metapackage to install the Python 2 or 3 version of jenkins-job-builder.

Package: jenkins-job-builder-doc
Description-md5: 4c3b080fcba3d0cd837f32435a4d679e
Description-en: Configure Jenkins using YAML files - doc
 Jenkins Job Builder takes simple descriptions of Jenkins jobs in YAML format
 and uses them to configure Jenkins. You can keep your job descriptions in
 human readable text format in a version control system to make changes and
 auditing easier. It also has a flexible template system, so creating many
 similarly configured jobs is easy.
 .
 This package contains the documentation.

Package: jerry
Description-md5: 745594426f882c2719e2e95d55682cae
Description-en: Chess GUI
 A simple and efficient chess GUI (graphical user interface) offering
 the following features:
  * based around world's best chess program Stockfish (as of Jan, 2014)
  * play against the computer
  * adjust strength levels to match your skill
  * enter, edit and analyse games
  * automatic game analysis
  * read and save games in standard pgn format
  * copy and paste FEN positions and pgn games from clipboard
  * cross-platform: native look on Linux, OS X, and Windows
  * easy and intuitive GUI

Package: jesred
Description-md5: 15666c8066371f389604a45fca49c072
Description-en: Redirector for the Squid proxy
 Jesred is a very fast and highly configurable redirector for the Squid
 HTTP caching proxy. Jesred needs little memory, can rewrite all
 HTTP request methods and offers extensive logging.

Package: jester
Description-md5: 5735fdcacc6086eb1786df33c8573259
Description-en: board game similar to Othello
 Simple two-player turn-based strategy game played on an 8x8 grid.
 Convert the opponent's pieces to your color by bracketing them between
 your pieces.  The game can be played head-to-head on a single screen or
 by a single player against the computer. Jester allows you to waste
 valuable time that could otherwise be spent playing Solitaire.

Package: jetring
Description-md5: 886717023c10824650676ccdfd695586
Description-en: gpg keyring maintenance using changesets
 jetring is a collection of tools that allow for gpg keyrings to be maintained
 using changesets. It was developed with the Debian keyring in mind, and aims
 to solve the problem that a gpg keyring is a binary blob that's hard for
 multiple people to collaboratively edit.
 .
 With jetring, changesets can be submitted, reviewed to see exactly what they
 will do, applied, and used to build a keyring. The origin of every change
 made to the keyring is available for auditing, and gpg signatures can be used
 to further secure things.

Package: jets3t
Description-md5: 6f1d81bcf0e7886731644fe771052e6e
Description-en: graphical and command-line tools for Amazon S3 and CloudFront
 The JetS3t toolkit provides several applications for interacting and managing
 data stored in Amazon Simple Storage Service and Amazon CloudFront content
 delivery network. Features:
  - jets3t-cockpitlite: A graphical application that Service Providers with S3
    accounts may provide to clients or customers without S3 accounts.
    jets3t-cockpitlite allows users to manage the content of an S3 account to
    upload files, download files, delete S3 objects and make objects publicly
    accessible. All these operations are mediated by a Gatekeeper service.
  - jets3t-cockpit: graphical application for transferring files, viewing and
    managing the contents of an Amazon S3 account.
  - jets3t-synchronize: A command-line application for synchronizing
    directories on your computer with an Amazon S3 account. Ideal for
    performing back-ups or synchronizing files between different computers.
  - jets3t-uploader: A graphical application that Service Providers with S3
    accounts may provide to clients or customers without S3 accounts.
    jets3t-uploader allows users to upload files to S3 using a simple
    wizard-based workflow, but all uploads must first be authorized by a
    Gatekeeper service
  - gatekeeper: A servlet that acts as an authorization service running on a
    Service Provider's server to mediate access to S3 accounts.
    It processes requests from client applications such as JetS3t Uploader &
    CockpitLite, and authorizes the client application to perform operations
    such as uploads, downloads and deletes.

Package: jetty9
Description-md5: 6145995b8766cbb4600d50bf0658018e
Description-en: Java servlet engine and webserver
 Jetty is an Open Source HTTP Servlet Server written in 100% Java.
 It is designed to be light weight, high performance, embeddable,
 extensible and flexible, thus making it an ideal platform for serving
 dynamic HTTP requests from any Java application.

Package: jeuclid-cli
Description-md5: ffb4b721bb10551591dd9b5230edf951
Description-en: Complete MathML rendering solution (command line tools)
 JEuclid is a complete MathML rendering solution, consisting of:
  * A MathViewer application
  * Command line converters from MathML to other formats
  * An ant task for automated conversion
  * Display components for AWT and Swing
  * A component for Apache Cocoon
 .
 This package contains the command line tools.

Package: jeuclid-mathviewer
Description-md5: 6f8a8f4a5a7da43b679ac0aed93c054a
Description-en: Complete MathML rendering solution (Swing MathViewer)
 JEuclid is a complete MathML rendering solution, consisting of:
  * A MathViewer application
  * Command line converters from MathML to other formats
  * An ant task for automated conversion
  * Display components for AWT and Swing
  * A component for Apache Cocoon
 .
 This package contains the Swing MathViewer application.

Package: jflex
Description-md5: 8cfe78ca20814658bf3751fbef74366b
Description-en: lexical analyzer generator for Java
 It is also a rewrite of the very useful tool JLex which was developed
 by Elliot Berk at Princeton University. As Vern Paxon states for
 his C/C++ tool flex : They do not share any code though.
 .
 JFlex is designed to work together with the LALR parser generator CUP
 by Scott Hudson, and the Java modification of Berkeley Yacc BYacc/J
 by Bob Jamison. It can also be used together with other parser generators
 like ANTLR or as a standalone tool.

Package: jfractionlab
Description-md5: e21765595efa9973f7637357fe936964
Description-en: Educative program to practice fractions
 JFractionLab is a nice tool for math students that are learning the use
 and operation of fractions.
 .
 It provides a collection of nice exercices with a numeric and visual
 representation of the fractions.
 .
 JFractionLab shows the user every step of the calculation and therefore
 helps him to understand them.
 .
 It gives the user an answer after each input, making impossible to have
 a false answer.

Package: jftp
Description-md5: 3046c53d919f2fee80a417b9f41234f9
Description-en: Java GUI client for FTP, SMB, SFTP and NFS
 JFtp is a graphical Java network and file transfer client. It
 supports FTP using its own FTP API and various other protocols like
 SMB, SFTP, NFS, HTTP, and file I/O using third party APIs. It
 includes many advanced features such as recursive directory
 up/download, browsing FTP servers while transferring files, FTP
 resuming and queueing, browsing the LAN for Windows shares, and
 more. Multiple connections can open at a time in a Mozilla-style
 tabbed browsing environment.

Package: jgit-cli
Description-md5: 8b9a05b024b1a6d5742f69b11a0a2dfe
Description-en: Java implementation of GIT version control (command line interface)
 JGit is a lightweight, pure Java library implementing the Git version control
 system.
 .
 This package provides command line interface for user interaction with jgit.

Package: jglobus-doc
Description-md5: 207a812c3560408a77ef1044591984b5
Description-en: Javadocs for jglobus
 This package contains the API documentation for jglobus

Package: jgraph
Description-md5: 878a8b1bfab4656e60e8fc53fd5e6ee5
Description-en: Jim Plank's program for producing PostScript graphs
 Jgraph takes a description of a graph or graphs and produces a
 PostScript file on the standard output.
 .
 Jgraph is ideal for plotting any mixture of scatter point graphs,
 line graphs, and/or bar graphs, and embedding the output into LaTeX,
 or any other text processing system which can read PostScript.
 .
 The graph description language is simple enough to get nice looking
 graphs with a minimum of effort, yet powerful enough to give the user
 the flexibility to tailor the appearance of the graph to his or her
 individual preferences.  This includes plotting multiple graphs and
 laying them out separately on the page (or pages).

Package: jhbuild
Description-md5: e4e31f1f1fe280284accd73771c533e6
Description-en: flexible build script for package collections
 Jhbuild is a program that can be used to pull a number of modules from
 Git, CVS, Subversion, Bazaar and other types of repositories or from
 tarballs and build them in the correct order.  Unlike some build
 scripts, jhbuild lets you specify what modules you want built and it
 will then go and build those modules plus dependencies.
 .
 Although jhbuild was originally developed to build GNOME, it has
 since been extended to work with other projects as well.
 Extending it to handle new modules is usually trivial assuming the
 build infrastructure matches the other modules it handles.

Package: jhead
Description-md5: a9af64e20d34dfdad7586faac789117a
Description-en: manipulate the non-image part of Exif compliant JPEG files
 jhead is a command line driven utility for extracting digital camera settings
 from the Exif format files used by many digital cameras. It handles the
 various confusing ways these can be expressed, and displays them as F-stop,
 shutter speed, etc. It is also able to reduce the size of digital camera JPEGs
 without loss of information, by deleting integral thumbnails that digital
 cameras put into the Exif header.

Package: jid
Description-md5: 14218a9e6d00923a1263676204262a55
Description-en: json incremental digger
 jid a very simple tool. You can drill down JSON interactively by using
 filtering queries like jq. Suggestion and Auto completion of this tool will
 provide you a very comfortable JSON drill down.

Package: jigdo-file
Description-md5: f1223c2c0434bb3c06833c8a00bda7db
Description-en: Download Debian CD/DVD/USB images from any Debian mirror
 Using the jigdo-lite script contained in this package, you can use
 your nearest "regular" Debian mirror to download Debian images,
 instead of having to use one of the few, slow, overloaded mirrors
 that offer the images as direct HTTP or FTP downloads. See
 <http://www.debian.org/CD/jigdo-cd/> for details.
 .
 Jigsaw Download (for short jigdo) is a scheme developed primarily to
 make it easy to distribute huge filesystem images (e.g. CD (ISO9660)
 or DVD (UDF) images) over the internet, but it could also be used for
 other data which is awkward to handle due to its size, like
 audio/video files or large software packages.
 .
 jigdo tries to ensure that the large file is downloaded in small
 parts which can be stored on different servers. People who want to
 download the image do so by telling the jigdo download tool to
 process one ".jigdo" file; using it, jigdo downloads the parts and
 reassembles the image. jigdo-file is used to prepare the files for
 download.

Package: jigit
Description-md5: 0f2f289ae5ea0542967c04dc70f9a3f7
Description-en: tools for working with jigdo files
 Utilities written to make jigdo files easier to work with.
 .
  jigit-mkimage: program to create images from jigdo files
  jigdump:       list the contents of a template file
  jigsum:        Output MD5 sums in the base64-style jigdo way
  jigsum-sha256: Output SHA256 sums in the base64-style jigdo way
  mkjigsnap:     helper script to be run on the upstream server
  parallel-sums: program to generate multiple checksums in parallel

Package: jigl
Description-md5: 4ec4e48c4f7676a05c6dbb9e62668b7f
Description-en: Generates a static html photo gallery from one or more directories of images
 Perl script that generates a static html photo gallery from one or
 more directories of gif/jpg/png images. It supports themes and is very
 customizable. It includes the ability to display comments and EXIF
 info for each image in a simple clean layout.

Package: jigsaw-generator
Description-md5: 2d299297b193f69d0ba0b950bbd80585
Description-en: Generate jigsaw and card sort activities for classroom use
 This software is designed to create Tarsia Formulator type
 mathematical jigsaws using LaTeX.  The puzzle data is stored in a YAML
 file, and the software turns it into a printable jigsaw.  This
 software makes it reasonably straightforward to create multiple
 jigsaw-style puzzles.

Package: jigzo
Description-md5: c057f12dcc6dc1228328e6c18b252cd7
Description-en: Photo puzzle game for children
 jigzo (formerly glpuzzle) is a jigsaw puzzle game. Choose from 12 puzzles of
 increasing difficult. The difficulty ranges from 4 to 25 puzzle pieces. This
 game requires a OpenGl hardware acceleration.

Package: jigzo-data
Description-md5: 7628d1a59d124a1a30e8b1276e201abd
Description-en: data of Photo puzzle game for children
 jigzo (formerly glpuzzle) is a jigsaw puzzle game. Choose from 12 puzzles of
 increasing difficult. The difficulty ranges from 4 to 25 puzzle pieces. This
 game requires a OpenGl hardware acceleration.
 .
 This package includes the data of the game: images, sound, icon, font.

Package: jikespg
Description-md5: d92b59c8dbff51d9a38cd2ca9718d627
Description-en: Jikes Parser Generator
 Jikes Parser Generator is a parser generator that accepts as input an
 annotated  description for a language grammar and produces text files
 suitable for inclusion in a parser for that language. It is similar in
 function and use to the widely-available parser generators Yacc and Bison.
 .
 It also provides support for automatic diagnosis and recovery from syntactic
 errors. It is the parser generator used by the Jikes Compiler. Jikes Parser
 Generator can generate parsers for LALR(k) grammars and produce output
 suitable for use with parsers written in Java, C, or C++.

Package: jimsh
Description-md5: 6b5a1520e0b66f6d1f9c036b86aab837
Description-en: small-footprint implementation of Tcl named Jim
 Jim is an opensource small-footprint implementation of the Tcl programming
 language. It implements a large subset of Tcl and adds new features like
 references with garbage collection, closures, built-in Object Oriented
 Programming system, Functional Programming commands, first-class arrays and
 UTF-8 support. All this with a binary size of about 100-200kB (depending upon
 selected options).
 .
 This package provides the Jim interactive shell.

Package: jing
Description-md5: 49d64a643e56523d023f37cd86e2fc8f
Description-en: RELAX NG validator
 This provides a validator for
  - RELAX NG 1.0 Specification,
  - RELAX NG Compact Syntax, and
  - parts of RELAX NG DTD Compatibility, specifically checking of
 ID/IDREF/IDREFS.

Package: jing-trang-doc
Description-md5: 37a106f460011a62965b46ec5bd17c4f
Description-en: Jing Trang and dtdinst documentation
 This package provides documentation for libjing-java, libtrang-java, and
 libdtdinst-java

Package: jison
Description-md5: ca80e9733f7a4135a6a0601fdc5b7594
Description-en: parser generator with Bison's API
 Jison generates bottom-up parsers in JavaScript. Its API is similar to
 Bison's, hence the name. It supports many of Bison's major features, plus some
 of its own.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: jitterdebugger
Description-md5: e998a2d03656ad1b25a7071be7e142e6
Description-en: Real time response measurement tool
 jitterdebugger measures wake up latencies and able to store all samples for
 post-processing.
 .
 It starts a thread on each CPU which programs a timer and measures the time it
 takes from the timer expiring until the thread which set the timer runs again.
 .
 This tool is a reimplementation of cyclictest. It doesn't have all the command
 line options as cyclictest which results are easy to get wrong
 and therefore an invalid latency report.

Package: jitterentropy-rngd
Description-md5: ad8d1d389c8a41af520e9b1e0831ce3e
Description-en: Jitter RNG Daemon
 Using the Jitter RNG core, the rngd provides an entropy source that
 feeds into the Linux /dev/random device if its entropy runs low. It
 updates the /dev/random entropy estimator such that the newly provided
 entropy unblocks /dev/random.
 .
 The seeding of /dev/random also ensures that /dev/urandom benefits from
 entropy. Especially during boot time, when the entropy of Linux is low,
 the Jitter RNGd provides a source of sufficient entropy.

Package: jkmeter
Description-md5: bbd53fc08bf1a76bc943d5ee55c22a11
Description-en: horizontal or vertical bargraph audio level meter for Jack Audio Connection Kit
 A jkmeter displays both the true RMS level and the
 digital peak level.
 But can display stereo correlation too.
 .
 Jkmeter is based on the ideas of mastering guru Bob Katz.
 See <https://www.digido.com/bob-katz/index.php> and
 follow the links on 'level practices'.
 This is the type of meter you want for live recording,
 mixing and mastering

Package: jlatex209-base
Description-md5: d77c4fb2ce3d7cf9d8aeb2120db37c9b
Description-en: basic NTT JLaTeX 2.09 macro files
 NTT JLaTeX 2.09 is a Japanized version of LaTeX 2.09.  It is obsolete
 and superseded by JLaTeX 2e which is included in jtex-bin package.

Package: jlex
Description-md5: 42f9e8c7f031dc6088bd557e08ba56b5
Description-en: Lex-style lexical analyser generator for Java
 The JLex utility is a lexical analyser generator, modelled after the
 popular UNIX "lex" utility.
 .
 JLex takes a specification file similar to that accepted by Lex,
 then creates a Java source file for the corresponding lexical analyzer.

Package: jlha-utils
Description-md5: b2e2ad193d4d5d3e6739ff6da7fe0828
Description-en: command-line lzh archiver written in Java
 lzh is an archiving format generated by lha utility. jlha-utils is a
 command-line program for compressing or decompressing lzh files. It has
 a compatible interface to the lha program.
 .
 Note: Some of the functionality is missing currently, please read
 README.Debian for detailed information.

Package: jmacro
Description-md5: 7e34edbfbc6125f2ea8293034ce5697e
Description-en: utility for jmacro JavaScript generation library
 jmacro is a QuasiQuotation library for programmatic generation of
 JavaScript code in Haskell.  This contains the 'jmacro' binary.

Package: jmagick6-docs
Description-md5: fc6321b529f5789a661cfcd30b71b433
Description-en: Java interface to ImageMagick (documentation)
 JMagick is an open source Java interface of ImageMagick.  It is
 implemented in the form of Java Native Interface (JNI) into the
 ImageMagick API.
 .
 JMagick does not attempt to make the ImageMagick API
 object-oriented. It is merely a thin interface layer into the
 ImageMagick API.
 .
 JMagick currently only implements a subset of ImageMagick APIs.
 Should you require unimplemented features in JMagick, please join the
 mailing list and make a request.
 .
 This package contains javadoc generated documentation.

Package: jmapviewer
Description-md5: 28643cfb064ca2508e878b62ff5cb89b
Description-en: Java OpenStreetMap Tile Viewer
 JMapViewer is a Java Swing component for integrating OSM maps in to your Java
 application. JMapViewer allows you to set markers on the map or zoom to a
 specific location on the map.
 .
 This package includes both the library and a demo application.

Package: jmdlx
Description-md5: 69fe0850ef0df0561c5afdf49aa9d416
Description-en: jugglemaster deluxe using wxWidgets
 JuggleMaster is a siteswap animator. A siteswap is a textual representation
 for patterns one can juggle (using balls, clubs, etc.). JuggleMaster is mainly
 useful for understanding specific siteswaps by animating them (including
 multiplexing). Other uses may include watching patterns without understanding
 the notation behind them.
 .
 This package contains the wxWidgets interface and features a lot of builtin
 patterns available via a menu.
 .
 Siteswap FAQ: http://www.juggling.org/help/siteswap/faq.html

Package: jmeter
Description-md5: a28a94459bd61f4922252964bb5fa181
Description-en: Load testing and performance measurement application (main application)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the main application.

Package: jmeter-apidoc
Description-md5: 1980c4b6b4e14c7bdff962b8526f8dd4
Description-en: Load testing and performance measurement application (API doc)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the API documentation.

Package: jmeter-ftp
Description-md5: 9ddcb877246ee044f2a66abc2bd910ae
Description-en: Load testing and performance measurement application (ftp module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the ftp testing module.

Package: jmeter-help
Description-md5: 13e3f02b85938a98c1d909170eebf078
Description-en: Load testing and performance measurement application (user manual)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the user manual.

Package: jmeter-http
Description-md5: c187834cc3320752f7fefd63d73d7936
Description-en: Load testing and performance measurement application (http module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the http testing module.

Package: jmeter-java
Description-md5: f0eb4759f4ac1f4243cb5cbfdc3bd651
Description-en: Load testing and performance measurement application (java module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the java testing module.

Package: jmeter-jms
Description-md5: 76fc9b0e376e2a87e5e18a045eed248b
Description-en: Load testing and performance measurement application (jms module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the jms testing module.

Package: jmeter-junit
Description-md5: 489be03ae700c03f1e1cb81c570af2c3
Description-en: Load testing and performance measurement application (junit module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the junit testing module.

Package: jmeter-ldap
Description-md5: 87604c74b9edd51e0093951bebceb92a
Description-en: Load testing and performance measurement application (ldap module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the ldap testing module.

Package: jmeter-mail
Description-md5: e964f92cdb6a2bd7ac43fe90036a717b
Description-en: Load testing and performance measurement application (mail module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the mail testing module.

Package: jmeter-mongodb
Description-md5: e399af3404ac60bef00a2d2833fa6173
Description-en: Load testing and performance measurement application (mongodb module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the mongodb testing module.

Package: jmeter-tcp
Description-md5: 7845b573339eb49b0b8c1eb473f9a556
Description-en: Load testing and performance measurement application (tcp module)
 Apache JMeter is a 100% pure Java desktop application designed to load test
 functional behavior and measure performance. It was originally designed for
 testing Web Applications but has since expanded to other test functions.
 .
 This package contains the tcp testing module.

Package: jmeters
Description-md5: cfbf5deb84073e8e9fb1139a34c918ac
Description-en: multichannel audio level meter
 A jmeters is multichannel audio level meter for Jack Audio Connection Kit. It
 uses the same pixmaps as meterbridge. The main difference to meterbridge is
 that jmeters has the correct ballistics for both the VU and the PPM.

Package: jmodeltest
Description-md5: f27877e220ca8710502bc000284112cb
Description-en: HPC selection of models of nucleotide substitution
 jModelTest is a tool to carry out statistical selection of best-fit
 models of nucleotide substitution. It implements five different model
 selection strategies: hierarchical and dynamical likelihood ratio tests
 (hLRT and dLRT), Akaike and Bayesian information criteria (AIC and BIC),
 and a decision theory method (DT). It also provides estimates of model
 selection uncertainty, parameter importances and model-averaged
 parameter estimates, including model-averaged tree topologies.
 jModelTest 2 includes High Performance Computing (HPC) capabilities and
 additional features like new strategies for tree optimization, model-
 averaged phylogenetic trees (both topology and branch length), heuristic
 filtering and automatic logging of user activity.

Package: jmol
Description-md5: 91f50ca30034bf1702585335385fd0d4
Description-en: Molecular Viewer
 Jmol is a Java molecular viewer for three-dimensional chemical structures.
 Features include reading a variety of file types and output from quantum
 chemistry programs, and animation of multi-frame files and computed normal
 modes from quantum programs.  It includes with features for chemicals,
 crystals, materials and biomolecules.  Jmol might be useful for students,
 educators, and researchers in chemistry and biochemistry.
 .
 File formats read by Jmol include PDB, XYZ, CIF, CML, MDL Molfile, Gaussian,
 GAMESS, MOPAC, ABINIT, ACES-II, Dalton and VASP.

Package: jmol-applet
Description-md5: aa2d4f1dc9b545a75df9f89bf473936b
Description-en: Jmol Java applet
 Jmol is a Java molecular viewer for three-dimensional chemical structures.
 Features include reading a variety of file types and output from quantum
 chemistry programs, and animation of multi-frame files and computed normal
 modes from quantum programs.  It includes with features for chemicals,
 crystals, materials and biomolecules.  Jmol might be useful for students,
 educators, and researchers in chemistry and biochemistry.
 .
 File formats read by Jmol include PDB, XYZ, CIF, CML, MDL Molfile, Gaussian,
 GAMESS, MOPAC, ABINIT, ACES-II, Dalton and VASP.
 .
 This package contains the Jmol Java applet

Package: jmtpfs
Description-md5: 05e78b7506b9ac6b1d84a446189e832e
Description-en: FUSE based filesystem for accessing MTP devices
 jmtpfs is a FUSE and libmtp-based filesystem for accessing MTP (Media Transfer
 Protocol) devices. It was specifically designed for exchanging files between
 Linux (and Mac OS X) systems and newer Android devices that support MTP but
 not USB Mass Storage.
 .
 The goal is to create a well-behaved filesystem, allowing tools like find and
 rsync to work as expected. To enable certain Android apps to detect and use
 the transferred files, MTP file types are set automatically based on file
 contents using libmagic.

Package: jnettop
Description-md5: 8129e2e4a7fc0738c2e956cc8c72b5b9
Description-en: View hosts/ports taking up the most network traffic
 jNettop captures traffic coming across the host it is running on and displays
 streams sorted by bandwidth they use. Result is a nice listing of
 communication on network by host and port, how many bytes went
 through this transport and the bandwidth it is consuming.

Package: jnoise
Description-md5: 6695ebe814cc195ce310c387cc316832
Description-en: white and pink noise generator
 Jnoise is a small command line JACK app
 generating both white and pink noise.
 Both noise sources produce have a Gaussion
 amplitude distribution, and output a signal
 at -20dB RMS ref. a full scale sine wave.

Package: jnoisemeter
Description-md5: 1c9273b876e533c99c2402a51a4a5ddb
Description-en: audio test signals meter
 A jnoisemeter is a small app designed to measure audio
 test signals and in particular noise signals.
 .
 The simplest use is to measure the S/N ratio of your
 sound card. If you can calibrate the input levels of
 your soundcard it can also be used (with some external
 hardware) to measure noise levels of any type of audio
 equipment, including preamps and microphones.

Package: jo
Description-md5: f32137628380d2dd3a3a619e3e89b80f
Description-en: command-line processor to output JSON from a shell
 jo creates a JSON string on stdout from words given it as arguments
 or read from stdin. It can generate both arrays and objects. Here is
 a short example:
 .
 $ jo -p name=jo n=17 parser@0
 {
    "name": "jo",
    "n": 17,
    "parser": false
 }

Package: jodconverter
Description-md5: ca6e8e30ba95fd570eb3d43d5bb9cb68
Description-en: Office formats converter
 JODConverter, the Java OpenDocument Converter, leverages OpenOffice.org to
 provide import/export filters for various office formats including OpenDocument
 and Microsoft Office.
 .
 This package provides a command-line frontend.

Package: jodreports-cli
Description-md5: ee1d647edb74a51dc77424470ac66abb
Description-en: Merge OpenDocument text with data - command line tool
 JODReports, Java OpenDocument Reports, is a solution for creating office
 documents and reports in OpenDocument Text format from templates that can
 be visually composed using the OpenOffice.org Writer word processor.
 .
 This package provides a command line tool to execute the jar file directly

Package: joe
Description-md5: 4d6bbc0d4cf8b71ec0b3dfa1ffb8ca46
Description-en: user friendly full screen text editor
 Joe, the Joe's Own Editor, has the feel of most PC text editors: the key
 sequences are reminiscent of WordStar and Turbo C editors, but the feature
 set is much larger than of those.  Joe has all of the features a Unix
 user should expect: full use of termcap/terminfo, complete VI-style Unix
 integration, a powerful configuration file, and regular expression search
 system.  It also has six help reference cards which are always available,
 and an intuitive, simple, and well thought-out user interface.
 .
 Joe has a great screen update optimization algorithm, multiple windows
 (through/between which you can scroll) and lacks the confusing notion of
 named buffers.  It has command history, TAB expansion in file selection
 menus, undo and redo functions, (un)indenting and paragraph formatting,
 filtering highlighted blocks through any external Unix command, editing
 a pipe into or out of a command, and block move, copy, delete or filter.
 .
 Through simple QEdit-style configuration files, Joe can be set up to
 emulate editors such as Pico and Emacs, along with a complete imitation
 of WordStar, and a restricted mode version (lets you edit only the files
 specified on the command line).  Joe also has a deferred screen update to
 handle typeahead, and it ensures that deferral is not bypassed by tty
 buffering.  It's usable even at 2400 baud, and it will work on any
 kind of sane terminal.

Package: joe-jupp
Description-md5: b62872f8c6c8254008ae59e81097ba56
Description-en: reimplement the joe Debian package using jupp
 This package contains symbolic links to replace the Debian joe package
 using the jupp package and implementation (both binaries and manpages).
 It also contains the appropriate conffiles and, in contrast to Debian's
 joe flavour, supports SELinux context copying (on Debian systems with
 the Linux kernel), and has many bugs fixed and makes some of jupps new
 features available to the joe, jstar, jmacs, jpico and rjoe flavours.

Package: joint-state-publisher
Description-md5: 964bb3bf1da5d143f3c1632ac7bd0c8a
Description-en: ROS joint_state_publisher
 This package contains a tool for setting and publishing joint state values for
 a given URDF. It publishes sensor_msgs/JointState messages for a robot. The
 package reads the robot_description parameter, finds all of the non-fixed
 joints and publishes a JointState message with all those joints defined.
 .
 It can be used in conjunction with the robot_state_publisher node to
 also publish transforms for all joint states.

Package: joint-state-publisher-gui
Description-md5: d389ee2883e0336e86e7a2187bb43036
Description-en: ROS joint_state_publisher_gui
 This package contains a tool for setting and publishing joint state values for
 a given URDF. It publishes sensor_msgs/JointState messages for a robot. The
 package reads the robot_description parameter, finds all of the non-fixed
 joints and publishes a JointState message with all those joints defined.
 .
 It can be used in conjunction with the robot_state_publisher node to
 also publish transforms for all joint states.
 .
 This package contains the Qt GUI

Package: jool-dkms
Description-md5: eef52bd7459dce874b6cdbc1752cab75
Description-en: kernel-based SIIT and NAT64 (IP/ICMP translation)
 Jool is an IP/ICMP translator; a NAT-like kernel-based utility that converts
 IPv4 traffic into IPv6 and vice versa, according to a configurable IP address
 translation strategy.
 .
 The `jool_siit` module implements SIIT (sometimes known as "Stateless NAT64"),
 a lightweight translator that avoids storing state by keeping a deterministic
 1-to-1 relationship between IPv4 addresses and their IPv6 counterparts. It can
 translate addresses using the "traditional prefix" algorithm defined in RFC
 6052, and/or the Explicit Address Mapping algorithm defined in RFC 7757.
 .
 The `jool` module implements Stateful NAT64, a translator that can achieve 1
 (IPv4)-to-N (IPv6) relationships through a mapping strategy based on stateful
 NAT. Its full address translation algorithm is defined in RFC 6146.
 .
 Both of these functions can be attached to the kernel in Netfilter hooks or as
 iptables targets.
 .
 This package contains the kernel modules. The jool-tools package contains the
 userspace configuration clients.

Package: jool-tools
Description-md5: c29e1b4c22c27c86a7c1bb2e30cb4ff4
Description-en: userspace utilities for the Jool kernel modules
 Jool is an IP/ICMP translator; a NAT-like kernel-based utility that converts
 IPv4 traffic into IPv6 and vice versa, according to a configurable IP address
 translation strategy.
 .
 This package contains the userspace tools. The kernel modules can be found in
 the jool-dkms package.

Package: jose
Description-md5: 99205c32d7a2e7ddb1fc3bcfc1e39037
Description-en: C implementation of Javascript Object Signing and Encryption standards
 José is a C-language implementation of the Javascript Object Signing
 and Encryption standards. Specifically, José aims towards implementing
 the following standards:
 .
   RFC 7515 - JSON Web Signature (JWS)
   RFC 7516 - JSON Web Encryption (JWE)
   RFC 7517 - JSON Web Key (JWK)
   RFC 7518 - JSON Web Algorithms (JWA)
   RFC 7519 - JSON Web Token (JWT)
   RFC 7520 - Examples of ... JOSE
   RFC 7638 - JSON Web Key (JWK) Thumbprint

Package: josm
Description-md5: 7c2d5699cf47ae5a42ad756761e58a66
Description-en: Editor for OpenStreetMap
 JOSM is an editor for OpenStreetMap (OSM) written in Java.
 The current version supports stand alone GPX tracks, GPX track data
 from OSM database and existing nodes, line segments and metadata tags
 from the OSM database.
 .
 OpenStreetMap is a project aimed squarely at creating and providing
 free geographic data such as street maps to anyone who wants them.
 The project was started because most maps you think of as free actually
 have legal or technical restrictions on their use, holding back people
 from using them in creative, productive or unexpected ways.

Package: josm-l10n
Description-md5: 3b97360947cda4870fb838ff64d1064d
Description-en: Editor for OpenStreetMap - translation files
 JOSM is an editor for OpenStreetMap (OSM) written in Java.
 The current version supports stand alone GPX tracks, GPX track data
 from OSM database and existing nodes, line segments and metadata tags
 from the OSM database.
 .
 OpenStreetMap is a project aimed squarely at creating and providing
 free geographic data such as street maps to anyone who wants them.
 The project was started because most maps you think of as free actually
 have legal or technical restrictions on their use, holding back people
 from using them in creative, productive or unexpected ways.
 .
 This package contains the translation files for JOSM.

Package: jove
Description-md5: ed081bc1e782be068e1730b0f76d3fd4
Description-en: Jonathan's Own Version of Emacs - a compact, powerful editor
 Jove is a compact, powerful Emacs-style text-editor. It provides the common
 emacs keyboard bindings, together with a reasonable assortment of the most
 popular advanced features (e.g. interactive shell windows, compile-it,
 language specific modes) while weighing in with CPU, memory, and disk
 requirements comparable to vi(1).

Package: joy2key
Description-md5: 426b0177419fa0bd1f0b0db755ab93ab
Description-en: Translate joystick movements into equivalent keystrokes
 joy2key allows one to choose keyboard events for joystick axes and buttons,
 so that a joystick or gamepad can be used with an application that doesn't
 have native joystick support.

Package: joystick
Description-md5: f24d513c5fda4671698a17c18fa21457
Description-en: set of testing and calibration tools for joysticks
 Some useful tools for using joysticks:
  evdev-joystick(1) - joystick calibration tool
  ffcfstress(1)     - force-feedback stress test
  ffmvforce(1)      - force-feedback orientation test
  ffset(1)          - force-feedback configuration tool
  fftest(1)         - general force-feedback test
  jstest(1)         - joystick test
  jscal(1)          - joystick calibration tool
 .
 evtest and inputattach, which used to be part of this package, are now
 available separately.

Package: jp
Description-md5: 5b576a2f55e124fa43415ce5cb65d7df
Description-en: command-line interface to JMESPath
 The jp command is a command-line interface to JMESPath, an expression
 language for manipulating JSON (as XPath is to XML).

Package: jp2a
Description-md5: 1e769a3af1f725a4796a4e7ed451ea79
Description-en: converts jpg images to ascii
 Small utility that converts JPG images to ASCII (text) using
 libjpeg. jp2a is very flexible. It can use ANSI colors and
 html in output.
 .
 jp2a can also download and convert images from Internet via
 command line.

Package: jparse
Description-md5: cd187894cc8cdd6cafac7b4964183487
Description-en: JSON parser utility
 Jparse is a small utility based on jaula library that checks JSON formatted
 files, detects errors and sends a "compacted to a single line" form of the
 file contents on standard output.

Package: jpeginfo
Description-md5: 7ea8c1f7da371de8cc411a047eda9f1f
Description-en: Prints information and tests integrity of JPEG/JFIF files
 jpeginfo can be used to generate informative listings of jpeg
 files, and also to check jpeg files for errors. It can also
 detect broken jpeg and delete them automatically.

Package: jpegjudge
Description-md5: 831a7bfad2d20ee6a016b229989f93eb
Description-en: determine which of two given jpegs (same size) is the original
 Try to determine which same picture was saved at a higher quality,
 even if the picture was saved at low quality and later at a higher
 quality.
 .
 By a statistical analysis over the quantization table stored in a
 jpeg one could probably deduce the quality which the picture was
 saved (i.e. this particular save), but it in no way says anything
 about the picture quality itself (i.e. whether it has ever been saved
 at lower quality before since its creation). For example, one might have
 saved a jpeg picture at low quality, and later saved at a higher
 quality, yet the information lost during the first save can't be
 restored.

Package: jpegoptim
Description-md5: 700963fe7d553b18b87898b31acad40e
Description-en: utility to optimize jpeg files
 Jpegoptim can optimize/compress jpeg files. Program support
 lossless optimization, which is based on optimizing the Huffman
 tables. So called, "lossy" optimization (compression) is done
 by re-encoding the image using user specified image quality factor.

Package: jpegpixi
Description-md5: 87496cf9310f616d2ff56ef6548573ec
Description-en: Remove hot spots from JPEG images with minimal quality loss
 jpegpixi is short for "JPEG pixel interpolator". It is a command-line utility
 which interpolates pixels in JFIF images (commonly referred to as "JPEG
 images"). This is useful to correct images from a digital camera with CCD
 defects.
 .
 jpegpixi tries to preserve the quality of the JFIF image as much as possible.
 Most graphics programs decode JFIF images when they are loaded, and re-encode
 them when they are saved, which results in an overall loss of quality.
 jpegpixi, on the other hand, does not decode and re-encode the image, but
 manipulates the encoded image data. In doing so, it also preserves EXIF
 metadata.

Package: jpnevulator
Description-md5: 8515de14e743103faf78dd74f0a47fa2
Description-en: Serial sniffer
 jpnevulator is a handy serial sniffer. You can use it to send data on a
 serial device too. You can read or write from/to one or more serial
 devices at the same time.
 .
 In write mode data to be sent on the serial device(s) is read from a
 file or stdin in hexadecimal notation. Data is sent on the serial
 device(s) line by line.
 .
 In read mode data to be read from the serial device(s) is written to a
 file or stdout in hexadecimal notation. It's even possible to pass the
 data in between the serial device(s). Several options enhance the
 way the data is displayed.

Package: jq
Description-md5: fd8d7d97b13012ce68c52772c1ce56aa
Description-en: lightweight and flexible command-line JSON processor
 jq is like sed for JSON data – you can use it to slice
 and filter and map and transform structured data with
 the same ease that sed, awk, grep and friends let you
 play with text.
 .
 It is written in portable C, and it has minimal runtime
 dependencies.
 .
 jq can mangle the data format that you have into the
 one that you want with very little effort, and the
 program to do so is often shorter and simpler than
 you’d expect.

Package: jquery-alternative-doc
Description-md5: 1d208ec0cd69fb41f936c797f34c83ee
Description-en: Alternative jQuery Documentation
 JQAPI is inspired by the Rails API. The content is the same as in the
 official documentation and all credits belong to the jQuery team.

Package: jruby
Description-md5: b966216a2f0f9bd9e3cd74e7c9fe80af
Description-en: 100% pure-Java implementation of Ruby
 JRuby is an implementation of the ruby language using the JVM.
 .
 It aims to be a complete, correct and fast implementation of Ruby, at the
 same time as providing powerful new features such as concurrency without a
 global interpreter lock, true parallelism and tight integration to the Java
 language to allow one to use Java classes in Ruby programs and to allow
 JRuby to be embedded into a Java application.
 .
 JRuby can be used as a faster version of Ruby, it can be used to run Ruby
 on the JVM and access powerful JVM libraries such as highly tuned concurrency
 primitives, it can also be used to embed Ruby as a scripting language in your
 Java program, or many other possibilities.

Package: jruby-maven-plugins
Description-md5: 8fde8439b4ae7c069cec3ea774b187d4
Description-en: Maven plugins to handle Ruby gems in a Maven compatible way
 jruby-maven-plugins is a set of utilities and plugins to handle
 Ruby gems with Maven. This allows one to integrate JRuby and other
 Java libraries with rspec, rails, cucumber, rake and another
 standard Ruby development tools.

Package: jruby-openssl
Description-md5: 589d099505eeb05481d0f26ae429f704
Description-en: Ruby library that emulates the OpenSSL native library for JRuby
 OpenSSL ruby native library provides SSL, TLS and general purpose cryptography
 by wrapping the OpenSSL library.
 .
 JRuby-OpenSSL does the same for JRuby under Java platform. Under the hood
 is implemented with the Bouncy Castle Crypto APIs.

Package: js-of-ocaml
Description-md5: ddf90060f879f27f21c8bfc040ae0c08
Description-en: OCaml bytecode to JavaScript compiler (compiler)
 Js_of_ocaml is a compiler of OCaml bytecode to JavaScript. It makes
 it possible to run OCaml programs in a web browser. Its key features
 are the following:
  * the whole language, and most of the standard library are
    supported;
  * the generated code can be used with any web server and browser;
  * you can use a standard installation of OCaml to compile your
    programs. In particular, you do not have to recompile a library to
    use it with Js_of_ocaml. You just have to link your program with a
    specific library to interface with the browser APIs.
 .
 This package contains the compiler itself.

Package: js2-mode
Description-md5: 85ac5b1279e8befcb0132d29b1f6ef05
Description-en: Emacs mode for editing Javascript programs (dummy package)
 This JavaScript editing mode supports:
 .
  - the full JavaScript language through version 1.7
  - support for most Rhino and SpiderMonkey extensions from 1.5 to 1.7
  - accurate syntax highlighting using a recursive-descent parser
  - syntax-error and strict-mode warning reporting
  - "bouncing" line indentation to choose among alternate indentation points
  - smart line-wrapping within comments and strings
  - code folding:
    - show some or all function bodies as {...}
    - show some or all block comments as /*...*/
  - context-sensitive menu bar and popup menus
  - code browsing using the imenu' package
  - typing helpers (e.g. inserting matching braces/parens)
  - many customization options
 .
 This transitional package can be safely removed.

Package: js8call
Description-md5: 827d729cf2ce8a78e2b4a8c76f1bb9eb
Description-en: Amateur Radio Digital Mode providing weak signal messaging
 JS8Call is using the JS8 Digital Mode for providing weak signal keyboard to
 keyboard messaging to Amateur Radio Operators.
 .
 JS8Call is an experiment to test the feasibility of a digital mode with the
 robustness of FT8, combined with a messaging and network protocol layer for
 weak signal communication on HF, using a keyboard messaging style interface.
 It is not designed for any specific purpose other than connecting amateur
 radio operators who are operating under weak signal conditions. JS8Call is
 heavily inspired by WSJT-X, Fldigi, and FSQCall and would not exist without
 the hard work and dedication of the many developers in the amateur radio
 community.

Package: jsamp
Description-md5: 879fc1363e6ffb4b39d6fd78abd07cf8
Description-en: Java Simple Application Messaging Protocol tool for VO
 Command-line tool for the Simple Application Messaging Protocol (SAMP) for
 the Virtual Observatory (VO).  This allows direct access to low-level
 operations such as starting a local SAMP communications hub for other
 applications such as Aladin, Topcat and Splat-VO to communication with
 each other.
 .
 In addition to starting a SAMP Hub, the 'jsamp' tool allows for
 monitoring, snooping, testing, and stress running hub instances, or
 setting up a bridge proxy between two or SAMP hub instances.

Package: jsbeautifier
Description-md5: a1e126947a9fdcbb2a0838ad93e68f3c
Description-en: JavaScript unobfuscator and beautifier
 Beautify, unpack or deobfuscate JavaScript, leveraging popular online
 obfuscators.

Package: jsdoc-toolkit
Description-md5: ae4176730402fb956c93bd7980876741
Description-en: automatic generator for HTML documentation of Javascript sources
 JsDoc Toolkit is an application, written in JavaScript, for
 automatically generating template-formatted, multi-page HTML (or XML,
 JSON, or any other text-based) documentation from commented
 JavaScript source code.
 .
 Based on the JSDoc.pm project, this was renamed "Jsdoc Toolkit"
 during development as it grew into more than a simple version
 upgrade.

Package: jshon
Description-md5: 216be5fe181a5aa5c2734c55b9bfb5d0
Description-en: Command line tool to parse, read and create JSON
 Jshon is a command line utility to parse, read and create JSON. It is
 designed to be as usable as possible from within the shell and replaces
 fragile adhoc parsers made from grep/sed/awk as well as heavyweight
 one-line parsers made from Perl/Python. Jshon loads json text from stdin,
 performs actions, then displays the last action on stdout.
 .
 Json parsing features include:
  Return data types of values
  Report data type lengths
  Sort JSON data by keys
  In-place editing of JSON files
  Format or "beautify" JSON (as changing indentation, etc)
  Create JSON from an empty object
  Extraction of keys and values

Package: jsmath
Description-md5: 15206237527e1cacdf58443223d82744
Description-en: TeX equations in HTML documents
 Provides a method of including mathematics in HTML pages that works
 across multiple browsers under Windows, Macintosh OS X, Linux and
 other flavors of Unix. It overcomes a number of the shortcomings of
 the traditional method of using images to represent mathematics:
 jsMath uses native fonts, so they resize when you change the size of
 the text in your browser, they print at the full resolution of your
 printer, and you don't have to wait for dozens of images to be
 downloaded in order to see the mathematics in a web page.

Package: jsmath-fonts
Description-md5: 301406e5dc5cc4fb9211387e34b0bd5e
Description-en: raster fonts for jsMath
 Rasterized fonts saved in image files to enable viewing of jsMath
 pages by a web-browser which is missing access to TeX fonts.

Package: jsmath-fonts-sprite
Description-md5: fc235102f0bdfba89964337c5a8885a3
Description-en: raster fonts for jsMath plugin spriteImageFonts
 Rasterized fonts saved in separate image files -- 1 image per font to
 enable viewing of jsMath pages by a web-browser which is missing
 access to TeX fonts. Sprite organization of raster fonts alleviates the
 problem of jsmath-fonts, which is a tremendous number of images since
 each character has a separate image file. But such advantage comes at
 speed and compatibility cost.

Package: json-glib-tools
Description-md5: a3993eb653a1a654b72146670c3113ac
Description-en: GLib JSON manipulation library (tools)
 JSON-GLib is a library for parsing, generating and manipulating JavaScript
 Object Notation (JSON) data streams using the GLib type system. It allows
 manipulating JSON data types with a Document Object Model API. It also
 allows serializing and deserializing simple or complex GObjects to and
 from JSON data types.
 .
 This package contains the json-glib-format and json-glib-validate
 tools.

Package: jsonlint
Description-md5: 3b0c786112d0eaadbbe65a5d8fd819d6
Description-en: validating parser of JSON data structures
 JSON (JavaScript Object Notation) is a lightweight data-interchange
 format. It is easy for humans to read and write. It is easy for
 machines to parse and generate. It is based on a subset of the
 JavaScript Programming Language. JSON is a text format that is
 completely language independent but uses conventions that are familiar
 to programmers of the C-family of languages. These properties make JSON
 an ideal data-interchange language.
 .
 This package provides jsonlint-php, a validating parser of JSON files.
 Another more complete JSON syntax validator and formatter tool is
 provided by the python-demjson package.

Package: jsonnet
Description-md5: 10da7b9dfe0b5004b55b25ffa6a6cd4f
Description-en: data templating language
 A data templating language for app and tool developers
 .
  * Generate config data
  * Side-effect free
  * Organize, simplify, unify
  * Manage sprawling config
 .
 A simple extension of JSON
 .
  * Open source (Apache 2.0)
  * Familiar syntax
  * Reformatter, linter
  * Editor & IDE integrations
  * Formally specified
 .
 Eliminate duplication with object-orientation. Or, use functions.
 Integrate with existing / custom applications. Generate JSON, YAML,
 INI, and other formats.
 .
 This package ships the binary executable.

Package: jstest-gtk
Description-md5: 82e50f1c5656dce61e42effc775dcfd3
Description-en: joystick testing and configuration tool
 jstest-gtk is a simple graphical joystick tester. It provides a list
 of attached joysticks, and for each one can display which buttons and
 axes are pressed, remap axes and buttons, and calibrate the device.
 .
 Even when your joystick is working mostly fine, you might want to
 give it a try, as the calibration lets you get rid of overlarge
 default deadzones that many joysticks use and which are a noticeable
 problem in some games.
 .
 Installing the joystick package in addition to this one will allow
 you to store your calibration settings and mappings and have the
 automatically restored.

Package: jsunit
Description-md5: 5a7e55ca8aa06a9a05380e17a9f319d1
Description-en: JavaScript unit test framework for Thunderbird and Firefox
 JSUnit is a Mozilla addon targeted for addon authors to allow for unit
 testing of JavaScript components.  It provides a command line
 interface to run unit tests in installed applications like Firefox and
 Thunderbird.
 .
 Please note: JSUnit is a test tool; it is not recommended for
 production environments, as it modifies various configuration settings
 that slow down your application for daily use!

Package: jsurf-alggeo
Description-md5: 8b0adf2d777d43cc96597eb9bbf85c13
Description-en: Java based visualization library for real algebraic geometry
 jsurf is a Java library to visualize some real algebraic geometry.
 It is and can be used as the visualization component in Java programs.
 .
 Beside the library, this package also provides a simple script driven
 tool for drawing real algebraic geometric surfaces, as well as some
 script samples. (This simple tool is similar to, but not compatible with,
 the tool surf-alggeo distributed within the package surf-alggeo.)
 .
 jsurf is free software distributed under the Apache 2.0 License.

Package: jsvc
Description-md5: 198d12a0e3c99ced868d469d5734bf64
Description-en: Wrapper to launch Java applications as daemons
 This is the native application for launching Java applications
 implementing certain interfaces from the libcommons-daemon-java
 package as daemons.

Package: jsxcompressor
Description-md5: 64512177929366d6e140feb6b67403aa
Description-en: Pure Javascript implementation of deflate, unzip, base64_decode
 This package is intended to provide  a pure JavaScript implementation of
 DEFLATE, unzip and base64_decode for JSXGraph. This can be used for
 delivering compressed JavaScript inside of an HTML file.
 .
 JSXGraph is a cross-browser library to display interactive geometry in a
  web browser.

Package: jsxgraph
Description-md5: a693140ebc3b1d7e4c1bce7475b04007
Description-en: Interactive Geometry with JavaScript
 JSXGraph is a cross-browser library to display interactive geometry in a
 web browser. It is implemented in JavaScript and uses SVG and VML.
 At the moment the following browsers are supported: Mozilla Firefox,
 Opera, Safari, Google Chrome, Microsoft Internet Explorer.
 .
 There are still some issues with JSXGraph in the Internet Explorer, so
 using Firefox, Opera, Safari or Chrome is recommended.
 .
 JSXGraph is easy to embed and has a small footprint: only 50 kB if
 embedded in a web page. No plugins are required!

Package: jtb
Description-md5: 4542d0dce155b89deca084dd49bc98b9
Description-en: syntax tree builder and visitors generator for JavaCC
 JTB (Java Tree Builder) is a syntax tree builder and visitors generator to be
 used in front of JavaCC (Java Compiler Compiler).  It takes a JavaCC grammar
 file as input (usually a ".jtb" file) and automatically generates the
 following:
  * a set of syntax tree classes based on the productions in the grammar,
    utilizing the Visitor design pattern;
  * four interfaces: IVoidVisitor, IVoidArguVisitor, IRetVisitor,
    IRetArguVisitor;
  * four depth-first visitors: DepthFirstVoidVisitor, DepthFirstVoidArguVisitor,
    DepthFirstRetVisitor, DepthFirstREtArguVisitor, whose default methods simply
    visit the children of the current node;
  * a JavaCC grammar ".jj" file (jtb.out.jj by default), with the proper
    annotations to build the syntax tree during parsing (which then must be
    compiled with JavaCC).
 .
 New visitors, which subclass any generated one, can then override the default
 methods and perform various operations on and manipulate the generated syntax
 tree.

Package: jtex-base
Description-md5: f585cc35f3115ea4ad697445ac688d6a
Description-en: basic NTT JTeX library files
 NTT JTeX is a localized TeX implementation for Japanese text processing.

Package: jtreg
Description-md5: 611519f9a173fc2ad95de16a2d1a0c04
Description-en: Regression Test Harness for the OpenJDK platform
 jtreg is the test harness used by the OpenJDK test framework.
 This framework is intended primarily for regression tests.
 It can also be used for unit tests, functional tests, and even simple product
 tests -- in other words, just about any type of test except a conformance test,
 which belong in a TCK.

Package: jube
Description-md5: 3e8c1b03a18be94f674ca5ddeba1e29c
Description-en: JUBE Benchmarking Environment
 Automating benchmarks is important for reproducibility and
 hence comparability which is the major intent when
 performing benchmarks. Furthermore managing different
 combinations of parameters is error-prone and often
 results in significant amounts work especially if the
 parameter space gets large.
 .
 In order to alleviate these problems JUBE helps performing
 and analyzing benchmarks in a systematic way. It allows
 custom work flows to be able to adapt to new architectures.
 .
 For each benchmark application the benchmark data is written
 out in a certain format that enables JUBE to deduct the
 desired information. This data can be parsed by automatic
 pre- and post-processing scripts that draw information,
 and store it more densely for manual interpretation.
 .
 The JUBE benchmarking environment provides a script based
 framework to easily create benchmark sets, run those sets
 on different computer systems and evaluate the results. It
 is actively developed by the Juelich Supercomputing Centre
 of Forschungszentrum Juelich, Germany.

Package: juce-modules-source
Description-md5: 5263fc8a5992d409545505bed58c9001
Description-en: Jules' Utility Class Extensions (module sources)
 JUCE (Jules' Utility Class Extensions) is an all-encompassing C++ framework for
 developing cross-platform software.
 .
 It contains pretty much everything you're likely to need to create most
 applications, and is particularly well-suited for building highly-customised
 GUIs, and for handling graphics and sound.
 .
 This package provides the sources for the JUCE modules, as used by 'jucer'
 projects. (Projucer is provided in the 'juce-tools' package).

Package: juce-tools
Description-md5: dfdee637c73f97d146c7b5165c5dcba9
Description-en: JUCE's project management tools
 JUCE (Jules' Utility Class Extensions) is an all-encompassing C++ framework for
 developing cross-platform software.
 The Projucer (formerly Introjucer) is JUCE's project-management tool and secret
 weapon.
 .
 The Projucer's Duties:
  - Central management of cross-platform builds
  - JUCE Module Management
  - New Project Creation
  - User-Interface Design Tool
  - Plug-in Projects
  - Miscellaneous Utilities

Package: juffed
Description-md5: 055aac3d39b5b1a5a91bc2f4011c525f
Description-en: Lightweight yet powerful Qt text editor
 JuffEd is a simple, cross-platform text editor written using the Qt toolkit
 and the QScintilla library. It supports most features found in modern text
 editors, including syntax highlighting, bracket matching, switching encodings,
 sessions, and a plugin API.
 .
 This package contains the JuffEd editor.

Package: juffed-dev
Description-md5: 498df20562ed031e2de552da7bcd25e6
Description-en: Lightweight yet powerful Qt text editor - development files
 JuffEd is a simple, cross-platform text editor written using the Qt toolkit
 and the QScintilla library. It supports most features found in modern text
 editors, including syntax highlighting, bracket matching, switching encodings,
 sessions, and a plugin API.
 .
 This package contains development files for plugin building.

Package: juffed-plugins
Description-md5: 3597ade8d9ed1036f15351321e0fa0b0
Description-en: Lightweight yet powerful Qt text editor - plugins
 JuffEd is a simple, cross-platform text editor written using the Qt toolkit
 and the QScintilla library. It supports most features found in modern text
 editors, including syntax highlighting, bracket matching, switching encodings,
 sessions, and a plugin API.
 .
 This package contains the following plugins:
  * Autosave
  * Color Picker
  * Document List
  * Favorites
  * Find In Files
  * File manager
  * Key bindings
  * Sort Document
  * Symbol Browser
  * XML Formatter

Package: jugglinglab
Description-md5: d625b867198e0f36b8d73c9f4c277b81
Description-en: Application for creating and animating juggling patterns
 Juggling Lab is an application written in Java for creating and animating
 juggling patterns.
 .
 Its main goals are to help people learn juggling patterns, and to assist in
 inventing new ones.
 .
 It generates and animates synchronous and asynchronous siteswaps and also
 multiplex siteswaps. Hand and body movement can be adjusted.
 .
 Part of the program is its siteswap generator, which allows one to generate
 siteswaps automatically by entering parameters like the number of objects,
 maximum height of throws and length of the pattern.

Package: juk
Description-md5: 79abb73f33494c5f81d84ee96a4badd4
Description-en: music jukebox / music player
 JuK is a powerful music player capable of managing a large music collection.
 .
 Some of JuK's features include:
  * Music collection, playlists, and smart playlists
  * Tag editing support, including the ability to edit multiple files at once
  * Tag-based music file organization and renaming
  * CD burning support using k3b
  * Album art using Google Image Search
 .
 This package is part of the KDE multimedia module.

Package: julia
Description-md5: 7dde6bdfecf283488c90d2886fb982c1
Description-en: high-performance programming language for technical computing
 Julia is a high-level, high-performance dynamic programming language for
 technical computing, with syntax that is familiar to users of other technical
 computing environments. It provides a sophisticated compiler, distributed
 parallel execution, numerical accuracy, and an extensive mathematical function
 library. The library, mostly written in Julia itself, also integrates mature,
 best-of-breed C and Fortran libraries for linear algebra, random number
 generation, FFTs, and string processing. Julia programs are organized around
 defining functions, and overloading them for different combinations of
 argument types (which can also be user-defined).
 .
 This package provides a complete Julia installation (JIT compiler, standard
 library, text-based user interface).

Package: julia-common
Description-md5: 7eb581ebd241322d7bc6632994f0b616
Description-en: high-performance programming language for technical computing (common files)
 Julia is a high-level, high-performance dynamic programming language for
 technical computing, with syntax that is familiar to users of other technical
 computing environments. It provides a sophisticated compiler, distributed
 parallel execution, numerical accuracy, and an extensive mathematical function
 library. The library, mostly written in Julia itself, also integrates mature,
 best-of-breed C and Fortran libraries for linear algebra, random number
 generation, FFTs, and string processing. Julia programs are organized around
 defining functions, and overloading them for different combinations of
 argument types (which can also be user-defined).
 .
 This package contains the Julia standard library and test suite.

Package: julia-doc
Description-md5: 046b5c1b5902cc6ebb8ca5d6312ccb9f
Description-en: high-performance programming language for technical computing (documentation)
 Julia is a high-level, high-performance dynamic programming language for
 technical computing, with syntax that is familiar to users of other technical
 computing environments. It provides a sophisticated compiler, distributed
 parallel execution, numerical accuracy, and an extensive mathematical function
 library. The library, mostly written in Julia itself, also integrates mature,
 best-of-breed C and Fortran libraries for linear algebra, random number
 generation, FFTs, and string processing. Julia programs are organized around
 defining functions, and overloading them for different combinations of
 argument types (which can also be user-defined).
 .
 This package contains the Julia manual, which describes the language and its
 standard library. It also contains example Julia programs.

Package: julius-voxforge
Description-md5: 8ee9381ba2088973976acc6b027f11a1
Description-en: acoustic models for Julius (English)
 VoxForge is a project which collects transcribed speech corpora release under
 the GNU General Public License, and uses it to create acoustic models for
 speech recognition engines.
 .
 This package contains 16hHz English acoustic models created for Julius/Julian.
 .
 Note that the project is still in a rather early stage and the generated
 models don't support all possible combinations of phonemes, limiting the
 range of words which it is able to recognize.

Package: juman
Description-md5: 62cecebc1021c1f818753929013cbc81
Description-en: Japanese morphological analysis system
 Juman is a morphological analysis system.  It reads Japanese
 sentences from the standard input, segments them into morpheme
 sequences, and outputs them to the standard output with many
 additional pieces of information (pronunciation, semantic
 information, etc).

Package: juman-dic
Description-md5: 4f2e8f8218056680860202c88849f343
Description-en: Juman dictionary in text format
 This package provides Juman dictionary written in text format.

Package: jumpnbump
Description-md5: 4417bb69a05c59e3b2d5faf5804083d4
Description-en: cute multiplayer platform game with bunnies
 You, as a bunny, have to jump on your opponents to make them
 explode.  It's a true multiplayer game, you can't play this alone.
 It has network support.
 .
 This program is a unix port of the old DOS game by brainchilddesign.

Package: jumpnbump-levels
Description-md5: f8755d0d1264769a124f27e4acbecd43
Description-en: cute multiplayer platform game with bunnies (extra levels)
 You, as a bunny, have to jump on your opponents to make them
 explode.  It's a true multiplayer game, you can't play this alone.
 It has network support.
 .
 This package contains fifteen extra levels.

Package: junior-art
Description-md5: fcea4cbab3d9e0ed4c44f3b59cbd9329
Description-en: Debian Jr. Art
 Tools for children to produce artwork.  The simplest of these is
 tuxpaint, which is designed for small children.  It features sounds
 and easy to use controls.  For older children, there are gimp and
 xpaint.  While gimp is more complex, and is aimed at  the graphic
 artist, it is not necessarily much harder to use than the older,
 less full-featured xpaint.  Children starting out with just a small
 subset of the functions of these tools eventually pick up quite a
 number of new things as they explore, either with adults or other
 children with whom they share their computers, or on their own.

Package: junior-config
Description-md5: 73b9a259c6139d325c16209d38f3a74a
Description-en: Debian Jr. Project common package
 This package builds the basic infrastructure of all junior-* packages.
 .
 This package provides some files which are common to all junior-* packages.
 Moreover it introduces a method to handle system users in a group
 junior using debconf.

Package: junior-doc
Description-md5: de96eeb7b0595a4f16045b3dc97b4b25
Description-en: Debian Jr. Documentation
 Includes, for the moment, just the "Quick Guide" which helps a new user
 of Debian Jr. get started.  The intention of the Debian Jr. working
 group is to provide a variety of additional documents to help children
 and sys admins alike with the installation, configuration, and use of a
 Debian Jr. system.

Package: junior-education
Description-md5: 7b3417bfecfd88283462c57ee91693da
Description-en: Debian Jr. education applications
 This package includes a collection of educational programs
 for children. These applications suit children from 2 to 12.
 They will learn the computer mouse and keyboard as
 well as various skills (numbers, letters, logic, etc.).

Package: junior-games-adventure
Description-md5: 5497c1811713794b7ed17e2bb45e754a
Description-en: Debian Jr. Adventure Games
 Adventure games are interactive stories driven by exploration and puzzle
 solving, and can be quite challenging. Recommended for older children, maybe
 even requiring help from someone older.

Package: junior-games-arcade
Description-md5: fba059a2fd99682bc455e3810cd906b1
Description-en: Debian Jr. arcade games
 This metapackage will install arcade games suitable, in the
 opinion of the contributors to the Debian Jr. project, for children
 of all ages.  Mastering most of these games requires dexterity
 and cognitive skills usually developed only in the older children
 (around ages 5 to 8).  But the youngest children may enjoy watching
 older players, or just poking randomly at the controls, depending
 on the game.

Package: junior-games-card
Description-md5: a7cb061627c59728de688deba6076571
Description-en: Debian Jr. Card Games
 A collection of card games, for the time being just solitaire, which
 are probably more suited to older children than young, although
 the "memory" type games in pysol may appeal to children just entering
 grade school.

Package: junior-games-gl
Description-md5: cd841c4acf0c6eff4060483fcabfe2ad
Description-en: Debian Jr. 3D Games (hardware acceleration required)
 These games all use OpenGL libraries.  They will not work without
 decent 3D graphics cards providing hardware-accelerated OpenGL.
 .
 While armagetron and gltron are different interpretations of the
 game depicted in the classic 3D-animated movie "Tron", Tux Racer
 is an all-original made-for-Linux 3D racing game.  Unlike the
 standard blood-and-guts fare in the 3D games universe, all of
 these games are suitable for children.

Package: junior-games-net
Description-md5: fbea975370ee387561e411086a9c0991
Description-en: Debian Jr. Network Games
 Network games bring people together from all over the world. Many times the
 game and chat is a place where people learn languages, how to communicate, and
 socializing skills.

Package: junior-games-puzzle
Description-md5: 0351c21f7b9c8508a7980ea9dd9264dc
Description-en: Debian Jr. Puzzle games
 Some puzzle-type games, from the more arcade-like and frozen-bubble to xjig (a
 jigsaw puzzle program), to lmemory (based on the classic "memory" card game).
 This sampling of packages was done in the hope that it will appeal to child
 and adult alike.

Package: junior-games-sim
Description-md5: bfe7bbba62b354f58619927619630fc6
Description-en: Debian Jr. Simulation Games
 Simulation games tend to be rather complex, so they are recommended
 for older children, and even then some help from someone older may be
 needed.

Package: junior-games-text
Description-md5: ccb101479f4a68fedeacb47a9a8db422
Description-en: Debian Jr. Text Games
 The principal virtue of these games is their simplicity.  They will run
 on any hardware, and some of them are simple enough to control so that
 very young children can enjoy them (e.g. snake)

Package: junior-internet
Description-md5: ce5f524af34a9ba0dd0dfa3e86aa5fbc
Description-en: Debian Jr. Internet tools
 For children, a wide variety of Internet tools are not necessary to get
 started.  Most users will find the Iceweasel web browser covers their needs.
 As children's familiarity and sophistication of use of the Internet develops,
 you will probably want to add more Internet clients to the child's system.

Package: junior-math
Description-md5: 2ce8132ee24a1ec0b660f13f2835464f
Description-en: Debian Jr. educational math
 This metapackage will install educational math programs suitable for
 children.  Some of the packages use mathematics that is well beyond
 the abilities of young children (e.g. fractals and cryptography), but
 hopefully using these let them gain an appreciation of the beauty of
 math from an early age.  Other packages allow children to explore and
 learn math concepts in an engaging, interactive way.  Some packages
 are more general, providing math activities as only one part of the
 package, e.g. bsdgames includes "arithmetic" in addition to other
 non-math games, and x11-apps provides xcalc.

Package: junior-programming
Description-md5: b69ef4b2f09e3fe736c509d33c95eb4c
Description-en: Debian Jr. programming
 The emphasis for this sampling of programming packages for children
 is first on simple interpreted languages.  Also important for using
 languages with children are good documentation, and some ability to
 produce visual programs without too much effort.  It is by no means
 a complete list, and you are encouraged to explore other languages
 with children as well; even those that are not specifically aimed for
 children.
 .
 The Logo language is specifically designed for children, with a strong
 emphasis on the visual and concrete.  Littlewizard is an icon-based
 graphical programming language also designed for children.
 .
 Scratch is an easy, interactive, collaborative, visual programming language
 with children from ages 8 and up as their target group.
 .
 Arduino is a popular micro controller that is widely used by both people
 learning electronics and programming as well as seasoned veterans doing
 complex projects. It is necessary to connect a physical Arduino circuit board
 in order to experiment with arduino.

Package: junior-sound
Description-md5: 0892e7f1f118c203f6decb30ed364832
Description-en: Debian Jr. sound
 This metapackage will install a sampling of sound packages for
 a system that is used by children.  While not all of these will
 be things that children will use directly, a child's system
 administrator can make use of them to ensure that the child
 gets the most out of their system.

Package: junior-system
Description-md5: 6517dc1492ae3de71eee822e53cd5edb
Description-en: Debian Jr. System tools
 This package includes a few tools for helping children learn about and
 use their system.
 .
 Midnight Commander is more than just a file manager.  It is shell with
 which children can explore and manage their own accounts.  It can be a
 great help for the very young, as it saves them some typing (as
 compared with using a command-line shell directly).  It is also packed
 with power and flexibility which can be tapped by older children and
 adults alike.
 .
 The 'hello' sample program can be used as an instructional aid, as
 an example of how to invoke programs from the shell, specify switches,
 use the man page, and so on.
 .
 Baobab, the GNOME disk usage analyzer, enables the user to take a
 visual trip into the hard drive contents. It can be a mesmerizing first
 step, dvelving into the inner parts of the computer system. It serves
 both as an educational tool about file systems and file contents/size
 as well as a tool for analyzing what files are big if disk space is
 scarce.
 .
 Bubblefishymon is a computer load monitor dockapp which shows network
 traffic as fishes, CPU usage as bubbles, and a duck representing a
 duck.

Package: junior-tasks
Description-md5: 3613bccd8a04e4b8e7d42425bb7cfb69
Description-en: Debian Jr. tasks for tasksel
 This package provides Debian Jr. tasks in tasksel.
 .
 These tasks are described in detail at http://blends.debian.org/junior/tasks/

Package: junior-toys
Description-md5: 1f837f86a8c3d5daa789f817e255c613
Description-en: Debian Jr. desktop toys
 This metapackage will install desktop toys suitable for children.  The
 collection contains some packages which might enjoy children and make
 them love their computer.

Package: junior-typing
Description-md5: 20c21926666be8c9f4ad053277c6dfe8
Description-en: Debian Jr. typing
 This metapackage will install typing tutors and typing games for
 various skill levels.  This collection of packages was assembled
 in the hope that children become comfortable with the keyboard
 quickly while having fun learning it.

Package: junior-video
Description-md5: 20f810dc82159d1cd3f72ccd305393b4
Description-en: Debian Jr. Video
 Tools to watch video files, DVD, CD, network streams etc.

Package: junior-writing
Description-md5: 470f9ccf87cd8da167bdafdb6b1bf21c
Description-en: Debian Jr. writing
 This metapackage will install tools for your budding young
 writer. It includes a text editor, spell-checker, dictionary client,
 word processor, and educational writing software.

Package: junit
Description-md5: 4656faacf15a15de9e0ff0fcff36bb0e
Description-en: Automated testing framework for Java
 JUnit is a simple framework for writing and running automated tests.
 As a political gesture, it celebrates programmers testing their own
 software.

Package: junit-doc
Description-md5: dafa4198cbea8c6e6ef9d5bfc82954ef
Description-en: Document for JUnit
 This package provide documents and examples for JUnit which is a simple
 framework for writing and running automated tests.

Package: junit4
Description-md5: 6e472ef1eb53b7098f32665495dd5b52
Description-en: JUnit regression test framework for Java
 JUnit is a simple framework to write repeatable tests. It is an
 instance of the xUnit architecture for unit testing frameworks.
 .
 JUnit 4 uses Java 5 features such as generics and annotations.  If
 you need compatibility with previous Java versions, you should use
 the junit package (version 3) instead.

Package: junit4-doc
Description-md5: 76c2a881e3392afd1eddd749d9d0edd7
Description-en: JUnit regression test framework for Java - documentation
 JUnit is a simple framework to write repeatable tests. It is an
 instance of the xUnit architecture for unit testing frameworks.
 .
 JUnit 4 uses Java 5 features such as generics and annotations.  If
 you need compatibility with previous Java versions, you should use
 the junit package (version 3) instead.
 .
 This package contains Javadoc API documentation of JUnit 4.

Package: junit5
Description-md5: 45f7c2c54ef3841de9893b09b0955e45
Description-en: JUnit regression test framework for Java
 JUnit is a framework to write repeatable tests.
 .
 Unlike previous versions of JUnit, JUnit 5 is composed of several different
 modules from three sub-projects: JUnit Platform, JUnit Jupiter and
 JUnit Vintage.
 .
 The JUnit Platform serves as a foundation for launching testing frameworks
 on the JVM. It also defines the TestEngine API for developing a testing
 framework that runs on the platform. Furthermore, the platform provides
 a Console Launcher to launch the platform from the command line and a JUnit 4
 based Runner for running any TestEngine on the platform in a JUnit 4 based
 environment. First-class support for the JUnit Platform also exists in popular
 IDEs and build tools.
 .
 JUnit Jupiter is the combination of the new programming model and extension
 model for writing tests and extensions in JUnit 5. The Jupiter sub-project
 provides a TestEngine for running Jupiter based tests on the platform.
 .
 JUnit Vintage provides a TestEngine for running JUnit 3 and JUnit 4 based
 tests on the platform.

Package: junitparser
Description-md5: 2b6d09b34b7f2f491e91d0c46f3f5da4
Description-en: Merges JUnit/xUnit Result XML files
 junitparser is a JUnit/xUnit result XML Parser. Use it to parse and manipulate
 existing Result XML files, or create new JUnit/xUnit result XMLs from scratch.
 .
 The command line tool can merge several Result XML files to one file.

Package: jupp
Description-md5: 758a80f0d3a3d8d4d242d368cca88861
Description-en: user friendly full screen text editor
 Joe, the Joe's Own Editor, has the feel of most PC text editors: the key
 sequences are reminiscent of WordStar and Turbo C editors, but the feature
 set is much larger than of those.  Joe has all of the features a Unix
 user should expect: full use of termcap/terminfo, complete VI-style Unix
 integration, a powerful configuration file, and regular expression search
 system.  It also has eight help reference cards which are always available,
 and an intuitive, simple, and well thought-out user interface.
 .
 Joe has a great screen update optimisation algorithm, multiple windows
 (through/between which you can scroll) and lacks the confusing notion of
 named buffers.  It has command history, TAB expansion in file selection
 menus, undo and redo functions, (un)indenting and paragraph formatting,
 filtering highlighted blocks through any external Unix command, editing
 a pipe into or out of a command, block move, copy, delete or filter, a
 bracketed paste mode automatically enabled on xterm-xfree86 and decimal
 and hexadecimal gotos for lines, columns, and file offsets.
 .
 Through simple QEdit-style configuration files, Joe can be set up to
 emulate editors such as Pico and Emacs, along with a complete imitation
 of WordStar in non-document mode, and a restricted mode version (lets you
 edit only the files specified on the command line). Joe also has a deferred
 screen update to handle typeahead, and it ensures that deferral is not
 bypassed by tty buffering. It's usable even at 2400 baud, and it will work
 on any kind of sane terminal. Furthermore, it supports SELinux context
 copying on Debian systems with the Linux kernel.
 .
 This version of JOE only comes with the Jupp flavour, to not conflict
 with the Debian joe package, activated. The resource files and executable
 links and menu entries for the other flavours are still available, though,
 in the joe-jupp package.

Package: jupyter
Description-md5: fea5026998e57d68a9c9adba550642c3
Description-en: Interactive computing environment (metapackage)
 Project Jupyter provides tools for interactive computing, useful for data
 science, scientific computing and learning. Computational languages for
 multiple languages are available; the name Jupyter is derived from
 Julia, Python and R.
 .
 This package depends on a standard set of Jupyter packages;
  - the core and client libraries
  - the console interface
  - the web-based notebook
  - tools for working with and converting notebook (ipynb) files
  - the python3 computational kernel
 .
 The various jupyter components can be called using the /usr/bin/jupyter
 entrypoint, eg "jupyter notebook".

Package: jupyter-client
Description-md5: 50a323ff448352989b3b74ce1470431e
Description-en: Jupyter protocol client APIs (tools)
 This software component contains the reference implementation
 of the Jupyter protocol. It also provides client and kernel
 management APIs to work with kernels, the "jupyter kernelspec"
 entry point to install kernelspecs for use with Jupyter frontends
 and the "jupyter run" command to execute a script on a jupyter kernel.
 .
 This package contains the jupyter-kernelspec and jupyter-run tools.

Package: jupyter-console
Description-md5: ae2d8d599f844bb7ab2be023ac01cc40
Description-en: Jupyter terminal client (script)
 Terminal-based console frontend for Jupyter kernels, like ipython but with
 support for non-python kernels.
 .
 This package installs the jupyter-console script.

Package: jupyter-core
Description-md5: 3a85a4b2ada188024f82cf6774896d17
Description-en: Core common functionality of Jupyter projects (tools)
 This software component contains the base framework (application
 classes and configurations) for the rest of the Jupyter projects ; it
 doesn't do much by itself.
 .
 This package contains the migration tool (off IPython before 4.0)
 and a path-giving utility.

Package: jupyter-nbconvert
Description-md5: 6f587b08cdd24411a996b4f1764a5b4a
Description-en: Jupyter notebook conversion (scripts)
 Jupyter nbconvert converts notebooks to various other formats
 using Jinja templates.
 .
 This package installs the jupyter-nbconvert script.

Package: jupyter-nbextension-jupyter-js-widgets
Description-md5: f4ee261b617d0b3cb21b619422af39b3
Description-en: Interactive widgets - Jupyter notebook extension
 Notebooks come alive when interactive widgets are used. Learning becomes an
 immersive and fun experience. Researchers can easily see how changing inputs
 to a model impact the results.
 .
 This package contains the server-side Jupyter notebook extension that allows a
 Jupyter notebook server to serve and display these widgets. You will also need
 to install kernel-side libraries, for an interactive session to drive and
 animate the widgets that are contained in a notebook. For Python 2 notebooks
 this is python-ipywidgets, and for Python 3 this is python3-ipywidgets.

Package: jupyter-nbformat
Description-md5: 700013fa220fc6f774eb9857c1d4dbcc
Description-en: Jupyter notebook format (tools)
 This software component contains the reference implementation of the Jupyter
 notebook format, and Python APIs to work with notebooks.
 .
 This package installs the notebook signing tool.

Package: jupyter-notebook
Description-md5: a1f300590a1412cd831ab1ad0a2faf40
Description-en: Jupyter interactive notebook
 The Jupyter Notebook is a web application that allows you to create and
 share documents that contain live code, equations, visualizations, and
 explanatory text. The Notebook has support for multiple programming
 languages, sharing, and interactive widgets.
 .
 This package provides the jupyter subcommands "notebook", "nbextension",
 "serverextension" and "bundlerextension".

Package: jupyter-qtconsole
Description-md5: 23f416a037c1d2a42549b2ca5ce449a2
Description-en: Jupyter - Qt console (binaries)
 IPython can be used as a replacement for the standard Python shell,
 or it can be used as a complete working environment for scientific
 computing (like Matlab or Mathematica) when paired with the standard
 Python scientific and numerical tools. It supports dynamic object
 introspections, numbered input/output prompts, a macro system,
 session logging, session restoring, complete system shell access,
 verbose and colored traceback reports, auto-parentheses,
 auto-quoting, and is embeddable in other Python programs.
 .
 This package contains the qtconsole binaries for the Python 3
 interpreter.

Package: jupyter-sphinx-theme-common
Description-md5: 1d478f4f4efac0b4a35eef03882694da
Description-en: Jupyter Sphinx Theme -- common files
 A Jupyter Sphinx theme for narrative documentation.
 .
 It integrates the Bootstrap CSS / JavaScript framework with various layout
 options, hierarchical menu navigation, and mobile-friendly responsive design.
 It is configurable, extensible and can use any number of different Bootswatch
 CSS themes.
 .
 This package contains the common files used by the theme.

Package: jupyter-sphinx-theme-doc
Description-md5: fafb2e5543573b20ebbdf0c23a6be30d
Description-en: Jupyter Sphinx Theme -- documentation
 A Jupyter Sphinx theme for narrative documentation.
 .
 It integrates the Bootstrap CSS / JavaScript framework with various layout
 options, hierarchical menu navigation, and mobile-friendly responsive design.
 It is configurable, extensible and can use any number of different Bootswatch
 CSS themes.
 .
 This package contains the common documentation and examples.

Package: jwchat
Description-md5: 992da6e06c138345e7911039fb232d5c
Description-en: full featured, web-based Jabber chat client
 JWChat is a full featured, web-based Jabber client. Written using AJAX
 technology it relies on JavaScript and HTML at the client-side only. It
 supports basic jabber instant messaging, roster management and groupchats
 based on the MUC protocol
 .
 JWChat is an advanced instant messenger (IM) just like AIM, MSN Messenger,
 Yahoo! Messenger or ICQ. This means you can manage your contacts, communicate
 with other users in 1:1 chat sessions or join a groupchat room for
 collaborative communication. Unlike other IMs you can use this with your web
 browser without having to install any additional software at all.
 .
 All of your settings, bookmarks and your contact list are stored on the server
 side. This means that you have access to your personalized client from almost
 any computer as long as it's got access to the internet.

Package: jwm
Description-md5: 228a21127459d22e79fa36d623a3cc27
Description-en: very small lightweight pure X11 window manager with tray and menus
 Low resource Window manager ideal for older PCs. It uses a minimum of
 external libraries, thus very little memory, includes virtual screens,
 menubar and root-menu popup.
 .
 JWM is a window manager for the X11 Window System. JWM is written in
 C and uses only Xlib and (optionally) the shape extension and libXpm.
 It can support some MWM, GNOME, and WM Spec hints. The menu configuration
 files are managed in XML format.
 .
 Compared to other light WM, like FVWM or Openbox, the Jwm consumes
 considerably less memory while providing the same functionality.

Package: jxplorer
Description-md5: e34607c497252881e3d3fce73025b577
Description-en: Java LDAP Browser
 JXplorer is an open source LDAP browser originally developed by
 Computer Associates' eTrust Directory development lab. It is a
 standards compliant general purpose LDAP browser that can be used
 to read and search any LDAP directory, or any X500 directory with
 an LDAP interface.
 JXplorer is a fully functional piece of software with advanced
 security integration and support for the more difficult and
 obscure parts of the LDAP protocol. It should run on any Java
 supporting operating system.
 Main features are:
 .
  * Standard LDAP operations: add/delete/copy/modify
  * Complex operations: tree copy and tree delete
  * Optional GUI based search filter construction
  * SSL and SASL authentication
  * pluggable editors/viewers
  * pluggable security providers
  * HTML templates/forms for data display
  * Full i18n support
  * LDIF file format support
  * highly user configurable
  * drag-n-drop browsing operation
  * DSML Support
  * handles complex LDAP cases:
    o multi valued rdns
    o binary attributes
    o Certificates and Passwords
    o Unicode characters
    o Special characters / UTF8 in distinguished names.
  * Extensible architecture with object class based Java plugins

Package: jython
Description-md5: c09f9033a073d1f7301992f5f47163eb
Description-en: Python seamlessly integrated with Java
 Jython is an implementation of the high-level, dynamic, object-oriented
 language Python seamlessly integrated with the Java platform.  The
 predecessor to Jython, JPython, is certified as 100% Pure Java.
 .
 Jython is complementary to Java and allows the two languages to be freely
 mixed.  It is especially suited for embedded scripting, interactive
 experimentation and rapid application development, and it can
 interact with Java packages or with running Java applications.

Package: jython-doc
Description-md5: c962bf50c611a5ef15704e3db84eb289
Description-en: Jython documentation including API docs
 Official documentation for Jython, an implementation of Python
 seamlessly integrated with Java.  This includes detailed Jython usage
 information as well as the API documentation for the Jython classes.
 .
 This package only contains documentation specific to Jython; for general
 Python documentation including a Python language reference and tutorial,
 see Python web site : http://docs.python.org/.

Package: jython-stilts
Description-md5: 149640b9a5ea2941d5dec893a44118b6
Description-en: Starlink Tables Infrastructure Library Tool Set (Jython package)
 The STIL Tool Set is a set of command-line tools based on STIL, the
 Starlink Tables Infrastructure Library. It deals with the processing
 of tabular data; the package has been designed for, but is not
 restricted to, astronomical tables such as object catalogues. Some of
 the tools are generic and can work with multiple formats (including
 FITS, VOTable, CDF, CSV, SQL and ASCII), and others are
 specific to the VOTable format. In some ways, STILTS forms the
 command-line counterpart of the GUI table analysis tool TOPCAT. The
 package is robust, fully documented, and designed for efficiency,
 especially with very large datasets.
 .
 This package contains the Jython package.

Package: jzip
Description-md5: 1fd33c5751e02ad525634c02d1c4954a
Description-en: Text mode interpreter for Z-Code adventures
 This package provides the jzip Z-Code interpreter required to run
 Infocom or Inform-generated text adventures, although the format can
 be used for more than games. It is based on the zip interpreter sources
 and adapted by John D. Holder <jholder@frii.com>.
 .
 The ckifzs program, for checking the basic structure of save files
 against the QUETZAL standard, is also included, as is the jzexe
 program to create a standalone executable by gluing a Z-code file to
 jzip.
 .
 For other Z-Code interpreters, also see the frotz, xzip, fizmo, and
 gargoyle-free packages in Debian.  The current list of interpreters
 available within Debian can be viewed here:
 .
 http://packages.debian.org/stable/zcode-interpreter

Package: k2pdfopt
Description-md5: 458ca57c840bf20d9adb4077e5e99e32
Description-en: PDF Reflow tool
 K2pdfopt optimizes PDF/DJVU files for mobile e-readers (e.g. the Kindle) and
 smartphones. It works well on multi-column PDF/DJVU files and can re-flow text
 even on scanned PDF files. It can also be used as a general PDF copying/
 cropping/re-sizing/OCR-ing manipulation tool. It can generate native or
 bitmapped PDF output, with an optional OCR layer.

Package: k3b
Description-md5: c76b0e46810cfd0637ba2d7954169eff
Description-en: Sophisticated CD/DVD burning application
 K3b provides a comfortable user interface to perform most CD/DVD burning
 tasks. While the experienced user can take influence in all steps
 of the burning process the beginner may find comfort in the automatic settings
 and the reasonable k3b defaults which allow a quick start.

Package: k3b-data
Description-md5: a2459f96b8b6a7609c11713d34f611c3
Description-en: Sophisticated CD/DVD burning application - data files
 K3b provides a comfortable user interface to perform most CD/DVD burning
 tasks. While the experienced user can take influence in all steps
 of the burning process the beginner may find comfort in the automatic settings
 and the reasonable k3b defaults which allow a quick start.
 .
 This package contains the required data files common to all architectures.

Package: k3b-extrathemes
Description-md5: bb2e32a30a950937f14827efcaec94e4
Description-en: Sophisticated CD/DVD burning application - extra themes
 K3b provides a comfortable user interface to perform most CD/DVD burning
 tasks. While the experienced user can take influence in all steps
 of the burning process the beginner may find comfort in the automatic settings
 and the reasonable k3b defaults which allow a quick start.
 .
 This package contains additional themes for K3b.

Package: k3b-i18n
Description-md5: 5fb8fb0100bddccb8852d63a9309b9f4
Description-en: Sophisticated CD/DVD burning application - localizations files
 K3b provides a comfortable user interface to perform most CD/DVD burning
 tasks. While the experienced user can take influence in all steps
 of the burning process the beginner may find comfort in the automatic settings
 and the reasonable k3b defaults which allow a quick start.
 .
 This package contains translations files.

Package: k4dirstat
Description-md5: 8c14faf7f9773e932d4d5a6fc655dcfa
Description-en: graphical disk usage display with cleanup facilities
 K4DirStat (KDE Directory Statistics) is a small utility program that sums
 up disk usage for directory trees, very much like the Unix 'du' command.
 It displays the disk space used up by a directory tree, both numerically
 and graphically.  It is network transparent (i.e., you can use it to sum
 up FTP servers), and comes with predefined and user configurable cleanup
 actions.  You can directly open a directory branch in Konqueror or the
 shell of your choice, compress it to a .tar.bz2 archive, or define your
 own cleanup actions.

Package: kaccounts-integration
Description-md5: 59678c46195bfdaebfe3ef866b9168e6
Description-en: System to administer web accounts
 Small system to administer web accounts for the sites and services
 across the Plasma desktop, including: Google, Facebook, Owncloud, IMAP,
 Jabber and others.

Package: kaccounts-providers
Description-md5: 2e63efd8c278d0e0f7b3e59fd0b2c50e
Description-en: KDE providers for accounts sign-on
 Provides additional configuration files for libaccounts and
 libsignon to recognize online services such as Facebook
 and Google.

Package: kacpimon
Description-md5: 0ab93b52761358c59929feec6034eee9
Description-en: Kernel ACPI Event Monitor
 Modern computers support the Advanced Configuration and Power Interface (ACPI)
 to allow intelligent power management on your system and to query battery and
 configuration status.
 .
 kacpimon is a small tool that monitors kernel ACPI and keyboard events from
 multiple interfaces and reports them to the console.

Package: kactivities-bin
Description-md5: 4985b40bed8194bcf00bd4e2a24dece9
Description-en: Command Line Tool for KActivities
 kactivities-cli is a command line tool to interact with KActivities
 or for help with shell completion.

Package: kactivitymanagerd
Description-md5: a9bed58e742e6b5388dfcd0771bd567d
Description-en: System service to manage user's activities
 System service to manage user's activities, track the usage patterns
 etc.

Package: kaddressbook
Description-md5: a027434345fd18da3907205ef09d8342
Description-en: address book and contact data manager
 KAddressBook enables you to manage your contacts efficiently and comfortably.

Package: kaffeine
Description-md5: 6d48b7714395644c82ea63fa5e6d8ba3
Description-en: versatile media player for KDE
 Kaffeine is a media player for KDE based on VLC, which gives it a wide variety
 of supported media types and lets Kaffeine access CDs, DVDs, and network
 streams easily.  Kaffeine also has an excellent support of digital TV (DVB).
 .
 Kaffeine can keep track of multiple playlists simultaneously, and supports
 autoloading of subtitle files for use while playing video.

Package: kafkacat
Description-md5: 6bc5980bfc11bff8aedd9f84333c0509
Description-en: generic producer and consumer for Apache Kafka
 kafkacat is a generic non-JVM producer and consumer for Apache Kafka
 0.8, think of it as a netcat for Kafka.
 .
 In producer mode kafkacat reads messages from stdin, delimited with a
 configurable delimiter and produces them to the provided Kafka
 cluster, topic and partition. In consumer mode kafkacat reads messages
 from a topic and partition and prints them to stdout using the
 configured message delimiter.
 .
 kafkacat also features a Metadata list mode to display the current
 state of the Kafka cluster and its topics and partitions.

Package: kajongg
Description-md5: fb414308a1b578b0a589e7e8fce92f1d
Description-en: classical Mah Jongg game
 This is the classical Mah Jongg for four players.
 If you are looking for the Mah Jongg solitaire please use the application
 kmahjongg.
 .
 This package is part of the KDE games module.

Package: kakasi
Description-md5: f0f66559397980340862f598b74db91a
Description-en: KAnji KAna Simple Inverter
 KAKASI is the language processing filter to convert Kanji characters
 to Hiragana, Katakana or Romaji(1) and may be helpful to read
 Japanese documents.
 .
 The name "KAKASI" is the abbreviation of "kanji kana simple inverter"
 and the inverse of SKK "simple kana kanji converter" which is
 developed by Masahiko Sato at Tohoku University. The most entries of
 the kakasi dictionary is derived form the SKK dictionaries. If you
 have some interests in the naming of "KAKASI", please consult to
 Japanese-English dictionary. :-)
 .
 (1) "Romaji" is alphabetical description of Japanese pronunciation.

Package: kakasi-dic
Description-md5: 39c593281d87a9969b4c28abb3c674fc
Description-en: KAKASI dictionary files
 This package provides KAKASI dictionary files. You have to install
 this package if you use KAKASI.
 .
 KAKASI is the language processing filter to convert Kanji characters
 to Hiragana, Katakana or Romaji(1) and may be helpful to read
 Japanese documents.
 .
 (1) "Romaji" is alphabetical description of Japanese pronunciation.

Package: kakoune
Description-md5: 8ba777537e413662f81feb19aa24b6ba
Description-en: Vim-inspired, selection-oriented code editor
 Kakoune is a code editor heavily inspired by Vim; as such most of its
 commands are similar to vi’s ones, and it shares Vi’s "keystrokes as
 a text editing language" model.  Kakoune can operate in two modes, normal
 and insertion.  In insertion mode, keys are directly inserted into
 the current buffer.  In normal mode, keys are used to manipulate
 the current selection and to enter insertion mode.  Kakoune has a strong
 focus on interactivity, most commands provide immediate and incremental
 results, while still being competitive (as in keystroke count) with Vim.
 Kakoune works on selections, which are oriented, inclusive range of
 characters; selections have an anchor and a cursor character.
 Most commands move both of them, except when extending selection where
 the anchor character stays fixed and the cursor one moves around.

Package: kalarm
Description-md5: 147e1354e4cd2bf0a956054925c7204c
Description-en: alarm message, command and email scheduler
 KAlarm provides a graphical interface to schedule personal timed events -
 pop-up alarm messages, command execution and sending emails. There is a
 range of options for configuring recurring events.
 .
 A pop-up alarm can show either a simple text message, or the contents of a
 text or image file. It can optionally be spoken, or play a sound file. You
 can choose its appearance, and set reminders. Among KAlarm's other
 facilities, you can set up templates to allow KAlarm to be used as a 'tea
 timer'.

Package: kalgebra
Description-md5: 3839e1dbb198fa22a1952a06364f0c27
Description-en: algebraic graphing calculator
 KAlgebra is a algebraic graphing calculator with support for 3D graphing and
 MathML markup language.
 .
 This package is part of the KDE education module.

Package: kalgebra-common
Description-md5: 05e8b587fee89b27c5fbe3cf789c85c8
Description-en: contains files common for kalgebra and kalgebramobile
 KAlgebra is a algebraic graphing calculator with support for 3D graphing and
 MathML markup language and it contains files needed for both full and mobile
 versions.
 .
 This package is part of the KDE education module.

Package: kalgebramobile
Description-md5: b8e44274db8c55312477e9004b0a4adf
Description-en: algebraic graphing calculator for small touch based interfaces
 KAlgebra is a algebraic graphing calculator with support for 3D graphing and
 MathML markup language. This is the version for small touch based interfaces
 .
 This package is part of the KDE education module.

Package: kali
Description-md5: ac34457ae89060728b968945c15548c5
Description-en: Draw tilings, frieze patterns, and so on
 You can use Kali to draw Escher-like tilings, infinite knots, frieze
 patterns, and other cool stuff. It lets you draw patterns in any of the 17
 planar (wallpaper) or 7 frieze symmetry groups. Drawings are done
 interactively with X, and PostScript output is supported.

Package: kalign
Description-md5: f4779c3357ca7e7d8284836c8ed96a2c
Description-en: Global and progressive multiple sequence alignment
 Kalign is a command line tool to perform multiple alignment of
 biological sequences. It employs the Muth-Manber string-matching
 algorithm, to improve both the accuracy and speed of the alignment.
 It uses global, progressive alignment approach, enriched by employing
 an approximate string-matching algorithm to calculate sequence
 distances and by incorporating local matches into the otherwise global
 alignment.

Package: kallisto
Description-md5: 9d173d648de2d1e7d239c362fbb1a5ae
Description-en: near-optimal RNA-Seq quantification
 Kallisto is a program for quantifying abundances of transcripts from
 RNA-Seq data, or more generally of target sequences using high-throughput
 sequencing reads. It is based on the novel idea of pseudoalignment for
 rapidly determining the compatibility of reads with targets, without the
 need for alignment. On benchmarks with standard RNA-Seq data, kallisto
 can quantify 30 million human reads in less than 3 minutes on a Mac
 desktop computer using only the read sequences and a transcriptome index
 that itself takes less than 10 minutes to build. Pseudoalignment of
 reads preserves the key information needed for quantification, and
 kallisto is therefore not only fast, but also as accurate than existing
 quantification tools. In fact, because the pseudoalignment procedure is
 robust to errors in the reads, in many benchmarks kallisto significantly
 outperforms existing tools.

Package: kallisto-examples
Description-md5: 26f735b25852358e202670077e2510b5
Description-en: near-optimal RNA-Seq quantification (example data)
 Kallisto is a program for quantifying abundances of transcripts from
 RNA-Seq data, or more generally of target sequences using high-throughput
 sequencing reads. It is based on the novel idea of pseudoalignment for
 rapidly determining the compatibility of reads with targets, without the
 need for alignment. On benchmarks with standard RNA-Seq data, kallisto
 can quantify 30 million human reads in less than 3 minutes on a Mac
 desktop computer using only the read sequences and a transcriptome index
 that itself takes less than 10 minutes to build. Pseudoalignment of
 reads preserves the key information needed for quantification, and
 kallisto is therefore not only fast, but also as accurate than existing
 quantification tools. In fact, because the pseudoalignment procedure is
 robust to errors in the reads, in many benchmarks kallisto significantly
 outperforms existing tools.
 .
 This package contains usage examples which are used in autopkgtest.

Package: kalzium
Description-md5: 67d773ea4e03fd7ca0c86204051e26c8
Description-en: periodic table and chemistry tools
 Kalzium is a full-featured chemistry application, including a
 Periodic Table of Elements, chemical reference, chemical equation solver, and
 3D molecule viewer.
 .
 This package is part of the KDE education module.

Package: kalzium-data
Description-md5: 9c6c7933ca013eda471dbd3ad78d1d8e
Description-en: data files for Kalzium
 This package contains architecture-independent data files for Kalzium, the
 KDE periodic table application.
 .
 This package is part of the KDE education module.

Package: kamailio
Description-md5: df3e15f422439e08c305782f5650a98c
Description-en: very fast, dynamic and configurable SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, kamailio can handle thousands requests
 per second even on low-budget hardware. It can be configured to act
 as a SIP proxy, application server, session border controller,
 or call load balancer to handle a set of media servers.
 .
 A C Shell like scripting language provides full control over the server's
 behaviour. It's easy to integrate Kamailio with 3rd party API's and
 applications using HTTP, AMQP, database drivers, LDAP, Radius and many other
 solutions. In addition there is support for scripting using Lua, Python, Perl,
 Java and a set of other languages using Mono.
 .
 Kamailio's modular architecture allows only required functionality to be
 loaded. There are over 100 modules available: WebSockets for WebRTC,
 authentication, multiple databases - both traditional SQL databases as well as
 no-SQL, message bus systems like Rabbit MQ, instant messaging, a presence
 agent, integration with REST-ful APIs using HTTP and JSON or XML, radius
 authentication, record routing, an SMS gateway, a XMPP/jabber gateway, a
 transaction and dialog module, OSP module, statistics support,
 registrar and user location services, SNMP, SIMPLE Presence and much more.
 .
 This package contains the main Kamailio binary along with the principal
 modules and support binaries.

Package: kamailio-autheph-modules
Description-md5: e80feac6503dfc23b0b8833138f225df
Description-en: authentication using ephemeral credentials for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the ephemeral authentication module for Kamailio,
 enabling authentication using a Restful HTTP API.

Package: kamailio-berkeley-bin
Description-md5: 239ed22252bef8c6ab31de881898378c
Description-en: Berkeley database module for Kamailio - helper program
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides helper modules for the Berkeley database module for
 Kamailio, a high-performance embedded DB kernel. You should normally
 install kamailio-berkeley-modules and not this package directly.

Package: kamailio-berkeley-modules
Description-md5: afe21acd6a81996d52c56d67c65cd8f1
Description-en: Berkeley database module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the Berkeley database module for Kamailio, a
 high-performance embedded database. All database tables are stored
 in files, no additional server is necessary.

Package: kamailio-cnxcc-modules
Description-md5: 3d59c0d88b6e3024f973200765504fc0
Description-en: cnxcc modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the cnxcc call charging control module for Kamailio.

Package: kamailio-cpl-modules
Description-md5: acc08d42c21651499c8ae0c0e2615207
Description-en: CPL module (CPL interpreter engine) for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides a CPL (Call Processing Language) interpreter for
 Kamailio, turning Kamailio into a CPL server (storage and interpreter).

Package: kamailio-erlang-modules
Description-md5: 81dd7fccd6b7b252e7247bc36e64f9ee
Description-en: Erlang modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This Kamailio module provides interaction with the Erlang node from the
 Kamailio SIP routing scripts. The module allows sending, receiving Erlang
 messages and RPC calls between each other.

Package: kamailio-extra-modules
Description-md5: 079f2a90de4b8c8433a45d4c221ee024
Description-en: Extra modules for the Kamailio SIP Server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides a set of modules for compression of SIP attachments,
 UUID usage, JSON data structure support, HTTP restful API asynchronous
 access and a few more functions:
 gzcompress uuid evapi jansson janssonrpcc http_async_client

Package: kamailio-geoip-modules
Description-md5: 86fec52bcdfa8d5c5d639089795e4840
Description-en: geoip module for the Kamailio SIP Server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the geoip module, an extension enabling
 usage of the GeoIP API within the Kamailio configuration file.

Package: kamailio-geoip2-modules
Description-md5: bb2968f9479079a613c83dc80911f1d5
Description-en: geoip2 module for the Kamailio SIP Server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the geoip2 module, an extension enabling
 real-time queries against the Max Mind GeoIP2 database within the Kamailio
 configuration file.

Package: kamailio-ims-modules
Description-md5: 9f40af666cd6a9dd0fe3d4272bd00ce0
Description-en: IMS module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package contains various Diameter interfaces and modules for Kamailio
 to run as an IMS core.

Package: kamailio-json-modules
Description-md5: 096739f186c290e3b7f40d8d29087d16
Description-en: Json parser and Json-RPC modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides a JSON parser for use in the Kamailio
 configuration file and the JSON-RPC client over netstrings.

Package: kamailio-kazoo-modules
Description-md5: 8d9132d1dc3d008b539e3a8adf13ed13
Description-en: kazoo modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the Kazoo application server integration module for
 Kamailio.

Package: kamailio-ldap-modules
Description-md5: a1f37e4d883caccb2b182a8e04087683
Description-en: LDAP modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the ldap and h350 modules for Kamailio, enabling LDAP
 queries from the Kamailio routing scripts and storage of SIP account data in
 an LDAP directory.

Package: kamailio-lua-modules
Description-md5: e78678d1eea14c5a28d0b5756a863786
Description-en: app_lua module for Kamailio Lua support
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the app_lua module, an extension allowing to
 execute embedded Lua applications within the configuration file as
 well as writing the entire configuration file in Lua.

Package: kamailio-memcached-modules
Description-md5: 8a1b5b6a49b64c3f266fd53f56497b9b
Description-en: interface to the memcached server, for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the memcached module, an interface to the memcached
 server, a high-performance, distributed memory object caching system.

Package: kamailio-mongodb-modules
Description-md5: 58f5fcbe5e3436900cb006c7801b2a3b
Description-en: mongodb modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the mongodb database driver and non-db connector for
 Kamailio.

Package: kamailio-mono-modules
Description-md5: 5598d6889ddb1579008355faadeb3759
Description-en: app_mono module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the app_mono module, an extension allowing to
 execute embedded Mono applications within the Kamailio SIP routing script.

Package: kamailio-mysql-modules
Description-md5: e5dd14628ca6789f21ca41a164f8564a
Description-en: MySQL database connectivity module for Kamailio
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the MySQL database driver for Kamailio.

Package: kamailio-outbound-modules
Description-md5: 5e14e36508e7f1a32376829e888acef5
Description-en: SIP Outbound module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package contains the "outbound" module implementing SIP outbound extension

Package: kamailio-perl-modules
Description-md5: cfe9d19e4e30f4e71986827ded62b2ab
Description-en: Perl extensions and database driver for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides an interface for Kamailio to write Perl extensions and
 the perlvdb database driver for Kamailio.

Package: kamailio-phonenum-modules
Description-md5: 28e6546f656f4fa8735fbba63db8bf4a
Description-en: phonenum modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides real-time queries against the libphonenumber to be
 performed directly from the Kamailio configuration and routing scripts.

Package: kamailio-postgres-modules
Description-md5: 8352e89f5d321aac333965c2b466bead
Description-en: PostgreSQL database connectivity module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the PostgreSQL database driver for Kamailio.

Package: kamailio-presence-modules
Description-md5: c1834ec77d4cce2de4cb832201049c17
Description-en: SIP presence modules for Kamailio
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides several Kamailio modules for implementing a presence
 server and presence user agent for rich presence, registrar-based presence,
 external triggered presence with SIMPLE and XCAP support, as well as a
 set of other SIP event packages..

Package: kamailio-python3-modules
Description-md5: 5bd97b896aa3add6a41b618dc7ba976b
Description-en: app_python3 module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the app_python3 module, an extension allowing to
 execute embedded Python applications within the Kamailio SIP routing script.

Package: kamailio-rabbitmq-modules
Description-md5: 291676c921cf99398a1ab3d788565fb9
Description-en: RabbitMQ and AMQP integration modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the RabbitMQ module for Kamailio, enabling AMQP
 integration into the SIP routing scripts for third party service
 support.

Package: kamailio-radius-modules
Description-md5: 5aa030dd29538d295aa0cf0940e5b5d0
Description-en: RADIUS modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides a set of RADIUS modules for Kamailio, for
 authentication, peering, group membership and messages URIs checking against a
 RADIUS server.

Package: kamailio-redis-modules
Description-md5: 2a2e0163eb31c5413dfc1f2ff9e82735
Description-en: Redis database connectivity module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the Redis NOSQL database driver for Kamailio.

Package: kamailio-ruby-modules
Description-md5: 9950deeb75bcae73dad9ccfb78da8bc5
Description-en: app_ruby module for Kamailio Ruby support
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the app_ruby module, an extension allowing to
 execute embedded Ruby applications within the Kamailio SIP routing script.

Package: kamailio-sctp-modules
Description-md5: 23c1bcafb8b49b3df80140f632fd9d8d
Description-en: SCTP SIP transport module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the SCTP SIP transport module for Kamailio.

Package: kamailio-snmpstats-modules
Description-md5: 3f19e2de7dc27a4ef75f936a91e17402
Description-en: SNMP AgentX subagent module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the snmpstats module for Kamailio. This module acts
 as an AgentX subagent which connects to a master agent. The Kamailio MIBS are
 included.

Package: kamailio-sqlite-modules
Description-md5: b4f67430b14343d98efac6caaf86fe43
Description-en: SQLite database connectivity module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the SQLite database driver for Kamailio.

Package: kamailio-systemd-modules
Description-md5: b03a3ec6dcec09ef8291bc5e46f57fcf
Description-en: systemd logging modules for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides logging to systemd journal directly from the
 Kamailio configuration and routing scripts.

Package: kamailio-tls-modules
Description-md5: 36c06a49af2355c47ed5760d80991cd8
Description-en: TLS support for the Kamailio SIP server (authentication, transport)
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides TLS support for encrypted and authenticated
 SIP connections as well as generic TLS support for many Kamailio modules.

Package: kamailio-unixodbc-modules
Description-md5: 1f7c22f422b0111b09d4f9a872f73763
Description-en: unixODBC database connectivity module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the unixODBC database driver for Kamailio.

Package: kamailio-utils-modules
Description-md5: 10e039d68b43f9d332fe6e38049edc47
Description-en: Utility functions for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides a set of utility functions for Kamailio, as well
 as modules for restful HTTP API access using the CURL library in the
 http_client module.

Package: kamailio-websocket-modules
Description-md5: 14a90490fa55b64ad6cd540ad4dd34b8
Description-en: WebSocket module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package contains the module implementing WebSocket transport layer
 for use in SIP over WebSockets, in combination with WebRTC media sessions..

Package: kamailio-xml-modules
Description-md5: c27d5c0af319dff182dc09dd0da739cb
Description-en: XML based extensions for Kamailio's Management Interface
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides:
 - the XMLRPC transport implementations for Kamailio's
 Management and Control Interface.
 - xmlops module for XPath operations in configuration file

Package: kamailio-xmpp-modules
Description-md5: 5ef95e7d723676af349f49fed944b7b3
Description-en: XMPP gateway module for the Kamailio SIP server
 Kamailio is a very fast and flexible SIP (RFC3261)
 server. Written entirely in C, Kamailio can handle thousands calls
 per second even on low-budget hardware.
 .
 This package provides the SIP to XMPP IM translator module for Kamailio.

Package: kamcli
Description-md5: 765fa512a88c519abcd75e724f46c9d1
Description-en: Kamailio Command Line Interface Control Tool
 kamcli is aiming at being a modern and extensible alternative to the shell
 script kamctl.
 .
 It requires that jsonrpcs module of Kamailio is loaded and configured to
 listen on an Unix domain socket or FIFO file. The way to interact with
 Kamailio has to be set inside kamcli config file (kamcli.ini).

Package: kamera
Description-md5: 65aef3b5650e85639fcaecf31cf6c5ea
Description-en: digital camera support for KDE applications
 This package allows any KDE application to access and manipulate pictures on
 a digital camera.
 .
 This package is part of the KDE graphics module.

Package: kamoso
Description-md5: 8211867cb78e15e620c3f85f8cd648a3
Description-en: tool to take pictures and videos from your webcam
 Kamoso is a utility that does the very simple actions a webcam offers,
 like taking pictures or recording videos and adds some extra features that will
 make the webcam usage both funnier and more useful.

Package: kanadic
Description-md5: dc70264db527cc8a4fed7ef807cf1ce2
Description-en: katakana and hiragana drill files for KDrill
 This package provides files for practicing katakana and hiragana with
 KDrill. It includes basic and extended versions of each list.

Package: kanagram
Description-md5: 752f033c7bf7099d4852d3e7d35d314d
Description-en: jumble word puzzle
 KAnagram is a game where a random word is shown with its letters scrambled.
 To win, the player must rearrange the letters into the correct order.
 .
 This package is part of the KDE education module

Package: kanatest
Description-md5: 90dd301944ca61724ee08868ad2809ed
Description-en: beginner's drill game to learn Japanese kana characters
 Kanatest is a simple hiragana and katakana drill game. It checks your
 knowledge of Japanese kana characters.
 .
 There are three drill modes: hiragana mode (hiragana charset only), katakana
 mode (katakana charset only) and mixed mode (both charsets). The tester shows
 random kana characters and waits until you enter the romaji equivalent in an
 entry field. At the end, statistics are provided.

Package: kanboard-cli
Description-md5: 3e1d010a3d7cb63aaf5f92c6e4401694
Description-en: kanboard command line client
 Kanboard (https://kanboard.net/) is project management software that
 focuses on the Kanban methodology. It has the following features:
 .
  * Visualize your work
  * Limit your work in progress to be more efficient
  * Customize your boards according to your business activities
  * Multiple projects with the ability to drag and drop tasks
  * Reports and analytics
  * Fast and simple to use
  * Access from anywhere with a modern browser
  * Plugins and integrations with external services
  * Free, open source and self-hosted
  * Super simple installation
 .
 kanboard-cli is a command line client for kanboard application.

Package: kanif
Description-md5: 033d8ea2ee58f6563ea4fd378a614b0d
Description-en: cluster management and administration swiss army knife
 Kanif is a tool for high performance computing clusters management and
 administration. It combines the main functionalities of well-known cluster
 management tools such as c3, pdsh and dsh, and mimics their syntax. It
 provides three tools to run the same command on several nodes ("parallel
 ssh", using the 'kash' command), to broadcast the copy of files or
 directories to several nodes ('kaput' command), and to gather several
 remote files or directories locally ('kaget' command). It relies on TakTuk
 for efficiency and scalability.

Package: kanjidic
Description-md5: 4a57aee109677b502e7149a20696bb66
Description-en: Kanji Dictionary
 The KANJIDIC file contains comprehensive information about Japanese kanji.
 It is a text file currently 6,355 lines long, with one line for each kanji
 in the two levels of the characters specified in the JIS X 0208-1990  set.

Package: kanjidic-xml
Description-md5: cecc10250e352c869f0cc3e06459b8e5
Description-en: Kanji Dictionary in XML format
 The KANJIDIC file contains comprehensive information about Japanese kanji.
 It is a XML file containing 6,355 kanji from JIS X 0208, the 5,801 kanji from
 JIS X 0212 and the 3,625 kanji from JIS X 0213.

Package: kanjipad
Description-md5: 1176760a183a8dc10e9d67a1578d027c
Description-en: handwriting recognition tool for Kanji
 Kanjipad translates drawings by the user into Kanji characters. Translated
 characters can be copied and pasted into other applications.

Package: kannel
Description-md5: 496efd0e8d7dea2d6c85ecee4567b956
Description-en: WAP and SMS gateway
 Kannel is a gateway for connecting WAP (Wireless Application Protocol)
 phones to the Internet.
 It also works as an SMS/SMPP gateway,
 for providing SMS based services for GSM phones.
 .
 Compiled with ssl, MySQL and native malloc.

Package: kannel-dev
Description-md5: 80d2d2d75a6f6dd8bdd104933ae92bee
Description-en: WAP and SMS gateway headers and development files
 Kannel is a gateway for connecting WAP (Wireless Application Protocol)
 phones to the Internet.
 It also works as an SMS/SMPP gateway,
 for providing SMS based services for GSM phones.
 .
 Headers and development files.

Package: kannel-docs
Description-md5: 6c82467e80c710c527d27a8304832c92
Description-en: WAP and SMS gateway documentation
 Kannel is a gateway for connecting WAP (Wireless Application Protocol)
 phones to the Internet.
 It also works as an SMS/SMPP gateway,
 for providing SMS based services for GSM phones.
 .
 Documentation in html, rtf and pdf format.

Package: kannel-extras
Description-md5: 62f84bcc8e8fc61a8605dcead04575db
Description-en: WAP and SMS gateway extras
 Kannel is a gateway for connecting WAP (Wireless Application Protocol)
 phones to the Internet.
 It also works as an SMS/SMPP gateway,
 for providing SMS based services for GSM phones.
 .
 Test utilities and contrib data.

Package: kannel-sqlbox
Description-md5: 09d5f4cbcdb73a98284fd51808bc3e6e
Description-en: SQL helper application for Kannel WAP and SMS gateway
 Kannel is a gateway for connecting WAP (Wireless Application Protocol)
 phones to the Internet. It also works as an SMS/SMPP gateway, for
 providing SMS based services for GSM phones.
 .
 Sqlbox is a special Kannel box that sits between bearerbox and smsbox
 and uses a database queue to store and forward messages.
 .
 Messages are queued on a configurable table (defaults to send_sms) and
 moved to another table (defaults to sent_sms) afterwards.
 .
 You can also manually insert messages into the send_sms table and they
 will be sent and moved to the sent_sms table as well. This allows for
 fast and easy injection of large amounts of messages into Kannel.

Package: kanshi
Description-md5: 0a31e55260897b894043d200baeb4a26
Description-en: Define output profiles that are automatically enabled and disabled on hotplug
 kanshi allows you to define output profiles that are automatically enabled and
 disabled on hotplug. For instance, this can be used to turn a laptop's internal
 screen off when docked.
 .
 This is a Wayland equivalent for tools like autorandr. kanshi can be used on
 Wayland compositors supporting the wlr-output-management protocol.

Package: kanyremote
Description-md5: 045cfff03f8c04739a66d5ab5681b8ab
Description-en: KDE frontend for anyRemote
 kAnyRemote package is KDE GUI frontend for anyRemote.
 (http://anyremote.sourceforge.net/). The overall goal of this project is to
 provide remote control service on Linux through Bluetooth, InfraRed, Wi-Fi
 or TCP/IP connection.

Package: kapidox
Description-md5: ae2f181e3b89af84af921a6537719af7
Description-en: API documentation generation tool for KDE frameworks
 Framework to aid in creating API documentation for KDE Frameworks in a
 standard format and style.
 .
 The Doxygen tool is used to do the actual documentation extraction and
 formatting, but this framework provides a wrapper script to make generating
 the documentation more convenient (including reading settings from the target
 framework or other module) and a standard template for the generated
 documentation.
 .
 Scripts currently provided are depdiagram-generate-all, kgenframeworksapidox,
 depdiagram-generate, kgenapidox, and depdiagram-prepare

Package: kapman
Description-md5: 1d94f103a6072e04515d6984872e0b4b
Description-en: Pac-Man clone
 Kapman is a clone of the well known game Pac-Man. You must go through the
 levels escaping ghosts in a maze. You lose a life when a ghost eats you, but
 you can eat the ghosts for a few seconds when eating an energizer. You win
 points when eating pills, energizers, and bonus, and you win one life for
 each 10,000 points.  When you have eaten all the pills and energizers of a
 level, you go to the next level, and the player and ghost speeds increase.
 .
 This package is part of the KDE games module.

Package: kapptemplate
Description-md5: bb6acc0d9611f4a00f6cbb6982de45c7
Description-en: application template generator
 KAppTemplate is a shell script that will create the necessary framework to
 develop several types of applications, including applications based on the
 KDE development platform.
 .
 It generates the build-system configuration and provides example code
 for a simple application.
 .
 This package is part of the KDE Software Development Kit module.

Package: kaptive
Description-md5: 96dbd0eadee18b136a9def4564674290
Description-en: obtain information about K and O types for Klebsiella genome assemblies
 Kaptive reports information about K and O types for Klebsiella genome
 assemblies.
 .
 Given a novel genome and a database of known loci (K or O), Kaptive will
 help a user to decide whether their sample has a known or novel locus.
 It carries out the following for each input assembly:
 .
  * BLAST for all known locus nucleotide sequences (using blastn) to
    identify the best match ('best' defined as having the highest
    coverage).
  * Extract the region(s) of the assembly which correspond to the BLAST
    hits (i.e. the locus sequence in the assembly) and save it to a
    FASTA file.
  * BLAST for all known locus genes (using tblastn) to identify which
    expected genes (genes in the best matching locus) are present/missing
    and whether any unexpected genes (genes from other loci) are present.
  * Output a summary to a table file.
 .
 In cases where your input assembly closely matches a known locus,
 Kaptive should make that obvious. When your assembly has a novel type,
 that too should be clear. However, Kaptive cannot reliably extract or
 annotate locus sequences for totally novel types - if it indicates a
 novel locus is present then extracting and annotating the sequence is up
 to you! Very poor assemblies can confound the results, so be sure to
 closely examine any case where the locus sequence in your assembly is
 broken into multiple pieces.

Package: kaptive-data
Description-md5: 91d426d8e3ef447d958962fa9c2a2384
Description-en: reference data for kaptive for Klebsiella genome assemblies
 Kaptive reports information about K and O types for Klebsiella genome
 assemblies.
 .
 Given a novel genome and a database of known loci (K or O), Kaptive will
 help a user to decide whether their sample has a known or novel locus.
 It carries out the following for each input assembly:
 .
  * BLAST for all known locus nucleotide sequences (using blastn) to
    identify the best match ('best' defined as having the highest
    coverage).
  * Extract the region(s) of the assembly which correspond to the BLAST
    hits (i.e. the locus sequence in the assembly) and save it to a
    FASTA file.
  * BLAST for all known locus genes (using tblastn) to identify which
    expected genes (genes in the best matching locus) are present/missing
    and whether any unexpected genes (genes from other loci) are present.
  * Output a summary to a table file.
 .
 In cases where your input assembly closely matches a known locus,
 Kaptive should make that obvious. When your assembly has a novel type,
 that too should be clear. However, Kaptive cannot reliably extract or
 annotate locus sequences for totally novel types - if it indicates a
 novel locus is present then extracting and annotating the sequence is up
 to you! Very poor assemblies can confound the results, so be sure to
 closely examine any case where the locus sequence in your assembly is
 broken into multiple pieces.
 .
 This package contains a reference database.  Its not necessarily used
 to run kaptive since you can use your own database.

Package: kaptive-example
Description-md5: a3a7f5f174faf1a4cc54bc527349a2ee
Description-en: example data for kaptive for Klebsiella genome assemblies
 Kaptive reports information about K and O types for Klebsiella genome
 assemblies.
 .
 Given a novel genome and a database of known loci (K or O), Kaptive will
 help a user to decide whether their sample has a known or novel locus.
 It carries out the following for each input assembly:
 .
  * BLAST for all known locus nucleotide sequences (using blastn) to
    identify the best match ('best' defined as having the highest
    coverage).
  * Extract the region(s) of the assembly which correspond to the BLAST
    hits (i.e. the locus sequence in the assembly) and save it to a
    FASTA file.
  * BLAST for all known locus genes (using tblastn) to identify which
    expected genes (genes in the best matching locus) are present/missing
    and whether any unexpected genes (genes from other loci) are present.
  * Output a summary to a table file.
 .
 In cases where your input assembly closely matches a known locus,
 Kaptive should make that obvious. When your assembly has a novel type,
 that too should be clear. However, Kaptive cannot reliably extract or
 annotate locus sequences for totally novel types - if it indicates a
 novel locus is present then extracting and annotating the sequence is up
 to you! Very poor assemblies can confound the results, so be sure to
 closely examine any case where the locus sequence in your assembly is
 broken into multiple pieces.
 .
 This package contains some example data.

Package: karbon
Description-md5: c543dbc851e9639d2f9bd45d7103ac4e
Description-en: vector graphics application for the Calligra Suite
 Karbon is a vector drawing application with an user interface that is easy to
 use, highly customizable and extensible. That makes Karbon a great application
 for users starting to explore the world of vector graphics as well as for
 artists wanting to create breathtaking vector art. Features include:
 .
  * Loading support for ODG, SVG, WMF, WPG, EPS/PS
  * Writing support for ODG, SVG, WMF, PNG, PDF
  * Customizable user interface with freely placeable toolbars and dockers
  * Layer docker for easy handling of complex documents including preview
    thumbnails, support for grouping shapes via drag and drop,
    controlling visibility of shapes or locking
  * Advanced path editing tool with great on-canvas editing capabilities
  * Various drawing tools for creating path shapes including a draw path
    tool and a pencil tool, as well as a calligraphy drawing tool
  * Gradient and pattern tools for easy on-canvas editing of gradient and
    pattern styles
  * Top notch snapping facilities for guided drawing and editing (e.g.
    snapping to grid, guide lines, path nodes, bounding boxes, orthogonal
    positions, intersections of path shapes or extensions of lines and
    paths)
  * Many predefined basic shapes included, such as circle/ellipse, star or
    rectangle
  * Artistic text shape with support for following path outlines
    (i.e. text on path)
  * Complex path operations and effects like Boolean set operations,
    path flattening, rounding and refining as well as whirl/pinch effects
  * Extensible by writing plugins for new tools, shapes and dockers
 .
 This package is part of the Calligra Suite.

Package: karma-tools
Description-md5: 1dc408b4fbf4468cd0413ad3f4264ac0
Description-en: Rio Karma access library [tools]
 Some basic utilities for use with the Rio Karma music player.
 Includes:
 .
   * chprop - changes file properties on the Rio Karma
   * karma_helper - adjusts the state of the Rio Karma
   * riocp - transfers files to and from the Rio Karma

Package: kasumi
Description-md5: 5060871e133ec0c17bd629aaced6160c
Description-en: Simple dictionary utility for Anthy
 Kasumi is a personal dictionary management tool for Anthy.
 Anthy is a Japanese input method to convert Hiragana text to
 Kana Kanji mixed text.
 .
 Featuring add words, edit words, delete words, search words
 and so on.

Package: katarakt
Description-md5: 9bb61c087dad6d85cd32f503791918ba
Description-en: simple PDF viewer with two layouts
 It's a PDF viewer. It views PDFs.
 .
 There are currently two layouts. The presentation layout is very
 simple and only supports scrolling on a per page basis. As the name
 suggests the current page is displayed in the center and zoomed to
 fit the window. It is active by default.
 .
 The grid layout is much more advanced and offers continuous (smooth,
 per pixel) scrolling, zooming and adjusting the column count. Pages
 keep their correct relative size and are shown in a grid.

Package: kate
Description-md5: ab2572368eb6cf239ac558f6cd35a97c
Description-en: powerful text editor
 Kate is a powerful text editor that can open multiple files simultaneously.
 .
 With a built-in terminal, syntax highlighting, and tabbed sidebar, it performs
 as a lightweight but capable development environment.  Kate's many tools,
 plugins, and scripts make it highly customizable.
 .
 Kate's features include:
 .
  * Multiple saved sessions, each with numerous files
  * Scriptable syntax highlighting, indentation, and code-folding
  * Configurable templates and text snippets
  * Symbol viewers for C, C++, and Python
  * XML completion and validation

Package: kate5-data
Description-md5: 9cc10e9ab24f92570b1e4c14c048fb69
Description-en: shared data files for Kate text editor
 This package contains the architecture-independent shared data files needed
 for Kate editor

Package: katomic
Description-md5: c9c644628319d8086ad12b5fc03eb370
Description-en: atomix puzzle game
 KAtomic is a puzzle game in which the player slides atoms around the board to
 assemble a molecule.
 .
 This package is part of the KDE games module.

Package: kawari8
Description-md5: 1d68762b755b9f76813a648cf0346d13
Description-en: Pseudo AI Shiori module used to create ghosts for Ukagaka
 Kawari is a conversation generating script language. It is usually used to
 develop ghosts for Ukagaka platform.
 .
 Ukagaka, also known as Nanika, is a platform on which provides mascot
 characters for the user's desktop. These mascot characters can say something
 which is programmatically generated. Conversations can be generated by
 programs which follows the Shiori standard. And the conversation-generating
 script we called it "ghost" which means the spirit of the mascot character.
 .
 This package also install the kawari8 plug-in for ninix-aya in order to
 perform ghosts based on kawari8.

Package: kazam
Description-md5: 90b8a4ef57e8f48748c38eaeb21cba23
Description-en: screencast and screenshot application created with design in mind
 Kazam provides a well designed and easy to use interface for capturing
 screencasts and screenshots. It can record desktop video and multiple audio
 streams simultaneously with control over audio levels and the screen region
 being captured.
 .
 Support for H264 and VP8 codecs is built in.

Package: kbackup
Description-md5: 52ecfbcb8cb06bfd16da7fce2d580b3a
Description-en: Easy to use backup program
 Kbackup is a program that lets you back up any directories or files. It uses
 an easy to use directory tree to select the things to back up and lets you
 save your settings in "profile" files. These are simple textfiles containing
 definitions for directories and files to be included or excluded from the
 backup process.

Package: kball
Description-md5: f790e83fc2008fab8605703f9560124a
Description-en: game of skill and reflexes for all the family
 A game of skill and reflexes, non violent, suitable for all ages. The idea
 is to move the ball around the map, without falling, without running out of
 time, and getting the prizes, in order to reach the exit. The main feature
 of the game is the built-in map editor, so anybody can make their own maps.

Package: kball-data
Description-md5: 104f288a0598552ec5eef7a900cab9fe
Description-en: game of skill and reflexes for all the family - data files
 A game of skill and reflexes, non violent, suitable for all ages. The idea
 is to move the ball around the map, without falling, without running out of
 time, and getting the prizes, in order to reach the exit. The main feature
 of the game is the built-in map editor, so anybody can make their own maps.
 .
 This package includes the data of the game.

Package: kbdd
Description-md5: 0ef619386de66e6e66c1fb900c03ccc9
Description-en: Per-window keyboard layout switching daemon for X
 KBDD stands for keyboard daemon. It is a simple keyboard layout
 switching program, which is designed to run in an X11 session and
 remember keyboard layouts on a per-window basis. That can be very
 handy for a user of a non-US keyboard who does not want to jump
 through layouts back and forth while typing in terminals (mostly in
 latin) and some kind of chat (in native language). Another useful
 thing about KBDD is its D-Bus notification support — it can emit
 signals on layout change, thus, making it possible to create layout
 indicator widgets in such window managers as awesome, for example.
 .
 Users of popular desktop environments such as GNOME or KDE most
 likely do not need this package, as a similar functionality should
 be already available within their environment. This package may be
 of interest, however, for the users of tiling or dynamic window
 managers such as dwm or awesome.

Package: kbibtex
Description-md5: ef34b0b7e75860df9deab7756f162d34
Description-en: BibTeX editor for KDE
 An application to manage bibliography databases in the BibTeX format. KBibTeX
 can be used as a standalone program, but can also be embedded into other KDE
 applications (e.g. as bibliography editor into Kile).
 .
 KBibTeX can query online resources (e.g. Google scholar) via customizable
 search URLs. It is also able to import complete datasets from NCBI Pubmed.
 It also supports tagging references with keywords and manages references to
 local files.
 .
 BibTeX files can be exported into HTML, XML, PDF, PS and RTF format using a
 number of citation styles.

Package: kbibtex-data
Description-md5: 38fe933f178772eaa5dc57f3e53e6aa3
Description-en: BibTeX editor for KDE -- common data
 An application to manage bibliography databases in the BibTeX format. KBibTeX
 can be used as a standalone program, but can also be embedded into other KDE
 applications (e.g. as bibliography editor into Kile).
 .
 KBibTeX can query online resources (e.g. Google scholar) via customizable
 search URLs. It is also able to import complete datasets from NCBI Pubmed.
 It also supports tagging references with keywords and manages references to
 local files.
 .
 BibTeX files can be exported into HTML, XML, PDF, PS and RTF format using a
 number of citation styles.
 .
 This package include common data needed by kbibtex binaries.

Package: kblackbox
Description-md5: 4de8b1d57649213f0f71702357fab729
Description-en: Black Box puzzle game
 KBlackBox is a game of hide and seek played on an grid of boxes, where the
 player shoots rays into the grid to deduce the positions of hidden objects.
 .
 This package is part of the KDE games module.

Package: kblocks
Description-md5: 9e688237d7d76557776ea028205cfa20
Description-en: falling blocks game
 KBlocks is a single player falling blocks puzzle game, a Tetris-like
 replacement for KSirtet.
 .
 This package is part of the KDE games module.

Package: kboot-utils
Description-md5: cfe9089d4a85ca30d586a16b70d49199
Description-en: helper tools to generate a kboot.conf file
 kboot-utils provides helper tools to automatically generate a kboot.conf file
 from entries in /boot.
 .
 kboot.conf is used for instance by the petitboot bootloader, which is used on
 the Sony PlayStation 3 in OtherOS mode; petitboot reads the file from the root
 filesystem in order to list kernels to boot.

Package: kbounce
Description-md5: df0e749a9563ae02a5eed508f8558420
Description-en: Jezzball arcade game
 KBounce is a game where the player builds walls to confine a number of
 bouncing balls.
 .
 This package is part of the KDE games module.

Package: kbreakout
Description-md5: 0981e70bbeb150eac27aeb06843f7278
Description-en: ball and paddle game
 kbreakout is a game similar to the classics breakout and xboing, featuring
 a number of added graphical enhancements and effects.  You control a paddle
 at the bottom of the playing-field, and must destroy bricks at the top
 by bouncing balls against them.
 .
 This package is part of the KDE games module.

Package: kbruch
Description-md5: 2b96a4ef0de8a316ebf8e0a2bb1fc180
Description-en: fraction learning aid for KDE
 KBruch is an aid for learning how to calculate with fractions.
 .
 This package is part of the KDE education module.

Package: kbtin
Description-md5: 5d373f347b0f96b2b0d745b297c00aba
Description-en: tintin++ style text-based MUD client
 KBtin is a console MUD client based on the once-popular tintin++.
 It is not limited for mudding, and can be used for running line-based local
 programs like adventure, mysqlclient, dpkg-buildpackage or piuparts.

Package: kbuild
Description-md5: a6a88ec57452f04f777c23642a30d83d
Description-en: framework for writing simple makefiles for complex tasks
 The goals of the kBuild framework:
  - Similar behavior cross all supported platforms.
  - Flexibility, don't create unnecessary restrictions preventing ad-hoc
    solutions.
  - Makefile can very simple to write and maintain.
 .
 There are four concepts being tried out in the current kBuild incaration:
  - One configuration file for a subtree automatically included.
  - Target configuration templates as the primary mechanism for makefile
    simplification.
  - Tools and SDKs for helping out the templates with flexibility.
  - Non-recursive makefile method by using sub-makefiles.
 .
 kBuild does not provide any facilities for checking compiler/library/header
 configurations, that's not in its scope. If this is important for your
 project, check out the autoconf tool in the GNU build system. It is possible
 to use kBuild together with autoconf if you like, but you might just as well
 use the full GNU package.

Package: kcachegrind
Description-md5: 4b04636e1d2e5397cf2634cf35707d11
Description-en: visualisation tool for the Valgrind profiler
 KCachegrind is a visualisation tool for the profiling data generated
 by calltree, a memory profiling tool for valgrind.  Any executable can be
 profiled using calltree without being recompiled, including multi-threaded
 applications, shared libraries, and plugins.
 .
 For visualising the output from profiling tools other than Valgrind, several
 converters can be found in the kcachegrind-converters package.
 .
 This package is part of the KDE Software Development Kit module.

Package: kcachegrind-converters
Description-md5: a1fe75dadca76872a03d64da4433ef78
Description-en: format converters for KCachegrind profiler visualisation tool
 This is a collection of scripts for converting the output from several
 different profiling tools into a format that KCachegrind can display.
 .
 KCachegrind is a visualisation tool for the profiling data generated
 by calltree, a memory profiling tool for valgrind.  Executables can be
 profiled using calltree without being recompiled, including multi-threaded
 applications, shared libraries, and plugin architectures.
 .
 The included scripts are:
 .
   * dprof2calltree: converts the tmon.out output of Perl's Devel::DProf package
   * hotshot2calltree: converts the pstat output of the hotshot Python profiler
   * memprof2calltree: converts the memory profiles of memprof
   * op2calltree: converts the OProfile's output of "opreport -gdf" (v 0.8)
   * pprof2calltree: converts the pprof output from APD
 .
 This package is part of the KDE Software Development Kit module.

Package: kcalc
Description-md5: e82ed4e5e66f53562d4e898716e5e775
Description-en: simple and scientific calculator
 KCalc is a scientific calculator.
 .
 KCalc provides:
  * Trigonometric functions, logic operations, and statistical calculations
  * Calculation in decimal, hexadecimal, octal, and binary bases
  * Memory functions for storing results
  * A comprehensive collection of mathematical constants
 .
 This package is part of the KDE Utilities module.

Package: kcapi-tools
Description-md5: 7eab4ce659860e810ca8109938df8d3f
Description-en: Command-line tools for Linux Kernel Crypto API
 The Linux kernel exports a Netlink interface of type AF_ALG to allow user
 space to utilize the kernel crypto API. libkcapi uses this Netlink interface
 and exports easy to use APIs so that a developer does not need to consider the
 low-level Netlink interface handling.
 .
 The library does not implement any cipher algorithms. All consumer requests
 are sent to the kernel for processing. Results from the kernel crypto API
 are returned to the consumer via the library API.
 .
 The kernel interface and therefore this library can be used by unprivileged
 processes.
 .
 Install this package for the kcapi-dgst, kcapi-enc & kcapi-rng applications

Package: kcc
Description-md5: 9a8106f03ffc26b71a786082b01c103f
Description-en: Kanji code filter
 kcc can converts various Japanese encodings such as EUC-JP,
 7bitJIS, 8bitJIS and ShiftJIS with Half-width Kana supports.
 kcc can detect these encoding automatically as much as possible.
 .
 Sponsored by Fumitoshi UKAI <ukai@debian.or.jp>

Package: kcharselect
Description-md5: e506cbd8befd6637870c979fcdc9ab3a
Description-en: special character utility
 KCharSelect is a utility for finding special characters which are not
 available on the keyboard.  It can search for characters by Unicode number,
 display characters in any font, and copy characters to the clipboard so they
 can be pasted into documents.
 .
 This package is part of the KDE utilities module.

Package: kcheckers
Description-md5: 63b8c4acd4b02885220d44ff458ef433
Description-en: Checkers boardgame
 kcheckers is a Qt version of the classic boardgame "checkers", also known as
 "draughts".  It has the following features:
  * Built-in checkers engine.
  * Beginner, Novice, Average, Good, Expert and Master levels of skill.
  * Several themes of the board.
  * Portable Draughts Notation database format support.
  * Saving, loading and restarting of game.
  * Auto change of the men's color.
  * Multiple Undos/Redos.
  * Optional numeration of the board.
  * Internationalization support (English, German, Catalan, French and Spanish).

Package: kchmviewer
Description-md5: 7ca16d9580fc5de3f84374e0e3bb798a
Description-en: CHM viewer for KDE
 KchmViewer is a chm (MS HTML help file format) viewer, written in C++.
 Unlike most existing CHM viewers for Unix, it uses Trolltech Qt widget
 library, and does not depend on KDE or GNOME. However, it may be compiled
 with full KDE support, including KDE widgets and KIO/KHTML.
 .
 The main advantage of KchmViewer is non-English language support. Unlike
 others, KchmViewer in most cases correctly detects help file encoding,
 correctly shows tables of context of Russian, Korean, Chinese and Japanese
 help files, and correctly searches in non-English help files (search for
 MBCS languages - ja/ko/ch is still in progress).
 .
 Completely safe and harmless. Does not support JavaScript in any way,
 optionally warns you before opening an external web page, or switching to
 another help file. Shows an appropriate image for every TOC entry.
 .
 KchmViewer Has complete chm index support, including multiple index entries,
 cross-links and parent/child entries in index as well as Persistent bookmarks
 support. Correctly detects and shows encoding of any valid chm file.

Package: kcollectd
Description-md5: 492193e7b867b389bb6e6ea05b74ba76
Description-en: simple collectd graphing front-end for KDE
 This package provides a basic KDE application for viewing RRD files
 created by collectd, the system statistics storage daemon. It allows
 easy mouse-driven navigation through data collections and can be used
 as a virtual chart recorder.

Package: kcolorchooser
Description-md5: ca21cbab5c251321a8a39106095f6d32
Description-en: color chooser and palette editor
 KColorChooser is a color palette tool, used to mix colors and create custom
 color palettes.  Using the dropper, it can obtain the color of any pixel on
 the screen.
 .
 A number of common color palettes are included, such as the standard Web colors
 and the Oxygen color scheme.
 .
 This package is part of the KDE graphics module.

Package: kconfig-frontends
Description-md5: ebde9ec5f7f96309251d1a8b67f81823
Description-en: Standalone implementation of the Linux Kconfig parser and frontend
 Kconfig-frontends provides the kconfig parser, as well as all the frontends
 (dialog, ncurses, Qt and Gtk based) to configure and generate config files
 and config headers for various projects.

Package: kcov
Description-md5: 3469e2689626b69ae766ed945b1ddbc5
Description-en: Code coverage analysis using DWARF debugging information
 Kcov is a code coverage tester based on bcov by Thomas Neumann. It allows
 collecting code coverage information from executables without special
 command-line arguments, and continuosly produces output from long-running
 applications.
 .
 Kcov, like Bcov, uses DWARF debugging information to make this possible.

Package: kcptun
Description-md5: 8f2252f4687508313fd11f75a5079874
Description-en: Simple UDP Tunnel Based On KCP
 kcptun is a remote port forwarding tool by converting TCP stream into
 UDP stream in KCP protocol, in order to achieve higher throughput or
 lower latency with rich tuneable options.

Package: kdc2tiff
Description-md5: 43fcc0c7095b2146128c6cd5c3599bc2
Description-en: convert Kodac kdc files to jpeg or tiff
 Convert kdc files as created by KodaK DC-120 digital cameras to tiff or
 jpeg with attention to aspect ratio, accurate scaling, contrast
 adjustment, gamma correction and image rotation.

Package: kde-baseapps
Description-md5: 77088f0da9466f1f5b26057c5e994301
Description-en: base applications from the official KDE release (metapackage)
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This package provides core applications for the desktop.

Package: kde-cli-tools
Description-md5: ec416f18a4737b8b6cc6e006a745596f
Description-en: tools to use KDE services from the command line
 These command line tools enable you to use KDE services such as
 kioslaves, kdesu, QtSVG, kcontrol modules, KDE trader and start
 applications from the command line.
 .
 This package is part of the KDE Plasma.

Package: kde-cli-tools-data
Description-md5: f4fd4613a60dec4dc609bfe3c66e0aca
Description-en: tools to use kioslaves from the command line
 These command line tools enable you to use KDE services such as
 kioslaves, kdesu, QtSVG, kcontrol modules, KDE trader and start
 applications from the command line.
 .
 This package is part of the KDE Plasma.
 .
 This package contains the data files

Package: kde-config-cddb
Description-md5: 57d2710bd3d8b5f8ee4800c30aa6a38e
Description-en: CDDB retrieval configuration
 This package contains a configuration module for tweaking CDDB retrieval
 settings for applications using the libkcddb library from the KDE Multimedia
 Platform.
 .
 This package is part of the KDE multimedia module.

Package: kde-config-cron
Description-md5: d78d91dad0aad822ffaf90bde4327d02
Description-en: program scheduler frontend
 kde-config-cron is a KDE configuration module for scheduling programs to run
 at specific intervals using cron, the UNIX scheduling service.
 .
 This package is part of the KDE administration module.

Package: kde-config-fcitx
Description-md5: 9dd97040af881048a59954f72cf6afc6
Description-en: KDE configuration module for Fcitx
 This is a configuration module for System Settings for configuring
 the Free Chinese Input Toy of X.
 .
 It is designed to have similar functionalities to fcitx-config-gtk,
 with better KDE integration.

Package: kde-config-gtk-style
Description-md5: 4dc6450d1efb836a2161212dc3dfe9ee
Description-en: KDE configuration module for GTK+ 2.x and GTK+ 3.x styles selection
 Configuration dialog to adapt GTK+ applications appearance to your taste
 under KDE. Among its many features, it lets you:
  - Choose which theme is used for GTK+ 2.x and GTK+ 3.x applications.
  - Tweak some GTK+ applications behaviour.
  - Select what icon theme to use in GTK+ applications.
  - Select GTK+ applications default fonts.
  - Easily browse and install new GTK+ 2.x and GTK+ 3.x themes.
 .
 This package contains kde-gtk-config module for KDE System Settings and
 common files (icons, localization files and etc).

Package: kde-config-gtk-style-preview
Description-md5: 7625f65c3ca5662d9a04f90cf47eb8ea
Description-en: KDE configuration module for GTK+ 2.x and GTK+ 3.x styles selection (extras)
 Configuration dialog to adapt GTK+ applications appearance to your taste
 under KDE. Among its many features, it lets you:
  - Choose which theme is used for GTK+ 2.x and GTK+ 3.x applications.
  - Tweak some GTK+ applications behaviour.
  - Select what icon theme to use in GTK+ applications.
  - Select GTK+ applications default fonts.
  - Easily browse and install new GTK+ 2.x and GTK+ 3.x themes.
 .
 This package contains binary files for previewing Gtk themes and applying
 them without restarting of Gtk applications.

Package: kde-config-mailtransport
Description-md5: 09d3fd0568af471ddc41227d18d51aeb
Description-en: mail transport service KCM
 Mailtransport is a library that provides the following functionality:
 .
  * Shared mail transport settings.
  * GUI elements to configure mail transport settings.
  * Job classes for mail sending.
 .
 This package also contains a KDE control module which can be embedded
 into your application to provide mail transport configuration.

Package: kde-config-plymouth
Description-md5: 199f5c2c525ebc8cef6be05b5981f385
Description-en: KCM for Plymouth
 KDE systemsettings module to manage plymouth theming.

Package: kde-config-screenlocker
Description-md5: 0461dd20309a7615d5567aae126edc55
Description-en: KCM Module for kscreenlocker
 KDE systemsettings module to configure kscreenlocker

Package: kde-config-sddm
Description-md5: c4d0e4cd0506a50301b0cbabb884d63f
Description-en: KCM module for SDDM
 KDE systemsettings module to configure SDDM login manager.

Package: kde-config-systemd
Description-md5: 43d6f2bcc491dc37c94308d93eabd8ba
Description-en: KDE control center module for Systemd
 This package is a KDE Control Center module for the systemd daemon.
 It is capable of starting and stopping systemd units.
 In addition, it allows for the configuration of several systemd
 parameters.

Package: kde-config-tablet
Description-md5: 849e69ad873a82cfd727d49f6d7f75f4
Description-en: implements a KDE configuration GUI for the Wacom drivers
 KDE Wacom tablet KCModule
 .
 This module implements a GUI for the Wacom Linux Drivers and extends it
 with profile support to handle different button / pen layouts per profile.
 .
 For hardware support have a look at http://www.linuxwacom.sourceforge.net
 .
 All tablets can be set up as long as they are found via xorg.conf or HAL
 configuration.

Package: kde-config-telepathy-accounts
Description-md5: ef66e560b380938d5c629489dde50936
Description-en: KDE Control Module for managing Telepathy Accounts
 This package provides a KControl module for adding, editing and removing
 Telepathy accounts. This module can be accessed from system settings
 under a KDE Plasma desktop.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-config-touchpad
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: kde-config-whoopsie
Description-md5: be5a6d1f7768991e1364e20acb9fe110
Description-en: Configuration for Whoopsie
 KConfig module for configuration of Whoopsie, the automatic error reporting
 system from Canonical used in Ubuntu flavours.

Package: kde-full
Description-md5: 2a5a2a91383527e4dbde0d70f736a8b7
Description-en: complete KDE Software Compilation for end users
 KDE is the powerful, integrated, and easy-to-use Free Software desktop
 platform and suite of applications.
 .
 This metapackage includes all the official modules released with KDE Sotware
 Compilation that are not specific to development and as well other KDE
 applications that are useful for a desktop user. This includes multimedia,
 networking, graphics, education, games, system administration tools, and other
 artwork and utilities.

Package: kde-plasma-desktop
Description-md5: dda525830e71382895be1bce7ba76f00
Description-en: KDE Plasma Desktop and minimal set of applications
 KDE is the powerful, integrated, and easy-to-use Free Software desktop
 platform and suite of applications.
 .
 This metapackage pulls in the core modules released with the KDE Software
 Compilation including the basic KDE Plasma Desktop, minimal set of basic
 applications (browser, file manager, text editor, system settings, panel,
 etc.), important libraries and data.

Package: kde-service-menu-fuseiso
Description-md5: c35711cdd798f2081aac32f923ab862f
Description-en: Small set of service menu entries for KDE to access ISO images
 Mount and unmount ISO images from Dolphin, Konqueror and other KDE file views.

Package: kde-spectacle
Description-md5: 95ca0633f14406ddec3aadca4f76a65b
Description-en: Screenshot capture utility
 Spectacle captures images of the screen. It can capture the whole screen,
 a specified region, an individual window, or only part of a window.

Package: kde-standard
Description-md5: 22b1a1d202f3643155a96f5ad211c0fb
Description-en: KDE Plasma Desktop and standard set of applications
 The KDE Software Compilation is the powerful, integrated, and easy-to-use Free
 Software desktop platform and suite of applications.
 .
 This metapackage includes the KDE Plasma Desktop and a selection of the most
 common used applications in a standard KDE desktop.

Package: kde-style-breeze
Description-md5: 20c52eb5b3cb5345027f447533e5f9c2
Description-en: Widget style for Qt and KDE Software
 This is the default widget style for KDE's Plasma desktop.
 .
 This package is part of the Breeze theme module.

Package: kde-style-oxygen-qt5
Description-md5: ed5bd6595528ec9657ad5c728258d9c8
Description-en: Qt decoration for the Oxygen desktop theme
 The Oxygen desktop theme is used by Plasma and other KDE Software.
 .
 This package contains the Qt 5 widget theme.

Package: kde-style-qtcurve-qt5
Description-md5: d3f0d8bc7140c776254c7db4982f99dd
Description-en: QtCurve widget style for applications based on Qt 5.x
 This package contains the QtCurve widget style for Qt 5.x.
 .
 The corresponding GTK+ theme engine can be found in gtk2-engines-qtcurve
 package.
 .
 QtCurve is a set of widget styles for Qt and GTK+ libraries. It provides a
 consistent look between KDE, GNOME and other applications based on these
 libraries, which is easy on the eyes and visually pleasing.

Package: kde-telepathy
Description-md5: 6e3391ed1a15003782f262208b149e20
Description-en: metapackage for installing all the KDE Telepathy components
 KDE Telepathy aims to integrate real-time communication and collaboration
 features into the KDE Plasma Workspaces. It provides means to connect
 to several instant messaging networks, chat with your friends and
 collaborate with them.
 .
 KDE Telepathy consists of several independent components that need to be
 used together to provide all the available features. This package is
 a metapackage that installs all those components.
 .
 Apart from the dependencies that this metapackage pulls, you will also
 need to install at least one of the telepathy-gabble, telepathy-salut,
 telepathy-haze packages to be able to connect to your IM networks.
 gabble provides xmpp (jabber, google talk/plus, facebook), salut provides
 link-local xmpp (using avahi) and haze provides most other common protocols.

Package: kde-telepathy-approver
Description-md5: 1428c5cd34ccbf21d3c7060ebf2b05f9
Description-en: KDED module for approving incoming conversations
 This package provides a KDED module that starts together with the KDE
 Plasma Desktop and shows a notification popup when someone from your
 contacts starts chatting with you.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-telepathy-auth-handler
Description-md5: f629091e13ce0cbc2cbfcf8ec2f92d59
Description-en: KDE Telepathy authentication handler
 This package provides a Telepathy handler for the ServerAuthentication
 and ServerTLSConnection Telepathy channel types, which means that it
 provides passwords to connection managers (and stores them using KWallet)
 and also handles SSL certificate errors in encrypted IM connections.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-telepathy-call-ui
Description-md5: c3cc9b9615b90fa216ef3183ec8808a5
Description-en: KDE Telepathy UI for audio/video calls
 This package provides the KDE Telepathy component that enables doing
 audio/video calls on XMPP/Jingle and SIP.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-telepathy-contact-list
Description-md5: 6b4c7b1bfd5e26cf20386710247d3c2d
Description-en: Telepathy contact list for the KDE Plasma Desktop
 This package provides a contact list application based on telepathy,
 which allows you to list your friends from all your IM accounts and
 begin chatting with them.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-telepathy-data
Description-md5: 78beb54fc34403c5b8e967e1b050b30e
Description-en: Shared data for all the KDE Telepathy components
 This package contains KDE Telepathy shared data.
 .
 These various data files are used by nearly all the different KDE
 Telepathy components, and include files such as icons and
 translations.

Package: kde-telepathy-debugger
Description-md5: 8c1895ba5d5117d59dfa8095b2a89f12
Description-en: Debugging tool for KDE Telepathy
 This package contains a GUI debugging tool which can be used to debug
 issues in Telepathy.
 .
 It will show the debugging messages from the various telepathy
 components.

Package: kde-telepathy-desktop-applets
Description-md5: 3779a552c3837a0caa2e8207b3323bde
Description-en: KDE Telepathy contact plasmoid
 This package provides widgets that allow you to have any
 of your IM contacts easily accessible as an icon on your desktop.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-telepathy-filetransfer-handler
Description-md5: 7089ca5dbaa2544e024598439aa87c49
Description-en: KDE Telepathy file transfer handler
 This package provides a helper application that handles telepathy
 file transfer operations inside a KDE Workspace.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-telepathy-integration-module
Description-md5: 93946587a725063a97d08022767c30a1
Description-en: Telepathy integration module for the KDE Workspace
 This package contains a kded module that provides KDE Workspace
 integration for KDE Telepathy, with features such as global presence,
 auto away, now playing, connection error handling and more.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-telepathy-kaccounts
Description-md5: 1a7d09dabd98a9ed9aae6addf7771b9e
Description-en: kaccounts plugins for kde-telepathy
 This links KDE telepathy to kaccounts and vice versa, allowing migrating
 settings from telepathy internal storage to a system-wide shared accounts
 management system.
 .
 This contains plugins to work with kaccounts.

Package: kde-telepathy-kpeople
Description-md5: 93fd0b52466efe22ea3a3d52be26ed25
Description-en: kpeople plugins for kde-telepathy
 This contains plugins to allow kde-telepathy to use kpeople,
 This is necessary for grouping multiple IM accounts into
 meta-contacts.

Package: kde-telepathy-minimal
Description-md5: 495bdadb9f9ff883fdf8e70c0deb1b86
Description-en: metapackage for installing the basic KDE Telepathy components
 KDE Telepathy aims to integrate real-time communication and collaboration
 features into the KDE Plasma Workspaces. It provides means to connect
 to several instant messaging networks, chat with your friends and
 collaborate with them.
 .
 KDE Telepathy consists of several independent components that need to be
 used together to provide all the available features. This package is
 a metapackage that installs the most basic components, for the most simple
 use case. For installing all the components, use the kde-telepathy
 metapackage.
 .
 Apart from the dependencies that this metapackage pulls, you will also
 need to install at least one of the telepathy-gabble, telepathy-salut,
 telepathy-haze packages to be able to connect to your IM networks.
 gabble provides xmpp (jabber, google talk/plus, facebook), salut provides
 link-local xmpp (using avahi) and haze provides most other common protocols.

Package: kde-telepathy-send-file
Description-md5: 6903d85256d299ae1ad892a79a56ac36
Description-en: KDE Telepathy dolphin/konqueror integration plugin
 This package provides a dolphin/konqueror plugin that allows you
 to easily send files to your contacts from a context menu action.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-telepathy-text-ui
Description-md5: ac085ba1f0fa29bd5c449abb2070708f
Description-en: Telepathy text chat UI for the KDE Plasma Desktop
 This package provides a telepathy handler for text channels, a program
 that in other words allows you to chat with your contacts using instant
 text messages.
 .
 In addition, this package also provides a UI for viewing telepathy
 text chat logs.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: kde-thumbnailer-deb
Description-md5: fe7b630f34508b498ac0af7a4d6b95d9
Description-en: KDE plugin to show thumbnails of Debian package files
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 A plugin for KDE file managers (Dolphin and Konqueror) to preview Debian
 packages (.deb files) as thumbnails.

Package: kde-window-manager
Description-md5: e67011e711b5bf92533b5eee72850124
Description-en: transitional package for kwin-x11
 This transitional package allows one to migrate to the packages providing
 the X11 version of the KDE window manager.
 .
 It can be safely removed after the installation.

Package: kde-zeroconf
Description-md5: b20dc98160f5661050652e288f0963a5
Description-en: zeroconf plugins and kio slaves for KDE
 Zeroconf is an implementation of IPv4 link-local addresses (RFC3927)
 which can be used for ad-hoc networks.  Addresses are allocated from
 the 169.254.0.0/16 range semi-randomly.
 .
 Keywords: avahi, dnssd.
 .
 This package is part of the KDE networking module.

Package: kdeaccessibility
Description-md5: edcc8474c7d4fe0ea99ceb99a05a6589
Description-en: accessibility packages from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes a collection of programs to make KDE more
 accessible, provided with the official release of KDE.

Package: kdeadmin
Description-md5: bdaa2e3ac823ec2a9fdd8f424e8bdc4a
Description-en: system administration tools from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes a collection of system administration tools
 provided with the official release of KDE.

Package: kdebugsettings
Description-md5: 6b7f529f8c04a4b9b291a464d370a1a6
Description-en: application to enable/disable qCDebug settings
 Qt applications use QDebug classes to print out warnings and errors on
 a terminal.  This applications is a simple GUI to turn on or off
 these messages.

Package: kdeconnect
Description-md5: c5715225d9774420a8aafabc0c431bff
Description-en: connect smartphones to your desktop devices.
 Tool to integrate your smartphone, Tablet, and desktop devices.
 Remote-control, share files, synchronize notifications, and more!
 At the moment it only supports Android-based mobile devices. Linux desktop
 devices are well-supported, with ports available for other operating systems.

Package: kded5
Description-md5: aecaa0fee03ee49d8e41b3ae3658a551
Description-en: Extensible daemon for providing session services
 KDED runs in the background and performs a number of small tasks.
 Some of these tasks are built in, others are started on demand.

Package: kded5-dev
Description-md5: 999d791f3e09ab001fcb13b0ec1e4c1c
Description-en: Extensible daemon for providing session services
 KDED runs in the background and performs a number of small tasks.
 Some of these tasks are built in, others are started on demand.
 .
 Contains development files.

Package: kdeedu
Description-md5: 0a3dd841e273bd2df44bda7e90f9fc48
Description-en: educational applications from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes educational applications provided with the
 official release of KDE.

Package: kdeedu-data
Description-md5: 35ae641c83860283ba500339fa4e167c
Description-en: data files for KDE education applications
 This package contains the architecture-independent data files for
 the KDE education applications.
 .
 This package is part of the KDE education module.

Package: kdeedu-kvtml-data
Description-md5: b346231aeecb8f226137f916998bd3f9
Description-en: KVTML files for KDE-Edu programs
 This package contains KVTML files for several KDE-Edu applications, such as
 KAnagram, KHangman, and KWordQuiz.
 .
 This package is part of the KDE education module.

Package: kdegames
Description-md5: d6e0536ebe2fb4dbb9d323c69fb612d5
Description-en: games from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes a collection of games provided with the official
 release of KDE.

Package: kdegames-card-data-kf5
Description-md5: 65704adac43b29d2c0e233997b68e54e
Description-en: card decks for KDE games
 This package contains a collection of playing card themes for KDE card games.
 .
 This package is part of the KDE games module.

Package: kdegames-mahjongg-data-kf5
Description-md5: 24263f9fa6d3bd8da727df261f92612f
Description-en: tilesets and backgrounds for Mahjongg games
 This package contains a collection of tilesets and backgrounds for KMahjongg,
 KShisen and Kajongg.
 .
 This package is part of the KDE games module.

Package: kdegraphics
Description-md5: 9a5e4b229bb37cb0bffc0a8c4a5291f2
Description-en: graphics applications from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes graphics applications provided with the official
 release of KDE.

Package: kdegraphics-mobipocket
Description-md5: f3853959315ff0196a12bf5fe51472f9
Description-en: mobipocket thumbnail plugin
 This package contains a plugin to read mobi files as thumbnails in KDE.
 .
 This package is part of the KDE graphics module.

Package: kdegraphics-thumbnailers
Description-md5: fecacf09cc1907dac81fafb8d7987281
Description-en: graphics file format thumbnailers for KDE SC
 These plugins allow KDE software to create thumbnails for several
 advanced graphic file formats (PS, RAW).
 .
 This package is part of the KDE graphics module.

Package: kdemultimedia
Description-md5: 1b6f5f82798d47eb5566bec679de6584
Description-en: multimedia applications from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes multimedia applications provided with the official
 release of KDE.

Package: kdenetwork
Description-md5: 5135673c1119282eed011aa33a80313c
Description-en: networking applications from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes networking applications provided with the official
 release of KDE.

Package: kdenetwork-filesharing
Description-md5: 1c2e5b31dd3122e57368c9aa35227510
Description-en: network filesharing configuration module
 This package provides a way to configure network file-sharing using
 NFS and Samba in the properties dialogue of the file manager.
 .
 This package is part of the KDE networking module.

Package: kdenlive
Description-md5: 4e8f8c02918f6de02fc8e354d08ec99c
Description-en: non-linear video editor
 Kdenlive is a non-linear video editing suite, which supports DV, HDV and many
 more formats.
 Its main features are:
  * Guides and marker for organizing timelines
  * Copy and paste support for clips, effects and transitions
  * Real time changes
  * FireWire and Video4Linux capture
  * Screen grabbing
  * Exporting to any by FFMPEG supported format

Package: kdenlive-data
Description-md5: 953e2976abe5604e76e1f57a4433ea09
Description-en: non-linear video editor (data files)
 Kdenlive is a non-linear video editing suite, which supports DV, HDV and many
 more formats.
 Its main features are:
  * Guides and marker for organizing timelines
  * Copy and paste support for clips, effects and transitions
  * Real time changes
  * FireWire and Video4Linux capture
  * Screen grabbing
  * Exporting to any by FFMPEG supported format
 .
 This package contains the data files for kdenlive.

Package: kdenlive-dbg
Description-md5: 9e4860a8862368d8cded0f6f389ee2d3
Description-en: non-linear video editor (debugging symbols)
 Kdenlive is a non-linear video editing suite, which supports DV, HDV and many
 more formats.
 Its main features are:
  * Guides and marker for organizing timelines
  * Copy and paste support for clips, effects and transitions
  * Real time changes
  * FireWire and Video4Linux capture
  * Screen grabbing
  * Exporting to any by FFMPEG supported format
 .
 This package contains the debugging symbols for kdenlive.

Package: kdepim
Description-md5: 13df2537eaec012b9e735cdc8dac9d49
Description-en: Personal Information Management apps from the official KDE release
 KDE (the K Desktop Environment) is a powerful Open Source graphical
 desktop environment for Unix workstations. It combines ease of use,
 contemporary functionality, and outstanding graphical design with the
 technological superiority of the Unix operating system.
 .
 This metapackage includes a collection of Personal Information Management
 (PIM) desktop applications provided with the official release of KDE.

Package: kdepim-addons
Description-md5: d8f289f91688d93a9ada54d9d00a30ef
Description-en: Addons for KDE PIM applications
 KDE (the K Desktop Environment) is a powerful Open Source graphical
 desktop environment for Unix workstations. It combines ease of use,
 contemporary functionality, and outstanding graphical design with the
 technological superiority of the Unix operating system.
 .
 This package includes a collection of extensions for the Personal Information
 Management (PIM) desktop applications provided with the official release of
 KDE Applications.

Package: kdepim-runtime
Description-md5: 0967d17f860788c8f2abc91821679d4e
Description-en: runtime components for Akonadi KDE
 This package contains Akonadi agents written using KDE Development Platform
 libraries.
 Any package that uses Akonadi should probably pull this in as a dependency.
 The kres-bridges is also parts of this package.
 .
 This package is part of the kdepim-runtime module.

Package: kdepim-themeeditors
Description-md5: 9c1dbb7cdf6d69806b1c42af6547c3d0
Description-en: Theme Editors for KDE PIM applications
 KDE PIM Theme Editors allow you to create and edit various KDE themes for
 KDE PIM software such as KMail and KAddressBook.

Package: kdeplasma-addons-data
Description-md5: 725b91561b2c3d40bf18afd7b7e4df3f
Description-en: locale files for kdeplasma-addons
 The KDE Plasma addons module is a collection of additional Plasma 5
 data engines, widgets and krunner plugins. It is part of
 the official KDE distribution.
 .
 This package contains locale files for KDE Plasma addons module.

Package: kdesdk
Description-md5: 3458551795d538676d8830a27e2d2a88
Description-en: Software Development Kit from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes software development applications provided with the
 official release of KDE.

Package: kdesdk-kio-plugins
Description-md5: 0180d1a3ecb0782af9e452bb97348660
Description-en: transitional package for kio-perldoc
 This package is a transitional package for kio-perldoc. It can be safely
 removed after the upgrade.
 .
 This package is part of the KDE Software Development Kit module.

Package: kdesdk-scripts
Description-md5: 2cc964ea24e19e46e4dc31dad33506f0
Description-en: scripts and data files for development
 This package contains scripts and data files to facilitate development of
 KDE software.  Also included are scripts which are not specific to
 KDE, such as helper scripts for working with Subversion and CVS repositories.
 .
 In addition to these scripts, this package provides:
   - gdb macros for Qt programming
   - Vim and EMACS helper files for Qt/KDE programming
   - bash and zsh completion rules for KDE applications
   - Valgrind error suppressions for KDE applications
 .
 This package is part of the KDE Software Development Kit module.

Package: kdesdk-thumbnailers
Description-md5: 542b314bd0e401d9d0d30fa1905cc30a
Description-en: kdesdk file format thumbnailers for KDE
 It contains a plugin to allow KDE software to create thumbnails for Gettext
 translations files (po).
 .
 This package is part of the KDE Software Development Kit module.

Package: kdesignerplugin-data
Description-md5: 1afbe7bd58c8eec87fc3f391bfc1e644
Description-en: Integration of KF5 widgets in Qt Designer/Creator
 This framework provides plugins for Qt Designer that allow
 it to display the widgets provided by various KDE Frameworks,
 as well as a utility kgendesignerplugin which can be used
 to generate other such plugins from ini-style description files.
 .
 Part of KDE Frameworks.
 .
 This package contains images and translations.

Package: kdesvn
Description-md5: 2c4facb6f4862fcd6965cd90eb0310f1
Description-en: Subversion client with tight KDE integration
 KDESvn is a graphical client for the Subversion revision control
 system (svn).
 .
 Besides offering common and advanced svn operations, it features
 a tight integration into KDE and can be embedded into other KDE
 applications like Konqueror via the KDE component technology KParts.

Package: kdesvn-kio-plugins
Description-md5: 0b0f5984c4d55a712f2a468c26202545
Description-en: Subversion I/O slaves for KDE
 This package includes KIO slaves for svn, svn+file, svn+http,
 svn+https, svn+ssh. This allows you to access Subversion repositories
 inside any KIO enabled KDE application.
 .
 This package is part of kdesvn.

Package: kdetoys
Description-md5: 7e25576d594c58c06b2c27bbad9f4647
Description-en: desktop toys from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes desktop toys provided with the official release of
 KDE.

Package: kdeutils
Description-md5: 5c28b07fababef5585d7bd444bbdaaea
Description-en: general-purpose utilities from the official KDE release
 KDE is produced by an international technology team that creates free and open
 source software for desktop and portable computing. Among KDE's products are a
 modern desktop system for Linux and UNIX platforms, comprehensive office
 productivity and groupware suites and hundreds of software titles in many
 categories including Internet and web applications, multimedia, entertainment,
 educational, graphics and software development.
 .
 This metapackage includes general-purpose utilities provided with the
 official release of KDE.

Package: kdevelop
Description-md5: b677ffe3591af6f44d04fc26b29337f2
Description-en: integrated development environment for C/C++ and other languages
 KDevelop is a Free and Open Source integrated development
 environment (IDE). It provides editing, navigation and debugging features for
 several programming languages, as well as integration with multiple build
 systems and version-control systems, using a plugin-based architecture.
 .
 KDevelop has parser backends for C, C++ and Javascript/QML, with further
 external plugins supporting e.g. PHP or Python.

Package: kdevelop-data
Description-md5: 27c6ba68b545181e32202e758dd9c0c9
Description-en: data files for the KDevelop IDE
 KDevelop is a Free and Open Source integrated development
 environment (IDE). It provides editing, navigation and debugging features for
 several programming languages, as well as integration with multiple build
 systems and version-control systems, using a plugin-based architecture.
 .
 This package contains arch independent data for KDevelop.

Package: kdevelop-dev
Description-md5: e731bad39cc9c8aa95d86994acef1003
Description-en: development files for the KDevelop IDE
 KDevelop is a Free and Open Source integrated development
 environment (IDE). It provides editing, navigation and debugging features for
 several programming languages, as well as integration with multiple build
 systems and version-control systems, using a plugin-based architecture.
 .
 This package contains development files for KDevelop.

Package: kdevelop-l10n
Description-md5: caaaa609bb5cc859cafbbf2baca3944a
Description-en: localization files for the KDevelop IDE
 KDevelop is a Free and Open Source integrated development
 environment (IDE). It provides editing, navigation and debugging features for
 several programming languages, as well as integration with multiple build
 systems and version-control systems, using a plugin-based architecture.
 .
 This package contains the translations for KDevelop.

Package: kdevelop-pg-qt
Description-md5: ae78cd0881bbbaf37a02f848cf029565
Description-en: LL(1) parser generator based on Qt
 KDevelop-PG-Qt is a parser generator written in readable source-code and
 generating readable source-code. Its syntax was inspirated by AntLR.
 It implements the visitor-pattern and uses the Qt library.
 .
 This package contains the kdev-pg-qt binary, the headers files generated by
 the KDevelop parser generator and the cmake file to find the parser.

Package: kdevelop-php
Description-md5: d91180b50795db82f54deddad643d239
Description-en: PHP plugin for KDevelop
 KDevelop is an easy to use integrated development environment for KDE.
 It supports a wide range of programming languages and features project
 management, an advanced editor, a class browser and an integrated debugger.
 .
 This package contains the PHP language support plugin.

Package: kdevelop-php-l10n
Description-md5: f971132c0aa8a203d997d29254b4f874
Description-en: localization files for KDevelop PHP plugin
 The KDevelop-PHP plugin is the language plugin for the PHP language
 for the KDevelop integrated development environment for KDE.
 .
 This  package contains the localizations for the KDevelop PHP plugin.

Package: kdevelop-python
Description-md5: fb61067133e49cf20097c70cdb545f69
Description-en: Python 3 plugin for KDevelop
 KDevelop is an easy to use integrated development environment for KDE.
 It supports a wide range of programming languages and features project
 management, an advanced editor, a class browser and an integrated debugger.
 .
 This package contains the Python 3 language support plugin.

Package: kdevelop-python-data
Description-md5: 62bea8202cdbba59059b6cf7c87bc1a5
Description-en: Python 3 plugin for KDevelop - data files
 KDevelop is an easy to use integrated development environment for KDE.
 It supports a wide range of programming languages and features project
 management, an advanced editor, a class browser and an integrated debugger.
 .
 This package contains the data files for Python 3 language support plugin.

Package: kdevelop-python-l10n
Description-md5: 1cdeec605fff6624a814759d0cb29f83
Description-en: localization files for KDevelop Python 3 plugin
 The KDevelop-Python plugin is the language plugin for the Python 3 language
 for the KDevelop integrated development environment for KDE.
 .
 This  package contains the localizations for the KDevelop Python 3 plugin.

Package: kdevelop55-libs
Description-md5: 1721eaaf84e3496ee21aa4d1fedebbc3
Description-en: shared libraries for the KDevelop platform
 This package contains shared libraries needed to run integrated development
 environments based on the KDevelop platform.
 .
 This package is part of the KDevelop platform.

Package: kdevplatform-dev
Description-md5: 86e915b65178f68893f1ce7014d74c48
Description-en: transitional package for kdevelop-dev
 This package is a transitional package, to upgrade to kdevelop-dev.
 It can be safely removed after the upgrade.
 .
 This package is part of the KDevelop platform.

Package: kdevplatform-l10n
Description-md5: a3bbe71158b838fedfcfface20103be6
Description-en: transitional package for kdevelop-l10n
 This package is a transitional package, to upgrade to kdevelop-l10n.
 It can be safely removed after the upgrade.
 .
 This package is part of the KDevelop platform.

Package: kdewebdev
Description-md5: 1335f2383a57d68af5cf35cc2f4e09ee
Description-en: web development apps from the official KDE release
 This metapackage includes a collection of web development applications
 provided with the official release of KDE.

Package: kdf
Description-md5: 980ba304cd89925b93e1528c3e6d19aa
Description-en: disk information utility
 KDiskFree displays information about hard disks and other storage devices,
 including the amount of free space available. It can also mount and unmount
 storage devices and view them in the file manager.
 .
 KwikDisk is an icon for the system tray that provides convenient access to
 the features of KDiskFree.
 .
 This package is part of the KDE Applications utilities module.

Package: kdialog
Description-md5: bf00f508dd05e4ce091a121e7964e87d
Description-en: Dialog display utility
 kdialog allows you to display dialog boxes from shell scripts. The syntax is
 very much inspired from the "dialog" command (which shows text mode dialogs).

Package: kdiamond
Description-md5: a7a7c9895d468dee49b6d55654cc85d7
Description-en: three-in-a-row game
 KDiamond is a three-in-a-row game like Bejeweled. It features unlimited fun
 with randomly generated games and five difficulty levels with varying number
 of diamond colors and board sizes.
 .
 This package is part of the KDE games module.

Package: kdiff3
Description-md5: d6325c852ad77d912154a166a888930d
Description-en: compares and merges 2 or 3 files or directories
 KDiff3 compares two or three input files and shows the differences
 line by line and character by character. It provides an automatic
 merge facility and an integrated editor for comfortable solving of
 merge conflicts. KDiff3 allows recursive directory comparison and
 merging as well.
 .
 This is the standard version of KDiff3, highly integrated into KDE.
 It has got KIO support (allowing for remote access of files and
 direct access to files in compressed archives) and integration into
 konqueror's context menu. There's also a stripped-down version called
 kdiff3-qt not depending on the KDE libraries.

Package: kdiff3-doc
Description-md5: c920299d24669c2f8ec9afad2dbf4227
Description-en: documentation for kdiff3
 KDiff3 compares two or three input files and shows the differences
 line by line and character by character. This package contains the
 HTML documentation.

Package: kdiff3-qt
Description-md5: 53f6caae42c06a75098678766398aeb9
Description-en: transistional package - QT version is replaced by KDE version 'kdiff3'
 This is a transitional package. It can safely be removed.
 .
 This version of KDiff3 was stripped-down so it didn't depend on the KDE
 libraries. It is replaced by the full-featured version of the program,
 "kdiff3".

Package: kdocker
Description-md5: 750369a5a218f9771127826ecd0a47e6
Description-en: lets you dock any application into the system tray
 KDocker will help you dock any application into the system tray.
 This means you can dock openoffice, xmms, firefox, thunderbird, anything!
 Just point and click. Works for all NET WM compliant window managers - that
 includes KDE, GNOME, Xfce, Fluxbox and many more.

Package: kdoctools-dev
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: kdoctools5
Description-md5: ccf4efb9986e04bb851f20d7b8ae3f86
Description-en: Tools to generate documentation in various formats from DocBook
 KDocTools is a set of tools to generate documentation in various formats from
 DocBook files.
 .
 This package is part of KDE Frameworks 5.

Package: kdrill
Description-md5: 9e9bba4daf4dd18fc5f848fedc70f190
Description-en: kanji drill and dictionary program
 This package provides a graphical program for learning Japanese
 characters, which also doubles as a dictionary lookup program.
 It requires a dictionary package, such as kanjidic (for learning
 kanji) or kanadic (for learning hiragana or katakana), although
 you can specify a custom dictionary file on the command line.

Package: kea-admin
Description-md5: be2a0b82045513181b227264f7d99d9b
Description-en: Administration utilities for ISC KEA DHCP server
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium.
 .
 This package provides backend database initialization and migration
 scripts and a DHCP benchmark tool.

Package: kea-common
Description-md5: 9a8a6e453f5c5c10d0859c4a4e66eb1d
Description-en: Common libraries for the ISC KEA DHCP server
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium.
 .
 This package provides common libraries used by ISC KEA servers and utilities.

Package: kea-ctrl-agent
Description-md5: 1d1a1a2a34d859d9a6cbebef7118deab
Description-en: ISC KEA DHCP server REST API service
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium.
 .
 This package provides the REST API service agent for Kea DHCP.

Package: kea-dev
Description-md5: 84d7b15c0971faa93239fdd70396cc5b
Description-en: Development headers for ISC KEA DHCP server
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium.
 .
 This package provides headers and static libraries of the common ISC KEA
 libraries, including libdhcp++

Package: kea-dhcp-ddns-server
Description-md5: 0a90aa7349aac29ade9e3533081ccbdb
Description-en: ISC KEA DHCP Dynamic DNS service
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium.
 .
 This package provides Dynamic DNS service to update DNS mapping based on
 DHCP lease events.

Package: kea-dhcp4-server
Description-md5: d1fe8934277d0a289c4ef1001f67dc65
Description-en: ISC KEA IPv4 DHCP server
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium
 providing a very high-performance with PostgreSQL, MySQL and memfile backends.
 .
 This package provides the IPv4 DHCP server.

Package: kea-dhcp6-server
Description-md5: 7621db57b806441a49576be6878842f4
Description-en: ISC KEA IPv6 DHCP server
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium
 providing a very high-performance with PostgreSQL, MySQL and memfile backends.
 .
 This package provides the IPv6 DHCP server.

Package: kea-doc
Description-md5: 66b51d4e2f48d955e695d580c49aa0b3
Description-en: Documentation for ISC KEA DHCP server
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium.
 .
 This package provides documentation for the DHCP servers.

Package: keditbookmarks
Description-md5: de9dfceb4ffcfc2905333236f836b07e
Description-en: bookmarks editor utility for KDE
 The editor shows a tree view of your KDE bookmarks and bookmark subfolders.
 .
 Several applications in the KDE suite let you create bookmarks (e.g.
 Konqueror, Konsole, Konversation) and will launch this bookmark editor from
 their Bookmarks -> Edit Bookmarks menu item.

Package: keepass2
Description-md5: e0f163e68e620c6be9c7b15ffee31fe6
Description-en: Password manager
 KeePass is a easy-to-use password manager for Windows, Linux, Mac OS X and
 mobile devices. You can store your passwords in highly-encrypted databases,
 which can only be unlocked with one master password and/or a key file.
 A database consists of only one file that can be transferred from one computer
 to another easily.
 KeePass can import data from various file formats. The password list can be
 exported to various formats, including TXT, HTML, XML and CSV files.

Package: keepass2-doc
Description-md5: 944f2f1d580a843a46c7b13e273c8aea
Description-en: Password manager - Documentation
 KeePass is a easy-to-use password manager for Windows, Linux, Mac OS X and
 mobile devices. You can store your passwords in highly-encrypted databases,
 which can only be unlocked with one master password and/or a key file.
 A database consists of only one file that can be transferred from one computer
 to another easily.
 KeePass can import data from various file formats. The password list can be
 exported to various formats, including TXT, HTML, XML and CSV files.
 .
 This package contains the documentation.

Package: keepass2-plugin-keepasshttp
Description-md5: e1160e13c01db20e661ce86cb41a6b32
Description-en: KeePass2 plugin to expose password entries securely over HTTP
 KeePassHttp is a plugin for KeePass 2.x and provides a secure means of
 exposing KeePass entries via HTTP for clients to consume.
 Features:
  - returns all matching entries for a given URL
  - updates entries
  - secure exchange of entries
  - notifies user if entries are delivered
  - user can allow or deny access to single entries
  - works only if the database is unlocked
  - request for unlocking the database if it is locked while connecting
  - searches in all opened databases (if user activates this feature)
  - Whenever events occur, the user is prompted either by tray notification or
    requesting interaction (allow/deny/remember).

Package: keepassx
Description-md5: 6f8513f5d16e6866efe34b593977a7f8
Description-en: Cross Platform Password Manager
 KeePassX is a free/open-source password manager or safe which helps you
 to manage your passwords in a secure way. You can put all your
 passwords in one database, which is locked with one master key or a
 key-disk. So you only have to remember one single master password or
 insert the key-disk to unlock the whole database. The databases are
 encrypted using the algorithms AES or Twofish.
 .
 In contrast to KeePassXC (package keepassxc), the development pace of KeePassX
 has slowed down. It has less features, and uses an outdated version of the Qt
 widget. If you do not know whether you should install keepassx or keepassxc,
 install keepassx instead!

Package: keepassxc
Description-md5: 9da775bbf40dfe0f2f9d7cc82d8b5745
Description-en: Cross Platform Password Manager
 KeePassXC is a free/open-source password manager or safe which helps you
 to manage your passwords in a secure way. You can put all your
 passwords in one database, which is locked with one master key or a
 key-disk. So you only have to remember one single master password or
 insert the key-disk to unlock the whole database. The databases are
 encrypted using the algorithms AES or Twofish.

Package: kelbt
Description-md5: d98e181ad0a61b1bdd10581cebf7ec85
Description-en: backtracking LR parser generator
 Kelbt generates backtracking LALR(1) parsers. Standard LALR(1) parser
 generators emit an error upon encountering a conflict in the parse tables.
 Kelbt forges onward, generating parsers which handle conflicts by backtracking
 at runtime. Kelbt is able to generate a parser for any context-free grammar and
 therefore implements a generalized parsing method.
 .
 Kelbt is different from other backtracking LR systems in two ways. First, it
 elevates backtracking to the level of semantic actions by introducing a class
 of actions called undo actions. Undo actions are invoked as the backtracker
 undoes parsing and allow the user to revert any side effects of forward
 semantic actions. This makes it possible to backtrack over language constructs
 which must modify global state in preparation for handling context
 dependencies.
 .
 Second, Kelbt enables a user-controlled parsing strategy which approximates
 that of generalized recursive-descent parsing with ordered choice. This makes
 it easy for the user to resolve language ambiguities by ordering the grammar
 productions of a non-terminal according to precedence. It is approximate in the
 sense that for most grammars the equivalent of an ordered choice parsing
 strategy is achieved. In cases where productions are parsed out of the order
 given, there is a simple grammar transformation which remedies the problem.
 .
 As a proof of concept, Kelbt has been used to write a partial C++ parser
 (included) which is composed of strictly a scanner, a name lookup stage and a
 grammar with standard semantic actions and semantic undo actions.

Package: kephra
Description-md5: 9f4de6d04b25665f29473d5879c554d9
Description-en: gui text editor along Perl alike Paradigms
 Kephra is gui text editor writen in Perl but not only for Perl
 developers.
 .
 The features of Kephra are:
  - File: file sessions, history, simple templates, open all of a dir, insert,
    autosave by timer, save copy as, rename, close all other, detection if
    file where changed elsewhere
  - Editing: unlimited undo with fast modes, replace (clipboard and
    selection), line edit functions, move line/selection, indenting, block
    formating, delete trailing space, comment, convert (case, space or
    indention) rectangular selection with mouse and keyboard, auto- and
    braceindention
  - Navigation: bracenav, blocknav, doc spanning bookmarks, goto last edit,
    last doc, rich search, incremental search, searchbar and search dialog
  - Tools: run script (integrated output panel), notepad panel, color picker
  - Doc Property: syntax mode, codepage, tab use, tab width, EOL, write
    protection
  - View: all app parts and margins can be switched on and off,
    syntaxhighlighting bracelight, ight margin, indention guide, caret line,
    line wrap, EOL marker, visible whitespace, changeable font
  - Configs: config files to be opened through a menu: settings, all menus,
    commandID's, event binding, icon binding, key binding, localisation
    (translate just one file to transelate the app), syntaxmodes and some help
    texts to be opened as normal files

Package: keras-doc
Description-md5: 7b351087026038ca9f631fe84920734c
Description-en: CPU/GPU math expression compiler for Python (docs)
 Keras is a Python library for machine learning based on deep (multi-
 layered) artificial neural networks (DNN), which follows a minimalistic
 and modular design with a focus on fast experimentation.
 .
 Features of DNNs like neural layers, cost functions, optimizers,
 initialization schemes, activation functions and regularization schemes
 are available in Keras a standalone modules which can be plugged together
 as wanted to create sequence models or more complex architectures.
 Keras supports convolutions neural networks (CNN, used for image
 recognition resp. classification) and recurrent neural networks (RNN,
 suitable for sequence analysis like in natural language processing).
 .
 It runs as an abstraction layer on the top of Theano (math expression
 compiler) by default, which makes it possible to accelerate the computations
 by using (GP)GPU devices. Alternatively, Keras could run on Google's
 TensorFlow (not yet available in Debian).
 .
 This package contains the documentation for Keras.

Package: kernel-common
Description-md5: 1edef8d341bac5fea37520baf7fd03b9
Description-en: common elements for generated kernel packages
 This package provides the common elements that are useful for the
 package generated by kernel-package, but which may be installed on
 machines that kernel-package is not itself installed on, for instance,
 manual pages pertaining to the kernel image packages
 .
 It also contains example scripts that may be use when installed in
 /etc/kernel/*.d directories, to take action when the kernel image or
 header packages are installed.

Package: kernel-package
Description-md5: 548d6e78ffc94b66c0cf1bc6f6608b57
Description-en: utility for building Linux kernel related Debian packages
 This package provides the capability to create a Debian kernel image
 package by just running make-kpkg kernel_image in a kernel source
 directory tree.  It can also package the relevant kernel headers into
 a kernel-headers package. In general, this package is very useful if
 you need to create a custom kernel, if, for example, the default
 kernel does not support some of your hardware, or you wish a leaner,
 meaner kernel.  It also scripts the steps that need be taken to
 compile the kernel, which is quite convenient (forgetting a crucial
 step once was the initial motivation for this package). Please look at
 /usr/share/doc/kernel-package/Rationale for a full list of advantages
 of this package.

Package: kerneloops-applet
Description-md5: f639095bb749184bcc551bb602f55505
Description-en: applet for the kernel oops tracker
 The kerneloops applet allows the kerneloops crash reporting utility
 to ask a desktop user for permission before submitting an oops report
 to the oops.kernel.org website.

Package: kernelshark
Description-md5: 689c1d5277efe8799b0efc28c729395f
Description-en: Utilities for graphically analyzing function tracing in the kernel.
 Data for analysis may be generated by the trace-cmd utility.

Package: kerneltop
Description-md5: 0fe4a108b4159d3ec18907ae40b72cb7
Description-en: shows Linux kernel function usage in a style like top
 kerneltop shows Linux kernel function information usage (modules
 not included) like top do for process, and is derived from
 readprofile.
 .
 It needs profiling enabled on kernel at boot time.

Package: ketm
Description-md5: a6dd792c82fedff6d6f75a7bd2b958eb
Description-en: old school 2D-scrolling shooter
 Ketm is a hicolor/hiresolution classical game that has similarities with
 Raptor, Tyrian and Galaga. You are a spacepilot controlling your secret
 prototype ship. At regular interval's you get attacked by the bad guys. You
 have a mission to clean this sector from them (i.e. Kill Everything That
 Moves). Be sure to pick up some weapon and ship upgrades on the way.

Package: ketm-data
Description-md5: 085fc655d019815d39647600b83f145c
Description-en: graphics and audio data for ketm
 Ketm is a hicolor/hiresolution classical game that has similarities with
 Raptor, Tyrian and Galaga. You are a spacepilot controlling your secret
 prototype ship. At regular interval's you get attacked by the bad guys. You
 have a mission to clean this sector from them (i.e. Kill Everything That
 Moves). Be sure to pick up some weapon and ship upgrades on the way.
 .
 This package contains the architecture-independent data for ketm. For
 more information, see the ketm package.

Package: keurocalc
Description-md5: a7166e01fd5d1f79d4e7c2888adf627d
Description-en: universal currency converter and calculator
 KEurocalc is a universal currency converter and calculator.
 It downloads latest exchange rates directly from the
 European Central Bank and Time Genie.

Package: keurocalc-data
Description-md5: 6e9fc7f2f57c5ef4114b98c6e273e0c2
Description-en: universal currency converter and calculator - data package
 KEurocalc is a universal currency converter and calculator.
 It downloads latest exchange rates directly from the
 European Central Bank and Time Genie.
 .
 This is a data package containing some files needed by the keurocalc
 binary package.

Package: kexi
Description-md5: 15c11cf628116a7f4c396c91682cc929
Description-en: visual database applications builder
 KEXI is a visual database applications builder. It can be used for
 designing database applications, inserting and editing data,
 performing queries, and processing data. Forms can be created to
 provide a custom interface to your data. All database objects -
 tables, queries, forms, reports - are stored in the database, making
 it easy to share data and design.
 .
 KEXI is considered as a long awaited Open Source competitor for MS Access,
 Filemaker and Oracle Forms. Its development is motivated by the lack of
 Rapid Application Development (RAD) tools for database systems that are
 sufficiently powerful, inexpensive, open standards driven and portable
 across many operating systems and hardware platforms.

Package: kexi-data
Description-md5: 2d6815cfbfc341185d2815073231106e
Description-en: data files for kexi
 This package contains architecture-independent data files for KEXI, the
 database program shipped with the Calligra Suite.
 .
 See the 'kexi' package for further information.

Package: kexi-mysql-driver
Description-md5: f9c8277fb990289b27ccd82dd3c46b95
Description-en: MySQL support for kexi
 KEXI is a visual database applications builder. It can be used for
 designing database applications, inserting and editing data,
 performing queries, and processing data. Forms can be created to
 provide a custom interface to your data. All database objects -
 tables, queries, forms, reports - are stored in the database, making
 it easy to share data and design.
 .
 This package provides support for MySQL in KEXI.

Package: kexi-postgresql-driver
Description-md5: 53e5c2728c500d6d561657e52501d0b4
Description-en: PostgreSQL support for kexi
 KEXI is a visual database applications builder. It can be used for
 designing database applications, inserting and editing data,
 performing queries, and processing data. Forms can be created to
 provide a custom interface to your data. All database objects -
 tables, queries, forms, reports - are stored in the database, making
 it easy to share data and design.
 .
 This package provides support for PostgreSQL in KEXI.

Package: kexi-web-form-widget
Description-md5: 9e690b654fbed977388a6359df073225
Description-en: web form widget for Kexi
 KEXI is a visual database applications builder. It can be used for
 designing database applications, inserting and editing data,
 performing queries, and processing data. Forms can be created to
 provide a custom interface to your data. All database objects -
 tables, queries, forms, reports - are stored in the database, making
 it easy to share data and design.
 .
 This package provides a web widget for KEXI using QtWebKit.

Package: key2odp
Description-md5: b038f3fd6ebcd9d74f5491f87db6eab7
Description-en: Keynote to OpenDocument converter
 This package contains a utility for converting Keynote into OpenDocument
 presentation documents.

Package: keybinder-3.0-doc
Description-md5: d85435b93fa8f28bcb7f880d11b8246b
Description-en: registers global key bindings for applications - Gtk+3 - documentation
 keybinder is a library for registering global keyboard shortcuts to be used by
 GTK-based applications under the X Window System.
 .
 When a combination of key is pressed, keybinder notifies it to the registering
 application, which can execute one or more operations based on the event
 previously registered.
 .
 Originally written as part of the Tomboy project, keybinder has been
 distributed as stand-alone library let other applications to use key binding.
 .
 This package contains documentation and API reference.
 .
 This is the Gtk+3 version of the library.

Package: keybinder-doc
Description-md5: 78953d29c9ce2a5624f001893def7f0a
Description-en: registers global key bindings for applications - documentation
 keybinder is a library for registering global keyboard shortcuts to be used by
 GTK-based applications under the X Window System.
 .
 When a combination of key is pressed, keybinder notifies it to the registering
 application, which can execute one or more operations based on the event
 previously registered.
 .
 Originally written as part of the Tomboy project, keybinder has been
 distributed as stand-alone library let other applications to use key binding.
 .
 This package contains documentation and API reference.

Package: keyboardcast
Description-md5: 858d1bea11e9da6fb692fe3ba8f0b545
Description-en: A keyboard multiplexer for the gnome desktop
 With keyboardcast you can send keystrokes to any number of windows you select
 simultaneously. It also offers an option to start gnome-terminal processes
 (for instance to login to remote hosts)

Package: keyboards-rg
Description-md5: 0bd923dde945b00bb9d5bbb7c5afe554
Description-en: Various keyboard layouts for X-window and linux console
 keyboards-rg includes several keyboard layouts. Currently there is
 a Slovak programmers keyboard, Pan-cyrillic yawerty keyboard with
 Russian, Ukrainian and Belarusia variants and an Esperanto keyboard
 for the X-window system.

Package: keychain
Description-md5: aee669baa71e3017fbfdcaf59344fd72
Description-en: key manager for OpenSSH
 Keychain is an OpenSSH key manager, typically run from ~/.bash_profile. When
 keychain is run, it checks for a running ssh-agent, otherwise it starts one.
 It saves the ssh-agent environment variables to ~/.keychain/\$\{HOSTNAME\}-sh,
 so that subsequent logins and non-interactive shells such as cron jobs can
 source the file and make passwordless ssh connections.  In addition, when
 keychain runs, it verifies that the key files specified on the command-line
 are known to ssh-agent, otherwise it loads them, prompting you for a password
 if necessary.

Package: keylaunch
Description-md5: 188ef74ed8d64d58987aad6a2f771947
Description-en: A small utility for binding commands to a hot key
 KeyLaunch is a small utility for binding commands to a hot key. It reads a
 configuration file in .keylaunchrc. KeyLaunch uses Ctrl, Alt and Shift
 as modifier keys, the hotkey is up to the user.

Package: keyman
Description-md5: aecd4f4515cd3ac7d0fb52b241ac604c
Description-en: Type in your language with Keyman for Linux
 Install, uninstall and view information about Keyman keyboard
 packages.
 .
 This package installs all that is needed for using Keyman
 for Linux.

Package: keymapper
Description-md5: bbe579d785b279437415488f97281034
Description-en: Keyboard map decision tree builder and interpreter
 This package implements an alternate way to let a user decide which
 keyboard map to use. It generates a decision tree and then asks the
 user to press a couple of keys. Depending on which keycode is returned,
 the list of possible keyboards is pruned until there is only one left.

Package: keynav
Description-md5: beb54c4c3878297fd9f1f37a809e56f2
Description-en: keyboard-driven mouse cursor mover
 Keynav makes your keyboard a fast mouse cursor mover. You can move the cursor
 to any point on the screen with a few key strokes. It also simulates
 mouse click. You can do everything mouse can do with a keyboard.

Package: keyringer
Description-md5: 43091b325cead4cd5eb5900536aad92f
Description-en: Distributed secret management using GnuPG and Git
 Keyringer lets you manage and share secrets using GnuPG and Git in a
 distributed fashion. It has custom commands to encrypt, decrypt and
 recrypt secrets as well as create key pairs and supports encryption
 to multiple recipients and groups of different recipients to ensure
 the same repository can be shared with a workgroup but allowing to
 keep some secrets available just to subsets of that group.
 .
 OpenSSL is needed to generate X.509 certificates and keys and
 xdg-utils are needed to open and edit encrypted secrets according
 to their file types.

Package: keytouch-editor
Description-md5: e49c20eb1cf2ddf819cd2445d2637cac
Description-en: create keyboard files for keytouch
 KeyTouch Editor should be used to create configuration files for KeyTouch,
 a program to configure extra function keys in multimedia keyboards, in
 case your keyboard is not yet supported.

Package: kf5-kdepim-apps-libs-data
Description-md5: 72d076d9a5285d419f068568ca670d52
Description-en: KDE PIM mail related libraries, data files
 This package is part of the KDE PIM module.

Package: kf5-messagelib-data
Description-md5: 4961e5b2b764b6659866767345ca216d
Description-en: KDE PIM messaging library, data files
 This package contains the data files shipped with the message libraries.
 .
 This package is part of KDE PIM module.

Package: kfind
Description-md5: 93f756a308b9309d6e96c037fccde54a
Description-en: file search utility
 KFind can be used to find files and directories on your system.

Package: kfloppy
Description-md5: 0e3cddb000fedbfb30c9e1d251e0346a
Description-en: floppy formatter
 Kfloppy is a utility for formatting floppy disks.
 .
 This package is part of the KDE SC utilities module.

Package: kfourinline
Description-md5: 04930c4bdd44e4bfc231be2688c1cb82
Description-en: Connect Four game
 KFourInLine is a game where two players take turns dropping pieces into a grid,
 the winner being the first to place four pieces in a line.
 .
 This package is part of the KDE games module.

Package: kgamma5
Description-md5: f056942c2f5f7109127886d528429674
Description-en: monitor calibration panel for KDE
 This package contains a settings panel for adjusting the brightness, contrast,
 and gamma-correction of a monitor.  Test patterns are shown to help
 determine the settings that accurately display the full range of colors.
 .
 Each of the red, green, and blue components can be adjusted individually,
 or all three components can be adjusted together.

Package: kgb
Description-md5: 8070e72fdbdb5d02d42bb71f9f5907ae
Description-en: Archiver for .kgb files
 This is an archiver (compressor/decompressor) for files in the KGB format,
 which provides high compression rates at the expense of memory and CPU time.
 .
 This package contains the KGB archiver based on the
 PAQ6 archiver by Matt Mahoney.

Package: kgb-bot
Description-md5: 241894f016c3ee07fd70908bf034b115
Description-en: IRC collaboration bot
 KGB is an IRC bot, helping people work together by notifying an IRC channel
 when a commit occurs.
 .
 It supports multiple repositories/IRC channels and is fully configurable.
 .
 This package contains the server-side daemon, kgb-bot, which is responsible
 for relaying commit notifications to IRC.

Package: kgb-client
Description-md5: f8ab753b0a187521221029147c3101f8
Description-en: client for KGB (IRC collaboration bot)
 KGB is an IRC bot, helping people work together by notifying an IRC channel
 when a commit occurs.
 .
 It supports multiple repositories/IRC channels and is fully configurable.
 .
 This package contains the client-side program, kgb-client, which is supposed
 to be used as an hook in your version control system and sends the
 notifications to the KGB daemon.
 .
 Currently supported version control systems are:
  * Git
  * Subversion
  * CVS

Package: kgendesignerplugin
Description-md5: f8cda0cd32bfdfee18c32e3bc7b2d01b
Description-en: Integration of KF5 widgets in Qt Designer/Creator
 kgendesignerplugin can be used to generate plugins for widgets
 in Qt Designer and Qt Creator.
 .
 Part of KDE Frameworks.

Package: kgendesignerplugin-bin
Description-md5: 64379c9f8d222abfd03445b56e07e2ea
Description-en: Integration of KF5 widgets in Qt Designer/Creator (bin files)
 kgendesignerplugin can be used to generate plugins for widgets
 in Qt Designer and Qt Creator.
 .
 This package is a packaging implementation detail needed to allow cross
 compilation.
 .
 Part of KDE Frameworks.

Package: kgeography
Description-md5: ab96915329fb5430070bb65ac11089d2
Description-en: geography learning aid for KDE
 KGeography is an aid for learning about world geography.  You can use it to
 explore a map, show information about regions and features, and play quiz
 games to test your geography knowledge.
 .
 This package is part of the KDE education module.

Package: kgeography-data
Description-md5: a26284dc4f2086494f9ea360b04b5078
Description-en: data files for KGeography
 This package contains architecture-independent data files for the
 KGeography geography learning tool, including maps, capitals, and flags.
 .
 This package is part of the KDE education module.

Package: kget
Description-md5: 7738895dc8ec87ede010b479a0dda8c2
Description-en: download manager
 KGet is an advanced download manager with support for Metalink and Bittorrent.
 Downloads are added to the list, where they can be paused, queued, or
 scheduled for later.
 .
 This package is part of the KDE networking module.

Package: kgoldrunner
Description-md5: 33626a4b0e5279fceb3606df145ea2da
Description-en: Lode Runner arcade game
 KGoldrunner is a fast-paced platform game where the player must navigate a
 maze while collecting gold nuggets and avoiding enemies.  A variety of level
 packs are included, as well as an editor to create new levels.
 .
 This package is part of the KDE games module.

Package: kgpg
Description-md5: c2c30394bb363998b52a8fcc2caeb33c
Description-en: graphical front end for GNU Privacy Guard
 Kgpg manages cryptographic keys for the GNU Privacy Guard, and can encrypt,
 decrypt, sign, and verify files.  It features a simple editor for applying
 cryptography to short pieces of text, and can also quickly apply cryptography
 to the contents of the clipboard.
 .
 This package is part of the KDE Utilities module.

Package: khal
Description-md5: e0faa4289719079f8c3d1eb3411bab7c
Description-en: Standards based CLI and terminal calendar program
 Features:
  - khal can read and write events/icalendars to vdir, so vdirsyncer can be
    used to synchronize calendars with a variety of other programs, for
    example CalDAV servers.
  - fast and easy way to add new events
  - ikhal (interactive khal) lets you browse and edit calendars and events

Package: khal-doc
Description-md5: a3cdf8c08ba11bde8db25fb9d337f796
Description-en: Standards based CLI and terminal calendar program - documentation
 Features:
  - khal can read and write events/icalendars to vdir, so vdirsyncer can be
    used to synchronize calendars with a variety of other programs, for
    example CalDAV servers.
  - fast and easy way to add new events
  - ikhal (interactive khal) lets you browse and edit calendars and events
 .
 This package contains the documentation for khal.

Package: khangman
Description-md5: 883df9cd292189211b45eea83e206893
Description-en: Hangman word puzzle
 KHangMan is the well-known Hangman game, aimed towards children aged 6 and
 above.
 .
 It picks a random word which the player must reveal by guessing if it contains
 certain letters.  As the player guesses letters, the word is gradually
 revealed, but 10 wrong guesses will end the game.
 .
 This package is part of the KDE education module.

Package: khard
Description-md5: 52bd48c0f5f25cf43c0238ec28c0eb43
Description-en: address book for the Linux console
 Khard is an address book for the Linux console. It creates, reads, modifies
 and removes carddav address book entries at your local machine. Khard is also
 compatible to the email clients mutt and alot and the SIP client twinkle.
 .
 One might want to install vdirsyncer to synchronize local address books with a
 carddav server.

Package: khelpcenter
Description-md5: c291145dc38fab5e4ab6f5936947da93
Description-en: KDE documentation viewer
 KHelpCenter uses meta data files which describe the documentation
 available in the system. Each document is represented by a meta data
 file and shown as an entry in the KHelpCenter navigation tree view.
 The meta data contains information about title and short description
 of the document, the location of the document and some more
 information like how to search the document and translations of title
 and description. Document hierarchy is represented as hierarchy of
 the meta data files. Directories are also described by a meta data
 file which contains the same information as a document meta data
 file.

Package: khmer
Description-md5: fcb7ec9befc5e3aef9f670bd332040fb
Description-en: in-memory DNA sequence kmer counting, filtering & graph traversal
 khmer is a library and suite of command line tools for working with DNA
 sequence. It is primarily aimed at short-read sequencing data such as that
 produced by the Illumina platform. khmer takes a k-mer-centric approach to
 sequence analysis, hence the name.

Package: khmer-common
Description-md5: 7c79d111676f9297c0391725b4cc6808
Description-en: common files for the khmer project tools
 khmer is a library and suite of command line tools for working with DNA
 sequence. It is primarily aimed at short-read sequencing data such as
 that produced by the Illumina platform. khmer takes a k-mer-centric
 approach to sequence analysis, hence the name.
 .
 This package contains common files for liboxli and khmer

Package: khotkeys
Description-md5: 141d2a57d637fe441636e6796c3a3fda
Description-en: configure input actions settings
 Configure input actions settings in Plasma.
 .
 KHotKeys is part of the KDE Plasma desktop.
 .
 This package contains the runtime files.

Package: khotkeys-data
Description-md5: 65f22c16bf16e430082b2ca792a1fe70
Description-en: configure input actions settings
 Configure input actions settings in Plasma.
 .
 KHotKeys is part of the KDE Plasma desktop.
 .
 This package contains the translations and other
 data files.

Package: khotkeys-dev
Description-md5: c43df95dc05e2c5ce44de6bc6cdd3fe4
Description-en: configure input actions settings
 Configure input actions settings in Plasma.
 .
 KHotKeys is part of the KDE Plasma desktop.
 .
 This package contains the development files.

Package: khronos-api
Description-md5: 4a41e23eab96fdfd75f06a983cbef89c
Description-en: Khronos XML API Registry
 This package contains the Khronos XML API Registry.  It is the
 successor to the ancient and undocumented .spec files used for many
 years to describe the GL, WGL, and GLX APIs.

Package: kicad
Description-md5: 21f7e01a3ffe97be9bbafb9e920e7e78
Description-en: Electronic schematic and PCB design software
 Kicad is a suite of programs for the creation of printed circuit boards.
 It includes a schematic editor, a PCB layout tool, support tools and a
 3D viewer to display a finished & fully populated PCB.
 .
 Kicad is made up of 5 main components:
 .
  * kicad - project manager
  * eeschema - schematic editor
  * pcbnew - PCB editor
  * gerbview - GERBER viewer
  * cvpcb - footprint selector for components
 .
 Libraries:
  * Both eeschema and pcbnew have library managers and editors for their
    components and footprints
  * You can easily create, edit, delete and exchange library items
  * Documentation files can be associated with components, footprints and key
    words, allowing a fast search by function
  * Very large libraries are available for schematic components and footprints
  * Most components have corresponding 3D models

Package: kicad-common
Description-md5: f3802c460e7a67e9147ff41278d2b18b
Description-en: Old common files used by kicad - Transitional Package
 All the typical used an needed libraries like the schematic symbols, footprints
 and templates are now provided by the metapackage 'kicad-libraries' which will
 be pulled from this transitional package.
 .
 3D models are moved over to package kicad-packages3d which is currently only
 suggested due the installation size.
 .
 This is a transitional package. It can be safely removed.

Package: kicad-demos
Description-md5: d9bb9691e767f694c242b3f10ecfcfde
Description-en: Demo projects for kicad
 The KiCad projects within this package are various typical small use cases
 which can be used for study or also for build own projects on top of these
 existing projects.
 .
 The projects included are on one side easy and simple projects with classical
 through hole mounted components with one or two layers but also rather more
 complex projects based on surface mounted devices (SMD) with multiple layers.

Package: kicad-doc-ca
Description-md5: 4d9ee61f6ce42b604c77f2f03f9452f1
Description-en: Kicad help files (Catalan)
 This package provides various documentation files for KiCad in Catalan, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * GSIK - Getting Started in KiCad
  * KiCad - The main project editor

Package: kicad-doc-de
Description-md5: 16adabd006de81e7e21e272f7ded4142
Description-en: Kicad help files (German)
 This package provides various documentation files for KiCad in German, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GerbView - Gerber file viewer (RS 274 X format)
  * GSIK - Getting Started in KiCad
  * GUI Translation - HowTo Help to do GUI translation
  * IDF Exporter - Export tool for IDFv3 boards and libraries
  * KiCad - The main project editor
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block

Package: kicad-doc-en
Description-md5: 567344c78915795c0787ff3b7d859aab
Description-en: Kicad help files (English)
 This package provides various documentation files for KiCad in English, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GerbView - Gerber file viewer (RS 274 X format)
  * GSIK - Getting Started in KiCad
  * GUI Translation - HowTo Help to do GUI translation
  * IDF Exporter - Export tool for IDFv3 boards and libraries
  * KiCad - The main project editor
  * PcbCalculator - A tool for typical calculations while PCB designing
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block
  * Plugins - Introduction to the KiCad plugin system

Package: kicad-doc-es
Description-md5: 65b886e8344efd70ffb06e21c41f6560
Description-en: Kicad help files (Spanish)
 This package provides various documentation files for KiCad in Spanish, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GerbView - Gerber file viewer (RS 274 X format)
  * GSIK - Getting Started in KiCad
  * KiCad - The main project editor
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block

Package: kicad-doc-fr
Description-md5: 3fbcc1c1ea789c83a7924fb8096144de
Description-en: Kicad help files (French)
 This package provides various documentation files for KiCad in French, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GSIK - Getting Started in KiCad
  * KiCad - The main project editor
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block

Package: kicad-doc-id
Description-md5: ce43d76eda41ec5281940849c76d36e5
Description-en: Kicad help files (Indonesian)
 This package provides various documentation files for KiCad in Indonesian, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * Eeschema - schematic capture editor
  * GSIK - Getting Started in KiCad
  * KiCad - The main project editor

Package: kicad-doc-it
Description-md5: 8c7f8c304d25877dfb496fbecc7791ff
Description-en: Kicad help files (Italian)
 This package provides various documentation files for KiCad in Italian, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GerbView - Gerber file viewer (RS 274 X format)
  * GSIK - Getting Started in KiCad
  * IDF Exporter - Export tool for IDFv3 boards and libraries
  * KiCad - The main project editor
  * PcbCalculator - A tool for typical calculations while PCB designing
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block

Package: kicad-doc-ja
Description-md5: 27399d0aeae63f498a84113bf045abbd
Description-en: Kicad help files (Japanese)
 This package provides various documentation files for KiCad in Japanese, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GerbView - Gerber file viewer (RS 274 X format)
  * GSIK - Getting Started in KiCad
  * GUI Translation - HowTo Help to do GUI translation
  * IDF Exporter - Export tool for IDFv3 boards and libraries
  * KiCad - The main project editor
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block
  * Plugins - Introduction to the KiCad plugin system

Package: kicad-doc-pl
Description-md5: 0655990b914e927683889a2d10dee524
Description-en: Kicad help files (Polish)
 This package provides various documentation files for KiCad in Polish, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GerbView - Gerber file viewer (RS 274 X format)
  * GSIK - Getting Started in KiCad
  * IDF Exporter - Export tool for IDFv3 boards and libraries
  * KiCad - The main project editor
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block

Package: kicad-doc-ru
Description-md5: bb97bc7fcda183ca1e927762c4a8c08e
Description-en: Kicad help files (Russian)
 This package provides various documentation files for KiCad in Russian, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GerbView - Gerber file viewer (RS 274 X format)
  * GSIK - Getting Started in KiCad
  * GUI Translation - HowTo Help to do GUI translation
  * IDF Exporter - Export tool for IDFv3 boards and libraries
  * KiCad - The main project editor
  * PcbCalculator - A tool for typical calculations while PCB designing
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block
  * Plugins - Introduction to the KiCad plugin system

Package: kicad-doc-zh
Description-md5: 63162c412616035f02db9860897c5a48
Description-en: Kicad help files (Chinese)
 This package provides various documentation files for KiCad in German, like
 the EPUB and the PDF files and also the OnLine HTML documentation for:
 .
  * CvPcb - Component association of Footprints
  * Eeschema - schematic capture editor
  * GerbView - Gerber file viewer (RS 274 X format)
  * GSIK - Getting Started in KiCad
  * GUI Translation - HowTo Help to do GUI translation
  * IDF Exporter - Export tool for IDFv3 boards and libraries
  * KiCad - The main project editor
  * PcbCalculator - A tool for typical calculations while PCB designing
  * Pcbnew - The printed circuit board software tool
  * PlEditor - The layout editor for custom title block
  * Plugins - Introduction to the KiCad plugin system

Package: kicad-footprints
Description-md5: 7bc7efdf7d171b2ff06796bc066dceb6
Description-en: Footprint symbols for KiCad's Pcbnew
 Pcbnew is a powerful printed circuit board software and part of the
 KiCad suite.
 Pcbnew manages libraries of footprints for components or other abstract
 elements. Each footprint is a drawing of the physical component including its
 land pattern (e.g. the layout of pads on the circuit board).
 .
 This package is providing footprints for Pcbnew usable with KiCad >= 5.0.0.

Package: kicad-libraries
Description-md5: f2959d171b2d67bfd0e119212339e09c
Description-en: Virtual package providing common used libraries by kicad
 This package contains dependencies on the component libraries (schematics
 and footprints), and also on the templates that are used in KiCad.
 .
 If you prefer to control the installation of the dependent packages
  * kicad-footprint
  * kicad-symbols
  * kicad-templates
 you should not install kicad-libraries and install one or more of the above
 packages.
 .
 Note! 3D model libraries are not set as a dependency due the installation
 size of this package, if you want to use the 3D models you need to install
 kicad-packages3d separately.

Package: kicad-packages3d
Description-md5: 6dc15afcecd956f5eda44247a6cf1691
Description-en: 3D models for 3D viewer in KiCad's Pcbnew and Footprint Editor
 Pcbnew is a powerful printed circuit board software and part of the
 KiCad suite. Like Pcbnew the Footprint Editor is also part of KiCad.
 The 3D models are provided as *.step and *.wrl files and intended to be
 rendered by the Pcbnew and Footprint 3D viewer or other MCAD software.
 .
 The STEP files (*.step) are used for integration with MCAD software packages
 (e.g. by openscad or freecad). The WRL files (*.wrl) are used for
 photo-realistic rendering using KiCad's raytracing rendering engine.
 .
 The 3D models of this package are completely optional and not really needed
 for developing of any PCB layout but they give the user a impressive
 possibility to see how the PCB or the Footprint would look like.
 .
 This package is providing 3D Models for Pcbnew and the footprint editor
 usable for KiCad >= 5.0.0.
 .
 Please note this package will use about 5GB of disk space! Ensure you have
 enough free space on your PC or Laptop before you install the package.

Package: kicad-symbols
Description-md5: e2123fe0dd37a9cdfa146ecf0298c1bb
Description-en: Schematic symbols for KiCad's Eeschema
 Eeschema is a powerful schematic capture software distributed as part of
 KiCad which get it's great and powerful efficiency from the usage of
 prepared schematics for the various needed schematic symbols.
 .
 This package is providing this schematic symbols for Eeschema usable with
 KiCad >= 5.0.0.

Package: kicad-templates
Description-md5: 5e8508b186bf24353d6ba9e8e7424351
Description-en: Project templates for KiCad
 KiCad is a cross platform and Open Source EDA (Electronics Design Automation)
 suite which can be used for the creation of electronic schematic diagrams and
 PCB artwork.
 KiCad can load project templates where users can base their own projects on.
 This can save much time while working on own PCBs. This package is providing
 various templates built by the KiCad Community e.g. for common single-board
 computers like:
  * Raspberry PI
  * BeagleBone
  * Arduino (Uno R3, Mega R3, Fio, Micro, Mini, Nano, Pro Nano)
 .
 But also for other typical use cases like for
  * ABS Plastic Hand Held/Instrument Enclosures from Hammond Manufactoring
  * Minnowboard MAX or Turbot SBC
  * STM32F100 discovery board (Cortex-M3™ Microcontroller Board)
  * TI Launchpad board
 .
 This package also contains some worksheet files which are useful in case a
 user wanted to create new templates for KiCad. Please have a look into the
 documentation (available by packages kicad-doc-*) how to create new templates.

Package: kickpass
Description-md5: 16cbfa663e00426e17ba415876508861
Description-en: simple password safe
 It keep each password  in a specific safe, protected with modern cryptography.
 Command line interface is splited into different command.
 .
 It has features like:
   * One password to rule them all;
   * Oone password to find them;
   * One password to bring them all
   * Integrated password generator;
   * Full text  metadata with your favorite editor;
   * Direct copy to X selection and clipboard;
   * Strong encryption: AEAD with chacha20 and poly1305.

Package: kid3
Description-md5: 62c283c9a6db1de53afbc80f8d4105f8
Description-en: KDE audio tag editor
 With Kid3, an ID3 tag editor for KDE you can:
  * Edit ID3v1.1 tags in your MP3 files
  * Edit all ID3v2.3 and ID3v2.4 frames in your MP3 files
  * Convert between ID3v1.1, ID3v2.3 and ID3v2.4 tags
  * Edit tags in MP3, Ogg/Vorbis, Opus, DSF, FLAC, MPC, APE, MP4/AAC, MP2,
    Speex, TrueAudio, WavPack, WMA, WAV, AIFF files and tracker modules.
  * Edit tags of multiple files, e.g. the artist, album, year and genre
    of all files of an album typically have the same values and can be
    set together
  * Generate tags from filenames
  * Generate tags from the contents of tag fields
  * Generate filenames from tags
  * Generate playlist files
  * Automatic case conversion and string translation
  * Import and export album data
  * Import from gnudb.org, TrackType.org, MusicBrainz, Discogs, Amazon
 .
 This package uses KDE libraries, if you do not use KDE you should use kid3-qt.

Package: kid3-cli
Description-md5: 6bb474aef71b77726adcfdf4b0b661aa
Description-en: Command line audio tag editor
 With Kid3, an audio tag editor you can:
  * Edit ID3v1.1 tags in your MP3 files
  * Edit all ID3v2.3 and ID3v2.4 frames in your MP3 files
  * Convert between ID3v1.1, ID3v2.3 and ID3v2.4 tags
  * Edit tags in MP3, Ogg/Vorbis, Opus, DSF, FLAC, MPC, APE, MP4/AAC, MP2,
    Speex, TrueAudio, WavPack, WMA, WAV, AIFF files and tracker modules.
  * Edit tags of multiple files, e.g. the artist, album, year and genre
    of all files of an album typically have the same values and can be
    set together
  * Generate tags from filenames
  * Generate tags from the contents of tag fields
  * Generate filenames from tags
  * Generate playlist files
  * Automatic case conversion and string translation
  * Import and export album data
  * Import from gnudb.org, TrackType.org, MusicBrainz, Discogs, Amazon
 .
 This package contains a command line interface for Kid3, for a GUI you can
 use kid3-qt or kid3.

Package: kid3-core
Description-md5: 3b14ed18856fb60fd849856d0ab798aa
Description-en: Audio tag editor core libraries and data
 With Kid3, an ID3 tag editor for KDE you can:
  * Edit ID3v1.1 tags in your MP3 files
  * Edit all ID3v2.3 and ID3v2.4 frames in your MP3 files
  * Convert between ID3v1.1, ID3v2.3 and ID3v2.4 tags
  * Edit tags in MP3, Ogg/Vorbis, Opus, DSF, FLAC, MPC, APE, MP4/AAC, MP2,
    Speex, TrueAudio, WavPack, WMA, WAV, AIFF files and tracker modules.
  * Edit tags of multiple files, e.g. the artist, album, year and genre
    of all files of an album typically have the same values and can be
    set together
  * Generate tags from filenames
  * Generate tags from the contents of tag fields
  * Generate filenames from tags
  * Generate playlist files
  * Automatic case conversion and string translation
  * Import and export album data
  * Import from gnudb.org, TrackType.org, MusicBrainz, Discogs, Amazon
 .
 This package contains common libraries and data used by both kid3 and kid3-qt.

Package: kid3-qt
Description-md5: 52a49b112f13e5cbce87154ee93c25c9
Description-en: Audio tag editor
 With Kid3, an audio tag editor you can:
  * Edit ID3v1.1 tags in your MP3 files
  * Edit all ID3v2.3 and ID3v2.4 frames in your MP3 files
  * Convert between ID3v1.1, ID3v2.3 and ID3v2.4 tags
  * Edit tags in MP3, Ogg/Vorbis, Opus, DSF, FLAC, MPC, APE, MP4/AAC, MP2,
    Speex, TrueAudio, WavPack, WMA, WAV, AIFF files and tracker modules.
  * Edit tags of multiple files, e.g. the artist, album, year and genre
    of all files of an album typically have the same values and can be
    set together
  * Generate tags from filenames
  * Generate tags from the contents of tag fields
  * Generate filenames from tags
  * Generate playlist files
  * Automatic case conversion and string translation
  * Import and export album data
  * Import from gnudb.org, TrackType.org, MusicBrainz, Discogs, Amazon
 .
 This package does not use KDE libraries, if you use KDE you should use kid3.

Package: kig
Description-md5: 6a035f5ea4965efa48268a92ec5c6b16
Description-en: interactive geometry tool
 Kig is an application for interactive geometric construction, allowing
 students to draw and explore mathematical figures and concepts using the
 computer.
 .
 Kig supports macros and is scriptable using Python.  It can import and export
 files in various formats, including SVG, Cabri, Dr. Geo, KGeo, KSeg, and XFig.
 .
 This package is part of the KDE education module.

Package: kigo
Description-md5: b46b9d581240396c44b4b3ea053aded7
Description-en: go game
 Kigo is an open-source implementation of the popular Go game. Go is a
 strategic board game for two players. It is also known as igo (Japanese),
 weiqi or wei ch'i (Chinese) or baduk (Korean). Go is noted for being rich
 in strategic complexity despite its simple rules. The game is played by
 two players who alternately place black and white stones (playing pieces,
 now usually made of glass or plastic) on the vacant intersections of a grid
 of 19x19 lines (9x9 or 13x13 for easier games).
 .
 This package is part of the KDE games module.

Package: kiki-the-nano-bot
Description-md5: 6c3fbc034f7b50a49f58d8e4f0337816
Description-en: 3D puzzle game, mixing Sokoban and Kula-World
 Kiki the nano bot is a 3D puzzle game, a mixture of Sokoban and Kula-World.
 Your task is to help Kiki, a small robot living in the nano world, repair
 its Maker.

Package: kiki-the-nano-bot-data
Description-md5: 63a640b80263bb3d09bc3cc4d1ae7032
Description-en: Kiki the nano bot - game data
 Kiki the nano bot is a 3D puzzle game, a mixture of Sokoban and Kula-World.
 Your task is to help Kiki, a small robot living in the nano world, repair
 its Maker.
 .
 This package contains data files required by the game Kiki the nano bot.

Package: kildclient
Description-md5: 50f93acd669695460a77bcbe955a2664
Description-en: powerful MUD client with a built-in Perl interpreter
 KildClient is a MUD Client written with the GTK+ windowing toolkit.
 It supports many common features of other clients, such as triggers,
 gags, aliases, macros, timers, and much more. But its main feature is
 the built-in Perl interpreter. You can at any moment execute Perl
 statements and functions to do things much more powerful than simply
 sending text the mud. Perl statements can also be run, for example,
 as the action of a trigger, allowing you to do complex things. Some
 built-in functions of KildClient allow interaction with the world,
 such as sending commands to it.
 .
 KildClient's ANSI support is extensive: it supports not only the common
 16 colors, but also support underlined text (singly and doubly), text in
 italics, text striked through, reverse video and "hidden" text. It also
 supports vt100's line-drawing characters, and xterm's escape sequences
 for a 256-color mode. All these features make KildClient one of the
 clients with the most features for displaying the mud output.
 .
 Kildclient supports the MCCP (Mud Client Compression Protocol) protocol,
 versions 1 and 2, to reduce the necessary bandwidth.
 .
 KildClient allows connection through SOCKS4/5 and HTTP proxy servers.
 .
 KildClient supports the GMCP (also called ATCP2) and MSDP protocols
 for out-of-band communications between server and client.
 .
 This package contains the main program. Install the kildclient-doc
 package to access the HTML manual.

Package: kildclient-doc
Description-md5: 9156327ee50acd2ae7b64d66a9dafabf
Description-en: powerful MUD client with a built-in Perl interpreter - manual
 KildClient is a MUD Client written with the GTK+ windowing toolkit.
 It supports many common features of other clients, such as triggers,
 gags, aliases, macros, timers, and much more. But its main feature is
 the built-in Perl interpreter. You can at any moment execute Perl
 statements and functions to do things much more powerful than simply
 sending text the mud. Perl statements can also be run, for example,
 as the action of a trigger, allowing you to do complex things. Some
 built-in functions of KildClient allow interaction with the world,
 such as sending commands to it.
 .
 KildClient's ANSI support is extensive: it supports not only the common
 16 colors, but also support underlined text (singly and doubly), text in
 italics, text striked through, reverse video and "hidden" text. It also
 supports vt100's line-drawing characters, and xterm's escape sequences
 for a 256-color mode. All these features make KildClient one of the
 clients with the most features for displaying the mud output.
 .
 Kildclient supports the MCCP (Mud Client Compression Protocol) protocol,
 versions 1 and 2, to reduce the necessary bandwidth.
 .
 KildClient allows connection through SOCKS4/5 and HTTP proxy servers.
 .
 KildClient supports the GMCP (also called ATCP2) and MSDP protocols
 for out-of-band communications between server and client.
 .
 This package contains the HTML manual for KildClient.

Package: kile
Description-md5: 1e21a143c02848058a223dd9bc6c539f
Description-en: KDE Integrated LaTeX Environment
 Kile is a user-friendly LaTeX source editor and TeX shell for KDE.
 .
 The source editor is a multi-document editor designed for .tex and .bib
 files.  Menus, wizards and auto-completion are provided to assist with
 tag insertion and code generation.  A structural view of the document
 assists with navigation within source files.
 .
 The TeX shell integrates the various tools required for TeX processing.
 It assists with LaTeX compilation, DVI and postscript document viewing,
 generation of bibliographies and indices and other common tasks.
 .
 Kile can support large projects consisting of several smaller files.

Package: kile-doc
Description-md5: de9b31cbff23f1b50b03d293f8b251ba
Description-en: KDE Integrated LaTeX Environment (documentation)
 Kile is a user-friendly LaTeX source editor and TeX shell for KDE.
 .
 The source editor is a multi-document editor designed for .tex and .bib
 files.  Menus, wizards and auto-completion are provided to assist with
 tag insertion and code generation.  A structural view of the document
 assists with navigation within source files.
 .
 This package contains the documentation for Kile.

Package: kile-l10n
Description-md5: ea722b15e0ad5095d0d311f0d303e14b
Description-en: KDE Integrated LaTeX Environment (localization)
 Kile is a user-friendly LaTeX source editor and TeX shell for KDE.
 .
 The source editor is a multi-document editor designed for .tex and .bib
 files.  Menus, wizards and auto-completion are provided to assist with
 tag insertion and code generation.  A structural view of the document
 assists with navigation within source files.
 .
 This package contains the translations for Kile.

Package: killbots
Description-md5: 3ef2c99318ecfb1d9e5d3d2dfe41edb1
Description-en: port of the classic BSD console game robots
 killbots is a simple game of evading killer robots. The robots are numerous
 and their sole objective is to destroy you.  Fortunately for you, their
 creator has focused on quantity rather than quality and as a result
 the robots are severely lacking in intelligence. Your superior wit and
 a fancy teleportation device are your only weapons against the never-ending
 stream of mindless automatons.
 .
 This package is part of the KDE games module.

Package: killer
Description-md5: eef5d0d212093635d7616145d6e3fe28
Description-en: Background job killer
 killer is a perl script that gets rid of background jobs. Background
 jobs are defined as processes that belong to users who are not currently
 logged into the machine. Jobs can be run in the background (and are
 exempt from *killer*'s actions) if their scheduling priority has been
 reduced by increasing their nice(1) value or if they are being run
 through condor.
 .
 When the package is installed, a cron job is installed to run killer
 once an hour.

Package: kimageformat-plugins
Description-md5: ee6236e16bb5d7a2fed8c80e1fbf4c18
Description-en: additional image format plugins for QtGui
 This framework provides additional image format plugins for QtGui.  As
 such it is not required for the compilation of any other software, but
 may be a runtime requirement for Qt-based software to support certain
 image formats.
 .
 This package is part of KDE Frameworks.

Package: kimagemapeditor
Description-md5: 210b1221fa97d21968b2ec7d02114d9d
Description-en: HTML image map editor
 KImageMapEditor is a tool that allows you to edit image maps in HTML
 files. As well as providing a standalone application, KImageMapEditor
 makes itself available as a KPart for embedding into larger applications.
 .
 This package is part of KDE web development module.

Package: kindleclip
Description-md5: d7f4b304731d9f3353408985c6b3167f
Description-en: User interface for managing Amazon Kindle's "My Clippings" file
 Amazon Kindle devices store a "My Clippings.txt" file, where the
 bookmarks, highlights and notes are kept. This application allows you
 to search within the clippings, filter the contents, and copy and
 paste to other applications.
 .
 This program is in no way endorsed, promoted or should be associated
 with Amazon. It is not –and does not aim to be– an official Kindle
 project.

Package: kinfocenter
Description-md5: 72a193c9dc89940db318996c6bccbc7a
Description-en: system information viewer
 The kinfocenter provides you with a centralized and convenient
 overview of your system and desktop environment.
 .
 The information center is made up of multiple modules.  Each module is a
 separate application, but the information center organizes all of these
 programs into a convenient location.

Package: king
Description-md5: 7ba47377026d7ceb080b8a0c7ef37af2
Description-en: interactive system for three-dimensional vector graphics
 KiNG (Kinemage, Next Generation) is an interactive system for
 three-dimensional vector graphics. It supports a set of graphics
 primitives that make it suitable for many types of graphs, plots, and
 other illustrations; although its first use was to display
 macromolecular structures for biophysical research. KiNG builds on Mage,
 JavaMage, and the "kinemage" (kinetic image) concept to deliver a
 full-featured Java application with a user-friendly interface and
 integrated editing features. The KiNG jar file can be used within a web
 page as a Java applet or Java object to promote easy access to kinemages
 or coordinate files from a web browser.

Package: king-probe
Description-md5: a466ad62a80c39a93d6b84a200a164c7
Description-en: Evaluate and visualize protein interatomic packing
 king-probe is a program that allows one to evaluate atomic packing, either
 within or between molecules. It generates "contact dots" where
 atoms are in close contact.
 .
 The program king-probe generates "contact dots" at points on the van der
 Waals surface of atoms which are in close proximity to other atoms; reading
 atomic coordinates in protein databank (PDB) format files and writing
 color-coded dot lists (spikes where atoms clash) for inclusion in a
 kinemage.

Package: kinit
Description-md5: 597bc846f516146d5a2d0f6d47ede49b
Description-en: process launcher to speed up launching KDE applications
 kdeinit is a process launcher somewhat similar to the
 famous init used for booting UNIX.
 .
 It launches processes by forking and then loading a
 dynamic library which should contain a 'kdemain(...)'
 function.
 .
 Using kdeinit to launch KDE applications makes starting
 a typical KDE applications 2.5 times faster (100ms
 instead of 250ms on a P-III 500) It reduces memory
 consumption by approx. 350Kb per application.

Package: kinit-dev
Description-md5: 1e9fbe33a21a421c70b8a94bcf094a46
Description-en: process launcher to speed up launching KDE applications
 kdeinit is a process launcher somewhat similar to the
 famous init used for booting UNIX.
 .
 It launches processes by forking and then loading a
 dynamic library which should contain a 'kdemain(...)'
 function.
 .
 Using kdeinit to launch KDE applications makes starting
 a typical KDE applications 2.5 times faster (100ms
 instead of 250ms on a P-III 500) It reduces memory
 consumption by approx. 350Kb per application.
 .
 Contains development files for kinit.

Package: kino
Description-md5: 951da919db2265cdb476b97ff402ccfe
Description-en: Non-linear editor for Digital Video data
 Kino allows you to record, create, edit, and play movies recorded with DV
 camcorders. This program uses many keyboard commands for fast navigating and
 editing inside the movie.
 .
 The kino-timfx, kino-dvtitler and kinoplus sets of plugins, formerly
 distributed as separate packages, are now provided with Kino.

Package: kinput2-canna
Description-md5: 40907cc60da0d5afed636a1414ed308a
Description-en: input server for X11 applications that want Japanese text input
 Kinput2 is an input server for X11 applications that want Japanese
 text input.
 .
 A client that wants kana-kanji conversion service for Japanese text
 sends a request to kinput2.  Kinput2 receives the request, does
 kana-kanji conversion, and sends the converted text back to the
 client.
 .
 This package supports Canna cannaserver.

Package: kinput2-canna-wnn
Description-md5: a087c26042e6541ef8d87ee845befe5a
Description-en: input server for X11 applications that want Japanese text input
 Kinput2 is an input server for X11 applications that want Japanese
 text input.
 .
 A client that wants kana-kanji conversion service for Japanese text
 sends a request to kinput2.  Kinput2 receives the request, does
 kana-kanji conversion, and sends the converted text back to the
 client.
 .
 This package supports both Canna cannaserver and Wnn jserver (version
 4 or 6).

Package: kinput2-common
Description-md5: f5c8d855d6d8f0c838b7a19e3ebdd5ce
Description-en: Files shared among kinput2 packages
 This package contains files that is needed by three kinput2 packages
 (kinput2-canna, kinput2-wnn and kinput2-canna-wnn).

Package: kinput2-wnn
Description-md5: 12c174e22333da79ab77f92b6269f31b
Description-en: input server for X11 applications that want Japanese text input
 Kinput2 is an input server for X11 applications that want Japanese
 text input.
 .
 A client that wants kana-kanji conversion service for Japanese text
 sends a request to kinput2.  Kinput2 receives the request, does
 kana-kanji conversion, and sends the converted text back to the
 client.
 .
 This package supports Wnn jserver (version 4 or 6).

Package: kio
Description-md5: 490a3eb47a821487838a8cfb9f8418ab
Description-en: resource and network access abstraction
 KDE Input/Output framework provides a single API for
 operating on files, whether local or on a remote server.
 Additionally, KIO Slaves provide support for individual
 protocols. Some particularly useful ones are http, ftp,
 sftp, smb, nfs, ssh (fish), man, tar and zip.

Package: kio-audiocd
Description-md5: e9ab40373b04e5938ad81ef6c5496aec
Description-en: transparent audio CD access for applications using the KDE Platform
 This package includes the audiocd KIO plugin, which allows applications using
 the KDE Platform to read audio from CDs and automatically convert it into other
 formats.
 .
 This package is part of the KDE multimedia module.

Package: kio-audiocd-dev
Description-md5: ae68fc564df5ac9344e9c7142ad8785c
Description-en: development files for the audio CD KIO plugin
 This package contains development files for building plugins for the audio CD
 KIO plugin.
 .
 This package is part of the KDE multimedia module.

Package: kio-extras
Description-md5: 402f4c775440f5c31b8d0e32ed88bf21
Description-en: Extra functionality for kioslaves.
 A kioslave is a plugin designed to be intimately familiar with a certain
 protocol, so that a standardized interface can be used to get at data from
 any number of places.  A few examples are the http and ftp kioslaves,
 which using nearly identical methods will retrieve data from an http or
 ftp server respectively.
 .
 This package is part of the KDE base workspace module.

Package: kio-extras-data
Description-md5: 7f7e03666171d141f6c8e18751f2e2e0
Description-en: Extra functionality for kioslaves data files.
 A kioslave is a plugin designed to be intimately familiar with a certain
 protocol, so that a standardized interface can be used to get at data from
 any number of places.  A few examples are the http and ftp kioslaves,
 which using nearly identical methods will retrieve data from an http or
 ftp server respectively.
 .
 This package contains the data files

Package: kio-gdrive
Description-md5: f5412023114ff968cfc1f7c112157bda
Description-en: KIO access for GDrive
 KIO GDrive enables KIO-aware applications (such as Dolphin, Kate
 or Gwenview) to access and edit Google Drive files in the cloud.

Package: kio-gopher
Description-md5: 518c1caf96d5d375f95d428c08af6e2a
Description-en: gopher KIO slave
 kio-gopher provides support for the "gopher:" protocol in Konqueror and in
 other KDE applications. This allows you to display web contents using the
 Gopher protocol.
 .
 Currently it supports most (if not all) of the Gopher protocol.

Package: kio-ldap
Description-md5: 2da9b289f5e9b1c8e81a48c7e8e72599
Description-en: library for accessing LDAP - development files
 This is a library for accessing LDAP with a convenient Qt style C++ API.
 LDAP (Lightweight Directory Access Protocol) is an application protocol
 for querying and modifying directory services running over TCP/IP.
 .
 This package contains the KIO slave.

Package: kio-perldoc
Description-md5: 6992b7778fa554e505ee10e49afb090c
Description-en: Perl documentation KIO slave
 This package includes the perldoc KIO plugin, which can be used to
 browse the Perl documentation within Konqueror.
 .
 This package is part of the KDE Software Development Kit module.

Package: kio-sieve
Description-md5: 6e7ea7d76dd6a2dca03e1430c524dc2c
Description-en: Sieve mail filtering language support for kdepim, development files
 This library implements a parser and lexer for Sieve, the mail filtering
 language (RFC 3028).
 .
 This package contains the kio slave for ksieve
 .
 This package is part of the KDE PIM module.

Package: kipi-plugins
Description-md5: 5f587e970b3829903f56115bb024aa08
Description-en: image manipulation/handling plugins for KIPI aware programs
 KIPI plugins (KDE Image Plugin Interface) is an effort to develop a
 common plugin structure for digiKam, KPhotoAlbum (formerly known as
 KimDaBa), Showimg and Gwenview.
 Its aim is to share image plugins among graphic applications.

Package: kipi-plugins-common
Description-md5: c7b1a73345b3e1558b9969f82fd58dba
Description-en: kipi-plugins architecture-independent data
 KIPI plugins (KDE Image Plugin Interface) is an effort to develop a
 common plugin structure for digiKam, KPhotoAlbum (formerly known as
 KimDaBa), Showimg and Gwenview.
 Its aim is to share image plugins among graphic applications.
 .
 The architecture independent data needed for the kipi-plugins package.

Package: kirigami-gallery
Description-md5: c4b077083bba334cce04bcb8c73c801c
Description-en: set of QtQuick components targeted for mobile use
 Kirigami is a set of QtQuick components at the moment targeted for
 mobile use (in the future desktop as well) targeting both Plasma
 Mobile and Android. A set of high level components to make the
 creation of applications that look and feel great on mobile as well
 as desktop devices and follow the Kirigami Human Interface
 Guidelines. The target of these components is anybody that wants to
 do an application using QtQuick as its main UI, especially if
 targeting a mobile platform, without adding many dependencies. They
 work on a variety of platforms, such as Plasma Mobile, Desktop Linux,
 Android and Windows.
 .
 This package contains the example application Kirigami Gallery.

Package: kirigami2-dev
Description-md5: b910e85804091d253182bf6ccdb51afc
Description-en: set of QtQuick components targeted for mobile use
 Kirigami is a set of QtQuick components at the moment targeted for
 mobile use (in the future desktop as well) targeting both Plasma
 Mobile and Android. A set of high level components to make the
 creation of applications that look and feel great on mobile as well
 as desktop devices and follow the Kirigami Human Interface
 Guidelines. The target of these components is anybody that wants to
 do an application using QtQuick as its main UI, especially if
 targeting a mobile platform, without adding many dependencies. They
 work on a variety of platforms, such as Plasma Mobile, Desktop Linux,
 Android and Windows.
 .
 This package contains the development files.

Package: kiriki
Description-md5: 909ab174f5d87189fa9d56e23d3c13f9
Description-en: Yahtzee dice game
 Kiriki is a dice game for up to six players, where each player rolls five dice
 to make combinations with the highest score.
 .
 This package is part of the KDE games module.

Package: kism3d
Description-md5: 2df485ae2eba140ae0a08bfd3f9ac9c6
Description-en: 802.11 visualizer for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a 802.11 visualizer for s3d.

Package: kismet
Description-md5: 41041881c62036bed12eec71e8f5141c
Description-en: wireless sniffer and monitor - core
 Kismet is an 802.11 layer-2 wireless network detector, sniffer, and
 intrusion detection system. It will work with any wireless card that
 supports raw monitoring (rfmon) mode, and can sniff 802.11a/b/g/n
 traffic.
 .
 It can use other programs to play audio alarms for network events,
 read out network summaries, or provide GPS coordinates.
 .
 This is the main package containing the core, client, and server.

Package: kismet-plugins
Description-md5: a94d4cfb0f56f5a44fe0f0e80a15926a
Description-en: wireless sniffer and monitor - plugins
 Kismet is an 802.11 layer-2 wireless network detector, sniffer, and
 intrusion detection system. It will work with any wireless card that
 supports raw monitoring (rfmon) mode, and can sniff 802.11a/b/g/n
 traffic.
 .
 It can use other programs to play audio alarms for network events,
 read out network summaries, or provide GPS coordinates.
 .
 This package provides the following extra plugins for Kismet:
  * autowep: detects the WEP key from BSSID and SSID;
  * btscan: basic scan support for the 802.15.1 (Bluetooth) protocol;
  * ptw: performs the Aircrack-NG PTW attack against captured data;
  * spectools: imports data from the spectools spectrum analyzer;
  * syslog: provides supports for alerts using standard unix syslog services.

Package: kissplice
Description-md5: 6d716ae983176c617d58d582ce80f786
Description-en: Detection of various kinds of polymorphisms in RNA-seq data
 KisSplice is a piece of software that enables the analysis of RNA-seq data
 with or without a reference genome. It is an exact local transcriptome
 assembler that allows one to identify SNPs, indels and alternative splicing
 events. It can deal with an arbitrary number of biological conditions, and
 will quantify each variant in each condition.
 It has been tested on Illumina datasets of up to 1G reads.
 Its memory consumption is around 5Gb for 100M reads.

Package: kiten
Description-md5: adb422fc02eb8cae6c36e0b48c08fa67
Description-en: Japanese reference and study aid for KDE
 Kiten is a collection of Japanese reference tools and study aids for KDE,
 including a Japanese/English dictionary, Kanji dictionary, and Kanji quiz.
 .
 This package is part of the KDE education module.

Package: kitty
Description-md5: 324ca9ac9df1a1576761aaf85cff7171
Description-en: fast, featureful, GPU based terminal emulator
 Kitty supports modern terminal features like: graphics, unicode,
 true-color, OpenType ligatures, mouse protocol, focus tracking, and
 bracketed paste.
 .
 Kitty has a framework for "kittens", small terminal programs that can be used
 to extend its functionality.

Package: kitty-doc
Description-md5: 8603b2198ad8b1a22c57fb9c13b49574
Description-en: fast, featureful, GPU based terminal emulator (documentation)
 This package contains the AsciiDoc/HTML documentation for the kitty terminal
 emulator.

Package: kitty-terminfo
Description-md5: 858a2fc157bc1797719d557286ddfe20
Description-en: fast, featureful, GPU based terminal emulator (terminfo file)
 This package provides the xterm-kitty terminfo definition for the kitty
 terminal emulator so that it can easily be installed on remote systems.

Package: kjots
Description-md5: a28f2a97617e027ae6e65bd89ba46557
Description-en: note-taking utility
 Kjots is a notebook utility that organizes notes using groups of pages.
 .
 This package is part of the KDE PIM module.

Package: kjumpingcube
Description-md5: 3d24124215f3df7b039c8e1cf3c2105d
Description-en: simple tactical game
 KJumpingcube is a simple tactical game for one or two players, played on a
 grid of numbered squares.  Each turn, players compete for control of the board
 by capturing or adding to one square.
 .
 This package is part of the KDE games module.

Package: klatexformula
Description-md5: 99de962965add9d4fdf1ff266c8f7a30
Description-en: GUI to easily get an image from a LaTeX formula or equation
 With klatexformula, just enter a formula and click "Evaluate" to get an image
 of a LaTeX formula. You can drag&drop, copy or save the resulting image
 directly from the GUI, which makes klatexformula a very convenient tool for
 presentations. Klatexformula also provides also tools for equation prototyping
 in LaTeX and a user-library of used equations.

Package: klaus
Description-md5: 54a8a1fbe9e4d4e13d1cf6badd60f2a1
Description-en: simple easy-to-set-up Git web viewer
 Features:
 .
  * Easy to set up -- almost no configuration required
  * Syntax highlighting
  * Git Smart HTTP support
 .
 This package contains the command-line server.

Package: klavaro
Description-md5: 64ab31481e16002f2638d6475c04f7ba
Description-en: Flexible touch typing tutor
 Klavaro is a simple tutor to teach correct typing, almost independently of
 language and very flexible regarding to new or unknown keyboard layouts.
 .
 Its key features are:
  * Internationalization
  * Ready to use keyboard layouts
  * Keyboard layout editor
  * Basic course
  * Adaptability, velocity and fluidness exercises
  * Progress charts.

Package: klayout
Description-md5: da1feb2b7930fe04504c78af1a532478
Description-en: High Performance Layout Viewer and Editor
 This is very good viewer for GDSII and other layout files used in the
 semiconductor industry.
 .
 It is similar to 'magic', but has a much more modern GUI and is more robust
 handling all kinds of GDSII files created by various other tools. Its focus is
 more on viewing than on editing, but it also has limited, but expanding,
 support for DRC and extraction for LVS.

Package: kleborate
Description-md5: 16d6807c15c5f96cdee834a3a29ebbb5
Description-en: tool to screen Klebsiella genome assemblies
 Kleborate is a tool to screen Klebsiella genome assemblies for:
 .
  * MLST sequence type
  * species (e.g. K. pneumoniae, K. quasipneumoniae, K. variicola, etc.)
  * ICEKp associated virulence loci: yersiniabactin (ybt),
    colibactin (clb)
  * virulence plasmid associated loci: salmochelin (iro), aerobactin
    (iuc), hypermucoidy (rmpA, rmpA2)
  * antimicrobial resistance genes, including quinolone resistance SNPs
    and colistin resistance truncations
  * K (capsule) and O antigen (LPS) serotype prediction, via wzi alleles
    and Kaptive

Package: kleborate-examples
Description-md5: 02e9a1952bed93458a4ebe52767f5cd3
Description-en: tool to screen Klebsiella genome assemblies (example data)
 Kleborate is a tool to screen Klebsiella genome assemblies for:
 .
  * MLST sequence type
  * species (e.g. K. pneumoniae, K. quasipneumoniae, K. variicola, etc.)
  * ICEKp associated virulence loci: yersiniabactin (ybt),
    colibactin (clb)
  * virulence plasmid associated loci: salmochelin (iro), aerobactin
    (iuc), hypermucoidy (rmpA, rmpA2)
  * antimicrobial resistance genes, including quinolone resistance SNPs
    and colistin resistance truncations
  * K (capsule) and O antigen (LPS) serotype prediction, via wzi alleles
    and Kaptive
 .
 This package provides example data end tests that are used in autopkgtest.

Package: kleopatra
Description-md5: e5d6fc989907b80b691c99f2d8834cf5
Description-en: Certificate Manager and Unified Crypto GUI
 Kleopatra is a certificate manager and a universal crypto GUI. It supports
 managing X.509 and OpenPGP certificates in the GpgSM keybox and retrieving
 certificates from LDAP servers.

Package: klettres
Description-md5: 78ccf87b022629ab130806107e8090a1
Description-en: foreign alphabet tutor for KDE
 KLettres is an aid for learning how to read and pronounce the alphabet of a
 foreign language.
 .
 Seven languages are currently available: Czech, Danish, Dutch, English,
 French, Italian and Slovak.
 .
 This package is part of the KDE education module.

Package: klettres-data
Description-md5: de3963ca2a75ca8b88c681b5626efdf7
Description-en: data files for KLettres foreign alphabet tutor
 This package contains architecture-independent data files for KLettres,
 the foreign alphabet tutor for KDE.
 .
 This package is part of the KDE education module.

Package: klick
Description-md5: a99e5dd01cee6b322948e1f455f1c271
Description-en: advanced metronome for JACK
 klick is an advanced command-line based metronome using the JACK sound server.
 It allows you to define complex tempo maps for entire songs or performances.
 .
 A single meter and tempo can be specified on the command line, while more
 complex tempo maps can be read from plain text files.
 Alternatively, it's also possible to run klick in interactive mode,
 where the tempo can be changed at runtime using the keyboard,
 or to follow tempo information read from JACK transport.

Package: klickety
Description-md5: 76a3acd28264e1e614c740d4ee925092
Description-en: SameGame puzzle game
 Klickety is a puzzle game where the player removes groups of colored marbles to
 clear the board.
 .
 This package is part of the KDE games module.

Package: klines
Description-md5: a63b56e6f3908159d302a9513ea2e0f3
Description-en: color lines game
 KLines is a single-player game where the player removes colored balls from the
 board by arranging them into lines of five or more.  However, every time the
 player moves a ball, three more balls are added to the board.
 .
 This package is part of the KDE games module.

Package: klog
Description-md5: 4f4be88e77b8e6c797859dd3859eefc9
Description-en: Multiplatform ham radio logging program
 This package provides a ham radio logging program.
 This application supports logging for HF, VHF and sats operations.
 It supports many features like QSL, DXCC, IOTA, WAZ and awards.
 KLog produces ADIF as default file format.
 This application also includes a DX-Cluster client fully integrated
 into the main interface and is also integrated with WSJT-X.
 KLog can run in Linux, OSX & Windows systems.

Package: klone
Description-md5: 1a769d48d8ef6cbcbb809a432c836de4
Description-en: embedded web application development framework
 This package contains KLone's source code, to be used to build custom
 kloned servers.
 .
 KLone is a fully-featured, multiplatform, web application development
 framework, targeted especially for embedded systems and appliances.
 .
 It is a self-contained solution which includes a web server and an SDK
 for creating WWW sites with both static and dynamic content. When
 using KLone, there's absolutely no need for any additional component:
 neither the HTTP/S server (e.g. Apache, Netscape, Roxen), nor the
 typical active pages engine (PHP, Perl, ASP, Python).
 .
 KLone does everything, and does it fast and small.
 .
 KLone blends the HTTP/S server application together with its content
 and configuration into a single executable file. The site developer
 writes his/her dynamic pages in C/C++ (in usual scripting style: <% /*
 code */ %>) and uses KLone to transform them into embeddable,
 compressed native code with the native C/C++ compiler. The result is
 then linked to the HTTP/S server skeleton to obtain one single,
 ROM-able, binary file. This means that he/she can get:
  - easy, complete and unfiltered interaction with the host operating
      system
  - dynamic pages in native compiled code, which in turn implies
  - fast execution and
  - small overall application footprint
  - all of this without giving up the common functionality of web
      application frameworks such as sessions, parsing of form
      variables, cookies, etc

Package: klone-package
Description-md5: cb0260df1d8209c1e599670edda90499
Description-en: tool for creating custom KLone web server packages
 This package has utilities that help creating packages containing a
 custom web server created by KLone web application development
 framework.

Package: kluppe
Description-md5: 2316fc95bf964f3f793e4093212f20ad
Description-en: loop-player and recorder designed for live use
 kluppe is written in c and uses jack, gtk and sndfile. Features include:
 .
  - multiple files buffers, multiple 'loopers' tracks
  - direct (gui) access to all loopers
  - combined and per loop output ports for jack
  - different playmodes including "granular"
 .
 kluppe is the austrian word for clip and sounds even crazier if you loop it.

Package: klustakwik
Description-md5: 4c821055a9d38bf7792110ce056dbdc2
Description-en: automatic sorting of the samples (spikes) into clusters
 KlustaKwik is a program for automatic clustering of continuous data
 into a mixture of Gaussians. The program was originally developed for
 sorting of neuronal action potentials, but can be applied to any sort
 of data.

Package: klystrack
Description-md5: 0c25e0860f8689eed1842e44356f01ac
Description-en: Chiptune tracker
 This is an application for creating music reminiscent of the style of music
 from 8-bit computers or, chiptunes. It features a "tracker" style sequencer,
 and a built-in synthesizer capable of a wide range sounds - including but not
 limited to basic subtractive synthesis, frequency modulation and sample
 playback. Sounds can be easily beefed up by using the effect chain. It is
 possbile to import a handful of file formats, including Protracker .MOD,
 Fasttracker 2 .XM, the Cave Story music format (.ORG) and Rob Hubbard
 Commodore 64 SID files. Tunes can be easily exported as a single mixdown .WAV
 or separate tracks or you can simply use the playback library to use the
 klystrack files in your own games and other software.

Package: kma
Description-md5: 2096f8927a2b82fc955d8fbdca77c1aa
Description-en: mapping genomic sequences to raw reads directly against redundant databases
 KMA is mapping a method designed to map raw reads directly against
 redundant databases, in an ultra-fast manner using seed and extend. KMA
 is particularly good at aligning high quality reads against highly
 redundant databases, where unique matches often does not exist. It works
 for long low quality reads as well, such as those from Nanopore. Non-
 unique matches are resolved using the "ConClave" sorting scheme, and a
 consensus sequence are outputtet in addition to other common attributes.

Package: kmag
Description-md5: 82b2b2330ccc170b76cf2d94b9074560
Description-en: screen magnifier tool
 KDE's screen magnifier tool.
 .
 You can use KMagnifier to magnify a part of the screen just as you would use
 a lens to magnify a newspaper fine-print or a photograph.  This application is
 useful for a variety of people: from researchers to artists to web-designers to
 people with low vision.
 .
 This package is part of the KDE accessibility module.

Package: kmahjongg
Description-md5: 12f781dcbd48844eb36d5526820d3e10
Description-en: mahjongg solitaire game
 KMahjongg is a solitaire game where the player removes matching Mahjongg tiles
 to clear the board.  A variety of tile layouts are included, as well as an
 editor to create new layouts.
 .
 This package is part of the KDE games module.

Package: kmail
Description-md5: 06986fdec87702a4386c5bfc37a5a063
Description-en: full featured graphical email client
 KMail supports multiple accounts, mail filtering and email encryption.
 The program let you configure your workflow and it has good integration into
 KDE (Plasma Desktop) but is also useable with other Desktop Environments.

Package: kmailtransport-akonadi
Description-md5: c997904a7f4918008cfe8315b8e4bd21
Description-en: mail transport akonadi library
 Mailtransport is a library that provides the following functionality:
 .
  * Shared mail transport settings.
  * GUI elements to configure mail transport settings.
  * Job classes for mail sending.
 .
 This package contains the akonadi plugin.

Package: kmc
Description-md5: ebf89f936ea92086de01b356a765be39
Description-en: count kmers in genomic sequences
 The kmc software is designed for counting k-mers (sequences of
 consecutive k symbols) in a set of reads. K-mer counting is
 important for many bioinformatics applications, e.g. developing de Bruijn
 graph assemblers.
 .
 Building de Bruijn graphs is a commonly used approach for genome
 assembly with data from second-generation sequencing.
 Unfortunately, sequencing errors (frequent in practice)
 result in huge memory requirements for de Bruijn graphs, as well
 as long build time. One of the popular approaches to handle this
 problem is filtering the input reads in such a way that unique k-mers
 (very likely obtained as a result of an error) are discarded.
 .
 Thus, KMC scans the raw reads and produces a compact representation
 of all non-unique reads accompanied with number of their occurrences.
 The algorithm implemented in KMC makes use mostly of disk space rather
 than RAM, which allows one to use KMC even on rather typical personal
 computers. When run on high-end servers (what is necessary for KMC
 competitors) it outperforms them in both memory requirements and
 speed of computation. The disk space necessary for computation is in
 order of the size of input data (usually it is smaller).

Package: kmenuedit
Description-md5: 12bafba27a1d36d24d639d2ecde64ce5
Description-en: XDG menu editor
 This package provides a menu editor which may be used to edit the KDE Plasma
 workspaces menu or any other XDG menu.
 .
 This package contains the runtime files.

Package: kmerresistance
Description-md5: b4437a931ac167781e12cb6e427e9809
Description-en: correlates mapped genes with the predicted species of WGS samples
 KmerResistance correlates mapped genes with the predicted species of WGS
 samples, where this allows for identification of genes in samples which
 have been poorly sequenced or high accuracy predictions for samples with
 contamination. KmerResistance has one dependency, namely KMA to perform
 the mapping, which is also freely available.

Package: kmetronome
Description-md5: 12984a6bee205c9527d4e2906cf2aeaf
Description-en: ALSA MIDI Metronome
 KMetronome is a MIDI based metronome using the ALSA sequencer.
 .
 The intended audience are musicians and music students. Like the solid, real
 metronomes it is a tool to keep the rhythm while playing musical instruments.
 .
 It uses MIDI for sound generation instead of digital audio, allowing low CPU
 usage and very accurate timing thanks to the ALSA sequencer

Package: kmfl-keyboards-mywin
Description-md5: 4666b1744427f44bcf19c45f40e2133d
Description-en: myWin Myanmar (Burmese) Unicode Keyboard
 This implements a smart keyboard for Myanmar/Burmese using SCIM and KMFL.
 It performs sequence checking and reordering to ensure that
 the text is conformant to Unicode Technical Note 11.
 This keyboard supports the changes in Unicode 5.1.0.
 .
 This software was written and packaged by Keith Stribley.

Package: kmflcomp
Description-md5: 8f8b4008dcbde96bfd7094a95b70a60d
Description-en: KMFL (Keyboard Mapping for Linux) Compiler
 The kmfl library is a multilingual text processing library that implements
 the Keyman(C) text processing language.
 .
 Utility to compile Keyman-style keyboard layout files to a binary format for
 use by the KMFL keystroke interpreter.

Package: kmines
Description-md5: f4aac15b7955ee792cb50cfd41f5349b
Description-en: minesweeper game
 KMines is a game where the player finds hidden mines without setting them off.
 .
 This package is part of the KDE games module.

Package: kmix
Description-md5: c2f995bbd12865838605a7d5c1050b03
Description-en: volume control and mixer
 KMix is an audio device mixer, used to adjust volume, select recording inputs,
 and set other hardware options.
 .
 This package is part of the KDE multimedia module.

Package: kmousetool
Description-md5: ed1cb0185a00a221eacad2de20b93c54
Description-en: mouse manipulation tool for the disabled
 KMouseTool clicks the mouse whenever the mouse cursor pauses briefly. It was
 designed to help those with repetitive strain injuries, for whom pressing
 buttons hurts.
 .
 This package is part of the KDE accessibility module.

Package: kmouth
Description-md5: 8a07ec1d4353d2840db82251413eeb71
Description-en: type-and-say frontend for speech synthesizers
 KDE's type-and-say frontend for speech synthesizers.
 .
 It includes a history of spoken sentences from which the user can select
 sentences to be re-spoken.
 .
 This package is part of the KDE accessibility module.

Package: kmplayer
Description-md5: 01e56946ed4b5eeb6fbdd3f6170b569a
Description-en: media player for KDE
 KMPlayer is a simple frontend for MPlayer/FFMpeg/Phonon.
 .
 Some features:
  * play DVD/VCD movies (from file or url and from a video device)
  * embed inside konqueror (movie is played inside konqueror)
  * embed inside khtml (movie playback inside a html page)
  * Movie recording using mencoder (part of the mplayer package)
  * No video during recording, but you can always open a new window and play it
  * Broadcasting, http streaming, using ffserver/ffmpeg
  * For TV sources, you need v4lctl (part of the xawtv package)

Package: kmplot
Description-md5: 89122cf790f7456ae9d01a49d14e6d04
Description-en: mathematical function plotter for KDE
 KmPlot is a powerful mathematical plotter KDE, capable of plotting multiple
 functions simultaneously and combining them into new functions.
 .
 Cartesian, parametric, and differential functions are supported, as well as
 functions using polar coordinates.  Plots are printed with high precision at
 the correct aspect ratio.
 .
 KmPlot also provides numerical and visual features such as filling and
 calculating the area between the plot and the first axis, finding maxima and
 minima, changing function parameters dynamically, and plotting derivatives
 and integral functions.
 .
 This package is part of the KDE education module.

Package: kmscube
Description-md5: 5f1a4379c2759101c5d22f800e9e21bc
Description-en: Example KMS/GBM/EGL application
 kmscube is an example application that displays a rotating cube to
 demonstrate the usage of KMS, GBM and EGL. It can be used to
 test kernel mode setting and Mesas DRM drivers.

Package: kmymoney
Description-md5: 49de8a9f7525de7bb25a7171b3e764a1
Description-en: personal finance manager for KDE
 KMyMoney is the Personal Finance Manager for KDE. It operates similar to
 MS-Money and Quicken, supports different account types, categorisation of
 expenses, QIF import/export, multiple currencies and initial online banking
 support.

Package: kmymoney-common
Description-md5: 31bd7293497ef7f025bc3697e844770a
Description-en: KMyMoney architecture independent files
 KMyMoney is the Personal Finance Manager for KDE. It operates similar to
 MS-Money and Quicken, supports different account types, categorisation of
 expenses, QIF import/export, multiple currencies and initial online banking
 support.
 .
 This package contains architecture independent files needed for KMyMoney to
 run properly. It also provides KMyMoney documentation. Therefore, unless you
 have 'kmymoney' package installed, you will hardly find this package useful.

Package: knavalbattle
Description-md5: e05a96c085fd880357c694dbfe578365
Description-en: battleship board game
 KNavalbattle is an implementation of the Battleship game, where two players
 take turns firing at the opponent's ships by guessing their coordinates.
 .
 This package is part of the KDE games module.

Package: knetwalk
Description-md5: 931a61d4f665efef9e18e201538ce5de
Description-en: wire puzzle game
 KNetwalk is a puzzle game where the player arranges sections of wire to
 connect all the computers on the board.
 .
 This package is part of the KDE games module.

Package: knews
Description-md5: 9e0b51cf467618edbe2b5a75f614034c
Description-en: Graphical threaded news reader
 Knews is an X11 based thread-oriented news reader.  It is capable of
 representing threads as a graphical tree, represents quotations with
 different colors and much more.
 .
 Also included here is knewsd, a tiny NNTP daemon which can read news
 from a spool directory or even from a mail folder hierarchy, for use
 when you don't have a real server available.  There is no guarantee
 that it will work with any client other than knews, so it is not
 packaged separately.

Package: knights
Description-md5: 9b7851d3bbc66ef2e92f65dc111afd96
Description-en: chess interface for the KDE Platform
 Knights aims to be the ultimate chess resource on your computer.
 It's designed to be both friendly to new chess players and functional for
 Grand Masters. At the moment you can play either against other human or
 against the machine using an engine like gnuchess.

Package: knockd
Description-md5: 299f4f026135628095f21e7271283e1f
Description-en: small port-knock daemon
 A port-knock server that listens to all traffic on a given network
 interface (only Ethernet and PPP are currently supported), looking for
 a special "knock" sequences of port-hits. A remote system
 makes these port-hits by sending a TCP (or UDP) packet to a port on the
 server. When the server detects a specific sequence of port-hits, it
 runs a command defined in its configuration file. This can be used to
 open up holes in a firewall for quick access.

Package: knocker
Description-md5: 5071ff713ef6d3c6fb102b077329389e
Description-en: Simple and easy to use TCP security port scanner
 Knocker is a new, simple, and easy to use TCP security port
 scanner written in C, using threads. It is able to analyze hosts
 and the network services which are running on them.

Package: knockpy
Description-md5: 36791e181727276620f861d00e071af8
Description-en: Python tool designed to enumerate subdomains on a target domain
 Knockpy is a Python tool designed to enumerate subdomains on a target domain
 through a wordlist.

Package: knot
Description-md5: d3cd8bada5ec8165f95caeeb8d6f1342
Description-en: Authoritative domain name server
 Knot DNS is a fast, authoritative only, high performance, feature
 full and open source name server.
 .
 Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ
 registry and hence is well suited to run anything from the root
 zone, the top-level domain, to many smaller standard domain names.

Package: knot-dnsutils
Description-md5: f67690bbc87196304a0045facb92871f
Description-en: Clients provided with Knot DNS (kdig, knsupdate)
 Knot DNS is a fast, authoritative only, high performance, feature
 full and open source name server.
 .
 Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ
 registry and hence is well suited to run anything from the root
 zone, the top-level domain, to many smaller standard domain names.
 .
 This package delivers various client programs related to DNS that are
 derived from the Knot DNS source tree.
 .
  - kdig - query the DNS in various ways
  - knsupdate - perform dynamic updates (See RFC2136)
 .
 Those clients were designed to be 1:1 compatible with BIND dnsutils,
 but they provide some enhancements, which are documented in respective
 manpages.
 .
 WARNING: knslookup is not provided as it is considered obsolete.

Package: knot-doc
Description-md5: 5bedd500c01b25cda3559c2f4ce46b9a
Description-en: Documentation for Knot DNS
 Knot DNS is a fast, authoritative only, high performance, feature
 full and open source name server.
 .
 Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ
 registry and hence is well suited to run anything from the root
 zone, the top-level domain, to many smaller standard domain names.
 .
 This package provides various documents that are useful for
 maintaining a working Knot DNS installation.

Package: knot-host
Description-md5: a3377b916eb66fd60806dcfcfeeb16ae
Description-en: Version of 'host' bundled with Knot DNS
 Knot DNS is a fast, authoritative only, high performance, feature
 full and open source name server.
 .
 Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ
 registry and hence is well suited to run anything from the root
 zone, the top-level domain, to many smaller standard domain names.
 .
 This package provides the 'host' program in the form that is bundled
 with the Knot DNS.  The 'host' command is designed to be 1:1
 compatible with BIND 9.x 'host' program.

Package: knot-resolver
Description-md5: 2980cb878789d47d019cb6f479f08427
Description-en: caching, DNSSEC-validating DNS resolver
 The Knot DNS Resolver is a caching full resolver implementation
 written in C and LuaJIT, including both a resolver library and a
 daemon. Modular architecture of the library keeps the core tiny and
 efficient, and provides a state-machine like API for
 extensions. There are three built-in modules - iterator, cache,
 validator, and many external.
 .
 The Lua modules, switchable and shareable cache, and fast FFI
 bindings makes it great to tap into resolution process, or be used
 for your recursive DNS service. It's the OpenResty of DNS.
 .
 The server adopts a different scaling strategy than the rest of the
 DNS recursors - no threading, shared-nothing architecture (except
 MVCC cache that may be shared). You can start and stop additional
 nodes depending on the contention without downtime.

Package: knot-resolver-doc
Description-md5: acd701df103f962c76520fdf1c8d8559
Description-en: Documentation for Knot Resolver
 The Knot DNS Resolver is a caching full resolver implementation
 written in C and LuaJIT, including both a resolver library and a
 daemon. Modular architecture of the library keeps the core tiny and
 efficient, and provides a state-machine like API for
 extensions. There are three built-in modules - iterator, cache,
 validator, and many external.
 .
 This package contains Knot Resolver Documentation.

Package: knot-resolver-module-http
Description-md5: ddaca8a3d06fad8c96ceb9a7962741a3
Description-en: HTTP/2 module for Knot Resolver
 The Knot DNS Resolver is a caching full resolver implementation
 written in C and LuaJIT, including both a resolver library and a
 daemon. Modular architecture of the library keeps the core tiny and
 efficient, and provides a state-machine like API for
 extensions. There are three built-in modules - iterator, cache,
 validator, and many external.
 .
 This package contains HTTP/2 module for local visualization of the
 resolver cache and queries.

Package: knotes
Description-md5: c6ddecf29df554ba7bb42ac17c229743
Description-en: sticky notes application
 KNotes is a program that lets you write sticky notes. The notes are saved
 automatically when you exit the program, and they display when you open the
 program.  The program supports printing and mailing your notes.

Package: knowthelist
Description-md5: f8c02f5217f4c4f4fc8ab7d781232851
Description-en: awesome party music player
 Easy to use for all party guests.
 .
 Featuring:
  - Quick search for tracks in collection.
  - Two players with separate playlists.
  - Mixer with fader, 3 channel EQ and gain.
  - Auto fader and auto gain.
  - Track analyser search for song start/end and gain setting.
  - Auto DJ function with multiple filters for random play.
  - Monitor player for pre listen tracks (via 2nd sound card e.g. USB).

Package: knxd
Description-md5: f6ad895f135300eac32a20bf860ce05f
Description-en: daemon to access the KNX bus
 KNX is a standard protocol for home and building control.
 .
 This package contains the daemon to access the physical bus,
 monitor and forward messages, etc.

Package: knxd-dev
Description-md5: 997b294ee88a423f0ea0759293dccc0d
Description-en: development files for knxd
 KNX is a standard protocol for home and building control.
 .
 These are the development files for knxd clients.

Package: knxd-tools
Description-md5: d3051ea1789ad7f1cc6a1f0579c89b02
Description-en: tools to use knxd
 KNX is a standard protocol for home and building control.
 .
 This package contains command-line tools to communicate with the KNX
 daemon.

Package: kobodeluxe
Description-md5: c70b6a71d5193cdd85e63dabdbeb5858
Description-en: game of space battle
 Kobo Deluxe is a third person scrolling 2D shooter with a simple
 and responsive control system - which you'll need to tackle the
 tons of enemy ships that shoot at you, chase you, circle around
 you shooting, or even launch other ships at you, while you're
 trying to destroy the labyrinth shaped bases. There are 50
 action packed levels with smoothly increasing difficulty, and
 different combinations of enemies that require different tactics
 to be dealt with successfully. It's loads of classical arcade style
 fun.

Package: kobodeluxe-data
Description-md5: 15f547fdfcc2114efa8e85505de71f0d
Description-en: game of space battle -- shared data
 Kobo Deluxe is a third person scrolling 2D shooter. There are 50
 action packed levels with smoothly increasing difficulty, and
 different combinations of enemies that require different tactics
 to be dealt with successfully. It's loads of classical arcade style
 fun.
 .
 This package contains architecture-independent data for kobodeluxe.

Package: kodi
Description-md5: 8cbb7a58aa8f70442021b6474bb4487a
Description-en: Open Source Home Theatre (executable binaries)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package contains the kodi binaries.

Package: kodi-addons-dev
Description-md5: 26f543ca8eb2f82800ec09f911f4f1b3
Description-en: Open Source Home Theatre (Addons Dev package)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This is the development package for Kodi Addons.
 .
 This package contains independent headers for building Addons
 without the whole Kodi source tree.

Package: kodi-bin
Description-md5: 93900851d4af90acc12202b10586f063
Description-en: Open Source Home Theatre (architecture-dependent files)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package contains the binaries needed to have a working Kodi.

Package: kodi-data
Description-md5: fa3e87313ffb86f24abdb9685cb02f68
Description-en: Open Source Home Theatre (arch-independent data package)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package contains all the architecture independent data needed to have a
 working Kodi.

Package: kodi-eventclients-common
Description-md5: 802e3e8bee5297f8f957a96ac032ec80
Description-en: Open Source Home Theatre (Event Client Common package)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package is the common package for Kodi Event Client.

Package: kodi-eventclients-dev
Description-md5: 2446fa9c1c8bc29b159afd60b1011e4c
Description-en: Open Source Home Theatre (Event Client Dev package)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This is the Development package for Kodi Event Client.

Package: kodi-eventclients-kodi-send
Description-md5: 1040f8876d6c7b4c4fede7eedb7164dc
Description-en: Open Source Home Theatre (Event Client Kodi-SEND package)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package is the Kodi-SEND package for Kodi Event Client.

Package: kodi-eventclients-ps3
Description-md5: 1d25cf990934a5a98896d953c465fe26
Description-en: Open Source Home Theatre (Event Client PS3 package)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package is the PS3 package for Kodi Event Client.

Package: kodi-eventclients-wiiremote
Description-md5: 4a6ec18419dee29c9640c309b43c4c2b
Description-en: Open Source Home Theatre (Event Client WII Remote support package)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package is the Wii Remote client package for Kodi.

Package: kodi-gbm
Description-md5: ee9b6b998338a242423e2c4c1c691e46
Description-en: Open Source Home Theatre (architecture-dependent files)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package contains the binaries needed to have a working Kodi
 on the Generic Buffer Manager.

Package: kodi-pvr-argustv
Description-md5: 3d27858b75f38098834c84053c0c3e9d
Description-en: ARGUS TV PVR addon for Kodi
 This package contains the ARGUS TV PVR (Personal Video Recorder) Addon
 for Kodi, the award winning free and open source software media-player
 and entertainment hub for all your digital media.
 .
 ARGUS TV is an advanced rule-based scheduling system to record TV programs.
 .
 This addon allows controlling ARGUS TV from Kodi.

Package: kodi-pvr-dvbviewer
Description-md5: 34d39b56bd81ea4be83815e5e407dd80
Description-en: DVBViewer Kodi PVR Addon
 DVBViewer Client is a Kodi PVR client addon for DVBViewer Recording
 Service. It supports LiveTV, Timers, Recordings, EPG and timeshift.

Package: kodi-pvr-hdhomerun
Description-md5: ff02bb957a49f3b14524563f16bcd283
Description-en: HDHomeRun PVR Addon for Kodi
 HDHomeRun is made by SiliconDust and is a stand-alone networked TV/HDTV
 tuner box. The HDHomeRun can either connect with over the air TV signals
 or with cablecard, and then stream the channels over a network to
 supported clients, such as Kodi.
 .
 This package contains the HDHomeRun PVR (Personal Video Recorder) Addon
 for Kodi, the award winning free and open source software media-player
 and entertainment hub for all your digital media.

Package: kodi-pvr-hts
Description-md5: 50082500eacb05a17d3de9a2e78cd189
Description-en: Kodi PVR Addon TvHeadend Hts
 This package contains the TvHeadend PVR (Personal Video Recorder) Addon for
 Kodi, the award winning free and open source software media-player and
 entertainment hub for all your digital media.

Package: kodi-pvr-iptvsimple
Description-md5: d6c10aeba4bf735c48e9f0abb2ee493a
Description-en: IPTV Simple Client Kodi PVR Addon
 IPTV Simple Client is a basic PVR Addon for Kodi to receive IPTV Live TV,
 Radio channels, and EPG directly in Kodi.
 .
 It adds support for Live TV watching and EPG TV Guide through IPTV
 provided by the Internet providers in former USSR countries among others.

Package: kodi-pvr-mediaportal-tvserver
Description-md5: 914e19ec59b5a6d15c9ac72f2ffe513b
Description-en: MediaPortal's TV-Server PVR addon for Kodi
 This package contains the MediaPortal's TV-Server PVR (Personal Video
 Recorder) Addon for Kodi, the award winning free and open source
 software media-player and entertainment hub for all your digital media.
 .
 TV-Server is the component of MediaPortal that manages the user's
 TV cards and streams the TV signal over the network.

Package: kodi-pvr-mythtv
Description-md5: 666204db3cb18adff899e185b299a75a
Description-en: MythTV PVR Addon for Kodi
 MythTV is a open source software PVR project that is designed to run on
 Linux, although other operating system packages are available. With
 MythTV you can watch Live TV, schedule recordings, view episode guide
 information, and many other features you expect from a DVR type
 appliance device. But more interesting for Kodi users is that as MythTV
 uses a distributed application structure it can also be configured as
 PVR backend (PVR server) for Kodi, supporting multiple Kodi frontends as
 clients, with MythTV acting as the PVR backend that does work of tuning
 TV signals, recording, scheduling, and post processing jobs.
 .
 This package contains the MythTV PVR (Personal Video Recorder) Addon for
 Kodi, the award winning free and open source software media-player and
 entertainment hub for all your digital media

Package: kodi-pvr-nextpvr
Description-md5: a011a095b02a496ccb7fba22c0a148a8
Description-en: NextPVR PVR addon for Kodi
 This package contains the NextPVR PVR (Personal Video Recorder) Addon
 for Kodi, the award winning free and open source software media-player
 and entertainment hub for all your digital media
 .
 NextPVR is a free Personal Video Recorder (PVR) application for
 Microsoft Windows.
 .
 NextPVR supports a wide range of digital tuners including DVB-S/S2, DVB-
 T/T2, DVB-C, DMB-T, ATSC and QAM. NextPVR also supports specialist
 component/HDMI capture devices (like the Hauppauge HDPVR, HDPVR2 and
 Colossus), and Copy-Freely devices (like the Hauppauge DCR-2650 and
 HDHomeRun Prime). NextPVR supports all broadcast codec's including: MPEG-
 2 and H.264 video, and MPEG1 Layer II, AC3, E-AC3, AAC, HE-AAC audio.

Package: kodi-pvr-njoy
Description-md5: cba396209300639ce5144777600723bb
Description-en: NJOY PVR Addon for Kodi
 Njoy Digital is a company that makes "Njoy Digital N7" network attached
 DVB TV tuners for Digital Cable, Terrestrial or Satellite TV
 television broadcasts compatible with XBMC's PVR frontend clients.
 .
 This package contains the NJOY PVR (Personal Video Recorder) Addon for Kodi,
 the award winning free and open source software media-player and entertainment
 hub for all your digital media.

Package: kodi-pvr-vdr-vnsi
Description-md5: 299fca88aa9d737a2ff1913d08787e58
Description-en: Kodi PVR Addon VDR VNSI
 This package contains the VDR VNSI PVR (Personal Video Recorder) Addon for
 Kodi, the award winning free and open source software media-player and
 entertainment hub for all your digital media.

Package: kodi-pvr-vuplus
Description-md5: fa258eed461efa5e75470f22bc7cf113
Description-en: Vu+/Enigma2 PVR Addon for Kodi
 Enigma2 is a framebuffer-based zapping application (GUI) that is primarly
 targeted to physicial set-top-boxes running embedded Linux (OpenEmbedded
 based firmware distrobutions), however Enigma2 can technically also run
 on regular PCs, but it is not common.
 .
 While the Enigma2 software was originally developed by Dream Multimedia
 for their Dreambox series, the opendreambox.org has made Enigma2 into a
 popular open source based firmware platform for set-top boxes and it is
 used by a number of differet set-top box manufacturers, including the
 most commonly known are Dreambox, DBox2, and Vu+ (VuPlus), which all use
 Enigma2 based firmware images. Most of these Enigma2 based set-top boxes
 falls under the category of Linux-powered satellite, terrestrial and cable
 digital television receivers.
 .
 With the Vu+ (VuPlus) PVR add-on Kodi PVR can be used also with a connected
 VU+ or any other Enigma2 based set-top boxes, and in general all Enigma2
 based settop boxes should be supported.
 .
 This package contains the Vu+ PVR (Personal Video Recorder) Addon for Kodi,
 the award winning free and open source software media-player and entertainment
 hub for all your digital media.

Package: kodi-pvr-wmc
Description-md5: 487050faf606d656b5308870a6cce90f
Description-en: WMC PVR Addon for Kodi
 PVR client add-on that provides Live TV, PVR (Personal Video Recorder)
 functions and electronic program guide data for Kodi. The services are
 provided by connecting to ServerWMC, a server interface for Windows
 which communicates with Windows Media Center.

Package: kodi-repository-kodi
Description-md5: 04ec9104cb8f86a1b5883710de2be877
Description-en: Open Source Home Theatre (official addons repository feed)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package enables download of addons via the official Kodi
 repository feed.

Package: kodi-visualization-spectrum
Description-md5: 28193a34b72402a2718a36859ca4ad3d
Description-en: Spectrum visualizer addon for Kodi
 Spectrum visualizer for Kodi which has been part of Kodi before
 release 16.

Package: kodi-wayland
Description-md5: 2d10a447b8e5d1a5b04bb54525f95229
Description-en: Open Source Home Theatre (architecture-dependent files)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package contains the binaries needed to have a working Kodi
 on Wayland compositors.

Package: kodi-x11
Description-md5: 2a0fedfaba3af286e2a9ad88d45b0dca
Description-en: Open Source Home Theatre (architecture-dependent files)
 Kodi, formerly known as XBMC is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. Kodi is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, Kodi is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to Kodi, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While Kodi functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, Kodi feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package contains the binaries needed to have a working Kodi
 on X11.

Package: kolf
Description-md5: ad1691e3fb92933dae4c507d210567d6
Description-en: miniature golf game
 Kolf is a miniature golf game for one to ten players.  A variety of courses
 are included, as well as an editor to create new courses.
 .
 This package is part of the KDE games module.

Package: kollision
Description-md5: b9cf0fbc55ba66358c7e751aab29d489
Description-en: simple ball dodging game
 In Kollision you use mouse to control a small blue ball in a closed space
 environment filled with small red balls, which move about chaotically.
 Your goal is to avoid touching any of those red balls with your blue one,
 because the moment you do the game will be over. The longer you can stay in
 game the higher will your score be.
 .
 This package is part of the KDE games module.

Package: kolourpaint
Description-md5: ac26f3b7021598dc7d2e4dc8d0814b4d
Description-en: simple image editor and drawing application
 KolourPaint is a simple drawing and image editing application for KDE.
 It aims to be easy to use, providing a level of functionality targeted towards
 the average user. It is ideal for common tasks such as drawing simple graphics
 and touching-up photos.
 .
 This package is part of the KDE graphics module.

Package: kolourpaint4
Description-md5: fc2932ce83d2fbbe100d7eba9f816a6c
Description-en: transitional package for kolourpaint
 This is a transitional package to migrate to kolourpaint.  It can be safely
 removed after the upgrade.
 .
 This package is part of the KDE graphics module.

Package: komi
Description-md5: 4231b289009acb1295fdb0072ef6ccb3
Description-en: Single player arcade game with Komi the Space Frog!
 Komi is a space frog, and your aim in this single player arcade
 game is to feed him by capturing food with your giant tongue.
 .
 Avoid hitting the energy barriers and other nasties as you
 try to eat all the food.

Package: kompare
Description-md5: 69bf0f67fe774e695a6dd64599c06072
Description-en: file difference viewer
 Kompare displays the differences between files.  It can compare the
 contents of files or directories, as well as create, display, and merge patch
 files.
 .
 This package is part of the KDE Software Development Kit module.

Package: konclude
Description-md5: be956a63cc81d3b287d3fb94fd010637
Description-en: tableau-based description logic reasoner for the semantic web
 Konclude is a high-performance reasoner
 for large and expressive ontologies.
 The supported ontology language is formally characterised
 by the Description Logic (DL) SROIQV(D).
 In other words,
 Konclude can handle all of the Web Ontology Language (OWL 2)
 with almost all datatypes.
 In addition, Konclude supports nominal schemas
 which allow for expressing arbitrary DL-safe rules
 simply by using given ontology syntax extended with variables.
 .
 Konclude can be used via OWLlink as an OWLlink server
 as well as via command line.
 For applications that use the OWL API,
 the OWLlink OWL API Adapter can be used to link with a Konclude server.

Package: konfont
Description-md5: 56b2013d7b169650e9644e3ace4e8638
Description-en: Public domain japanese fonts for KON2
 This package is public domain japanese fonts
 customized for kon2 Japanese console package.

Package: konq-plugins
Description-md5: 6ef4213296669a674bad452501d6e65c
Description-en: plugins for Konqueror, the KDE file/web/document browser
 This package contains a variety of useful plugins for Konqueror, the
 file manager, web browser and document viewer for KDE.  Many of these
 plugins will appear in Konqueror's Tools menu.
 .
 Web navigation plugins:
  - Akregator feed icon: allows one to add a feed to Akregator from Konqueror
  - Auto Refresh: refresh a webpage at a given rate
  - Bookmarklets: enables the use of bookmarklets, bookmarks containing
    JavaScript code
  - Document Relations: displays the document relations of a document
  - DOM Tree Viewer: view the DOM tree of the current page
  - KHTML Settings: fast way to change the JavaScript/images/cookies settings
  - Microformat Icon: displays an icon in the statusbar if the page contains
    a microformat
  - Search Bar: launches web searches from the Konqueror's toolbar
  - Translate: language translation for the current page using Babelfish
  - UserAgent Changer: quick switch of the UserAgent used for the current site
  - Website Validators: CSS and HTML validation tools
  - Web Archiver: creates archives of websites
  - Crash manager: restores your opened tabs if Konqueror crashes (disabled
    by default as Konqueror has this feature builtin)
 .
 File management plugins:
  - Image Gallery: an easy way to generate a HTML image gallery
  - File Size View (kpart): a proportional view of directories and files
    based on file size
  - Transform Image (service menu): rotate and flip images
  - Convert To (service menu): convert and image to another format
  - Directory Filter (dolphin): filter directory views
 .
 This package is part of the KDE base applications module.

Package: konqueror
Description-md5: 380ac71ee28aebaea27c8d3f2b37b14e
Description-en: advanced file manager, web browser and document viewer
 Konqueror is the KDE web browser and advanced file manager.
 .
 Konqueror is a standards-compliant web browser, supporting HTML 4.01, Java,
 JavaScript, CSS3, and Netscape plugins such as Flash.
 .
 It supports advanced file management on local UNIX filesystems, with flexible
 views, network transparency, and embedded file viewing.
 .
 It is the canvas for many KDE technologies, from remote file access via KIO to
 component embedding via the KParts object interface, making it one of the most
 customizable applications available.
 .
 This package is part of the KDE base applications module.

Package: konquest
Description-md5: 9cb33ee92d33c48cbe195b7e25dd76c6
Description-en: simple turn-based strategy game
 Konquest is a game of galactic conquest for KDE, where rival empires vie to
 conquer planets and crush all opposition.  The game can be played with up to
 nine empires, commanded either by the computer or by puny earthlings.
 .
 This package is part of the KDE games module.

Package: konsole
Description-md5: b9f8e7c204b64c4afaac8166104064ed
Description-en: X terminal emulator
 Konsole is a terminal emulator built on the KDE Platform. It can contain
 multiple terminal sessions inside one window using detachable tabs.
 .
 Konsole supports powerful terminal features, such as customizable schemes,
 saved sessions, and output monitoring.

Package: konsole-kpart
Description-md5: 0449a2e792db26d762169857165f9576
Description-en: Konsole plugin for Qt applications
 Konsole is a terminal emulator built on the KDE Platform. It can contain
 multiple terminal sessions inside one window using detachable tabs.
 .
 Konsole supports powerful terminal features, such as customizable schemes,
 saved sessions, and output monitoring.
 .
 This package provides the kpart needed by other appss to embedd konsole in
 them.

Package: konsolekalendar
Description-md5: 6d16b3889cedcfd96f6a9e292cdb099a
Description-en: konsole personal organizer
 KonsoleKalendar is a command-line interface to KDE calendars.
 KonsoleKalendar complements KOrganizer by providing a console
 frontend to manage your calendars.

Package: kontact
Description-md5: a61b852eaaf9e2326e2c89fe49ade943
Description-en: integrated application for personal information management
 Kontact is the integrated solution to your personal information management
 needs. It combines applications like KMail, KOrganizer and
 KAddressBook into a single interface to provide easy access to mail,
 scheduling, address book and other PIM functionality.

Package: konversation
Description-md5: 529965a53c80f878568781c6a205d5f5
Description-en: user friendly Internet Relay Chat (IRC) client for KDE
 Konversation is a client for the Internet Relay Chat (IRC) protocol.
 It is easy to use and well-suited for novice IRC users, but novice
 and experienced users alike will appreciate its many features:
 .
       * Standard IRC features
       * Easy to use graphical interface
       * Multiple server and channel tabs in a single window
       * IRC color support
       * Pattern-based message highlighting and OnScreen Display
       * Multiple identities for different servers
       * Multi-language scripting support (with DCOP)
       * Customizable command aliases
       * NickServ-aware log-on (for registered nicknames)
       * Smart logging
       * Traditional or enhanced-shell-style nick completion
       * DCC file transfer with resume support

Package: konversation-data
Description-md5: 7369d26a3107009420b36ca948e10205
Description-en: data files for Konversation
 Konversation is a client for the Internet Relay Chat (IRC) protocol. This
 package contains data files for Konversation. It is probably of no use if
 `konversation' package is not installed.

Package: konwert
Description-md5: 926256ae6d5bc4067982801086f63ea3
Description-en: Charset conversion for files or terminal I/O
 `konwert' is yet another charset converter.  Some particular features are:
  * one-to-many conversions
  * context-dependent conversions
  * approximations of some unavailable characters
  * (as a result) ability to transcript e.g. Russian Cyrillic into Polish
 phonetic equivalent
 .
 `filterm' applies filter conversion to a terminal's I/O, to get on-the-fly
 charset conversion, and customized input methods.

Package: konwert-dev
Description-md5: d8ecc77619a386925b83b8579073fbe5
Description-en: Tools to define new charset conversion for konwert
 This package contains sources for some filters, and the scripts used to
 build those filters from them.
 .
 The `512bold' filter is a C++ program.

Package: konwert-filters
Description-md5: 6fde49421294c6c2e8fcec04e1459871
Description-en: Filters used by konwert for charset conversion
 These files are needed by konwert.
 .
 They are also probably useless without konwert itself.

Package: kookbook
Description-md5: 23e7b671245bdba70b86bcf6e1253421
Description-en: simple recipe manager taking structured markdown for recipes
 KookBook helps you curate your recipe collection, letting you browse recipes,
 search by title or ingredient to recall the details of your kitchen creations.
 .
 Recipes are written in markdown and stored in text files. A cookbook, or
 collection of recipes, is a folder structure containing one or more recipes.
 The folder structure can be used as one way to browse and group the recipes.
 .
 Recipes can be synced with devices or shared with other users using external
 tools like git repositories, nextcloud or many other services.
 .
 The package includes both the desktop "kookbook" program and an touchscreen
 version "kookbooktouch".
 .
 The package also includes a simple conversion utility that is able to convert
 a krecipes database into a set of kookbook markdown files. For more details,
 see /usr/share/doc/kookbook/README.Debian

Package: kopano-archiver
Description-md5: 439ae3dc20ad21c3c463fa1e1eb00ed0
Description-en: Complete and feature rich groupware solution - archiver
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package provides the archiver.

Package: kopano-backup
Description-md5: 26d0e954aca1e8bc12c38da022bfb73e
Description-en: Complete and feature rich groupware solution - backup
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package provides the backup facilities.

Package: kopano-common
Description-md5: d77fcfa9fd1bfa095067504c128a2d6b
Description-en: Complete and feature rich groupware solution - common files
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains some files common to all packages.

Package: kopano-contacts
Description-md5: 36dca95f35e456e0675fd74452eeb2cc
Description-en: Complete and feature rich groupware solution - contact mapi provider
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package provides adding contact folders in the addressbook.

Package: kopano-core
Description-md5: 99aa1544537f6c297b13eeb65782763d
Description-en: Metapackage to install the Kopano Core stack
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. By install of the additional package
 kopano-webapp it also features a modern web interface.
 .
 This metapackage contains the dependencies to pull in all important parts of
 Kopano Core.

Package: kopano-dagent
Description-md5: 81b59a44aff1d0e5f659bfb9384886e1
Description-en: Complete and feature rich groupware solution - delivery agent
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the email delivery agent that delivers incoming
 email from you SMTP server to the Kopano server.

Package: kopano-dev
Description-md5: 137a10add93939773e3330cd32a88df1
Description-en: C++ Development files and libraries for Kopano Core
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package provides development files to create programs for use with Kopano
 Core.

Package: kopano-gateway
Description-md5: df75e7fbe87abbe127d9e6e7d4de19d6
Description-en: Complete and feature rich groupware solution - POP3 and IMAP gateway
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the gateway to access the data stored in your
 Kopano server via the POP3 and IMAP protocols.

Package: kopano-ical
Description-md5: 6fdf735a7b2555573b95e24a61b71f8f
Description-en: Complete and feature rich groupware solution - CalDAV and CalDAV gateway
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the gateway to access the data stored in your
 Kopano server via the CalDAV and CardDAV protocols.

Package: kopano-l10n
Description-md5: c7303bbae25b44ea92ba55156934aeef
Description-en: Complete and feature rich groupware solution - l10n files
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the translations in several languages.

Package: kopano-libs
Description-md5: 48bd1785c57268d778f5d9cd7e02e5d9
Description-en: Complete and feature rich groupware solution - Free/Busy library
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the shared libraries of server and client.

Package: kopano-monitor
Description-md5: 2b931e743b06e567e3c7f2bd109d221c
Description-en: Complete and feature rich groupware solution - quota monitor
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the quota monitor that checks stores for total usage
 and alerts users by email.

Package: kopano-presence
Description-md5: f37f04c3548d09a1b70a7b4d6bd430f2
Description-en: Complete and feature rich groupware solution - presence daemon
 A daemon for collecting and exporting user presence information across
 multiple protocols in a unified way.
 Supports XMPP and Spreed. Clients can both query the daemon with presence
 information (for example, the user is 'available' for XMPP and 'away' for
 Spreed) and update presence information (for example, make a user 'available'
 on Spreed). Queries and updates are performed with simple GET and PUT
 requests, respectively, using a simple (and identical) JSON format.

Package: kopano-search
Description-md5: b09acd4f34d228382d4c14a6598435c1
Description-en: Complete and feature rich groupware solution - indexer
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package provides the search indexer using xapian.

Package: kopano-server
Description-md5: 13055d1da402dc2336b3d4165cc3187c
Description-en: Complete and feature rich groupware solution - server component
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package provides the core server component that connects to gateways
 (like the imap gateway) or the web gui connect to.

Package: kopano-spamd
Description-md5: 439fc8fdf6b0ec72289648d0ab087242
Description-en: Complete and feature rich groupware solution - Spam daemon
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the Spam learning daemon for Kopano / SpamAssasin.

Package: kopano-spooler
Description-md5: 31d1ffbaf62e21fee43e1bb27aad7a5c
Description-en: Complete and feature rich groupware solution - email spooler
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the email spooler that forwards emails from the
 Kopano server to an SMTP server.

Package: kopano-utils
Description-md5: 71d8ab111061abcd31d4a86502ccd13e
Description-en: Complete and feature rich groupware solution - admin tools
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 This package contains the command line tools for server administration.

Package: kopano-webapp-apache2
Description-md5: 046e8ec515620cab90ad942e3890d72d
Description-en: WebApp for the Kopano Collaboration Platform - Apache2
 Provides a web-client written in PHP that uses JSON and Ext JS to allow users
 to make full use of the Kopano Collaboration Platform through a modern web
 browser. The functionality of the web-client can be expanded by various
 plugins.
 .
 Apache2 specific configuration and dependencies will be provided by this
 package.

Package: kopano-webapp-common
Description-md5: 99d6d60c109ff0b89df51cfae5cfe0e9
Description-en: WebApp for the Kopano Collaboration Platform - common files
 Provides a web-client written in PHP that uses JSON and Ext JS to allow users
 to make full use of the Kopano Collaboration Platform through a modern web
 browser. The functionality of the web-client can be expanded by various
 plugins.
 .
 This package contains the common used files and dependencies on packages for
 all the webserver specific kopano-webapp packages.

Package: kopano-webapp-contactfax
Description-md5: aa71c20921521ad049777cb66a11fc23
Description-en: Kopano WebApp fax plugin
 This package is a plugin for kopano-webapp, a web interface for Kopano from
 Zarafa.
 .
 Expand the new "create mail" dialog with contact's fax number in the To:
 field of the email.

Package: kopano-webapp-folderwidgets
Description-md5: e60f1bdfd2abf611a8c5c2b18988ac56
Description-en: Kopano WebApp folder widgets plugin
 This package is a plugin for kopano-webapp, a web interface for Kopano from
 Zarafa.
 .
 Folder widgets plugin for kopano-webapp. This is a collection of widgets which
 can show the contents of some of the default folders for a user.

Package: kopano-webapp-gmaps
Description-md5: cca7d1949024954811c7ecbd35f7f256
Description-en: Kopano WebApp google maps plugin
 This package is a plugin for kopano-webapp, a web interface for Kopano from
 Zarafa.
 .
 Google Maps plugin for kopano-webapp, adds a new 'maps' tab in the contact
 details which displays the location of the contact by using Google Maps.

Package: kopano-webapp-lighttpd
Description-md5: 87a8a82df32a4d10d1ecae3eb4b1c5c1
Description-en: WebApp for the Kopano Collaboration Platform - Lighttpd
 Provides a web-client written in PHP that uses JSON and Ext JS to allow users
 to make full use of the Kopano Collaboration Platform through a modern web
 browser. The functionality of the web-client can be expanded by various
 plugins.
 .
 Lighttpd specific configuration and dependencies will be provided by this
 package.

Package: kopano-webapp-nginx
Description-md5: c12c43089829ba2d9e8e97be48eedd11
Description-en: WebApp for the Kopano Collaboration Platform - Nginx
 Provides a web-client written in PHP that uses JSON and Ext JS to allow users
 to make full use of the Kopano Collaboration Platform through a modern web
 browser. The functionality of the web-client can be expanded by various
 plugins.
 .
 Nginx specific configuration and dependencies will be provided by this
 package.

Package: kopano-webapp-pimfolder
Description-md5: fa6f6549a7a24dd4d40c87f100ef020e
Description-en: Kopano WebApp personal inbox plugin
 This package is a plugin for kopano-webapp, a web interface for Kopano from
 Zarafa.
 .
 Expand kopano-webapp by providing a button to quickly move messages to a
 certain folder in your inbox. This plug-in allows you to quickly move
 messages to a single, preconfigured folder. This makes it easier to work
 through your emails if you work with a "Getting Things Done" methodology.

Package: kopano-webapp-quickitems
Description-md5: ced0f17224fe7eafb9b9cfe85a86ffaf
Description-en: Kopano WebApp quick items plugin
 This package is a plugin for kopano-webapp, a web interface for Kopano from
 Zarafa.
 .
 Quick Items plugin for koapno-webapp. Adds special widgets to the WebApp which
 makes it easier to create new mails, appointments, contacts, tasks and notes.

Package: kopano-webapp-titlecounter
Description-md5: 5cd6a75bb2ed7db735356ebd4cbfed64
Description-en: Kopano WebApp Titlecounter plugin
 This package is a plugin for kopano-webapp, a web interface for Kopano from
 Zarafa.
 .
 Titlecounter plugin for kopano-webapp which shows the amount of unread inbox
 mail items in the browsers title window.

Package: kopano-webapp-webappmanual
Description-md5: 7728e270c87449f2686e4146b71b79a2
Description-en: Kopano WebApp Manual plugin
 This package is a plugin for kopano-webapp, a web interface for Kopano from
 Zarafa.
 .
 Adds a button in the top menu bar, which opens the user manual of the Kopano
 WebApp.

Package: kopano-webapp-zdeveloper
Description-md5: 544c8f2b85986d658181db245f85c689
Description-en: Kopano WebApp developer plugin
 This package is a plugin for kopano-webapp, a web interface for Kopano from
 Zarafa.
 .
 Developer plugin for kopano-webapp, exposes insertion points visually in the
 kopano-webapp. Plugin is mostly useful for plugin developers.

Package: kopete
Description-md5: f29c4be5334f698cbcf8dee885f6295b
Description-en: instant messaging and chat application
 Kopete is an instant messaging and chat application with support for a wide
 variety of services, such as AIM, Yahoo, ICQ, MSN, and Jabber.  Advanced
 features and additional protocols are available as plugins.
 .
 This package is part of the KDE networking module.

Package: kopete-data
Description-md5: 296b439b71cd6d7ba10575d4aaa48c2d
Description-en: data files for Kopete
 Kopete is an instant messaging and chat application with support for a wide
 variety of services, such as AIM, Yahoo, ICQ, MSN, and Jabber.  Advanced
 features and additional protocols are available as plugins.
 .
 This package contains the data files.
 .
 This package is part of the KDE networking module.

Package: kore
Description-md5: 338750daf78421925bae61b750d2ffd9
Description-en: Fast SPDY capable web server for web development in C
 Kore is an easy to use web application framework for writing scalable web APIs
 in C.
 .
 Its main goals are security, scalability and allowing rapid development and
 deployment of such APIs.
 .
 Because of this Kore is an ideal candidate for building robust, scalable and
 secure web things.

Package: korganizer
Description-md5: ab140557b5144eb7ed1d80158559a260
Description-en: calendar and personal organizer
 This package contains KOrganizer, a calendar and scheduling program.
 .
 KOrganizer aims to be a complete program for organizing appointments,
 contacts, projects, etc. KOrganizer natively supports information interchange
 with other calendar applications, through the industry standard vCalendar
 personal data interchange file format. This eases the move from other
 modern PIMs to KOrganizer.

Package: koules
Description-md5: 5e74c137074cd9026f35ac59d34010b9
Description-en: abstract space action game
 Koules is a fast action arcade-style game. This version is compiled for X11.
 It has cool 256 color graphics, a multiplayer mode for up to 5 players, full
 sound and, of course, network support. Koules is an original idea. The first
 version of Koules was developed from scratch by Jan Hubicka in July 1995.
 .
 It supports multiplayer deathmatch and cooperative play.

Package: kpackagelauncherqml
Description-md5: ba5d0000ce09c84fc0e0959e1a71c7c5
Description-en: commandline tool for launching kpackage QML application
 A small commandline tool to launch KPackages (QML applications).

Package: kpackagetool5
Description-md5: 26f8eb3b93842601355480729f1f09ca
Description-en: command line kpackage tool
 KPackage provides classes for applications to manage user installable packages
 of non-binary assets.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the runtime package management tool.

Package: kpart5-kompare
Description-md5: b3b2b5ac918d5f65066d74abb85c6381
Description-en: file difference viewer - kpart
 Kompare displays the differences between files.  It can compare the
 contents of files or directories, as well as create, display, and merge patch
 files.
 .
 This package is part of the KDE Software Development Kit module.

Package: kpartloader
Description-md5: 2547d789aa1b42e8729eaf160e012011
Description-en: test tool for KParts
 KPartloader is a very simple application to test loading of a KPart.
 KPartloader is called with a simple argument to tell which KPart to load.
 .
 KParts is a KDE core technology to embed components of one application into
 other applications. This application is used for testing such components
 during development.
 .
 This package is part of the KDE Software Development Kit module.

Package: kpat
Description-md5: 0dfa399c1fd2c35355e1ecce56ecd684
Description-en: solitaire card games
 KPatience is a collection of fourteen solitaire card games, including Klondike,
 Spider, and FreeCell.
 .
 This package is part of the KDE games module.

Package: kpatch
Description-md5: b40bb7cc8d2040c3fd10f40569b93874
Description-en: Runtime tools for Kpatch
 kpatch is a Linux dynamic kernel patching tool which allows you to patch a
 running kernel without rebooting or restarting any processes.  It enables
 sysadmins to apply critical security patches to the kernel immediately, without
 having to wait for long-running tasks to complete, users to log off, or
 for scheduled reboot windows.  It gives more control over up-time without
 sacrificing security or stability.

Package: kpatch-build
Description-md5: 53001888ae99d7cf2438fb09765cb4c6
Description-en: Build Tools for Kpatch and Livepatch
 kpatch-build is a tool that can build both kpatch and livepatch modules from
 a given patch.

Package: kpcli
Description-md5: c3328856a477499a2993f7a5ed9976b9
Description-en: command line interface to KeePassX password manager databases
 kpcli is an interactive command line shell to work with KeePass 1.x/2.x
 database files. Users can navigate and modify the database in imitated
 filesystem like shell syntax. This program was inspired by the interface
 provided by the KED password manager ("kedpm -c").

Package: kpeople-vcard
Description-md5: 8b18fa013911efa6d91ba1c635d6457c
Description-en: vCard plugin for KPeople
 Kpeoplevcard provides a datasource plugin for KPeople that reads vCard files
 from the local filesystem.

Package: kphotoalbum
Description-md5: f6cefca9100d2f29b8692f5e7f59ffaa
Description-en: tool for indexing, searching and viewing images by keywords for KDE
 KPhotoAlbum lets you index, search, group and view images by keywords, date,
 locations and persons. It provides a quick and elegant way to lookup groups of
 images when you have thousands of pictures on your hard disk.
 .
 The information associated with each photo is stored in an XML file. Together
 with its keywords, KPhotoAlbum stores each picture's MD5 sum, so it will
 recognize them even if you move them to another directory. KPhotoAlbum can
 also create HTML galleries with the images you select.
 .
 KPhotoAlbum can also make use of the KIPI image handling plugins to extend its
 capabilities. The kipi-plugins package contains many useful extensions. Among
 others, it contains extensions for photo manipulation, importing, exporting
 and batch processing.

Package: kquickcharts-dev
Description-md5: c757150f2eedf3482991b6a0d6289b26
Description-en: Quick Charts - development files
 A QtQuick plugin providing GPU accelerated high-performance 2D charts.
 .
 This package contains the development files.

Package: kraft
Description-md5: fe1afca303ec877dd0c97cdd65d666ab
Description-en: small business-management application
 Kraft creates and handles office documents in small enterprises. In a workshop
 or any similar company daily tasks can be done in a smart manner.
 .
 Features include:
  Customer management, integrated using KAddressbook
  Automated creation of quotes, invoices and similar documents
  Text templates
  Calculation
  Material management
  Configurable document creation in PDF format

Package: kraken
Description-md5: 4dc49da345dc88dcd656d9a30393ce7e
Description-en: assigning taxonomic labels to short DNA sequences
 Kraken is a system for assigning taxonomic labels to short DNA
 sequences, usually obtained through metagenomic studies. Previous
 attempts by other bioinformatics software to accomplish this task have
 often used sequence alignment or machine learning techniques that were
 quite slow, leading to the development of less sensitive but much faster
 abundance estimation programs. Kraken aims to achieve high sensitivity
 and high speed by utilizing exact alignments of k-mers and a novel
 classification algorithm.
 .
 In its fastest mode of operation, for a simulated metagenome of 100 bp
 reads, Kraken processed over 4 million reads per minute on a single
 core, over 900 times faster than Megablast and over 11 times faster than
 the abundance estimation program MetaPhlAn. Kraken's accuracy is
 comparable with Megablast, with slightly lower sensitivity and very high
 precision.

Package: kraken2
Description-md5: 70f2ff9ad084534e552c6c2118d2a186
Description-en: taxonomic classification system using exact k-mer matches
 Kraken 2 is the newest version of Kraken, a taxonomic classification
 system using exact k-mer matches to achieve high accuracy and fast
 classification speeds. This classifier matches each k-mer within a query
 sequence to the lowest common ancestor (LCA) of all genomes containing
 the given k-mer. The k-mer assignments inform the classification
 algorithm. [see: Kraken 1's Webpage for more details].
 .
 Kraken 2 provides significant improvements to Kraken 1, with faster
 database build times, smaller database sizes, and faster classification
 speeds. These improvements were achieved by the following updates to the
 Kraken classification program:
 .
  1. Storage of Minimizers: Instead of storing/querying entire k-mers,
     Kraken 2 stores minimizers (l-mers) of each k-mer. The length of
     each l-mer must be ≤ the k-mer length. Each k-mer is treated by
     Kraken 2 as if its LCA is the same as its minimizer's LCA.
  2. Introduction of Spaced Seeds: Kraken 2 also uses spaced seeds to
     store and query minimizers to improve classification accuracy.
  3. Database Structure: While Kraken 1 saved an indexed and sorted list
     of k-mer/LCA pairs, Kraken 2 uses a compact hash table. This hash
     table is a probabilistic data structure that allows for faster
     queries and lower memory requirements. However, this data structure
     does have a <1% chance of returning the incorrect LCA or returning
     an LCA for a non-inserted minimizer. Users can compensate for this
     possibility by using Kraken's confidence scoring thresholds.
  4. Protein Databases: Kraken 2 allows for databases built from amino
     acid sequences. When queried, Kraken 2 performs a six-frame
     translated search of the query sequences against the database.
  5. 16S Databases: Kraken 2 also provides support for databases not
     based on NCBI's taxonomy. Currently, these include the 16S
     databases: Greengenes, SILVA, and RDP.

Package: kramdown
Description-md5: e7a400d15a54f377dc48506d36f0c734
Description-en: Fast, pure-Ruby Markdown-superset converter - kramdown command
 The kramdown is a fast, pure Ruby, Markdown parser and converter, using
 a strict syntax definition and supporting several common
 extensions.
 .
 The kramdown library is mainly written to support the kramdown-to-HTML
 conversion chain. However, due to its flexibility it supports other
 input and output formats as well. Here is a list of the
 supported formats:
   * input formats: kramdown (a Markdown superset), Markdown, HTML
   * output formats: HTML, kramdown, LaTeX (and therefore PDF)
 .
 This package contains the kramdown command.

Package: krank
Description-md5: 5cd4669ae7ad4303a2da9dad1e81b4b9
Description-en: game of dexterity where you match stones together
 krank is a game of dexterity, being somewhere between Breakout and billiard,
 where the aim of each level is to shove floating stones towards compatible
 static stones. You control a short chain of stones with your mouse to achieve
 that.

Package: kraptor
Description-md5: 5a72a4b93086648330310b5339ce0952
Description-en: Classic shoot 'em up scroller game
 Kraptor is a classic shoot 'em up scroller game, where you must fight
 against tons of bad dudes. The game offers high speed action, with massive
 destruction and lots of fun. Kraptor features a powerful engine for 2D
 shooter scroller games.
 .
 After years of oppression, the slaved people of the world have raised
 against their masters. You, a mercenary pilot, have been contacted by the
 popular rebellion to fight against the forces of oppression. In the morning
 you jump into your cockpit and start up the engines. It's time to get airborne
 and start the attack. Get ready to defeat the scum hired by the masters.
 Fighting for freedom is the only way, you're on a mission, don't defraud us...

Package: kraptor-data
Description-md5: 42e2abc3824e43777e784f0d0a72de6e
Description-en: Classic shoot 'em up scroller game -- data files
 Kraptor is a classic shoot 'em up scroller game, where you must fight
 against tons of bad dudes. The game offers high speed action, with massive
 destruction and lots of fun. Kraptor features a powerful engine for 2D
 shooter scroller games.
 .
 This package contains the game data files.

Package: krb5-admin-server
Description-md5: 768e84fbe9ad60b9ec2130a96ea21ea4
Description-en: MIT Kerberos master server (kadmind)
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains the Kerberos master server (kadmind), which handles
 account creations and deletions, password changes, and other
 administrative commands via the Kerberos admin protocol.  It also
 contains the command used by the master KDC to propagate its database to
 slave KDCs.  This package is generally only used on the master KDC for a
 Kerberos realm.

Package: krb5-auth-dialog
Description-md5: d217c25b6d401ec13931585dfb701263
Description-en: tray applet for reauthenticating kerberos tickets
 krb5-auth-dialog is a simple tray applet that monitors kerberos tickets. It
 pops up reminders when the ticket is about to expire. Tickets can be refreshed
 at any time by clicking on the tray icon. It can also operate in "classic
 mode" as a simple dialog without any trayicon.
 .
 It features ticket autorenewal and supports pkinit.

Package: krb5-gss-samples
Description-md5: 6e4720c194d0de7a31bbd570993cac24
Description-en: MIT Kerberos GSS Sample applications
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains bgss-sample and gss-server, programs used to
 test GSS-API mechanisms. These programs are most commonly used in
 testing newly developed GSS-API mechanisms or in testing events
 between Kerberos or GSS implementations.

Package: krb5-k5tls
Description-md5: 5699670af87b8b1548415421a790b2b8
Description-en: TLS plugin for MIT Kerberos
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains a plugin for the TLS functionality used by optional
 functionality in MIT Kerberos.  The only current consumer is client support
 for the MS-KKDCP protocol, which tunnels Kerberos protocol traffic through
 an HTTPS proxy.

Package: krb5-kdc
Description-md5: 0a143660c97d96b64a9766db9ef769fb
Description-en: MIT Kerberos key server (KDC)
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains the Kerberos key server (KDC).  The KDC manages all
 authentication credentials for a Kerberos realm, holds the master keys
 for the realm, and responds to authentication requests.  This package
 should be installed on both master and slave KDCs.

Package: krb5-kdc-ldap
Description-md5: cf27e9acb56671eabef7c903664b1b10
Description-en: MIT Kerberos key server (KDC) LDAP plugin
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains the LDAP plugin for the Kerberos key server (KDC)
 and supporting utilities.  This plugin allows the KDC data to be stored
 in an LDAP server rather than the default local database.  It should be
 installed on both master and slave KDCs that use LDAP as a storage
 backend.

Package: krb5-kpropd
Description-md5: 2ba7e7dc26d29ffeba6f320923811e2b
Description-en: MIT Kerberos key server (Slave KDC Support)
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains the Kerberos slave KDC update server (kpropd). The
 kpropd command runs on the slave KDC server. It listens for update requests
 made by the kprop program, and periodically requests incremental updates from
 the master KDC. This package should be installed on slave KDCs.

Package: krb5-otp
Description-md5: 2f5dd566c16f346d882c733b4d7b1206
Description-en: OTP plugin for MIT Kerberos
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains a plugin for the OTP preauthentication method
 (RFC 6560), which allows Kerberos tickets to be obtained using
 One-Time Password authentication.  This plugin is for use on the KDC; the
 client support is built in to libkrb5.

Package: krb5-pkinit
Description-md5: 5b95da6e663d16f53b0cdeb2712ed0f3
Description-en: PKINIT plugin for MIT Kerberos
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains a plugin for the PKINIT protocol, which allows
 Kerberos tickets to be obtained using public-key credentials such as
 X.509 certificates or a smart card.  This plugin can be used by the
 client libraries and the KDC.

Package: krb5-strength
Description-md5: 8675c35d59b7b31637f532ee53c292a3
Description-en: Password strength checking for Kerberos KDCs
 krb5-strength provides a password quality plugin for the MIT Kerberos KDC
 (specifically the kadmind server), an external password quality program
 for use with Heimdal, and a per-principal password history implementation
 for Heimdal.  Passwords can be tested with CrackLib, checked against a
 CDB or SQLite database of known weak passwords with some transformations,
 checked for length, checked for non-printable or non-ASCII characters
 that may be difficult to enter reproducibly, required to contain
 particular character classes, or any combination of these tests.
 .
 No dictionary is shipped with this package.  A CrackLib dictionary can be
 created with the tools in cracklib-runtime, a CDB or SQLite database can
 be created from a password list (obtained separately) using the tools
 included in this package, or both.
 .
 The recommended packages are needed to generate CDB or SQLite databases
 and for the password history implementation for Heimdal.

Package: krb5-sync-plugin
Description-md5: 3c1e7b9e8f20397a3d0c9fb812e5335a
Description-en: MIT Kerberos Active Directory synchronization plugin
 This plugin synchronizes passwords changed via kadmin or kpasswd to a
 foreign realm via the Kerberos password change protocol (normally, but
 not necessarily, an Active Directory realm).  It can also propagate
 changes to the DISALLOW_ALL_TIX flag to Windows Active Directory using
 LDAP.
 .
 This package provides a plugin for the MIT Kerberos KDC and will not work
 with Heimdal.

Package: krb5-sync-tools
Description-md5: 321e8bf90afbc65e22865bf016e9bf26
Description-en: Kerberos Active Directory synchronization tools
 Provides tools to enable, disable, and set the password of an account in
 a Windows Active Directory using the same configuration used by the
 krb5-sync-plugin package.  These supporting tools are normally used to
 handle queued changes created by the plugin that failed due to a
 temporary error, but can be used on their own.

Package: krb5-user
Description-md5: b10599fa748131166d0b1a01617ee6ce
Description-en: basic programs to authenticate using MIT Kerberos
 Kerberos is a system for authenticating users and services on a network.
 Kerberos is a trusted third-party service.  That means that there is a
 third party (the Kerberos server) that is trusted by all the entities on
 the network (users and services, usually called "principals").
 .
 This is the MIT reference implementation of Kerberos V5.
 .
 This package contains the basic programs to authenticate to MIT Kerberos,
 change passwords, and talk to the admin server (to create and delete
 principals, list principals, etc.).

Package: krdc
Description-md5: e82ce72c0d3257ff348f6399e7a2ce67
Description-en: Remote Desktop Connection client
 The KDE Remote Desktop Connection client can view and control a desktop
 session running on another system.  It can connect to Windows Terminal Servers
 using RDP and many other platforms using VNC/RFB.
 .
 This package is part of the KDE networking module.

Package: krename
Description-md5: 96e79e62a8190877de5364c372197285
Description-en: powerful batch renamer for KDE
 KRename is a very powerful batch file renamer for KDE which can rename a list
 of files based on a set of expressions. It can copy/move the files to another
 directory or simply rename the input files.

Package: kreversi
Description-md5: 0c024ee5ac64447ec865fb7458331a80
Description-en: reversi board game
 KReversi is a board game where two players place pieces on the board to flip
 the opponent's pieces.  When neither player can flip any more pieces, the
 player with the most pieces on the board wins the game.
 .
 This package is part of the KDE games module.

Package: krfb
Description-md5: 8c8d162f74b4548f90dcb0fb7f900216
Description-en: Desktop Sharing utility
 KDE Desktop Sharing is a manager for easily sharing a desktop session with
 another system.  The desktop session can be viewed or controlled remotely by
 any VNC or RFB client, such as the KDE Remote Desktop Connection client.
 .
 KDE Desktop Sharing can restrict access to only users who are explicitly
 invited, and will ask for confirmation when a user attempts to connect.
 .
 This package is part of the KDE networking module.

Package: krita
Description-md5: cad766809ad07bc61817bcf9d8a74ddb
Description-en: pixel-based image manipulation program
 Krita is a creative application for raster images. Whether you want to create
 from scratch or work with existing images, Krita is for you. You can work with
 photos or scanned images, or start with a blank slate. Krita supports most
 graphics tablets out of the box.
 .
 Krita is different from other graphics design programs in that it has
 pluggable brush engines, some supporting brush resources like Gimp brush files,
 others offering sophisticated simulation of real brushes, and others again
 offering color mixing and image deformations. Moreover, Krita has full
 support for graphics tablets, including such features as pressure, tilt and
 rate, making it a great choice for artists. There are easy to use tools for
 drawing lines, ellipses and rectangles, and the freehand tool is supported by
 pluggable "drawing assistants" that help you draw shapes that still have a
 freehand feeling to them.

Package: krita-data
Description-md5: 6ec15600616a33413c249e2eeb0ffba7
Description-en: data files for Krita painting program
 This package contains architecture-independent data files for Krita.
 .
 See the krita package for further information.

Package: krita-gmic
Description-md5: 1754018e8439765f326f62d0395b1c9a
Description-en: GREYC's Magic for Image Computing - Helper Tool for Krita
 G'MIC is an open and full-featured framework for image processing,
 providing several different user interfaces to
 convert/manipulate/filter/visualize generic image datasets, from 1d
 scalar signals to 3d+t sequences of multi-spectral volumetric images.
 .
 This package contains the helper tool for the QMic plugin of Krita.

Package: krita-l10n
Description-md5: a3cda4505d02f3f320b8db10d1a585f8
Description-en: translations for Krita painting program
 This package contains the translations for Krita, the painting program.
 .
 See the krita package for further information.

Package: kronometer
Description-md5: 7d3bdc1b16cc346a3c18a824765d572c
Description-en: simple stopwatch application
 Kronometer is a stopwatch application.
 .
 Kronometer's main features are the following:
  - start/pause/resume the stopwatch widget
  - laps recording: you can capture the stopwatch time when you want and add
    a note to it
  - lap times sorting: you can easily find the shortest lap time or the
    longest one
  - reset the stopwatch widget and the lap times
  - time format settings: you can choose the stopwatch granularity
  - times saving and resuming: you can save the stopwatch status and resume it
    later
  - font customization: you can choose the fonts for each of the stopwatch
    digits
  - color customization: you can choose the color for the stopwatch digits
    and the stopwatch background
  - lap times export: you can export the lap times on a file using the JSON
    or CSV format

Package: krop
Description-md5: 142504916f56e154fa065c560b26473e
Description-en: tool to crop PDF files
 Krop is a simple graphical tool to crop the pages of PDF files.
 A unique feature of krop is its ability to automatically split
 pages into subpages to fit the limited screen size of devices such as
 eReaders. This is particularly useful, if your eReader does not support
 convenient scrolling.

Package: kross
Description-md5: 45d1422145e05056e7823dfa756285cb
Description-en: Multi-language application scripting.
 Modular scripting framework that eases embedding
 of scripting interpreters like Python, Ruby and
 JavaScript transparently into native applications
 to bridge the static and dynamic worlds together.

Package: kross-dev
Description-md5: 035a3accdf8d41e306845083c8eb8602
Description-en: development files for kross
 Modular scripting framework that eases embedding
 of scripting interpreters like Python, Ruby and
 JavaScript transparently into native applications
 to bridge the static and dynamic worlds together.
 .
 Contains development files for kross.

Package: krosspython
Description-md5: 84ec55e5665b0aa1e39358d0a0958167
Description-en: Python module for Kross
 Kross is a scripting framework to enable scripting in various languages in all
 kinds of KDE applications.
 .
 Kross itself is a part of KDE Frameworks, this package contains the Kross
 Python plugin.

Package: krossruby
Description-md5: 1b8b6826b9c114dc53f463f5c4457fc1
Description-en: Ruby module for Kross
 Kross is a scripting framework to enable scripting in various languages in all
 kinds of KDE applications.
 .
 Kross itself is a part of KDE Frameworks, this package contains the Kross
 Ruby plugin.

Package: kruler
Description-md5: a02f9b40453e33bc787a496e2436df1a
Description-en: screen ruler
 KRuler is a tool for measuring the size, in pixels, of items on the screen.
 .
 This package is part of the KDE graphics module.

Package: krusader
Description-md5: 109155a993f8da51d7cc94c3caf08176
Description-en: twin-panel (commander-style) file manager
 Krusader is a simple, easy, powerful, twin-panel (commander-style) file
 manager, similar to Midnight Commander (C) or Total Commander (C).
 .
 It provides all the file management features you could possibly want.
 .
 Plus: extensive archive handling, mounted filesystem support, FTP,
 advanced search module, viewer/editor, directory synchronisation,
 file content comparisons, powerful batch renaming and much more.
 .
 It supports archive formats: ace, arj, bzip2, deb, iso, lha, rar, rpm, tar,
 zip and 7-zip.
 .
 It handles KIOSlaves such as smb:// or fish://.
 .
 Almost completely customizable, Krusader is very user friendly, fast and looks
 great on your desktop.

Package: kscreen
Description-md5: 067f74c026f07364b4067bc3034b4197
Description-en: KDE monitor hotplug and screen handling
 KScreen provides multiple monitor support.  This support tries to be
 as smart as possible adapting the behavior of it to each use case
 making the configuration of monitors as simple as plugging them into
 your computer.
 .
 This package contains the modules and plugins for monitor hotplug and
 automatic screen handling.

Package: kscreenlocker-dev
Description-md5: 32198d3b930ca4435124e83282e54c2d
Description-en: Development files for kscreenlocker
 Library and components for secure lock screen architecture.
 .
 This package contains development files for kscreenlocker.

Package: ksh
Description-md5: e98b5fe1ee9d24124de027e5329ef780
Description-en: 2020 version of the AT&T Korn Shell
 Ksh is a UNIX command interpreter (shell) that is intended for both
 interactive and shell script use. Its command language is a superset of
 the sh(1) shell language.
 .
 The 1993 version adds a number of new, mostly scripting related,
 features over the 1988 version that is typically distributed with
 commercial UNIX variants. For example, it has lexical scoping, compound
 variables, associative arrays, named references and floating point
 math.
 .
 The shcomp tool can be used to compile ksh scripts into a binary format.

Package: ksh93
Description-md5: 8fe144b82e9f9ff18dada6d9d0c9df5e
Description-en: Real, AT&T version of the Korn shell
 Ksh is a UNIX command interpreter (shell) that is intended for both
 interactive and shell script use. Its command language is a superset of
 the sh(1) shell language.
 .
 The 1993 version adds a number of new, mostly scripting related,
 features over the 1988 version that is typically distributed with
 commercial UNIX variants. For example, it has lexical scoping, compound
 variables, associative arrays, named references and floating point
 math.
 .
 The shcomp tool can be used to compile ksh scripts into a binary format.

Package: kshisen
Description-md5: 9c1db254f9a44c17506de6a40898a284
Description-en: Shisen-Sho solitaire game
 KShisen is a Shisen-Sho game where the player removes adjascent pairs of
 Mahjongg tiles to clear the board.
 .
 This package is part of the KDE games module.

Package: kshutdown
Description-md5: fc7b6d7fd762ccfdcdbea5b09e94c55b
Description-en: advanced shut down utility for KDE
 It has 4 main commands:
 .
  - Shut Down (logout and halt the system),
  - Reboot (logout and reboot the system),
  - Lock Screen (lock the screen using a screen saver),
  - Logout (end the session and logout the user).
 .
 It features time and delay options, command line support, wizard,
 and sounds.

Package: ksirk
Description-md5: 8141ecb02d12130fc7839a5fb2f71719
Description-en: Risk strategy game
 KsirK is a strategy game inspired by the well known game Risk. It is a
 multi-player network-enabled game with an AI. The goal of the game is simply
 to conquer the World. It is done by attacking your neighbours with your
 armies. At the beginning of the game, countries are distributed to all the
 players. Each country contains one army represented by an infantryman. Each
 player has some armies to distribute to his countries. On each turn, each
 player can attack his neighbours, eventually conquering one or more countries.
 At the end of each turn, some bonus armies are distributed to the players in
 function of the number of countries they own. The winner is the player that
 conquered all the world.
 .
 This package is part of the KDE games module.

Package: ksmtuned
Description-md5: cee1adf287c9c4d1367b2f4deb20fdf0
Description-en: enables and tunes Kernel Samepage Merging
 This package ships the ksm and ksmtuned services to control
 Kernel Samepage Merging. KSM is a memory-saving de-duplication
 feature, that merges anonymous (private) pages (not pagecache ones).
 .
 While the ksm service is only able to start and stop the KSM kernel
 thread, ksmtuned controls and tunes KSM according to the used memory
 of running qemu KVM instances.

Package: ksnakeduel
Description-md5: de93105f6fbcea79d40614ca8ed1d556
Description-en: snake race game
 The object of the game is to avoid running into walls, your own tail,
 and that of your opponent, while at the same time you have to try to
 get your opponent to run into them.
 .
 It also includes kdesnake, a special mode of the game where you can play
 the classical snake action game. In snake you steer a snake which has to eat
 food. While eating, the snake grows. But once a player collides with the other
 snake or the wall, the game is lost. This becomes, of course, more and more
 difficult the longer the snakes grow.
 .
 This package is part of the KDE games module.

Package: kspaceduel
Description-md5: ae9bc9656023c22a3c82a8269d5169f3
Description-en: SpaceWar! arcade game
 KSpaceduel is a space battle game for one or two players, where two ships fly
 around a star in a struggle to be the only survivor.
 .
 This package is part of the KDE games module.

Package: ksquares
Description-md5: cb92e2cb2b7ef2c58dd9423eb344f064
Description-en: Dots and Boxes game
 KSquares is a game where two players take turns connecting dots on a grid to
 complete the most squares.
 .
 This package is part of the KDE games module.

Package: ksshaskpass
Description-md5: d40d0f70b976182c062e39109a47b97c
Description-en: interactively prompt users for a passphrase for ssh-add
 A version of ssh-askpass with KWallet support.

Package: kst
Description-md5: fa9395f6a5d950a6e17f330bb110a3a2
Description-en: scientific data plotting tool
 Kst is a fast real-time large-dataset viewing and plotting tool.
 It has basic data analysis functionality, contains many powerful
 built-in features and is expandable with plugins and extensions.

Package: kst-doc
Description-md5: 2ce8dcaa2b248edf1588dedb376bd97c
Description-en: set of tutorials for kst
 Kst is a fast real-time large-dataset viewing and plotting tool.
 It has basic data analysis functionality, contains many powerful
 built-in features and is expandable with plugins and extensions.
 .
 This package contains documentation files for kst.

Package: kstars
Description-md5: f683107e014c6cad80de4fa829c4e841
Description-en: desktop planetarium, observation planning and telescope control
 KStars is a scientifically accurate desktop planetarium, visualising a
 graphical simulation of the night sky from any location on Earth, at any date
 and time.
 The display includes 130,000 stars, 13,000 deep-sky objects, all 8 planets,
 the Sun and Moon, and thousands of comets and asteroids. KStars addresses
 students and amateur astronomers of all levels.
 .
 The database of known objects can be extended and updated from local or
 remote databases, which is prepared for in a user-extendable interface.
 KStars suggests observations of particular interest like conjunctions
 with respect to the location of the user. And for user-selected targets it
 proposes the ones that are best-observable.
 .
 The software may be used for planning experiments around the globe,
 e.g. for remote controlled commercial services. But KStars also
 features an INDI interface to control local telescopes and cameras.
 Users with programming experience can script it via the KDE desktop bus.

Package: kstars-data
Description-md5: bba5efa02a5ac257563d213fb1d0a30f
Description-en: data files for KStars desktop planetarium
 This package contains architecture-independent data files for KStars,
 the graphical desktop planetarium for KDE, including star catalogues and
 astronomical images.

Package: kstars-data-extra-tycho2
Description-md5: 2c5cef8807c49e66d3a50e0b4772ee99
Description-en: Tycho-2 star catalog for KStars
 This package contains the data of the Tycho-2 star catalog for
 KStars, the desktop planetarium for KDE. It allows users to share a
 single centralized installation of the data instead of each needing
 to download their own personal copy of the whole catalog. It can
 also configure KStars to disable these user downloads.

Package: kstart
Description-md5: b0e0a4c811a8298797976c50aad2caba
Description-en: Kerberos kinit supporting AFS and ticket refreshing
 k5start can be used instead of kinit to obtain Kerberos tickets.  krenew
 can be used instead of kinit -R to renew renewable tickets.  They are
 intended primarily for use with automated or long-running processes and
 support some additional features useful for that purpose, such as running
 as a daemon and refreshing the ticket periodically, checking to see if an
 existing ticket has expired, or obtaining an AFS token along with the
 ticket by running an external program automatically.

Package: ksudoku
Description-md5: c8082165a67fde52b6db310845e3f0c1
Description-en: Sudoku puzzle game and solver
 KSudoku is a Sudoku game and solver, supporting a range of 2D and 3D Sudoku
 variants.  In addition to playing Sudoku, it can print Sudoku puzzle sheets
 and find the solution to any Sudoku puzzle.
 .
 This package is part of the KDE games module.

Package: ksysguard
Description-md5: 45f3cad5fe486395c402158a8258c378
Description-en: process monitor and system statistics
 System Guard allows you to monitor various statistics about your system.
 .
 In addition to monitoring the local system, it can connect to remote systems
 running the System Guard Daemon, which is in the 'ksysguardd' package.
 .
 This package is part of the KDE base workspace module.

Package: ksysguard-data
Description-md5: 1ad67f353058d2b7d325881f7bb34479
Description-en: library for monitoring your system - shared library
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 Currently the daemon has been ported to Linux, FreeBSD, Irix, NetBSD,
 OpenBSD, Solaris and Tru64 with varying degrees of completion.
 .
 This package contains the locale files

Package: ksysguardd
Description-md5: a50ff16b1d24b1ee25745b87e5def50a
Description-en: System Guard Daemon
 System Guard Daemon can be installed on a remote system so that the KDE
 System Guard can connect to and monitor it.
 .
 This package is part of the KDE base workspace module.

Package: ksystemlog
Description-md5: f88acd6e7dfc7bab9eaa4dae1e5dbb7d
Description-en: system log viewer
 KSystemLog show all logs of your system, grouped by General (Default system
 log, Authentication, Kernel, X.org...), and optional Services (Apache, Cups,
 etc, ...). It includes many features to read nicely your log files:
  * Colorize log lines depending on their severities
  * Tabbed view to allow displaying several logs at the same time
  * Auto display new lines logged
  * Detailed information for each log lines
 .
 This package is part of the KDE administration module.

Package: kteatime
Description-md5: 98a702199f60361475509c4b6548d1a0
Description-en: utility for making a fine cup of tea
 KTeaTime is a handy timer for steeping tea. No longer will you have to
 guess at how long it takes for your tea to be ready. Simply select the
 type of tea you have, and it will alert you when the tea is ready to
 drink.
 .
 This package is part of the KDE toys module.

Package: ktexteditor-data
Description-md5: 24d2171af300f8675b8e92818e4e7601
Description-en: provide advanced plain text editing services
 The KTextEditor interfaces - also called KTE interfaces - are a set
 of well-defined interfaces which an application or library can
 implement to provide advanced plain text editing
 services. Applications which utilise this interface can thus allow
 the user to choose which implementation of the editor component to
 use. The only implementation right now is the Kate Editor Component
 (Kate Part).

Package: ktexteditor-katepart
Description-md5: 3162e86e5e7045f0a5f650908df08a6f
Description-en: provide advanced plain text editing services
 The KTextEditor interfaces - also called KTE interfaces - are a set
 of well-defined interfaces which an application or library can
 implement to provide advanced plain text editing
 services. Applications which utilise this interface can thus allow
 the user to choose which implementation of the editor component to
 use. The only implementation right now is the Kate Editor Component
 (Kate Part).
 .
 This contains the Kate Part plugin.

Package: ktikz
Description-md5: 66f1bcda52ece79db60e54d9a2d036a6
Description-en: editor for the TikZ drawing language - KDE version
 KtikZ is a small application to assist in the creation of diagrams and
 drawings using the TikZ macros from the LaTeX package "pgf". It consists of
 a text editor pane in which the TikZ code for the drawing is edited and a
 preview pane showing the drawing as rendered by LaTeX. The preview pane can be
 updated in real-time. Common drawing tools, options and styles are available
 from the menus to assist the coding process.
 .
 This package contains the KDE version of the program.
 .
 TikZ is a user-friendly syntax layer for the PGF (portable graphics format)
 TeX macro package. Pictures can be created within a LaTeX document and
 included in the output using the most important TeX backend drivers including
 pdftex and dvips.

Package: ktimer
Description-md5: 60b8177a86eb0f422ac7bc24710edccb
Description-en: countdown timer
 KTimer is a countdown timer for running commands after a delay.
 The countdown can be paused or set to loop continuously.
 .
 This package is part of the KDE SC utilities module.

Package: ktnef
Description-md5: c7171a2d984d2a98d6cb80daec8be6e2
Description-en: Viewer for mail attachments using TNEF format
 The TNEF File Viewer allows one to handle easily mail attachments using
 the TNEF format. Those attachments are usually found in mails coming from
 Microsoft mail servers and embed the mail properties as well as the actual
 attachments.
 .
 An attachment in TNEF format is most often named winmail.dat or win.dat.

Package: ktoblzcheck
Description-md5: 02a77e4ee6fbcfa5ba47158871d24c3d
Description-en: tool for verification of account numbers and bank codes
 ktoblzcheck is a command line tool for verification of bank account numbers
 and bank codes (BLZ) of German Banks. It is based on the specifications of the
 "Deutsche Bundesbank". It also supports the verification of international bank
 account numbers (IBAN) and bank identifier codes (BIC).

Package: ktorrent
Description-md5: c46c4f39be5f1aa633d6a86ac4312a30
Description-en: BitTorrent client based on the KDE platform
 This package contains KTorrent, a BitTorrent peer-to-peer network client, that
 is based on the KDE platform. Obviously, KTorrent supports such basic features
 as downloading, uploading and seeding files on the BitTorrent network.
 However, lots of other additional features and intuitive GUI should make
 KTorrent a good choice for everyone. Some features are available as plugins
 hence you should make sure you have the ones you need enabled.
   - Support for HTTP and UDP trackers, trackerless DHT (mainline) and webseeds.
   - Alternative UI support including Web interface.
   - Torrent grouping, speed capping, various download prioritization
     capabilities on both torrent and file level as well as bandwidth
     scheduling.
   - Support for fetching torrent files from many sources including any local
     file or remote URL, RSS feeds (with filtering) or actively monitored
     directory etc.
   - Integrated and customizable torrent search on the Web.
   - Various security features like IP blocking and protocol encryption.
   - Disk space preallocation to reduce fragmentation.
   - uTorrent compatible peer exchange.
   - Autoconfiguration for LANs like Zeroconf extension and port forwarding via
     uPnP.
   - Scripting support via Kross and interprocess control via DBus interface.
   - SOCKSv4 and SOCKSv5 proxy, IPv6 support.
   - Lots of other useful built-in features and plugins.

Package: ktorrent-data
Description-md5: 5c374540ce753d753308f498c6a7a3d9
Description-en: KTorrent data and other architecture independent files
 This package contains architecture independent data files for KTorrent,
 a BitTorrent peer-to-peer network client. Unless you have ktorrent package
 installed, you probably won't find this package useful.

Package: ktouch
Description-md5: e0dabda6f93764af247bd5a078631141
Description-en: touch typing tutor for KDE
 KTouch is an aid for learning how to type with speed and accuracy.  It
 provides a sample text to type and indicates which fingers should be used for
 each key.
 .
 A collection of lessons are included for a wide range of different languages
 and keyboard layouts, and typing statistics are used to dynamically adjust the
 level of difficulty.
 .
 This package is part of the KDE education module.

Package: ktouch-data
Description-md5: 518bdd78b79c451482b199699bb01b7a
Description-en: data files for ktouch
 This package contains the architecture-independent data files for ktouch.
 .
 This package is part of the KDE education module.

Package: ktuberling
Description-md5: 06ec0534e1c42543256f87a2b0bd66d8
Description-en: stamp drawing toy
 KTuberling is a drawing toy for small children with several activites.
 .
  * Give the potato a funny face, clothes, and other goodies
  * Build a small town, complete with school, zoo, and fire department
  * Create a fantastic moonscape with spaceships and aliens
 .
 KTuberling can speak the name of each the object in several languages,
 to assist in learning basic vocabulary.
 .
 This package is part of the KDE games module.

Package: kturtle
Description-md5: c784cfa622cd2563eec31f7b10a24a19
Description-en: educational programming environment
 KTurtle is an educational programming environment which uses the TurtleScript
 programming language (inspired by Logo) to make programming as easy and
 accessible as possible.
 .
 The user issues TurtleScript language commands to control the "turtle", which
 draws on the canvas, making KTurtle suitable for teaching elementary
 mathematics, geometry and programming.
 .
 This package is part of the KDE education module.

Package: kubernetes
Description-md5: 82b317f4b7b695abbb71d0694c31365a
Description-en: Installer package for Kubernetes
 Kubernetes is an open-source system for automating deployment, scaling, and
 management of containerized applications.

Package: kubetail
Description-md5: 50539f9d8ed425cfed0b86c8bf18202d
Description-en: Aggregate logs from multiple Kubernetes pods into one stream
 Bash script that enables you to aggregate (tail/follow) logs from
 multiple Kubernetes pods into one stream. This is the same as running
 "kubectl logs -f " but for multiple pods.

Package: kubrick
Description-md5: b8c3a08868b46ba491c7f17db5d26147
Description-en: game based on Rubik's Cube
 Kubrick is a game based on Rubik's Cube and using OpenGL 3-D graphics
 libraries.
 .
 Kubrick handles cubes, "bricks" and "mats" from 2x2x1 up to 6x6x6. It has
 several built-in puzzles of graded difficulty, as well as demos of solving
 moves and pretty patterns. The game has unlimited undo, redo, save and
 reload capabilities.
 .
 This package is part of the KDE games module.

Package: kubuntu-debug-installer
Description-md5: c7c32233946361bb3bb35d027fcd2918
Description-en: Debug package installer for Kubuntu
 This installer permits drkonqi, KDE's automatic backtrace and bug report
 utility, to try find and install missing debug symbols, so that backtraces
 become more useful.

Package: kubuntu-debug-installer-dbg
Description-md5: b6814cb0e474d81cb7f3a57d7eb57261
Description-en: Debug package installer for Kubuntu - debug symbols
 This installer permits drkonqi, KDE's automatic backtrace and bug report
 utility to try find and install missing debug symbols, so that backtraces
 become more useful.
 .
 This package only contains the debug symbols.

Package: kubuntu-desktop
Description-md5: 2c37635010f14de06b71f5fc84006a50
Description-en: Kubuntu Plasma Desktop/Netbook system
 This package depends on all of the packages in the Kubuntu desktop system.
 Installing this package will include the default Kubuntu Plasma Desktop and
 applications.
 .
 It is safe to remove this package if some of the desktop system packages are
 not desired.

Package: kubuntu-docs
Description-md5: 15f5f936e17c5d4b30bda4925e9a2b0c
Description-en: kubuntu system documentation
 This package contains the system documentation for the Kubuntu GNU/Linux
 distribution. Full system support for Kubuntu with the KDE desktop can be
 obtained by installing this package.

Package: kubuntu-driver-manager
Description-md5: 38b08067d7efe25a2b52d12142b256ee
Description-en: Driver Manager for Kubuntu
 Driver Manager provides a user interface for configuring third-party drivers,
 such as the Nvidia and ATI fglrx X.org and various Wireless LAN
 kernel modules.
 .
 This package contains the KDE frontend.

Package: kubuntu-driver-manager-dbg
Description-md5: 03ceb3138ba7722330fcb24c2cba62dc
Description-en: Driver Manager for Kubuntu -- debug symbols
 Driver Manager provides a user interface for configuring third-party drivers,
 such as the Nvidia and ATI fglrx X.org and various Wireless LAN
 kernel modules.
 .
 This package contains debugging symbols for the KDE frontend.

Package: kubuntu-notification-helper
Description-md5: cfe41fe07651879c49f8ca54be0c1170
Description-en: Kubuntu system notification helper
 Kubuntu Notification Helper is a daemon that presents various notifications
 to the user. It uses the KDE Daemon system as a base and presents the
 notifications using the KDE Notification system. It also includes a
 System Settings module for configuring the daemon. Kubuntu Notification
 Helper is lightweight and fully integrated with KDE.
 .
 Current features include:
  - Notifications for Apport crashes.
  - Notifications for upgrade information, when available.
  - Notifications for the availability restrictively-licensed packages.
  - Notifications for when upgrades require a reboot to complete.
  - All notifications can be hidden temporarily or permanently.

Package: kubuntu-notification-helper-dbg
Description-md5: f0ed7e4faa75924fc68b039ce1a6df40
Description-en: Kubuntu Notification Helper debugging symbols
 This package contains debugging symbols for Kubuntu Notification Helper.
 When Kubuntu Notification Helper crashes, in most cases this package is
 needed to get a backtrace that is useful for developers. If you have
 experienced a Kubuntu Notification Helper crash without this package
 installed, please install it, try to reproduce the problem and fill a bug
 report with a new backtrace attached.

Package: kubuntu-patched-l10n
Description-md5: 7f659aff8ac467a253ead20c35d8de7f
Description-en: Fake package containing absolutely nothing
 This package is a fake package used to deliver string changes from Kubuntu
 packages to Launchpad for translation.
 Installing this package will do absolutely nothing.

Package: kubuntu-settings-desktop
Description-md5: c117d536547fca68e9e608d52e89c5f3
Description-en: Settings and artwork for the Kubuntu (Desktop)
 This package contains settings used by Kubuntu. It also
 includes artwork and Kubuntu branding.
 .
 The settings apply to plasma-desktop based workspaces.

Package: kubuntu-wallpapers
Description-md5: c03f3acf8874023cd1f42ad276457d2a
Description-en: Kubuntu Wallpapers
 Meta-package depending on the extra default wallpapers for the current
 Kubuntu release.

Package: kubuntu-wallpapers-bionic
Description-md5: 5c786ff2272dfce8cc8adb33a8db649a
Description-en: Kubuntu Bionic wallpaers - transitional package
 This transitional package allows one to migrate to the renamed package
 providing the extra Kubuntu wallpapers.
 .
 It can be safely removed after the installation.

Package: kubuntu-wallpapers-focal
Description-md5: f40c4f21ac14456b56c11a0225540dca
Description-en: Selection of classic KDE wallpapers for Focal Fossa
 These are the wallpapers that are shipped with Kubuntu Focal Fossa.
 .
 This list of classic KDE wallpapers have been selected for this release.
  - Blue Curl
  - Dance of the Spirits
  - Horos

Package: kubuntu-web-shortcuts
Description-md5: 954aab3bcc5ae561984722c33cda1fd3
Description-en: web shortcuts for Kubuntu, Ubuntu, Launchpad
 The main shortcuts are:
  ubw: Kubuntu Wiki Direct Access
  ubws: Kubuntu Wiki Search
  udsnotes: Ubuntu Developer Summit Notes (etherpad)
  uhelp: Ubuntu Community Docs Direct Access
  uhelps: Ubuntu Community Docs Search
  upkg: Ubuntu Package Search
  ubug: Ubuntu Launchpad BugID and Term Search
  usrc: Ubuntu Source Packages
  uproduct: Ubuntu Launchpad Product Bug Finder
  upeople: Ubuntu Launchpad People Finder
  bstorm: Ubuntu Brainstorm Ideas
  upkglp: Ubuntu Binary Package search on Launchpad
 .
 See /usr/share/doc/kubuntu-web-shortcuts/README for a full list

Package: kuipc
Description-md5: 62757e83b2233924c839fd8bf19fe598
Description-en: CERNLIB data analysis suite - KUIP compiler
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 KUIPC, the Kit for a User Interface Package Compiler, is a tool to simplify
 the writing of a program's user interface code. It takes
 a Command Definition File (CDF) as input, which describes the
 commands to be understood by the program, and outputs C or FORTRAN code that
 makes the appropriate function calls to set up the user interface. This
 code can then be compiled and linked with the rest of the program. Since
 the generated code uses KUIP routines, the program must also be linked
 against the Packlib library that contains them.
 .
 KUIPC is no longer actively developed, so aside from its use in the build
 process of CERNLIB, it is of mainly historical interest.

Package: kuiviewer
Description-md5: 807085628f906bc6dc5f3254fd12cd61
Description-en: viewer for Qt Designer user interface files
 KUIViewer is a utility to display and check user interface (.ui) files
 created in Qt Designer.  The interfaces can be shown in a variety of
 different widget styles to ensure that they display correctly in any
 environment.
 .
 The Qt Designer itself is in the package qttools5-dev-tools.
 .
 This package is part of the KDE Software Development Kit module.

Package: kunststoff
Description-md5: 36aa8177979c1b90decbfa3287eda0b5
Description-en: suite of skins for different applications
 The Kunststoff Look & Feel is a completely free Look & Feel for Java
 Swing applications. It supports many widgets (e.g JInnerFrame,
 JProgressBar, JToolBar) and provides customizable gradient colors.

Package: kup-backup
Description-md5: 57e7684c13c4bf541cba7a70c3a13db3
Description-en: backup tool for KDE's Plasma desktop
 Kup is a backup tool for KDE's Plasma desktop created for helping people to
 keep up-to-date backups of their personal files.
 .
 Features :
  - Incremental backup archive with the use of "bup".
  - Synchronized folders with the use of "rsync".
  - Support for local filesystem or external usb storage.
  - Monitor availibilty of backup destination.
  - Integration into KDE's Plasma desktop.

Package: kup-client
Description-md5: 0a1f9c69bbd7485677824e12280a23f9
Description-en: kernel.org upload tool
 This utility is used to upload files to kernel.org and other
 systems using the same upload system (kup-server).

Package: kup-server
Description-md5: eafdbeb2e62271530fe77d7709bb6ef7
Description-en: kernel.org upload server
 This is the upload system used on kernel.org, which runs as an SSH
 service.

Package: kupfer
Description-md5: 1a4ed3635aa0b57717a7748cfe163e27
Description-en: fast and lightweight desktop summoner/launcher
 Kupfer is a summoner/launcher in the style of Quicksilver or GNOME Do. It
 can search and browse your files, launch desired applications and object you
 need in a quicker way.
 .
 Kupfer is written in Python 3 and has a flexible architecture based on plugins
 to extend its features.

Package: kuttypy-gui
Description-md5: ff0af935090880a4d0f3987f43a1cf8d
Description-en: Graphic user interface to interact with KuttyPy
 KuttyPy is a USB interfaced board that gives you an option to do such
 things like to switch a light bulb, rotate a motor or measure a
 temperature under software control, in pure Python.
 .
 Playing with LEDs, motors, switches etc. is the primary objective of
 this hardware, but the experience gained in manipulating the 32
 Input/Output pins of this board will gradually make you familiar with
 the ATMega32 micro-controller.

Package: kuvert
Description-md5: 7384cd5a6328c537a7336c301053cc57
Description-en: wrapper that encrypts or signs outgoing mail
 kuvert automatically signs and/or encrypts outgoing mail using
 the PGP/MIME standard (RFC3156), based on the availability
 of the recipient's key in your keyring. Other than similar wrappers,
 kuvert does not store key passphrases itself, ever.
 kuvert works as a wrapper around your MTA but can be
 fed mails via SMTP, too.

Package: kvirc
Description-md5: 781975de1f5b4ac23d4c5bc7a732099b
Description-en: KDE-based next generation IRC client with module support
 A highly configurable graphical IRC client with an MDI interface,
 built-in scripting language, support for IRC DCC, drag & drop file
 browsing, and much more. KVIrc uses the KDE widget set, can be extended
 using its own scripting language, integrates with KDE, and supports
 custom plugins.
 .
 If you're looking for a simple and plain IRC client, KVIrc is probably the
 wrong choice as it is rather big. But if you want a highly customizable client
 you won't regret the installation.

Package: kvirc-data
Description-md5: 700d9e96b324cea54eba7a6172043fa4
Description-en: Data files for KVIrc
 This package contains the architecture-independent data needed by KVIrc in
 order to run, such as icons and images, language files, and shell scripts.
 It also contains complete reference guides on scripting and functions
 within KVIrc in its internal help format.

Package: kvirc-modules
Description-md5: 72d51bc496328aea5cf7f96c0499dc22
Description-en: KVIrc (IRC client) modules
 A highly configurable graphical IRC client with an MDI interface,
 built-in scripting language, support for IRC DCC, drag & drop file
 browsing, and much more. KVIrc uses the KDE widget set, can be extended
 using its own scripting language, integrates with KDE, and supports
 custom plugins.
 .
 This package contains all modules for KVIrc.

Package: kvmtool
Description-md5: 58d735333fbb40d18dbb5ebba4087d37
Description-en: Native Linux KVM TOOL
 kvmtool is a lightweight tool for hosting KVM guests. As a pure virtualization
 tool it only supports guests using the same architecture, though it supports
 running 32-bit guests on those 64-bit architectures that allow this.

Package: kwalify
Description-md5: 5190ba694ebfb72266f2aff2de480422
Description-en: parser, schema validator, and data-binding tool for YAML and JSON
 YAML and JSON are simple and nice format for structured data and
 easier for human to read and write than XML. But there have been
 no schema for YAML such as RelaxNG or DTD. Kwalify gets over this
 situation.

Package: kwalletcli
Description-md5: 481b43e5861eaccf1bfa48a20cfc9c3b
Description-en: command line interface to the KDE Wallet
 kwalletcli implements a command line interface tool to get and set
 password entries in the default KDE Wallet. Also included are a shell
 wrapper around pinentry, a pinentry-kwallet application checking the
 KDE Wallet for the passphrase requested before asking the user for
 use with the GnuPG Agent, which is also capable of running without
 a pinentry as backend, and kwalletaskpass, which can store SSH key
 passphrases in the KDE Wallet for use with the OpenSSH Agent, and
 is registered as ssh-askpass alternative.

Package: kwalletmanager
Description-md5: 9ddb800fd8f7d7f40b24b46cbaeb9a76
Description-en: secure password wallet manager
 The KDE Wallet system provides a secure way to store passwords and other
 secret information, allowing the user to remember only a single KDE Wallet
 password instead of numerous different passwords and credentials.
 .
 KWallet Manager is a utility for viewing and editing information stored in
 the KDE Wallet.
 .
 This package is part of the KDE SC utilities module.

Package: kwartz-client
Description-md5: 4d5fefdc84fd9d4c992d27e8c683cb0f
Description-en: Configuration of a Kwartz client
 Kwartz is a school server featuring many services, deployed in French
 schools. This package makes it easier for sysadmins to integrate a
 GNU-Linux client machine in a network ruled by a Kwartz service, to
 allow users to authenticate against the LDAP directory, auto-mount
 Samba shares for themselves, and for the groups and projects they
 belong to.

Package: kwave
Description-md5: cf8fd11b2cbd7594058323d9a9860004
Description-en: sound editor for KDE
 Kwave is a sound editor designed for the KDE Desktop Environment.
 .
 With Kwave you can record, play back, import and edit many sorts of audio
 files including multi-channel files.
 .
 Kwave includes some plugins to transform audio files in several ways and
 presents a graphical view with a complete zoom- and scroll capability.
 .
 Its features include:
  * 24 Bit Support
  * Undo/Redo
  * Use of multicore CPUs (SMP, hyperthreading)
  * Simple Drag & Drop
  * Realtime Pre-Listen for some effects
  * Support for multi-track files
  * Playback and recording via native ALSA, PulseAudio (or OSS deprecated)
  * Playback via Phonon
  * Load and edit-capability for large files (can use virtual memory)
  * Reading and auto-repair of damaged wav-files
  * Supports multiple windows
  * Extendable Plugin interface
  * a nice splashscreen
  * some label handling

Package: kwayland-data
Description-md5: e3766990fca3ac018441517d3288eb9c
Description-en: Qt library wrapper for Wayland libraries - data files
 KWayland provides a Qt-style Server library wrapper for
 the Wayland libraries.

Package: kwayland-integration
Description-md5: 7120a025ba32d5f9b8a58bf02bdf9f8c
Description-en: kwayland runtime integration plugins
 Wayland runtime integration plugins for kidletime and kwindowsystem.
 .
 Contains development files for kwayland-integration.

Package: kwin
Description-md5: 7a69a2f0b21743c9e512506f20c5efb1
Description-en: Transitional dummy for kwin-x11
 This package is a transitional dummy to depend on the renamed kwin-x11 and
 can be removed.

Package: kwin-addons
Description-md5: 77130048996c2b746f6d989a7ac02671
Description-en: additional desktop and window switchers for KWin
 This package contains additional KWin desktop and window switchers shipped in
 the Plasma 5 addons module.
 .
 This package is part of the KDE Plasma addons module.

Package: kwin-common
Description-md5: d812e38ecc0856bb858fffec17c3f257
Description-en: KDE window manager, common files
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module.

Package: kwin-data
Description-md5: 1d697d510504cce76cd18beb1fe47f23
Description-en: KDE window manager data files
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package contains the data files

Package: kwin-decoration-oxygen
Description-md5: 0f2d780b285372a292d82d4eff036ae0
Description-en: KWin decoration for the Oxygen desktop theme
 The Oxygen desktop theme is used by Plasma and other KDE Software.
 .
 This package contains the KWin decoration and settings modules.

Package: kwin-dev
Description-md5: e981f5e39df0aa1878605e91238fad93
Description-en: KDE window manager - devel files
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module.

Package: kwin-style-breeze
Description-md5: b886476117343d3fd79be6f0d3eddd93
Description-en: KWin Breeze Style
 This is the Breeze window manager style for the KDE Plasma 5 desktop.
 .
 This package is part of the Breeze theme module.

Package: kwin-wayland
Description-md5: 1f46402d0fc101878e7f6228ce76c610
Description-en: KDE window manager, wayland version, PREVIEW release
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package provides the wayland version, which is still a work in progress
 project, and is available as a PREVIEW release. Don't expect the same
 stability as with the x11 version.

Package: kwin-wayland-backend-drm
Description-md5: 065a515394efb8aaa9b993b952ec6e7e
Description-en: KDE window manager drm plugin
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module

Package: kwin-wayland-backend-fbdev
Description-md5: f066e6903615d4f94cf1f37bd625aedd
Description-en: KDE window manager fbdev plugin
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module

Package: kwin-wayland-backend-virtual
Description-md5: 62bd60f2739dc1f0e94ad8db05ba7cbf
Description-en: KDE window manager virtual plugin
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This backend allows running kwin-wayland in headless mode, useful for testing,
 or in the Cloud.

Package: kwin-wayland-backend-wayland
Description-md5: 96604a8a2eba3fab95ee5e5846be40e8
Description-en: KDE window manager nested wayland plugin
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module

Package: kwin-wayland-backend-x11
Description-md5: f41c3158d740e34ec15cd4c387195591
Description-en: KDE window manager x11 plugin
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module

Package: kwin-x11
Description-md5: b49a23f2e017f3c5499b70ce757a376c
Description-en: KDE window manager, X11 version
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module.

Package: kwordquiz
Description-md5: 8de3141277665fbdc3c76e07e5c74e4b
Description-en: flashcard learning program
 KWordQuiz is a general purpose flashcard program, typically used for
 vocabulary training.
 .
 KWordQuiz can open vocabulary data in various formats, including the kvtml
 format used by KDE programs such as Parley, the WQL format used by
 WordQuiz for Windows, the xml.gz format used by Pauker, and CSV text.
 .
 This package is part of the KDE education module.

Package: kworkflow
Description-md5: f3168cc43c4a2222f7562c61495e43aa
Description-en: Inglorious kernel developer workflow scripts
 kworkflow is a set of scripts that
 have mission to reduce the overhead
 related with infrastructure project setup in
 projects that have a similar workflow to the Linux Kernel.

Package: kwrite
Description-md5: dd638fec6a6002fe9ac850e5e842392b
Description-en: simple text editor
 KWrite is a simple text editor built on the KDE Platform. It uses the Kate
 editor component, so it supports powerful features such as flexible syntax
 highlighting, automatic indentation, and numerous other text tools.

Package: kwrited
Description-md5: fd7c9d49b7119c96c9f5bfb8c67212da
Description-en: Read and write console output to X.
 Kwrited captures console output (e.g. broadcast messages) and prints
 it in a X window.
 .
 This package is part of the KDE base workspace module.

Package: kwstyle
Description-md5: a0ec31bb7629508685e44867d1e44af1
Description-en: Style checker for source code
 KWStyle is integrated in the software process to ensure that the code written
 by several users is consistent and can be viewed/printed as it was written by
 one person.
 .
 KWStyle is primarily checking C/C++ source code but can be easily extended to
 other languages. It assumes that the code is syntactically correct, i.e., it
 compiles on a standard compiler.

Package: kxc
Description-md5: 01f2aaf08f13f63790be3ec31fc6bdbe
Description-en: Key exchange daemon -- client
 kxd is a key exchange daemon, which serves blobs of data (keys) over https.
 .
 It can be used to get keys remotely instead of using local storage. The main
 use case is to get keys to open dm-crypt devices automatically, without having
 to store them on the local machine.
 .
 This package provides the client part of kxd.

Package: kxd
Description-md5: 0d38a58070be8bbe74c29f42193ed071
Description-en: Key exchange daemon
 kxd is a key exchange daemon, which serves blobs of data (keys) over https.
 .
 It can be used to get keys remotely instead of using local storage. The main
 use case is to get keys to open dm-crypt devices automatically, without having
 to store them on the local machine.

Package: kxstitch
Description-md5: 9fd35d1e986371f497a87d93a30c64f2
Description-en: Cross stitch pattern editor
 KXStitch is a program that lets you create cross stitch patterns and charts.
 Patterns can be created from scratch on a user defined size of grid, which
 can be enlarged or reduced in size as your pattern progresses.

Package: kxstitch-common
Description-md5: 575c379ae895f9d5754cc4760d127835
Description-en: Data files, icons and documentation for kxstitch
 KXStitch is a program that lets you create cross stitch patterns and charts.
 Patterns can be created from scratch on a user defined size of grid, which
 can be enlarged or reduced in size as your pattern progresses.
 .
 This package contains the data files for KXStitch.

Package: kxterm
Description-md5: 2b7b1b325edcd6cfd71ac613d0779737
Description-en: CERNLIB data analysis suite - KUIP terminal emulator
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 KXterm is a terminal emulator which combines the best features from
 the (now defunct) Apollo DM pads (like: input and transcript
 pads, automatic file backup of transcript pad, string search in pads,
 etc.) and the Korn shell emacs-style command line editing and command
 line recall mechanism. It can support the command-line interface
 of various CERNLIB applications.

Package: kylin-burner
Description-md5: 581786b7975a59d47553d7cc7dccf3e7
Description-en: CD/DVD burning application for UKUI
 Burner is a simple application to burn, copy and erase CD and DVD
 media: audio, video or data. It features among other things:
  * On-the-fly burning
  * Multisession support
  * On-the-fly conversion of music playlists in all formats supported by
    GStreamer
 .
 This package contains the standalone app.
 .
 The following packages, if installed, will provide Burner with added
 functionality:
  * cdrdao to burn combined data/audio CDs and for byte-to-byte copy
  * GStreamer backends to support more audio formats
  * vcdimager to create VCDs or SVCDs
  * libdvdcss2 to copy encrypted DVDs
  * tracker to search for video, audio, image and document files

Package: kylin-display-switch
Description-md5: 6229f4d44bcde11dcc2a19c9fc7d9021
Description-en: Gui tool for display switching
 Kylin Display Switch is a Gui tool managing display output.
 Super_L + P/F3/F7 are utilized to activate display switching.
 .
 It also monitors CapsLock and NumLock key, when these
 buttons are clicked, corresponding reminder will popper up.

Package: kylin-greeter
Description-md5: dbf8a6df9f0c403225ed8fe04a7755e6
Description-en: Ubuntu Kylin Greeter
 The greeter for the Kylin desktop fork from unity-greeter.

Package: kylin-nm
Description-md5: 764a54163f74a1329201d3ad08edb7a4
Description-en: Gui Applet tool for display and edit network simply
 Kylin NM is a Applet tool for managing network settings simply.
 It has beautiful UI and very comfortable to use.
 It's better work together with UKUI.

Package: kylin-video
Description-md5: 7c228c5e145f756bc37671a381dc53a4
Description-en: Front-end for MPlayer and MPV
 Qt5 Mplayer and MPV front-end, with basic features like playing
 videos and audios to more advanced features.
 It supports both x86 and ARM platform, and supports most of the
 audio and video formats.

Package: kyotocabinet-doc
Description-md5: 47bac3ade0865bd51f1aeed04f398ddf
Description-en: Straightforward implementation of DBM - docs
 Kyoto Cabinet is a library of routines for managing a database. The
 database is a simple data file containing records, each is a pair of
 a key and a value. Every key and value is serial bytes with variable
 length. Both binary data and character string can be used as a key and
 a value. Each key must be unique within a database. There is neither
 concept of data tables nor data types. Records are organized in
 hash table or B+ tree.
 .
 Kyoto Cabinet runs very fast. For example, elapsed time to store
 one million records is 0.9 seconds for hash database, and 1.1 seconds
 for B+ tree database. Moreover, the size of database is very small.
 For example, overhead for a record is 16 bytes for hash database,
 and 4 bytes for B+ tree database. Furthermore, scalability of
 Kyoto Cabinet is great. The database size can be up to 8EB (9.22e18 bytes).
 .
 Sponsored by the same company, Kyoto Cabinet is "[a] more powerful and
 convenient library than Tokyo Cabinet [and] surpasses Tokyo Cabinet in
 every aspect".
 .
 This package contains the documentation.

Package: kyotocabinet-utils
Description-md5: 8ba48b701ad743ad0f294f439fdecb8a
Description-en: Straightforward implementation of DBM - utilities
 Kyoto Cabinet is a library of routines for managing a database. The
 database is a simple data file containing records, each is a pair of
 a key and a value. Every key and value is serial bytes with variable
 length. Both binary data and character string can be used as a key and
 a value. Each key must be unique within a database. There is neither
 concept of data tables nor data types. Records are organized in
 hash table or B+ tree.
 .
 This package contains the kcutilmgr tool, used to compile kyotocabinet
 language bindings without Debian patches applied, and well as testing
 and debugging utilities.

Package: kytos-sphinx-theme-common
Description-md5: 738b18393eabecfbf30d7f4fae7a86a7
Description-en: Theme used by kytos with sphinx -- common files
 It is a sphinx theme to be used, for instance, into python-openflow
 documentation and others kytos projects.
 .
 This theme is part of Kytos project. Kytos is a SDN Platform made by Kytos
 Team.
 .
 This package contains the common files used by the theme

Package: kytos-utils
Description-md5: 5bba83a0fe5af50163028db50a6436e9
Description-en: command line utilities to use with Kytos
 Command line interface (cli) for Kytos SDN Platform.
 .
 With these utilities you can interact with Kytos daemon and manage
 Network Applications (NApps) on your controller.

Package: labelme
Description-md5: ca2e49b20b0e608469cb3116b5b51bfa
Description-en: Image Polygonal Annotation with Python
 This is a graphical image annotation tool inspired by
 http://labelme.csail.mit.edu. It is written in Python and uses Qt for its
 graphical interface.

Package: labelme-examples
Description-md5: 9e290c7c557a8a78dfa31e89fa75326a
Description-en: Image Polygonal Annotation with Python, examples
 This is a graphical image annotation tool inspired by
 http://labelme.csail.mit.edu. It is written in Python and uses Qt for its
 graphical interface.
 .
 This package contains the examples.

Package: lablie
Description-md5: a24b9ae2c17c7efe0c1e48738ab13611
Description-en: CLI tool for printable labels generation from SVG templates
 SVG labels is designed to be simply usable tool for generation of printable
 documents with labels to print. Documents can be generated from SVG image,
 or SVG template with instance(s) data.

Package: labltk
Description-md5: 9a8b2fe5e513efa2950c26c9d6e06fe8
Description-en: OCaml bindings to Tcl/Tk (executables)
 mlTk is a library for interfacing OCaml with the scripting language
 Tcl/Tk.
 .
 In addition to the basic interface with Tcl/Tk, this package contains
 the OCamlBrowser code editor / library browser written by Jacques
 Garrigue.

Package: labplot
Description-md5: 2a538569efcdb8dcc59d68e146ffa641
Description-en: interactive graphing and analysis of scientific data
 LabPlot provides an easy way to create, manage and edit plots.  It allows you
 to produce plots based on data from a spreadsheet or on data imported from
 external files.  Plots can be exported to several pixmap and vector graphic
 formats.
 .
 Features include:
  - project-based management of data
  - project explorer for management and organization of created objects in
    different folders and sub-folders
  - spreadsheet with basic functionality for manual data entry or for
    generation of uniform and non-uniform random numbers
  - import of external ASCII data into the project for further editing and
    visualization
  - export of spreadsheet to an ASCII file
  - worksheet as the main parent object for plots, labels, etc; supports
    different layouts and zooming functions
  - export of worksheet to different formats (PDF, EPS, PNG, and SVG)
  - great variety of editing capabilities for properties of worksheet and its
    objects
  - cartesian plots, created either from imported or manually created data
    sets or via mathematical equation
  - definition of mathematical formulas is supported by syntax highlighting
    and completion and by the list of thematically grouped mathematical and
    physical constants and functions
  - analysis of plotted data is supported by many zooming and navigation
    features
  - linear and non-linear fits to data, several fit-models are predefined and
    custom models with arbitrary number of parameters can be provided

Package: labplot-data
Description-md5: a20e68ed52ed42c9577afe1a7fd1b8d7
Description-en: data files for labplot
 LabPlot provides an easy way to create, manage and edit plots.  It allows you
 to produce plots based on data from a spreadsheet or on data imported from
 external files.  Plots can be exported to several pixmap and vector graphic
 formats.
 .
 This contains the data files for labplot.

Package: labrea
Description-md5: 70fe031529db4badf35e0e2e6dd36e61
Description-en: a "sticky" honeypot and IDS
 LaBrea takes over unused IP addresses, and creates virtual servers
 that are attractive to worms, crackers, and other denizens of the
 Internet.
 .
 The program answers connection attempts in such a way that the
 machine at the other end gets "stuck", sometimes for a very long
 time.

Package: laby
Description-md5: 4b7dce0cdd1f18631351c9da609024e2
Description-en: Learn how to program with ants and spider webs
 Laby is a small program to learn how to program with ants and spider webs.
 You have to move an ant out of a labyrinth, avoid spider webs, move rocks,
 etc.
 .
 Using Laby, you can learn OCaml, C, C++, Java, Prolog, Ruby, Pascal,
 JavaScript, Python, Lua, Vala and Scheme. Other bindings can easily be
 added to support new programming languages.

Package: lacheck
Description-md5: f8b4e067ceaf1167420487365338b7eb
Description-en: Simple syntax checker for LaTeX
 LaCheck is a simple syntax checker for LaTex that is based on a
 single-pass lexical scanner. This makes clear that there are a lot of
 LaTeX problems this program cannot find, although it will find most
 simple mistakes.  Complex macro packages may, however, make it completely
 unusable.
 .
 This program was bundled with AUCTeX once upon a time and is best known
 from there.

Package: lacme
Description-md5: 17b092dc67a598023e7db924caa2c8a3
Description-en: ACME client written with process isolation and minimal privileges in mind
 lacme is divided into four components, each with its own executable:
 .
  * A process to manage the account key and issue SHA-256 signatures needed for
    each ACME command.  (This process binds to a UNIX-domain socket to reply to
    signature requests from the ACME client.)  One can use the UNIX-domain
    socket forwarding facility of OpenSSH 6.7 and later to run this process on
    a different host.
 .
  * A "master" process, which runs as root and is the only component
    with access to the private key material of the server keys.  It is used to
    fork the ACME client (and optionally the ACME webserver) after dropping
    root privileges.  For certificate issuances, it also generates Certificate
    Signing Requests, then verifies the validity of the issued certificate, and
    optionally reloads or restarts services.
 .
  * An actual ACME client, which builds ACME commands and dialogues with
    the remote ACME server.  Since ACME commands need to be signed with the
    account key, the "master" process passes the UNIX-domain socket of the
    account key manager to the ACME client: data signatures are requested by
    writing the data to be signed to the socket.
 .
  * For certificate issuances, an optional webserver, which is spawned
    by the "master" process when no service is listening on the HTTP port.
    (The only challenge type currently supported is "http-01", which requires a
    webserver to answer challenges.)  That webserver only processes GET and
    HEAD requests under the "/.well-known/acme-challenge/" URI.  By default
    some iptables(8) rules are automatically installed to open the HTTP port,
    and removed afterwards.

Package: lacme-accountd
Description-md5: 43841cd6e20515c161e6aa7d19ef9210
Description-en: lacme account key manager
 lacme is an ACME client written with process isolation and minimal privileges
 in mind.  It is divided into four components, each with its own executable:
 .
  * A process to manage the account key and issue SHA-256 signatures needed for
    each ACME command.  (This process binds to a UNIX-domain socket to reply to
    signature requests from the ACME client.)  One can use the UNIX-domain
    socket forwarding facility of OpenSSH 6.7 and later to run this process on
    a different host.
 .
  * A "master" process, which runs as root and is the only component
    with access to the private key material of the server keys.  It is used to
    fork the ACME client (and optionally the ACME webserver) after dropping
    root privileges.  For certificate issuances, it also generates Certificate
    Signing Requests, then verifies the validity of the issued certificate, and
    optionally reloads or restarts services.
 .
  * An actual ACME client, which builds ACME commands and dialogues with
    the remote ACME server.  Since ACME commands need to be signed with the
    account key, the "master" process passes the UNIX-domain socket of the
    account key manager to the ACME client: data signatures are requested by
    writing the data to be signed to the socket.
 .
  * For certificate issuances, an optional webserver, which is spawned
    by the "master" process when no service is listening on the HTTP port.
    (The only challenge type currently supported is "http-01", which requires a
    webserver to answer challenges.)  That webserver only processes GET and
    HEAD requests under the "/.well-known/acme-challenge/" URI.  iptables(8)
    rules can optionally be installed to temporarily open the HTTP port.
 .
 lacme-accountd is the first (account key manager) component.  It is the only
 component with access to the account key.

Package: ladspa-foo-plugins
Description-md5: 307b613015287b2cb79b9a8c9cdb867a
Description-en: Sampo Savolainen's LADSPA plugins
 This is a small set of LADSPA plugins. Currently it consists of two plugins:
 .
  Foo Lookahead Limiter (stereo)
     A lookahead peak limiter with an attack / release envelope.
 .
  Foo Transient Architect (stereo and mono version)
     Transient sound design. This is a dynamics control independent of the
     volume level. It gives separate control for transient attack and release
     gain.

Package: ladspa-sdk
Description-md5: 66ff3a8386fca67afc6b6b13438548a7
Description-en: sample tools for linux-audio-dev plugin architecture
 LADSPA is a free standard specification for audio effect plugins.
 .
 Contains sample plugins, and analyseplugin, listplugin, applyplugin
 programs, and the ladspa.h, the LADSPA specification.
 .
 Please build-depend on this package if you need ladspa.h

Package: ladspalist
Description-md5: 8028e6b1dd62b59a466ce8d2d1fa00c9
Description-en: List LADSPA plugins for use by LADSPA UGen
 Optional helper tool to list the features of LADSPA plugins
 installed on the system for later use by the LADSPA UGen plugin
 for SuperCollider.

Package: ladvd
Description-md5: bd87495c24188621bf5a5d4799e76d71
Description-en: LLDP/CDP sender
 ladvd sends link layer advertisements on all available interfaces.
 This makes connected hosts visible on managed switches. By default it
 will run as a privilege-separated daemon.

Package: lagan
Description-md5: 710bdad90a9a05831e9f802a498646b7
Description-en: highly parametrizable pairwise global genome sequence aligner
 Lagan takes local alignments generated by CHAOS as anchors, and limits
 the search area of the Needleman-Wunsch algorithm around these anchors.
 .
 Multi-LAGAN is a generalization of the pairwise algorithm to multiple
 sequence alignment.  M-LAGAN performs progressive pairwise alignments,
 guided by a user-specified phylogenetic tree. Alignments are aligned to
 other alignments using the sum-of-pairs metric.

Package: lakai
Description-md5: adac96f5cb8c6872c7fe1e4a36120ac8
Description-en: transfers samples between a PC and an AKAI sampler
 Lakai is a small set of tools (+ a link library) used to transfer sampler
 data (programs, samples) between a PC with a SCSI host adapter and an AKAI
 sampler (S1000, S2000..).
 .
 The current tools allow an easy way to create a full backup of the sampler's
 memory contents to the PC and a full restore of this data back from the PC to
 the sampler.
 .
 Future versions might contain more fine-grained control over the data you
 exchange, but this is still in planning stage.

Package: lalrpop
Description-md5: e727ced9b911f03a7ea52564cf1f7fd9
Description-en: Convenient LR(1) parser generator
 This package contains the following binaries built from the Rust crate
 "lalrpop":
  - lalrpop

Package: lam-mpidoc
Description-md5: 68197e0be1277c4a3358c22d4de22e74
Description-en: Documentation for the Message Passing Interface standard
 This package contains man pages describing the Message Passing
 Interface standard.
 .
 These pages are newly provided by the LAM package, and are also found
 in the mpi-doc package build from the mpich source package.

Package: lam-runtime
Description-md5: 070247a6e39a81b5bb5c1009c75deb58
Description-en: LAM runtime environment for executing parallel programs
 LAM (Local Area Multicomputer) is an open source implementation of the
 Message Passing Interface (MPI) standard.
 .
 Some enhancements in LAM 6.3 are:
  o Added the MPI-2 C++ bindings package (chapter 10 from the MPI-2
      standard) from the Laboratory for Scientific Computing at the
      University of Notre Dame.
  o Added ROMIO MPI I/O package (chapter 9 from the MPI-2 standard)
      from the Argonne National Laboratory.
  o Pseudo-tty support for remote IO (e.g., line buffered output).
  o Ability to pass environment variables through mpirun.
  o Ability to mpirun shell scripts/debuggers/etc. (that eventually
      run LAM/MPI programs).
  o Ability to execute non-MPI programs across the multicomputer.
  o Added configurable ability to zero-fill internal LAM buffers
      before they are used (for development tools such as Purify).
  o Greatly expanded error messages; provided for customizable
      local help files.
  o Expanded and updated documentation.
  o Various bug fixes and minor enhancements.

Package: lam4-dev
Description-md5: 2aaae1e16ec82f1827f9cc46a06dfd0f
Description-en: Development of parallel programs using LAM
 LAM (Local Area Multicomputer) is an open source implementation of the
 Message Passing Interface (MPI) standard.
 .
 This package provides the development headers and related files.

Package: lamarc
Description-md5: 83af88c2849d5e6ad8d625b97ca91d25
Description-en: Likelihood Analysis with Metropolis Algorithm using Random Coalescence
 LAMARC is a program which estimates population-genetic parameters such
 as population size, population growth rate, recombination rate, and
 migration rates. It approximates a summation over all possible
 genealogies that could explain the observed sample, which may be
 sequence, SNP, microsatellite, or electrophoretic data. LAMARC and its
 sister program Migrate are successor programs to the older programs
 Coalesce, Fluctuate, and Recombine, which are no longer being supported.
 The programs are memory-intensive but can run effectively on
 workstations.

Package: lambda-align
Description-md5: 824d9e146ca55261f5f66d6d34b3901a
Description-en: Local Aligner for Massive Biological DatA
 Lambda is a local biosequence aligner optimized for many query sequences
 and searches in protein space. It is compatible to the de facto standard tool
 BLAST, but often outperforms the best currently available alternatives at
 reproducing BLAST’s results and is the fastest compared with the current
 state of the art at comparable levels of sensitivity.

Package: lambda-align2
Description-md5: 7c5b15838dc1b5d4d89a6850f2bae716
Description-en: Local Aligner for Massive Biological DatA - v2
 Lambda2 is a local biosequence aligner optimized for many query sequences
 and searches in protein space. It is compatible to the de facto standard tool
 BLAST, but often outperforms the best currently available alternatives at
 reproducing BLAST’s results and is the fastest compared with the current
 state of the art at comparable levels of sensitivity.
 .
 This package is for the Lambda (align) v2.x series which has an incompatible
 command line interface and on disk format from Lambda (align) v1.x.

Package: lambdabot
Description-md5: 7c5b1a45fe74af0f554a8cf9fdd1d157
Description-en: Development tool and advanced IRC bot
 Lambdabot is an IRC bot written over several years by those on
 the #haskell IRC channel.
 .
 It operates as a command-line tool, embedded in an editor, embedded
 in GHCi, via Internet Relay Chat and on the Web.

Package: lambdahack
Description-md5: aa5354e20e2b1f1c71b8ffdd49ca5b36
Description-en: tactical squad ASCII roguelike dungeon crawler game engine
 LambdaHack is a Haskell game engine library for ASCII roguelike
 games of arbitrary theme, size and complexity, with optional
 tactical squad combat. It's packaged together with a sample
 dungeon crawler in fantasy setting that can be tried out
 as a native binary or in the browser at http://lambdahack.github.io.
 .
 Please see the changelog file for recent improvements
 and the issue tracker for short-term plans. Long term goals
 include multiplayer tactical squad combat, in-game content
 creation, auto-balancing and persistent content modification
 based on player behaviour. Contributions are welcome.

Package: lame
Description-md5: 649ecb033583c1c3e720aad9c3376ab9
Description-en: MP3 encoding library (frontend)
 LAME (recursive acronym for "LAME Ain't an MP3 Encoder") is a research
 project for learning about and improving MP3 encoding technology.
 LAME includes an MP3 encoding library, a simple frontend application,
 and other tools for sound analysis, as well as convenience tools.
 .
 This package contains the frontend.

Package: lammps
Description-md5: e7d428177d9d81d47bea5a96772e407c
Description-en: Molecular Dynamics Simulator
 LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale
 Atomic/Molecular Massively Parallel Simulator.
 .
 LAMMPS has potentials for soft materials (biomolecules, polymers) and
 solid-state materials (metals, semiconductors) and coarse-grained or
 mesoscopic systems. It can be used to model atoms or, more generically, as a
 parallel particle simulator at the atomic, meso, or continuum scale.
 .
 LAMMPS runs on single processors or in parallel using message-passing
 techniques and a spatial-decomposition of the simulation domain. The code is
 designed to be easy to modify or extend with new functionality.

Package: lammps-data
Description-md5: e9fa5001f76f0fa592495d5c7d2a5083
Description-en: Molecular Dynamics Simulator. Data (potentials)
 LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale
 Atomic/Molecular Massively Parallel Simulator.
 .
 LAMMPS has potentials for soft materials (biomolecules, polymers) and
 solid-state materials (metals, semiconductors) and coarse-grained or
 mesoscopic systems. It can be used to model atoms or, more generically, as a
 parallel particle simulator at the atomic, meso, or continuum scale.
 .
 LAMMPS runs on single processors or in parallel using message-passing
 techniques and a spatial-decomposition of the simulation domain. The code is
 designed to be easy to modify or extend with new functionality.
 .
 The package contains data (potentials)

Package: lammps-doc
Description-md5: e7bf8b722554a64f035120871a9d40b0
Description-en: Molecular Dynamics Simulator (documentation)
 LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale
 Atomic/Molecular Massively Parallel Simulator.
 .
 LAMMPS has potentials for soft materials (biomolecules, polymers) and
 solid-state materials (metals, semiconductors) and coarse-grained or
 mesoscopic systems. It can be used to model atoms or, more generically, as a
 parallel particle simulator at the atomic, meso, or continuum scale.
 .
 LAMMPS runs on single processors or in parallel using message-passing
 techniques and a spatial-decomposition of the simulation domain. The code is
 designed to be easy to modify or extend with new functionality.
 .
 The package contains documentation.

Package: lammps-examples
Description-md5: f43cc2bbd2c8af5c281a7d19e42e8091
Description-en: Molecular Dynamics Simulator (examples)
 LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale
 Atomic/Molecular Massively Parallel Simulator.
 .
 LAMMPS has potentials for soft materials (biomolecules, polymers) and
 solid-state materials (metals, semiconductors) and coarse-grained or
 mesoscopic systems. It can be used to model atoms or, more generically, as a
 parallel particle simulator at the atomic, meso, or continuum scale.
 .
 LAMMPS runs on single processors or in parallel using message-passing
 techniques and a spatial-decomposition of the simulation domain. The code is
 designed to be easy to modify or extend with new functionality.
 .
 The package contains example scripts and benchmarks.

Package: langdrill
Description-md5: 3de1a094b5a060e21c555628832eb72b
Description-en: language drills to test vocabulary
 langdrill is a helper for testing your foreign language vocabulary.
 It uses GTK+ toolkit and VDK (a C++ wrapper around GTK+). langdrill
 looks similar to JavaDrill.
 .
 Support for displaying Japanese characters is included. For this, Japanese
 fonts must be installed on your system, for instance the xfonts-intl-japanese
 package.

Package: langford-dkms
Description-md5: 2e0b2e375127b974c432c4e9b41f6ac7
Description-en: Kernel drivers for the Per Vices Noctar IQ demodulator board
 This package contains the source code and build scripts for the driver
 required to use the "Noctar" (formerly "Phi") IQ demodulator board from
 Per Vices.
 .
 If you are unsure whether you need this package, then you don't.

Package: langford-utils
Description-md5: dfa3d80a77165a3f570a9d67dc83a341
Description-en: Control programs for the Per Vices Noctar IQ demodulator board
 These utilities can be used to set up low level functions in the "Noctar"
 (formerly "Phi") IQ demodulator board from Per Vices.
 .
 If you are unsure whether you need this package, then you don't.

Package: language-pack-kde-ar
Description-md5: 7e3d61d4ade8d7b5f2549d74b452d24a
Description-en: KDE translation meta package for language ar
 Translation data updates for all supported KDE packages for:
 ar

Package: language-pack-kde-bg
Description-md5: 1de63e054965f64de6f95c486c811b28
Description-en: KDE translation meta package for language bg
 Translation data updates for all supported KDE packages for:
 bg

Package: language-pack-kde-bs
Description-md5: e3dfa0804a1148e4f1101bcc7c6efd55
Description-en: KDE translation meta package for language bs
 Translation data updates for all supported KDE packages for:
 bs

Package: language-pack-kde-ca
Description-md5: bc17cb82b59f2d7bfffdbaaa39fd0109
Description-en: KDE translation meta package for language ca
 Translation data updates for all supported KDE packages for:
 ca

Package: language-pack-kde-cs
Description-md5: 88f603ab08cdd213fc9f63c7ddb7f24f
Description-en: KDE translation meta package for language cs
 Translation data updates for all supported KDE packages for:
 cs

Package: language-pack-kde-da
Description-md5: 79bfe074f45c485eec155a10f272f264
Description-en: KDE translation meta package for language da
 Translation data updates for all supported KDE packages for:
 da

Package: language-pack-kde-de
Description-md5: 68364e3b05cd5bff0c0974b5a5f80f3c
Description-en: KDE translation meta package for language de
 Translation data updates for all supported KDE packages for:
 de

Package: language-pack-kde-el
Description-md5: dd6b9d87dd7a241b479b9a5de3a27464
Description-en: KDE translation meta package for language el
 Translation data updates for all supported KDE packages for:
 el

Package: language-pack-kde-en
Description-md5: dec91604f80287f99597bab3cb562683
Description-en: KDE translation meta package for language en_GB
 Translation data updates for all supported KDE packages for:
 en_GB

Package: language-pack-kde-es
Description-md5: 19907256f7cb8c6b5d0aaf6700e39ef9
Description-en: KDE translation meta package for language es
 Translation data updates for all supported KDE packages for:
 es

Package: language-pack-kde-et
Description-md5: bb861382cd3572f8da55e3699e740cac
Description-en: KDE translation meta package for language et
 Translation data updates for all supported KDE packages for:
 et

Package: language-pack-kde-eu
Description-md5: a694feecd87ca904e439eadfe08de1e7
Description-en: KDE translation meta package for language eu
 Translation data updates for all supported KDE packages for:
 eu

Package: language-pack-kde-fa
Description-md5: 86f1e4a1c2e2986c5750de58d3af1cc4
Description-en: KDE translation meta package for language fa
 Translation data updates for all supported KDE packages for:
 fa

Package: language-pack-kde-fi
Description-md5: 88c19a8d67a0306f36fa645454eb9589
Description-en: KDE translation meta package for language fi
 Translation data updates for all supported KDE packages for:
 fi

Package: language-pack-kde-fr
Description-md5: bbdc7765e3f797a11cfa83a857848a86
Description-en: KDE translation meta package for language fr
 Translation data updates for all supported KDE packages for:
 fr

Package: language-pack-kde-ga
Description-md5: b9e46ee0a8e1d9e02f7555a4be420eb7
Description-en: KDE translation meta package for language ga
 Translation data updates for all supported KDE packages for:
 ga

Package: language-pack-kde-gl
Description-md5: 89ed3d1ad67082f529eba0e05734e9f8
Description-en: KDE translation meta package for language gl
 Translation data updates for all supported KDE packages for:
 gl

Package: language-pack-kde-he
Description-md5: 13fa6bf03e2bb8cd100094f60cf9fd9b
Description-en: KDE translation meta package for language he
 Translation data updates for all supported KDE packages for:
 he

Package: language-pack-kde-hi
Description-md5: 2178dff0a3335f36bd3bdaf8c2eb7b2f
Description-en: KDE translation meta package for language hi
 Translation data updates for all supported KDE packages for:
 hi

Package: language-pack-kde-hr
Description-md5: 25ff13446dc6db36182af8db662102f3
Description-en: KDE translation meta package for language hr
 Translation data updates for all supported KDE packages for:
 hr

Package: language-pack-kde-hu
Description-md5: 4cb98446eda0d13ab73290e48447aead
Description-en: KDE translation meta package for language hu
 Translation data updates for all supported KDE packages for:
 hu

Package: language-pack-kde-ia
Description-md5: fc78c20799ab189116421942f9167ef9
Description-en: KDE translation meta package for language ia
 Translation data updates for all supported KDE packages for:
 ia

Package: language-pack-kde-id
Description-md5: c40e74758631e22f76d8a6b5ffb1813f
Description-en: KDE translation meta package for language id
 Translation data updates for all supported KDE packages for:
 id

Package: language-pack-kde-is
Description-md5: 1e7564096f13c0c42e0cead58c215cde
Description-en: KDE translation meta package for language is
 Translation data updates for all supported KDE packages for:
 is

Package: language-pack-kde-it
Description-md5: f5d5fcf002a8099e3c4c2d49039af3be
Description-en: KDE translation meta package for language it
 Translation data updates for all supported KDE packages for:
 it

Package: language-pack-kde-ja
Description-md5: 45cf55bee37ecd2a645c7ef71b6d95e4
Description-en: KDE translation meta package for language ja
 Translation data updates for all supported KDE packages for:
 ja

Package: language-pack-kde-kk
Description-md5: 7d4447c2fed857970269fa35c77bcce3
Description-en: KDE translation meta package for language kk
 Translation data updates for all supported KDE packages for:
 kk

Package: language-pack-kde-km
Description-md5: 259e5bb6eaa56d2a818c54bd4b6d3120
Description-en: KDE translation meta package for language km
 Translation data updates for all supported KDE packages for:
 km

Package: language-pack-kde-ko
Description-md5: 32c8dddb60e7a219da6a7f7a3fa9bcd1
Description-en: KDE translation meta package for language ko
 Translation data updates for all supported KDE packages for:
 ko

Package: language-pack-kde-lt
Description-md5: 3e40e5cfbccc2c34bd2e80e1019112ce
Description-en: KDE translation meta package for language lt
 Translation data updates for all supported KDE packages for:
 lt

Package: language-pack-kde-lv
Description-md5: 655ddb7ac32cdfe2f48e08c3cc6e0268
Description-en: KDE translation meta package for language lv
 Translation data updates for all supported KDE packages for:
 lv

Package: language-pack-kde-mr
Description-md5: c2deca5cf8c461b10e6c6e64bdb58fa1
Description-en: KDE translation meta package for language mr
 Translation data updates for all supported KDE packages for:
 mr

Package: language-pack-kde-nb
Description-md5: 5e4555a8db30a144a757494116092731
Description-en: KDE translation meta package for language nb
 Translation data updates for all supported KDE packages for:
 nb

Package: language-pack-kde-nds
Description-md5: 76eb1b012cba091fe20159d991d8e014
Description-en: KDE translation meta package for language nds
 Translation data updates for all supported KDE packages for:
 nds

Package: language-pack-kde-nl
Description-md5: 4909f847f4364e378c54a01e14f62f30
Description-en: KDE translation meta package for language nl
 Translation data updates for all supported KDE packages for:
 nl

Package: language-pack-kde-nn
Description-md5: 2b23960ab072e53c5277e37721834418
Description-en: KDE translation meta package for language nn
 Translation data updates for all supported KDE packages for:
 nn

Package: language-pack-kde-pa
Description-md5: cbbc0a1eeb139ffe972072be257a7216
Description-en: KDE translation meta package for language pa
 Translation data updates for all supported KDE packages for:
 pa

Package: language-pack-kde-pl
Description-md5: 56cf31a01674a02b4975ede20a87a1d0
Description-en: KDE translation meta package for language pl
 Translation data updates for all supported KDE packages for:
 pl

Package: language-pack-kde-pt
Description-md5: 1609e5422ef491de845fb9027d7e0110
Description-en: KDE translation meta package for language pt
 Translation data updates for all supported KDE packages for:
 pt

Package: language-pack-kde-ro
Description-md5: 8095a10e0d184542da4e12d7a16ab1b2
Description-en: KDE translation meta package for language ro
 Translation data updates for all supported KDE packages for:
 ro

Package: language-pack-kde-ru
Description-md5: 940517f9f4d0e98106bfc89d04b7658e
Description-en: KDE translation meta package for language ru
 Translation data updates for all supported KDE packages for:
 ru

Package: language-pack-kde-si
Description-md5: e0f890ea83ce1c35c66bae481d8f6e64
Description-en: KDE translation meta package for language Sinhala
 Translation data updates for all supported KDE packages for:
 Sinhala

Package: language-pack-kde-sk
Description-md5: cf87eec57c5af9d0615c35498ec66490
Description-en: KDE translation meta package for language sk
 Translation data updates for all supported KDE packages for:
 sk

Package: language-pack-kde-sl
Description-md5: 95b680862813325636277b0667ee50ad
Description-en: KDE translation meta package for language sl
 Translation data updates for all supported KDE packages for:
 sl

Package: language-pack-kde-sr
Description-md5: 602acdf1733ca8aa6df429d76d9dbda7
Description-en: KDE translation meta package for language sr
 Translation data updates for all supported KDE packages for:
 sr

Package: language-pack-kde-sv
Description-md5: 4b7581ea5f61e9e4f0a733885db36d7f
Description-en: KDE translation meta package for language sv
 Translation data updates for all supported KDE packages for:
 sv

Package: language-pack-kde-tg
Description-md5: f8ef71e407d4cbe146c5e9cf4bf7efb6
Description-en: KDE translation meta package for language Tajik
 Translation data updates for all supported KDE packages for:
 Tajik

Package: language-pack-kde-th
Description-md5: 52570b9a996cc2da1fbf306be4400efe
Description-en: KDE translation meta package for language Thai
 Translation data updates for all supported KDE packages for:
 Thai

Package: language-pack-kde-tr
Description-md5: 92826099b489e9b9f0acf39795ac6e4f
Description-en: KDE translation meta package for language tr
 Translation data updates for all supported KDE packages for:
 tr

Package: language-pack-kde-ug
Description-md5: 91fccbf66f3458c942da0011f24c60e7
Description-en: KDE translation meta package for language ug
 Translation data updates for all supported KDE packages for:
 ug

Package: language-pack-kde-uk
Description-md5: e8564976b4f3b268a486613faa5fbe07
Description-en: KDE translation meta package for language uk
 Translation data updates for all supported KDE packages for:
 uk

Package: language-pack-kde-vi
Description-md5: 589301a5326081fc45f4760b40bcc392
Description-en: KDE translation meta package for language vi
 Translation data updates for all supported KDE packages for:
 vi

Package: language-pack-kde-zh-hans
Description-md5: c9e5732dae69aaefff03bd77a756bfc4
Description-en: KDE translation meta package for language zh_CN
 Translation data updates for all supported KDE packages for:
 zh_CN

Package: language-pack-kde-zh-hant
Description-md5: f4d1b3af0670136fa9d3880142fcc2d5
Description-en: KDE translation meta package for language zh_TW
 Translation data updates for all supported KDE packages for:
 zh_TW

Package: laptop-mode-tools
Description-md5: 43f109990cc61ac81c810b482d7dff84
Description-en: Tools for Power Savings based on battery/AC status
 Laptop mode is a Linux kernel feature that allows your laptop to save
 considerable power, by allowing the hard drive to spin down for longer
 periods of time. This package contains the userland scripts that are
 needed to enable laptop mode.
 .
 It includes support for automatically enabling laptop mode when the
 computer is working on batteries. It also supports various other power
 management features, such as starting and stopping daemons depending on
 power mode, automatically hibernating if battery levels are too low, and
 adjusting terminal blanking and X11 screen blanking
 .
 laptop-mode-tools uses the Linux kernel's Laptop Mode feature and thus
 is also used on Desktops and Servers to conserve power

Package: larch
Description-md5: 7b453854e50a8f8eb864aedae4a2ff55
Description-en: tool to copy messages from one IMAP server to another
 Larch is a tool to copy messages from one IMAP server to another quickly and
 safely. It's smart enough not to copy messages that already exist on the
 destination and robust enough to deal with interruptions caused by flaky
 connections or misbehaving servers.
 .
 Larch is particularly well-suited for copying email to, from, or between Gmail
 accounts.
 .
 Note that this package is currently unmaintained upstream, although it is
 still functional.

Package: largetifftools
Description-md5: cb75eaa6cf4072c090b2379d1135fefd
Description-en: process very large TIFF files
 This is a collection of software that can help managing (very) large TIFF
 files, especially files that are too large to fit entirely into your
 computer's memory.

Package: lasagne-doc
Description-md5: d4ccca3d1b1639b2d3e2aa8b5bf5d34a
Description-en: deep learning Python library build on the top of Theano (docs)
 Lasagne is a Python library to build and train deep (multi-layered) artificial
 neural networks on the top of Theano (math expression compiler). In comparison
 to other abstraction layers for that like e.g. Keras, it abstracts Theano as
 little as possible.
 .
 Lasagne supports networks like Convolutional Neural Networks (CNN, mostly used
 for image recognition resp. classification) and the Long Short-Term Memory type
 (LSTM, a subtype of Recurrent Neural Networks, RNN).
 .
 This package contains the documentation.

Package: laserboy
Description-md5: de52e4c615ee13687c0d3d84e029e58e
Description-en: Laser show software for soundcard operation
 LaserBoy can translate laser vector art into standard, 48KHz, 16 bit,
 multi channel RIFF WAVE file format and play those files with
 independent sample shifts between the channels for proper time alignment
 between the scanners and the color modulation devices (per color).
 Waves made with LaserBoy can be played from any surround sound card in
 any OS.
 LaserBoy can open its own generated wave files and convert them back
 into vector art.
 LaserBoy provides a full set of points optimization routines including
 distance spanning, corner dwelling and the ability to minimize total points
 distance by rearranging the order and direction of lit segments within
 a frame.
 With a DC modified 8 channel sound card and some outboard electronics,
 LaserBoy is currently outputting full motion, 16bit X, Y position, 24 bit
 RGB color projections at 48 thousand points per second. Creating waves of
 any integer sample rate is possible. 48KHz is only a limitation of the sound
 cards that are currently in use.

Package: laserboy-indep
Description-md5: 03ce4d9467210d208c9c5ee250ad0fba
Description-en: Laser show software for soundcard operation - data files
 LaserBoy can translate laser vector art into standard, 48KHz, 16 bit,
 multi channel RIFF WAVE file format and play those files with
 independent sample shifts between the channels for proper time alignment
 between the scanners and the color modulation devices (per color).
 Waves made with LaserBoy can be played from any surround sound card in
 any OS.
 LaserBoy can open its own generated wave files and convert them back
 into vector art.
 LaserBoy provides a full set of points optimization routines including
 distance spanning, corner dwelling and the ability to minimize total points
 distance by rearranging the order and direction of lit segments within
 a frame.
 With a DC modified 8 channel sound card and some outboard electronics,
 LaserBoy is currently outputting full motion, 16bit X, Y position, 24 bit
 RGB color projections at 48 thousand points per second. Creating waves of
 any integer sample rate is possible. 48KHz is only a limitation of the sound
 cards that are currently in use.
 .
 This package contains architecture independent data files for laserboy.

Package: last-align
Description-md5: 34c07e83cd3ffe3ca9aa9b81ea1ff067
Description-en: genome-scale comparison of biological sequences
 LAST is software for comparing and aligning sequences, typically DNA or
 protein sequences. LAST is similar to BLAST, but it copes better with very
 large amounts of sequence data. Here are two things LAST is good at:
 .
  * Comparing large (e.g. mammalian) genomes.
  * Mapping lots of sequence tags onto a genome.
 .
 The main technical innovation is that LAST finds initial matches based on
 their multiplicity, instead of using a fixed size (e.g. BLAST uses 10-mers).
 This allows one to map tags to genomes without repeat-masking, without becoming
 overwhelmed by repetitive hits. To find these variable-sized matches, it uses
 a suffix array (inspired by Vmatch). To achieve high sensitivity, it uses a
 discontiguous suffix array, analogous to spaced seeds.

Package: lastpass-cli
Description-md5: 09db552a7aaade4cdf8cb8ecddada1e6
Description-en: command line interface to LastPass.com
 This application is a command line interface to the LastPass.com services. It
 brings both better security and convenience by allowing you to access, add,
 modify, and delete entries in your online LastPass vault, all from the
 terminal. You can also generate passwords for every server you use and
 securely store those passwords directly in LastPass. LastPass Enterprise
 features are supported as well, including Shared Folders.
 .
 Users who prefer the command line can access their data directly with “lpass
 ls” then using “lpass show -c --password Sitename” to put the Sitename
 password on the copy buffer. You can utilize “lpass show” to store passwords
 used in scripts, rather than putting passwords in the scripts themselves.
 LastPass can also be used as you work within the command line to help you
 login to servers. We’ve included some example scripts below.
 .
 The new tool is beneficial for LastPass users who want to use the command
 line to login to other machines as they work. There are examples such as
 contrib/examples/change-ssh-password.sh which shows automated password
 changing on a server. You could run it automatically on a nightly basis,
 regularly changing the password on the server as a security measure.

Package: latd
Description-md5: e359686f95cf7048a9783c5ea0e28a1b
Description-en: LAT (Local Area Transport) Daemon
 This is a server for DEC LAT. It allows users to log in to the Linux
 system from a LAT terminal server and also the creation of "reverse" LAT
 ports on the system that connect to other LAT services on the network.
 .
 There is also an llogin utility that allows users to login to remote
 LAT services and a moprc utility for managing terminal servers remotely.

Package: late
Description-md5: 43c82ed1fa2bfc1520a44737243c1089
Description-en: simple game of capturing balls
 This is a simple and fun game, which involves using your mouse
 based guillotine to trap bouncing balls.
 .
 Trapping balls is achieved by firing your guillotine to split the
 playing area into little boxes, once enough of the screen has been
 covered you progress to the next level.

Package: late-data
Description-md5: cebfdabece5f726a4a1ae1845f60a844
Description-en: data files for late game
 late is a simple ball capturing game
 .
 This package contains sound and level data for the game.
 .
 You need the late package to use these data files

Package: latencytop
Description-md5: 7cc54bd3f215cbcc364144cfc6fde243
Description-en: A tool for developers to visualize system latencies
 LatencyTOP is a Linux tool for software developers (both kernel
 and userspace), aimed at identifying where in the system latency
 is happening, and what kind of operation/action is causing the
 latency to happen so that the code can be changed to avoid the
 worst latency hiccups.

Package: latex-cjk-all
Description-md5: 71fee6ce053b845b35ae5d2f2879314e
Description-en: installs all LaTeX CJK packages
 This metapackage will install all CJK packages.
 You may also wish to install each package separately instead.
 See the latex-cjk-common package for a detailed description.

Package: latex-cjk-chinese
Description-md5: 6424fac0b469c934f95668d8f6bcad8a
Description-en: Chinese module of LaTeX CJK
 CJK is a macro package for LaTeX.  This package gives you the possibility
 to include Chinese (traditional and simplified) text in your (La)TeX documents.
 .
 Install hbf-jfs56 if you want to use bitmap fonts in simplified Chinese.
 Install hbf-cns40-b5 if you want bitmap fonts in traditional Chinese.
 Install hbf-cns40-[1-7] if you want CEF bitmap fonts.  This is only needed
 if you need extremely rare and exotic Chinese characters.
 .
 Have a look at latex-cjk-common for a more detailed description.

Package: latex-cjk-chinese-arphic-bkai00mp
Description-md5: 0475ba349ebd2da712c05de85ba1a777
Description-en: AR PL KaitiM Big5 fonts for CJK
 This package installs all necessary fonts (PFB, AFM, TFM, etc.) to get
 the "AR PL KaitiM Big5" font working with the LaTeX macro "CJK" in local and
 UTF-8 environments.

Package: latex-cjk-chinese-arphic-bsmi00lp
Description-md5: e9bd4c53908e061d60f72dbab56f7895
Description-en: AR PL Mingti2L Big5 fonts for CJK
 This package installs all necessary fonts (PFB, AFM, TFM, etc.) to get
 the "AR PL Mingti2L Big5" font working with the LaTeX macro "CJK" in local and
 UTF-8 environments.

Package: latex-cjk-chinese-arphic-gbsn00lp
Description-md5: eb28d5b074784260dc43e4f2ab93c1d9
Description-en: AR PL SungtiL GB fonts for CJK
 This package installs all necessary fonts (PFB, AFM, TFM, etc.) to get
 the "AR PL SungtiL GB" font working with the LaTeX macro "CJK" in local and
 UTF-8 environments.

Package: latex-cjk-chinese-arphic-gkai00mp
Description-md5: b098f78ddce1643fe3ca426b9484a3f5
Description-en: AR PL KaitiM GB fonts for CJK
 This package installs all necessary fonts (PFB, AFM, TFM, etc.) to get
 the "AR PL KaitiM GB" font working with the LaTeX macro "CJK" in local and
 UTF-8 environments.

Package: latex-cjk-common
Description-md5: b5179ed77cc00b73b1aec12aa19f81fb
Description-en: LaTeX macro package for CJK (Chinese/Japanese/Korean)
 CJK is a macro package for LaTeX to enable typesetting in Chinese,
 Japanese, Korean and Thai, and it supports Vietnamese through the
 "vntex" macro.  And you can still use Russian, Greek and other
 languages in the same document.
 It supports various CJK encodings, like UTF-8, Big5, GB, JIS, KS,
 CNS (through CEF) and Emacs MULE.
 .
 This package will install the common files, as well as a few
 GNU/Emacs Lisp files, and it provides support for furigana
 ("ruby text") and PinYin, either with or without tone marks.

Package: latex-cjk-japanese
Description-md5: f982638f9793a0862f561939e7c15a71
Description-en: Japanese module of LaTeX CJK
 CJK is a macro package for LaTeX.  This package gives you the possibility
 to include Japanese text in your (La)TeX documents.  Install
 latex-cjk-japanese-wadalab for pretty printing.
 .
 Install hbf-kanji48 if you want to use bitmap fonts in your documents.
 .
 Have a look at latex-cjk-common for a more detailed description.

Package: latex-cjk-japanese-wadalab
Description-md5: 86e4ebeb940b8f8b7345c29f0b6f2244
Description-en: type1 and tfm DNP Japanese fonts for latex-cjk
 This package installs Type1 and TFM fonts from a DNP font called "Wadalab".
 You'll get three flavours: Mincho, Gothic and Maru.
 .
 DNP stands for "Dai Nippon Printing" (a big printing company in Japan).
 DNP fonts are commercial fonts made by this company; if real DNP fonts have
 been already installed at your site, you should use them.  But watanabe-dnp
 fonts (like Wadalab), which are customized from watanabe-jfonts to emulate
 DNP fonts, are freeware.  The method of emulation is symbolic name linking.
 .
 These Type1 fonts differ from those in the gsfonts-wadalab package, because
 CJK author Werner Lemberg has introduced some fixes.

Package: latex-cjk-korean
Description-md5: f81eae4d08a624dc9fab8e688aee61cb
Description-en: Korean module of LaTeX CJK
 CJK is a macro package for LaTeX.  This package gives you the possibility
 to include Korean text in your (La)TeX documents.

Package: latex-cjk-thai
Description-md5: 5115a76edf022f9e76af1b24a45af8fd
Description-en: Thai module of LaTeX CJK
 CJK is a macro package for LaTeX.  This package gives you the possibility
 to include Thai text in your (La)TeX documents.
 It uses the same Type1 fonts (Norasi and Garuda) from the ThaiLaTeX package.
 .
 Have a look at latex-cjk-common for a more detailed description.

Package: latex-coffee-stains
Description-md5: 12f3ce367542044265e29e210dce981d
Description-en: Add a coffee stain to your LaTeX documents
 Provides an essential feature that has been missing from LaTeX for
 far too long: coffee stains.  Much time can be saved by printing them
 directly thus avoiding the laborious manual coffee staining process.
 There are four different stain types to choose from.

Package: latex-fonts-arundina
Description-md5: e16eb029cc7c6df009e8fc70a23bc603
Description-en: Thai DejaVu-compatible fonts for LaTeX
 Arundina fonts for typesetting Thai documents with TeX/LaTeX.
 .
 The fonts are designed to be compatible with Bitstream Vera or DejaVu fonts.
 Serif, sans-serif and monospace type faces are included.

Package: latex-fonts-sipa-arundina
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: latex-make
Description-md5: 7199bbf66301ff0324de8adb05f4a0cb
Description-en: easy compiling of complex (and simple) LaTeX documents
 This package provides several tools that aim to simplify the
 compilation of LaTeX documents:
 .
 LaTeX.mk: a Makefile snippet to help compiling LaTeX documents in
 DVI, PDF, PS, ... format. Dependencies are automatically tracked: one
 should be able to compile documents with a one-line Makefile
 containing 'include LaTeX.mk'. Complex documents (with multiple
 bibliographies, indexes, glossaries, ...) should be correctly managed.
 .
 figlatex.sty: a LaTeX package to easily insert xfig figures (with
 \includegraphics{file.fig}). It can interact with LaTeX.mk so that the
 latter automatically invokes fig2dev if needed.
 .
 And various helper tools for LaTeX.mk

Package: latex-mk
Description-md5: 624e3ff7e9ecf8f40c5689c1603715dc
Description-en: tool for managing LaTeX projects
 LaTeX-Mk is a collection of Makefile fragments and shell scripts for
 managing small to large sized LaTeX projects. The typical LaTeX-Mk
 input file is simply a series of variable definitions in a Makefile
 for the project. After creating a simple Makefile the user can easily
 perform all required steps to do such tasks as: preview the document,
 print the document, or produce a PDF file. LaTeX-Mk will keep track
 of files that have changed and how to run the various programs that
 are needed to produce the output.

Package: latex209-base
Description-md5: 82434a6a21a2bc1068dfff251c7dafe8
Description-en: macro files of LaTeX 2.09 25-mar-1992 version
 LaTeX 2.09 is obsolete. Use LaTeX 2e.
 This package is for those who want to use old style files.

Package: latex209-bin
Description-md5: f8cf5b79a22a988d3d58482a47398fba
Description-en: latex209 command for LaTeX 2.09 25-mar-1992 version
 LaTeX 2.09 is obsolete. Use LaTeX 2e.
 This package is for those who want to use old style files.

Package: latex209-src
Description-md5: bdcc6bbc41ef6ca1526bb624db4b49c3
Description-en: source files of macros of LaTeX 2.09 25-mar-1992 version
 LaTeX 2.09 is obsolete. Use LaTeX 2e.
 This package is for those who want to use old style files.
 You don't need to install this package to use latex209.

Package: latex2html
Description-md5: c54cf0081b5e186a36ce7c53ee9032c9
Description-en: LaTeX to HTML translator
 LaTeX2HTML is a conversion tool that converts documents written
 in LaTeX to HTML format. In addition, it offers an easy migration
 path towards authoring complex hypermedia documents using familiar
 word-processing concepts.
 .
 LaTeX2HTML replicates the basic structure of a LaTeX document as a
 set of interconnected HTML files which can be explored using
 automatically generated navigation panels. The cross-references,
 citations, footnotes, the table of contents and the lists of figures
 and tables, are also translated into hypertext links. Formatting
 information which has equivalent ``tags'' in HTML (lists, quotes,
 paragraph breaks, type styles, etc.) is also converted
 appropriately. The remaining heavily formatted items such as
 mathematical equations, pictures or tables are converted to images
 which are placed automatically at the correct positions in the final
 HTML document.
 .
 LaTeX2HTML extends LaTeX by supporting arbitrary hypertext links and
 symbolic cross-references between evolving remote documents. It also
 allows the specification of conditional text and the inclusion of raw
 HTML commands. These hypermedia extensions to LaTeX are available as
 new commands and environments from within a LaTeX document.
 .
 Pstoimg, the part of latex2html that produces bitmap images from the
 LaTeX source, can support both GIF and PNG format.

Package: latex2rtf
Description-md5: 467ff4740d13bd557f69634f76ec7779
Description-en: Converts documents from LaTeX to RTF format
 Attempts to convert as much formatting information as possible from
 LaTeX to Microsoft's Rich Text Format (RTF).  While RTF has limited
 support for mathematical markup, it is widely supported as a "least
 common denominator" word processing format.
 .
 The detailed documentation is in the latex2rtf-doc package.

Package: latex2rtf-doc
Description-md5: 39825665880a610fae12bf335ecdf1b2
Description-en: Converts documents from LaTeX to RTF - documentation
 Attempts to convert as much formatting information as possible from
 LaTeX to Microsoft's Rich Text Format (RTF).  While RTF has limited
 support for mathematical markup, it is widely supported as a "least
 common denominator" word processing format.
 .
 This package includes the documentation for LaTeX2RTF in HTML, Info
 and PDF formats.

Package: latexdiff
Description-md5: 0b17f40d31d726061b988c28ec150eae
Description-en: utility to mark up significant differences between LaTeX files
 latexdiff compares two LaTeX files and marks up significant differences
 between them (i.e. a diff for LaTeX files). It generates a new LaTeX file
 containing the annotated differences.
 .
 Various options are available for visual markup using standard LaTeX packages
 such as 'color.sty'. Changes not directly affecting visible text, for example
 in formatting commands, are still marked in the LaTeX source.
 .
 A rudimentary revision facilility is provided by another Perl script,
 'latexrevise', which accepts or rejects all changes. Manual editing of the
 difference file can be used to override this default behaviour and accept or
 reject selected changes only.

Package: latexdraw
Description-md5: e6d6f64e345041fe36a2ab96e5264f72
Description-en: vector drawing program for LaTeX using PSTricks
 LaTeXDraw is a free PSTricks code generator or PSTricks editor for LaTeX.
 It has the usual drawing tools (lines, rectangles, circles, Bezier curves)
 and can resize, rotate, move and join objects using vector transformations.
 LaTeXDraw uses SVG as its file format and figures can be exported as PSTricks
 code, pdf, eps, jpg, bmp, png, ppm.
 .
 PSTricks is an extension of LaTeX which allows the creation of drawings,
 diagrams and graphs in 2D or 3D.

Package: latexila
Description-md5: 43d7ac6797fa639a879535c130796fad
Description-en: LaTeX editor designed for the GNOME desktop
 LaTeXila is a LaTeX editor for GNOME. It integrates the various tools required
 for processing LaTeX documents. It provides menus, buttons and templates to
 assist with the edition and the compilation of documents.

Package: latexila-data
Description-md5: 889ed78a79a1499f3742227fe723c249
Description-en: LaTeXila editor -- arch-independent files
 LaTeXila is a LaTeX editor for GNOME. It integrates the various tools required
 for processing LaTeX documents. It provides menus, buttons and templates to
 assist with the edition and the compilation of documents.
 .
 This package contains documentation and arch-independent files for LaTeXila

Package: latexmk
Description-md5: 244ba633135dd41fb8ddb5294c2872c0
Description-en: Perl script for running LaTeX the correct number of times
 Latexmk runs LaTeX the correct number of times to resolve cross references,
 etc; it also runs auxiliary programs (bibtex, makeindex if necessary, and
 dvips and/or a previewer as requested). It has a number of other useful
 capabilities, for example to start a previewer and then run latex whenever the
 source files are updated, so that the previewer gives an up-to-date view of
 the document.

Package: latexml
Description-md5: 6aa9b63d094f4f4e494cb26e60355154
Description-en: LaTeX to XML Converter
 latexml is a program, written in Perl, that attempts to faithfully mimic
 TeX's behaviour, but produces XML instead of dvi. The document model of
 the target XML makes explicit the model implied by LaTeX.
 .
 The processing and model are both extensible; you can define the mapping
 between TeX constructs and the XML fragments to be created. A postprocessor,
 latexmlpost converts this XML into other formats such as HTML or XHTML,
 with options to convert the math into MathML (currently only presentation)
 or images.

Package: latrace
Description-md5: 8d608cbe9fabf2debe6b369a6ba98e84
Description-en: traces library calls in dynamically linked programs
 latrace (similar to ltrace) displays dynamic library calls of a program
 using the LD_AUDIT feature of newer glibc versions.  It is also capable to
 measure and display various statistics of dynamic calls.  If a config file
 is provided, latrace will display function arguments with detailed output
 for structures.

Package: latte-dock
Description-md5: 7d0394ebcc3a1eb83830aba9b73842f2
Description-en: Dock based on plasma frameworks
 Latte is a dock based on plasma frameworks that provides an elegant and
 intuitive experience for your tasks and plasmoids. It animates it's
 contents by using parabolic zoom effect and tries to be there only when
 it is needed.

Package: lavacli
Description-md5: b677c671271a1647f2601dd4751d8a17
Description-en: LAVA XML-RPC command line interface
 LAVA is a continuous integration system for deploying operating
 systems onto physical and virtual hardware for running tests.
 Tests can be simple boot testing, bootloader testing and system
 level testing, although extra hardware may be required for some
 system tests. Results are tracked over time and data can be
 exported for further analysis.
 .
 This package provides a user space command line interface to
 any LAVA (Linaro Automated Validation Architecture) instance for
 submitting test jobs or querying the instance for device and job
 status over XML-RPC. A user account on the instance is needed to
 create and use authentication tokens for some calls. The list of
 calls supported is described on the API section of the LAVA
 instance.

Package: lazarus
Description-md5: 63a9081bae2cfd279084d3e379894588
Description-en: IDE for Free Pascal - SDK dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the metapackage pulling in all the packages needed to have a typical
 Lazarus installation.

Package: lazarus-2.0
Description-md5: eab70494f2b90d8292f6320dd8ffe05b
Description-en: IDE for Free Pascal - suite
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This metapackage pulls in all the packages needed to have a typical
 Lazarus installation.

Package: lazarus-doc
Description-md5: b9dee34a1c67a3034684e25b7c6237e8
Description-en: IDE for Free Pascal - documentation dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the package pulling in the documentation for the Lazarus IDE and components.
 It can be viewed separately with any HTML browser or accessed from the IDE's
 integrated help (pressing <F1> on any LCL identifier will browse the help for
 that identifier).

Package: lazarus-doc-2.0
Description-md5: e546d69af43c24bb169145380b556944
Description-en: IDE for Free Pascal - documentation
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains documentation for the Lazarus IDE and components.
 It can be viewed separately with any HTML browser or accessed from the IDE's
 integrated help (pressing <F1> on any LCL identifier will browse the help of
 that identifier).

Package: lazarus-ide
Description-md5: 4fc61ba1769b870f20efeaf94b44d59a
Description-en: IDE for Free Pascal - dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always pulls in the latest available version of one of
 the flavors of the Lazarus IDE.

Package: lazarus-ide-2.0
Description-md5: 04866f25221b59cf05889cb6ac0bee5f
Description-en: IDE for Free Pascal - common IDE files
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains the common files required to run Lazarus IDE.

Package: lazarus-ide-gtk2
Description-md5: 1a53a046b597ada876fb6930530658ad
Description-en: IDE for Free Pascal - Last GTK+ version dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the package containing the GTK+ version of the Lazarus IDE.

Package: lazarus-ide-gtk2-2.0
Description-md5: bd11236c0f0ee57747407838e1a041be
Description-en: IDE for Free Pascal - GTK+ version
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains the GTK+ version of the Lazarus IDE.

Package: lazarus-ide-qt5
Description-md5: eee266d7a7f87f073dcd126e3e9fe1a1
Description-en: IDE for Free Pascal - Last Qt version dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the placeholder package for the Qt version of the Lazarus IDE, which
 currently just depends on the GTK+ version.

Package: lazarus-ide-qt5-2.0
Description-md5: 31c630f0cf351151920a8bf03005d9ad
Description-en: IDE for Free Pascal - Qt version
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains the Qt version of the Lazarus IDE.

Package: lazarus-src
Description-md5: 66bfe9ca701b51d3a01db34d9f65357b
Description-en: IDE for Free Pascal - LCL source code dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the package containing the IDE code necessary for the IDE code tool to work
 properly (retrieving declarations and navigating in code).

Package: lazarus-src-2.0
Description-md5: 3c60b74bcc494e73a73fe341396d14c5
Description-en: IDE for Free Pascal - LCL source code
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains the latest version of the source code necessary for
 the IDE code tool to work properly (retrieving declarations and navigating
 in code).

Package: lazygal
Description-md5: 5d08c026d834afe8e4fa027cba0fa3d7
Description-en: static web gallery generator
 Lazygal is another static web gallery generator written in Python. It is
 command line based, uses reusable engine and is lazy - it regenerates
 only parts that have to be regenerated.
 .
 There is support for many interesting features like subgalleries, EXIF
 information, theming and custom folder meta data. Included themes are
 pure XHTML + CSS.

Package: lbcd
Description-md5: 8ba9cd55d91a5e832c03b1e3b1e1bcf3
Description-en: Return system load via UDP for remote load balancers
 lbcd is a daemon that answers UDP queries for system load information and
 returns such information as uptime, load, number of logged-in users,
 percentage free of /tmp and /var/tmp, and whether there is a user on the
 console.  It is intended for use with a load balancing system, and is
 particularly useful for such things as UNIX clusters for remote login
 where a traditional hardware load balancing solution doesn't work as well.
 .
 No load balancing system is included in this package, only the client
 daemon and a simple Perl script to query it.  No security or access
 control is done by the daemon, so access control must be done via
 iptables, a firewall, or an equivalent system.

Package: lbreakout2
Description-md5: 54c63d99ca78e370f4535c31016174d9
Description-en: ball-and-paddle game with nice graphics
 lbreakout2 is a game similar to the classics breakout and xboing, featuring
 a number of added graphical enhancements and effects.  You control a paddle
 at the bottom of the playing-field, and must destroy bricks at the top
 by bouncing balls against them.
 .
 lbreakout2 is a complete rewrite of the game "lbreakout".  Users of
 lbreakout probably want to install this.

Package: lbreakout2-data
Description-md5: 0d79896cb5f9710a2a9c86f872175105
Description-en: ball-and-paddle game with nice graphics (DATA FILES)
 lbreakout2 is a game similar to the classics breakout and xboing, featuring
 a number of added graphical enhancements and effects.  You control a paddle
 at the bottom of the playing-field, and must destroy bricks at the top
 by bouncing balls against them.
 .
 This package contains the data files for lbreakout2.

Package: lbt
Description-md5: 508d9fd1936ff8ef3180b19bcae38fd9
Description-en: converts from LTL formulas to Büchi automata
 This software converts a linear temporal logic (ltl) formula to a
 generalised Büchi automaton. The resulting automaton may be used, for
 instance, in model checking, where it represents a property to be
 verified from a model (e.g. a Petri net).

Package: lbzip2
Description-md5: fc16c4225837402464b76848e5b051f9
Description-en: fast, multi-threaded bzip2 utility
 lbzip2 is an independent, multi-threaded implementation of bzip2. It is
 commonly the fastest SMP (and uniprocessor) bzip2 compressor and decompressor.
 .
 lbzip2 integrates nicely with GNU tar.

Package: lcab
Description-md5: 0f7ec256bcaad6f9f9dc296d8b07a276
Description-en: create cabinet (.cab) archives
 lcab is a small program for Linux that creates a cabinet (.cab)
 archive from a set of input files.
 .
 CAB format represents the Microsoft Windows compressed archive
 format. It is used for compression and digital signing by a variety
 of Microsoft installation programs

Package: lcalc
Description-md5: fd4ca25641eecd55cac218c2c32d68b1
Description-en: program for calculating with L-functions
 lcalc is a program for computing zeros and values of L-functions.
 Supported L-functions include the Riemann zeta function, the
 L-function of the Ramanujan delta function, and L-functions
 of elliptic curves defined over the rationals.

Package: lcas-interface
Description-md5: 5cfa7214423fbec6915e8ec79c8ea936
Description-en: Local Centre Authorization Service API
 LCAS makes binary ('yes' or 'no') authorization decisions at the site
 and resource level. In making this decision, it can use a variety of
 inputs: the 'grid' name of the user (the Subject Distinguished Name),
 any VO attributes the user has (like VOMS FQANs), the name of the
 executable the user intends to execute. It supports basic black and
 white list functionality, but also more complex VOMS-based
 expressions, based on the GACL language.
 .
 This package contains the interface, needed to build plug-ins for
 LCAS.

Package: lcas-lcmaps-gt4-interface
Description-md5: 4f2bcfd1bdf929f3db451b9ba66625db
Description-en: Mapping interface between Globus Toolkit and LCAS/LCMAPS
 This interface extends the basic map-file based mapping capabilities of the
 Globus Toolkit to use the full LCAS/LCMAPS pluggable framework, which includes
 pool accounts and VOMS attribute based decisions and mappings.

Package: lcd4linux
Description-md5: 6635a666719017d9626f59b1d0e09a78
Description-en: Grabs information and displays it on an external lcd
 Small program that grabs information from the kernel and some subsystems
 and displays it on an external liquid crystal display.
 .
 See http://ssl.bulix.org/projects/lcd4linux/ for Documentation

Package: lcdf-typetools
Description-md5: 1277d850e4ffbc43a3bfcdc5eb2f304a
Description-en: tools for OpenType, multiple-master, and Type 1 fonts
 LCDF Typetools comprises several programs
 for manipulating PostScript Type 1, Type 1 multiple master,
 and OpenType fonts.
 .
 Programs to manipulate OpenType, multiple-master, and Type 1 fonts:
 .
  * cfftot1
    allows you to translate Compact Font Format (CFF)
    or PostScript-flavored OpenType fonts
    into PostScript Type 1 font format.
  * otfinfo
    reports information about OpenType fonts,
    such as the features they support.
    and the contents of their "size" optical size option
  * otftotfm
    allows you to create TeX font metrics and encodings
    for using PostScript-flavored OpenType fonts.
    NB! This tool requires the additional package texlive-binaries.
  * t1dotlessj
    creates a Type 1 font with a single character --
    the dotless j corresponding to the specified design.
  * t1lint
    checks a Type 1 font for correctness
    (preliminary).
  * t1rawafm
    generates a "raw" (kernless and ligatureless) AFM file
    given a font file (PFB/PFA).
  * t1reencode
    changes a PostScript Type 1 font embedded encoding.
  * t1testpage
    creates a PostScript test page for a specified font file
    (preliminary).
  * ttftotype42
    converts TrueType or TrueType-flavored OpenType font programs
    into PostScript Type 42 format.
 .
 LCDF-TypeTools also includes the multiple-master font tools
 allowing you to use multiple-master fonts
 with programs that require single-master fonts
 (afm2tfm, ps2pk, fontinst, etc.).
 Both programs work fine with fonts
 that contain intermediate masters
 (e.g., Adobe Jenson MM and Adobe Kepler MM).
 .
  * mmafm
    creates an AFM (Adobe font metric) file
    corresponding to a single instance of a multiple-master font.
    It reads (and therefore requires) the AMFM and AFM files
    distributed with the font.
  * mmpfb
    creates a normal, single-master font program
    that looks like an instance of a multiple-master font.
    It reads the multiple-master font program in PFA or PFB format.
 .
 LCDF stands for Little Cambridgeport Design Factory.

Package: lcdproc
Description-md5: 8b0e0cc1a78885d0de12e08625a86d7b
Description-en: LCD display driver daemon and clients
 Lcdproc is a client/server suite including drivers for all kinds of
 nifty LCD displays. The server supports several serial devices: Matrix
 Orbital, Crystal Fontz, Bayrad, LB216, LCDM001 (kernelconcepts.de),
 Wirz-SLI and PIC-an-LCD; and some devices connected to the LPT port:
 HD44780, STV5730, T6963, SED1520 and SED1330.
 .
 Various clients are available that display things like CPU load, system
 load, memory usage, uptime, and a lot more. Custom clients can be
 written using the simple client-server protocol and provided example
 code.
 .
 This package contains the LCD drivers which need no extra libraries to
 run. In the lcdproc-extra-drivers package some USB and VGA on-screen
 displays are also supported.

Package: lcdproc-extra-drivers
Description-md5: 22c6bf05591856478eb1251c19249104
Description-en: extra drivers for the LCD display driver daemon
 Lcdproc is a client/server suite including drivers for all kinds of
 nifty LCD displays. The server supports several serial devices: Matrix
 Orbital, Crystal Fontz, Bayrad, LB216, LCDM001 (kernelconcepts.de),
 Wirz-SLI and PIC-an-LCD; and some devices connected to the LPT port:
 HD44780, STV5730, T6963, SED1520 and SED1330. As of 0.4.4 some USB
 displays are also supported by the drivers CFonz633, CwLnx, and USBLCD.
 .
 This package contains the LCD drivers which need extra libraries to
 run such as USB, LIRC, SVGA, and the X Window System.

Package: lcl
Description-md5: 9fa9d19dabee20559c8407a4dc0f8063
Description-en: Lazarus Components Library - LCL dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the metapackage installing the full LCL and components.

Package: lcl-2.0
Description-md5: ed553dce946b2c8cea18660e7a5a026b
Description-en: Lazarus Components Library - LCL suite
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This metapackage installs the full LCL and components.

Package: lcl-gtk2
Description-md5: 70d057e297ac15ed834b6ec23bba1de3
Description-en: Lazarus Components Library - GTK+ backend dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the package containing the part of the LCL for developing GTK+
 applications.

Package: lcl-gtk2-2.0
Description-md5: b31cd4edb187bdbfa0a8bd82bb8cf39b
Description-en: Lazarus Components Library - GTK+ backend
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains the part of the LCL for developing GTK+ applications.

Package: lcl-nogui
Description-md5: 802731e5bbe0fabc4c235a52c0557361
Description-en: Lazarus Components Library - no GUI backend dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the package containing the part of the LCL for developing non-graphical
 applications and command line tools.

Package: lcl-nogui-2.0
Description-md5: 136aef8bf11add299dc57b015daa3159
Description-en: Lazarus Components Library - no GUI backend
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains the part of the LCL for developing non-graphical
 applications and command line tools.

Package: lcl-qt5
Description-md5: 45bbed94f357172a0466ba087d994d6a
Description-en: Lazarus Components Library - Qt backend dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the package containing the part of the LCL for developing Qt
 applications.

Package: lcl-qt5-2.0
Description-md5: 501da21b7f0739beccee0035cd640f21
Description-en: Lazarus Components Library - Qt backend
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains the part of the LCL for developing Qt applications.

Package: lcl-units
Description-md5: fdd6c1796157b68ff72aa661f317c613
Description-en: Lazarus Components Library - backend independent components dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the package containing common components.

Package: lcl-units-2.0
Description-md5: d36c62f9221b269486b6fe951606d11f
Description-en: Lazarus Components Library - backend independent components
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains common components of the LCL.

Package: lcl-utils
Description-md5: 3fb88ca72fab0f5a98d9da0d5b30ca66
Description-en: Lazarus Components Library - command line build tools dependency package
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This dependency package always depends on the latest available version of
 the package pulling in the command line tools to ease building LCL
 applications.

Package: lcl-utils-2.0
Description-md5: c9b936c149c8b0d15267201698364a3c
Description-en: Lazarus Components Library - command line build tools
 Lazarus is an IDE to create (graphical and console) applications with Free
 Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on
 Windows, Linux, Mac OS X, FreeBSD and more.
 .
 Lazarus is the missing part of the puzzle that will allow you to develop
 programs for all of the above platforms in a Delphi-like environment.
 The IDE is a RAD tool that includes a form designer.
 .
 Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal
 strive for "write once, compile anywhere". Since the exact same compiler
 is available on all of the above platforms you don't need to do any recoding
 to produce identical products for different platforms.
 .
 In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus
 Component Library (LCL).
 .
 This package contains command line tools to ease building LCL based
 applications.

Package: lcmaps-basic-interface
Description-md5: 59f4118717e69463c20cbcfa548b462c
Description-en: LCMAPS header files for basic interfaces
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 It is a highly configurable pluggable interface, and many plugins are
 available to tailor almost every need. Since this is middleware, it
 does not interact with the user directly; to use it in a program please
 see the lcmaps-interface package.
 .
 This package contains the header files for the basic interfaces.

Package: lcmaps-globus-interface
Description-md5: 891463d48aa75b50e9720abf7c473d89
Description-en: LCMAPS header files for Globus interfaces
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 It is a highly configurable pluggable interface, and many plugins are
 available to tailor almost every need. Since this is middleware, it
 does not interact with the user directly; to use it in a program please
 see the lcmaps-interface package.
 .
 This package contains the header files for the globus dependent interfaces.

Package: lcmaps-openssl-interface
Description-md5: cfbca393c88ca4dcd7c0944702e40a3b
Description-en: LCMAPS header files for OpenSSL interfaces
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 It is a highly configurable pluggable interface, and many plugins are
 available to tailor almost every need. Since this is middleware, it
 does not interact with the user directly; to use it in a program please
 see the lcmaps-interface package.
 .
 This package contains the header files for the OpenSSL dependent interfaces.

Package: lcmaps-plugins-basic-bandn
Description-md5: 05b239ea994693297d75ceebe0dcf48d
Description-en: LCMAPS plugin to ban a user based on the Subject DN
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials and maps the user
 to a local account based on the site local policy.
 .
 Almost all of the functionality is implemented by plug-ins.
 .
 This package contains the ban_dn plug-in for banning users based on
 Distinguished Names (DNs).

Package: lcmaps-plugins-basic-dummy
Description-md5: 07a1c55a50fcc6ddf242f51d5e04e1a7
Description-en: Dummy LCMAPS plug-ins
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials and maps the user
 to a local account based on the site local policy.
 .
 Almost all of the functionality is implemented by plug-ins.
 .
 This package contains the two dummy plug-ins for static good and bad
 decisions.

Package: lcmaps-plugins-basic-ldap
Description-md5: 15b4de23432450c9d0326c913e5b71a6
Description-en: LCMAPS plug-in to update ldap according to credentials
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials and maps the user
 to a local account based on the site local policy.
 .
 Almost all of the functionality is implemented by plug-ins.
 .
 This package contains the LDAP plug-in. It will alter the user and
 group settings in the LDAP database, using the user and groups settings
 provided by the credential acquisition plugins.

Package: lcmaps-plugins-basic-localaccount
Description-md5: c1aa74e82388de83c98eaf30dc196a7f
Description-en: Localaccount LCMAPS plug-in
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials and maps the user
 to a local account based on the site local policy.
 .
 Almost all of the functionality is implemented by plug-ins.
 .
 This package contains the localaccount plugin for LCMAPS.

Package: lcmaps-plugins-basic-poolaccount
Description-md5: 0fe5ccb5731c4177a8ebe85c31b49105
Description-en: Poolaccount LCMAPS plug-in
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials and maps the user
 to a local account based on the site local policy.
 .
 Almost all of the functionality is implemented by plug-ins.
 .
 This package contains the poolaccount plugin for LCMAPS.

Package: lcmaps-plugins-basic-posixenf
Description-md5: 1ef4dad05510be850dfdeb3a0ea283a4
Description-en: LCMAPS plug-in to switch user identity
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials and maps the user
 to a local account based on the site local policy.
 .
 Almost all of the functionality is implemented by plug-ins.
 .
 This package contains the posix_enf plugin for LCMAPS. It will enforce
 (apply) the credentials that are gathered by other plug-ins.

Package: lcmaps-plugins-jobrep
Description-md5: c50c1ab9cce20eaae863602558b369d9
Description-en: Jobrepository plugin for the LCMAPS authorization framework
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 This package contains the LCMAPS jobrepository plug-in, which stores
 credentials and the resulting account mappings into a relational
 database. This plugin will link up all the known in-process information
 from LCMAPS core memory and store it in a database. This plug-in uses
 ODBC (http://en.wikipedia.org/wiki/ODBC) to connect to the database.
 .
 The current state of the mappings between various credentials and
 Unix accounts is stored in an open database on disk, but this
 information can change over time through (regular) system
 administrative interventions. This state is now preserved in a
 relational database with the added benefit of being accessible by
 other systems, e.g. GridSAFE and build-up an easy to backup historic
 view on the mapping state.

Package: lcmaps-plugins-jobrep-admin
Description-md5: 8398461d43c5e84301f15d10f8233adf
Description-en: Jobrepository database setup tools
 The job repository logs and tracks what is happening in a grid
 computing farm, by collecting information about users and jobs from
 the LCMAPS framework. It consists of different parts, such as a
 database, a job repository LCMAPS module and the job repository API.
 .
 This package contains the script to initialize the MySQL database
 with the correct structure.

Package: lcmaps-plugins-verify-proxy
Description-md5: 258f0d753268ba2a6be4473c982d505a
Description-en: Proxy verification plugin for LCMAPS
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 This package contains the Verify Proxy plugin.

Package: lcmaps-plugins-voms
Description-md5: fb70e9a765cda39d5c73f2d45bd3ace7
Description-en: VOMS plugins for the LCMAPS authorization framework
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 This package contains the VOMS plugins.

Package: lcov
Description-md5: 570ed45e66b332fb729dfa76f03dff58
Description-en: Summarise Code coverage information from GCOV
 LCOV is an extension of GCOV, a GNU tool which provides information about
 what parts of a program are actually executed (i.e. "covered") while running
 a particular test case. The extension consists of a set of Perl scripts
 which build on the textual GCOV output to implement the following enhanced
 functionality:
 .
  - HTML based output: coverage rates are additionally indicated using bar
    graphs and specific colors.
  - Support for large projects: overview pages allow quick browsing of
    coverage data by providing three levels of detail: directory view,
    file view and source code view.

Package: ld10k1
Description-md5: 710801fd69992f981169e47e6047ffe9
Description-en: ALSA emu10k1/2 patch loader
 A patch loader for use with the emu10k1 ALSA driver.  Supports Sound
 Blaster Live!, Audigy and Audigy 2. It includes:
  ld10k1 - server to store the driver state
  lo10k1 - control tool for the server
  dl10k1 - dump loader

Package: ldap-account-manager
Description-md5: 7288123da56223c2675190e0bd7b74b3
Description-en: webfrontend for managing accounts in an LDAP directory
 LDAP Account Manager (LAM) runs on an existing webserver.
 It manages user, group and host accounts. Currently LAM
 supports these account types: Samba 3/4, Unix, Kolab 2/3,
 address book entries, NIS mail aliases and MAC addresses.
 There is an integrated LDAP browser to allow access to the
 raw LDAP attributes. You can use templates
 for account creation and use multiple configuration profiles.
 Account information can be exported as PDF file. There is also
 a script included which manages quotas and homedirectories.

Package: ldap-account-manager-lamdaemon
Description-md5: 313731839b9e4fcf79b37cebc894f0c1
Description-en: Quota and home directory management for LDAP Account Manager
 Lamdaemon is part of LDAP Account Manager. This package
 needs to be installed on the server where the home directories
 reside and/or quotas should be managed.

Package: ldap-auth-client
Description-md5: 50269fd0d6d0f7be7b9b925af000b0dd
Description-en: meta-package for LDAP authentication
 This is the LDAP authentication meta package.  It depends on other packages
 necessary for a Linux system to authenticate to a LDAP server.

Package: ldap-auth-config
Description-md5: 890b066be5bf7e92c9cb54c68d4f89c7
Description-en: Config package for LDAP authentication
 This is the LDAP authentication config package.  It depends on the meta
 package  necessary for a Linux system to authenticate to a LDAP server.

Package: ldap-git-backup
Description-md5: 6f9d8dcc8cfd45136241fc0d63e71fe3
Description-en: Back up LDAP database in an Git repository
 ldap-git-backup (creates and) updates a Git repository which contains the
 current LDIF dump of an LDAP directory.  Given that writes are rare in an LDAP
 directory and confined to a few entries for each write Git will store the
 entire history of an LDAP directory in a space efficient way.
 .
 By default the backups are done with slapcat from OpenLDAP but can be done
 with any command that dumps the current contents of an LDAP directory in LDIF
 format.

Package: ldap2dns
Description-md5: e5935d11adb3f1cc6f5c44415284a117
Description-en: LDAP based DNS management system
 ldap2dns is a program to create DNS (Domain Name Service) records directly
 from a LDAP directory. It can and should be used to replace the secondary
 name-server by a second primary one. ldap2dns reduces all kind of
 administration overhead: No more flat file editing, no more zone file
 editing. After having installed ldap2dns, the administrator only has to
 access the LDAP directory.
 .
 ldap2dns is designed to write ASCII data files used by tinydns from the
 djbdns package, but also may be used to write .db-files used by named as
 found in the BIND package.

Package: ldap2zone
Description-md5: d50c04cde0e2246050329bcf3ab97746
Description-en: Extract DNS zones from LDAP trees
 This is a tool that reads info for a zone from LDAP and constructs
 a standard plain ascii zone file. The LDAP information has to be
 stored using the dnszone schema.

Package: ldapscripts
Description-md5: 87f77fe2aeb19497774b4634ad9a4c6e
Description-en: Add and remove users and groups (stored in a LDAP directory)
 Ldapscripts are shell scripts that allow management of POSIX accounts (users,
 groups, machines) in a LDAP directory. They are similar to smbldap-tools
 but are written in shellscript, not Perl.
 .
 They only require OpenLDAP client commands (ldapadd, ldapdelete, ldapsearch,
 ldapmodify, ldappasswd) and make administrator's work a lot easier avoiding
 the need to configure Perl and each library dependency (e.g. Net::LDAP).
 .
 These scripts are very simple to configure by not requiring any Samba-related
 information (SID, profiles, homes, etc): management of Samba attributes is
 entirely done by standard commands (net, smbpasswd and pdbedit) used together
 with the scripts. Moreover, most of the configuration is guessed from the
 one of nslcd, and everything should work out from the box for most users.
 .
 The scripts may be used independently - within command lines - or
 automatically by Samba (like smbldap-tools), to handle POSIX information
 within accounts before adding Samba information.

Package: ldapvi
Description-md5: 3c8b1e5e24992603b5391109c5171480
Description-en: perform an LDAP search and update results using a text editor
 From a first glance ldapvi looks like ldapsearch: You search for entries in
 the ldap database. But the results get opened in your preferred editor, and
 you can change, add or delete entries from there. After you are done you quit
 the editor and ldapvi offers you several options: View your changes as LDIF,
 commit changes or discard them.

Package: ldb-tools
Description-md5: 27e8c15a8859e3368f9f6a0853f05226
Description-en: LDAP-like embedded database - tools
 ldb is a LDAP-like embedded database built on top of TDB.
 .
 What ldb does is provide a fast database with an LDAP-like API designed
 to be used within an application. In some ways it can be seen as a
 intermediate solution between key-value pair databases and a real LDAP
 database.
 .
 This package contains bundled test and utility binaries

Package: ldc
Description-md5: 5948e50786abb334aa1df283d854a913
Description-en: LLVM D Compiler
 LDC is a portable compiler for the D programming language with modern
 optimization and code generation capabilities.
 .
 It uses the official DMD compiler frontend to support the latest version
 of D, and relies on the LLVM Core libraries for code generation.

Package: ldh-gui-suite
Description-md5: ee2c71f2b492cdf8006e187567158c48
Description-en: graphical clients for Liberty Deckplan Host services
 LDH GUI Suite provides access to Liberty Deckplan Host (LDH) services
 using GNOME Web for application wrappers.
 .
 The suite provides application wrappers for the following clients:
  * Social - client for the social networking service Smilodon
  * Hub - configuration interface for the Liberty Deckplan Host
 .
 Smilodon is a self-hosted and federated social networking service
 <https://source.puri.sm/liberty/smilodon>,
 similar to (and created as a fork of) Mastodon.
 .
 A Liberty Deckplan Host (LDH) is a single domain
 implementing the concrete configuration plan
 defined at <https://source.puri.sm/liberty/services>.

Package: ldirectord
Description-md5: d72d6b56a28652bb82109ce53fb17aad
Description-en: Monitors virtual services provided by LVS
 ldirectord is a stand-alone daemon to monitor real servers behind
 virtual services provided by The Linux Virtual Server (LVS).

Package: ldm
Description-md5: ea42de5b1b58281bf77ec88afc3ce73b
Description-en: LTSP display manager
 ldm is an X11 display manager similar to xdm, gdm and kdm, but unlike
 those it wraps the X11 traffic within an SSH tunnel to provide a
 secure login mechanism for remote X sessions.
 .
 LTSP stands for 'Linux Terminal Server Project'.

Package: ldm-edubuntu-theme
Description-md5: bbeaae794e52747380ae4f32bfedab7f
Description-en: Edubuntu theme for the LTSP Display Manager
 LDM is an ssh based X11 display manager, this package contains
 the Edubuntu theme for LDM.

Package: ldm-kubuntu-theme
Description-md5: e8e846f75398dfddc904f639de5e8c0f
Description-en: Kubuntu theme for the LTSP Display Manager
 LDM is an ssh based X11 display manager, this package contains
 the kubuntu theme for LDM.

Package: ldm-lubuntu-theme
Description-md5: f6bb668068f1dc4b01aa72973cdd5ccf
Description-en: Lubuntu theme for the LTSP Display Manager
 LDM is an ssh based X11 display manager, this package contains
  the Lubuntu theme for LDM.

Package: ldm-server
Description-md5: 83333381bb32c5d4cb09d85c656d4009
Description-en: server components for LTSP display manager
 ldm is an X11 display manager similar to xdm, gdm and kdm, but unlike
 those it wraps the X11 traffic within an SSH tunnel to provide a
 secure login mechanism for remote X sessions.
 .
 This package includes the server components used by ldm to discover
 available sessions and locales on the server. It also recommends
 packages relevant for local device access, a login session, and remote
 sound.
 .
 LTSP stands for 'Linux Terminal Server Project'.

Package: ldm-ubuntu-theme
Description-md5: 2facb1227f5099161294dac67bad23bb
Description-en: Ubuntu theme for the LTSP Display Manager
 LDM is an ssh based X11 display manager, this package contains
 the Ubuntu theme for LDM.

Package: ldm-ubuntu-themes
Description-md5: c0a80e462d32e21a02c8877a542a0547
Description-en: Themes for the LTSP Display Manager (transitional package)
 This is a transitional meta-package. You can safely remove it after upgrading.

Package: ldm-xubuntu-theme
Description-md5: 2db511374eee524d06977fea460141d9
Description-en: Xubuntu theme for the LTSP Display Manager
 LDM is an ssh based X11 display manager, this package contains
 the Xubuntu theme for LDM.

Package: ldmtool
Description-md5: c6ac8c3acf1341888be8692b79df18f9
Description-en: tool for managing Microsoft Windows dynamic disks
 ldmtool exposes libldm's functionality as a command-line tool.
 .
 libldm is a library for managing Microsoft Windows dynamic disks,
 which use Microsoft's LDM metadata. It can inspect them, and also
 create and remove device-mapper block devices which can be mounted.

Package: ldnsutils
Description-md5: f9d658a5ff7103e0aaa996f3fc4c800d
Description-en: ldns library for DNS programming
 The goal of ldns is to simplify DNS programming, it supports recent RFCs
 like the DNSSEC documents, and allows developers to easily create software
 conforming to current RFCs, and experimental software for current Internet
 Drafts.
 .
 This package contains various client programs related to DNS that are
 based on top of libldns library and DRILL tool which is similar to dig.
 These tools were designed with DNSSEC in mind and are useful for DNS
 and DNSSEC testing and debugging.

Package: ldp-docbook-dsssl
Description-md5: d55382ccb1fca2986ed8defeb10a8f46
Description-en: The Linux Documentation Project's DSSSL stylesheets
 This is a customized stylesheet authored by contributors to the Linux
 Documentation Project. It imports standard DocBook stylesheets and
 overrides certain components.
 .
 This package provides the DSSSL stylesheet; XSL versions can be found in
 ldp-docbook-xsl.

Package: ldp-docbook-xsl
Description-md5: f299ed5f0e3625ba8a45dcc75f6c232e
Description-en: The Linux Documentation Project's XSL stylesheets
 These are customized stylesheets authored by contributors to the Linux
 Documentation Project. They import standard DocBook stylesheets and
 override certain components.
 .
 This package provides the XSL stylesheets; a DSSSL version can be found in
 ldp-docbook-dsssl.

Package: le
Description-md5: 6cb8ae58ec72fc60410fbe3e7bc02482
Description-en: Text editor with block and binary operations
 LE has many block operations with stream and rectangular blocks,
 can edit both Unix and DOS style files (LF/CRLF), is binary clean,
 has hexadecimal mode, can edit large files and mmap'able devices,
 has tunable syntax highlighting, tunable key map, and tunable
 color scheme (but can use default colors).

Package: le-dico-de-rene-cougnenc
Description-md5: b7e52cdda43b582a782c8a6a91129d46
Description-en: the "Dico" by Rene Cougnenc
 Le-dico-de-rene-coungnec is a French dictionary.
 This dictionary contains more than 100,000 words
 like nouns, verbs, conjugations, adjectives and complex
 plural forms as well as the name and the postal code of
 the French cities.
 .
 This list has been carefully elaborated by a team of
 French BBS users and put in the public domain in
 accented ASCII format either using the IBM MS/DOS
 charset or the ISO-8859-1 charset for other systems.

Package: leafnode
Description-md5: 559161eccec0dbb468c8cf3d27e40d42
Description-en: NNTP server for small sites
 Leafnode is a news server suitable for small, limited-bandwidth sites
 with only a few users ('leaf' sites). It keeps track of which groups
 are being read, and downloads only articles in those groups. Leafnode
 has been designed to require no maintenance and to be easy to set up.
 .
 Perl is required to use some optional features of the package for
 handling very low volume newsgroups.

Package: leaktracer
Description-md5: a455b760fc59642c8e1bc4b3668e947d
Description-en: Simple and efficient memory-leak tracer for C++ programs
 LeakTracer traces calls to new and delete, and reports
 inconsistencies in the C++-level memory-management.
 .
 It has limitations (eg. when you override the new and delete
 operators yourself), but is very easy to use (eg. compared to more
 complete tools like mpatrol), traces the C++ level (unlike most other
 tools), and gives pretty good results.
 .
 It uses gdb to display source-file information.

Package: leap-archive-keyring
Description-md5: efc59bcc43cd373471c837bacb1eab52
Description-en: OpenPGP archive key for the leap.se software repositories
 The leap.se software repositories digitally sign their Release
 files. This package contains the repository keys used to verify those
 files.

Package: leave
Description-md5: dcd1e0c0f6802dfc9e89106044068fef
Description-en: Reminds you when you have to leave
 Leave waits until the specified time, then reminds you that you have
 to leave.  You are reminded 5 minutes and 1 minute before the actual
 time, at the time, and every minute thereafter.  When you log off,
 leave exits just before it would have printed the next message.

Package: lebiniou
Description-md5: 58b8bb47d34c77c4dbff69734ab82423
Description-en: displays images that evolve with sound
 Le Biniou works with music, voice, ambient sounds, whatever acoustic
 source you choose.
 .
 When you run Le Biniou it gives an evolutionary rendering of the
 sound you are playing.
 .
 You are given two options to run Le Biniou: You can manage entirely
 the sequences and choose your own series of images from the default
 library, your colour scales, the kind of alteration you want to apply
 or you can let Le Biniou's artificial intelligence run on its own.
 .
 Forget the old visualizations you are familiar with, discover a new
 multidimensional – spatial and chromatic – way of comprehending music
 and sounds for either artistic, recreational or didactic purposes.

Package: lebiniou-data
Description-md5: ad6a531e72d2c3ada1ec4005ec38f221
Description-en: datafiles for Le Biniou
 This is where you will find the mandatory files that Le Biniou uses by default:
 .
 - a selection of free colormaps
 - a selection of images to start with Le Biniou

Package: lecm
Description-md5: 511c2871c196da14da2732389b7ab5d0
Description-en: Let's Encrypt Certificate Manager
 Let's Encrypt Certificates Manager (lecm) is an utility that allows one to
 manage (generate and renew) Let's Encrypt SSL certificates.
 .
 lecm can be placed in a cron to automatically renew lecm's managed
 certificates according to a threshold; during the renewal operations
 lecm is also able to restart the web server.
 .
 lecm take a yaml style configuration (default to /etc/lecm.conf),parameter can
 be declared for all managed certificates or individually.

Package: ledger
Description-md5: e7a7a30673406f7486331b2d6359a532
Description-en: command-line double-entry accounting program
 Ledger is a powerful and flexible double-entry accounting system run
 entirely from the command line.  Your accounts ledger is stored in one or
 more plain-text files with a very simple and readable format, and ledger
 does the hard work of balancing your books and reporting.

Package: ledger-wallets-udev
Description-md5: ff6a5ece6795c220b6a8e0f5af34a43b
Description-en: udev rules for Ledger wallets
 This package provides udev rules for the following models
 of hardware wallets:
 .
  * Ledger Nano S
  * Ledger Blue
  * Ledger hw2

Package: ledger2beancount
Description-md5: c6c0368dce50afb4514d3e8317bcd973
Description-en: Convert Ledger-based textual ledgers to Beancount ones
 A script to automatically convert Ledger-based textual ledgers to Beancount
 ones.
 .
 Conversion is based on (concrete) syntax, so that information that is not
 meaningful for accounting reasons but still valuable (e.g., comments,
 formatting, etc.) can be preserved.

Package: ledgersmb
Description-md5: 21df2ca4302a3f1e63d6878e32aa4d88
Description-en: financial accounting and ERP program
 LedgerSMB is a full featured double-entry financial accounting and Enterprise
 Resource Planning system accessed via a web browser (Perl/JS with a PostgreSQL
 backend) which offers "Accounts Receivable", "Accounts Payable" and "General
 Ledger" tracking as well as inventory control and fixed assets handling. The
 LedgerSMB client can be a web browser or a programmed API call. The goal of
 the LedgerSMB project is to bring high quality ERP and accounting capabilities
 to Small and Midsize Businesses.
 .
 The application now defaults to being made available locally on port 5762 and
 being run directly by Starman instead of by Apache. If other access is needed,
 a Reverse Proxy can be configured locally using Apache, Nginx, Lighttpd, or
 Varnish.
 .
 For more information, please see /usr/share/doc/ledgersmb/README.Debian.

Package: ledit
Description-md5: 1f10287a364948723289d1e9c2700025
Description-en: line editor for interactive programs
 Ledit is a line editor, allowing to use control commands like in emacs
 or in shells (bash, tcsh). To be used with interactive commands. It is
 written in OCaml and Camlp4 and uses the library unix.cma.

Package: ledmon
Description-md5: 007af04ef82b824ecd544a9e11d5cc0b
Description-en: Enclosure LED Utilities
 ledmon and ledctl are userspace tools designed to control storage
 enclosure LEDs. The user must have root privileges to use these tools.
 .
 These tools use the SGPIO and SES-2 protocols to monitor and control LEDs.
 They been verified to work with Intel(R) storage controllers (i.e. the
 Intel(R) AHCI controller) and have not been tested with storage controllers of
 other vendors (especially SAS/SCSI controllers).
 .
 For backplane enclosures attached to ISCI controllers, support is limited to
 Intel(R) Intelligent Backplanes.

Package: leds-alix-source
Description-md5: 31805222119cbbbe04c8af700c36805f
Description-en: Source for the LEDs driver of PCEngines ALIX 2/3 boards
 This package provides the source code for the leds-alix kernel module.
 .
 PCEngines ALIX boards (version 2/3) have three LEDs on front.
 .
 This driver allow you to power them on/off.

Package: leela-zero
Description-md5: d63f9baa6d6e8d9efc5591d53534d153
Description-en: Go engine with no human-provided knowledge, modeled after the AlphaGo Zero paper
 A Go program with no human provided knowledge. Using MCTS (but without Monte
 Carlo playouts) and a deep residual convolutional neural network stack.
 .
 This is a fairly faithful reimplementation of the system described in the
 Alpha Go Zero paper "Mastering the Game of Go without Human Knowledge". For
 all intents and purposes, it is an open source AlphaGo Zero.
 .
 https://deepmind.com/documents/119/agz_unformatted_nature.pdf
 .
 No network weights are in this repository. If you manage to obtain the AlphaGo
 Zero weights, this program will be about as strong, provided you also obtain a
 few Tensor Processing Units. Lacking those TPUs, the author recommends a top
 of the line GPU - it's not exactly the same, but the result would still be an
 engine that is far stronger than the top humans.
 .
 Recomputing the AlphaGo Zero weights will take about 1700 years on commodity
 hardware. Upstream is running a public, distributed effort to repeat this
 work. Working together, and especially when starting on a smaller scale, it
 will take less than 1700 years to get a good network (which you can feed into
 this program, suddenly making it strong). To help with this effort, run the
 leelaz-autogtp binary provided in this package. The best-known network weights
 file is at http://zero.sjeng.org/best-network

Package: lefse
Description-md5: 99a95edfdd51afd5bb9d222117f5342b
Description-en: determine features of organisms, clades, taxonomic units, genes
 LEfSe (Linear discriminant analysis Effect Size) determines the features
 (organisms, clades, operational taxonomic units, genes, or functions)
 most likely to explain differences between classes by coupling standard
 tests for statistical significance with additional tests encoding
 biological consistency and effect relevance.

Package: legacyhuman-theme
Description-md5: c5a0f02297bf2c7159be7981e3621d96
Description-en: Legacy Human GTK theme
 The legacy Human GTK theme. At the moment the package contains:
  * the GTK configuration and
  * Metacity configuration for the theme.

Package: legit
Description-md5: 52caef6cd045fbe03ce54e01dd37efa0
Description-en: Git extension to assist in manipulating branches
 This program provides several commands that help to automate
 certain tasks with Git: seamless branch switching, syncing repository,
 creating and merging branches, manipulating remote branches.

Package: lego
Description-md5: dea7f3bf8cbf9108a480cd924093cdb3
Description-en: Let's Encrypt client
 Let's Encrypt client written in Go.

Package: leiningen
Description-md5: b086108a32043e28d3cae0236521c8b9
Description-en: Automation tool and dependency manager for Clojure projects
 Working on Clojure projects with tools designed for Java can be an
 exercise in frustration. With Leiningen, you describe your build with
 Clojure. Leiningen handles fetching dependencies, running tests, packaging
 your projects and can be easily extended with a number of plugins.

Package: lektor
Description-md5: 9b9d5540ba71c8b8b0caa46c3f94934c
Description-en: Python-based static website generator
 Lektor is a static website generator. A lektor project is a collection of
 static files that can be built into many individual HTML pages. Lektor can also
 be used like a CMS providing a browser-based admin interface to edit the
 website's contents and create new pages.

Package: lemon
Description-md5: 867ddd6dcabf45fb31853e332c544f1f
Description-en: LALR(1) Parser Generator for C or C++
 Lemon is an LALR(1) parser generator for C or C++. It does the same
 job as bison and yacc. But lemon is not another bison or yacc
 clone. It uses a different grammar syntax which is designed to reduce
 the number of coding errors. Lemon also uses a more sophisticated
 parsing engine that is faster than yacc and bison and which is both
 reentrant and thread-safe. Furthermore, Lemon implements features
 that can be used to eliminate resource leaks, making is suitable for
 use in long-running programs such as graphical user interfaces or
 embedded controllers.

Package: lemonbar
Description-md5: 40ca452a73e428fe5e2e019fb68238a8
Description-en: lightweight bar based on XCB
 lemonbar is a lightweight bar based on XCB. It provides foreground/background
 color switching along with text alignment and colored under/overlining of text,
 full utf8 support and reduced memory footprint. Nothing less and nothing more.

Package: lemonldap-ng
Description-md5: d9956485953b0264aad783e87c61e25d
Description-en: OpenID-Connect, CAS and SAML compatible Web-SSO system
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorizations are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 This package is a metapackage that install handler, manager and portal.

Package: lemonldap-ng-doc
Description-md5: 5ba7f28cfbbd6982f3d9a31469f11e55
Description-en: Lemonldap::NG Web-SSO system documentation
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorizations are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 This package contains html documentation.

Package: lemonldap-ng-fastcgi-server
Description-md5: bc2de4a4fd7d43a3eb12419c5cb1273f
Description-en: Lemonldap::NG FastCGI server
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorizations are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 Lemonldap::NG FastCGI server provides a Nginx auth_request server that handles
 also LLNG Portal and Manager.

Package: lemonldap-ng-handler
Description-md5: 9581d765c8dbdafc58e31f96283b6764
Description-en: Lemonldap::NG handler part
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorizations are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 This package provides configuration files for Apache and Nginx used to protect
 web areas.

Package: lemonldap-ng-uwsgi-app
Description-md5: 11e1ef1ab9b2680624488ca85209bbf4
Description-en: Lemonldap::NG uWSGI server
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorization are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 Lemonldap::NG uWSGI server provides a replacement to Lemonldap::NG FastCGI
 server, using uWSGI instead of Plack FCGI.

Package: leocad
Description-md5: 590f6f3d914e76ac9240466bb7a2ba5c
Description-en: virtual brick CAD software
 LeoCAD is a CAD program that can be used to create virtual models
 with bricks similar to those found in many toys.
 .
 It has an easy to use interface and currently features over 2000
 different pieces created by the LDraw community when installed with
 the ldraw-parts package.

Package: lepton-eda
Description-md5: 331006b65d03e7592214c18a1ea2e160
Description-en: Lepton Electronic Design Automation (metapackage)
 The Lepton EDA suite provides schematic capture, netlisting, bill of
 materials generation, and many other features. It was forked from the
 gEDA/gaf suite in late 2016.

Package: leptonica-progs
Description-md5: 4f202e34390f6c04cb1918534a441fe7
Description-en: sample programs for Leptonica image processing library
 Well-tested C library for some basic image processing operations,
 along with a description of the functions and some design methods. A
 full set of affine transformations (translation, shear, rotation,
 scaling) on images of all depths is included, with the exception that
 some of the scaling methods do not work at all depths. There are also
 implementations of binary morphology, grayscale morphology,
 convolution and rank order filters, and applications such as jbig2
 image processing and color quantization. You will also find basic
 utilities for the safe and efficient handling of arrays (of strings,
 numbers, number pairs and image-related geometrical objects), byte
 queues, generic stacks, generic lists, and endian-independent
 indexing into 32-bit arrays.

Package: letterize
Description-md5: 0b1f0ec75143f2a9cc1e5a315f014b68
Description-en: phone digits to letter-mnemonics
 This program tries to help you find a letter mnemonic matching a
 given phone number.
 .
 It generates alphabetic mnemonics for the number, then filters
 them for phonetic plausibility in English, and displays the filtered
 list (usually a few hundred suggestions for a 7-digits phone-number).

Package: levee
Description-md5: bef8e9ff400bee62e6b6537466c81c9b
Description-en: very small vi clone
 Extremely small screen oriented editor based on the Unix editor "vi".
 It provides a terse, powerful way to enter and edit text. This
 programs dates back to 1980's; at the dawn of personal computing.

Package: lexicon
Description-md5: 89a29977b31900669136be6212d9f9f9
Description-en: CLI for manipulating DNS records on various DNS providers (Python 3)
 Lexicon provides a way to manipulate DNS records on multiple DNS
 providers in a standardized way. Lexicon was designed to be used in
 automation, specifically letsencrypt.
 .
 This package installs the tool for Python 3.

Package: lfm
Description-md5: 7e2aea8578bd471c3e6ecc7d90c5b067
Description-en: simple but powerful file manager for the UNIX console
 Last File Manager is a simple but powerful file manager for the
 UNIX console. It has been developed with the ol' good `Midnight
 Commander` as model.
 .
 lfm package also contains pyview, a text / hex file viewer to be
 used with or without lfm.

Package: lft
Description-md5: 77f43e7e994b0051c43d5c1b90961ed8
Description-en: layer-four traceroute
 This sends various TCP SYN and FIN probes (differing from Van Jacobson's
 UDP-based method) utilizing the IP protocol "time to live" field and
 attempts to elicit an ICMP TIME_EXCEEDED response from each gateway along
 the path to some host.
 lft also listens for various TCP and ICMP messages along the way to assist
 network managers in ascertaining per-protocol heuristic routing information
 and can optionally retrieve various information about the networks it
 traverses.

Package: lgc-pg
Description-md5: 2a89246b627451616fc3d4d7401e476e
Description-en: LGeneral converter for Panzer General game data
 LGeneral is a turn-based strategy game heavily inspired by Panzer General.
 .
 This package provides lgc-pg a tool to convert the original game data of
 Panzer General to LGeneral's native file format.

Package: lgeneral-data
Description-md5: 4640816b6f0e65d60c6336cfe836ca43
Description-en: strategy game in the tradition of Panzer General -- data
 LGeneral is a turn-based strategy game heavily inspired by Panzer General.
 You play single scenarios or whole campaigns turn by turn against a human
 player or the AI.
 .
 This package provides single World War I scenarios for LGeneral. You are able
 to command the Imperial and Royal Austro-Hungarian (k.u.k.) army and fight
 decisive battles of world history again.

Package: lgogdownloader
Description-md5: a8cc77e0d109d8153ddd8494fd7ad0e7
Description-en: downloader for GOG.com files
 lgogdownloader is a client for the GOG.com download API, allowing
 simple downloads and updates of games and other files from GOG.com.
 .
 This package is only useful if you own games on GOG.com. There are a
 few free-as-in-beer games available for Linux, but the DFSG-free
 games available on GOG.com are not provided for Linux and are
 available in Debian anyway (lure-of-the-temptress,
 beneath-a-steel-sky, flight-of-the-amazon-queen).

Package: lhasa
Description-md5: 11c02758a2e2ad3aa2ab14056e1b6be3
Description-en: lzh archive decompressor
 Lhasa lha is a tool for parsing LHA (.lzh) archives and a free
 replacement for the Unix LHA tool.
 .
 Currently it is only possible to read from (ie. decompress) archives;
 generating (compressing) LHA archives may be an enhancement for future
 versions. The aim is to be compatible with as many different variants
 of the LHA file format as possible, including LArc (.lzs) and
 PMarc (.pma).
 .
 The command line tool aims to be interface-compatible with the non-free
 Unix LHA tool (command line syntax and output), for backwards
 compatibility with tools that expect particular output.

Package: lhs2tex
Description-md5: 96615613d7aa6971ace8fe235d24f64b
Description-en: Generates LaTeX code from literate Haskell sources
 lhs2TeX includes the following features:
 .
 Different styles to process your source file: for instance,
 "tt" style uses a monospaced font for the code while still
 allowing you to highlight keywords etc, whereas
 "poly" style uses proportional fonts for identifiers, handles
 indentation nicely, is able to replace binary operators by
 mathematical symbols and take care of complex horizontal
 alignments.
 .
 Formatting directives, which let you customize the way certain
 tokens in the source code should appear in the processed
 output.
 .
 A liberal parser that can handle most of the language
 extensions; you don't have to restrict yourself to Haskell 98.
 .
 Preprocessor-style conditionals that allow you to generate
 different versions of a document from a single source file
 (for instance, a paper and a presentation).
 .
 Active documents: you can use Haskell to generate parts of the
 document (useful for papers on Haskell).
 .
 A manual explaining all the important aspects of lhs2TeX.

Package: lib++dfb-1.7-7
Description-md5: 2b756e2e6046004d1601ac30a03b5e73
Description-en: direct frame buffer graphics (++DFB shared library)
 DirectFB is a graphics library which was designed with embedded systems
 in mind. It offers maximum hardware accelerated performance at a minimum
 of resource usage and overhead.
 .
 This package contains the ++DFB shared librariy.

Package: lib32asan4
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan5-amd64-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan5-ppc64-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan5-s390x-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan5-sparc64-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan5-x32-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan6-amd64-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan6-ppc64-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan6-s390x-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan6-sparc64-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32asan6-x32-cross
Description-md5: 21a3c42c398c2fff43ee9449787256e4
Description-en: AddressSanitizer -- a fast memory error detector (32bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib32atomic1-amd64-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32atomic1-mips64-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32atomic1-mips64el-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32atomic1-mips64r6-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32atomic1-mips64r6el-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32atomic1-ppc64-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32atomic1-s390x-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32atomic1-sparc64-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32atomic1-x32-cross
Description-md5: 4b9cd7e59833a54167ec848b4c3d9e48
Description-en: support library providing __atomic built-in functions (32bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib32cilkrts5
Description-md5: cfc0a1e0e2c2fe8e45700724c806a987
Description-en: Intel Cilk Plus language extensions (32bit)
 Intel Cilk Plus is an extension to the C and C++ languages to support
 data and task parallelism.

Package: lib32gcc-10-dev-amd64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-10-dev-mips64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-10-dev-mips64el-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-10-dev-mips64r6-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-10-dev-mips64r6el-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-10-dev-ppc64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-10-dev-s390x-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-10-dev-sparc64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-10-dev-x32-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-7-dev
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-8-dev
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-8-dev-amd64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-8-dev-ppc64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-8-dev-s390x-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-8-dev-sparc64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-8-dev-x32-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-amd64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-mips64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-mips64el-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-mips64r6-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-mips64r6el-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-ppc64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-s390x-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-sparc64-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-9-dev-x32-cross
Description-md5: 50201ee0230693f6a9313820d5854393
Description-en: GCC support library (32 bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib32gcc-s1-amd64-cross
Description-md5: 50751a27453868e86cc1bc2f78b18a32
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: lib32gcc-s1-mips64-cross
Description-md5: cf7aaf31ac71c379479e081b335e1101
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: lib32gcc-s1-mips64el-cross
Description-md5: 875509629fe91b75e6cb33162face165
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: lib32gcc-s1-mips64r6-cross
Description-md5: 34bd2f6cef9729c59afc945ee3fcda38
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: lib32gcc-s1-mips64r6el-cross
Description-md5: 3c1b2732c88f8a437c0d2899a25a2e6c
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: lib32gcc-s1-ppc64-cross
Description-md5: 49774a82c6bfe226707be12f9a5282e7
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: lib32gcc-s1-s390x-cross
Description-md5: 54fde574381973d74b0a5672c1410daf
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: lib32gcc-s1-sparc64-cross
Description-md5: 4d1d4b9242bcfca6baa0e979e5bb3f2e
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: lib32gcc-s1-x32-cross
Description-md5: 530ee1acf7d7dff7c3e5606f748b48ae
Description-en: GCC support library (32 bit Version)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib32gfortran-10-dev
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-amd64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-mips64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-mips64el-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-mips64r6-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-mips64r6el-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-ppc64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-s390x-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-sparc64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-10-dev-x32-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-7-dev
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-8-dev
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-8-dev-amd64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-8-dev-ppc64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-8-dev-s390x-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-8-dev-sparc64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-8-dev-x32-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-amd64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-mips64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-mips64el-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-mips64r6-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-mips64r6el-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-ppc64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-s390x-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-sparc64-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran-9-dev-x32-cross
Description-md5: 6b37f3090548ca719a28233db2276849
Description-en: Runtime library for GNU Fortran applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib32gfortran4
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-amd64-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-mips64-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-mips64el-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-mips64r6-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-mips64r6el-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-ppc64-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-s390x-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-sparc64-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32gfortran5-x32-cross
Description-md5: 6b1141cbf03c78b07b329d00c2e7823f
Description-en: Runtime library for GNU Fortran applications (32bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib32go-10-dev-amd64-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-10-dev-mips64-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-10-dev-mips64el-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-10-dev-mips64r6-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-10-dev-mips64r6el-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-10-dev-ppc64-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-10-dev-s390x-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-10-dev-sparc64-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-10-dev-x32-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-amd64-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-mips64-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-mips64el-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-mips64r6-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-mips64r6el-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-ppc64-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-s390x-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-sparc64-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go-9-dev-x32-cross
Description-md5: 0d206625198081e48dfdda7b339c3c73
Description-en: Runtime library for GNU Go applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib32go11
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go13
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go13-amd64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go13-ppc64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go13-s390x-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go13-sparc64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go13-x32-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-amd64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-mips64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-mips64el-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-mips64r6-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-mips64r6el-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-ppc64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-s390x-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-sparc64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go14-x32-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-amd64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-mips64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-mips64el-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-mips64r6-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-mips64r6el-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-ppc64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-s390x-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-sparc64-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32go16-x32-cross
Description-md5: 7174520aedfeba49e54b8c36f55b7e95
Description-en: Runtime library for GNU Go applications (32bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib32gomp1-amd64-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gomp1-mips64-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gomp1-mips64el-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gomp1-mips64r6-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gomp1-mips64r6el-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gomp1-ppc64-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gomp1-s390x-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gomp1-sparc64-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gomp1-x32-cross
Description-md5: e631a6bc5701a04082ff06d4907faecf
Description-en: GCC OpenMP (GOMP) support library (32bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib32gphobos-10-dev
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-10-dev-amd64-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-10-dev-mips64-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-10-dev-mips64el-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-10-dev-mips64r6-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-10-dev-mips64r6el-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-10-dev-s390x-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-10-dev-x32-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-7-dev
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-8-dev
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-8-dev-amd64-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-8-dev-x32-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-9-dev
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-9-dev-amd64-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-9-dev-mips64-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-9-dev-mips64el-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-9-dev-mips64r6-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-9-dev-mips64r6el-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-9-dev-s390x-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos-9-dev-x32-cross
Description-md5: b341eedcd8081d86dac015bda85ac8cc
Description-en: Phobos D standard library (32bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos1
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos1-amd64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos1-mips64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos1-mips64el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos1-mips64r6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos1-mips64r6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos1-s390x-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos1-x32-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos71
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos76
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos76-amd64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos76-mips64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos76-mips64el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos76-mips64r6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos76-mips64r6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos76-s390x-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32gphobos76-x32-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib32itm1-amd64-cross
Description-md5: dab7174f3ba5f3317b7d12b57522f05a
Description-en: GNU Transactional Memory Library (32bit)
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: lib32itm1-ppc64-cross
Description-md5: dab7174f3ba5f3317b7d12b57522f05a
Description-en: GNU Transactional Memory Library (32bit)
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: lib32itm1-s390x-cross
Description-md5: dab7174f3ba5f3317b7d12b57522f05a
Description-en: GNU Transactional Memory Library (32bit)
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: lib32itm1-sparc64-cross
Description-md5: dab7174f3ba5f3317b7d12b57522f05a
Description-en: GNU Transactional Memory Library (32bit)
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: lib32itm1-x32-cross
Description-md5: dab7174f3ba5f3317b7d12b57522f05a
Description-en: GNU Transactional Memory Library (32bit)
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: lib32lsan0
Description-md5: e9b900fdd2d820580fa5248285865c55
Description-en: LeakSanitizer -- a memory leak detector (32bit)
 LeakSanitizer (Lsan) is a memory leak detector which is integrated
 into AddressSanitizer (empty package).

Package: lib32lsan0-amd64-cross
Description-md5: e9b900fdd2d820580fa5248285865c55
Description-en: LeakSanitizer -- a memory leak detector (32bit)
 LeakSanitizer (Lsan) is a memory leak detector which is integrated
 into AddressSanitizer (empty package).

Package: lib32lsan0-ppc64-cross
Description-md5: e9b900fdd2d820580fa5248285865c55
Description-en: LeakSanitizer -- a memory leak detector (32bit)
 LeakSanitizer (Lsan) is a memory leak detector which is integrated
 into AddressSanitizer (empty package).

Package: lib32mpx2
Description-md5: 5afc922c54fcccb02d0244c2257bc6c3
Description-en: Intel memory protection extensions (32bit)
 Intel MPX is a set of processor features which, with compiler,
 runtime library and OS support, brings increased robustness to
 software by checking pointer references whose compile time normal
 intentions are usurped at runtime due to buffer overflow.

Package: lib32mpx2-amd64-cross
Description-md5: 5afc922c54fcccb02d0244c2257bc6c3
Description-en: Intel memory protection extensions (32bit)
 Intel MPX is a set of processor features which, with compiler,
 runtime library and OS support, brings increased robustness to
 software by checking pointer references whose compile time normal
 intentions are usurped at runtime due to buffer overflow.

Package: lib32objc-10-dev
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-amd64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-mips64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-mips64el-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-mips64r6-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-mips64r6el-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-ppc64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-s390x-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-sparc64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-10-dev-x32-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-7-dev
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-8-dev
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-8-dev-amd64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-8-dev-ppc64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-8-dev-s390x-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-8-dev-sparc64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-8-dev-x32-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-amd64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-mips64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-mips64el-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-mips64r6-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-mips64r6el-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-ppc64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-s390x-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-sparc64-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc-9-dev-x32-cross
Description-md5: 4563909f76273e1eff5ff297d7d93981
Description-en: Runtime library for GNU Objective-C applications (32bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib32objc4
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-amd64-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-mips64-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-mips64el-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-mips64r6-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-mips64r6el-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-ppc64-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-s390x-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-sparc64-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32objc4-x32-cross
Description-md5: cdc9d0be22d98fa7275d3376afaddcc0
Description-en: Runtime library for GNU Objective-C applications (32bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib32quadmath0-amd64-cross
Description-md5: d7a03dac94565e412ed600a16f543d54
Description-en: GCC Quad-Precision Math Library (32bit)
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: lib32quadmath0-x32-cross
Description-md5: d7a03dac94565e412ed600a16f543d54
Description-en: GCC Quad-Precision Math Library (32bit)
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: lib32stdc++-10-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: lib32stdc++-10-dev-amd64-cross
Description-md5: a39814a7b481eba9451c5a674a3ee774
Description-en: GNU Standard C++ Library v3 (development files) (amd64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-10-dev-mips64-cross
Description-md5: 73bf4770ffea230b41195ed574e8691e
Description-en: GNU Standard C++ Library v3 (development files) (mips64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-10-dev-mips64el-cross
Description-md5: 0dd34ed8c25693e5cf78ddd488acc61e
Description-en: GNU Standard C++ Library v3 (development files) (mips64el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: lib32stdc++-10-dev-mips64r6-cross
Description-md5: 406d3a53901c263e938d913d3cc36958
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-10-dev-mips64r6el-cross
Description-md5: c543e1ccaf1568ad186344f04b6c705d
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: lib32stdc++-10-dev-ppc64-cross
Description-md5: c5957f6fc510d3c23449a1788b577395
Description-en: GNU Standard C++ Library v3 (development files) (ppc64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-10-dev-s390x-cross
Description-md5: 05f063371e7098e1cd64303025c6dbcc
Description-en: GNU Standard C++ Library v3 (development files) (s390x
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: lib32stdc++-10-dev-sparc64-cross
Description-md5: 45d135f3eb790ec58aba07e62982d0a4
Description-en: GNU Standard C++ Library v3 (development files) (sparc64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-10-dev-x32-cross
Description-md5: f522eb0378021b204060848ca71a323b
Description-en: GNU Standard C++ Library v3 (development files) (x32
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-7-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: lib32stdc++-8-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: lib32stdc++-8-dev-amd64-cross
Description-md5: 6d3f657fb824f1fb9fc979f0e961ef54
Description-en: GNU Standard C++ Library v3 (development files) (amd64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-8-dev-ppc64-cross
Description-md5: 9ed53ed2eade4c8b1ec98247d3d535f0
Description-en: GNU Standard C++ Library v3 (development files) (ppc64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-8-dev-s390x-cross
Description-md5: 2e07343add09b92b671f67aa5b4d02b8
Description-en: GNU Standard C++ Library v3 (development files) (s390x)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: lib32stdc++-8-dev-sparc64-cross
Description-md5: 751105838e19d8219c75e6a4fcad4465
Description-en: GNU Standard C++ Library v3 (development files) (sparc64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-8-dev-x32-cross
Description-md5: 58857ed969230a807511ee5014cd839a
Description-en: GNU Standard C++ Library v3 (development files) (x32)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-amd64-cross
Description-md5: a39814a7b481eba9451c5a674a3ee774
Description-en: GNU Standard C++ Library v3 (development files) (amd64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-mips64-cross
Description-md5: 73bf4770ffea230b41195ed574e8691e
Description-en: GNU Standard C++ Library v3 (development files) (mips64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-mips64el-cross
Description-md5: 0dd34ed8c25693e5cf78ddd488acc61e
Description-en: GNU Standard C++ Library v3 (development files) (mips64el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-mips64r6-cross
Description-md5: 406d3a53901c263e938d913d3cc36958
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-mips64r6el-cross
Description-md5: c543e1ccaf1568ad186344f04b6c705d
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-ppc64-cross
Description-md5: c5957f6fc510d3c23449a1788b577395
Description-en: GNU Standard C++ Library v3 (development files) (ppc64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-s390x-cross
Description-md5: 05f063371e7098e1cd64303025c6dbcc
Description-en: GNU Standard C++ Library v3 (development files) (s390x
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-sparc64-cross
Description-md5: 45d135f3eb790ec58aba07e62982d0a4
Description-en: GNU Standard C++ Library v3 (development files) (sparc64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++-9-dev-x32-cross
Description-md5: f522eb0378021b204060848ca71a323b
Description-en: GNU Standard C++ Library v3 (development files) (x32
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: lib32stdc++6-10-dbg-amd64-cross
Description-md5: 07c21f492e3f02130fb6a3185fa7511a
Description-en: GNU Standard C++ Library v3 (debug build) (amd64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg-mips64-cross
Description-md5: 282f559a9454c17f9bb24b2282fce503
Description-en: GNU Standard C++ Library v3 (debug build) (mips64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg-mips64el-cross
Description-md5: f06dfcf951ffac7c0df17a21f605fdfe
Description-en: GNU Standard C++ Library v3 (debug build) (mips64el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg-mips64r6-cross
Description-md5: 2b0ae6666da6caf3f44a5d26e69a4097
Description-en: GNU Standard C++ Library v3 (debug build) (mips64r6)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg-mips64r6el-cross
Description-md5: c878fbe61b0478e9f5b5990ff99d7677
Description-en: GNU Standard C++ Library v3 (debug build) (mips64r6el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg-ppc64-cross
Description-md5: 63ddd8f846ac247debc54f517d86639d
Description-en: GNU Standard C++ Library v3 (debug build) (ppc64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg-s390x-cross
Description-md5: f4f53b44d8ad2eaedde73442805cd8fb
Description-en: GNU Standard C++ Library v3 (debug build) (s390x)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg-sparc64-cross
Description-md5: 34f72c42d2a8cc980ba5b3df641c0cf3
Description-en: GNU Standard C++ Library v3 (debug build) (sparc64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-10-dbg-x32-cross
Description-md5: ba27cf8c6183b2ee9015ed672f7ebf42
Description-en: GNU Standard C++ Library v3 (debug build) (x32)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-7-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: lib32stdc++6-8-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: lib32stdc++6-8-dbg-amd64-cross
Description-md5: 07c21f492e3f02130fb6a3185fa7511a
Description-en: GNU Standard C++ Library v3 (debug build) (amd64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-8-dbg-ppc64-cross
Description-md5: 63ddd8f846ac247debc54f517d86639d
Description-en: GNU Standard C++ Library v3 (debug build) (ppc64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-8-dbg-s390x-cross
Description-md5: f4f53b44d8ad2eaedde73442805cd8fb
Description-en: GNU Standard C++ Library v3 (debug build) (s390x)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-8-dbg-sparc64-cross
Description-md5: 34f72c42d2a8cc980ba5b3df641c0cf3
Description-en: GNU Standard C++ Library v3 (debug build) (sparc64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-8-dbg-x32-cross
Description-md5: ba27cf8c6183b2ee9015ed672f7ebf42
Description-en: GNU Standard C++ Library v3 (debug build) (x32)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-9-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: lib32stdc++6-amd64-cross
Description-md5: 1b5e6395f9e7f7813dfd2dc28c813319
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-mips64-cross
Description-md5: 26ef041dcd5376c600f1e848ffb811bf
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-mips64el-cross
Description-md5: 0180a2994314dc6d2114c3e21d5fed4b
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-mips64r6-cross
Description-md5: adfebc8876523eecb7d5d644a3235e5c
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-mips64r6el-cross
Description-md5: 1953c0f3d0175e04d3b98dfa1cf3bec1
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-ppc64-cross
Description-md5: 2c9085c5f49471b6573f07e929023d93
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-s390x-cross
Description-md5: 187342390b9d11bee3c82a857e760a0c
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-sparc64-cross
Description-md5: eebb7a339a97fa825bdb468feb6ff708
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: lib32stdc++6-x32-cross
Description-md5: 019c8e157e6258089ae9490f206e6b4d
Description-en: GNU Standard C++ Library v3 (32 bit Version)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib32ubsan0
Description-md5: 00c57d4ccf298e90fae6bd6acdfad7ab
Description-en: UBSan -- undefined behaviour sanitizer (32bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: lib32ubsan1-amd64-cross
Description-md5: 00c57d4ccf298e90fae6bd6acdfad7ab
Description-en: UBSan -- undefined behaviour sanitizer (32bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: lib32ubsan1-ppc64-cross
Description-md5: 00c57d4ccf298e90fae6bd6acdfad7ab
Description-en: UBSan -- undefined behaviour sanitizer (32bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: lib32ubsan1-s390x-cross
Description-md5: 00c57d4ccf298e90fae6bd6acdfad7ab
Description-en: UBSan -- undefined behaviour sanitizer (32bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: lib32ubsan1-sparc64-cross
Description-md5: 00c57d4ccf298e90fae6bd6acdfad7ab
Description-en: UBSan -- undefined behaviour sanitizer (32bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: lib32ubsan1-x32-cross
Description-md5: 00c57d4ccf298e90fae6bd6acdfad7ab
Description-en: UBSan -- undefined behaviour sanitizer (32bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: lib3ds-1-3
Description-md5: 8387b66dbce8cb38f9106191ffd672b6
Description-en: Autodesk 3D Studio file reader C library
 This library implements the functionality required to manage
 Autodesk's 3D Studio Release 3 and 4 format (.3DS) files.
 .
 It is a free alternative to Autodesk's 3DS File Toolkit for
 managing 3DS files.

Package: lib3ds-dev
Description-md5: 51afadd83f7ba8b9bb47b4051f98d1a3
Description-en: Autodesk 3D Studio file reader development files
 This library implements the functionality required to manage
 Autodesk's 3D Studio Release 3 and 4 format (.3DS) files.
 .
 It is a free alternative to Autodesk's 3DS File Toolkit for
 managing 3DS files.
 .
 This package contains the development files (headers and libs).

Package: lib3mf-dev
Description-md5: b07f430956085fc425b9520162f657ec
Description-en: Lib3MF is a C++ implementation of the 3D Manufacturing Format (development)
 As 3MF shall become an universal 3D Printing standard,
 its quick adoption is very important. This library shall
 lower all barriers of adoption to any possible user, let
 it be software providers, hardware providers, service
 providers or middleware tools. The specification can be
 downloaded at http://3mf.io/specification/
 .
 Its aim is to offer an open source way to integrate 3MF
 reading and writing capabilities, as well as conversion
 and validation tools for input and output data. The 3MF
 Library shall provide a clean and easy-to-use API to speed
 up the development and keep integration costs at a minimum.
 .
 This package contains the development files.

Package: lib3mf-doc
Description-md5: ad56f97f448128c8e868599b92c183bf
Description-en: Lib3MF is a C++ implementation of the 3D Manufacturing Format (documentation)
 As 3MF shall become an universal 3D Printing standard,
 its quick adoption is very important. This library shall
 lower all barriers of adoption to any possible user, let
 it be software providers, hardware providers, service
 providers or middleware tools. The specification can be
 downloaded at http://3mf.io/specification/
 .
 Its aim is to offer an open source way to integrate 3MF
 reading and writing capabilities, as well as conversion
 and validation tools for input and output data. The 3MF
 Library shall provide a clean and easy-to-use API to speed
 up the development and keep integration costs at a minimum.
 .
 This package contains the documentation files.

Package: lib3mf1
Description-md5: 735113732a04fad7939f8e6ec0752170
Description-en: Lib3MF is a C++ implementation of the 3D Manufacturing Format
 As 3MF shall become an universal 3D Printing standard,
 its quick adoption is very important. This library shall
 lower all barriers of adoption to any possible user, let
 it be software providers, hardware providers, service
 providers or middleware tools. The specification can be
 downloaded at http://3mf.io/specification/
 .
 Its aim is to offer an open source way to integrate 3MF
 reading and writing capabilities, as well as conversion
 and validation tools for input and output data. The 3MF
 Library shall provide a clean and easy-to-use API to speed
 up the development and keep integration costs at a minimum.

Package: lib4store-dev
Description-md5: ee61913b6945a5338d5b8599ffc264af
Description-en: RDF database storage and query engine -- development files
 4store is a database storage and query engine that holds RDF data.
 .
 4store's main strengths are its performance, scalability and stability.
 It does not provide many features over and above RDF storage and SPARQL
 queries, but if your are looking for a scalable, secure, fast and
 efficient RDF store, then 4store should be on your shortlist.
 .
 This package contains the development headers and static library.

Package: lib4store0
Description-md5: 810b0d8ec757bc69bf3c9a65bc694819
Description-en: RDF database storage and query engine -- shared library
 4store is a database storage and query engine that holds RDF data.
 .
 4store's main strengths are its performance, scalability and stability.
 It does not provide many features over and above RDF storage and SPARQL
 queries, but if your are looking for a scalable, secure, fast and
 efficient RDF store, then 4store should be on your shortlist.
 .
 This package contains the shared library lib4store.

Package: lib64asan5-i386-cross
Description-md5: 1a9f659ca4439e2038933f842e164011
Description-en: AddressSanitizer -- a fast memory error detector (64bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib64asan5-powerpc-cross
Description-md5: 1a9f659ca4439e2038933f842e164011
Description-en: AddressSanitizer -- a fast memory error detector (64bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib64asan5-x32-cross
Description-md5: 1a9f659ca4439e2038933f842e164011
Description-en: AddressSanitizer -- a fast memory error detector (64bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib64asan6-i386-cross
Description-md5: 1a9f659ca4439e2038933f842e164011
Description-en: AddressSanitizer -- a fast memory error detector (64bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib64asan6-powerpc-cross
Description-md5: 1a9f659ca4439e2038933f842e164011
Description-en: AddressSanitizer -- a fast memory error detector (64bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib64asan6-x32-cross
Description-md5: 1a9f659ca4439e2038933f842e164011
Description-en: AddressSanitizer -- a fast memory error detector (64bit)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: lib64atomic1-i386-cross
Description-md5: dcde910e366c584abcb0cd438bd4f06e
Description-en: support library providing __atomic built-in functions (64bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib64atomic1-mips-cross
Description-md5: dcde910e366c584abcb0cd438bd4f06e
Description-en: support library providing __atomic built-in functions (64bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib64atomic1-mipsel-cross
Description-md5: dcde910e366c584abcb0cd438bd4f06e
Description-en: support library providing __atomic built-in functions (64bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib64atomic1-mipsr6-cross
Description-md5: dcde910e366c584abcb0cd438bd4f06e
Description-en: support library providing __atomic built-in functions (64bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib64atomic1-mipsr6el-cross
Description-md5: dcde910e366c584abcb0cd438bd4f06e
Description-en: support library providing __atomic built-in functions (64bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib64atomic1-powerpc-cross
Description-md5: dcde910e366c584abcb0cd438bd4f06e
Description-en: support library providing __atomic built-in functions (64bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib64atomic1-x32-cross
Description-md5: dcde910e366c584abcb0cd438bd4f06e
Description-en: support library providing __atomic built-in functions (64bit)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: lib64gcc-10-dev-i386-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-10-dev-mips-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-10-dev-mipsel-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-10-dev-mipsr6-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-10-dev-mipsr6el-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-10-dev-powerpc-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-10-dev-x32-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-8-dev-i386-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-8-dev-powerpc-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-8-dev-x32-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-9-dev-i386-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-9-dev-mips-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-9-dev-mipsel-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-9-dev-mipsr6-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-9-dev-mipsr6el-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-9-dev-powerpc-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-9-dev-x32-cross
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gcc-s1-i386-cross
Description-md5: f51617c644108e7c0efbf4e1aba59f63
Description-en: GCC support library (i386) (64bit)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: lib64gcc-s1-mips-cross
Description-md5: 816d6af95b0860e1e0329151af9c7284
Description-en: GCC support library (mips) (64bit)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: lib64gcc-s1-mipsel-cross
Description-md5: 36b465d7f4b90fa4aa158db6f0ae84b4
Description-en: GCC support library (mipsel) (64bit)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: lib64gcc-s1-mipsr6-cross
Description-md5: f53c4780664b48a6a275ff8a6f0ea334
Description-en: GCC support library (mipsr6) (64bit)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: lib64gcc-s1-mipsr6el-cross
Description-md5: df229872bdf4b9c24b2cab229ea57ef7
Description-en: GCC support library (mipsr6el) (64bit)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: lib64gcc-s1-powerpc-cross
Description-md5: 49a23e4e59ff20de994e735cf94c3c81
Description-en: GCC support library (powerpc) (64bit)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: lib64gcc-s1-x32-cross
Description-md5: e2d5c934d8e46a5c5d78dadf147cb2bc
Description-en: GCC support library (x32) (64bit)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib64gfortran-10-dev-i386-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-10-dev-mips-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-10-dev-mipsel-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-10-dev-mipsr6-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-10-dev-mipsr6el-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-10-dev-powerpc-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-10-dev-x32-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-8-dev-i386-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-8-dev-powerpc-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-8-dev-x32-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-9-dev-i386-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-9-dev-mips-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-9-dev-mipsel-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-9-dev-mipsr6-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-9-dev-mipsr6el-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-9-dev-powerpc-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-9-dev-x32-cross
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran5-i386-cross
Description-md5: 46b19e07ae6f07ea3757b2684cf21c0d
Description-en: Runtime library for GNU Fortran applications (64bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib64gfortran5-mips-cross
Description-md5: 46b19e07ae6f07ea3757b2684cf21c0d
Description-en: Runtime library for GNU Fortran applications (64bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib64gfortran5-mipsel-cross
Description-md5: 46b19e07ae6f07ea3757b2684cf21c0d
Description-en: Runtime library for GNU Fortran applications (64bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib64gfortran5-mipsr6-cross
Description-md5: 46b19e07ae6f07ea3757b2684cf21c0d
Description-en: Runtime library for GNU Fortran applications (64bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib64gfortran5-mipsr6el-cross
Description-md5: 46b19e07ae6f07ea3757b2684cf21c0d
Description-en: Runtime library for GNU Fortran applications (64bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib64gfortran5-powerpc-cross
Description-md5: 46b19e07ae6f07ea3757b2684cf21c0d
Description-en: Runtime library for GNU Fortran applications (64bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib64gfortran5-x32-cross
Description-md5: 46b19e07ae6f07ea3757b2684cf21c0d
Description-en: Runtime library for GNU Fortran applications (64bit)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: lib64go-10-dev-i386-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-10-dev-mips-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-10-dev-mipsel-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-10-dev-mipsr6-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-10-dev-mipsr6el-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-10-dev-powerpc-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-10-dev-x32-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-9-dev-i386-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-9-dev-mips-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-9-dev-mipsel-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-9-dev-mipsr6-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-9-dev-mipsr6el-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-9-dev-powerpc-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go-9-dev-x32-cross
Description-md5: a7ef5921b4b83cb852b9de565ed5fece
Description-en: Runtime library for GNU Go applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: lib64go13-i386-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go13-powerpc-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go13-x32-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go14-i386-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go14-mips-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go14-mipsel-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go14-mipsr6-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go14-mipsr6el-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go14-powerpc-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go14-x32-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go16-i386-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go16-mips-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go16-mipsel-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go16-mipsr6-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go16-mipsr6el-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go16-powerpc-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64go16-x32-cross
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64gomp1-i386-cross
Description-md5: a0950cb11ce700192ac4d8252bba5f9e
Description-en: GCC OpenMP (GOMP) support library (64bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib64gomp1-mips-cross
Description-md5: a0950cb11ce700192ac4d8252bba5f9e
Description-en: GCC OpenMP (GOMP) support library (64bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib64gomp1-mipsel-cross
Description-md5: a0950cb11ce700192ac4d8252bba5f9e
Description-en: GCC OpenMP (GOMP) support library (64bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib64gomp1-mipsr6-cross
Description-md5: a0950cb11ce700192ac4d8252bba5f9e
Description-en: GCC OpenMP (GOMP) support library (64bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib64gomp1-mipsr6el-cross
Description-md5: a0950cb11ce700192ac4d8252bba5f9e
Description-en: GCC OpenMP (GOMP) support library (64bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib64gomp1-powerpc-cross
Description-md5: a0950cb11ce700192ac4d8252bba5f9e
Description-en: GCC OpenMP (GOMP) support library (64bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib64gomp1-x32-cross
Description-md5: a0950cb11ce700192ac4d8252bba5f9e
Description-en: GCC OpenMP (GOMP) support library (64bit)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: lib64gphobos-10-dev-i386-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-10-dev-mips-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-10-dev-mipsel-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-10-dev-mipsr6-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-10-dev-mipsr6el-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-10-dev-x32-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-8-dev-i386-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-8-dev-x32-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-9-dev-i386-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-9-dev-mips-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-9-dev-mipsel-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-9-dev-mipsr6-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-9-dev-mipsr6el-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-9-dev-x32-cross
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos1-i386-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos1-mips-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos1-mipsel-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos1-mipsr6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos1-mipsr6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos1-x32-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos76-i386-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos76-mips-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos76-mipsel-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos76-mipsr6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos76-mipsr6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos76-x32-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64itm1-i386-cross
Description-md5: fcc38020a0be9787c7556be2d025d1a4
Description-en: GNU Transactional Memory Library (64bit)
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: lib64itm1-x32-cross
Description-md5: fcc38020a0be9787c7556be2d025d1a4
Description-en: GNU Transactional Memory Library (64bit)
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: lib64mpx2-i386-cross
Description-md5: ced71383f20eac0d63cc797006aab375
Description-en: Intel memory protection extensions (64bit)
 Intel MPX is a set of processor features which, with compiler,
 runtime library and OS support, brings increased robustness to
 software by checking pointer references whose compile time normal
 intentions are usurped at runtime due to buffer overflow.

Package: lib64objc-10-dev-i386-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-10-dev-mips-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-10-dev-mipsel-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-10-dev-mipsr6-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-10-dev-mipsr6el-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-10-dev-powerpc-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-10-dev-x32-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-8-dev-i386-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-8-dev-powerpc-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-8-dev-x32-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-9-dev-i386-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-9-dev-mips-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-9-dev-mipsel-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-9-dev-mipsr6-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-9-dev-mipsr6el-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-9-dev-powerpc-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-9-dev-x32-cross
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc4-i386-cross
Description-md5: ed6abd85fb9be4df45f054e758ab70d5
Description-en: Runtime library for GNU Objective-C applications (64bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib64objc4-mips-cross
Description-md5: ed6abd85fb9be4df45f054e758ab70d5
Description-en: Runtime library for GNU Objective-C applications (64bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib64objc4-mipsel-cross
Description-md5: ed6abd85fb9be4df45f054e758ab70d5
Description-en: Runtime library for GNU Objective-C applications (64bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib64objc4-mipsr6-cross
Description-md5: ed6abd85fb9be4df45f054e758ab70d5
Description-en: Runtime library for GNU Objective-C applications (64bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib64objc4-mipsr6el-cross
Description-md5: ed6abd85fb9be4df45f054e758ab70d5
Description-en: Runtime library for GNU Objective-C applications (64bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib64objc4-powerpc-cross
Description-md5: ed6abd85fb9be4df45f054e758ab70d5
Description-en: Runtime library for GNU Objective-C applications (64bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib64objc4-x32-cross
Description-md5: ed6abd85fb9be4df45f054e758ab70d5
Description-en: Runtime library for GNU Objective-C applications (64bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib64quadmath0-i386-cross
Description-md5: 49289451e79bd744581e76fbb05eb94d
Description-en: GCC Quad-Precision Math Library  (64bit)
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: lib64quadmath0-x32-cross
Description-md5: 49289451e79bd744581e76fbb05eb94d
Description-en: GCC Quad-Precision Math Library  (64bit)
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: lib64stdc++-10-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: lib64stdc++-10-dev-mips-cross
Description-md5: 05755abdfa063dbe6573ea1108a17f08
Description-en: GNU Standard C++ Library v3 (development files) (mips)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: lib64stdc++-10-dev-mipsel-cross
Description-md5: 18aa08670926f6f48bc8b92686e377e2
Description-en: GNU Standard C++ Library v3 (development files) (mipsel)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: lib64stdc++-10-dev-mipsr6-cross
Description-md5: 53054b833a4f7196e72ccfddf01b44e2
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: lib64stdc++-10-dev-mipsr6el-cross
Description-md5: 7940ce18311e881b522f34469316cae3
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: lib64stdc++-10-dev-powerpc-cross
Description-md5: 9fad388828b4fa14ecd808a58b88704b
Description-en: GNU Standard C++ Library v3 (development files) (powerpc)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: lib64stdc++-10-dev-x32-cross
Description-md5: 58857ed969230a807511ee5014cd839a
Description-en: GNU Standard C++ Library v3 (development files) (x32)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib64stdc++-8-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: lib64stdc++-8-dev-powerpc-cross
Description-md5: 9fad388828b4fa14ecd808a58b88704b
Description-en: GNU Standard C++ Library v3 (development files) (powerpc)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: lib64stdc++-8-dev-x32-cross
Description-md5: 58857ed969230a807511ee5014cd839a
Description-en: GNU Standard C++ Library v3 (development files) (x32)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib64stdc++-9-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: lib64stdc++-9-dev-mips-cross
Description-md5: 05755abdfa063dbe6573ea1108a17f08
Description-en: GNU Standard C++ Library v3 (development files) (mips)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: lib64stdc++-9-dev-mipsel-cross
Description-md5: 18aa08670926f6f48bc8b92686e377e2
Description-en: GNU Standard C++ Library v3 (development files) (mipsel)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: lib64stdc++-9-dev-mipsr6-cross
Description-md5: 53054b833a4f7196e72ccfddf01b44e2
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: lib64stdc++-9-dev-mipsr6el-cross
Description-md5: 7940ce18311e881b522f34469316cae3
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: lib64stdc++-9-dev-powerpc-cross
Description-md5: 9fad388828b4fa14ecd808a58b88704b
Description-en: GNU Standard C++ Library v3 (development files) (powerpc)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: lib64stdc++-9-dev-x32-cross
Description-md5: 58857ed969230a807511ee5014cd839a
Description-en: GNU Standard C++ Library v3 (development files) (x32)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-10-dbg-i386-cross
Description-md5: e54d2e29375497ecd66b27e9274a07a0
Description-en: GNU Standard C++ Library v3 (debug build) (i386)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-10-dbg-mips-cross
Description-md5: 6e9c58d4cd678e4a78fecfa36243e73f
Description-en: GNU Standard C++ Library v3 (debug build) (mips)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-10-dbg-mipsel-cross
Description-md5: 228f9b0e5c5d12c6f1b3ede6bee2b4c0
Description-en: GNU Standard C++ Library v3 (debug build) (mipsel)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-10-dbg-mipsr6-cross
Description-md5: 09986fe9cb4d1b99da8747f8c5d9fa81
Description-en: GNU Standard C++ Library v3 (debug build) (mipsr6)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-10-dbg-mipsr6el-cross
Description-md5: f7476d55bb4d0381dd137d55cf732bb8
Description-en: GNU Standard C++ Library v3 (debug build) (mipsr6el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-10-dbg-powerpc-cross
Description-md5: 1bca9e17bb543dcca1e3d6a742d48109
Description-en: GNU Standard C++ Library v3 (debug build) (powerpc)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-10-dbg-x32-cross
Description-md5: ba27cf8c6183b2ee9015ed672f7ebf42
Description-en: GNU Standard C++ Library v3 (debug build) (x32)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-8-dbg-i386-cross
Description-md5: e54d2e29375497ecd66b27e9274a07a0
Description-en: GNU Standard C++ Library v3 (debug build) (i386)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-8-dbg-powerpc-cross
Description-md5: 1bca9e17bb543dcca1e3d6a742d48109
Description-en: GNU Standard C++ Library v3 (debug build) (powerpc)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-8-dbg-x32-cross
Description-md5: ba27cf8c6183b2ee9015ed672f7ebf42
Description-en: GNU Standard C++ Library v3 (debug build) (x32)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-i386-cross
Description-md5: 7173b9ff28be040d07627366f651d84f
Description-en: GNU Standard C++ Library v3 (i386) (64bit)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-mips-cross
Description-md5: 09708095748653601cdb35d8cbe81707
Description-en: GNU Standard C++ Library v3 (mips) (64bit)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-mipsel-cross
Description-md5: 88610cc78f4014089307cad3f269c231
Description-en: GNU Standard C++ Library v3 (mipsel) (64bit)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-mipsr6-cross
Description-md5: 602f5d5c57a0899738bc74632f973bb7
Description-en: GNU Standard C++ Library v3 (mipsr6) (64bit)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-mipsr6el-cross
Description-md5: 08f29253d8edc5c643d684cd00549797
Description-en: GNU Standard C++ Library v3 (mipsr6el) (64bit)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-powerpc-cross
Description-md5: 0417103feacf9e975ae71c41d6df7569
Description-en: GNU Standard C++ Library v3 (powerpc) (64bit)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: lib64stdc++6-x32-cross
Description-md5: 7b9946c174ea010304d854c7f7c97071
Description-en: GNU Standard C++ Library v3 (x32) (64bit)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: lib64ubsan1-i386-cross
Description-md5: c22f6718422baad4c7aa40c7b8c9e6ac
Description-en: UBSan -- undefined behaviour sanitizer (64bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: lib64ubsan1-powerpc-cross
Description-md5: c22f6718422baad4c7aa40c7b8c9e6ac
Description-en: UBSan -- undefined behaviour sanitizer (64bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: lib64ubsan1-x32-cross
Description-md5: c22f6718422baad4c7aa40c7b8c9e6ac
Description-en: UBSan -- undefined behaviour sanitizer (64bit)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: liba11y-profile-manager-0.1-0
Description-md5: 74eadbdd5a264a91332861b93dfef544
Description-en: Accessibility profile manager - Shared library
 This package contains the library that can be used to set/reset
 accessibility profiles, as defined in a manifest and gsettings
 files.

Package: liba11y-profile-manager-0.1-dev
Description-md5: 8bcde8f0e5faf3c5d94008035b7d2297
Description-en: Development files for the accessibility profile manager library
 These are the development files for the accessibility profile manager,
 needed for compilation of programs which use it.

Package: liba11y-profile-manager-data
Description-md5: 9261ddfe03ce198459b83a9617c7aa96
Description-en: Accessibility Profile Manager - GSettings data
 This package contains the gsettings schema data

Package: liba52-0.7.4
Description-md5: 1f6861ed8e347457021bf8275aeb3bab
Description-en: library for decoding ATSC A/52 streams
 liba52 is a free library for decoding ATSC A/52 streams. The A/52 standard is
 used in a variety of applications, including digital television and DVD. It is
 also known as AC-3.

Package: liba52-0.7.4-dev
Description-md5: a008e9348ef0391e0dd7eac39d37af19
Description-en: library for decoding ATSC A/52 streams (development)
 liba52 is a free library for decoding ATSC A/52 streams. The A/52 standard is
 used in a variety of applications, including digital television and DVD. It is
 also known as AC-3.
 .
 This package contains the development files.

Package: libaa-bin
Description-md5: aceb0e45b1024860e2efa4f03f15d19a
Description-en: sample programs using aalib
 AAlib is a portable ASCII art graphics library. Internally, it works like
 a graphics display, but the output is rendered into gorgeous platform
 independent ASCII graphics.
 .
 This package contains a few sample programs that use aalib.

Package: libaac-tactics-coq
Description-md5: d468e31e016a5d91fa8d79cdff35cd0d
Description-en: Coq tactics for reasoning modulo AC (theories)
 This Coq plugin provides tactics for rewriting universally quantified
 equations, modulo associative (and possibly commutative) operators.
 .
 This package provides the Coq support library.

Package: libaac-tactics-ocaml
Description-md5: d6af9954d3de40a51f6f9b94f6b858ba
Description-en: Coq tactics for reasoning modulo AC (plugin)
 This Coq plugin provides tactics for rewriting universally quantified
 equations, modulo associative (and possibly commutative) operators.
 .
 This package provides the plugin itself.

Package: libaac-tactics-ocaml-dev
Description-md5: f1d42c78767b8301dd187f0cd302e0cf
Description-en: Coq tactics for reasoning modulo AC (devt files)
 This Coq plugin provides tactics for rewriting universally quantified
 equations, modulo associative (and possibly commutative) operators.
 .
 This package provides the static native-code library, needed to build
 custom toplevels, and the compiled interfaces.

Package: libaacs-dev
Description-md5: e5de7244f9e700989faa2fbdb2b1dbad
Description-en: free-and-libre implementation of AACS (development files)
 libaacs is a research project to implement the Advanced Access Content
 System specification. It provides, through an open-source library, a
 way to understand how the AACS works.
 .
 This package DOES NOT provide any key or certificate that could be used
 to decode encrypted copyrighted material. It is based on the official
 public AACS specification only.
 .
 This package provides the development files for libaacs0.

Package: libaacs0
Description-md5: 41db8a659b0b28fdefe3a4c746d811ba
Description-en: free-and-libre implementation of AACS
 libaacs is a research project to implement the Advanced Access Content
 System specification. It provides, through an open-source library, a
 way to understand how the AACS works.
 .
 This package DOES NOT provide any key or certificate that could be used
 to decode encrypted copyrighted material. It is based on the official
 public AACS specification only.
 .
 This package provides the shared library.

Package: libabigail-dev
Description-md5: e5be201b6d254fa6dfc675ac3066a175
Description-en: ABI Generic Analysis and Instrumentation Library (development files)
 This is an interface to the GNU Compiler Collection for the collection
 and analysis of compiler-generated binaries.
 .
 This package contains the development files.

Package: libabigail0
Description-md5: d2eee0fd9ff716cd0684053bf99fb5a3
Description-en: ABI Generic Analysis and Instrumentation Library (runtime)
 This is an interface to the GNU Compiler Collection for the collection
 and analysis of compiler-generated binaries.
 .
 This package contains the runtime library.

Package: libabiword-3.0
Description-md5: 2574ef9e41c920826760e47a0ed6956c
Description-en: efficient, featureful word processor with collaboration -- shared library
 AbiWord is a full-featured, efficient word processing application.
 It is suitable for a wide variety of word processing tasks, and
 is extensible with a variety of plugins.
 .
 This package contains the shared library, enabling other applications to
 integrate AbiWord functionality.

Package: libabiword-dev
Description-md5: 920d78a20ddaa977e87f549ec91b6c92
Description-en: efficient, featureful word processor with collaboration -- development files
 AbiWord is a full-featured, efficient word processing application.
 It is suitable for a wide variety of word processing tasks, and
 is extensible with a variety of plugins.
 .
 This package contains development files for software linking against
 libabiword-3.0.

Package: libaccess-modifier-checker-java
Description-md5: c39557e91f83d3a1ff5b4a6b03e9f061
Description-en: Maven plugin for custom access modifier checking
 This maven plugin allows applications to define custom
 access modifiers programmatically, to be enforced at
 compile time in the opt-in basis. Obviously, there's no
 runtime check either --- this is strictly a voluntary
 annotation.
 .
 This mechanism is useful for actually making sure that
 deprecated features are not used (without actually removing
 such declarations, which would break binary compatibility.)

Package: libaccessodf-java
Description-md5: 16adb83e55f4f1244fddc1d9facbdcd7
Description-en: library for checking accessibility in ODF/ODT documents using Libreoffice
 AccessODF is an extension that can be used in OpenOffice.org Writer and in
 LibreOffice Writer. It enables authors to find and repair accessibility issues
 in their documents, i.e.  issues that make their documents difficult or even
 impossible to read for people with disabilities. This includes issues such as:
  * insufficient colour contrast between text and background,
  * missing text alternatives for images and other objects (such text
    alternatives are necessary for blind users and other users of
    text-to-speech software),
  * missing language identification for the document and for language changes
    inside the document (language information is needed for conversion into
    Braille and synthetic speech),
  * the use of proper Heading styles instead of big bold text to identify
    headings (this enables document navigation based on headings),
  * the use of proper tables instead of visually mimicking tables by using tabs
    or spaces,
  * the compatibility of images with DAISY, the de-facto standard for digital
    talking books.
 For each accessibility issue, AccessODF provides a description and repair
 suggestions.
 .
 This package just contains the library for use in other programs.

Package: libaccessors-perl
Description-md5: 574a8be9deb0681ce231efaf37ec3975
Description-en: Perl module to create accessor methods in caller's package
 The accessors pragma lets you create simple accessors at compile-time.
 .
 This saves you from writing them by hand, which tends to result in
 cut-n-paste errors and a mess of duplicated code. It can also help you reduce
 the ammount of unwanted direct-variable access that may creep into your
 codebase when you're feeling lazy. accessors was designed with laziness in
 mind.
 .
 Method-chaining accessors are generated by default. Note that you can still
 use accessors::chained directly for reasons of backwards compatibility.
 .
 See accessors::classic for accessors that always return the current value if
 you don't like method chaining.

Package: libaccounts-glib-dev
Description-md5: 765d6d1ddff9dd3cf4394fe30970f017
Description-en: library for single signon - development files
 .
 This package contains files that are needed to build applications.

Package: libaccounts-glib-doc
Description-md5: 8a097664e340ebf00e0822ccc0ac4e04
Description-en: library for single signon - documentation
 .
 This package contains the documentation.

Package: libaccounts-glib-tools
Description-md5: 1c35a213a7469b9247d5dcd0ba9decef
Description-en: library for single signon - tools
 .
 This package provides the tools useful for the libaccounts-glib
 library.

Package: libaccounts-glib0
Description-md5: 04337bac8cab900bc597648cf0e9cf55
Description-en: library for single signon
 .
 This package contains shared libraries to be used by applications.

Package: libaccounts-qt-doc
Description-md5: 3f63e418e13b388be318058ef8ec1098
Description-en: QT library for single sign on - documentation
 .
 This package contains developer documentation.

Package: libaccounts-qt5-1
Description-md5: 4ff445e13de255a469861d743f92e199
Description-en: QT library for single sign on
 .
 This package contains shared libraries to be used by applications.

Package: libaccounts-qt5-dev
Description-md5: 398e3f15b81b6da49a898ee092e3c4de
Description-en: QT library for single sign on - development files
 .
 This package contains files that are needed to build applications.

Package: libace-6.4.5
Description-md5: 054b0ca823849fe2ed15c43b24bd4152
Description-en: C++ network programming framework
 This package contains the ADAPTIVE Communication Environment (ACE)
 framework.
 .
 It provides platform independent C++ wrappers for all forms of IPC,
 thread- and process-management routines, and much more.
 .
 Moreover, it defines patterns for common communication tasks.
  * Reactor: handles event demultiplexing and dispatching
  * Proactor: for asynchronous I/O driven programs

Package: libace-dev
Description-md5: d592a5b17753e41096668d2c545fb840
Description-en: C++ network programming framework - development files
 This package contains the header files and static library for the ACE
 framework.

Package: libace-doc
Description-md5: 2947be94b6f82b7b2bd595c2dfdac647
Description-en: C++ network programming framework - documentation
 This package contains the ACE overview documentation, tutorials,
 examples, and information regarding upstream development.

Package: libace-flreactor-6.4.5
Description-md5: 04288c3463dc3d59add368ad9d0638df
Description-en: ACE-GUI reactor integration for FLTK
 Recognizing the need to write reactor-based GUI applications, the ACE
 community has created several reactor extensions for use with X
 Window System. Each of these extends the ACE_Select_Reactor to work
 with a specific toolkit. By using these reactors, your GUI
 application can remain single threaded yet still respond to both GUI
 events, such as button presses, and your own application events.
 .
 The ACE_FlReactor integrates with the FastLight toolkit's Fl::wait()
 method.

Package: libace-flreactor-dev
Description-md5: 2fec3f0c92fc1ce17c3ed5d3588ed5c4
Description-en: ACE-GUI reactor integration for FLTK - development files
 This package contains header files and static library for the ACE-FLTK
 reactor integration.

Package: libace-foxreactor-6.4.5
Description-md5: 1a26899df4c4e21b9a6a620de30642a3
Description-en: ACE-GUI reactor integration for FOX
 Recognizing the need to write reactor-based GUI applications, the ACE
 community has created several reactor extensions for use with X
 Window System. Each of these extends the ACE_Select_Reactor to work
 with a specific toolkit. By using these reactors, your GUI
 application can remain single threaded yet still respond to both GUI
 events, such as button presses, and your own application events.
 .
 The ACE_FoxReactor integrates with the FOX toolkit.

Package: libace-foxreactor-dev
Description-md5: c0383be0a2f124c7c64c372d63a04d6d
Description-en: ACE-GUI reactor integration for FOX - development files
 This package contains header files and static library for the ACE-FOX
 reactor integration.

Package: libace-htbp-6.4.5
Description-md5: 6ffe0854383a10939e437ab4d49bc5da
Description-en: ACE protocol over HTTP tunneling library
 The HTTP Tunneling, Bidirectional, Protocol (HTBP) library enables
 the writing of stream-based protocols over HTTP.
 .
 This allows clients behind a firewall to establish a connection with
 outbound servers using the HTTP protocol.

Package: libace-htbp-dev
Description-md5: 387dc37f2beee96b74b4f36901f19953
Description-en: ACE protocol over HTTP tunneling library - development files
 This package contains the header files and static library for the ACE
 HTBP library.

Package: libace-inet-6.4.5
Description-md5: 365b6b64bdc25b9b1343b033ecdf659d
Description-en: ACE Inet protocol library
 This package provides an ACE addon library for clients (and possibly
 servers at some point) using Inet protocols, such as HTTP or FTP.

Package: libace-inet-dev
Description-md5: 0b222d8d5a7015a75d051b17d2b8290f
Description-en: ACE Inet protocol library - development files
 This package contains the header files and static library for the ACE
 Inet protocol library.

Package: libace-inet-ssl-6.4.5
Description-md5: 3854e836ad1e8e54b0d95ec88886221a
Description-en: ACE SSL-enabled Inet protocol library
 This package provides an ACE addon library for clients (and possibly
 servers at some point) using Inet protocols which support SSL, such as
 HTTPS or FTPS.

Package: libace-inet-ssl-dev
Description-md5: 2d37a24a6f9d2c42bf7624de1ecdb35d
Description-en: ACE SSL-enabled Inet protocol library - development files
 This package contains the header files and static library for the ACE
 SSL-enabled Inet protocol library.

Package: libace-perl
Description-md5: 0beecf4bcce569f15c4ea5e6cd5c8d79
Description-en: Object-Oriented Access to ACEDB Databases
 AcePerl is an object-oriented Perl interface for the AceDB
 database. It provides functionality for connecting to remote AceDB
 databases, performing queries, fetching ACE objects, and updating
 databases. The programmer's API is compatible with the JADE Java API,
 and interoperable with the API used by BoulderIO.
 .
 AceDB is a genome database system developed since 1989 primarily by
 Jean Thierry-Mieg (CNRS, Montpellier) and Richard Durbin (Sanger
 Institute). It was originally developed for the C.elegans genome
 project , from which its name was derived (A C. elegans DataBase).

Package: libace-rmcast-6.4.5
Description-md5: be59d87b878a01a0217ab63227d8742c
Description-en: ACE reliable multicast library
 The RMCast library is a reliable source-ordered multicast protocol
 implementation.
 .
 It uses sequence numbers on messages to ensure ordering, loss
 detection, and suppression of duplicates.

Package: libace-rmcast-dev
Description-md5: 0b5c41f8741e9a9e2f3845d9a19fa85c
Description-en: ACE reliable multicast library - development files
 This package contains the header files and static library for the ACE
 reliable multicast library.

Package: libace-ssl-6.4.5
Description-md5: a86e090a0df55b8953e31ba1266c1277
Description-en: ACE secure socket layer library
 This package contains wrappers that integrate the OpenSSL library in
 the ACE framework.

Package: libace-ssl-dev
Description-md5: 2334d32d5806f7b01527bc39af609b2c
Description-en: ACE secure socket layer library - development files
 This package contains the header files and static library for the ACE
 SSL library.

Package: libace-tkreactor-6.4.5
Description-md5: 2debe099d18d5116c1403779c64b5f1d
Description-en: ACE-GUI reactor integration for Tk
 Recognizing the need to write reactor-based GUI applications, the ACE
 community has created several reactor extensions for use with X
 Window System. Each of these extends the ACE_Select_Reactor to work
 with a specific toolkit. By using these reactors, your GUI
 application can remain single threaded yet still respond to both GUI
 events, such as button presses, and your own application events.
 .
 The ACE_TkReactor provides reactor functionality around the popular
 Tcl/Tk library. The underlying Tcl/Tk method used is
 Tcl_DoOneEvent().

Package: libace-tkreactor-dev
Description-md5: af2659f3b9d034ec60274aea96b652cc
Description-en: ACE-GUI reactor integration for Tk - development files
 This package contains header files and static library for the ACE-Tk
 reactor integration.

Package: libace-tmcast-6.4.5
Description-md5: c58254173abc27f9171d611da3fb25e6
Description-en: ACE transactional multicast library
 The TMCast library is a transactional multicast protocol
 implementation.
 .
 Each message is delivered to multicast group members as a
 transaction: an atomic, consistent, and isolated action.

Package: libace-tmcast-dev
Description-md5: 09951e36957eced5434412ffee604d4c
Description-en: ACE transactional multicast library - development files
 This package contains the header files and static library for the ACE
 transactional multicast library.

Package: libace-xml-utils-6.4.5
Description-md5: 2510e4e88cf2a3569d192704d1cd3763
Description-en: ACE XML utility classes and methods
 This package provides useful classes and methods for XML parsing with Xerces,
 including simplified strings, schema resolution, validation and more.
 .
 ACE XML Utils is used by DAnCE and OpenDDS.

Package: libace-xml-utils-dev
Description-md5: 9d53dbeb3a644c9cd2a4e6e6bccad769
Description-en: ACE XML utility classes and methods - development files
 This package contains the header files and static library for the ACE XML
 Utils library

Package: libace-xtreactor-6.4.5
Description-md5: d423458ed5f4e5e66672f0fdb7a9b56d
Description-en: ACE-GUI reactor integration for Xt
 Recognizing the need to write reactor-based GUI applications, the ACE
 community has created several reactor extensions for use with X
 Window System. Each of these extends the ACE_Select_Reactor to work
 with a specific toolkit. By using these reactors, your GUI
 application can remain single threaded yet still respond to both GUI
 events, such as button presses, and your own application events.
 .
 The ACE_XtReactor extends both the ACE_Select_Reactor and the X
 Toolkit library function XtWaitForMultipleEvents().

Package: libace-xtreactor-dev
Description-md5: 9545d7ed16b7b5d50b036d950aa80a15
Description-en: ACE-GUI reactor integration for Xt - development files
 This package contains header files and static library for the ACE-Xt
 reactor integration.

Package: libacexml-6.4.5
Description-md5: 83f87134d46b22b718ef4b587bfa75b7
Description-en: ACE SAX based XML parsing library
 This package provides interfaces for XML parsing based on Simple API
 for XML (SAX) 2.0, defined by David Megginson. This is an
 event-driven parsing approach.
 .
 ACEXML is a small footprint and portable library. It does not
 validate XML documents and supports only Unicode encoding.

Package: libacexml-dev
Description-md5: abe2e084b79a43a02ab0fb6273138ed6
Description-en: ACE SAX based XML parsing library - development files
 This package contains the header files and static library for the ACE
 XML parsing library.

Package: libacme-bleach-perl
Description-md5: a58d6123bdfdd2d8e223aa83a8bf43f5
Description-en: Perl module for really clean programs
 The first time you run a program under use Acme::Bleach, the module removes all
 the unsightly printable characters from your source file.
 .
 This package also installs the Acme::DWIM and Acme::Morse modules. Acme::DWIM
 replaces all the unsightly operators et al. from your source file with the new
 DWIM operator: ... (pronounced "yadda yadda yadda"). Acme::Morse converts your
 program to Morse code.

Package: libacme-brainfck-perl
Description-md5: cb2cc58ab3506110a2f96c0f101d21e2
Description-en: Embed Brainf*ck in your perl code
 Brainf*ck (yes, there is a u there.) is about the tiniest Turing-complete
 programming language you can get.  A language is Turing-complete if it can
 model the operations of a Turing machine--an abstract model of a computer
 defined by the British mathematician Alan Turing in 1936.  A Turing machine
 consists only of an endless sequence of memory cells and a pointer to one
 particular memory cell.  Yet it is theoretically capable of performing any
 computation.  This module will allow you to mix Brainf*ck with your
 perl code.

Package: libacme-constant-perl
Description-md5: 86afa802e26b6a612930d094127cd77e
Description-en: module that makes inconstant constants, except actually not
 Acme::constant lets you make inconstant constants, just like the constants the
 users of Ruby or Opera (before Opera 14, that is) already enjoyed.
 .
 Unlike Perl constants, that are replaced at compile time, Acme constants, in
 true dynamic programming language style, can be modified even after
 declaration.
 .
 Just like constants generated with standard use constant pragma, the
 constants declared with use Acme::Constant don't have any sigils. This makes
 using constants easier, as you don't have to remember what sigil do constants
 use.

Package: libacme-damn-perl
Description-md5: 506f2206e1c947452cc98875b45b0540
Description-en: Perl module to unbless objects
 Acme::Damn provides a way to reverse the bless operation, which takes a
 variable and associates it with a given class. One can use this module
 to return the original reference; that is, prior to calling bless on it.
 .
 After using 'damn()' or custom aliases thereof, the blessed Perl object
 reference becomes a simple data type.

Package: libacme-eyedrops-perl
Description-md5: 46cb6e7c9573a3ad282aa89a32ff4730
Description-en: funny way for visual programming in Perl
 Acme::EyeDrops converts a Perl program into an equivalent one, but without
 all those unsightly letters and numbers.
 .
 In a Visual Programming breakthrough, EyeDrops allows you to pour the
 generated program into various shapes, such as UML diagrams, enabling you to
 instantly understand how the program works just by glancing at its new and
 improved visual representation.
 .
 Unlike Acme::Bleach and Acme::Buffy, the generated program runs without
 requiring that Acme::EyeDrops be installed on the target system.

Package: libacme-poe-knee-perl
Description-md5: 2278903003ee4f98acf8b2326663bcd8
Description-en: Time sliced pony race using the POE event loop
 POE::Knee is a homophone of "Pony".  We all like ponies. And wouldn't we
 love to race ponies? Well, that's what Acme::POE::Knee is for!
 .
 Using the POE event driven component architecture, you specify a distance the
 ponies must run, and a maximum delay before the pony will reach the next
 step. So, the bigger the delay, the bigger the distance between multiple
 ponies can be.

Package: libacpi-dev
Description-md5: fbf0ef585a232c225d1c4b166e5f2899
Description-en: development files for libacpi
 libacpi is a general purpose shared library for programs gathering
 ACPI data on Linux. It implements thermal zones, battery information,
 fan information and AC states.
 .
 This package contains the header files and static libraries needed to
 compile applications or shared objects that use libacpi.

Package: libacpi0
Description-md5: f76cdab5aa702367d0c5e36833469359
Description-en: general purpose library for ACPI
 libacpi is a general purpose shared library for programs gathering
 ACPI data on Linux. It implements thermal zones, battery information,
 fan information and AC states.
 .
 This package contains the shared library for libacpi.

Package: libacsccid1
Description-md5: 05bf1db065acaecd723e98052825b2e6
Description-en: PC/SC driver for ACS USB CCID smart card readers
 This library provides a PC/SC IFD handler implementation for the ACS USB smart
 card readers compliant to the CCID protocol.
 .
 This package is needed to communicate with the ACS CCID smartcard readers
 through the PC/SC Lite resource manager (pcscd).
 .
 See README for a list of supported readers.

Package: libactionlib-dev
Description-md5: 57534e7c38bfcca75f1a06d80fd26572
Description-en: Robot OS actionlib library - development files
 This package is part of Robot OS (ROS). The actionlib stack provides
 a standardized interface for interfacing with preemptable
 tasks. Examples of this include moving the base to a target location,
 performing a laser scan and returning the resulting point cloud,
 detecting the handle of a door, etc.
 .
 This package contains the development files.

Package: libactionlib-msgs-dev
Description-md5: 9e6d3e141605f2f5dfdf3e2aed371987
Description-en: Messages relating to the Robot OS actionlib, C/C++ interface
 This package is part of Robot OS (ROS), and contains the common
 messages to interact with an action server and an action client.  For
 full documentation of the actionlib API see the
 https://wiki.ros.org/actionlib package.
 .
 This package contains the generated headers files.

Package: libactionlib0d
Description-md5: 12ff608516b002ec1c7e4b9768f274ba
Description-en: Robot OS actionlib library
 This package is part of Robot OS (ROS). The actionlib stack provides
 a standardized interface for interfacing with preemptable
 tasks. Examples of this include moving the base to a target location,
 performing a laser scan and returning the resulting point cloud,
 detecting the handle of a door, etc.
 .
 This package contains the library itself.

Package: libactivation-java
Description-md5: 00f3f38931d7c19d256ed72a481501fe
Description-en: JavaBeans Activation Framework
 The JavaBeans Activation Framework (JAF) is a standard extension to the Java
 platform that lets you take advantage of standard services to: determine the
 type of an arbitrary piece of data; encapsulate access to it; discover the
 operations available on it; and instantiate the appropriate bean to perform
 the operation(s).

Package: libactivemq-activeio-java
Description-md5: e61dfa663454b1f6b270b99e1dfd1955
Description-en: ActiveMQ ActiveIO protocol implementation framework
 Apache ActiveMQ is a message broker built around Java Message Service (JMS)
 API : allow sending messages between two or more clients in a loosely coupled,
 reliable, and asynchronous way.
 .
 ActiveMQ ActiveIO provides a high performance IO framework for
 implementing network protocols.
 .
 This package, used by Apache ActiveMQ, contains a Java library for ActiveIO.

Package: libactivemq-activeio-java-doc
Description-md5: e9b1bc7c5a41eb9cd293d54fc77715bc
Description-en: ActiveMQ ActiveIO protocol implementation framework - documentation
 Apache ActiveMQ is a message broker built around Java Message Service (JMS)
 API : allow sending messages between two or more clients in a loosely coupled,
 reliable, and asynchronous way.
 .
 ActiveMQ ActiveIO provides a high performance IO framework for
 implementing network protocols.
 .
 This package contains Javadoc API for libactivemq-activeio-java.

Package: libactivemq-java
Description-md5: b7875bda385f5f6b4e36597054392132
Description-en: Java message broker core libraries
 Apache ActiveMQ is a message broker built around Java Message Service (JMS)
 API : allow sending messages between two or more clients in a loosely coupled,
 reliable, and asynchronous way.
 .
 This message broker supports :
  * JMS 1.1 and J2EE 1.4 with support for transient, persistent, transactional
    and XA messaging
  * Spring Framework, CXF and Axis integration
  * pluggable transport protocols such as in-VM, TCP, SSL, NIO, UDP, multicast,
    JGroups and JXTA
  * persistence using JDBC along with journaling
  * OpenWire (cross language wire protocol) and
    Stomp (Streaming Text Orientated Messaging Protocol) protocols
 .
 This package contains a core Java library for ActiveMQ.

Package: libactivemq-protobuf-java
Description-md5: 9437bb364cb40551b138e74e855d4d81
Description-en: ActiveMQ Protocol Buffers Maven plugin
 Apache ActiveMQ is a message broker built around Java Message Service (JMS)
 API : allow sending messages between two or more clients in a loosely coupled,
 reliable, and asynchronous way.
 .
 "Protocol Buffers" are language-neutral, platform-neutral, extensible way of
 serializing structured data for use in communications protocols
 or data storage.
 .
 This package, used by Apache ActiveMQ, provide a simple Protocol Buffer
 Java API. It comes with a built in proto file compiler and
 Java source code generator (as a Maven plugin).

Package: libactivemq-protobuf-java-doc
Description-md5: 10a907a7444762a19be2438a811e8009
Description-en: ActiveMQ Protocol Buffers Maven plugin - documentation
 Apache ActiveMQ is a message broker built around Java Message Service (JMS)
 API : allow sending messages between two or more clients in a loosely coupled,
 reliable, and asynchronous way.
 .
 "Protocol Buffers" are language-neutral, platform-neutral, extensible way of
 serializing structured data for use in communications protocols
 or data storage.
 .
 This package contains Javadoc API for libactivemq-protobuf-java.

Package: libad9361-0
Description-md5: a9bbd11a54587fb60df951b06e943e07
Description-en: Library of functions specific to the Analog Devices AD9361
 This library contains a few functions useful to configure and use the
 Analog Devices AD9361 Agile Transceiver.
 .
 The device combines an RF front end with a flexible mixed-signal
 baseband section and integrated frequency synthesizers. The AD9361
 operates in the 70 MHz to 6.0 GHz range, covering most licensed and
 unlicensed bands. Channel bandwidths from less than 200 kHz to 56 MHz
 are supported. This library provides hardware support for Software
 Defined Radio aplications.
 .
 Using libiio allows use with both locally attached devices and
 hardware available over a network link.

Package: libad9361-dev
Description-md5: df8fb4cd9e7c43d5c4b886b9a6b0793b
Description-en: Development files specific to the Analog Devices AD9361
 This library contains a few functions useful to configure and use the
 Analog Devices AD9361 Agile Transceiver.
 .
 The device combines an RF front end with a flexible mixed-signal
 baseband section and integrated frequency synthesizers. The AD9361
 operates in the 70 MHz to 6.0 GHz range, covering most licensed and
 unlicensed bands. Channel bandwidths from less than 200 kHz to 56 MHz
 are supported. This library provides hardware support for Software
 Defined Radio aplications.
 .
 Using libiio allows use with both locally attached devices and
 hardware available over a network link.
 .
 This package contains the development files.

Package: libadacgi3
Description-md5: da0ee1d23ca5c3bd75ce2a44d7695b9a
Description-en: Ada CGI interface: shared library
 This is David A. Wheeler's Ada 95 interface to the "Common Gateway
 Interface" (CGI).  This makes it easier to create Ada programs that
 can be invoked by HTTP servers using the standard CGI interface.
 .
 This package contains the runtime shared library.

Package: libadacgi3-dev
Description-md5: 3e57052a5c95ecb8e66883f8360c0349
Description-en: Ada CGI interface: development
 This is David A. Wheeler's Ada 95 interface to the "Common Gateway
 Interface" (CGI).  This makes it easier to create Ada programs that
 can be invoked by HTTP servers using the standard CGI interface.
 .
 Install this package if you want to write programs that use AdaCGI.

Package: libadasockets9
Description-md5: 82d295d79b1cf377e57701508f32b17d
Description-en: bindings for socket services in Ada: shared library
 This package provides a binding for socket services to be used with GNAT
 (the GNU Ada compiler). One can use it to write clients and servers that
 will talk with programs written in Ada or any other programming language.
 .
 This package contains the library needed to execute Ada program using sockets.

Package: libadasockets9-dev
Description-md5: bf5cbd0bbddd9e74701099b5d13df0f4
Description-en: bindings for socket services in Ada: development files
 This package provides a binding for socket services to be used with GNAT
 (the GNU Ada compiler). One can use it to write clients and servers that
 will talk with programs written in Ada or any other programming language.
 .
 This package provides the Ada programmer with headers and libraries used
 to build Ada programs that use sockets services.

Package: libaddresses-dev
Description-md5: 77ec4e73f6401222a2f61deee02f233b
Description-en: Database API backend framework for GNUstep (development files)
 This backend provides complete access to address information for
 applications. It is source-code compatible with Apple
 Corporation's AddressBook.framework.
 .
 This package contains the development files.

Package: libaddresses0
Description-md5: 3c47c879b1008db892e8c063bfce221c
Description-en: Database API backend framework for GNUstep (library files)
 This backend provides complete access to address information for
 applications. It is source-code compatible with Apple
 Corporation's AddressBook.framework.
 .
 This package contains the runtime libraries.

Package: libaddressview-dev
Description-md5: 5fdf286b3ece00e2582e9440c0e4adfe
Description-en: Address display/edit framework for GNUstep (development files)
 This framework provides specialized view classes to applications
 which want to display addresses to the user in a graphical form.
 .
 This package contains the development files.

Package: libaddressview0
Description-md5: b4dd3ab05d5585ecc1e315b7d953872d
Description-en: Address display/edit framework for GNUstep (library files)
 This framework provides specialized view classes to applications
 which want to display addresses to the user in a graphical form.
 .
 This package contains the runtime libraries.

Package: libadios-bin
Description-md5: 8311759d9b82cbc65202227f83011d29
Description-en: ADIOS Adaptable IO system for simulations - binaries
 The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists
 to describe the data in their code that may need to be written, read,
 or processed outside of the running simulation. By providing an external
 to the code XML file describing the various elements, their types, and
 how you wish to process them this run, the routines in the host code
 (either Fortran or C) can transparently change how they process the data.
 .
 This package provides tools for use with ADIOS.

Package: libadios-dev
Description-md5: 71e72529695341b250e71f1f1a14606c
Description-en: ADIOS Adaptable IO system for simulations
 The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists
 to describe the data in their code that may need to be written, read,
 or processed outside of the running simulation. By providing an external
 to the code XML file describing the various elements, their types, and
 how you wish to process them this run, the routines in the host code
 (either Fortran or C) can transparently change how they process the data.

Package: libadios-examples
Description-md5: f8d91e7505ce5aaa22c795a530bd909e
Description-en: Examples for the ADIOS Adaptable IO system
 The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists
 to describe the data in their code that may need to be written, read,
 or processed outside of the running simulation. By providing an external
 to the code XML file describing the various elements, their types, and
 how you wish to process them this run, the routines in the host code
 (either Fortran or C) can transparently change how they process the data.

Package: libadios-mpich-dev
Description-md5: 9172c2cc3d9ab7fd4806bfb91ada5693
Description-en: ADIOS Adaptable IO system (MPICH development files)
 The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists
 to describe the data in their code that may need to be written, read,
 or processed outside of the running simulation. By providing an external
 to the code XML file describing the various elements, their types, and
 how you wish to process them this run, the routines in the host code
 (either Fortran or C) can transparently change how they process the data.

Package: libadios-openmpi-dev
Description-md5: 2a2851d651770a9aa87be5d04ebfd4d0
Description-en: ADIOS Adaptable IO system (OpenMPI development files)
 The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists
 to describe the data in their code that may need to be written, read,
 or processed outside of the running simulation. By providing an external
 to the code XML file describing the various elements, their types, and
 how you wish to process them this run, the routines in the host code
 (either Fortran or C) can transparently change how they process the data.

Package: libadmesh-dev
Description-md5: 3e16e50a4d5fbd3b8f331c07c062e8a1
Description-en: Tool for processing triangulated solid meshes. Development files
 Currently, ADMesh only reads the STL file
 format that is used for rapid prototyping applications,
 although it can write STL, VRML, OFF, and DXF files.
 Some features of admesh are: Fill holes in the mesh
 by adding facets. Repair facets by connecting
 nearby facets. Repair normal directions
 (i.e. facets should be CCW) Remove degenerate
 facets (i.e. facets with 2 or more vertices equal)
 .
 The package contains development files.

Package: libadmesh1
Description-md5: 1ef195f577f30cdc8e28961d7e1b643b
Description-en: Tool for processing triangulated solid meshes. Shared library
 Currently, ADMesh only reads the STL file
 format that is used for rapid prototyping applications,
 although it can write STL, VRML, OFF, and DXF files.
 Some features of admesh are: Fill holes in the mesh
 by adding facets. Repair facets by connecting
 nearby facets. Repair normal directions
 (i.e. facets should be CCW) Remove degenerate
 facets (i.e. facets with 2 or more vertices equal)
 .
 The package contains shared library.

Package: libadms0
Description-md5: 8fe61d0c97462fdcaec3450ead9d4ff4
Description-en: Shared library for automatic device model synthesizer
 ADMS is a code generator that converts electrical compact device models
 specified in high-level description language into
 ready-to-compile c code for the API of spice simulators.  Based on
 transformations specified in xml language adms transforms Verilog-AMS
 code into other target languages.
 .
 This package contains the shared library for ADMS

Package: libadns1
Description-md5: 2d4806e0537386505c70d730ab8e8791
Description-en: Asynchronous-capable DNS client library
 adns is a resolver library for C (and C++) programs.  In contrast
 with the existing interfaces, gethostbyname et al and libresolv, it
 can be used in an asynchronous, non-blocking manner, and has a
 convenient interface which doesn't require the application to handle
 any DNS data formats.  Many queries can be handled simultaneously.
 .
 This package contains the runtime library.

Package: libadns1-dbg
Description-md5: 7e3b9eebc75d292d5e2a918730f4345e
Description-en: Asynchronous-capable DNS client library (debugging symbols)
 adns is a resolver library for C (and C++) programs.  In contrast
 with the existing interfaces, gethostbyname et al and libresolv, it
 can be used in an asynchronous, non-blocking manner, and has a
 convenient interface which doesn't require the application to handle
 any DNS data formats.  Many queries can be handled simultaneously.
 .
 This package contains the debugging symbols associated with libadns1,
 for debugging adns and programs that use it.

Package: libadns1-dev
Description-md5: fb92a9996d214b529b3862cd77bda817
Description-en: Asynchronous-capable DNS client library (development)
 adns is a resolver library for C (and C++) programs.  In contrast
 with many existing interfaces, it can be used in an asynchronous,
 non-blocking manner, and has a convenient interface which doesn't
 require the application to handle any DNS data formats.  Many queries
 can be handled simultaneously.
 .
 This package contains the development files used for C/C++ programs.

Package: libadolc-dev
Description-md5: adee44c499c574b86ccfec2cf875282d
Description-en: ADOLC development libs and headers
 The ADOLC system automatically calculates exact derivatives of a
 C/C++ function.  It uses C++ overloading to record arithmetic
 operations, which it plays back later in various ways to calculate
 the requested values.
 .
 This package contains the development library, header files,
 and user's manual.

Package: libadolc2
Description-md5: 612313b21da223f936ccfc1c37b180c3
Description-en: ADOLC automatic differentiation system, runtime libs
 The ADOLC system automatically calculates exact derivatives of a
 C/C++ function.  It uses C++ overloading to record arithmetic
 operations, which it plays back later in various ways to calculate
 the requested values.
 .
 This package contains the runtime library.

Package: libadplug-2.3.1-0
Description-md5: 7e7e98f29b1725bcee0464b28e37715b
Description-en: free AdLib sound library
 AdPlug is a free, cross-platform, hardware independent AdLib sound player
 library, mainly written in C++ and released under the LGPL. AdPlug plays
 sound data, originally created for the AdLib (OPL2) and Sound Blaster
 (Dual OPL2/OPL3) audio boards, directly from its original format on top
 of an emulator or by using the real hardware. No OPL chip is required
 for playback.

Package: libadplug-dev
Description-md5: 5e5a249b161a7cfdfd94f8ab462cfad7
Description-en: free AdLib sound library (development)
 AdPlug is a free, cross-platform, hardware independent AdLib sound player
 library, mainly written in C++ and released under the LGPL. AdPlug plays
 sound data, originally created for the AdLib (OPL2) and Sound Blaster
 (Dual OPL2/OPL3) audio boards, directly from its original format on top
 of an emulator or by using the real hardware. No OPL chip is required
 for playback.
 .
 This package contains the development files.

Package: libaec-dev
Description-md5: 0c13551d42241e920faa6740d7069ce9
Description-en: Development files for the Adaptive Entropy Coding library
 Libaec provides fast lossless compression of 1 up to 32 bit wide
 signed or unsigned integers (samples). The library achieves best
 results for low entropy data as often encountered in space imaging
 instrument data or numerical model output from weather or climate
 simulations. While floating point representations are not directly
 supported, they can also be efficiently coded by grouping exponents
 and mantissa.

Package: libaec-tools
Description-md5: 8e346182eaf04f15a9a5a8ec50eb5cf1
Description-en: Adaptive Entropy Coding library (utilies)
 Libaec provides fast lossless compression of 1 up to 32 bit wide
 signed or unsigned integers (samples).
 .
 This package provides the aec compression utility.

Package: libaec0
Description-md5: 6f869029a4e6830b22265120c82b6704
Description-en: Adaptive Entropy Coding library
 Libaec provides fast lossless compression of 1 up to 32 bit wide
 signed or unsigned integers (samples). The library achieves best
 results for low entropy data as often encountered in space imaging
 instrument data or numerical model output from weather or climate
 simulations. While floating point representations are not directly
 supported, they can also be efficiently coded by grouping exponents
 and mantissa.
 .
 Libaec implements Golomb Rice coding as defined in the Space Data
 System Standard documents 121.0-B-2 [1] and 120.0-G-2[2].

Package: libaether-ant-tasks-java
Description-md5: 916645b8b26411261dedece913d3c768
Description-en: Aether Ant Tasks
 The Aether Ant Tasks enable build scripts for Apache Ant 1.7+ to use
 Eclipse Aether combined to Apache Maven Aether Provider to resolve
 dependencies and install and deploy locally built artifacts.

Package: libafflib-dev
Description-md5: 2ae8d5a3d0348fefeaffd2ebe22e5364
Description-en: Advanced Forensics Format Library (development files)
 The Advanced Forensic Format (AFF) is on-disk format for storing
 computer forensic information. Critical features of AFF include:
 .
  - AFF allows you to store both computer forensic data and associated
    metadata in one or more files.
  - AFF allows files to be digital signed, to provide for
    chain-of-custody and long-term file integrity.
  - AFF allows for forensic disk images to stored encrypted and
    decrypted on-the-fly for processing. This allows disk images
    containing privacy sensitive material to be stored on the Internet.
 .
 This package provides the development files.

Package: libafflib0v5
Description-md5: af6798e2a3a9c033f2500d14b211f588
Description-en: Advanced Forensics Format Library
 The Advanced Forensic Format (AFF) is on-disk format for storing
 computer forensic information. Critical features of AFF include:
 .
  - AFF allows you to store both computer forensic data and associated
    metadata in one or more files.
  - AFF allows files to be digital signed, to provide for
    chain-of-custody and long-term file integrity.
  - AFF allows for forensic disk images to stored encrypted and
    decrypted on-the-fly for processing. This allows disk images
    containing privacy sensitive material to be stored on the Internet.

Package: libafs-pag-perl
Description-md5: a97184371753605a9d94e095b53721cb
Description-en: Perl bindings for AFS PAG manipulation
 AFS::PAG provides the standard PAG and token manipulation functions
 setpag and unlog to Perl programs as a native module.  It also provides
 the hasafs and haspag functions to detect whether AFS is running and
 whether the current process is in a PAG.

Package: libafsauthent2
Description-md5: a0feedf62f2fa5d341102110cd7a1d2b
Description-en: AFS distributed file system runtime library (authentication)
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides the shared library implementing AFS client
 authentication and token management.

Package: libafsrpc2
Description-md5: 7523708cbcd58ca51ccd0bb131f7807a
Description-en: AFS distributed file system runtime library (RPC layer)
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides the shared library implementing the Rx protocol and
 other AFS RPC functionality.

Package: libaften-dev
Description-md5: 7ce409ebeb11e75d213a53fae4574c3e
Description-en: audio AC3 encoder - development files
 Aften is an audio encoder which generates compressed audio streams based on
 ATSC A/52 specification. This type of audio is also known as AC-3 or Dolby®
 Digital and is one of the audio codecs used in DVD-Video content.
 .
 This package contains the development files.

Package: libaften0
Description-md5: 7dec1029e6e37a968d519646a46fc3fb
Description-en: audio AC3 encoder - runtime files
 Aften is an audio encoder which generates compressed audio streams based on
 ATSC A/52 specification. This type of audio is also known as AC-3 or Dolby®
 Digital and is one of the audio codecs used in DVD-Video content.
 .
 This package contains the runtime files.

Package: libafterburner.fx-java
Description-md5: 0e2d3f5d3002b444b5e27ff333f72fd5
Description-en: minimalistic JavaFX MVP framework
 afterburner.fx is a minimalistic JavaFX MVP framework based on Convention
 over Configuration and Dependency Injection, providing the following:
 .
  - "Zero-Configuration" javax.inject.Inject DI of models or services
    into presenters.
  - Convention-based unification of presenter, view, FXML and css.
  - Conventional resource bundle loading.
  - Injection of System.getProperties.
  - Injection of presenter-local configuration properties (system
    properties are overriding the local configuration).
 .
 Afterburner is a "Just-Enough-Framework" extracted from
 airhacks-control and used in airpad, lightfish and floyd applications.

Package: libafterburner.fx-java-doc
Description-md5: 555f35e1c26916f0e4238429a197d0db
Description-en: Documentation for afterburner.fx
 afterburner.fx is a minimalistic JavaFX MVP framework based on Convention
 over Configuration and Dependency Injection
 .
 This package contains the API documentation of libafterburner.fx-java.

Package: libafterimage-dev
Description-md5: 3695b6bea04881b4edf0cd7a5e0cb246
Description-en: imaging library designed for AfterStep - development files
 libAfterImage is the imaging library implemented for AfterStep
 X Window Manager. It has been generalized to be suitable for any
 application in need of robust graphics engine.
 .
 It provides facilities for loading images from files of different
 formats, compressed in memory storage of images, scaling,
 tinting/shading, flipping and superimposition of arbitrary number of
 images over each other. In addition it allows for linear gradients
 drawing, and antialiased/smoothed text drawing using both  FreeType
 library and X Window fonts.
 .
 This package contains the header files and static library needed to
 compile applications that use libAfterImage.

Package: libafterimage0
Description-md5: e732b13d84b7e0cadf42cef32865b18f
Description-en: imaging library designed for AfterStep - runtime files
 libAfterImage is the imaging library implemented for AfterStep
 X Window Manager. It has been generalized to be suitable for any
 application in need of robust graphics engine.
 .
 It provides facilities for loading images from files of different
 formats, compressed in memory storage of images, scaling,
 tinting/shading, flipping and superimposition of arbitrary number of
 images over each other. In addition it allows for linear gradients
 drawing, and antialiased/smoothed text drawing using both  FreeType
 library and X Window fonts.
 .
 This package contains the libAfterImage runtime library.

Package: libafterstep1
Description-md5: aaa29f714fcfa5fc5a73052c11dac9d0
Description-en: shared libraries for the AfterStep window manager
 AfterStep is a window manager based on FVWM which attempts to emulate
 the NEXTSTEP look and feel, while retaining the configurability of its
 predecessor.  Note that this window manager makes extensive use of the
 color palette.  If you have only an 8-bit color display, it will run
 as packaged, but you may want to modify the configuration to use fewer
 colors.
 .
 This package contains runtime libraries needed by AfterStep.

Package: libagg-dev
Description-md5: a2d885e53d68cb4a773454066d96672a
Description-en: AntiGrain Geometry graphical toolkit (static development files)
 Anti-Grain Geometry (AGG) is a general purpose graphical toolkit written
 completely in standard and platform independent C++. It can be used in many
 areas of computer programming where high quality 2D graphics is an essential
 part of the project.
 .
 This package contains the development files for building applications using
 agg, static libraries only.

Package: libagg2
Description-md5: 2cbac8e5d0f10ef430c6669d31b6c72e
Description-en: AntiGrain Geometry graphical toolkit (runtime files)
 Anti-Grain Geometry (AGG) is a general purpose graphical toolkit written
 completely in standard and platform independent C++. It can be used in many
 areas of computer programming where high quality 2D graphics is an essential
 part of the project.
 .
 This package contains runtime libraries for applications built using the
 libagg shared library.

Package: libagg2-dev
Description-md5: 4ce44c80b7226cf1ef262a4c9c3b409f
Description-en: AntiGrain Geometry graphical toolkit (static and shared development files)
 Anti-Grain Geometry (AGG) is a general purpose graphical toolkit written
 completely in standard and platform independent C++. It can be used in many
 areas of computer programming where high quality 2D graphics is an essential
 part of the project.
 .
 This package contains the development files for building applications using
 agg, static and shared libraries.

Package: libagrep-ocaml
Description-md5: f7d07cceddd21f8631f0bd6d97f2cc29
Description-en: Wu-Manber algorithm for string searching with errors
 This OCaml library implements the Wu-Manber algorithm for string searching
 with errors, popularized by the "agrep" Unix command and the "glimpse" file
 indexing tool. It was developed as part of a search engine for a largish MP3
 collection; the "with error" searching comes handy for those who can't spell
 Liszt or Shostakovitch.
 .
 Given a search pattern and a string, this algorithm determines whether the
 string contains a substring that matches the pattern up to a parameterizable
 number N of "errors". An "error" is either a substitution (replace a
 character of the string with another character), a deletion (remove a
 character) or an insertion (add a character to the string). In more
 scientific terms, the number of errors is the Levenshtein edit distance
 between the pattern and the matched substring.
 .
 The search patterns are roughly those of the Unix shell, including
 one-character wildcard (?), character classes ([0-9]) and multi-character
 wildcard (*). In addition, conjunction (&) and alternative (|) are supported.
 General regular expressions are not supported, however.
 .
 This package contains only the shared runtime stub libraries.

Package: libagrep-ocaml-dev
Description-md5: 4dfc71d02cdeb8bcdb4b4b81b3623b5a
Description-en: Wu-Manber algorithm for string searching with errors
 This OCaml library implements the Wu-Manber algorithm for string searching
 with errors, popularized by the "agrep" Unix command and the "glimpse" file
 indexing tool. It was developed as part of a search engine for a largish MP3
 collection; the "with error" searching comes handy for those who can't spell
 Liszt or Shostakovitch.
 .
 Given a search pattern and a string, this algorithm determines whether the
 string contains a substring that matches the pattern up to a parameterizable
 number N of "errors". An "error" is either a substitution (replace a
 character of the string with another character), a deletion (remove a
 character) or an insertion (add a character to the string). In more
 scientific terms, the number of errors is the Levenshtein edit distance
 between the pattern and the matched substring.
 .
 The search patterns are roughly those of the Unix shell, including
 one-character wildcard (?), character classes ([0-9]) and multi-character
 wildcard (*). In addition, conjunction (&) and alternative (|) are supported.
 General regular expressions are not supported, however.
 .
 This package contains all the development stuff you need to use
 the agrep OCaml library in your programs.

Package: libags-audio-dev
Description-md5: 27deccc96d59feefeaa9213bf5ce4b62
Description-en: Advanced Gtk+ Sequencer audio processing engine (Development files)
 libags_audio is a tree based audio processing library built upon GObject and
 libags. It allows  you to do audio processing supporting LADSPA, DSSI and Lv2
 plugin support. Audio data is processed multi-threaded.
 .
 This package includes the needed header files to develop with
 Advanced Gtk+ Sequencer audio processing library.

Package: libags-audio-doc
Description-md5: 874a1289ca5d65cd81ecb2121c514ef1
Description-en: Advanced Gtk+ Sequencer audio processing engine (API documentation)
 libags_audio is a tree based audio processing library built upon GObject and
 libags. It allows  you to do audio processing supporting LADSPA, DSSI and Lv2
 plugin support. Audio data is processed multi-threaded.
 .
 This package contains the API reference in html format of
 Advanced Gtk+ Sequencer audio processing library.

Package: libags-audio3
Description-md5: 72a0d1a628f8e72339e8f8c12b2d2c1e
Description-en: Advanced Gtk+ Sequencer audio processing library
 libags_audio is a tree based audio processing library built upon GObject and
 libags. It allows  you to do audio processing supporting LADSPA, DSSI and Lv2
 plugin support. Audio data is processed multi-threaded.

Package: libags-dev
Description-md5: 9fe56f0637912d67753c345369f7491d
Description-en: Advanced Gtk+ Sequencer core library (Development files)
 libags is a general purpose application context library built upon GObject
 providing persistence and configuration. It allows you to organize threads in
 a tree hierarchy that is synced 1000 times per second per default. Since it is
 written in a object orientated manner you might want to override its default
 behaviour.
 .
 This package includes the needed header files to develop with
 Advanced Gtk+ Sequencer base library.

Package: libags-doc
Description-md5: 02504e584f670eb97d88a62b2fad661f
Description-en: Advanced Gtk+ Sequencer core library (API documentation)
 libags is a general purpose application context library built upon GObject
 providing persistence and configuration. It allows you to organize threads in
 a tree hierarchy that is synced 1000 times per second per default. Since it is
 written in a object orientated manner you might want to override its default
 behaviour.
 .
 This package contains the API reference in html format of
 Advanced Gtk+ Sequencer base library.

Package: libags-gui-dev
Description-md5: 213446d1ffa84ed06cfd63767976d443
Description-en: Advanced Gtk+ Sequencer widget library (Development files)
 libags_gui is a widget library built upon Gtk+-3.0.
 .
 This package includes the needed header files to develop with
 Advanced Gtk+ Sequencer UI widget library.

Package: libags-gui-doc
Description-md5: 048d82853b2005931e0bbcce96ef8524
Description-en: Advanced Gtk+ Sequencer widget library (API documentation)
 libags_gui is a widget library built upon Gtk+-3.0.
 .
 This package contains the API reference in html format of
 Advanced Gtk+ Sequencer UI widget library.

Package: libags-gui3
Description-md5: fe624cd1a02508f933f1b2e1f333255c
Description-en: Advanced Gtk+ Sequencer widget library
 libags_gui is a widget library built upon Gtk+-3.0.
 It contains widgets like
  - LED
  - dial
  - indicator
  - cartesian
  - ruler

Package: libags3
Description-md5: 264127586245ba99045b10de63a8aad9
Description-en: Advanced Gtk+ Sequencer core library
 libags is a general purpose application context library built upon GObject
 providing persistence and configuration. It allows you to organize threads in
 a tree hierarchy that is synced 1000 times per second per default. Since it is
 written in a object orientated manner you might want to override its default
 behaviour.

Package: libahven-doc
Description-md5: 193597313d174082ab3a9c35889e6112
Description-en: Unit test library for Ada (documentation)
 Ahven is a simple unit test library for the Ada 95 programming language. It
 is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is
 free software distributed under permissive ISC license and should work with any
 Ada 95 compiler.
 .
 This package contains the documentation.

Package: libahven29
Description-md5: 794b018c01b3cffba9255f940e351fb0
Description-en: Unit test library for Ada
 Ahven is a simple unit test library for the Ada 95 programming language. It
 is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is
 free software distributed under permissive ISC license and should work with any
 Ada 95 compiler.

Package: libahven8-dev
Description-md5: 6e54401a37b269c5decb82e27a009a12
Description-en: Unit test library for Ada (development)
 Ahven is a simple unit test library for the Ada 95 programming language. It
 is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is
 free software distributed under permissive ISC license and should work with any
 Ada 95 compiler.
 .
 This package contains the development files.

Package: libai-decisiontree-perl
Description-md5: be5b849e4f8c832250a9ddf56c0900ed
Description-en: module to create decision trees from training data
 The AI::DecisionTree module automatically creates so-called "decision trees"
 to explain a set of training data. A decision tree is a kind of categorizer
 that use a flowchart-like process for categorizing new instances. This
 implementation uses the gain obtained at each node in order to figure out
 what are the most useful information in order to take decisions.

Package: libai-fann-perl
Description-md5: 8d56f28d776bd7e31a1837422a87b5ed
Description-en: Perl wrapper for the FANN library
 This module provides a Perl wrapper for the Fast Artificial Neural Network
 (FANN) library (http://leenissen.dk/fann/wp/).
 .
 The AI::FANN object oriented interface provides an almost direct map to the
 C library API.

Package: libaiksaurus-1.2-0c2a
Description-md5: 547746dab61779644273faa87b90dbb6
Description-en: This package provides an English-language thesaurus (development)
 Aiksaurus is an English-language thesaurus that is suitable for integration
 with word processors, email composers, and other authoring software.
 .
 This package contains the shared library.

Package: libaiksaurus-1.2-data
Description-md5: 5e419cb8b87fa0717be6e39b76c3acd5
Description-en: This package provides an English-language thesaurus (data)
 Aiksaurus is an English-language thesaurus that is suitable for integration
 with word processors, email composers, and other authoring software.
 .
 This package contains thesaurus data.

Package: libaiksaurus-1.2-dev
Description-md5: 8fb88e76f401b43d4bf6041431214605
Description-en: This package provides an English-language thesaurus (development files)
 Aiksaurus is an English-language thesaurus that is suitable for integration
 with word processors, email composers, and other authoring software.
 .
 This package contains files needed for development with Aiksaurus.

Package: libaiksaurusgtk-1.2-0c2a
Description-md5: cf10f44577d9f25894bb7a4dd3f0bac0
Description-en: graphical interface to the Aiksaurus toolkit (library)
 This is a graphical interface to the Aiksaurus English language thesaurus
 programming toolkit, meant to be embedded in other applications.  A
 standalone AiksaurusGTK program is available in libaiksaursgtk-bin.
 .
 This is the runtime component of AiksaurusGTK, needed to run applications
 built with it.

Package: libaiksaurusgtk-1.2-dev
Description-md5: 4f5c10ccc6ef42051d8219c8bedfb7ac
Description-en: graphical interface to the Aiksaurus toolkit (development)
 This is a graphical interface to the Aiksaurus English language thesaurus
 programming toolkit, meant to be embedded in other applications.  A
 standalone AiksaurusGTK program is available in libaiksaursgtk-bin.
 .
 This is the development component of AiksaurusGTK, needed to develop
 applications with AiksaurusGTK.

Package: libair-modes1
Description-md5: de9c42f0df66feb5e1c1e93825f66091
Description-en: Gnuradio Mode-S/ADS-B radio - library
 A software-defined radio receiver for Mode S
 transponder signals, including ADS-B reports from equipped aircraft.
 .
 This package provides a shared library.

Package: libairline-java
Description-md5: 92de852475a9fe81635e1d7bac77ded2
Description-en: Java annotation-based framework for parsing command line parameters
 Airline is a Java annotation-based framework for parsing Git like
 command line structures. Airline contains a fully automated help system,
 which generates man-page-like documentation driven by the Java annotations.

Package: libairspy-dev
Description-md5: 0e9031eb7dbc3783ce2c59cf71f528d4
Description-en: Tiny and efficient software defined radio receiver - development
 Airspy is an open source Software Defined Radio that can receive
 between 24 MHz and 1750 MHz. Airspy has a 10 MHz bandwidth.
 12bit ADC @ 20 MSPS (80dB SFDR, 64dB SNR, 10.4 ENOB)
 It is a High Speed USB device powered by the USB bus.
 .
 This package contains development files.

Package: libairspy0
Description-md5: a5f4eb4607ecf1df83db7ed5f4f089a6
Description-en: Tiny and efficient software defined radio receiver - library
 Airspy is an open source Software Defined Radio that can receive
 between 24 MHz and 1750 MHz. Airspy has a 10 MHz bandwidth.
 12bit ADC @ 20 MSPS (80dB SFDR, 64dB SNR, 10.4 ENOB)
 It is a High Speed USB device powered by the USB bus.
 .
 This package contains the shared library.

Package: libairspyhf-dev
Description-md5: 24cacfde8a494d91fdee99f29a6662f8
Description-en: HF+VHF software defined radio receiver - development
 Airspy HF+ is an open source Software Defined Radio that can receive
 9 kHZ to 31 MHz and 60 MHz to 260 MHz.
 It is a High Speed USB device powered by the USB bus.
 .
 This package contains development files.

Package: libairspyhf1
Description-md5: d64d6ed88b5469a7443750cc3ed0ed9f
Description-en: HF+VHF software defined radio receiver - library
 Airspy HF+ is an open source Software Defined Radio that can receive
 9 kHZ to 31 MHz and 60 MHz to 260 MHz.
 It is a High Speed USB device powered by the USB bus.
 .
 This package contains the shared library.

Package: libajaxtags-java
Description-md5: 5a8948b33aa53b400b2afc700208cb8d
Description-en: Java based library supporting AJAX in Java Server Pages
 The AJAX Tag Library is a set of JSP tags that simplify the use of
 Asynchronous JavaScript and XML (AJAX) technology in JavaServer Pages.
 .
 This tag library does not force J2EE developers to write the necessary
 JavaScript to implement an AJAX-capable web form. The tag library provides
 support for live form updates for the following use cases:
  - autocomplete based on character input to an input field
  - select box population based on selections made from another field
  - callout or balloon popups for highlighting content
  - refreshing form fields
  - toggling images and form field states on/off.

Package: libakai0
Description-md5: c1991637f84e9ef54646341510f514a2
Description-en: library for loading and modifying akai files
 libakai is a C++ library for loading, modifying and creating
 akai files.
 .
 This package contains the shared library files.

Package: libakuma-java
Description-md5: acc170428ea526927f0d7fdf0a0c7308
Description-en: Embeddable daemonization library for Java
 This is a Java library you can use in your application to support Unix
 daemonization. By taking advantages of POSIX API, this library lets you
 fork your process into background with proper daemonization steps.

Package: libakuma-java-doc
Description-md5: 06f45bdcf99a1eb01a73aa774322ad74
Description-en: Documentation for Embeddable daemonization library
 This is a Java library you can use in your application to support Unix
 daemonization. By taking advantages of POSIX API, this library lets you
 fork your process into background with proper daemonization steps.
 .
 This package provides the API documentation for libakuma-java.

Package: libalberta-dev
Description-md5: b25cba8f2ea2f2773152673b759fae8d
Description-en: adaptive finite element library (development files)
 ALBERTA is an adaptive finite element library for solving partial
 differential equations (PDEs).
 .
 It offers a hierarchical mesh and methods for 1d, 2d and 3d stationary
 as well as time dependent problems. It is written in C with speed and
 flexibility in mind.
 .
 This package contains the development files.

Package: libalberta4
Description-md5: afb017f8092816903f5edbc4a5573a11
Description-en: adaptive finite element library (library)
 ALBERTA is an adaptive finite element library for solving partial
 differential equations (PDEs).
 .
 It offers a hierarchical mesh and methods for 1d, 2d and 3d stationary
 as well as time dependent problems. It is written in C with speed and
 flexibility in mind.
 .
 This package contains the shared library.

Package: libaldmb1
Description-md5: 047823d43fb89f72640540c612b8dd8c
Description-en: dynamic universal music bibliotheque, Allegro version
 DUMB is a tracker library with support for IT, XM, S3M and MOD files. It
 targets maximum accuracy to the original formats, with low-pass resonant
 filters for the IT files, accurate timing and pitching, and three resampling
 quality settings (aliasing, linear interpolation and cubic interpolation).
 .
 This package contains the libaldmb1 runtime library, a library for module
 playback that uses the Allegro library. If you do not plan to use Allegro
 with your project, please consider the libdumb1 library.

Package: libaldmb1-dev
Description-md5: 2628c4027c60da4c5408a7e557ef8ba1
Description-en: development files for libaldmb1
 DUMB is a tracker library with support for IT, XM, S3M and MOD files. It
 targets maximum accuracy to the original formats, with low-pass resonant
 filters for the IT files, accurate timing and pitching, and three resampling
 quality settings (aliasing, linear interpolation and cubic interpolation).
 .
 This package contains the header files and static library needed to
 compile applications that use libaldmb1.

Package: libalglib-dev
Description-md5: b4738e0f01a0b7c1868e2aa5e279816b
Description-en: Development files for the alglib library
 ALGLIB is a cross-platform numerical analysis and data processing library.
 This package support C++. ALGLIB features include:
 .
    * Linear algebra (direct algorithms, EVD/SVD)
    * Solvers (linear and nonlinear)
    * Interpolation
    * Optimization
    * Fast Fourier transforms
    * Numerical integration
    * Linear and nonlinear least-squares fitting
    * Ordinary differential equations
    * Special functions
    * Statistics (descriptive statistics, hypothesis testing)
    * Data analysis (classification/regression, including neural networks)
 .
 This package contains the development files (headers and documentation) for
 ALGLIB.

Package: libalglib3.14
Description-md5: db996366870b5e4994f3be825a136ae6
Description-en: Numerical analysis and data processing library
 ALGLIB is a cross-platform numerical analysis and data processing library.
 This package support C++. ALGLIB features include:
 .
    * Linear algebra (direct algorithms, EVD/SVD)
    * Solvers (linear and nonlinear)
    * Interpolation
    * Optimization
    * Fast Fourier transforms
    * Numerical integration
    * Linear and nonlinear least-squares fitting
    * Ordinary differential equations
    * Special functions
    * Statistics (descriptive statistics, hypothesis testing)
    * Data analysis (classification/regression, including neural networks)

Package: libalgorithm-c3-perl
Description-md5: 86ae31ac257fe5e4d7d54694fd613746
Description-en: Perl module for merging hierarchies using the C3 algorithm
 The Algorithm::C3 module implements the C3 algorithm.
 .
 C3 is the name of an algorithm which aims to provide a sane method resolution
 order under multiple inheritence. It was first introduced in the language
 Dylan, and then later adopted as the preferred MRO (Method Resolution Order)
 for the new-style classes in Python 2.3. Most recently it has been adopted as
 the 'canonical' MRO for Perl 6 classes, and the default MRO for Parrot
 objects as well.

Package: libalgorithm-checkdigits-perl
Description-md5: 285e9d2968cb80958e9b5c41513f3744
Description-en: Perl extension to generate and test check digits
 This module provides a number of methods to test and generate checksum
 digits such as carrier trackers, ISBNs, banknotes, credit cards and a
 lot of other identification numbers.
 .
 It will check validity, complete partial numbers, provide base check
 numbers and extract check digits from several sequence of numbers.

Package: libalgorithm-combinatorics-perl
Description-md5: 572117ec59e9f8df5667432f6cd79bd7
Description-en: module for the efficient generation of combinatorial sequences
 Algorithm::Combinatorics is an efficient generator of combinatorial sequences.
 Algorithms are selected from the literature (work in progress). Iterators do
 not use recursion, nor stacks, and are written in C. See Math::Combinatorics
 for a pure-Perl module with similar (if less efficient) features.

Package: libalgorithm-dependency-perl
Description-md5: 237c48adcf321f82d8abdd36a42c09b9
Description-en: Base class for implementing various dependency trees in Perl
 Algorithm::Dependency is a framework for creating simple read-only dependency
 heirachies, where you have a set of items that rely on other items
 in the set, and require actions on them as well.

Package: libalgorithm-lbfgs-perl
Description-md5: 764dc61b293928e13bd578bf760e50df
Description-en: Perl interface to an L-BFGS non-linear optimization algorithm
 Algorithm::LBFGS is an interface to liblbfgs, a C implementation of L-BFGS.
 .
 L-BFGS (Limited-memory Broyden-Fletcher-Goldfarb-Shanno) is a quasi-Newton
 method for unconstrained optimization. This method is especially efficient on
 problems involving a large number of variables.
 .
 Generally, it solves a problem described as following:
 .
 min f(x), x = (x1, x2, ..., xn)

Package: libalgorithm-munkres-perl
Description-md5: a5a6d48a0f313cbbbf32784a58f7ec62
Description-en: extension for Munkres' solution to Assignment problem
 The Algorithm::Munkres module extends the solution of Assignment problem
 for square matrices to rectangular matrices by padding zeros. Thus a
 rectangular matrix is converted to square matrix by padding necessary zeros.
 .
 Assignment Problem: Given N jobs, N workers and the time taken by
 each worker to complete a job then how should the assignment of a
 Worker to a Job be done, so as to minimize the time taken.

Package: libalgorithm-naivebayes-perl
Description-md5: 7d760bca19c3eecbc8ba1d23a15214eb
Description-en: module to make bayesian prediction of categories
 Algorithm::NaiveBayes implements the classic "Naive Bayes" machine learning
 algorithm. It is a well-studied probabilistic algorithm often used in
 automatic text categorization. Compared to other algorithms (kNN, SVM,
 Decision Trees), it's pretty fast and reasonably competitive in the quality
 of its results.

Package: libalgorithm-numerical-sample-perl
Description-md5: 55934bfac98d9166aefe0da6bb7601c2
Description-en: Draw samples from a set
 This package gives two methods to draw fair, random samples from a set.
 There is a procedural interface for the case the entire set is known,
 and an object oriented interface when the a set with unknown size has
 to be processed.

Package: libalgorithm-permute-perl
Description-md5: 35b120ef36e978ba2914f7c532fa9963
Description-en: module to perform permutations with object oriented interface
 Algorithm::Permute makes performing permutation in Perl easy and fast,
 although perhaps its algorithm is not the fastest on the earth. It supports
 permutation r of n objects where 0 < r <= n.

Package: libalgorithm-svm-perl
Description-md5: 1ca6fbf200001c245865456b0f1b7a25
Description-en: bindings for the libsvm Support Vector Machine library
 Algorithm::SVM implements a Support Vector Machine for Perl. Support Vector
 Machines provide a method for creating classifcation functions from a set of
 labeled training data, from which predictions can be made for subsequent data
 sets.

Package: libalgorithms1
Description-md5: 3cb24e27a8853e212b935b9ee4a68f65
Description-en: GDAL add-on tools to perform useful raster processing - libalgorithms
 Pktools is a collection of programs to perform operations, mostly on
 raster geolocated  images. It  heavily relies  on the  Geospatial Data
 Abstraction Library (GDAL) and OGR.  The programs are similar to the
 GDAL tools (gdalinfo, gdal_translate,  gdal_merge, ...) and some of the
 functionalities provided in pktools already exist in the GDAL tools.
 .
 All utilities in pktools use command line options and have a built
 in help, and include more than thirty binaries to edit, change, crop,
 classify, compare, dump, fill, enhance images and many other
 common operations useful in the remote sensing field of image
 analysis.
 .
 This package contains the libalgorithms shared library.

Package: libalias-perl
Description-md5: e551a9a56f9c118087676b518634d849
Description-en: module for accessing perl variables through aliases
 You may find the Alias module useful if you:
 .
   * are tired of dereferencing hash-based object attributes
 .
   * wish perl could make-do with fewer $, -> and {} things
 .
   * are a little scared of using typeglobs
 .
   * want the freedom to put what you want, when you want in
     the symbol table without having to deal with weird syntax
 .
   * need to use scalar constants in your program since you don't
     trust yourself from changing $PI

Package: libaliased-perl
Description-md5: 907d53110776155ff28390c63e71939d
Description-en: Perl module to provide aliases of class names
 aliased is a module to alias class names to arbitrary aliases in the current
 module or script, which is especially useful with classes that are in deep
 class hierarchies. It is also handy if a class has been renamed.
 .
 Please note that this module only applies to loading object oriented modules,
 not for procedural modules in general. Fortunately this is rarely necessary
 in practise, since these modules support exporting of needed functions.

Package: libalien-gnuplot-perl
Description-md5: 822ae63984f15da9b42dc7e480c49292
Description-en: module to find and validate the gnuplot executable
 Alien::Gnuplot verifies existence and sanity of the gnuplot external
 application. It only declares one access method, Alien::Gnuplot::load_gnuplot,
 which does the actual work and is called automatically at load time.
 Alien::Gnuplot doesn't have any actual plotting methods - making use of
 gnuplot, once it is found and verified, is up to you or your client module.

Package: libalien-sdl-dev-perl
Description-md5: 0abf04ed92b9aa9b32b3391b731320b9
Description-en: helper  to build  Perl program using SDL libraries
 Perl's idea of a metapackage to provide C library dependencies for
 Perl SDL application.
 .
 When building from source, this package can be used to detect and get
 configuration settings from an installed SDL and related libraries. As
 a binary package, it provides information on native libs used when
 building this package.
 .
 Regarding the native C libraries, all packages built with
 libalien-sdl-perl must have at least the same build dependencies than
 libalien-sdl-perl. Since this list if not fun to maintain, this
 metapackage depends on all packages used to build libalien-sdl-perl
 (and also depends on libalien-sdl-perl).

Package: libalien-sdl-perl
Description-md5: e755a0979d58aec60a7e87c20af15614
Description-en: helper to get, build and use SDL libraries
 Perl's idea of a metapackage to provide C library dependencies for
 Perl SDL application.
 .
 When building from source, this package can be used to detect and get
 configuration settings from an installed SDL and related libraries. As
 a binary package, it provides on native libs used when
 building this package.
 .
 This package provides Perl module Alien::SDL

Package: libalien-wxwidgets-perl
Description-md5: 392060524689a923058a3c4333e54636
Description-en: Perl module for locating wxWidgets binaries
 Alien::WxWidgets is a simple Perl module that detects configuration settings
 of an installed wxWidgets. It is useful to assist in the building of modules
 that require wxWidgets, providing indispensible compile-related information
 like relevant linker and compiler flags.

Package: libalkimia5-7
Description-md5: f99eecee059241586be7e3d3919f4079
Description-en: Financial library common classes for KDE SC
 Libalkimia is a library with common classes and functionality used by
 finance applications for the KDE SC. Currently it supports a common
 class to represent monetary values with arbitrary precision.

Package: libalkimia5-dev
Description-md5: 195e96afe2ad3f4b2b7851a5a997e4d6
Description-en: Financial library - Development files
 Libalkimia is a library with common classes and functionality used by
 finance applications for the KDE SC. Currently it supports a common
 class to represent monetary values with arbitrary precision.
 .
 This package contains development files needed for Libalkimia.

Package: liballeggl4-dev
Description-md5: 40ff51e654f3dece2795af8f06c7f198
Description-en: development files for the allegrogl library
 This package contains the development headers for liballeggl.
 .
 AllegroGL is an Allegro add-on that allows you to use OpenGL alongside
 Allegro -- you use OpenGL for your rendering to the screen, and Allegro for
 miscellaneous tasks like gathering input, doing timers, getting cross-platform
 portability, loading data, and drawing your textures. So this library fills
 the same hole that things like glut do.
 .
 AllegroGL also automatically exposes most, if not all, OpenGL extensions
 available to user programs. This means you no longer have to manually load
 them; extension management is already done for you.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: liballeggl4.4
Description-md5: 126238cc34252455b577540d46ab0a19
Description-en: library to mix OpenGL graphics with Allegro routines
 AllegroGL is an Allegro add-on that allows you to use OpenGL alongside
 Allegro -- you use OpenGL for your rendering to the screen, and Allegro for
 miscellaneous tasks like gathering input, doing timers, getting cross-platform
 portability, loading data, and drawing your textures. So this library fills
 the same hole that things like glut do.
 .
 AllegroGL also automatically exposes most, if not all, OpenGL extensions
 available to user programs. This means you no longer have to manually load
 them; extension management is already done for you.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: liballegro-acodec5-dev
Description-md5: f4fc829bcc7525786ca1d44b7b855a9a
Description-en: header files for the Allegro 5 audio codec addon
 This package is required to build programs that use the Allegro 5 audio
 codec addon.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-acodec5.2
Description-md5: a17c2c3f1938cb32d4f663648cde91a8
Description-en: audio codec addon for the Allegro 5 library
 This package provides the audio codec addon for the Allegro 5 library.
 This addon allows you to load audio sample formats.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-audio5-dev
Description-md5: 25069f1e766a3d4274621015675dbca5
Description-en: header files for the Allegro 5 audio addon
 This package is required to build programs that use the Allegro 5 audio
 addon.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-audio5.2
Description-md5: ff39df8de96c5bc0babbe823f6cfa9bf
Description-en: audio addon for the Allegro 5 library
 This package provides the audio addon for the Allegro 5 library. This
 addon allows you to play sounds in your Allegro 5 programs.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-dialog5-dev
Description-md5: 37f581df71d7b02add213849471af87d
Description-en: header files for the Allegro 5 dialog addon
 This package is required to build programs that use the Allegro 5 dialog
 addon.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-dialog5.2
Description-md5: 9f8845cb202f6dd0dde9ec0e8c15fc03
Description-en: dialog addon for the Allegro 5 library
 This package provides the dialog addon for the Allegro 5 library. This
 addon allows you to show dialog boxes.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-image5-dev
Description-md5: 99707de7f88fe7f11111872af07eb08f
Description-en: header files for the Allegro 5 image addon
 This package is required to build programs that use the Allegro 5 image
 addon.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-image5.2
Description-md5: 2b4f80547e187442f8fd8f121c25dec8
Description-en: image addon for the Allegro 5 library
 This package provides the image addon for the Allegro 5 library. Provides
 support for loading image file formats.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-physfs5-dev
Description-md5: 269066c71ffe115cbe1348bd7ac714b7
Description-en: header files for the Allegro 5 physfs addon
 This package is required to build programs that use the Allegro 5 physfs
 addon.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-physfs5.2
Description-md5: c0d605b7975a4d3169f40c35dc7f3a51
Description-en: physfs addon for the Allegro 5 library
 This package provides the physfs addon for the Allegro 5 library. This
 addon provides an interface to the PhysicsFS library, allowing you to
 mount virtual file-systems (e.g., archives) and access files as if they
 were physically on the file-system.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-ttf5-dev
Description-md5: 2dbd2355e94f821be650945f48d31fc8
Description-en: header files for the Allegro 5 ttf addon
 This package is required to build programs that use the Allegro 5 ttf
 addon.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-ttf5.2
Description-md5: ce2c8cf00883a0db8d29a65e65035a1e
Description-en: ttf addon for the Allegro 5 library
 This package provides the ttf addon for the Allegro 5 library. This addon
 allows you to load and use ttf fonts in your Allegro 5 programs.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-video5-dev
Description-md5: f78cce8daff4f7527b1160da4966a141
Description-en: header files for the Allegro 5 video addon
 This package is required to build programs that use the Allegro 5 video
 addon.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro-video5.2
Description-md5: 2ba8e426dda31ca77192049385106d68
Description-en: video addon for the Allegro 5 library
 This package provides the video addon for the Allegro 5 library. This addon
 allows you to load and display videos in your Allegro 5 programs.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro4-dev
Description-md5: 5b17ce6a77ff7eb24483c5ae33ba5961
Description-en: development files for the Allegro library
 This package contains the development headers for the Allegro library.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: liballegro4.4
Description-md5: 0b55a0d3ce990915ca710be119686ac7
Description-en: portable library for cross-platform game and multimedia development
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: liballegro5-dev
Description-md5: 10ae8ae8e5d829419d4a1f0eda9f3bdf
Description-en: development files for the Allegro 5 library
 This package is needed to build programs using the Allegro 5 library.
 Contains header files.
 .
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: liballegro5.2
Description-md5: a038892a4832b94a171605cb02239c7b
Description-en: portable library for cross-platform game and multimedia development
 Allegro is a cross-platform library intended for use in computer games
 and other types of multimedia programming. Allegro 5 is the latest major
 revision of the library, designed to take advantage of modern hardware
 (e.g. hardware acceleration using 3D cards) and operating systems.
 Although it is not backwards compatible with earlier versions, it still
 occupies the same niche and retains a familiar style.

Package: libalog-doc
Description-md5: fc4b8f6605f4da53abfcdaa388aa7621
Description-en: Logging framework for Ada (documentation)
 Alog is a logging framework for Ada and aims to be straight forward to use and
 easily extendable. Alog provides file-based and syslog logging facilities and
 log-level support.
 .
 This package contains the documentation.

Package: libalog0.6.1
Description-md5: 60d77b4bac6cfdd4a1ff2b12d0df839b
Description-en: Logging framework for Ada
 Alog is a logging framework for Ada and aims to be straight forward to use and
 easily extendable. Alog provides file-based and syslog logging facilities and
 log-level support.

Package: libalog5-dev
Description-md5: 155502ea897a8a46402540435adc9fc7
Description-en: Logging framework for Ada (development)
 Alog is a logging framework for Ada and aims to be straight forward to use and
 easily extendable. Alog provides file-based and syslog logging facilities and
 log-level support.
 .
 This package contains the development files.

Package: libalsa-ocaml
Description-md5: 4a4b94b973826f1ac75346209a69fa27
Description-en: OCaml bindings for the ALSA library
 This OCaml library interfaces the ALSA library libasound to access audio
 devices.
 .
 This package contains only the shared runtime stub libraries.

Package: libalsa-ocaml-dev
Description-md5: bcc1226bea80576c474e5d5788877f8e
Description-en: OCaml bindings for the ALSA library
 This OCaml library interfaces the ALSA library libasound to access audio
 devices.
 .
 This package contains all the development stuff you need to use ocaml-alsa
 in your programs.

Package: libalsaplayer-dev
Description-md5: 3be992221c139adea132a22957cc8770
Description-en: alsaplayer plugin library (development files)
 This package contains the development files for the alsaplayer interface
 library to create your own alsaplayer plugins.

Package: libalsaplayer0
Description-md5: 6eedba7564d220661bff3a3e4102a72a
Description-en: alsaplayer plugin library
 This package contains the interface library for alsaplayer plugins.

Package: libalt-alien-ffi-system-perl
Description-md5: 81c865d69d1fa4770c8c3c56f97a5a62
Description-en: simplified alternative to Alien::FFI that uses system libffi
 Alien::FFI makes libffi available to other Perl distributions.
 .
 Alt::Alien::FFI::System provides an alternative implementation of Alien::FFI
 that is geared toward system integrators when libffi is provided by the
 operating system. It will NOT attempt to download or install libffi, contrary
 to the original Alien::FFI.
 .
 DEPRECATED: As of version 0.69, FFI::Platypus will use pkg-config and the
 system libffi if it is already installed, and skip the install of Alien::FFI.
 Thus, this module is now redundant. It may be removed from the CPAN and from
 Debian.

Package: libalt-base-perl
Description-md5: 488d95049b5229a40e240912d37773fb
Description-en: base class for alternate modules
 Alt::Base module provides common functionalities for an alternate module.
 For more information about the Alt concept, please refer to Alt.

Package: libalt-perl
Description-md5: 0fbe5089ad11a4dd1bd50f30ad76e878
Description-en: namespace for alternate module implementations
 Alt is a module that just register a namespace for alternate implementations
 of CPAN modules.
 .
 The purpose of the Alt module is to provide documentation explaining the Alt
 concept, how it works, and guidelines for using it well.

Package: libalure-dev
Description-md5: 1bedb081bd091b9721c3ffb6df9bdb22
Description-en: AL Utilities REtooled (development files)
 ALURE is a utility library to help manage common tasks with OpenAL
 applications. This includes device enumeration and initialization, file
 loading, and streaming.
 .
 The purpose of this library is to provide pre-made functionality that would
 otherwise be repetitive or difficult to (re)code for various projects and
 platforms, such as loading a sound file into an OpenAL buffer and streaming an
 audio file through a buffer queue. Support for different formats is consistant
 across platforms, so no special checks are needed when loading files, and all
 formats are handled through the same API.
 .
 Currently ALURE includes a basic .wav and .aif file reader, and can leverage
 external libraries such as libSndFile (for extended wave formats and several
 others), VorbisFile (for Ogg Vorbis), and FLAC (for FLAC and Ogg FLAC), and
 others. External libraries can also be dynamically loaded at run-time, or
 individually disabled outright at compile time.
 .
 This package contains the files necessary for development.

Package: libalure1
Description-md5: 6bb0ba2936d007825fd98a0f32464295
Description-en: AL Utilities REtooled (shared library)
 ALURE is a utility library to help manage common tasks with OpenAL
 applications. This includes device enumeration and initialization, file
 loading, and streaming.
 .
 The purpose of this library is to provide pre-made functionality that would
 otherwise be repetitive or difficult to (re)code for various projects and
 platforms, such as loading a sound file into an OpenAL buffer and streaming an
 audio file through a buffer queue. Support for different formats is consistant
 across platforms, so no special checks are needed when loading files, and all
 formats are handled through the same API.
 .
 Currently ALURE includes a basic .wav and .aif file reader, and can leverage
 external libraries such as libSndFile (for extended wave formats and several
 others), VorbisFile (for Ogg Vorbis), and FLAC (for FLAC and Ogg FLAC), and
 others. External libraries can also be dynamically loaded at run-time, or
 individually disabled outright at compile time.
 .
 This package installs the ALURE shared library.

Package: libalut-dev
Description-md5: 199576352f168fce043216888323ee76
Description-en: OpenAL Utility Toolkit development files
 freealut is a free implementation of OpenAL's ALUT standard. ALUT is a set of
 portable functions which remove the annoying details of getting an audio
 application started. It is the OpenAL counterpart of what GLUT is for OpenGL.
 .
 This package contains libraries and headers suitable for software development
 with ALUT.

Package: libalut0
Description-md5: e2f4c1cf60656e3310d2d88e2bc8311f
Description-en: OpenAL Utility Toolkit
 freealut is a free implementation of OpenAL's ALUT standard. ALUT is a set of
 portable functions which remove the annoying details of getting an audio
 application started. It is the OpenAL counterpart of what GLUT is for OpenGL.

Package: libalzabo-perl
Description-md5: 7296a761c975b2879b7c1b71fcb65dc7
Description-en: Data modelling tool and RDBMS-OO mapper
 Alzabo is a suite of modules with two core functions. Its first use is as a
 data modelling tool. Through either a schema creation GUI, a perl program, or
 reverse engineering, you can create a set objects to represent a schema.
 .
 Its second function is as an RDBMS to object mapping system. Once you have
 created a schema, you can use the Alzabo::Runtime::Table and
 Alzabo::Runtime::Row classes to access its data.

Package: libam7xxx-dev
Description-md5: 88342ad0c691b5a84464ae4224fba03f
Description-en: library for accessing am7xxx devices - development files
 libam7xxx is an Open Source library to communicate via USB with projectors and
 Digital Picture Frames based on the Actions Micro AM7XXX family if ICs.
 .
 libam7xxx makes it possible to use these devices as USB displays on
 non-Windows Operating Systems like GNU/Linux or Android/Linux just to name
 a few, and on non-PC platforms like for instance mobile phones, tablets or
 game consoles.
 .
 Examples of supported devices are:
   - Acer C110
   - Acer C112
   - Aiptek PocketCinema T25
   - Philips/SagemCom PicoPix 1020
   - Philips/SagemCom PicoPix 2055
   - Philips/SagemCom PicoPix 2330
   - Top-Height/TEC PP700
 .
 This is the development package containing the libraries and header for
 software development with libam7xxx.

Package: libam7xxx0.1
Description-md5: 628daf6245dc91c3a39a576f5fe4aab7
Description-en: library for accessing am7xxx based devices
 libam7xxx is an Open Source library to communicate via USB with projectors and
 Digital Picture Frames based on the Actions Micro AM7XXX family if ICs.
 .
 libam7xxx makes it possible to use these devices as USB displays on
 non-Windows Operating Systems like GNU/Linux or Android/Linux just to name
 a few, and on non-PC platforms like for instance mobile phones, tablets or
 game consoles.
 .
 Examples of supported devices are:
   - Acer C110
   - Acer C112
   - Aiptek PocketCinema T25
   - Philips/SagemCom PicoPix 1020
   - Philips/SagemCom PicoPix 2055
   - Philips/SagemCom PicoPix 2330
   - Top-Height/TEC PP700
 .
 This package contains the shared library of libam7xxx.

Package: libam7xxx0.1-bin
Description-md5: 81b17f58b188ac4460d550109784279a
Description-en: library for accessing am7xxx devices - utilities
 libam7xxx is an Open Source library to communicate via USB with projectors and
 Digital Picture Frames based on the Actions Micro AM7XXX family if ICs.
 .
 libam7xxx makes it possible to use these devices as USB displays on
 non-Windows Operating Systems like GNU/Linux or Android/Linux just to name
 a few, and on non-PC platforms like for instance mobile phones, tablets or
 game consoles.
 .
 Examples of supported devices are:
   - Acer C110
   - Acer C112
   - Aiptek PocketCinema T25
   - Philips/SagemCom PicoPix 1020
   - Philips/SagemCom PicoPix 2055
   - Philips/SagemCom PicoPix 2330
   - Top-Height/TEC PP700
 .
 This package includes utilities and sample programs for libam7xxx.

Package: libam7xxx0.1-doc
Description-md5: d655306a3b370337550b488aae5a53b2
Description-en: library for accessing am7xxx devices - documentation
 libam7xxx is an Open Source library to communicate via USB with projectors and
 Digital Picture Frames based on the Actions Micro AM7XXX family if ICs.
 .
 libam7xxx makes it possible to use these devices as USB displays on
 non-Windows Operating Systems like GNU/Linux or Android/Linux just to name
 a few, and on non-PC platforms like for instance mobile phones, tablets or
 game consoles.
 .
 Examples of supported devices are:
   - Acer C110
   - Acer C112
   - Aiptek PocketCinema T25
   - Philips/SagemCom PicoPix 1020
   - Philips/SagemCom PicoPix 2055
   - Philips/SagemCom PicoPix 2330
   - Top-Height/TEC PP700
 .
 This package contains the documentation of the API of libam7xxx.

Package: libamazon-s3-perl
Description-md5: 0aeef6da0bb7e5ad584fc404ab0b7441
Description-en: portable client interface to Amazon Simple Storage System (S3)
 Amazon::S3 provides a portable client interface to Amazon Simple Storage
 System (S3). It is a fork of Net::Amazon::S3 (packaged for Debian as
 libnet-amazon-s3-perl) which uses XML::SAX instead of XML::LibXML. Some
 applications prefer to use Amazon::S3 rather than Net::Amazon::S3 to improve
 portability (at the expense of performance).

Package: libamazon-sqs-simple-perl
Description-md5: 0a40124ccd0f284bc92a242e604e1bf5
Description-en: Perl module for accessing the Amazon Simple Queue
 Amazon::SQS::Simple is a Perl library providing an object-oriented API for
 the Amazon Simple Queue Service.
 .
 This version of Amazon::SQS::Simple defaults to work against version
 2009-02-01 of the SQS API. Earlier API versions may or may not work.

Package: libambix-dev
Description-md5: d8dc9f2adcd4c6f43299649ee82f51ef
Description-en: AMBIsonics eXchange library (development files)
 libambix is a library of C routines for reading and writing files following the
 "ambix" (AMBIsonics eXchange) conventions.
 .
 Ambisonics is a periphonic (3D) surround sound technique, with a scalable
 spatial resolution (put simply: "the more audio channels you use, the better").
 The ambix convention defines an extensible format for exchanging soundfiles
 containing Higher Order Ambisonics data.
 .
 This package provides the files necessary to compile an application
 using libambix.

Package: libambix-doc
Description-md5: c917a777f8652ba17a115c779816a333
Description-en: AMBIsonics eXchange library (documentation)
 libambix is a library of C routines for reading and writing files following the
 "ambix" (AMBIsonics eXchange) conventions.
 .
 Ambisonics is a periphonic (3D) surround sound technique, with a scalable
 spatial resolution (put simply: "the more audio channels you use, the better").
 The ambix convention defines an extensible format for exchanging soundfiles
 containing Higher Order Ambisonics data.
 .
 This package contains html documentation for the libambix API.

Package: libambix-utils
Description-md5: 8b3a77261213b228d3c75c78540ebc4f
Description-en: AMBIsonics eXchange library (utilities)
 libambix is a library of C routines for reading and writing files following the
 "ambix" (AMBIsonics eXchange) conventions.
 .
 Ambisonics is a periphonic (3D) surround sound technique, with a scalable
 spatial resolution (put simply: "the more audio channels you use, the better").
 The ambix convention defines an extensible format for exchanging soundfiles
 containing Higher Order Ambisonics data.
 .
 This package contains additional commandline utilities to work with
 ambix-files:
  - ambix-info: Print information on ambix files
  - ambix-interleave: Merges several audio files into an ambix file
  - ambix-deinterleave: Split an ambix file into several mono files
  - ambix-jplay: Play back an ambix file via JACK
  - ambix-jrecord: Record an ambix file via JACK

Package: libambix0
Description-md5: 1050c694b78dc0b8b697f02aa3805e29
Description-en: AMBIsonics eXchange library
 libambix is a library of C routines for reading and writing files following the
 "ambix" (AMBIsonics eXchange) conventions.
 .
 Ambisonics is a periphonic (3D) surround sound technique, with a scalable
 spatial resolution (put simply: "the more audio channels you use, the better").
 The ambix convention defines an extensible format for exchanging soundfiles
 containing Higher Order Ambisonics data.

Package: libamu-dev
Description-md5: 7b955c506811eb9cabb6d1941a2fba47
Description-en: Support library for amd the 4.4BSD automounter (development)
 Libamu contains a support library needed by the am-utils automounter
 utilities from the am-utils package.
 .
 These are the files needed to compile against libamu.

Package: libamu4
Description-md5: 37dcc274f01636c77a8c7ab596140c64
Description-en: Support library for amd the 4.4BSD automounter (runtime)
 Libamu contains a support library needed by the am-utils automounter
 utilities from the am-utils package.

Package: libanalitza-dev
Description-md5: f86a1a12d9304114642eaf951ce52eaa
Description-en: development files for Analitza
 Analitza is a library to parse and work with mathematical expressions. This
 library is being used by KAlgebra and Cantor and may be used in other
 programs.
 .
 This package contains the development files, used to build applications that
 use Analitza.
 .
 This package is part of the KDE education module.

Package: libanalitza8
Description-md5: 92ad86650a4e6fad5ca6fbc6be591399
Description-en: library to work with mathematical expressions
 This library is used by KAlgebra and may be used by other software to parse and
 work with mathematical expressions.
 .
 This package is part of the KDE education module.

Package: libanalitzagui8
Description-md5: 02f734e9dfb655fed0eb2d6024454a29
Description-en: library to work with mathematical expressions - GUI routines
 This library is used by KAlgebra and may be used by other software to parse and
 work with mathematical expressions. This library provides the routines related
 to the graphical user interface.
 .
 This package is part of the KDE education module.

Package: libanalitzaplot8
Description-md5: 8850b8c7b9a0aaa910de370924d8aa53
Description-en: library to work with mathematical expressions - plotting bits
 This library is used by KAlgebra and may be used by other software to parse and
 work with mathematical expressions. This library provides the routines related
 to plotting.
 .
 This package is part of the KDE education module.

Package: libanalitzawidgets8
Description-md5: b0a7e75633fd35963404b7c26a88930b
Description-en: library to work with mathematical expressions - widgets
 This library is used by KAlgebra and may be used by other software to parse and
 work with mathematical expressions. This library provides several UI components
 based on QWidget and QML.
 .
 This package is part of the KDE education module.

Package: libandroid-23-java
Description-md5: 8acf3e934e41ca047af0f28451684a3a
Description-en: Android framework for API Level 23 (6.0 Marshmallow)
 This package provides "android.jar" in an Android SDK Platform that is used to
 build an Android application.
 .
 The source code of this library is generated by Doclava.

Package: libandroid-databinding-java
Description-md5: 4d5d2dafdae3ad759f812a9fbde43e90
Description-en: Android Data Binding Library
 The Data Binding Library enables Android application developers to write
 declarative layouts and minimize the glue code necessary to bind application
 logic and layouts.
 .
 This package contains the following libraries which are for building and being
 used by Android SDK:
   * baseLibrary: Shared library between Data Binding runtime lib and compiler
   * compilerCommon: Common library that can be shared between different build
     tools

Package: libandroid-ddms-java
Description-md5: 5bf2e0cf10a96f7bfdb1464a615aa440
Description-en: Communicate with devices through adb - Core library
 Dalvik Debug Monitor Server (DDMS) provides port-forwarding services, screen
 capture on the device, thread and heap information on the device, logcat,
 process, and radio state information, incoming call and SMS spoofing, location
 data spoofing, and more.
 .
 This library is used by several tools outside of DDMS such as HierarchyViewer.
 .
 This package provides "ddmlib.jar" in the Android SDK.

Package: libandroid-json-java
Description-md5: aa07b1cf7cf5b94c2118ab7f54a48412
Description-en: Android rewrite of the evil licensed json.org
 Json.org is a popular java library to parse and create JSON string from
 the author of the JSON standard Douglas Crockford. His implementation however
 is not free software[1].
 .
 Therefore the Android team did a cleanroom reimplementation of a JSON library
 to be used in place of the original one.
 .
 [1] http://wiki.debian.org/qa.debian.org/jsonevil

Package: libandroid-json-org-java
Description-md5: ebd5b7cd415e4da3130a78ed448da68d
Description-en: androids rewrite of the evil licensed json.org
 Json.org is a popular java library to parse and create json string from
 the author of the json standard Douglas Crockford. His implementation however
 is not free software[1].
 .
 Therefor the Android team did a cleanroom reimplementation of a json library
 to be used inplace of the original one.
 .
 [1] http://wiki.debian.org/qa.debian.org/jsonevil

Package: libandroid-json-org-java-doc
Description-md5: df4f2b7c7c354e7124d6dc49a89ffe0e
Description-en: Documentation for androids rewrite of the evil licensed json.org
 Json.org is a popular java library to parse and create json string from
 the author of the json standard Douglas Crockford. His implementation however
 is not free software[1].
 .
 Therefor the Android team did a cleanroom reimplementation of a json library
 to be used inplace of the original one.
 .
 [1] http://wiki.debian.org/qa.debian.org/jsonevil
 .
 This package contains the API documentation of libandroid-json-org-java.

Package: libandroid-layoutlib-api-java
Description-md5: 4711343b94c77b1c3b5d0f03786eba5e
Description-en: Library to use the rendering library for Android layouts - API
 This library describes the API used to load and interact with layoutlib. Any
 tool loading layoutlib should depends on it.
 .
 This package provides "layoutlib-api.jar" in the Android SDK.

Package: libandroid-tools-analytics-library-java
Description-md5: 2dba6b6b74d0a5899733bdaccde6ae82
Description-en: Libraries for tracking the usage analytics of Android SDK
 This package provides the following Java libraries of the Android Tools
 Analytics Library:
   * inspector.jar: Tools for debugging usage analytics spool files.
   * protos.jar: Library containing protobufs for usage analytics.
   * publisher.jar: Tools for reporting usage tracking analytics to Google.
   * shared.jar: Helper Library for tracking usage analytics.
   * tracker.jar: Library for tracking usage analytics.

Package: libandroid-tools-annotations-java
Description-md5: 376e34f9e55c4ffc50df481773ab65e6
Description-en: annotations used throughout the Android tools libraries
 This package provides support for annotations which can be found in all
 Android tools libraries.

Package: libandroid-tools-common-java
Description-md5: 534b1c4c7779009c79c851d29bd49068
Description-en: common library used by other Android tools libraries
 This package provides common tasks and classes which are used by other Android
 tools libraries.

Package: libandroid-tools-dvlib-java
Description-md5: 2d7d9092fa9250a7b037b59971aa6cab
Description-en: Library to manage the Android device database XML files
 This library is used by libandroid-tools-sdklib-java.
 .
 This package provides "dvlib.jar" in the Android SDK

Package: libandroid-tools-repository-java
Description-md5: 596ad82ab91ba090a1ad8c0481781293
Description-en: Library for downloading and managing package repositories
 This library provides the API to parse the XML format manifest of Android SDK's
 official package repository.
 .
 This package provides "repository.jar" in the Android SDK.

Package: libandroid-tools-sdklib-java
Description-md5: 4b6dc3cdb13f5f0e56f816a9b135e6e6
Description-en: Library to parse and download the Android SDK
 This library is used by the SDK manager.
 .
 This package provides "sdklib.jar" in the Android SDK.

Package: libandroid-uiautomator-23-java
Description-md5: 45d14b6b8874ba6dff306f21e8ebeb0d
Description-en: UI Automator framework for API Level 23 (6.0 Marshmallow)
 This package provides "uiautomator.jar" in an "Android platforms" that is used
 to build an Android application.
 .
 The source code of this library is generated by Doclava.

Package: libanet0.4.2
Description-md5: e17a64c841195c2680ea9cae113116be
Description-en: Ada networking library
 Anet is a networking library for the Ada programming language. The library
 provides a BSD socket implementation with a high level of abstraction and an
 extendable socket type hierarchy.

Package: libanet4-dev
Description-md5: 65411c893c2c78e6f5cd08645e82ca96
Description-en: Ada networking library (development)
 Anet is a networking library for the Ada programming language. The library
 provides a BSD socket implementation with a high level of abstraction and an
 extendable socket type hierarchy.
 .
 This package contains the development files.

Package: libanfo0
Description-md5: 99175d7dc57c3034d1ccfc6a17258ed4
Description-en: Library for Short Read Aligner/Mapper from MPG
 Anfo is a mapper in the spirit of Soap/Maq/Bowtie, but its implementation takes
 more after BLAST/BLAT. It's most useful for the alignment of sequencing reads
 where the DNA sequence is somehow modified (think ancient DNA or bisulphite
 treatment) and/or there is more divergence between sample and reference than
 what fast mappers will handle gracefully (say the reference genome is missing
 and a related species is used instead).
 .
 This package contains the dynamic library for the anfo binaries.

Package: libanfo0-dev
Description-md5: 63d105b3f2966d3e5115319c1bdc7ccf
Description-en: Development files for Short Read Aligner/Mapper from MPG
 Anfo is a mapper in the spirit of Soap/Maq/Bowtie, but its implementation takes
 more after BLAST/BLAT. It's most useful for the alignment of sequencing reads
 where the DNA sequence is somehow modified (think ancient DNA or bisulphite
 treatment) and/or there is more divergence between sample and reference than
 what fast mappers will handle gracefully (say the reference genome is missing
 and a related species is used instead).
 .
 This package contains the development files that might be used in connection
 with the anfo binaries.

Package: libangles-dev
Description-md5: 8b309b8573024112b3d567c75b94fd13
Description-en: Robot OS set of simple math utilities to work with angles
 This package is part of Robot OS (ROS). The utilities cover simple
 things like normalizing an angle and conversion between degrees and
 radians. But even if you're trying to calculate things like the
 shortest angular distance between two joint space positions of your
 robot, but the joint motion is constrained by joint limits, this
 package is what you need. The code in this package is stable and well
 tested.  There are no plans for major changes in the near future.
 .
 This package contains the development files.

Package: libangular-maven-plugin-java
Description-md5: 88aa49f9ce4ff021278e190567990742
Description-en: Angular Maven Plugin
 The Angular Maven Plugin is a plugin designed to help developers who
 are deploying Angular.JS applications, but use maven as a build tool.
 So far there are two goals:
 .
 The html2js goal mimics grunt-html2js in combining html templates into
 a single javascript file for use with Angular.JS; it does NOT use grunt
 or nodejs.
 .
 The join goal ia a more complex goal designed to simplify assembly of a
 large modular Angular.JS application where modules are lazily loaded.
 The goal only deals with the reorganisation of the code, not the lazy
 loading itself.

Package: libanimal-sniffer-java
Description-md5: e1f989b4edfd4f6537c42c96f700dee8
Description-en: JDK/API verification tools
 Animal Sniffer provides tools to assist verifying that classes compiled with a
 newer JDK/API are compatible with an older JDK/API.
 .
 The Java Boot Classpath Detector is an executable jar file which tries to
 determine the boot classpath of the Java Runtime and outputs that classpath to
 the standard output.
 .
 Animal Sniffer Annotations provides Java 1.5+ annotations which allow marking
 methods which Animal Sniffer should ignore signature violations of. Animal
 Sniffer is designed to detect when you have used classes/methods/fields which
 are not part of the JRE that you are targeting. There are certain situations
 when you might be compiling with a newer JDK than the JRE you are targeting.
 .
 The Animal Sniffer ANT Tasks can be used to build signatures of APIs and to
 check your classes against previously generated signatures.
 .
 The Animal Sniffer Enforcer Rule is used to check your classes against
 previously generated signatures.
 .
 The Animal Sniffer Maven Plugin is used to build signatures of APIs and to
 check your classes against previously generated signatures.

Package: libanimal-sniffer-java-doc
Description-md5: 12c4841d7259b61f688dfad7c214c09d
Description-en: Documentation for Animal Sniffer
 Animal Sniffer provides tools to assist verifying that classes compiled with a
 newer JDK/API are compatible with an older JDK/API.
 .
 This package contains the API documentation of libanimal-sniffer-java.

Package: libanjuta-3-0
Description-md5: b7fed0e5655044763a65e771455b292a
Description-en: GNOME development IDE, for C/C++ - shared libraries
 This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy
 debugging, management of code and GUI design by providing a simple and
 usable user interface. It also integrates with version control systems
 like CVS, Git or Subversion.
 .
 This package provides the shared libraries for Anjuta and plugins.

Package: libanjuta-dev
Description-md5: 7846acba3101d2c5ba376db0694c03df
Description-en: GNOME development IDE, for C/C++ - development files
 This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy
 debugging, management of code and GUI design by providing a simple and
 usable user interface. It also integrates with version control systems
 like CVS, Git or Subversion.
 .
 This package provides the development files for Anjuta.

Package: libann-dev
Description-md5: 31c7ed6a02cce7c4d7ffb25af74b5056
Description-en: Approximate Nearest Neighbor Searching library (development files)
 ANN is a library written in C++, which supports data structures and
 algorithms for both exact and approximate nearest neighbor searching
 in arbitrarily high dimensions.  ANN assumes that distances
 are measured using any class of distance functions called Minkowski
 metrics. These include the well known Euclidean distance, Manhattan
 distance, and max distance. ANN performs quite efficiently for point
 sets ranging in size from thousands to hundreds of thousands, and in
 dimensions as high as 20.
 .
 This package contains the header files for developing applications
 with the ANN library.

Package: libann0
Description-md5: 43ad64911e71bf0591f01f591a2056ac
Description-en: Approximate Nearest Neighbor Searching library
 ANN is a library written in C++, which supports data structures and
 algorithms for both exact and approximate nearest neighbor searching
 in arbitrarily high dimensions.  ANN assumes that distances
 are measured using any class of distance functions called Minkowski
 metrics. These include the well known Euclidean distance, Manhattan
 distance, and max distance. ANN performs quite efficiently for point
 sets ranging in size from thousands to hundreds of thousands, and in
 dimensions as high as 20.

Package: libannotation-indexer-java
Description-md5: 24c2fcd7c2c8dec1ff510341d4335c90
Description-en: Indexing and validation of Java annotations
 Annotation Indexer builds indices of Java code annotated
 with the @Indexed annotation at compile time and supports
 validation of indexes to allow for early error detection and
 querying of the indexed elements for specific annotations.

Package: libansilove-dev
Description-md5: d141e0e463b482c7795aa8f35ddfacb9
Description-en: Convert ANSI, ASCII, and other formats to PNG - development files
 This a library to convert ANSi and artscene related file formats into
 PNG images.
 .
 This package provides ansilove library development files.

Package: libansilove1
Description-md5: 799bcd2decfc70ed6bd78d388c03625f
Description-en: Library for converting ANSI, ASCII, and other formats to PNG
 This a library to convert ANSi and artscene related file formats into
 PNG images.

Package: libantelope-java
Description-md5: c09011e0a4e8513591bbffe4330dab7c
Description-en: graphical user interface for Ant
 Antelope is a graphical user interface for running Ant.
 .
 It can run as a stand-alone GUI application and as a plugin to jedit.
 .
 Antelope Project also provides a set of additional tasks that provide
 functionality not found in the standard tasks distributed with Ant.

Package: libantelope-java-doc
Description-md5: 9434bc7ffa581ff36a2546f91397057d
Description-en: graphical user interface for Ant - documentation
 Antelope is a graphical user interface for running Ant.
 .
 It can run as a stand-alone GUI application and as a plugin to jedit.
 .
 Antelope Project also provides a set of additional tasks that provide
 functionality not found in the standard tasks distributed with Ant.
 .
 This package contains API Javadoc for libantelope-java.

Package: libanthy-dev
Description-md5: 5ad12e2713854c0857666b67a808c3d1
Description-en: Japanese kana-kanji conversion - runtime library
 Anthy is kana-kanji conversion engine for Japanese.  It converts
 hiragana text to mixed kana and kanji.  It is implemented as a library
 and stores private information in ~/.anthy/.
 .
 This package provides the files necessary for compiling programs that
 use Anthy.

Package: libanthy1
Description-md5: 8782ebf3bba63e05d041c63e80ce0c29
Description-en: Japanese kana-kanji conversion - runtime library
 Anthy is kana-kanji conversion engine for Japanese.  It converts
 hiragana text to mixed kana and kanji.  It is implemented as a library
 and stores private information in ~/.anthy/.
 .
 This package provides runtime shared libraries for Anthy.

Package: libanthyinput-dev
Description-md5: a60b9d8528e700a29384b1d9e4f34d28
Description-en: Japanese kana-kanji conversion - runtime library for input
 Anthy is kana-kanji conversion engine for Japanese.  It converts
 hiragana text to mixed kana and kanji.  It is implemented as a library
 and stores private information in ~/.anthy/.
 .
 This package provides the files necessary for compiling programs that
 use Anthy as an input method.

Package: libanthyinput0
Description-md5: 42af3bb2019df4d9bcfa1f1e9ecfc640
Description-en: Japanese kana-kanji conversion - runtime library for input
 Anthy is kana-kanji conversion engine for Japanese.  It converts
 hiragana text to mixed kana and kanji.  It is implemented as a library
 and stores private information in ~/.anthy/.
 .
 This package provides shared library for input method.

Package: libantlr-dev
Description-md5: 0aded9465f9780556491dc34397d806c
Description-en: language tool for constructing recognizers, compilers etc
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].
 .
 These are the static libraries for C++.

Package: libantlr-java
Description-md5: 356d950e7970f0267328f55787c55d79
Description-en: language tool for constructing recognizers, compilers etc (java library)
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].

Package: libantlr-maven-plugin-java
Description-md5: 671f1bc590b7cabc9996973f5a70756e
Description-en: Maven ANTLR Plugin
 Maven Plugin for Antlr v2. It can generate code from Antlr 2 scripts
 inside a Maven build.

Package: libantlr3-gunit-java
Description-md5: f40979cd635396931074b9413af8656a
Description-en: Unit Test framework for ANTLR grammars
 gUnit is a "Unit Test" framework for ANTLR grammars. It provides a simple
 way to write and run automated tests for ANTLR grammars in a manner similar
 to Java unit testing framework jUnit. The basic idea is to create a bunch of
 input/output pairs for rules in a grammar and gUnit will verify the expected
 output/result. The input can be a single line or multiple lines of strings or
 even an external file. The output can be simply success or failure, an
 abstract syntax tree (AST), a rule return value, or some text output which
 could be a rule's template return value. The current version of gUnit has 2
 main functions, interpreter and jUnit generator. The interpreter interprets
 your gUnit script and runs unit tests using Java reflection to invoke methods
 in your parser objects. The generator, on the other hand, translates your
 gUnit script to jUnit Java code that you can compile and execute by hand.

Package: libantlr3-gunit-java-doc
Description-md5: ae878e275e24e3c119b8495189cad9be
Description-en: API documentation for gUnit
 gUnit is a "Unit Test" framework for ANTLR grammars. It provides a simple
 way to write and run automated tests for ANTLR grammars in a manner similar
 to Java unit testing framework jUnit. The basic idea is to create a bunch of
 input/output pairs for rules in a grammar and gUnit will verify the expected
 output/result. The input can be a single line or multiple lines of strings or
 even an external file. The output can be simply success or failure, an
 abstract syntax tree (AST), a rule return value, or some text output which
 could be a rule's template return value. The current version of gUnit has 2
 main functions, interpreter and jUnit generator. The interpreter interprets
 your gUnit script and runs unit tests using Java reflection to invoke methods
 in your parser objects. The generator, on the other hand, translates your
 gUnit script to jUnit Java code that you can compile and execute by hand.
 .
 This package provides the API documentation for gUnit for ANTLR 3

Package: libantlr3-runtime-java
Description-md5: a1b87e6106bdb39e71d14f49ae047f33
Description-en: Runtime library for ANTLR 3
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is
 a language tool that provides a framework for constructing recognizers,
 compilers, and translators from grammatical descriptions containing C++
 or Java actions [You can use PCCTS 1.xx to generate C-based parsers].
 .
 This package provides the runtime library required to run the parsers
 generated by ANTLR 3

Package: libantlr3.2-gunit-java
Description-md5: f40979cd635396931074b9413af8656a
Description-en: Unit Test framework for ANTLR grammars
 gUnit is a "Unit Test" framework for ANTLR grammars. It provides a simple
 way to write and run automated tests for ANTLR grammars in a manner similar
 to Java unit testing framework jUnit. The basic idea is to create a bunch of
 input/output pairs for rules in a grammar and gUnit will verify the expected
 output/result. The input can be a single line or multiple lines of strings or
 even an external file. The output can be simply success or failure, an
 abstract syntax tree (AST), a rule return value, or some text output which
 could be a rule's template return value. The current version of gUnit has 2
 main functions, interpreter and jUnit generator. The interpreter interprets
 your gUnit script and runs unit tests using Java reflection to invoke methods
 in your parser objects. The generator, on the other hand, translates your
 gUnit script to jUnit Java code that you can compile and execute by hand.

Package: libantlr3c-3.4-0
Description-md5: ab5c8321fbb86ec1f3b2f14fe54d6f28
Description-en: ANTLR v3 parser generator C runtime
 ANTLR, ANother Tool for Language Recognition, is a language tool that
 provides a framework for constructing recognizers, compilers, and
 translators from grammatical descriptions.
 .
 This package contains the C runtime needed for parsers generated by ANTLR
 v3 in the C language, with the ANTLR debugger disabled. Install
 libantlr3c0-antlrdbg if you want to use the ANTLR debugger.

Package: libantlr3c-antlrdbg-3.4-0
Description-md5: d6465102eaabb3286e7bea50e22214d2
Description-en: ANTLR v3 parser generator C runtime with ANTLR debugger
 ANTLR, ANother Tool for Language Recognition, is a language tool that
 provides a framework for constructing recognizers, compilers, and
 translators from grammatical descriptions.
 .
 This package contains the C runtime needed for parsers generated by ANTLR
 v3 in the C language, with the ANTLR debugger enabled.

Package: libantlr3c-dev
Description-md5: 499547cc5a4e6a77be4454c38eaf1064
Description-en: ANTLR v3 parser generator C runtime [development files]
 ANTLR, ANother Tool for Language Recognition, is a language tool that
 provides a framework for constructing recognizers, compilers, and
 translators from grammatical descriptions.
 .
 This package contains the development files needed to build your applications
 using parsers generated by ANTLR in the C language.

Package: libantlr4-runtime-dev
Description-md5: 365f44b6f095e8ae1af31dd567c97bf7
Description-en: ANTLR Parser Generator - C++ runtime support (development files)
 ANTLR (ANother Tool for Language Recognition) is a powerful parser generator
 for reading, processing, executing, or translating structured text or binary
 files. It's widely used to build languages, tools, and frameworks. From a
 grammar, ANTLR generates a parser that can build and walk parse trees.
 .
 This package contains the development files of C++ runtime support for ANTLR.

Package: libantlr4-runtime-java
Description-md5: 244b432649ad7371a7e9b3dadaf7861d
Description-en: Runtime library for ANTLR 4
 ANTLR (ANother Tool for Language Recognition) is a powerful parser generator
 for reading, processing, executing, or translating structured text or binary
 files. It's widely used to build languages, tools, and frameworks. From a
 grammar, ANTLR generates a parser that can build and walk parse trees.
 .
 This package provides the runtime library required to run the parsers
 generated by ANTLR 4.

Package: libantlr4-runtime4.8
Description-md5: b71b31defe6837dd06dbc5e0f9648a07
Description-en: ANTLR Parser Generator - C++ runtime support (shared library)
 ANTLR (ANother Tool for Language Recognition) is a powerful parser generator
 for reading, processing, executing, or translating structured text or binary
 files. It's widely used to build languages, tools, and frameworks. From a
 grammar, ANTLR generates a parser that can build and walk parse trees.
 .
 This package contains the shared library of C++ runtime support for ANTLR.

Package: libany-moose-perl
Description-md5: c587ba209a6ffd637215771236643f6d
Description-en: module to use either Moose or Mouse, based on availability
 Any::Moose is a Perl module that intelligently loads either Moose or Mouse,
 which provide nearly identical interfaces to the same modern object system.
 This module takes advantage of the fact that anything that works with Mouse
 should also work with Moose.
 .
 Any::Moose has been deprecated, please use Moo instead of Any::Moose for new
 code.

Package: libany-template-processdir-perl
Description-md5: b4c25c39404691acfe187b4610669e34
Description-en: Perl module for processing a directory of templates
 Any::Template::ProcessDir is a module to recursively processes a
 directory of templates, generating a set of result files in the same
 directory or in a parallel directory. Each file in the source directory
 may be template-processed, copied, or ignored depending on its pathname.

Package: libany-uri-escape-perl
Description-md5: 74ea78d3b77e525aa0c002e64f8ace2c
Description-en: module to load URI::Escape::XS preferentially over URI::Escape
 URI::Escape is great, but URI::Escape::XS is faster. Any::URI::Escape loads
 URI::Escape::XS and imports the two most common methods if XS is installed.

Package: libanydata-perl
Description-md5: d60b32093216d6c65527c48d91d919fa
Description-en: simple tied hash interface for files and data structures
 AnyData provides simple and uniform access to data from
 many sources -- perl arrays, local files, remote files retrievable via
 http or ftp -- and in many formats including flat files (CSV, Fixed
 Length, Tab Delimited, etc), standard format files (Web Logs,
 Passwd files, etc.),  structured files (XML, HTML Tables) and binary
 files with parseable headers (mp3s, jpgs, pngs, etc).

Package: libanyevent-aggressiveidle-perl
Description-md5: ee36ec610758300f8eb9faf98bf729b8
Description-en: Aggressive idle processes for AnyEvent.
 The package contains the function that allows You to start idle
 AnyEvent processes that can be even done on overloaded system
 (as opposed to built-in AnyEvent::idle).

Package: libanyevent-cachedns-perl
Description-md5: d2dd04e177c7617da2d33fb189e28a82
Description-en: simple DNS resolver with caching for AnyEvent
 AnyEvent::CacheDNS provides a very simple DNS resolver that caches its
 results and can improve the connection times to remote hosts.
 .
 It's possible to register this class as AnyEvent's main DNS resolver by
 passing the tag :register in the use statement.
 .
 This module is very basic and has no policies for clearing or invalidating
 the DNS cache.

Package: libanyevent-callback-perl
Description-md5: 901212e765abaaabe5af41f1f8ee0ab4
Description-en: callback aggregator for AnyEvent watchers
 The module allows You to create callback's hierarchy. Also the module
 groups error and result callbacks into one object.
 .
 Also the module checks if one callback was called by watcher or not.
 If a watcher doesn't call result or error callback, error callback will
 be called automatically.
 .
 Also the module checks if a callback was called reentrant. In the case
 the module will complain (using "carp" in Carp).
 .
 If a watcher touches error callback and if superior didn't define error
 callback, the module will call error callback upwards hierarchy.

Package: libanyevent-connection-perl
Description-md5: 6e14594e00832337fee9a41d1203368b
Description-en: base class for TCP clients
 AnyEvent::Connection provides a base interface for creating TCP connection
 using perl and AnyEvent framework. It provides four standard events:
 connected, disconnect, connfail and error.

Package: libanyevent-connector-perl
Description-md5: 6c3dd1033efcdcc994f23140498b6710
Description-en: tcp_connect with transparent proxy handling
 AnyEvent::Connector object has tcp_connect method compatible with that from
 AnyEvent::Socket, and it handles proxy settings transparently.

Package: libanyevent-dbd-pg-perl
Description-md5: 3bb012d779f214a9f7024fe53ba3a27c
Description-en: AnyEvent interface to DBD::Pg's async interface
 AnyEvent::DBD::Pg can be used inside AnyEvent application to
 access PostgreSQL database in non-blocking mode.

Package: libanyevent-dbi-perl
Description-md5: 4aea4cd4c1e663566dadee1a483cf88e
Description-en: module supporting asynchronous DBI access
 AnyEvent::DBI is an AnyEvent extension, you need to make sure that you use
 and run a supported event loop. This module implements asynchronous DBI access
 by forking or executing separate "DBI-Server" processes and sending them
 requests. It means that you can run DBI requests in parallel to other tasks.
 The overhead for very simple statements ("select 0") is somewhere around 100%
 to 120% (dual/single core CPU) compared to an explicit
 prepare_cached/execute/fetchrow_arrayref/finish combination.

Package: libanyevent-fcgi-perl
Description-md5: cd18d8bb7bc67dc23e6939149be6294b
Description-en: Perl non-blocking FastCGI server
 AnyEvent offers module authors the ability to do event programming using
 different event implementation.
 .
 AnyEvent::FCGI implements non-blocking FastCGI server based on AnyEvent for
 event based applications.

Package: libanyevent-feed-perl
Description-md5: 29eee1915975e8395d02be3134e6a657
Description-en: Perl module to retrieve new entries in an RSS/Atom feed
 AnyEvent::Feed implements some glue between AnyEvent::HTTP and XML::Feed. It
 can fetch an RSS/Atom feed on a regular interval as well as at customized
 times. It also keeps track of already fetched entries so that you will only
 get the new entries.

Package: libanyevent-fork-perl
Description-md5: bf28e253eebf8f35e8adb34f6f818ece
Description-en: module to create new processes
 AnyEvent::Fork allows you to create new processes, without actually forking
 them from your current process (avoiding the problems of forking), but
 preserving most of the advantages of fork.
 .
 It can be used to create new worker processes or new independent subprocesses
 for short- and long-running jobs, process pools (e.g. for use in pre-forked
 servers) but also to spawn new external processes (such as CGI scripts from a
 web server), which can be faster (and more well behaved) than using fork+exec
 in big processes.
 .
 Special care has been taken to make this module useful from other modules,
 while still supporting specialised environments such as App::Staticperl or
 PAR::Packer.

Package: libanyevent-forkobject-perl
Description-md5: 38bc0fb557458429f775eb162f71d571
Description-en: fork jail for AnyEvent
 The module provides non-blocking interface for perl objects.

Package: libanyevent-handle-udp-perl
Description-md5: acf9af6c93ab0527cafbf1dae419a9f5
Description-en: client/server UDP handles for AnyEvent
 AnyEvent::Handle::UDP is an abstraction around UDP sockets
 for use with AnyEvent.
 .
 AnyEvent is a framework to do event-based programming,
 implemented as a thin abstraction layer on top of other event loops.

Package: libanyevent-http-perl
Description-md5: 295a5c072fde9822c05b4d94ceb37858
Description-en: simple non-blocking HTTP/HTTPS client
 AnyEvent::HTTP is a simple non-blocking HTTP/HTTPS client implementation,
 which uses AnyEvent under the hood for asynchronous I/O. It supports GET,
 POST and other request methods, cookies and more. It is well suited to most
 HTTP tasks, while retaining fine-grained control over request and response
 headers to cater to more complex requirements.

Package: libanyevent-http-scopedclient-perl
Description-md5: 40f020b090850de3679b4d35c1e440ec
Description-en: AnyEvent::HTTP based scoped client
 AnyEvent::HTTP::ScopedClient is based on the Node.js Scoped-http-client.
 This module provides a simple wrapper around the AnyEvent::HTTP for using
 a easy event based HTTP client in Perl.

Package: libanyevent-httpd-perl
Description-md5: c7cd14a1bd46f415510777067a180f51
Description-en: simple lightweight event based web (application) server
 The AnyEvent::HTTPD module provides a simple HTTPD for serving simple web
 application interfaces. It's completelly event based and independend from any
 event loop by using the AnyEvent module.

Package: libanyevent-i3-perl
Description-md5: deb70a9ba3ef7f61241972f465fdcc89
Description-en: Perl module to communicate with the i3 window manager
 AnyEvent::I3 provides integration of the i3 IPC protocol into the
 AnyEvent framework. Use it to have an easy way of communicating with
 i3 using event-based (or blocking, if you like) programming.

Package: libanyevent-irc-perl
Description-md5: 8268e7f544ed29280d086330d0947bda
Description-en: Perl module for handling IRC connections
 AnyEvent::IRC is a Perl module that can be conceptualized as a toolbox for
 handling Internet Relay Chat (IRC) connections and communications. It won't
 do everything for you, and you still need to have a basic understanding of
 the internal protocol.

Package: libanyevent-memcached-perl
Description-md5: f469e85dafea1c73d82b8356fb7382ae
Description-en: AnyEvent memcached client
 AnyEvent::Memcached provides a memcached client for Perl and AnyEvent.

Package: libanyevent-perl
Description-md5: 7936e5064c6550ca3d7bfad654f53afb
Description-en: event loop framework with multiple implementations
 AnyEvent is not an event model itself, it only interfaces to whatever event
 model the main program happens to use, in a pragmatic way. For event models,
 the statement "there can only be one" is a bitter reality: In general, only
 one event loop can be active at the same time in a process. This module
 cannot change this, but it can hide the differences between them.
 .
 The goal of AnyEvent is to offer module authors the ability to do event
 programming (waiting for I/O or timer events) without subscribing to a
 religion, a way of living, and most importantly: without forcing your module
 users into the same thing by forcing them to use the same event model you use.
 .
 During the first call of any watcher-creation method, the module tries to
 detect the currently loaded event loop by probing whether one of the
 following modules is already loaded: EV, AnyEvent::Loop, Event, Glib, Tk,
 Event::Lib, Qt, POE. The first one found is used. If none are detected, the
 module tries to load the first four modules in the order given; but note that
 if EV is not available, the pure-perl AnyEvent::Loop should always work, so
 the other two are not normally tried.

Package: libanyevent-processor-perl
Description-md5: fec399f2e4ac5adcd7ac5b9ffdb45299
Description-en: class for AnyEvent tasks that may be interrupted
 AnyEvent::Processor is a Perl module that provides a base class for
 defining tasks that can be periodically interrupted by a watcher.

Package: libanyevent-rabbitmq-perl
Description-md5: f03df49ea0b023f3c389a176a6c1b203
Description-en: asynchronous and multi channel Perl AMQP client
 AnyEvent::RabbitMQ is an AMQP (Advanced Message Queuing Protocol)
 client library,
 that is intended to allow you to interact
 with AMQP-compliant message brokers/servers
 such as RabbitMQ in an asynchronous fashion.
 .
 You can use AnyEvent::RabbitMQ to -
 .
  * Declare and delete exchanges
  * Declare, delete, bind and unbind queues
  * Set QoS and confirm mode
  * Publish, consume, get, ack, recover and reject messages
  * Select, commit and rollback transactions
 .
 AnyEvent::RabbitMQ is known to work with RabbitMQ
 versions 2.5.1 and versions 0-8 and 0-9-1 of the AMQP specification.
 .
 This client is the non-blocking version,
 for a blocking version with a similar API, see Net::RabbitFoot.
 .
 AnyEvent is a framework to do event-based programming,
 implemented as a thin abstraction layer on top of other event loops.

Package: libanyevent-redis-perl
Description-md5: 8d3ac09316581bbfb98f1054013474eb
Description-en: Perl module that implements a non-blocking Redis client
 AnyEvent::Redis is a non-blocking (event-driven) Redis client based
 on the AnyEvent event loop framework.
 .
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.

Package: libanyevent-serialize-perl
Description-md5: c03e20e08a7fccbe3e630863a6c0b058
Description-en: serializer and deserializer for AnyEvent
 The package provides a few non-blocking methods to serialize and
 deserialize perl object.

Package: libanyevent-termkey-perl
Description-md5: c331c5eee1f12dd1b2f94cd4341c982f
Description-en: module for terminal key input using libtermkey with AnyEvent
 AnyEvent::TermKey implements an asynchronous perl wrapper around the
 libtermkey library, which provides an abstract way to read keypress events in
 terminal-based programs. It yields structures that describe keys, rather than
 simply returning raw bytes as read from the TTY device.
 .
 It internally uses an instance of Term::TermKey to access the underlying C
 library. For details on general operation, including the representation of
 keypress events as objects, see the documentation on that class.
 .
 Proxy methods exist for normal accessors of Term::TermKey, and the usual
 behaviour of the getkey or other methods is instead replaced by the on_key
 event.

Package: libanyevent-tools-perl
Description-md5: 0013e007bde86042594220ada1f93f90
Description-en: instrument collection for AnyEvent
 The package contains a few instruments that can be used with AnyEvent:
  * mutexes/rw_mutexes - sharing one resource between many processes
  * foreaches - non-blocking cycles
  * pools - sharing many resources between many processes
  * buffers implementation, etc

Package: libanyevent-xmpp-perl
Description-md5: 3fb43655bcf86cf086ae53924e1f556f
Description-en: implementation of the XMPP Protocol
 AnyEvent::XMPP is an implementation of XMPP in Perl,
 formerly known under the name Net::XMPP2,
 it allows you to easily write Clients and Components.
 In comparison to Net::XMPP it offers a event based API
 and is independent of an event loop with AnyEvent.
 .
 The following XEPs are implemented:
  * XEP-0004 - Data Forms
  * XEP-0030 - Service Discovery
  * XEP-0054 - vcard-temp (XMPP vCards)
  * XEP-0066 - Out of Band Data
  * XEP-0077 - In-Band Registration
  * XEP-0078 - Non-SASL Authentication
  * XEP-0082 - XMPP Date and Time Profiles
  * XEP-0086 - Error Condition Mappings
  * XEP-0091 - Delayed Delivery (legacy)
  * XEP-0092 - Software Version
  * XEP-0114 - Jabber Component Protocol
  * XEP-0153 - vCard-Based Avatars
  * XEP-0184 - Message Receipts
  * XEP-0199 - XMPP Ping
  * XEP-0203 - Delayed Delivery (new)
 .
 AnyEvent is a framework to do event-based programming,
 implemented as a thin abstraction layer on top of other event loops.

Package: libanyevent-yubico-perl
Description-md5: 699c235b59e7a5b5462232fed6bcb7bf
Description-en: Perl module for validating YubiKey OTPs in AnyEvent applications
 AnyEvent::Yubico validates a YubiKey OTP (One Time Password) using the
 YKVAL 2.0 protocol as defined here:
 https://github.com/Yubico/yubikey-val/wiki/ValidationProtocolV20
 .
 To use this module, an API key is required which can be requested here:
 https://upgrade.yubico.com/getapikey/

Package: libao-ocaml
Description-md5: c8cce4a59b93ba53b4f2e911890a007f
Description-en: OCaml bindings for libao -- runtime files
 OCaml bindings for the cross platform audio output library.
 .
 Libao is a cross-platform audio library that allows programs to output audio
 using a simple API on a wide variety of platforms.
 .
 This package contains only the shared runtime stub libraries.

Package: libao-ocaml-dev
Description-md5: ffde9f0416d1358767d0269ba0bdc9d5
Description-en: OCaml bindings for libao -- development files
 OCaml bindings for the cross platform audio output library.
 .
 Libao is a cross-platform audio library that allows programs to output audio
 using a simple API on a wide variety of platforms.
 .
 This package contains all the development stuff you need to use ocaml-ao
 in your programs.

Package: libaoflagger0
Description-md5: ca2a06f67e7f2d04e69f8a92aaeb2680
Description-en: Find RFI in radio astronomical observations (shared lib)
 The AOFlagger is a tool that can find and remove radio-frequency interference
 (RFI) in radio astronomical observations. The code has been highly optimized
 for speed and accuracy. It is used by default for the LOFAR radio telescope
 and thus is in productional stage. The software can run in a fully automated
 way, but a graphical interface is provided to analyse results and tweak the
 strategy. The preferred input file format is the Casa Measurement Set (MS)
 format.
 .
 This package contains the shared library.

Package: libaom-dev
Description-md5: 2d0c3ad6b0a13f8040ce63979fa3c28f
Description-en: AV1 Video Codec Library -- Development Files
 AOMedia Video 1 (AV1) is an open and royalty free video encoding format
 optimized for the Internet and the successor of VP9. aom is the
 reference encoder and decoder implementation published by the Alliance
 for Open Media.
 .
 This package contains the development files.

Package: libaom-doc
Description-md5: e0c510165a97f55b15857e0329f6c0e7
Description-en: AV1 Video Codec Library -- Documentation
 AOMedia Video 1 (AV1) is an open and royalty free video encoding format
 optimized for the Internet and the successor of VP9. aom is the
 reference encoder and decoder implementation published by the Alliance
 for Open Media.
 .
 This package contains the API documentation.

Package: libaom0
Description-md5: 222a6f43c1273d67ef5f774b3d6a6b21
Description-en: AV1 Video Codec Library
 AOMedia Video 1 (AV1) is an open and royalty free video encoding format
 optimized for the Internet and the successor of VP9. aom is the
 reference encoder and decoder implementation published by the Alliance
 for Open Media.
 .
 This package contains the shared library.

Package: libaopalliance-java
Description-md5: 0b44f99ad360c1786dea912f40d2673e
Description-en: library for interoperability for Java AOP implementations
 Aspect-Oriented Programming (AOP) offers a better solution to
 many problems than do existing technologies such as EJB.
 .
 The AOP Alliance aims to ensure interoperability between Java AOP
 implementations by providing standard interfaces common among the different
 frameworks and implementations.

Package: libaopalliance-java-doc
Description-md5: 8bdabe64fb8ab7c9a57ca094e327d1e8
Description-en: library for interoperability for Java AOP implementations - documentation
 Aspect-Oriented Programming (AOP) offers a better solution to
 many problems than do existing technologies such as EJB.
 .
 The AOP Alliance aims to ensure interoperability between Java AOP
 implementations by providing standard interfaces common among the different
 frameworks and implementations.
 .
 This package contains Javadoc HTML documentation for
 libaopalliance-java.

Package: libaosd-dev
Description-md5: c88decf3cb8538d4fef2fb1b1d09d999
Description-en: atheme.org's on screen display library - dev
 libaosd is an advanced on screen display library. It supports
 many modern features like anti-aliased text and composited
 rendering via XComposite, as well as support for rendering
 Cairo and Pango layouts.
 .
 This package contains the development headers.

Package: libaosd-text2
Description-md5: 254efeb4ce673387fb7b5a695a725331
Description-en: atheme.org's on screen display library - text layout
 libaosd is an advanced on screen display library. It supports
 many modern features like anti-aliased text and composited
 rendering via XComposite, as well as support for rendering
 Cairo and Pango layouts.
 .
 This package contains the text layout library.

Package: libaosd2
Description-md5: ae59a485a5197ee9a6a06bf40748eb08
Description-en: atheme.org's on screen display library - main
 libaosd is an advanced on screen display library. It supports
 many modern features like anti-aliased text and composited
 rendering via XComposite, as well as support for rendering
 Cairo and Pango layouts.
 .
 This package contains the main library.

Package: libapache-admin-config-perl
Description-md5: c3ad930ac4fbefbe996007900612d50b
Description-en: module to read/write Apache like configuration files
 Apache::Admin::Config provides an object oriented interface to read
 and write Apache configuration files without affecting comments,
 indentation, or truncated lines.
 .
 You can easily extract information from Apache configuration files, or
 manage htaccess files.  An advantage over Apache::ConfigFile is that
 you can modify configuration files on disk, and generate new ones.
 .
 The class was specifically written for a hosting provider, where it is
 used to add new clients, activate features, (un)lock directories using
 htaccess, etc. It can also come in handy for writing a one-shot
 migration script in a few lines.

Package: libapache-asp-perl
Description-md5: b7903fe517ffba91729c2bd17b21b51a
Description-en: perl Apache::ASP - Active Server Pages for Apache with mod_perl
 Apache::ASP provides an Active Server Pages port to the Apache Web
 Server with perl as the host scripting language. Active Server Pages is
 a web application platform that originated with the Microsoft NT/IIS
 server. Under Apache for Unix and Win32 platforms it allows a developer
 to create dynamic web applications with session management and embedded
 perl code.
 .
 This module works under the Apache Web Server with the mod_perl
 module enabled. See http://www.apache.org and http://perl.apache.org
 for further information.  It can also work under a standard CGI call
 interface using the asp-perl command.  See README.Debian in this
 package for more details.
 .
 This is a portable solution, similar to ActiveState's PerlScript for
 NT/IIS ASP. Work has been done and will continue to make ports to and
 from this implementation as smooth as possible.

Package: libapache-authenhook-perl
Description-md5: 9ceb6a63b43fae6e1f23a0874babf3b8
Description-en: Perl API for Apache 2.1 authentication
 Apache::AuthenHook offers access to the 2.1 Apache authentication
 API in Perl.  This is different than the authentication API
 from Apache 1.3 or even Apache 2.0, but in its differences lies
 strength.

Package: libapache-authznetldap-perl
Description-md5: 9210fbf28864f01a68988db8a1c0f503
Description-en: Apache-Perl module that enables to authorize a user with LDAP attributes
 After you have authenticated a user (perhaps with Apache::AuthNetLDAP ;)
 you can use Apache::AuthzNetLDAP to determine whether they are authorized to
 access  the Web resource under this modules control.
 .
 You can control authorization via one of four methods. The first two are
 the pretty standard user and valid-user require, the second two are group or
 ldap-url which are unique to LDAP.

Package: libapache-db-perl
Description-md5: 2e6ae6953f17f0c83bde20eee34ec4b7
Description-en: module to run the interactive Perl debugger under mod_perl
 Perl ships with a very useful interactive debugger, however, it does not run
 "out-of-the-box" in the Apache/mod_perl environment.  Apache::DB makes a few
 adjustments so the two will cooperate.

Package: libapache-dbi-perl
Description-md5: 867e7c814549d9fb279e258d6326e69f
Description-en: interface connecting Apache server to database via perl's DBI
 Apache::DBI and Apache::AuthDBI are to be used with the Apache server and
 an embedded perl interpreter like mod_perl. They provide support for basic
 authentication and authorization as well as support for persistent database
 connections via Perl's Database Independent Interface (DBI).
 .
  o AuthDBI.pm provides authentication and authorization:
    - optional shared cache for passwords to minimize database load
    - configurable cleanup-handler deletes outdated entries from the cache
 .
  o DBI.pm provides persistent database connections:
    - connections can be established during server-startup
    - configurable rollback to ensure data integrity
    - configurable verification of the connections to avoid time-outs.

Package: libapache-dbilogger-perl
Description-md5: 4f713452cf4e294c7c8a18e9588bd6b2
Description-en: Perl module for tracking what's being transferred in a DBI database
 Apache::DBILogger tracks what's being transferred by the Apache web server
 in a SQL database (everything with a DBI/DBD driver). This allows one to
 get statistics (of almost everything) without having to parse the log
 files (like the Apache::Traffic module, just in a "real" database,
 and with a lot more logged information).

Package: libapache-directory-api-java
Description-md5: e542b01aeac97936281cdc194dc69c54
Description-en: Apache Directory LDAP API
 The Apache Directory LDAP API is an ongoing effort to provide an enhanced
 LDAP API, as a replacement for JNDI and the existing LDAP API (jLdap and
 Mozilla LDAP API). This is a "schema aware" API with some convenient ways
 to access all types of LDAP servers, not only ApacheDS but any LDAP server.

Package: libapache-directory-jdbm-java
Description-md5: cc19e0e13b05fbf74884bed0396558e6
Description-en: ApacheDS JDBM Implementation
 JDBM is a transactional persistence engine for Java. It aims to be
 for Java what GDBM is for other languages (C/C++, Python, Perl, etc.):
 a fast, simple persistence engine. You can use it to store a mix
 of objects and BLOBs, and all updates are done in a transactionally
 safe manner. JDBM also provides scalable data structures, such as HTree
 and B+Tree, to support persistence of large object collections.
 .
 This package contains the Apache fork of JDBM used by Apache Directory Server.

Package: libapache-gallery-perl
Description-md5: cc49def116467082157e08b21b3ab2c2
Description-en: Apache module to create image galleries on-the-fly
 This package contains a Perl module for Apache to create galleries.
 .
 The images just need to be copied into a directory where Apache will pick
 them up and create a gallery page for you, with thumbnails and links to the
 full size images.
 .
 Thumbnail size and maximum image size can be defined, among others.

Package: libapache-htgroup-perl
Description-md5: f13f4d6d336ea9f61a6afbdb19a9c145
Description-en: interface to Apache authentication group files
 Apache::Htgroup provides methods to manage the membership of Apache
 authentication groups.
 .
 Please note that this is not a mod_perl module. Please also note that there
 is another module that does similar things (HTTPD::UserManage) and that this
 is a more simplistic module, not doing all the things that one does.

Package: libapache-htpasswd-perl
Description-md5: 50d19f2ceb51f1bb4faf6a501f8bf372
Description-en: module to manage Unix crypt-style password file
 The Apache::Htpasswd module comes with a set of methods to use with htaccess
 password files. These files (and htaccess) are used to do Basic
 Authentication on a web server.
 .
 The passwords file is a flat-file with login name and their associated
 crypted password. You can use this for non-Apache files if you wish, but
 it was written specifically for .htaccess style files.

Package: libapache-logformat-compiler-perl
Description-md5: 342699f1fd6192e54fc831bf6c301568
Description-en: Perl module to pre-compile a LogFormat string
 Apache::LogFormat::Compiler is a module to speed up and simplify the
 generation of access.log-style lines by pre-compiling the desired log
 format string on object instantiation. It supports a subset of Apache's
 LogFormat templates.

Package: libapache-mime4j-java
Description-md5: ba0d5a7c68a38b8d325d379276963730
Description-en: MIME and RFC822 parser for Java
 mime4j provides a parser, MimeStreamParser, for e-mail message streams in
 plain rfc822 and MIME format. The parser uses a callback mechanism to report
 parsing events such as the start of an entity header, the start of a body,
 etc.
 If you are familiar with the SAX XML parser interface you should have no
 problem getting started with mime4j.

Package: libapache-mod-jk-doc
Description-md5: fcdd96795a4250682b5bbcfa2e84494a
Description-en: Documentation of libapache2-mod-jk package
 Documentation and examples of the Apache jk connector for the Tomcat
 Java servlet engine.
 .
 For uptodate documentation about Tomcat connectors please take a look
 at the home page at http://tomcat.apache.org/connectors-doc/.

Package: libapache-opennlp-java
Description-md5: b64c149d4d3fb4b2885ea1bd43e28909
Description-en: machine learning based toolkit for the processing of natural language text
 The Apache OpenNLP library is a machine learning based toolkit for the
 processing of natural language text. It supports the most common NLP tasks,
 such as tokenization, sentence segmentation, part-of-speech tagging, named
 entity extraction, chunking, parsing, and coreference resolution. These tasks
 are usually required to build more advanced text processing services. OpenNLP
 also included maximum entropy and perceptron based machine learning.

Package: libapache-poi-java
Description-md5: 43c0ba21c5fbdd46b4a0da836c2f6c8d
Description-en: Apache POI - Java API for Microsoft Documents
 Apache POI provides a Java API for manipulating various file formats based upon
 the Office Open XML standards (OOXML) and Microsoft's OLE 2 Compound Document
 format (OLE2).
 .
 Apache POI supports the following formats:
  * Word (DOC, DOCX)
  * Excel (XLS, XLSX)
  * PowerPoint (PPT, PPTX)
  * Visio (VSD)
  * Publisher (PUB)
  * Outlook
  * TNEF (Transport Neutral Encoding Format)

Package: libapache-poi-java-doc
Description-md5: 9d1b162d630ce2fd1554ae85c5de10b4
Description-en: Apache POI - Java API for Microsoft Documents (Documentation)
 Apache POI provides a Java API for manipulating various file formats based upon
 the Office Open XML standards (OOXML) and Microsoft's OLE 2 Compound Document
 format (OLE2).
 .
 Apache POI supports the following formats:
  * Word (DOC, DOCX)
  * Excel (XLS, XLSX)
  * PowerPoint (PPT, PPTX)
  * Visio (VSD)
  * Publisher (PUB)
  * Outlook
  * TNEF (Transport Neutral Encoding Format)
 .
 This package contains the documentation for libapache-poi-java.

Package: libapache-pom-java
Description-md5: d7026a9defe5034a197af30017c1b176
Description-en: Maven metadata for all Apache Software projects
 This package contains the Maven metadata shared between all
 Apache Software Foundation's projects.

Package: libapache-session-browseable-perl
Description-md5: af6e446bfe55e4ec1c88078bc9075cfe
Description-en: module adding index and search methods to Apache::Session
 Apache::Session::Browseable provides some class methods to manipulate all
 sessions and add the capability to index some fields to make research faster.
 .
 This module is recommended as session backend for LemonLDAP::NG.

Package: libapache-session-ldap-perl
Description-md5: eb0fc447d89f987476684223663bc7c2
Description-en: LDAP implementation of Apache::Session
 Apache::Session makes maintaining user data across HTTP requests simple.
 .
 Apache::Session::LDAP is an implementation of Apache::Session that uses an
 LDAP directory to store datas.

Package: libapache-session-memcached-perl
Description-md5: f622fc0b484a493d96fcc4d4ca4eb9f8
Description-en: Perl module for storing persistent data using memcached
 Apache::Session::Memcached is a bridge between Apache::Session and memcached,
 a distributed memory cache daemon.
 .
 More information about memcached is available at
 http://www.danga.com/memcached.
 .
 Apache::Session::Memcached provides a way to use Cache::Memcached (memcached
 Perl API) as Apache::Session storage implementation.

Package: libapache-session-perl
Description-md5: 9c9b38d50b72e97f9f182656931bdf08
Description-en: modules for keeping persistent user data across HTTP requests
 Apache::Session makes maintaining user data across HTTP requests simple.
 It's usable from both mod_perl scripts and more traditional Perl CGI
 scripts.

Package: libapache-session-sqlite3-perl
Description-md5: 96119c0fb2613e563c2bb0885a20e778
Description-en: SQLite3 implementation of Apache::Session
 Apache::Session makes maintaining user data across HTTP requests simple.
 .
 Apache::Session::SQLite3 is an implementation of Apache::Session that uses
 SQLite3 databases to store data.

Package: libapache-session-wrapper-perl
Description-md5: e4b19b12e988b134b00d05f9a928cb00
Description-en: simple wrapper around Apache::Session
 Apache::Session::Wrapper module is a simple wrapper around
 Apache::Session which provides some methods to simplify getting and
 setting the session id.
 .
 It can uses cookies to store the session id, or it can look in a
 provided object for a specific parameter.  Alternately, you can simply
 provide the session id yourself in the call to the session()
 method.
 .
 If you're using Mason, you should probably take a look at
 MasonX::Request::WithApacheSession first, which integrates this
 module directly into Mason.

Package: libapache-sessionx-perl
Description-md5: 95a7c06ca7dc9de1eb0244bb6b591ba5
Description-en: extended persistence framework for session data
 Apache::SessionX extends Apache::Session. It was initially written to use
 Apache::Session inside HTML::Embperl, but it seems to be useful outside of
 Embperl as well, so here it is as a standalone module. Apache::SessionX
 provides lazy creation of sessions, and an easier configuration using canned
 locking and storage combinations.

Package: libapache-singleton-perl
Description-md5: d2e03fbfb04464a1859423e3de63fe75
Description-en: Singleton class for mod_perl
 Apache::Singleton is a Perl module that provides a Singleton class suitable
 for use with mod_perl.
 .
 A Singleton describes an object class that can have only one instance in any
 system.  An example of a Singleton might be a print spooler or system
 registry.
 .
 For a description and discussion of the Singleton class, see
 "Design Patterns", Gamma et al, Addison-Wesley, 1995, ISBN 0-201-63361-2.

Package: libapache-ssllookup-perl
Description-md5: 15664be79fc992e0321e9676160b634d
Description-en: glue layer between Perl handlers and the mod_ssl public API
 Apache::SSLLookup is a glue layer between Perl handlers and the mod_ssl
 public API. Under normal circumstances, you would use $r->subprocess_env() to
 glean information about mod_ssl. for example,
 .
 $request_is_over_ssl = $r->subprocess_env('HTTPS');
 .
 however, this is only possible after mod_ssl runs its fixups - that is, Perl
 handlers can only accurately check the subprocess_env table for mod_ssl
 information in the PerlResponsePhase or later.
 .
 This module allows you to query mod_ssl directly via its public C API at any
 point in the request cycle. but without using C, of course.

Package: libapache2-authcassimple-perl
Description-md5: b1b808c6840b3e4bd8521013c2ac7bb2
Description-en: Apache2 module to authenticate trough a CAS server
 Apache2::AuthCASSimple is an authentication module for Apache2/mod_perl2.
 .
 It allows you to authenticate users through a Yale CAS server. It means you
 don't need to give login/password if you've already authenticated with the
 CAS server: only tickets are exchanged between Web client, Apache2 server and
 CAS server. If you are not authenticated yet, you will be redirected to the
 CAS server login form.

Package: libapache2-authcookie-perl
Description-md5: 2dd86e3e67b5c9bea69d210c3e802473
Description-en: Perl Authentication and Authorization via cookies
 This module is for mod_perl version 2. If you are running mod_perl version 1,
 you should be using Apache::AuthCookie instead.
 .
 Apache2::AuthCookie allows you to intercept a user's first unauthenticated
 access to a protected document. The user will be presented with a custom form
 where they can enter authentication credentials. The credentials are posted
 to the server where AuthCookie verifies them and returns a session key.
 .
 The session key is returned to the user's browser as a cookie. As a cookie,
 the browser will pass the session key on every subsequent accesses. AuthCookie
 will verify the session key and re-authenticate the user.

Package: libapache2-mod-apreq2
Description-md5: 1d2af6984c5d28eda964cc2022c20b5c
Description-en: generic Apache request library - Apache module
 The generic Apache request library (APR) contains modules for manipulating
 client request data with the Apache API from Perl and C. Functionality
 includes:
 .
  - parsing of application/x-www-form-urlencoded data
  - parsing of multipart/form-data
  - parsing of HTTP Cookies
 .
 This package contains the Apache module itself.

Package: libapache2-mod-auth-cas
Description-md5: 44181e795e96fb5feb9ea4c89e3f06da
Description-en: CAS authentication module for Apache2
 mod_auth_cas is an authentication module for Apache2, that
 allows the webserver to interact with an authentication server
 that conforms to the CAS (v1 or v2) protocol defined by Yale/JA-SIG.

Package: libapache2-mod-auth-gssapi
Description-md5: 2fd5a2b81863e9371b17495917a1dd07
Description-en: GSSAPI Authentication module for Apache2
 The mod_auth_gssapi module is an authentication service that implements the
 SPNEGO based HTTP Authentication protocol defined in RFC4559.

Package: libapache2-mod-auth-kerb
Description-md5: a9936d034201249ddb3c0a4de4e73ef8
Description-en: apache2 module for Kerberos authentication
 An apache2 module for authenticating users in a Kerberos realm.
 It supports basic user and password authentication or a
 negotiate auth based on Kerberos tickets.

Package: libapache2-mod-auth-openid
Description-md5: 408251dc9618a46c69b938c0a1081e91
Description-en: OpenID authentication module for Apache2
 mod_auth_openid is an authentication module for Apache2.
 It handles the functions of an OpenID consumer as specified in the
 OpenID 2.0 specification.

Package: libapache2-mod-auth-openidc
Description-md5: 2a46b2755c29c7c30c7caff32705ca4f
Description-en: OpenID Connect authentication module for Apache
 mod_auth_openidc is an Apache module that authenticates users
 of a web site against an OpenID Connect Identity Provider or an
 OAuth 2.0 Authorization Server. It provides access control based
 on claims and passes those claims to other modules and applications.

Package: libapache2-mod-auth-pubtkt
Description-md5: 37acee624d51f820dfd22568087c4f06
Description-en: key-based single-sign-on authentication module for Apache
 mod_auth_pubtkt is an Apache module that authenticates a user based on a
 cookie with a ticket that has been issued by a central login server and
 digitally signed using either RSA or DSA. This means that only the trusted
 login server has the private key required to generate tickets, while web
 servers only need the corresponding public key to verify them.

Package: libapache2-mod-auth-radius
Description-md5: 7b3e880db8d4d345c99dd16baf73ee53
Description-en: Apache 2.x module for RADIUS authentication
 mod_auth_radius is an Apache 2.x module for authenticating users
 against information stored in a RADIUS server.

Package: libapache2-mod-auth-tkt
Description-md5: 30efa5dd399b43bb9822114d93afe0e9
Description-en: lightweight single-sign-on authentication module for Apache
 mod_auth_tkt is a lightweight single-sign-on authentication module
 for Apache. It uses secure cookie-based tickets to implement a single-signon
 framework that works across multiple Apache instances and servers.
 .
 mod_auth_tkt itself is completely repository-agnostic, as the actual
 authentication is done by a user-supplied CGI or script in your language of
 choice (examples are provided in Perl, with contrib libraries for use with
 Python and PHP). This allows authentication against virtually any kind of
 user repository you can imagine (password files, ldap directories, databases,
 etc.)

Package: libapache2-mod-authn-sasl
Description-md5: 4e47a2bfb812cb5fbceccba6d3ac246c
Description-en: SASL authentication backend provider for Apache
 This module provides the mod_auth_basic authentication front-end
 a way to authenticate users by checking credentials via the Cyrus SASL library.
 .
 Username and password are checked against the SASL password checking backends,
 kerberos5, pam, rimap, shadow and ldap are some of the supported mechanisms.
 .
 One of the interesting parts of using a SASL backend is that you do not need
 to elevate Apache HTTPD's access rights to superuser privileges, even when
 validating through shadow database.

Package: libapache2-mod-authn-yolo
Description-md5: b1ea9b808a2043d8cdd81c5d3765cb76
Description-en: Yolo style authentication for Apache 2
 The authn_yolo module is an authentication provider for Basic Authentication
 in the Apache2 web server. It accepts any combination of user and password.
 .
 Sometimes this is useful when testing or building a proof of concept, since
 there's no need to generate a htpasswd file etc. It can also be used to pass a
 user name to Apache in a scenario where verification of the credentials is
 delegated to a reverse proxy in front of Apache.

Package: libapache2-mod-authn-yubikey
Description-md5: bb7bfa15656c5ce7d654bd4f2ad6583c
Description-en: Yubikey authentication provider for Apache
 The mod_authn_yubikey module is an authentication provider
 for the Apache platform. It leverages the YubiKey which is
 a small token that acts as an authentication device.
 .
 The mod_authn_yubikey module provides one and two factor
 authentication for your website and is completely independent
 from the technology that implements your website (like CGI, JSP or PHP).

Package: libapache2-mod-authnz-external
Description-md5: 73abfe298d07637afe5c9d4fa5d55b9c
Description-en: authenticate Apache against external authentication services
 Mod_Authnz_External can be used to quickly construct secure, reliable
 authentication systems.  It can also be mis-used to quickly open gaping
 holes in your security.  Read the documentation, and use with extreme
 caution.
 .
 Notably, this module can be used to securely authenticate against PAM
 (without exposing /etc/shadow file), using, for example, pwauth
 authenticator.
 .
 This Package includes the mod-authnz-external Module for Apache Version 2.x

Package: libapache2-mod-authnz-pam
Description-md5: 6cdccd950e0e9b0d2abd35983a13f73b
Description-en: PAM authorization checker and PAM Basic Authentication provider
 mod_authnz_pam is a PAM authorization module, supplementing
 authentication done by other modules, for example mod_auth_kerb; it
 can also be used as full Basic Authentication provider which runs the
 [login, password] authentication through the PAM stack.

Package: libapache2-mod-authz-securepass
Description-md5: 9084bf2a97486b1320ae88c3cb61ca32
Description-en: Apache2 module for authorizing SecurePass users
 SecurePass provides web single sign-on through the CAS protocol.
 .
 This module enhances the Apache authorization features by introducing two
 rules to restrict access to the Apache resources:
  * the first rule allows only users belonging to specific SecurePass
    realm(s) to access the Apache resource
  * the second rule allows only users belonging to specific SecurePass
    group(s) to access the Apache resource

Package: libapache2-mod-authz-unixgroup
Description-md5: 810a78774b72239d511eeebe2882a7c4
Description-en: access control based on on unix group membership for Apache
 Mod_Authz_Unixgroup is a unix group access control module for Apache 2.1 and
 later. If you are having users authenticate with real Unix login ID over the
 net, using something like my mod_authnz_external / pwauth combination, and
 you want to do access control based on unix group membership, then
 mod_authz_unixgroup is exactly what you need.
 .
 This Package includes the mod-authn-unixgroup Module for Apache Version 2.4

Package: libapache2-mod-bw
Description-md5: 6f14effcc764cceb1cefb303c965ae30
Description-en: bandwidth limiting module for apache2
 This module allows you to limit bandwidth usage on every virtual host
 or directory or to restrict the number of simultaneous connections.
 .
 The bandwidth control, for example, can be configured according to
 the criteria: origin of the connection, file extension, file size or
 user agent of the client.

Package: libapache2-mod-dacs
Description-md5: deaf25ad1ca4938657ed8c093d6a0f84
Description-en: Distributed Access Control System (DACS) - Apache Module
 DACS is a light-weight single sign-on and role-based access control system
 for web servers and server-based software. It is also an authentication and
 authorization toolkit for programmers. DACS makes secure resource sharing
 and remote access via the web easier, safer, and more efficient.
 .
 This package contains the Apache2 module.

Package: libapache2-mod-defensible
Description-md5: 13cd41dcd201668f67914aaa4c02ac88
Description-en: module for Apache2 which provides DNSBL usage
 mod_defensible implements usage of DNSBL servers to block access to
 a Web site or to specific locations.
 .
 This package provides the module for Apache 2 server.

Package: libapache2-mod-dnssd
Description-md5: 06421ab634a09cd57984978e68878b16
Description-en: Zeroconf support for Apache 2 via avahi
 mod_dnssd is an Apache HTTPD module which adds Zeroconf support
 via DNS-SD using Avahi. This allows Apache to advertise itself and the
 websites available to clients compatible with the protocol.

Package: libapache2-mod-encoding
Description-md5: d2672b2c48a29259ec768736a9463b56
Description-en: Apache2 module for non-ascii filename interoperability
 This module improves non-ascii filename interoperability of
 apache (and mod_dav).
 .
 It seems many WebDAV clients send filename in its platform-local
 encoding. But since mod_dav expects everything, even HTTP request
 line, to be in UTF-8, this causes an interoperability problem.
 .
 I believe this is a future issue for specification (RFC?) to
 standardize encoding used in HTTP request-line and HTTP header,
 but life would be much easier if mod_dav (and others) can handle
 various encodings sent by clients, TODAY. This module does just that.

Package: libapache2-mod-evasive
Description-md5: 366cd284d54b39351e1d15be1f1d1880
Description-en: evasive module to minimize HTTP DoS or brute force attacks
 mod_evasive is an evasive maneuvers module for Apache to provide some
 protection in the event of an HTTP DoS or DDoS attack or brute force attack.
 .
 It is also designed to be a detection tool, and can be easily configured to
 talk to ipchains, firewalls, routers, and etcetera.
 .
 This module only works on Apache 2.x servers

Package: libapache2-mod-fcgid
Description-md5: 3dc25164d71ec8a9446e3c9162ca7d3b
Description-en: FastCGI interface module for Apache 2
 This package contains mod_fcgid, a high-performance alternative to mod_cgi
 or mod_cgid. It starts a sufficient number of instances of the CGI program
 to handle concurrent requests. These programs remain running to handle
 further incoming requests.
 .
 It is a binary-compatible alternative to Apache module mod_fastcgi.

Package: libapache2-mod-form
Description-md5: 063fb189336e2af80147a21d10045264
Description-en: Apache module to decode data submitted from Web forms
 mod_form is an Apache module to decode data submitted from Web forms.
 The decoded data is made available to other Apache modules through
 two optional functions exported by mod_form with
 APR_DECLARE_OPTIONAL_FN.
 .
 It deals with both GET and POST methods where the data are encoded
 using the default content type application/x-www-form-urlencoded.
 .
 This package contains the Apache module.

Package: libapache2-mod-form-dev
Description-md5: 5697a62695bb94916a4a5ca051900e6c
Description-en: Apache module to decode data submitted from Web forms (development files)
 mod_form is an Apache module to decode data submitted from Web forms.
 The decoded data is made available to other Apache modules through
 two optional functions exported by mod_form with
 APR_DECLARE_OPTIONAL_FN.
 .
 It deals with both GET and POST methods where the data are encoded
 using the default content type application/x-www-form-urlencoded.
 .
 This package contains the development files for Apache modules that
 wish to rely on mod_form.

Package: libapache2-mod-geoip
Description-md5: e4085008663af571952df21045e8534a
Description-en: GeoIP support for apache2
 This is an apache2 module for finding the country that a web request
 originated from. It uses the GeoIP library and database to perform
 the lookup. The module allows manipulation of client requests from within
 Apache based on the country of origin.
 .
 This module only works on Apache 2 servers.

Package: libapache2-mod-gnutls
Description-md5: ca551154789be0011c475d496e7389c8
Description-en: Apache module for TLS encryption with GnuTLS
 mod_gnutls provides TLS encryption using the GnuTLS library. It's
 similar in purpose to mod_ssl, but doesn't use OpenSSL, and provides
 some additional features:
  * Background OCSP stapling,
  * PKCS #11 access to server keys and certificates,
  * using Monkeysphere for client certificate validation.

Package: libapache2-mod-intercept-form-submit
Description-md5: c71d8de982fdaedb12ef9509cd94a341
Description-en: Apache module to intercept login form submission and run PAM authentication
 mod_intercept_form_submit can intercept submission of application login
 forms. It retrieves the login and password information from the POST
 HTTP request, runs PAM authentication with those credentials, and sets
 the REMOTE_USER environment variable if the authentication passes.

Package: libapache2-mod-jk
Description-md5: 9d8cafd51e3b6bdf100899bb1fbd1601
Description-en: Apache 2 connector for the Tomcat Java servlet engine
 Apache Tomcat is the reference implementation for the Java Servlet and
 JavaServer Pages (JSP) specification from the Apache Jakarta project.
 .
 This package contains an Apache 2 module (mod_jk) to forward requests
 from Apache to Tomcat using the AJP 1.3 or 1.4 protocol. It can either
 talk to Tomcat on the local machine or to a remote engine using TCP.

Package: libapache2-mod-ldap-userdir
Description-md5: a787db1aa7a71633fb125129a2f1688a
Description-en: Apache module that provides UserDir lookups via LDAP
 This module implements UserDir (~/public_html/) directory lookups using
 data from an LDAP directory.
 .
 This package provides the module for the Apache 2 server.

Package: libapache2-mod-ldap-userdir-dbg
Description-md5: 6774cde3a03e161d59f3e5fc261a3840
Description-en: Debugging symbols for mod_ldap_userdir
 This package provides debugging symbols for mod_ldap_userdir, to assist in
 debugging, such as with gdb. It is not required for normal operation.

Package: libapache2-mod-lisp
Description-md5: 0ab20b58e12b98754b55a1e4addb0f19
Description-en: An Apache2 module that interfaces with Lisp environments
 Establishes a link between the Apache2 web server and a Lisp environment
 that allows a Lisp program to generate dynamic content while letting
 Apache2 take care of the dirty details of HTTP serving.  The protocol
 between Lisp and Apache2 is simple, making it easy to create WWW-
 accessible applications quickly.

Package: libapache2-mod-log-slow
Description-md5: c9b4aba4fa99cd7f8aa09ce8c944e3e8
Description-en: Apache module for logging of slow requests handling
 Provide measures of the time period used for handling each request by the
 current process. Logging is done after processing a request if the request
 takes more than certain period of time that you specify. The idea of this
 module comes from MySQL's slow-query-log, and its logging logic is partially
 based on mod_log_forensic.

Package: libapache2-mod-log-sql
Description-md5: 0abfd07fc9a75cb22efda6bc762330ea
Description-en: Use SQL to store/write your Apache queries logs - Base
 mod_log_sql is a log module for Apache which logs all requests to a database.
 .
 Base package.
 .
 If you want to use make_combined_log and mysql_import_combined_log scripts you
 will need to install the perl package.

Package: libapache2-mod-log-sql-dbi
Description-md5: 245473d514cc1c723ec85dafae3a747f
Description-en: Use SQL to store/write your Apache queries logs - DBI interface
 mod_log_sql is a log module for Apache 1which logs all requests to a MySQL
 database.
 .
 DBI interface.

Package: libapache2-mod-log-sql-mysql
Description-md5: 7023c14befb1c1634f74dfb823363970
Description-en: Use SQL to store/write your Apache queries logs - MySQL interface
 mod_log_sql is a log module for Apache which logs all requests to a MySQL
 database.
 .
 MySQL interface.

Package: libapache2-mod-log-sql-ssl
Description-md5: 45039832547e2b98bc9085595d04c025
Description-en: Use SQL to store/write your Apache queries logs - SSL extension
 mod_log_sql is a log module for Apache which logs all requests to a database.
 .
 For logging SSL connection information (cipher, hash, etc.).

Package: libapache2-mod-lookup-identity
Description-md5: a25721aa9a83e823e12ac4204d9b3e97
Description-en: Apache module to retrieve additional information about the authenticated user
 mod_lookup_identity can retrieve additional pieces of information
 about user authenticated in Apache httpd server and store these values
 in notes/environment variables to be consumed by web applications.
 Use of REMOTE_USER_* environment variables is recommended.

Package: libapache2-mod-mapcache
Description-md5: 45d571cff29bed6448a630dee7b07826
Description-en: tile caching server - Apache module
 This is the MapCache module for the Apache web server implementing OGC web
 services. An alternative mapcache FastCGI program is available in
 mapcache-cgi.
 .
 MapCache is a server that implements tile caching to speed up access to WMS
 layers. The primary objectives are to be fast and easily deployable, while
 offering the essential features (and more!) expected from a tile caching
 solution.

Package: libapache2-mod-md
Description-md5: c2abd3d451fbe9f8b62453ebd20f7096
Description-en: transitional package
 This is a transitional package to apache2 for users of libapache2-mod-md.
 It can be safely removed after the installation is complete.

Package: libapache2-mod-mime-xattr
Description-md5: 8209d37904bea1997936de3ee2559e5e
Description-en: Apache2 module to get MIME info from filesystem extended attributes
 This is a module for the Apache HTTPD 2.4 which may be used to set a range of
 MIME properties of files served from a document tree with extended attributes
 (EAs) as supported by the underlying file system. The following attributes may
 be used:
 .
  - user.mime_type: set the MIME type of a file explicitly. This attribute is
    compatible with the shared MIME database specification as published by
    freedesktop.org.
  - user.charset: set the charset used in a file.
  - user.mime_encoding: set the MIME encoding of a file (e.g. gzip).
  - user.apache_handler: set the apache handler of a file explicitly.

Package: libapache2-mod-mono
Description-md5: 6fba36a50a96f776671cff3e0683adcd
Description-en: Apache module for running ASP.NET applications on Mono
 The mod_mono module for Apache allows ASP.NET web applications to be
 run with the Mono .NET implementation.
 .
 This package provides the Apache 2.4 version of the module.

Package: libapache2-mod-musicindex
Description-md5: 2722835509572f875f16fd777a4d0a50
Description-en: Browse, stream, download and search through MP3/Ogg/FLAC files
 mod_musicindex is aimed at being a C alternative to the Perl module
 Apache::MP3 (http://search.cpan.org/dist/Apache-MP3/).
 It allows nice displaying of directories containing MP3, Ogg Vorbis or FLAC
 audio files, including sorting them on various fields, streaming and
 downloading them, or constructing playlists and searching.
 .
 This package contains the module for Apache 2.

Package: libapache2-mod-neko
Description-md5: 32f9af8a7181300294b4450a424c758c
Description-en: Apache module for running server-side Neko programs
 Neko provides a common runtime for several different languages,
 including JavaScript and haXe.
 .
 This package includes a module for Apache 2 so that you can
 dynamically generate server-side web pages from Apache.
 .
 Neko was written by Nicolas Cannasse.

Package: libapache2-mod-netcgi-apache
Description-md5: 0c0de36bd4098c36644a0b2c3988d000
Description-en: OCaml application-level Internet libraries - netcgi2 Apache2 connector
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains the mod_netcgi_apache Apache module. You will
 need this module to run web applications built using the netcgi2 Apache
 connector of OCamlnet. With this package Apache can serve them directly
 as OCaml compiled modules.

Package: libapache2-mod-parser3
Description-md5: 7471b0ff89c8b76af84ea34587b1b0cd
Description-en: Parser 3, HTML-embedded scripting language (Apache2 module)
 This package provides the Apache Parser3 module.
 .
 Parser 3 - simple and convenient object-oriented language which
 allows creating good sites in short time.
 .
 Available features:
  * XML, XSL, XPath and DOM support
  * Available in documented source code
  * Uniformed database support
  * Support of object-oriented programmers
  * Detailed language documentation (160 pages!)
  * UTF-8 support

Package: libapache2-mod-passenger
Description-md5: 3f172e45bdca2d9910d4a36103ba38d7
Description-en: Rails and Rack support for Apache2
 Phusion Passenger — a.k.a. mod_rails or mod_rack — makes
 deployment of Ruby web applications, such as those built on the
 revolutionary Ruby on Rails web framework, a breeze.
 .
 This package contains the Apache 2 module required by Phusion Passenger.

Package: libapache2-mod-proxy-msrpc
Description-md5: 4a7342cb327264b5bbf34a2f539b0101
Description-en: Apache module for Outlook Anywhere support in reverse proxy setups
 This module intends to make Apache httpd work in a reverse proxy setup in front
 of a MS Exchange server offering Outlook Anywhere services. Without it, Apache
 would block any Outlook Anywhere requests as the used protocol does not fully
 comply with HTTP standards.
 .
 The mod_proxy_msrpc module detects the used MSRPC messages and forwards them
 to the server or client as needed. As soon as the initial handshake completed,
 i.e.  Exchange accepted the connecting client, the mod_proxy_msrpc module
 switches into tunnel mode and forwards all data from client to server and vice
 versa.

Package: libapache2-mod-proxy-uwsgi
Description-md5: d2fa4db85203c7bfc8bfdc64d863cf45
Description-en: transitional package
 This is a transitional package to apache2 for users of
 libapache2-mod-proxy-uwsgi.
 It can be safely removed after the installation is complete.

Package: libapache2-mod-python
Description-md5: 1d713411251bc8919aabac20971e2a54
Description-en: Python-embedding module for Apache 2
 The mod_python module supports web applications written in Python.
 Because the parser is embedded in the server as an Apache module, it
 will run much faster than traditional CGI.
 .
 This package is built for the current Python version.

Package: libapache2-mod-python-doc
Description-md5: 9564caeb173aa6a96d396d2bfafe8b8b
Description-en: Python-embedding module for Apache 2 - documentation
 The mod_python module supports web applications written in Python.
 Because the parser is embedded in the server as an Apache module, it
 will run much faster than traditional CGI.
 .
 This package contains the module documentation in HTML format.

Package: libapache2-mod-qos
Description-md5: 400a8d30ea4ebdb2cc235b07fd997909
Description-en: quality of service module for the apache2
 This is a quality of service module (QoS) for the Apache web server
 implementing control mechanisms that can provide different priority
 to different HTTP requests.
 .
 It may be used to determine which requests should be served and which
 shouldn't in order to avoid resource oversubscription. The module
 collects different attributes such as the request URL, HTTP request
 and response headers, the IP source address, the HTTP response code,
 history data (user session and on per source IP address basis), the
 number of concurrent requests to the server (total or requests having
 similar attributes), the number of concurrent TCP connections (total
 or from a single source IP), and so forth.
 .
 Counteractive measures to enforce the defined rules are: request
 blocking, dynamic timeout adjustment, request delay, response
 throttling, and dropping of TCP connections.

Package: libapache2-mod-r-base
Description-md5: 1be630e572081e125ce258dbe3f013eb
Description-en: server-side R integration with Apache 2
 This package provides the R module that adds support for embedding
 the R interpreter inside Apache 2 webserver.

Package: libapache2-mod-removeip
Description-md5: 8690bb126565926aed7cb4ef738a515a
Description-en: Module to remove IP from apache2's logs
 This package provides the removeip module for the Apache 2 webserver.
 This module allows for overwriting Apache's environment variable
 REMOTE_ADDR with a fixed value. This is necessary to anonymize all logs
 written by Apache and to prevent web applications from accessing the original
 IP address.
 .
 Please be advised that activating this module may cause unexpected side effects
 to the behavior of your web applications.
 .
 Homepage: http://dev.riseup.net/privacy/apache/

Package: libapache2-mod-rivet
Description-md5: 856334e98daba9e3599b077f8e563d94
Description-en: Server-side Tcl programming system combining ease of use and power
 Apache Rivet is a system for creating dynamic web content via the Tcl
 programming language integrated with Apache Web Server. It is
 designed to be fast, powerful and extensible, consume few system
 resources, be easy to learn, and to provide the user with a platform
 that can also be used for other programming tasks outside the web
 (GUI's, system administration tasks, text processing, database
 manipulation, XML, and so on). In order to meet these goals
 Tcl programming language was chosen to combine with the Apache HTTP
 Server.

Package: libapache2-mod-rivet-doc
Description-md5: 19c63e85e43e6c1b205799b65cc12df6
Description-en: Documentation for Rivet, a server-side Tcl programming system
 Apache Rivet is a system for creating dynamic web content via the Tcl
 programming language integrated with Apache Web Server. It is
 designed to be fast, powerful and extensible, consume few system
 resources, be easy to learn, and to provide the user with a platform
 that can also be used for other programming tasks outside the web
 (GUI's, system administration tasks, text processing, database
 manipulation, XML, and so on). In order to meet these goals
 Tcl programming language was chosen to combine with the Apache HTTP
 Server. This package contains the HTML configuration and programming manual.

Package: libapache2-mod-rpaf
Description-md5: 007d11f2786e93215cf2b85fc7d38162
Description-en: module for Apache2 which takes the last IP from the 'X-Forwarded-For' header
 rpaf is short for reverse proxy add forward.
 .
 rpaf is for backend Apache servers what mod_proxy_add_forward is for
 frontend Apache servers. It does exactly the opposite of
 mod_proxy_add_forward written by Ask Bjorn Hansen.
 .
 It changes the remote address of the client visible to other Apache modules
 when two conditions are satisfied. First condition is that the remote client
 is actually a proxy that is defined in httpd.conf. Secondly if there is an
 incoming X-Forwarded-For header and the proxy is in its list of known
 proxies it takes the last IP from the incoming X-Forwarded-For header and
 changes the remote address of the client in the request structure.

Package: libapache2-mod-ruid2
Description-md5: ae2cd8df243d01b08a6e2555396169f5
Description-en: suexec module for Apache 2
 ruid2 is based on mod_ruid and mod_suid2 but it has better performance than
 mod_suid2 because it doesn't need to kill httpd children after one request.
 .
 It makes use of kernel capabilities and after receiving a new request suids
 again. There are some security issues, for instance if attacker successfully
 exploits the httpd process, he can set effective capabilities and setuid to
 root. For this reason, it is recommended to be used in conjunction with a
 kernel security patch (eg. grsec).

Package: libapache2-mod-ruwsgi
Description-md5: 494e705a15ecab461dc09fdc5ea58d1e
Description-en: uwsgi module for Apache2 (mod_Ruwsgi)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It uses the uwsgi protocol for all the networking/interprocess communications.
 .
 This package provides Apache2 module for communicating with uWSGI.
 .
 This is the second module developed and it's more Apache2 API friendly.

Package: libapache2-mod-ruwsgi-dbg
Description-md5: 12215be63fd6bd1eea7055883a47e944
Description-en: debugging symbols for Apache2 mod_Ruwsgi
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 .
 This package contains the debug symbols for Apache2 mod_Ruwsgi. Install this
 package if you need to debug module or if you need a useful backtrace of a
 crash.

Package: libapache2-mod-security2
Description-md5: 198ee1322fd8f21e7b0987f6dfb5edc3
Description-en: Tighten web applications security for Apache
 Modsecurity is an Apache module whose purpose is to tighten the Web
 application security. Effectively, it is an intrusion detection and prevention
 system for the web server.
 .
 At the moment its main features are:
   * Audit log; store full request details in a separate file, including POST
     payloads.
   * Request filtering; incoming requests can be analysed and offensive requests
     can be rejected (or simply logged, if that is what you want). This feature
     can be used to prevent many types of attacks (e.g. XSS attacks, SQL
     injection, ...) and even allow you to run insecure applications on your
     servers (if you have no other choice, of course).

Package: libapache2-mod-shib
Description-md5: 77446271d8362079ad5a7a6a90b712bc
Description-en: Federated web single sign-on system (Apache module)
 The Shibboleth System is a standards based software
 package for web single sign-on across or within organizational boundaries.
 It supports authorization and attribute exchange using the OASIS SAML 2.0
 protocol.  Shibboleth allows sites to make informed authorization decisions
 for individual access of protected online resources while allowing users to
 establish their identities with their local authentication systems.
 .
 This package contains the Shibboleth Apache module for service providers
 (web servers providing resources protected by Shibboleth) and the
 supporting shibd daemon.

Package: libapache2-mod-shib2
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libapache2-mod-svn
Description-md5: e15ffe075b3a61d7ee31166809f7092c
Description-en: Apache Subversion server modules for Apache httpd
 This package provides the mod_dav_svn and mod_authz_svn modules for
 the Apache 2.4 web server.  These modules provide Apache Subversion's WebDAV
 server backend, to serve repositories over the http and https
 protocols.  See the 'subversion' package for more information.

Package: libapache2-mod-upload-progress
Description-md5: 70ad85d8a37e0173133c9b94cf6291ac
Description-en: upload progress support for the Apache web server
 mod_upload_progress enables monitoring the status of HTTP file uploads.
 .
 Website authors can then query the server using Javascript to provide better
 feedback (e.g. progress bar, throughput) while the browser is uploading.
 .
 This module produces output similar to the equivalent modules for other
 webservers.

Package: libapache2-mod-uwsgi
Description-md5: ec18f930ae31a8967e8961b9c288b877
Description-en: uwsgi module for Apache2 (mod_uwsgi)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It uses the uwsgi protocol for all the networking/interprocess communications.
 .
 This package provides Apache2 module for communicating with uWSGI.
 .
 This is the first module developed, it is stable but a bit hacky (it does not
 fully use the Apache2 API).

Package: libapache2-mod-uwsgi-dbg
Description-md5: 01377a16f9da1e1a0c0a4c8a9f789387
Description-en: debugging symbols for Apache2 mod_uwsgi
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 .
 This package contains the debug symbols for Apache2 mod_uwsgi. Install this
 package if you need to debug module or if you need a useful backtrace of a
 crash.

Package: libapache2-mod-vhost-ldap
Description-md5: be61ef3709d6e9442e1c42b2b2bcc1e4
Description-en: Apache 2 module for Virtual Hosting from LDAP
 mod-vhost-ldap is an Apache 2 module for storing and configuring
 virtual hosts from LDAP.  It supports the DocumentRoot, ScriptAlias,
 ServerName, ServerAlias, ServerAdmin and SuexecUserGroup directives.
 .
 It is built on top of mod_ldap, so it uses its caching capabilities
 and can be used with a threaded Apache MPM.

Package: libapache2-mod-watchcat
Description-md5: 879871e0a76d7954604313440dcef302
Description-en: Process monitoring Apache module
 A bug or malicious attacks to machine can lock up a process, leading to a
 deadlock or an unexpected condition. For example: an Apache httpd with
 mod_(php|perl|lua|your_preferred_script_language) running a bad script. When
 the monitored process locks up, the watchcat helps killing him. It is the best
 thing to do.

Package: libapache2-mod-webauth
Description-md5: 7957659e2323cd0c4662eefe98dc0e82
Description-en: Apache module for WebAuth authentication
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains the Apache module to do user authentication for
 individual web servers.  This module does not do authorization; for an
 approach to LDAP-based authorization that works well with WebAuth, see
 libapache2-mod-webauthldap.

Package: libapache2-mod-webauthldap
Description-md5: a1a555c3766f3f02f663af7dd5177177
Description-en: Apache module for WebAuth LDAP lookup and authorization
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains an Apache module to do LDAP-based authorization
 after a WebAuth authentication and retrieve LDAP information about the
 authenticated user.  It only supports GSS-API binds and requires WebAuth
 be used as the authentication method.

Package: libapache2-mod-webkdc
Description-md5: d38d3bc10de4559e06792aa58dc4f4a9
Description-en: Apache modules for a WebAuth authentication KDC
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains the Apache module for the central authentication
 server for a particular site.  Only one such server is needed; all web
 servers that use WebAuth will talk to this server to obtain and verify
 authentication credentials.

Package: libapache2-mod-wsgi
Description-md5: 524e8bdbab4989bd739c548590367eb6
Description-en: Python WSGI adapter module for Apache
 The mod_wsgi adapter is an Apache module that provides a WSGI (Web Server
 Gateway Interface, a standard interface between web server software and
 web applications written in Python) compliant interface for hosting Python
 based web applications within Apache. The adapter provides significantly
 better performance than using existing WSGI adapters for mod_python or CGI.
 .
 This package provides module for Python 2.X.

Package: libapache2-mod-xforward
Description-md5: 9b1293af907e69ff8818cb1424809ac2
Description-en: Apache module implements redirection based on X-Forward response header
 When this header is seen by Apache it uses the apache mod_proxy
 module to proxy the given URL.
 .
 This is not visible for the client process and can be used to free the
 cgi/rails process pool by long running requests after initial check
 routines.

Package: libapache2-mod-xsendfile
Description-md5: 394a3bf522bc72bfd1e8b5e3019cc105
Description-en: Serve large static files efficiently from web applications
 This package provides the xsendfile module for the Apache 2 webserver.
 xsendfile is a small Apache2 module that processes X-SENDFILE header
 sent by the original output handler.
 .
 If it encounters the presence of such header it will discard all output
 and send the file specified by that header instead using Apache internals
 including all optimizations like caching-headers and sendfile or mmap if
 configured.
 .
 It is useful for processing script-output of e.g. php, perl or any cgi.

Package: libapache2-mpm-itk
Description-md5: b644c5fc7698218780d7e4130a4d369b
Description-en: multiuser module for Apache
 The mpm-itk module, although not technically a Multi-Processing Module (MPM)
 (although it used to be) enhances the classical "prefork" module (that is,
 without threads), in such a way that it allows you to constrain each
 individual vhost to a particular system user and group. This allows you to run
 several different web sites on a single server without worrying that they will
 be able to read each others' files. mpm-itk is largely independent of e.g.
 what scripting technology is in use on your server; in particular, it does not
 require you to run your scripts as CGI to get the extra security benefit.

Package: libapache2-request-perl
Description-md5: af1b5c05334cf2fa9c66195dedeafd89
Description-en: generic Apache request library - Perl modules
 The generic Apache request library (APR) contains modules for manipulating
 client request data with the Apache API from Perl and C. Functionality
 includes:
 .
  - parsing of application/x-www-form-urlencoded data
  - parsing of multipart/form-data
  - parsing of HTTP Cookies
 .
 This package contains the Perl bindings, which are simply a thin XS layer on
 top of libapreq, making them a lighter and faster alternative to CGI.pm and
 CGI::Cookie.

Package: libapache2-sitecontrol-perl
Description-md5: 4d728ded0b0e7e98dd3645d007fa8f9f
Description-en: perl web site authentication/authorization system
 Apache2::SiteControl is a set of perl object-oriented classes that implement
 a fine-grained security control system for a web-based application. The
 intent is to provide a clear, easy-to-integrate system that does not require
 the policies to be written into your application components. It attempts to
 separate the concerns of how to show and manipulate data from the concerns of
 who is allowed to view and manipulate data and why.
 .
 For example, say your web application is written in HTML::Mason. Your
 individual "screens" are composed of Mason modules, and you would like to
 keep those as clean as possible, but decisions have to be made about what to
 allow as the component is processed. SiteControl attempts to make that as
 easy as possible.

Package: libapacheds-i18n-java
Description-md5: 5bb6d44bcd38bc2fb7260323f646c442
Description-en: Apache Directory Server (i18n)
 ApacheDS is an embbedable directory server entirely written in Java, which
 has been certified LDAPv3 compatible by the Open Group. Besides LDAP it
 supports Kerberos 5 and the Change Password Protocol. It has been designed
 to introduce triggers, stored procedures, queues and views to the world
 of LDAP which has lacked these rich constructs.
 .
 This package contains the i18n module.

Package: libapacheds-java
Description-md5: c349525905c294559048159d0f47d74e
Description-en: Apache Directory Server (Libraries)
 ApacheDS is an embbedable directory server entirely written in Java, which
 has been certified LDAPv3 compatible by the Open Group. Besides LDAP it
 supports Kerberos 5 and the Change Password Protocol. It has been designed
 to introduce triggers, stored procedures, queues and views to the world
 of LDAP which has lacked these rich constructs.
 .
 This package contains the core libraries for ApacheDS.

Package: libapacheds-kerberos-codec-java
Description-md5: 8d3683cb6fab1b77f5e2c510d2543892
Description-en: Apache Directory Server (Kerberos Codec)
 ApacheDS is an embbedable directory server entirely written in Java, which
 has been certified LDAPv3 compatible by the Open Group. Besides LDAP it
 supports Kerberos 5 and the Change Password Protocol. It has been designed
 to introduce triggers, stored procedures, queues and views to the world
 of LDAP which has lacked these rich constructs.
 .
 This package contains the kerberos-codec module

Package: libapertium3-3.6-1
Description-md5: 4770d1d0d21411c1a6c67f51a30e6da9
Description-en: Shared library for Apertium
 An open-source shallow-transfer machine translation
 engine, Apertium is initially aimed at related-language pairs.
 .
 This package contains shared library for the Apertium
 shallow-transfer machine translation engine.

Package: libapiguardian-java
Description-md5: 4f545a3340836ec90feb02470ee9c801
Description-en: Level of stability annotation for frameworks or applications
 API Guardian provides the @API annotation that is used to annotate public
 types, methods, constructors, and fields within a framework or application
 in order to publish their status and level of stability and to indicate
 how they are intended to be used by consumers of the API.

Package: libapksig-java
Description-md5: 7926f593443c8bc0a39626f9b0442a18
Description-en: library to sign and verify Android APKs
 apksig is a project which aims to simplify APK signing and checking
 whether APK's signatures should verify on Android. apksig supports
 JAR signing (used by Android since day one) and APK Signature Scheme
 v2 (supported since Android Nougat, API Level 24).
 .
 The key feature of apksig is that it knows about differences in APK
 signature verification logic between different versions of the
 Android platform. apksig can thus check whether a signed APK is
 expected to verify on all Android platform versions supported by the
 APK. When signing an APK, apksig will choose the most appropriate
 cryptographic algorithms based on the Android platform versions
 supported by the APK being signed.
 .
 apksig library offers three primitives:
 .
  * ApkSigner which signs the provided APK so that it verifies on all
 Android platform versions supported by the APK. The range of platform
 versions can be customized if necessary.
 .
  * ApkVerifier which checks whether the provided APK is expected to
 verify on all Android platform versions supported by the APK. The
 range of platform versions can be customized if necessary.
 .
  * (Default)ApkSignerEngine which abstracts away signing an APK from
 parsing and building an APK file. This is useful in optimized APK
 building pipelines, such as in Android Plugin for Gradle, which need
 to perform signing while building an APK, instead of after. For
 simpler use cases where the APK to be signed is available upfront,
 the ApkSigner above is easier to use.
 .
 NOTE: Some public classes of the library are in packages having the
 word “internal” in their name. These are not public API of the
 library. Do not use *.internal.* classes directly.

Package: libapogee-dev
Description-md5: 278973543ea6d55e01e3f28bbb28e503
Description-en: Alta camera control library (development files)
 This package is primarily intended for developers wishing to add Alta
 camera control options to other packages (e.g. Kstars/INDI), the
 library includes a set of INDI compatible entry points, the
 ApnGlueXXX routines.
 .
 This package includes development headers for Apogee Alta CCDs.

Package: libapogee2
Description-md5: 0584873e3c30419bd12db78137850e5e
Description-en: Alta camera control library
 This package is primarily intended for developers wishing to add Alta
 camera control options to other packages (e.g. Kstars/INDI), the
 library includes a set of INDI compatible entry points, the
 ApnGlueXXX routines.

Package: libapophenia2
Description-md5: 46b14b2909d0fca1b562ee0fa6f7d9f6
Description-en: Apophenia Statistical C Library -- library package
 The Apophenia Statistical C Library is an open source C library for
 working with data sets and statistical models. It provides functions
 on the same level as those of the typical stats packages (such as OLS,
 probit, or singular value decomposition) but gives the user more
 flexibility to be creative in model-building.
 .
 Apophenia is meant to scale well, to comfortably work with gigabyte
 data sets, million-step simulations, or computationally-intensive
 agent-based models.
 .
 Apophenia builds upon the GNU Scientific and SQLite libraries,
 MySQL/mariaDB is also supported. The core functions are written
 in C, but experience has shown them to be easy to bind in Python,
 Julia, Perl, Ruby, etc. The source code is distributed under the
 GNU General Public License version 2 (GPL2).
 .
 This package provides the shared libraries required to run programs
 compiled against the Apophenia Statistical C Library. To compile your
 own programs you also need to install the libapophenia2-dev package.

Package: libapophenia2-dev
Description-md5: 1651719e0cd2cb350f5da6f3c52d9494
Description-en: Apophenia Statistical C Library -- development package
 The Apophenia Statistical C Library is an open source C library for
 working with data sets and statistical models. It provides functions
 on the same level as those of the typical stats packages (such as OLS,
 probit, or singular value decomposition) but gives the user more
 flexibility to be creative in model-building.
 .
 Apophenia is meant to scale well, to comfortably work with gigabyte
 data sets, million-step simulations, or computationally-intensive
 agent-based models.
 .
 Apophenia builds upon the GNU Scientific and SQLite libraries,
 MySQL/mariaDB is also supported. The core functions are written
 in C, but experience has shown them to be easy to bind in Python,
 Julia, Perl, Ruby, etc. The source code is distributed under the
 GNU General Public License version 2 (GPL2).
 .
 This package contains the header files, static libraries and symbolic
 links that developers using the Apophenia Statistical C Library will
 need.

Package: libapp-cache-perl
Description-md5: 5e03628ab42d9b60d22befbe4d928590
Description-en: Easy application-level caching library for perl
 The App::Cache module lets an application cache data locally. There
 are a few times an application would need to cache data: when it is
 retrieving information from the network or when it has to complete a
 large calculation.

Package: libapp-cell-perl
Description-md5: c6d76f38be8db3fd8e92359b82bbcd13
Description-en: configuration, error-handling, localization, and logging "framework"
 App::CELL is the Configuration, Error-handling, Localization, and
 Logging (CELL) framework for applications written in Perl.
 .
 In the author's experience, applications written for "users" (however
 that term may be defined) frequently need to:
 .
  * be configurable by the user or site administrator
  * handle errors robustly, without hangs and crashes
  * potentially display messages in various languages
  * log various types of messages to syslog
 .
 Since these basic functions seem to work well together, CELL is
 designed to provide them in an integrated, well-documented,
 straightforward, and reusable package.

Package: libapp-cli-perl
Description-md5: fbb7c3635092ad53e98cdd5bf036d95e
Description-en: Dispatcher module for command line interface programs
 App::CLI dispatches CLI (command line interface) based commands
 into command classes.  It also supports subcommand and per-command
 options.

Package: libapp-cmd-perl
Description-md5: 85c5f77260510a292c2be4e8e95258f6
Description-en: Perl interface to write command line apps with less suffering
 App::Cmd is intended to make it easy to write complex command-line
 applications without having to think about most of the annoying
 things usually involved.
 .
 For information on how to start using App::Cmd, see App::Cmd::Tutorial.

Package: libapp-cmd-plugin-prompt-perl
Description-md5: 267d1eed42caa902ca1672b872ba477e
Description-en: plug prompting routines into your commands
 App::Cmd is intended to make it easy to write complex command-line
 applications without having to think about most of the annoying things
 usually involved.
 .
 App::Cmd::Plugin::Prompt in a plugin to App::Cmd providing several
 routines to prompt on commandline in various ways.

Package: libapp-control-perl
Description-md5: f90a7b661041f015eb51fa2cdd8edbe1
Description-en: Perl module for apachectl style control of another executable
 App::Control is a simple module to replicate the kind of functionality you get
 with apachectl to control Apache, but for any script or executable. There is a
 very simple OO interface, where the constructor is used to specify the
 executable, command line arguments, and pidfile, and various methods (start,
 stop, etc.) are used to control the executable in the obvious way.  The module
 is intended to be used in a simple wrapper control script. Currently the
 module does a fork and exec to start the executable, and sets the signal
 handler for SIGCHLD to 'IGNORE' to avoid zombie processes.

Package: libapp-cpants-lint-perl
Description-md5: 841c78602684065005f69dcc43d61da9
Description-en: commandline frontend to Module::CPANTS::Analyse - library
 cpants_lint checks the Kwalitee of a CPAN distribution.  More exact, it
 checks how a given tarball will be rated on <http://cpants.perl.org>,
 without needing to upload it first.
 .
 This package provides the App::CPANTS::Lint library for cpants_lint.

Package: libapp-daemon-perl
Description-md5: 0bd9fe941fe11ae2cfd38130f382b45b
Description-en: Perl module to start an Application as a Daemon
 App::Daemon helps running an application as a daemon. The idea to prepend
 scripts with functions provided by this module to 'daemonize' it.
 .
 Along with the common features offered by similar modules on CPAN, it
  * supports logging with Log4perl: In background mode, it logs to a logfile. In
    foreground mode, log messages go directly to the screen.
  * detects if another instance is already running and ends itself
    automatically in this case.
  * shows with the 'status' command if an instance is already running and
    which PID it has.

Package: libapp-fatpacker-perl
Description-md5: e0cc551a5034b831dad4132e9d948d33
Description-en: module to pack dependencies onto script files
 While the proliferation of solutions like local::lib and cpanminus has made
 it a breeze to manage dependencies, there are still some rare occassions in
 which shipping code that has no external non-core dependencies is necessary.
 .
 App::FatPacker and the included fatpack script do the work of tracing,
 collecting packlists, extracting modules in fatlib, then concatenating into a
 packed script - in one shot.

Package: libapp-info-perl
Description-md5: 356cf2c05673b0b79792e7e7c5f208d5
Description-en: module to provide metadata about software packages installed
 App::Info provides a generalized interface for providing metadata about
 software packages installed on a system. The idea is that App::Info
 subclasses can be used in Perl application installers in order to determine
 whether software dependencies have been fulfilled, and to get necessary
 metadata about those software packages.

Package: libapp-nopaste-perl
Description-md5: 9cd3fa858f1eb2f6cd1f2bbe484f5c32
Description-en: application for easy access to any pastebin
 App::Nopaste is a Perl module and application collection to upload arbitrary
 text, usually code, to pastebins (also known as nopaste sites) for public
 viewing. They're used a lot in IRC channels to show code that would normally
 be too long to give directly in the channel.
 .
 Each pastebin is slightly different; this program attempts to encapsulate the
 differences between them and provide a unified, redundant interface to upload
 code. If one site doesn't work, it simply tries a different one.

Package: libapp-options-perl
Description-md5: 9869b955d725ed30726af853f4d9a29b
Description-en: command-line option values processing system
 App::Options combines command-line arguments, environment variables, option
 files, and program defaults to produce a hash of option values.
 .
 Furthermore, its special treatment of the "perlinc" option facilitates the
 inclusion ("use") of application-specific perl modules from special places
 to enable the installation of multiple versions of an application on the same
 system (i.e. /usr/myproduct/version).

Package: libapp-perlrdf-command-query-perl
Description-md5: 2b4c7e7b9bbd350d07d9dfdfd89ad4fb
Description-en: SPARQL extension for App-perlrdf
 App::perlrdf::Command::Query adds query abilities to the "perlrdf"
 command-line client.

Package: libapp-rad-perl
Description-md5: 15e7bea09445d82477bc217bc1a20cf6
Description-en: Perl module for rapid and easy creation of command line applications
 App::Rad aims to be a simple yet powerful framework for developing your
 command-line applications. It can easily transform your Perl one-liners into
 reusable subroutines than can be called directly by the user of your program.
 .
 It also tries to provide a handy interface for your common command-line
 tasks.

Package: libapp-repl-perl
Description-md5: ee9910c7e4a57b17da8e87634cf11420
Description-en: container for functions for the iperl program
 App::REPL module contains functions that the iperl program automatically
 imports into any package it enters, for interactive convenience.

Package: libapp-st-perl
Description-md5: 587f1b3e0f1b8ff353517bdf4f86af03
Description-en: perl module to mathematical calculations
 App::St provides some mathematical calculations like: sum, mean,
 standard deviation, median, percentile, quartile and variance to
 Perl programs as a native module.

Package: libapp-termcast-perl
Description-md5: 51d707958523484790d17dbd85ea862e
Description-en: termcasting module and client
 App::Termcast is a client for the http://termcast.org/ service, which allows
 broadcasting of a terminal session for remote viewing.
 .
 The package contains the module and a wrapper script for easily starting a
 termcast.
 .
 Potential viewers just have to `telnet termcast.org' (or any other used
 server).

Package: libappconfig-std-perl
Description-md5: c1fb2486841e17e6278f4cf2905d8be2
Description-en: Perl module for standard app configuration
 AppConfig::Std is a Perl module that provides a set of standard configuration
 variables and command-line switches. It is implemented as a subclass of
 AppConfig; AppConfig provides a general mechanism for handling global
 configuration variables.

Package: libappimage-dev
Description-md5: dfcc70ef7fa2f9b5d4f61a9fad5e2fd3
Description-en: Development files for libappimage
 Core library of the AppImage project. Reference implementation
 of the AppImage specification. https://appimage.org
 .
 AppImage provides a way for upstream developers to provide
 “native” binaries for Linux users just the same way they could
 do for other operating systems. It allow packaging applications
 for any common Linux based operating system, e.g., Ubuntu,
 Debian, openSUSE, RHEL, CentOS, Fedora etc. AppImages
 come with all dependencies that cannot be assumed to be part
 of each target system in a recent enough version and will run
 on most Linux distributions without further modifications.
 .
 AppImage is the format, and AppImageKit provides a
 reference implementation.
 .
 This package contains the development files for libappimage.

Package: libappimage0
Description-md5: 66554d5b9bcf3e6ec95886b76033f170
Description-en: Core library for appimage
 Core library of the AppImage project. Reference implementation
 of the AppImage specification. https://appimage.org
 .
 AppImage provides a way for upstream developers to provide
 “native” binaries for Linux users just the same way they could
 do for other operating systems. It allow packaging applications
 for any common Linux based operating system, e.g., Ubuntu,
 Debian, openSUSE, RHEL, CentOS, Fedora etc. AppImages
 come with all dependencies that cannot be assumed to be part
 of each target system in a recent enough version and will run
 on most Linux distributions without further modifications.
 .
 AppImage is the format, and AppImageKit provides a
 reference implementation.
 .
 This library is used by some tools used to ease the installation
 and usage of appimages.
 .
 This package contains the library for libappimage.

Package: libappindicator-dev
Description-md5: 8892169afb7b9bc499e71c9594bafe1b
Description-en: Application Indicators
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains files that are needed to build applications.

Package: libappindicator0.1-cil
Description-md5: 1847e304f46bfd56eda1cdad308e1f7c
Description-en: CLI bindings for libappindicator
 This package provides the appindicator-sharp assembly that allows CLI (.NET)
 programs to take menus from applications and place them in the panel.
 .
 This package contains assemblies to be used by applications.

Package: libappindicator0.1-cil-dev
Description-md5: 8892169afb7b9bc499e71c9594bafe1b
Description-en: Application Indicators
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains files that are needed to build applications.

Package: libappindicator1
Description-md5: 19c351a0f9348d05d8bfa4468c6db3f5
Description-en: Application Indicators
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains shared libraries to be used by applications.

Package: libappindicator3-0.1-cil
Description-md5: 715edacfe865fc1e9a1c370e8e43906b
Description-en: CLI binding for libayatana-appindicator3 using GObject introspection
 This package provides the appindicator3-sharp assembly that allows CLI (.NET)
 programs to use the Ayatana Appindicator3 library.

Package: libappindicator3-0.1-cil-dev
Description-md5: 5ce79a980fc0c446d2a54bd47f5bbe8e
Description-en: CLI binding for libayatana-appindicator3 using GObject introspection
 appindicator3-sharp assembly allows CLI (.NET) programs to use the
 Ayatana Appindicator3 library.
 .
 This package contains development files for Appindicator# and should be used
 for compilation.

Package: libappmenu-gtk-parser-dev-common
Description-md5: 51058b38f88e3bfbe88462f14123430e
Description-en: Common header files for GtkMenuShell to GMenuModel parser
 GTK+ module for exporting old-style menus as GMenuModels.
 .
 Many applications implement menus as GtkMenuShells and GtkMenuItems and
 aren't looking to migrate to the newer GMenuModel API.
 .
 The AppMenu GTK+ module watches for these types of menus and exports the
 appropriate GMenuModel implementation.
 .
 This package contains header files that the GTK+2.0 and GTK+3.0 builds
 have in common.

Package: libappmenu-gtk2-parser-dev
Description-md5: 1ab7a4a1fe69774293708bd54f574c3e
Description-en: GtkMenuShell to GMenuModel parser (GTK+2.0 development files)
 GTK+ module for exporting old-style menus as GMenuModels.
 .
 Many applications implement menus as GtkMenuShells and GtkMenuItems and
 aren't looking to migrate to the newer GMenuModel API.
 .
 The AppMenu GTK+ module watches for these types of menus and exports the
 appropriate GMenuModel implementation.
 .
 This package contains GTK+ 2 pkg-config files and library headers
 for libappmenu-gtk2-parser0.

Package: libappmenu-gtk2-parser0
Description-md5: c97179661875842ac1575c793d797638
Description-en: GtkMenuShell to GMenuModel parser (GTK+2.0)
 GTK+ module for exporting old-style menus as GMenuModels.
 .
 Many applications implement menus as GtkMenuShells and GtkMenuItems and
 aren't looking to migrate to the newer GMenuModel API.
 .
 The AppMenu GTK+ module watches for these types of menus and exports the
 appropriate GMenuModel implementation.
 .
 This library converts GtkMenuShells into GMenuModels for GTK+2.0
 applications.

Package: libappmenu-gtk3-parser-dev
Description-md5: 7f69b231382adae0aad24e387a8b692f
Description-en: GtkMenuShell to GMenuModel parser (GTK+3.0 development files)
 GTK+ module for exporting old-style menus as GMenuModels.
 .
 Many applications implement menus as GtkMenuShells and GtkMenuItems and
 aren't looking to migrate to the newer GMenuModel API.
 .
 The AppMenu GTK+ module watches for these types of menus and exports the
 appropriate GMenuModel implementation.
 .
 This package contains GTK+ 3 pkg-config files and library headers
 for libappmenu-gtk3-parser0.

Package: libappmenu-gtk3-parser0
Description-md5: 5f4076c0df463eb90d63c80bfb6e845a
Description-en: GtkMenuShell to GMenuModel parser (GTK+3.0)
 GTK+ module for exporting old-style menus as GMenuModels.
 .
 Many applications implement menus as GtkMenuShells and GtkMenuItems and
 aren't looking to migrate to the newer GMenuModel API.
 .
 The AppMenu GTK+ module watches for these types of menus and exports the
 appropriate GMenuModel implementation.
 .
 This library converts GtkMenuShells into GMenuModels for GTK+3.0
 applications.

Package: libappstreamqt-dev
Description-md5: 0a7919e7eb3578aabb849ac6507d3493
Description-en: Qt5 library to access AppStream services (development files)
 AppStream is a metadata specification, allowing to write metadata for
 software projects in a standardized format. This allows software centers
 to display useful information for not installed software, as well as
 determining software the user might want to install (e.g. to open an
 unknown file type or to make missing firmware available).
 .
 This package contains development files necessary to develop
 software management tools which use the Qt-based AppStream library.

Package: libappstreamqt2
Description-md5: 1fde835e1d44f3e9d2ed1be217653777
Description-en: Qt5 library to access AppStream services
 AppStream is a metadata specification, allowing to write metadata for
 software projects in a standardized format. This allows software centers
 to display useful information for not installed software, as well as
 determining software the user might want to install (e.g. to open an
 unknown file type or to make missing firmware available).
 .
 This package contains a Qt-based library to access AppStream
 services, like the software component metadata pool.

Package: libapr-memcache-dev
Description-md5: faa69f8c02ff3ad03e558f6a8934a13f
Description-en: memcache client - development libraries
 apr_memcache is a client written in C for memcached, the memory object
 cache daemon. It uses the Apache Portable Runtime to provide pooled
 client connections in a thread-safe manner, making it perfect for use
 inside Apache modules such as mod_gnutls.
 .
 This package provides the development files for apr_memcache.

Package: libapr-memcache0
Description-md5: ee0df036c8f9cfa04fdb31c12d655812
Description-en: memcache client - shared library
 apr_memcache is a client written in C for memcached, the memory object
 cache daemon. It uses the Apache Portable Runtime to provide pooled
 client connections in a thread-safe manner, making it perfect for use
 inside Apache modules such as mod_gnutls.
 .
 This package provides the shared library for apr_memcache.

Package: libapreq2-3
Description-md5: a7cbbe5541f0b98aaaa957c43520424f
Description-en: generic Apache request library
 The generic Apache request library (APR) contains modules for manipulating
 client request data with the Apache API from Perl and C. Functionality
 includes:
 .
  - parsing of application/x-www-form-urlencoded data
  - parsing of multipart/form-data
  - parsing of HTTP Cookies
 .
 This package contains the main library.

Package: libapreq2-dev
Description-md5: c9af9099a3246332c834d078304ac945
Description-en: generic Apache request library - development files
 The generic Apache request library (APR) contains modules for manipulating
 client request data with the Apache API from Perl and C. Functionality
 includes:
 .
  - parsing of application/x-www-form-urlencoded data
  - parsing of multipart/form-data
  - parsing of HTTP Cookies
 .
 This package contains the development library and C headers required for
 compiling programs against the library.

Package: libapreq2-doc
Description-md5: 92318dc20bfd3cf171825757d8cc86a1
Description-en: generic Apache request library - documentation
 The generic Apache request library (APR) contains modules for manipulating
 client request data with the Apache API from Perl and C. Functionality
 includes:
 .
  - parsing of application/x-www-form-urlencoded data
  - parsing of multipart/form-data
  - parsing of HTTP Cookies
 .
 This package contains the programming documentation for the library.

Package: libapriltag-dev
Description-md5: 1ea637ea39fd2ea8ee85bf303c545c11
Description-en: AprilTags Visual Fiducial System
 AprilTag is a visual fiducial system, useful for a wide variety of tasks
 including augmented reality, robotics, and camera calibration. Targets can be
 created from an ordinary printer, and the AprilTag detection software computes
 the precise 3D position, orientation, and identity of the tags relative to the
 camera. The AprilTag library is implemented in C with no external dependencies.
 It is designed to be easily included in other applications, as well as be
 portable to embedded devices. Real-time performance can be achieved even on
 cell-phone grade processors.
 .
 This package includes the development files

Package: libapriltag1
Description-md5: e7ac552e4ee1455746d20d9a7a1d9718
Description-en: AprilTags Visual Fiducial System
 AprilTag is a visual fiducial system, useful for a wide variety of tasks
 including augmented reality, robotics, and camera calibration. Targets can be
 created from an ordinary printer, and the AprilTag detection software computes
 the precise 3D position, orientation, and identity of the tags relative to the
 camera. The AprilTag library is implemented in C with no external dependencies.
 It is designed to be easily included in other applications, as well as be
 portable to embedded devices. Real-time performance can be achieved even on
 cell-phone grade processors.

Package: libapron
Description-md5: cfbd2488da4d02e7ec43e8a4436cc02c
Description-en: runtime libraries for APRON
 The APRON library is dedicated to the static analysis of the numerical
 variables of a program by Abstract Interpretation. The aim of such an analysis
 is to infer invariants about these variables. It is intended to be a common
 interface to various underlying libraries/abstract domains and to provide
 additional services that can be implemented independently from the underlying
 library/abstract domain.
 .
 This package contains the shared libraries needed at runtime.

Package: libapron-dev
Description-md5: 8cfe62b6d247bb639dc39aba20be9b0f
Description-en: abstract interpretation library
 The APRON library is dedicated to the static analysis of the numerical
 variables of a program by Abstract Interpretation. The aim of such an analysis
 is to infer invariants about these variables. It is intended to be a common
 interface to various underlying libraries/abstract domains and to provide
 additional services that can be implemented independently from the underlying
 library/abstract domain.
 .
 This package contains the files necessary to use the APRON library in C.

Package: libapron-ocaml
Description-md5: e56fbc04564a444f01cf0e5cec4c9802
Description-en: runtime libraries for APRON (OCaml interface)
 The APRON library is dedicated to the static analysis of the numerical
 variables of a program by Abstract Interpretation. The aim of such an analysis
 is to infer invariants about these variables. It is intended to be a common
 interface to various underlying libraries/abstract domains and to provide
 additional services that can be implemented independently from the underlying
 library/abstract domain.
 .
 This package include only the dynamic libraries needed for running dynamic
 bytecode executables.

Package: libapron-ocaml-dev
Description-md5: 52dc917b117486b6313512aa83783679
Description-en: abstract interpretation library (OCaml interface)
 The APRON library is dedicated to the static analysis of the numerical
 variables of a program by Abstract Interpretation. The aim of such an analysis
 is to infer invariants about these variables. It is intended to be a common
 interface to various underlying libraries/abstract domains and to provide
 additional services that can be implemented independently from the underlying
 library/abstract domain.
 .
 This package contains the files necessary to use the APRON library in OCaml.

Package: libaprutil1-dbd-mysql
Description-md5: 15a666ed46c11f75bd13c5e02383601c
Description-en: Apache Portable Runtime Utility Library - MySQL Driver
 APR is Apache's Portable Runtime Library, designed to be a support library
 that provides a predictable and consistent interface to underlying
 platform-specific implementations.
 .
 APR Util is a utilities library implemented on top of apr, providing database
 access, xml parsing, and other useful functionality.
 .
 This package contains the MySQL / mariadb database driver.

Package: libaprutil1-dbd-odbc
Description-md5: 085602535c579227169d691cc9bd9db1
Description-en: Apache Portable Runtime Utility Library - ODBC Driver
 APR is Apache's Portable Runtime Library, designed to be a support library
 that provides a predictable and consistent interface to underlying
 platform-specific implementations.
 .
 APR Util is a utilities library implemented on top of apr, providing database
 access, xml parsing, and other useful functionality.
 .
 This package contains the ODBC database driver.

Package: libaprutil1-dbd-pgsql
Description-md5: 3e0d8248ad62a14f055f01f5218a8c8f
Description-en: Apache Portable Runtime Utility Library - PostgreSQL Driver
 APR is Apache's Portable Runtime Library, designed to be a support library
 that provides a predictable and consistent interface to underlying
 platform-specific implementations.
 .
 APR Util is a utilities library implemented on top of apr, providing database
 access, xml parsing, and other useful functionality.
 .
 This package contains the PostgreSQL database driver.

Package: libaqbanking-data
Description-md5: ac326068d6e30b62ec011a004a2ca845
Description-en: configuration files for libaqbanking
 AqBanking provides a middle layer between the applications
 and online banking libraries implementing various file formats and protocols.
 Plugins supporting OFX, DTAUS, HBCI, and EBICS are available.
 .
 This package contains data files for AqBanking.

Package: libaqbanking-dev
Description-md5: b779f8309fe372d0d259c12499d65a54
Description-en: library for online banking applications
 AqBanking provides a middle layer between the applications
 and online banking libraries implementing various file formats and protocols.
 Plugins supporting OFX, DTAUS, HBCI, and EBICS are available.
 .
 This package contains the development files for AqBanking.

Package: libaqbanking44
Description-md5: 565491d6b2227265bbc884ce67136ad9
Description-en: library for online banking applications
 AqBanking provides a middle layer between the applications
 and online banking libraries implementing various file formats and protocols.
 Plugins supporting OFX, DTAUS, HBCI, and EBICS are available.

Package: libaqsis-dev
Description-md5: d413d1a7d8fb84ddec6ce299a8ecfbd1
Description-en: 3D rendering solution adhering to the RenderMan(R) standard, development files
 Aqsis is a high quality, photorealistic, 3D rendering solution. It complies
 with the RenderMan(R) interface standard defined by Pixar.
 .
 The RenderMan(R) standard has been used in film and television visual effects
 since its introduction in 1989. Pixar has used their own implementation for all
 of their award winning CG features, and provided their implementation for use
 in the visual effects of most major blockbuster films over the last 2
 decades. The Aqsis project offers a way for individuals and organisations alike
 to gain experience with the RenderMan(R) interface without the cost of
 commercial software licenses.
 .
 This package contains the Aqsis development files.

Package: libaqsis1
Description-md5: c02f34d5c94d48f334adf995bc93992a
Description-en: 3D rendering solution adhering to the RenderMan(R) standard, shared libs
 Aqsis is a high quality, photorealistic, 3D rendering solution. It complies
 with the RenderMan(R) interface standard defined by Pixar.
 .
 The RenderMan(R) standard has been used in film and television visual effects
 since its introduction in 1989. Pixar has used their own implementation for all
 of their award winning CG features, and provided their implementation for use
 in the visual effects of most major blockbuster films over the last 2
 decades. The Aqsis project offers a way for individuals and organisations alike
 to gain experience with the RenderMan(R) interface without the cost of
 commercial software licenses.
 .
 This package contains the Aqsis shared libraries.

Package: libaravis-0.6-0
Description-md5: ca8c6c9d5e9dde9e2b411d5db3cd8013
Description-en: glib/gobject based library for video acquisition using GenICam cameras
 GenICam standard provides a generic programming
 interface for all kinds of cameras and devices.
 Aravis currently implements the gigabit ethernet and
 USB3 (Since Aravis 0.5.x) protocols used by industrial cameras.

Package: libaravis-dev
Description-md5: 25d017a6b5362c5c7e92c79c5cab4717
Description-en: Development files for aravis library
 Aravis is a glib/gobject based library for video acquisition
 implementing GenICam standard. GenICam standard provides a generic programming
 interface for all kinds of cameras and devices.

Package: libarccommon3v5
Description-md5: 0e374f98fce6d9477c267693cca43007
Description-en: Advanced Resource Connector Middleware
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 The ARC middleware is a software solution that uses distributed
 computing technologies to enable sharing and federation of computing
 resources across different administrative and application domains.
 ARC is used to create distributed infrastructures of various scope and
 complexity, from campus to national and global deployments.

Package: libarch-perl
Description-md5: 9e881ca908edde8d999b994b2dae19a0
Description-en: high-level interface to GNU Arch
 Arch is a Perl module that provides a pragmatic high-level interface around
 tla or baz. It can be used to design and create new object-oriented frontends
 for GNU Arch.

Package: libarchive-any-create-perl
Description-md5: 48fd0d9f895ebf609185dc3e12972612
Description-en: abstract API to create tar/tar.gz/zip archives
 Archive::Any::Create is a wrapper module to create tar/tar.gz/zip files with a
 single easy-to-use API. When writing to a file, the module automatically
 determines the compression method based on file name.

Package: libarchive-any-lite-perl
Description-md5: 898d3dc4df03e6aeaabd04f887213a38
Description-en: simple CPAN package extractor
 Archive::Any::Lite is a fork of Archive::Any by Michael Schwern and
 Clint Moore. The main difference is that Archive::Any::Lite works
 properly even when you fork(), and may require less memory to extract a
 tarball. On the other hand, Archive::Any::Lite isn't pluggable (it only
 supports file formats used in the CPAN toolchains), and doesn't check
 mime types (at least as of this writing).

Package: libarchive-any-perl
Description-md5: 85f66bc8d75f56c666b65041777ab6c4
Description-en: Perl module to deal with file archives in any format
 The Archive::Any module allows a Perl program to create, manipulate,
 read, and write different archive formats (tarballs and Zip files)
 through a single API.

Package: libarchive-ar-perl
Description-md5: 912d405c77ab8623280ac223eafee25d
Description-en: Perl interface for manipulating ar archives
 Archive::Ar is a pure-perl way to handle standard ar archives.
 .
 This is useful if you have those types of old archives on the system,
 but it is also useful because .deb packages for the Debian distribution
 are ar archives. This is one building block in a future chain of
 modules to build, manipulate, extract, and test Debian modules with no
 platform or architecture dependence.
 .
 You may notice that the API to Archive::Ar is similar to Archive::Tar, and
 this was done intentionally to keep similarity between the Archive::*
 modules.

Package: libarchive-extract-perl
Description-md5: a9917e6be8ae1dd729c20bd956a215e3
Description-en: generic archive extracting module
 Archive::Extract is a generic archive extraction mechanism.
 .
 It allows you to extract any archive file of the type .tar, .tar.gz, .gz, .Z,
 tar.bz2, .tbz, .bz2, .zip, .xz,, .txz, .tar.xz or .lzma without having to
 worry how it does so, or use different interfaces for each type by using
 either perl modules, or commandline tools on your system.

Package: libarchive-peek-perl
Description-md5: e257288b0bfdf331fe8f76c039bda4fc
Description-en: Perl module for peeking into archives without extracting them
 Archive::Peek lets you peek into archives without extracting them. It
 currently supports tar files and zip files.
 It offers methods for returning the list of files within the archive
 and the contents of single files.

Package: libarchive-tar-wrapper-perl
Description-md5: c76b6eb8e1469debb144237d5c4ea029
Description-en: API wrapper around the 'tar' utility
 Archive::Tar::Wrapper is an API wrapper around the 'tar' command line
 utility. It never stores anything in memory, but works on temporary directory
 structures on disk instead. It provides a mapping between the logical paths
 in the tarball and the 'real' files in the temporary directory on disk.

Package: libarchive-tools
Description-md5: 04bbebb441868f4c976e664833572c46
Description-en: FreeBSD implementations of 'tar' and 'cpio' and other archive tools
 This package contains several command-line tools based on the libarchive
 library.
 .
 The bsdtar program is the default system 'tar' program used on FreeBSD. bsdtar
 uses the libarchive library as a backend which does all of the work for reading
 and writing archives in various formats.
 .
 The bsdcpio program is the default system 'cpio' program used on FreeBSD.
 bsdcpio uses the libarchive library as a backend which does all of the work for
 reading and writing archives in various formats.
 .
 The bsdcat program reads archived data from files or from its standard input
 and uses the libarchive library to decompresses it to its standard output.
 It may be used for viewing the contents of archives or for passing it to other
 tools for further processing.

Package: libarcus-dev
Description-md5: 1e01ba6adc4c2f6da0206f5521be945e
Description-en: message queue for Cura based on protobuf (development files)
 This library contains C++ code and Python3 bindings for creating a socket
 in a thread and using this socket to send and receive messages based on
 the Protocol Buffers library. It is designed to facilitate the communication
 between Cura and its backend and similar code.
 This package contains C++ headers and other development files.

Package: libarcus3
Description-md5: 277d811a6a4d1fc200a1171dd4dbacb5
Description-en: message queue for Cura based on protobuf (shared library)
 This library contains C++ code and Python3 bindings for creating a socket
 in a thread and using this socket to send and receive messages based on
 the Protocol Buffers library. It is designed to facilitate the communication
 between Cura and its backend and similar code.
 This package contains the shared library.

Package: libargon2-0
Description-md5: 8e7e10079ad8e86a4eb50d0bc712e788
Description-en: memory-hard hashing function - runtime library
 Argon2 is a password-hashing function that can be used to hash passwords
 for credential storage, key derivation, or other applications.
 .
 There are two main versions of Argon2: Argon2i and Argon2d.
 Argon2i is the safest against side-channel attacks, while Argon2d provides
 the highest resistance against GPU cracking attacks.
 .
 Argon2i and Argon2d are parametrized by:
  * A time cost, which defines the amount of computation realized and
    therefore the execution time, given in number of iterations
  * A memory cost, which defines the memory usage, given in kibibytes
  * A parallelism degree, which defines the number of parallel threads
 .
 This package provides compatibility for packages built against older
 versions of libargon2.

Package: libargparse4j-java
Description-md5: 940941eb8c9b8a86941846180dc03026
Description-en: command-line argument parser for Java based on Python's argparse module
 Argparse4j is a command line argument parser library for Java based on
 Python's argparse module.  The features of argparse4j include:
 .
   * Supported positional, optional and variable number of arguments.
   * Generates well formatted line-wrapped help message.
   * Suggests optional arguments/sub-command if unrecognized
     arguments/sub-command were given.
   * Takes into account East Asian Width ambiguous characters when line-wrap.
 .
 This package contains the library files for libarparse4j-java.

Package: libargparse4j-java-doc
Description-md5: 188a8d9e1b101c6bb6284750b32885bb
Description-en: documentation for libargparse4j-java
 Argparse4j is a command line argument parser library for Java based on
 Python's argparse module.  The features of argparse4j include:
 .
   * Supported positional, optional and variable number of arguments.
   * Generates well formatted line-wrapped help message.
   * Suggests optional arguments/sub-command if unrecognized
     arguments/sub-command were given.
   * Takes into account East Asian Width ambiguous characters when line-wrap.
 .
 This package contains the API documentation of libargparse4j-java.

Package: libargs4j-java
Description-md5: 450610385ae9da7f95e6a2f06e304b98
Description-en: Java command line arguments parser
 args4j is a small Java class library that makes it easy to parse
 command line options/arguments in your CUI application.

Package: libargs4j-java-doc
Description-md5: 956b6b406a98f5e4c6c1d20a408b7dd4
Description-en: Documentation for Java command line arguments parser
 args4j is a small Java class library that makes it easy to parse
 command line options/arguments in your CUI application.
 .
 This package provides the API documentation for libargs4j-java.

Package: libargtable2-0
Description-md5: 4ccbdfbf4e1206b0a7e8bd1c748966d7
Description-en: Library for parsing GNU style command line arguments
 Argtable is an ANSI C library for parsing GNU style command line arguments.
 It enables a program's command line syntax to be defined in the source code as
 an array of argtable structs. The command line is then parsed according to that
 specification and the resulting values are returned in those same structs where
 they are accessible to the main program. Both tagged (-v, --verbose, --foo=bar)
 and untagged arguments are supported, as are multiple instances of each
 argument. Syntax error handling is automatic and the library also provides the
 means for displaying the command line syntax directly from the array of
 argument specifications.
 .
 argtable can function as a "getopt_long" replacement, without the user of the
 program noticing the difference. Unlike "getopt_long", however, argtable is
 cross platform, working on all Posix systems, as well as Windows and Mac.

Package: libargtable2-dev
Description-md5: 208a92d7a031b3b9ef5b6ace9ac0f726
Description-en: Library for parsing GNU style command line arguments (development headers)
 Argtable is an ANSI C library for parsing GNU style command line arguments.
 It enables a program's command line syntax to be defined in the source code as
 an array of argtable structs. The command line is then parsed according to that
 specification and the resulting values are returned in those same structs where
 they are accessible to the main program. Both tagged (-v, --verbose, --foo=bar)
 and untagged arguments are supported, as are multiple instances of each
 argument. Syntax error handling is automatic and the library also provides the
 means for displaying the command line syntax directly from the array of
 argument specifications.
 .
 argtable can function as a "getopt_long" replacement, without the user of the
 program noticing the difference. Unlike "getopt_long", however, argtable is
 cross platform, working on all Posix systems, as well as Windows and Mac.
 .
 This package contains the files needed to develop applications that use
 argtable.

Package: libargtable2-docs
Description-md5: 89357a32b168efdbd42f12762f7b8798
Description-en: Library for parsing GNU style command line arguments (documentation)
 Argtable is an ANSI C library for parsing GNU style command line arguments.
 It enables a program's command line syntax to be defined in the source code as
 an array of argtable structs. The command line is then parsed according to that
 specification and the resulting values are returned in those same structs where
 they are accessible to the main program. Both tagged (-v, --verbose, --foo=bar)
 and untagged arguments are supported, as are multiple instances of each
 argument. Syntax error handling is automatic and the library also provides the
 means for displaying the command line syntax directly from the array of
 argument specifications.
 .
 This package contains the documentation for the development libraries for the
 libargtable2-dev package.

Package: libaria-demo
Description-md5: c085572035ecc6fdc8bdbfc43091ae25
Description-en: C++ library for MobileRobots/ActivMedia robots (demo example)
 ARIA is an object oriented toolkit for controlling MobileRobots/ActivMedia
 mobile robots and their accessories, and for creating robot control
 applications. It also provides many cross-platform and high-level tools for
 multimedia, networking, and other useful tasks.
 .
 This package contains the demo example of the library functions. The example
 is renamed to aria-demo and can be used to experiment and test the robot's
 hardware.

Package: libaria-dev
Description-md5: b2205bbb8cd02bc18fb5fc7263e46df7
Description-en: C++ library for MobileRobots/ActivMedia robots (devel)
 ARIA is an object oriented toolkit for controlling MobileRobots/ActivMedia
 mobile robots and their accessories, and for creating robot control
 applications. It also provides many cross-platform and high-level tools for
 multimedia, networking, and other useful tasks.
 .
 This package contains static libraries and header files.

Package: libaria-dev-doc
Description-md5: 998cb4bffb4649b8401ddfaea88f65b7
Description-en: C++ library for MobileRobots/ActivMedia robots (devel docs)
 ARIA is an object oriented toolkit for controlling MobileRobots/ActivMedia
 mobile robots and their accessories, and for creating robot control
 applications. It also provides many cross-platform and high-level tools for
 multimedia, networking, and other useful tasks.
 .
 This package contains doxygen generated documentation.

Package: libaria2
Description-md5: bc1655fc1e89735f3ca9e4bcba0bb3eb
Description-en: C++ library for MobileRobots/ActivMedia robots
 ARIA is an object oriented toolkit for controlling MobileRobots/ActivMedia
 mobile robots and their accessories, and for creating robot control
 applications. It also provides many cross-platform and high-level tools for
 multimedia, networking, and other useful tasks.
 .
 This package contains shared libraries.

Package: libaria2-0
Description-md5: 1922b920afcc88f101c153a550ee98b3
Description-en: C++ library interface to aria2
 This C++ library offers interface to the core functionality
 of aria2 like networking and downloading.

Package: libaria2-0-dev
Description-md5: 5a2c4f2a4a7088a79f186df0edc55ef1
Description-en: High speed download utility (Development files)
 Aria2 is a command line download client with resuming and
 segmented downloading. Supported protocols are HTTP/HTTPS/
 SFTP/FTP/BitTorrent and it also supports Metalink.
 .
 This package provides development files for libaria2.

Package: libaribb24-0
Description-md5: 4ef1a0a387d15d7ab5a0d1f67d434673
Description-en: library for ARIB STD-B24 decoding (runtime files)
 ARIB STD-B24 is a character set encoding used for subtitles when broadcasting
 MPEG-2 TS streams. This library provides a decoder of this character set.
 .
 This package contains the shared library.

Package: libaribb24-dev
Description-md5: 9052414d5b4da028b0db65f2b593aa48
Description-en: library for ARIB STD-B24 decoding (development files)
 ARIB STD-B24 is a character set encoding used for subtitles when broadcasting
 MPEG-2 TS streams. This library provides a decoder of this character set.
 .
 This package contains the development files.

Package: libarmadillo-dev
Description-md5: 3d03d40a585a0723ecfdc73486aa1064
Description-en: streamlined C++ linear algebra library - Headers
 Armadillo is a streamlined C++ linear algebra library (matrix maths)
 aiming towards a good balance between speed and ease of use. Integer,
 floating point and complex numbers are supported, as well as a subset
 of trigonometric and statistics functions. Optional integration with
 LAPACK and ATLAS libraries is also provided.
 .
 This package has the development libraries and headers for Armadillo.

Package: libarmadillo9
Description-md5: f6c7ebcea659e0c0e020c3624507c83f
Description-en: streamlined C++ linear algebra library
 Armadillo is a streamlined C++ linear algebra library (matrix maths)
 aiming towards a good balance between speed and ease of use. Integer,
 floating point and complex numbers are supported, as well as a subset
 of trigonometric and statistics functions. Optional integration with
 LAPACK and ATLAS libraries is also provided.

Package: libarmci-mpi-dev
Description-md5: 338bef5135e9a5fc6073021fc9fb993e
Description-en: ARMCI-MPI (Development version)
 ARMCI-MPI is a completely rewritten implementation of the ARMCI one-sided
 communication interface that uses MPI RMA for one-sided communication.
 .
 The purpose of the Aggregate Remote Memory Copy (ARMCI) library is to provide
 a general-purpose, efficient, and widely portable remote memory access (RMA)
 operations (one-sided communication) optimized for contiguous and
 noncontiguous (strided, scatter/gather, I/O vector) data transfers.
 .
 This version contains the Fortran90 modules and the static library.

Package: libarpack++2-dev
Description-md5: d99d99720d3c17c570b0bcdd6e41635d
Description-en: Object-oriented version of the ARPACK package (development)
 ARPACK++ is an object-oriented version of the ARPACK package. It consists a
 collection of classes that offers c++ programmers an interface to ARPACK. It
 preserves the full capability, performance, accuracy and low memory
 requirements of the FORTRAN package, but takes advantage of the c++
 object-oriented programming environment.
 .
 ARPACK stands for ARnoldi PACKage and provides standard and
 generalized eigenvalue solvers for sparse matrices that arises
 typically from finite element codes. See the corresponding Debian
 package.

Package: libarpack++2c2a
Description-md5: 70f7dcc30e8b644c9313491d40819138
Description-en: Object-oriented version of the ARPACK package (runtime)
 ARPACK++ is an object-oriented version of the ARPACK package. It consists a
 collection of classes that offers c++ programmers an interface to ARPACK. It
 preserves the full capability, performance, accuracy and low memory
 requirements of the FORTRAN package, but takes advantage of the c++
 object-oriented programming environment.
 .
 ARPACK stands for ARnoldi PACKage and provides standard and
 generalized eigenvalue solvers for sparse matrices that arises
 typically from finite element codes. See the corresponding Debian
 package.

Package: libarpack2
Description-md5: 2930973bf6f522d792538129ee506be1
Description-en: Fortran77 subroutines to solve large scale eigenvalue problems
 ARPACK software is capable of solving large scale symmetric,
 nonsymmetric, and generalized eigenproblems from significant
 application areas. The software is designed to compute a few (k)
 eigenvalues with user specified features such as those of largest
 real part or largest magnitude. Storage requirements are on the order
 of n*k locations. No auxiliary storage is required. A set of Schur
 basis vectors for the desired k-dimensional eigen-space is computed
 which is numerically orthogonal to working precision. Numerically
 accurate eigenvectors are available on request.
 .
 Important Features:
 .
  * Reverse Communication Interface.
  * Single and Double Precision Real Arithmetic Versions for Symmetric,
    Non-symmetric,
  * Standard or Generalized Problems.
  * Single and Double Precision Complex Arithmetic Versions for Standard or
    Generalized Problems.
  * Routines for Banded Matrices - Standard or Generalized Problems.
  * Routines for The Singular Value Decomposition.
  * Example driver routines that may be used as templates to implement
    numerous Shift-Invert strategies for all problem types, data types and
    precision.
 .
 This package contains the shared library.

Package: libarpack2-dev
Description-md5: ce479c0c27c3104bee7093d103d5e8cb
Description-en: Fortran77 subroutines to solve large scale eigenvalue problems (development)
 ARPACK software is capable of solving large scale symmetric,
 nonsymmetric, and generalized eigenproblems from significant
 application areas. The software is designed to compute a few (k)
 eigenvalues with user specified features such as those of largest
 real part or largest magnitude. Storage requirements are on the order
 of n*k locations. No auxiliary storage is required. A set of Schur
 basis vectors for the desired k-dimensional eigen-space is computed
 which is numerically orthogonal to working precision. Numerically
 accurate eigenvectors are available on request.
 .
 This package contains the static libraries and the documentation for
 development with libarpack (including examples).

Package: libarray-compare-perl
Description-md5: 82f3ed616aa444d0a7b7e2bb0e542de2
Description-en: Perl module to easily compare arrays
 Array::Compare can easily compare two arrays, in a variety
 of flexible ways, such as whitespace-ignorant, case-insensitive,
 and with certain elements skipped.

Package: libarray-diff-perl
Description-md5: 0970820b898c2914a30c025a7836d0d9
Description-en: Perl module to find the differences between two arrays
 The Array::Diff module compares two arrays and determines which elements
 have been removed or added.  Its interface is straightforward, solving
 a simple problem in a simple way; for those who need more features,
 there is always the Array::Compare module.

Package: libarray-group-perl
Description-md5: 6c5c559e1df522f99118d059584711f0
Description-en: module that converts an array into array of arrayrefs of uniform size N
 Array::Group is a module for converting a list into a list of arrayrefs
 of the same size. It exports two methods:
 .
  The ngroup method reformats a list into a list of arrayrefs. It is often
  used for formatting data into HTML tables, amongst other things.
 .
  dissect() returns a list of lists where the first element of each sublist
  will be one of the first elements of the source list, and the last element
  will be one of the last. This behaviour is much more useful when the input
  list is sorted.
 .
 The key difference between the two methods is that dissect() takes elements
 from the start of the list provided and pushes them onto each of the
 subarrays sequentially, rather than simply dividing the list into discrete
 chunks.

Package: libarray-iterator-perl
Description-md5: b7fe2e89bdafd984fa580eb9b333e412
Description-en: simple class to provide iterators over Perl arrays
 Array::Iterator Perl module provides a very simple iterator
 interface. It is uni-directional and can only be used once. It
 provides no means of reversing or resetting the iterator. It is not
 recommended to alter the array during iteration, however no attempt is
 made to enforce this. This class only intends to provide a clear and simple
 means of generic iteration, nothing more (yet).
 .
 This package also provides:
  * Array::Iterator::BiDirectional to allow forward and backward iteration
  * Array::Iterator::Circular to allow circular iteration
  * Array::Iterator::Reusable to allow reuse of iterators

Package: libarray-printcols-perl
Description-md5: a1ebfa27999fcd1b917ccddfb8f048a2
Description-en: Perl module to print array elements vertically
 Array::PrintCols, version 2.1, is a Perl 5 module which defines a
 subroutine to print arrays of elements in alphabetically, vertically
 sorted columns.  Optional arguments can be given to control either the
 width or number of the columns, the total width of the output, and the
 amount of indentation.

Package: libarray-refelem-perl
Description-md5: b6cece96765d1a4af5c9144272788586
Description-en: module to set up array elements as aliases
 Array::RefElem gives direct access to some of the internal Perl
 routines that let you store things in arrays and hashes.

Package: libarray-unique-perl
Description-md5: 88028a7adc2045bba4fb7252dda9c219
Description-en: Tie-able array that allows only unique values
 Array::Unique lets you create an array which will allow only one occurrence of
 any value. In other words, no matter how many times you put in 42, it will keep
 only the first occurrence and the rest will be dropped.
 .
 Uniqueness is checked with the 'eq' operator so among other things it is case
 sensitive. As a side effect, the module does not allow undef as a value in the
 array.

Package: libarray-utils-perl
Description-md5: deffd8c8e9c9ca882f76a778ae7c0a81
Description-en: collection of small utils for array manipulation
 Array::Utils is a small pure-perl module containing list manipulation routines.
 The module emerged to avoid including the same utility routines in
 numerous projects.

Package: libarrayfire-cpu-dev
Description-md5: 2497772da04b59b7da5a394518d90f85
Description-en: Development files for ArrayFire (CPU backend)
 ArrayFire is a high performance software library for parallel computing
 with an easy-to-use API. Its array based function set makes parallel
 programming simple.
 .
 ArrayFire's multiple backends (CUDA, OpenCL and native CPU) make it
 platform independent and highly portable.
 .
 A few lines of code in ArrayFire can replace dozens of lines of parallel
 computing code, saving you valuable time and lowering development costs.
 .
 This package provides the development files for the CPU backend of the
 ArrayFire library.

Package: libarrayfire-cpu3
Description-md5: a3a933e2db68a11937d28f6f198b73b4
Description-en: High performance library for parallel computing (CPU backend)
 ArrayFire is a high performance software library for parallel computing
 with an easy-to-use API. Its array based function set makes parallel
 programming simple.
 .
 ArrayFire's multiple backends (CUDA, OpenCL and native CPU) make it
 platform independent and highly portable.
 .
 A few lines of code in ArrayFire can replace dozens of lines of parallel
 computing code, saving you valuable time and lowering development costs.
 .
 This package provides the CPU backend of the ArrayFire library.

Package: libarrayfire-dev
Description-md5: ce47ff4697f3c4639ac5dc1d79dee97f
Description-en: Common development files for ArrayFire
 ArrayFire is a high performance software library for parallel computing
 with an easy-to-use API. Its array based function set makes parallel
 programming simple.
 .
 ArrayFire's multiple backends (CUDA, OpenCL and native CPU) make it
 platform independent and highly portable.
 .
 A few lines of code in ArrayFire can replace dozens of lines of parallel
 computing code, saving you valuable time and lowering development costs.
 .
 This package provides the development files common to all backends of the
 ArrayFire library.

Package: libarrayfire-doc
Description-md5: 819252ade5dc0fb2d4f9964b7c4dea2c
Description-en: Common documentation and examples for ArrayFire
 ArrayFire is a high performance software library for parallel computing
 with an easy-to-use API. Its array based function set makes parallel
 programming simple.
 .
 ArrayFire's multiple backends (CUDA, OpenCL and native CPU) make it
 platform independent and highly portable.
 .
 A few lines of code in ArrayFire can replace dozens of lines of parallel
 computing code, saving you valuable time and lowering development costs.
 .
 This package provides the documentation and example source code for the
 ArrayFire library.

Package: libarrayfire-opencl-dev
Description-md5: 96f168d898508514b3f3189749ca0cac
Description-en: Development files for ArrayFire (OpenCL backend)
 ArrayFire is a high performance software library for parallel computing
 with an easy-to-use API. Its array based function set makes parallel
 programming simple.
 .
 ArrayFire's multiple backends (CUDA, OpenCL and native CPU) make it
 platform independent and highly portable.
 .
 A few lines of code in ArrayFire can replace dozens of lines of parallel
 computing code, saving you valuable time and lowering development costs.
 .
 This package provides the development files for the OpenCL backend of the
 ArrayFire library.

Package: libarrayfire-opencl3
Description-md5: c1a668846b82b562380a2e031b82d7bc
Description-en: High performance library for parallel computing (OpenCL backend)
 ArrayFire is a high performance software library for parallel computing
 with an easy-to-use API. Its array based function set makes parallel
 programming simple.
 .
 ArrayFire's multiple backends (CUDA, OpenCL and native CPU) make it
 platform independent and highly portable.
 .
 A few lines of code in ArrayFire can replace dozens of lines of parallel
 computing code, saving you valuable time and lowering development costs.
 .
 This package provides the OpenCL backend of the ArrayFire library.

Package: libarrayfire-unified-dev
Description-md5: 0638941ec122fe8589a7b7e01a9182c5
Description-en: Development files for ArrayFire (unified backend)
 ArrayFire is a high performance software library for parallel computing
 with an easy-to-use API. Its array based function set makes parallel
 programming simple.
 .
 ArrayFire's multiple backends (CUDA, OpenCL and native CPU) make it
 platform independent and highly portable.
 .
 A few lines of code in ArrayFire can replace dozens of lines of parallel
 computing code, saving you valuable time and lowering development costs.
 .
 This package provides the development files for the unified backend of the
 ArrayFire library.

Package: libarrayfire-unified3
Description-md5: b57900aa16255c6ef1ef793b43e6998a
Description-en: High performance library for parallel computing (unified backend)
 ArrayFire is a high performance software library for parallel computing
 with an easy-to-use API. Its array based function set makes parallel
 programming simple.
 .
 ArrayFire's multiple backends (CUDA, OpenCL and native CPU) make it
 platform independent and highly portable.
 .
 A few lines of code in ArrayFire can replace dozens of lines of parallel
 computing code, saving you valuable time and lowering development costs.
 .
 This package provides the unified backend of the ArrayFire library.

Package: libart-2.0-2
Description-md5: 168caff4cb88f085f803602777142664
Description-en: Library of functions for 2D graphics - runtime files
 A library of functions for 2D graphics supporting a superset of the
 PostScript imaging model, designed to be integrated with graphics, artwork,
 and illustration programs. It is written in optimized C, and is fully
 compatible with C++. With a small footprint of 10,000 lines of code, it is
 especially suitable for embedded applications.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libart-2.0-dev
Description-md5: c3fc73e4b491f483fdc4f03019ed1da0
Description-en: Library of functions for 2D graphics - development files
 A library of functions for 2D graphics supporting a superset of the
 PostScript imaging model, designed to be integrated with graphics, artwork,
 and illustration programs. It is written in optimized C, and is fully
 compatible with C++. With a small footprint of 10,000 lines of code, it is
 especially suitable for embedded applications.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libasa-perl
Description-md5: 0e7834abb7dcbc7d49b63502509061ad
Description-en: Perl module for expanding a class or object's list of base classes
 The asa pragma attempts a new approach to bringing Java-style interfaces
 or Perl 6-style roles to Perl 5.  It allows a class or object to
 look like a derivative of another class without actually specifying
 it in the @ISA array.

Package: libasan4
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan5-amd64-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan5-armel-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan5-i386-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan5-powerpc-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan5-ppc64-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan5-sparc64-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan5-x32-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-amd64-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-arm64-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-armel-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-armhf-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-i386-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-powerpc-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-ppc64-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-ppc64el-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-s390x-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-sparc64-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasan6-x32-cross
Description-md5: 04e20d522e263c6563c2ea719c87026f
Description-en: AddressSanitizer -- a fast memory error detector
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libasedrive-serial
Description-md5: ecd3dff6552d09b71315fad2ea3d608d
Description-en: PC/SC driver for the Athena ASEDrive IIIe serial smart card reader
 This package provides a PC/SC IFD handler bundle for the Athena ASEDrive
 IIIe serial smart card readers.  This driver is necessary to use one
 of these devices with the PC/SC Lite resource manager (pcscd).

Package: libasedrive-usb
Description-md5: d89d9dfb89983e51beb852c43e4fed30
Description-en: PC/SC driver for the Athena ASEDrive IIIe USB smart card reader
 This package provides a PC/SC IFD handler bundle for the Athena ASEDrive
 IIIe USB smart card readers.  This driver is necessary to use one of these
 devices with the PC/SC Lite resource manager (pcscd).

Package: libasio-dev
Description-md5: dc7d21184ad3d29e32645e9d54f8af37
Description-en: cross-platform C++ library for network programming
 asio is a cross-platform C++ library for network programming that provides
 developers with a consistent asynchronous I/O model using a modern C++
 approach. It has recently been accepted into Boost.
 .
 This package contains the development header files.

Package: libasio-doc
Description-md5: 8715b9518d8bfd444d64fc1165528683
Description-en: documentation for asio C++ library for network programming
 asio is a cross-platform C++ library for network programming that provides
 developers with a consistent asynchronous I/O model using a modern C++
 approach. It has recently been accepted into Boost.
 .
 This package contains the documentation and examples.

Package: libasis2019
Description-md5: a587fc572cf44ccb242360a1ab387bee
Description-en: Ada Semantic Interface Specification (ASIS) runtime library
 ASIS (Ada Semantic Interface Specification) lets you develop applications
 to walk through the sources of your Ada programs and examine the semantic
 constructs.
 .
 This package contains the libraries necessary to execute ASIS programs.

Package: libasis2019-dev
Description-md5: ed657704d560c3a1f974aaa1d2a220a0
Description-en: Ada Semantic Interface Specification (ASIS) headers and libraries
 ASIS (Ada Semantic Interface Specification) lets you develop applications
 to walk through the sources of your Ada programs and examine the semantic
 constructs.
 .
 This package lets you build ASIS applications with GNAT.

Package: libasl-dev
Description-md5: 3dbba1fa390ebb8d35b8fd46d42aaf2c
Description-en: development files for ASL
 The Advanced Simulation Library (ASL) is a free and open source hardware
 accelerated multiphysics simulation platform (and an extensible general
 purpose tool for solving Partial Differential Equations).
 .
 Its computational engine is written in OpenCL and utilizes matrix-free
 solution techniques which enable extraordinarily high performance,
 memory efficiency and deployability on a variety of massively parallel
 architectures, ranging from inexpensive FPGAs, DSPs and GPUs up to
 heterogeneous clusters and supercomputers. The engine is hidden entirely
 behind simple C++ classes, so that no OpenCL knowledge is required from
 application programmers. Mesh-free, immersed boundary approach allows one
 to move from CAD directly to simulation drastically reducing pre-processing
 efforts and amount of potential errors.
 .
 ASL can be used to model various coupled physical and chemical phenomena and
 employed in a multitude of fields: computational fluid dynamics, virtual
 sensing, industrial process data validation and reconciliation, image-guided
 surgery, computer-aided engineering, design space exploration,
 crystallography, etc...
 .
 This package contains the development files.

Package: libasl0
Description-md5: 341b2c5823fad0564a102df1a4e6e401
Description-en: multiphysics simulation software
 The Advanced Simulation Library (ASL) is a free and open source hardware
 accelerated multiphysics simulation platform (and an extensible general
 purpose tool for solving Partial Differential Equations).
 .
 Its computational engine is written in OpenCL and utilizes matrix-free
 solution techniques which enable extraordinarily high performance,
 memory efficiency and deployability on a variety of massively parallel
 architectures, ranging from inexpensive FPGAs, DSPs and GPUs up to
 heterogeneous clusters and supercomputers. The engine is hidden entirely
 behind simple C++ classes, so that no OpenCL knowledge is required from
 application programmers. Mesh-free, immersed boundary approach allows one
 to move from CAD directly to simulation drastically reducing pre-processing
 efforts and amount of potential errors.
 .
 ASL can be used to model various coupled physical and chemical phenomena and
 employed in a multitude of fields: computational fluid dynamics, virtual
 sensing, industrial process data validation and reconciliation, image-guided
 surgery, computer-aided engineering, design space exploration,
 crystallography, etc...
 .
 This package contains the shared libraries.

Package: libasm-java
Description-md5: 81f2750bb74d509d011934dac4f76f12
Description-en: Java bytecode manipulation framework
 ASM is an all purpose Java bytecode manipulation and analysis framework.
 It can be used to modify existing classes or dynamically generate classes,
 directly in binary form. Provided common transformations and analysis
 algorithms allow one to easily assemble custom complex transformations
 and code analysis tools.
 .
 ASM offer similar functionality as other bytecode frameworks, but it is
 focused on simplicity of use and performance. Because it was designed and
 implemented to be as small and as fast as possible, it makes it very
 attractive for using in dynamic systems.

Package: libasm-java-doc
Description-md5: e0f9fbdd4bd002fbaa35e45c17e83154
Description-en: Java bytecode manipulation framework (documentation)
 ASM is an all purpose Java bytecode manipulation and analysis framework.
 It can be used to modify existing classes or dynamically generate classes,
 directly in binary form. Provided common transformations and analysis
 algorithms allow one to easily assemble custom complex transformations
 and code analysis tools.
 .
 ASM offer similar functionality as other bytecode frameworks, but it is
 focused on simplicity of use and performance. Because it was designed and
 implemented to be as small and as fast as possible, it makes it very
 attractive for using in dynamic systems.
 .
 This package includes the API Javadoc and examples.

Package: libasound2-plugin-equal
Description-md5: a9edf531ebd9bda6252a90abe08294f0
Description-en: equalizer plugin for ALSA
 Alsaequal is a real-time adjustable equalizer plugin for ALSA. It can
 be adjusted using an ALSA compatible mixer, like alsamixergui or
 alsamixer.
 .
 Alsaequal uses the Eq CAPS LADSPA Plugin for audio processing, actually
 alsaequal is a generic LADSPA plugin interface with real-time access to
 the LADSPA controls (the LADSPA plugin included with alsa doesn't allow
 for real-time controls) but it was developed for and only tested with
 Eq CAPS LADSPA plugin.

Package: libasound2-plugin-smixer
Description-md5: 690859b414c92870a8828f1886b0d9dd
Description-en: smixer plugin for ALSA library
 This package contains the smixer (Simple Mixer) interface for the ALSA
 library.
 .
 ALSA is the Advanced Linux Sound Architecture.

Package: libasound2-plugins-extra
Description-md5: 239938700cee737a3421c2c0ffc3fbde
Description-en: ALSA library additional plugins
 This package contains plugins for the ALSA library that are
 not included in the main libasound2 or libasound2-plugins packages.
 .
 The following plugins are included:
   - a52: S16 to A52 stream converter
   - lavcrate: rate converter

Package: libaspect-perl
Description-md5: e7fd563cfd0eb2165e4e59b29624f9cf
Description-en: module for Aspect-Oriented Programming in Perl
 Aspect-oriented Programming (AOP) is a programming method developed by Xerox
 PARC and others. The basic idea is that in complex class systems there are
 certain aspects or behaviors that cannot normally be expressed in a coherent,
 concise and precise way. One example of such aspects are design patterns,
 which combine various kinds of classes to produce a common type of behavior.
 Another is logging. For more information, see <URL:http://www.aosd.net>.
 .
 The Perl Aspect module is focused on subroutine matching and wrapping. It
 allows you to select collections of subroutines using a flexible pointcut
 language, and modify their behavior in any way you want.

Package: libaspectj-java
Description-md5: ba4609a7d43c8fbf9a569145995c724a
Description-en: aspect-oriented extension for Java - library
 AspectJ enables the clean modularization of crosscutting concerns
 such as: error checking and handling, synchronization, context-sensitive
 behavior, performance optimizations, monitoring and logging, debugging
 support, multi-object protocols.
 .
 This package provides the JAR libraries of aspectj.

Package: libaspectj-java-doc
Description-md5: 4b7122a9282459572754d1645ddff208
Description-en: aspect-oriented extension for Java - API documentation
 AspectJ enables the clean modularization of crosscutting concerns
 such as: error checking and handling, synchronization, context-sensitive
 behavior, performance optimizations, monitoring and logging, debugging
 support, multi-object protocols.
 .
 This package provides the Javadoc of JAR libraries of aspectj.

Package: libaspectj-maven-plugin-java
Description-md5: 203ad0836280675cef3087843f7c595f
Description-en: AspectJ compiler Maven Plugin
 Handles AspectJ usage within Maven. Functionality provided is: weaving
 of aspects (or existing aspects from libraries) with the test and/or main
 classes, weaving of pre-existing jars and ajdoc reporting.

Package: libasr-dev
Description-md5: d350dfcd573422ead7d705974517db66
Description-en: asynchronous DNS resolver (development files)
 libasr provides a simple interface for asynchronous address resolution and
 nameserver querying. It should be used in place of the classical resolver
 functions of libc when blocking is not desirable. libasr is thread-safe and
 lock-free internally except for the two atypical use cases listed in
 asr_run(3).
 .
 This package provides the development files for libasr0.

Package: libasr0
Description-md5: f2407b0524dfe52ce408472c1846c2c3
Description-en: asynchronous DNS resolver
 libasr provides a simple interface for asynchronous address resolution and
 nameserver querying. It should be used in place of the classical resolver
 functions of libc when blocking is not desirable. libasr is thread-safe and
 lock-free internally except for the two atypical use cases listed in
 asr_run(3).

Package: libass-dev
Description-md5: 1e6f14ccc11ddcfcb640621e0b784231
Description-en: development files for libass
 SubStation Alpha (SSA) is a subtitle file format that allows more
 advanced subtitles than the conventional SRT and similar formats.
 .
 This package contains the header files and static libraries needed to compile
 applications or shared objects that use libass.

Package: libass9
Description-md5: b7bd0b43027fe7a0a432e8ba669f3957
Description-en: library for SSA/ASS subtitles rendering
 SubStation Alpha (SSA) is a subtitle file format that allows more
 advanced subtitles than the conventional SRT and similar formats.
 .
 This package contains the shared library for libass.

Package: libassa-3.5-5-dev
Description-md5: 65a8e270c5d687f70839913b20ee7f06
Description-en: object-oriented C++ networking library (development files)
 libASSA is an object-oriented C++ networking library based on Adaptive
 Communication Patterns. It features a simplistic implementation of the set
 of communication patterns such as Service Configurator, Reactor, Acceptor,
 Connector, and others described in various papers published by
 Dr. D. C. Schmidt.
 .
 libASSA happily co-exists with other frameworks such as GUI toolkits and
 various CORBA implementations
 .
 This package contains the header files and static libraries which are
 needed for developing applications and a program that generates
 skeleton files for RAD development with ASSA library.

Package: libassa-3.5-5v5
Description-md5: 9a1b78f42b6cf798680a6bd5126606f4
Description-en: object-oriented C++ networking library
 libASSA is an object-oriented C++ networking library based on Adaptive
 Communication Patterns. It features a simplistic implementation of the set
 of communication patterns such as Service Configurator, Reactor, Acceptor,
 Connector, and others described in various papers published by
 Dr. D. C. Schmidt.
 .
 libASSA happily co-exists with other frameworks such as GUI toolkits and
 various CORBA implementations
 .
 This package contains the shared libraries.

Package: libassertj-core-java
Description-md5: 1e4b500959f58d67a8c860869fc2e91c
Description-en: Fluent assertions for Java
 AssertJ provides a rich and intuitive set of strongly-typed assertions
 to use for unit testing. AssertJ core provides assertions for JDK
 standard types can be used with either JUnit or TestNG.

Package: libassimp-dev
Description-md5: d0b845a8feddebf961da17e86ae36946
Description-en: 3D model import library (development)
 Assimp is a library to import various well-known 3D model formats ("assets") in
 a uniform manner.
 Assimp aims at providing a full asset conversion pipeline for use in game
 engines / realtime rendering systems of any kind but is not limited to this
 audience.
 .
 This package provides the files necessary to compile an application
 using Assimp

Package: libassimp-doc
Description-md5: 397796c78cd3b2c92441acbddf451a44
Description-en: 3D model import library (documentation)
 Assimp is a library to import various well-known 3D model formats ("assets") in
 a uniform manner.
 Assimp aims at providing a full asset conversion pipeline for use in game
 engines / realtime rendering systems of any kind but is not limited to this
 audience.
 .
 This package contains html documentation for the Assimp API.

Package: libassimp5
Description-md5: 19a3b9c95112bea7e3f3134dbd0cf8eb
Description-en: 3D model import library
 Assimp is a library to import various well-known 3D model formats ("assets") in
 a uniform manner.
 Assimp aims at providing a full asset conversion pipeline for use in game
 engines / realtime rendering systems of any kind but is not limited to this
 audience.
 .
 The library is designed for maximum stability and flexibility. While written in
 C++, a pure C API exists, as well as bindings to various other languages,
 including Python, D and Blitzmax. Assimp loads models into a straightforward
 data structure for easy processing by your application. Various post processing
 steps (such as general optimization or computation of extra data like normal or
 tangent vectors) can be executed on the imported data to suit your needs.
 .
 This package provides the shared object necessary to run an application
 using Assimp.

Package: libassuan-mingw-w64-dev
Description-md5: 3c0fb6ba4db884e067355fca6fe292f9
Description-en: IPC library for the GnuPG components -- Windows port
 Libassuan is a small library implementing the so-called "Assuan
 protocol". This protocol is used for IPC between most newer GnuPG
 components. Both server and client side functions are provided.
 .
 This package contains header files and static libraries for libassuan.
 .
 This is a Windows version of libassuan.  It's meant to be used when
 cross-building software that targets the Windows platform, e.g. the
 win32-loader component of Debian-Installer.

Package: libast2
Description-md5: 2593afd809edf30f4b0d44981877e351
Description-en: Library of Assorted Spiffy Things
 LibAST is the Library of Assorted Spiffy Things.  It contains many
 spiffy things, and it is a library.  Thus, the ever-so-creative name.
 LibAST has been previously known as libmej, the Eterm helper library
 which nobody really understood and certainly never used.  The
 plan is to gradually remove some of the neat stuff from Eterm that
 could be made generic (things like the theme parsing engine, the
 command-line options parser, perhaps the event engine, ...) and place
 it here in the hopes that others will find them useful.

Package: libast2-dev
Description-md5: b501d63e1dcaebb00116609d3450ef6c
Description-en: libast2 development files
 Headers, static libraries and documentation for developing software
 that uses libast2.
 .
 LibAST is the Library of Assorted Spiffy Things.  It contains many
 spiffy things, and it is a library.  Thus, the ever-so-creative name.
 LibAST has been previously known as libmej, the Eterm helper library
 which nobody really understood and certainly never used.  The
 plan is to gradually remove some of the neat stuff from Eterm that
 could be made generic (things like the theme parsing engine, the
 command-line options parser, perhaps the event engine, ...) and place
 it here in the hopes that others will find them useful.

Package: libasterisk-agi-perl
Description-md5: b1b1e6f9c04e6f51955f41bbee530c2e
Description-en: Collections of Perl modules to be used with Asterisk PBX AGI
 This module provides a simple interface to several operations related to
 the Asterisk PBX, including:
 .
  * Asterisk::AGI - simplifies writing AGI scripts for the Asterisk dialplan.
  * Asterisk::Outgoing - create calls through the outgoing spool.
  * Asterisk::Manager - control Asterisk through the Manager interface socket.

Package: libastring-ocaml
Description-md5: 7e0840fa2217c7fe633de7c72cdec3b8
Description-en: alternative String module for OCaml (runtime)
 Astring exposes an alternative String module for OCaml. This module
 tries to balance minimality and expressiveness for basic, index-free,
 string processing and provides types and functions for substrings,
 string sets and string maps.
 .
 Remaining compatible with the OCaml String module is a non-goal. The
 String module exposed by Astring has exception safe functions,
 removes deprecated and rarely used functions, alters some signatures
 and names, adds a few missing functions and fully exploits OCaml's
 newfound string immutability.
 .
 This package contains runtime files.

Package: libastring-ocaml-dev
Description-md5: 90438a016f80c75707e17b7ed6d21c56
Description-en: alternative String module for OCaml (development)
 Astring exposes an alternative String module for OCaml. This module
 tries to balance minimality and expressiveness for basic, index-free,
 string processing and provides types and functions for substrings,
 string sets and string maps.
 .
 Remaining compatible with the OCaml String module is a non-goal. The
 String module exposed by Astring has exception safe functions,
 removes deprecated and rarely used functions, alters some signatures
 and names, adds a few missing functions and fully exploits OCaml's
 newfound string immutability.
 .
 This package contains development files.

Package: libastro-dev
Description-md5: 8d12113d2c4a765844a7516371018b51
Description-en: development files for the Marble astrononmy library
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package contains development files for building software that uses the
 Marble astronomy library
 .
 This package is part of the KDE education module.

Package: libastro-fits-cfitsio-perl
Description-md5: c59bd528b4196e31e9778e4b93fe8787
Description-en: Perl extension for using the cfitsio library
 Astro::Fits::Cfitsio is a Perl interface to William Pence's cfitsio
 subroutine library. For more information on cfitsio, see
 http://heasarc.gsfc.nasa.gov/fitsio.
 .
 This module attempts to provide a wrapper for nearly every cfitsio routine,
 while retaining as much cfitsio behavior as possible. As such, one should
 be aware that it is still somewhat low-level, in the sense that handing an
 array which is not the correct size to a routine like fits_write_img()
 may cause SIGSEGVs.
 .
 The goal is to eventually use these routines to build a more Perl-like
 interface to many common tasks such as reading and writing of images and
 ASCII and binary tables.

Package: libastro-fits-header-perl
Description-md5: 171308a2ae8552208dc5794f36449bbc
Description-en: module for reading, modifying and writing FITS headers
 Astro::FITS::Header is a set of Perl modules that provide a more high-level
 approach than the straightforward CFITSIO to reading, modifying and then
 writing out FITS standard header blocks to FITS files.

Package: libastro1
Description-md5: 657b13f68cade242bc2a621ea4a8e9b9
Description-en: Marble astronomy library
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package contains shared libraries for astronomy support in marble.
 .
 This package is part of the KDE education module.

Package: libastrometry-dev
Description-md5: b5a843798778b7ab6bf804109900ecb0
Description-en: Astrometry plate solver (development files)
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the development files (header files).

Package: libastrometry0
Description-md5: dd36773275e2c7f43405ef4cc3dd45c3
Description-en: Astrometry plate solver (shared lib)
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the shared library.

Package: libastyle-dev
Description-md5: bc37a0bfe2a118bb7dc42997f00d22fc
Description-en: Library header files for Artistic Style
 Artistic Style is a source code indenter, formatter, and beautifier for
 the C, C++, C++/CLI, Objective-C, C# and Java programming languages.
 .
 This package contains the development headers.

Package: libastyle3
Description-md5: 1220a0e9cf0c7a35ba3d0af0efa91bfc
Description-en: Shared library for Artistic Style
 Artistic Style is a source code indenter, formatter, and beautifier for
 the C, C++, C++/CLI, Objective-C, C# and Java programming languages.
 .
 This package contains the shared library.

Package: libastylej-jni
Description-md5: 8a3f7a659f09640389cfcfdfd58e9b7f
Description-en: Java JNI library for Artistic Style
 Artistic Style is a source code indenter, formatter, and beautifier for
 the C, C++, C++/CLI, Objective-C, C# and Java programming languages.
 .
 This package contains the Java native interface library.

Package: libasync-http-client-java
Description-md5: 4eda8789f9ec056efe51b9aa0549d8b2
Description-en: Java Asynchronous HTTP Client
 Async HTTP Client library purpose is to allow Java applications to easily
 execute HTTP requests and asynchronously process the HTTP responses.

Package: libasync-interrupt-perl
Description-md5: 9b5c58429a35f16d4a15401a79271254
Description-en: module to allow C/XS libraries to interrupt perl
 Async::Interrupt is a Perl module that implements asynchronous interruptions,
 similar in nature to UNIX signals, in a cross-platform manner. Modules might
 want to run code asynchronously (in another thread or from a signal handler)
 and then signal the interpreter on certain events. One common way is to write
 data to a pipe and use an event handling toolkit to watch for I/O events.
 Another way is to send a signal. Those methods are slow, and in the case of a
 pipe, also not asynchronous - it won't interrupt a running Perl interpreter.
 .
 This module implements asynchronous notifications that enable you to signal
 running Perl code from another thread, asynchronously, and sometimes even
 without using a single syscall.

Package: libasyncaudio-dev
Description-md5: 9b9267152b42b85faa16eed85b79125f
Description-en: AsyncAudio library for SvxLink (development files)
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol. This package contains the static
 version and the header files for the AsyncAudio library.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libasyncaudio1.6
Description-md5: 95eb79cc9080f6e1f2d8fa505871b13a
Description-en: AsyncAudio library for SvxLink
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol. This package contains the AsyncAudio
 library.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libasynccore-dev
Description-md5: ea98986c12e1911044addc10ee43b90b
Description-en: AsyncCore library for SvxLink (development files)
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol. This package contains the static
 version and the header files for the AsyncCore library.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libasynccore1.6
Description-md5: 5562cabce6f4c2a9e4ef09176a2a270c
Description-en: AsyncCore library for SvxLink
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol. This package contains the AsyncCore
 library for event-driven applications that use Async classes.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libasynccpp-dev
Description-md5: 2917155714f074bc5efe9185e8d23c47
Description-en: AsyncCpp library for SvxLink (development files)
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol. This package contains the static
 version and the header files for the AsyncCpp library.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libasynccpp1.6
Description-md5: cb57d3262699034169c18d95c9ef8e74
Description-en: AsyncCpp library for SvxLink
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol. This package contains the AsyncCpp
 library.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libasyncqt-dev
Description-md5: 66a532819f9f102800c9bd5ac3018c77
Description-en: AsyncQt library for SvxLink (development files)
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol. This package contains the static
 version and the header files for the AsyncQt library.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libasyncqt1.6
Description-md5: 10012cd04d2a5eff09218da4007465e2
Description-en: AsyncQt library for SvxLink
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol.  This package contains the AsyncQt
 library for event-driven Qt applications using the Async classes.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libat-at-clojure
Description-md5: cc1e2e2716ddeb8dc8da72d7658128b6
Description-en: simple ahead-of-time function scheduler for Clojure
 at-at is a simple head-of-time function scheduler for Clojure. It allows
 scheduling the execution of an anonymous function at a point in the future.

Package: libatasmart-bin
Description-md5: 4efc8a0c734c991fb6f560d6f0c09aff
Description-en: ATA S.M.A.R.T. reading and parsing library - utilities
 A small and lightweight parser library for ATA S.M.A.R.T. hard disk
 health monitoring.
 .
 This package contains the following utilities:
 * skdump - a small tool that produces a similar output to smartctl -a
 * sktest - a small tool for starting/aborting S.M.A.R.T. self-tests

Package: libatd-ocaml
Description-md5: d6cc4a5eb0bf6a8e4945516eef4b6271
Description-en: syntax for cross-language data types in OCaml - plugins
 ATD stands for Adjustable Type Definitions. It is a type definition
 language designed to accommodate a variety of programming languages and
 data formats by the means of target-specific annotations. It supports
 sum types, parametrized types and inheritance. The library provides a
 parser and other tools useful for manipulating ATD type definitions.
 .
 This package contains the shared runtime libraries and plugins.

Package: libatd-ocaml-dev
Description-md5: cea6e722b02dca73a2b3aa0734febbdd
Description-en: syntax for cross-language data types in OCaml - development files
 ATD stands for Adjustable Type Definitions. It is a type definition
 language designed to accommodate a variety of programming languages and
 data formats by the means of target-specific annotations. It supports
 sum types, parametrized types and inheritance. The library provides a
 parser and other tools useful for manipulating ATD type definitions.
 .
 This package contains the development files needed for programming
 with the library.

Package: libatdgen-ocaml
Description-md5: bed5d2871dffaa5c58c8e714fa6a5ada
Description-en: OCaml code generator for biniou and JSON - plugins
 Atdgen is a command-line program that takes as input type definitions in
 the ATD syntax and produces OCaml code suitable for data serialization
 and deserialization. Two data formats are currently supported, these are
 biniou and JSON.
 .
 This package contains the shared runtime libraries (plugin).

Package: libatdgen-ocaml-dev
Description-md5: 4e3a414dceb291c58c8581350f65922a
Description-en: OCaml code generator for biniou and JSON - executable and dev files
 Atdgen is a command-line program that takes as input type definitions in
 the ATD syntax and produces OCaml code suitable for data serialization
 and deserialization. Two data formats are currently supported, these are
 biniou and JSON.
 .
 This package contains the adtgen executable and the files necessary
 for programming with the library.

Package: libatf-c++-2
Description-md5: dfc1aa949be7652ed187ad124c5d6dbc
Description-en: Automated Test Framework (shared C library)
 The Automated Testing Framework (ATF) is a collection of libraries
 to implement test programs in a variety of languages.
 .
 ATF libraries provide an API for writing test programs.
 The test programs can be written in C, C++, or POSIX shell.
 ATF based test programs can be run manually, or via automation.
 .
 Kyua is the preferred execution engine for ATF test programs.
 .
 This package ships the shared C library for ATF.

Package: libatf-c-1
Description-md5: 2be185fc8884bab224d7003bd68929e0
Description-en: Automated Test Framework (shared C++ library)
 The Automated Testing Framework (ATF) is a collection of libraries
 to implement test programs in a variety of languages.
 .
 ATF libraries provide an API for writing test programs.
 The test programs can be written in C, C++, or POSIX shell.
 ATF based test programs can be run manually, or via automation.
 .
 Kyua is the preferred execution engine for ATF test programs.
 .
 This package ships the shared C++ library for ATF.

Package: libatf-dev
Description-md5: d0bc6f4292314d27db32f4c9710f51a8
Description-en: Automated Test Framework (development files)
 The Automated Testing Framework (ATF) is a collection of libraries
 to implement test programs in a variety of languages.
 .
 The test programs can be written in C, C++, or POSIX shell.
 .
 Kyua is the preferred execution engine for ATF test programs.
 .
 This package ships files required for developing test programs.

Package: libatfs1
Description-md5: ad592babe776beb653aba82c28b2da8c
Description-en: Attributed File System (AtFS runtime libraries)
 AtFS is a storage system supporting multiple versions of files and
 associating an arbitrary number of application defined attributes
 of the form "name=value" with each version. It is part of ShapeTools, a
 software configuration management system.
 .
 This package contains the runtime libararies.

Package: libatinject-jsr330-api-java
Description-md5: fc4a754fb2ab9f3619d716ca9f3d4795
Description-en: Java API for JSR-330 Dependency Injection
 AtInject is the Java API build by the JSR-330 Expert Group:
 <http://jcp.org/en/jsr/detail?id=330>.
 .
 It's a set of API aiming to standardize an extensible dependency injection
 paradigm, as those provided by Guice, Spring or Plexus projects.

Package: libatinject-jsr330-api-java-doc
Description-md5: 333eaa8246c12202f3d012068dd40e41
Description-en: Documentation for libatinject-jsr330-api-java
 Documentation for AtInject that is the Java API build by the JSR-330 Expert
 Group: <http://jcp.org/en/jsr/detail?id=330>.
 .
 It's a set of API aiming to standardize an extensible dependency injection
 paradigm, as those provided by Guice, Spring or Plexus projects.

Package: libatinject-jsr330-tck-java
Description-md5: 8f2861f6a7caeeeadd55eaa0ba91a0db
Description-en: Java API for JSR-330 Dependency Injection (TCK)
 AtInject is the Java API build by the JSR-330 Expert Group:
 <http://jcp.org/en/jsr/detail?id=330>.
 .
 It's a set of API aiming to standardize an extensible dependency injection
 paradigm, as those provided by Guice, Spring or Plexus projects.
 .
 This package contains the JSR-330 TCK.

Package: libatk3.0-cil
Description-md5: 5edf4fc951a2741ea4168dabe7ce6eea
Description-en: CLI binding for the ATK accessibility toolkit
 This package provides the atk-sharp assembly that allows CLI (.NET) programs
 to use the ATK accessibility toolkit.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libatlas-base-dev
Description-md5: c68474c347d3e3f563b198629969a06c
Description-en: Automatically Tuned Linear Algebra Software, generic static
 ATLAS is an approach for the automatic generation and optimization of
 numerical software. Currently ATLAS supplies optimized versions for the
 complete set of linear algebra kernels known as the Basic Linear Algebra
 Subroutines (BLAS), and a subset of the linear algebra routines in the
 LAPACK library.
 .
 This package includes the headers, the static libraries and symbolic links
 needed for program development.

Package: libatlas-cpp-0.6-3
Description-md5: 42f92fb01c07703e562e8b5481d800fc
Description-en: World Forge wire protocol library - runtime libs
 This library implements the Atlas protocol, for use in client-server
 game applications.  It can be used in either clients or servers.
 .
 This is the runtime component of the Atlas-C++ toolkit.

Package: libatlas-cpp-0.6-dev
Description-md5: ac81710a4d826efe83e64c58eb8c3534
Description-en: World Forge wire protocol library - developer files
 This library implements the Atlas protocol, for use in client-server
 game applications.  It can be used in either clients or servers.
 .
 This contains the development files needed to compile and link programs
 with the Atlas-C++ toolkit.

Package: libatlas-cpp-0.6-tools
Description-md5: 28607f14ee408743b1ee67926092c378
Description-en: World Forge wire protocol library - tools
 This library implements the Atlas protocol, for use in client-server
 game applications.  It can be used in either clients or servers.
 .
 This contains the tool that converts Atlas data files between formats.

Package: libatlas-cpp-doc
Description-md5: 86f19dfde37deb75d033e975ff127118
Description-en: World Forge wire protocol library - documentation
 This library implements the Atlas protocol, for use in client-server
 game applications.  It can be used in either clients or servers.
 .
 This is the API documentation of the Atlas-C++ toolkit.

Package: libatlas-doc
Description-md5: 80ac296cb73dfa4ea19b105a3c9125f0
Description-en: Automatically Tuned Linear Algebra Software, documentation
 ATLAS is an approach for the automatic generation and optimization of
 numerical software. Currently ATLAS supplies optimized versions for the
 complete set of linear algebra kernels known as the Basic Linear Algebra
 Subroutines (BLAS), and a subset of the linear algebra routines in the
 LAPACK library.
 .
 This package provides documentation on the ATLAS libraries useful for
 developers.

Package: libatlas-ecmwf-0
Description-md5: 3f3d1da0cfaae3a94da83cac725356fe
Description-en: Numerical weather prediction and climate modelling library
 Atlas is an ECMWF library for parallel data-structures supporting
 unstructured grids and function spaces, with the aim to investigate
 alternative more scalable dynamical core options for Earth System models,
 and to support modern interpolation and product generation software.
 .
 Atlas is predominantly C++ code, with main features available to Fortran codes
 through a F2003 interface.
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libatlas-ecmwf-dev
Description-md5: e787f2aa7a1b4f81f5c81286d9939b9f
Description-en: Numerical weather prediction and climate modelling library - dev files
 Atlas is an ECMWF library for parallel data-structures supporting
 unstructured grids and function spaces, with the aim to investigate
 alternative more scalable dynamical core options for Earth System models,
 and to support modern interpolation and product generation software.
 .
 Atlas is predominantly C++ code, with main features available to Fortran codes
 through a F2003 interface.
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libatlas-ecmwf-utils
Description-md5: 7592645ca7738031dcb490b4deb520bc
Description-en: Numerical weather prediction and climate modelling library - utilities
 Atlas is an ECMWF library for parallel data-structures supporting
 unstructured grids and function spaces, with the aim to investigate
 alternative more scalable dynamical core options for Earth System models,
 and to support modern interpolation and product generation software.
 .
 Atlas is predominantly C++ code, with main features available to Fortran codes
 through a F2003 interface.
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libatlas-test
Description-md5: 088b2dc5c50fa908c78aeab3efe06a75
Description-en: Automatically Tuned Linear Algebra Software, test programs
 ATLAS is an approach for the automatic generation and optimization of
 numerical software. Currently ATLAS supplies optimized versions for the
 complete set of linear algebra kernels known as the Basic Linear Algebra
 Subroutines (BLAS), and a subset of the linear algebra routines in the
 LAPACK library.
 .
 This package provides dynamically linked testing and bench-marking
 binaries to compare the BLAS and ATLAS libraries on your system.

Package: libatlas3-base
Description-md5: f80833178f9c0623b9b635b55ecafa8d
Description-en: Automatically Tuned Linear Algebra Software, generic shared
 ATLAS is an approach for the automatic generation and optimization of
 numerical software. Currently ATLAS supplies optimized versions for the
 complete set of linear algebra kernels known as the Basic Linear Algebra
 Subroutines (BLAS), and a subset of the linear algebra routines in the
 LAPACK library.
 .
 The libraries in this package are built without any processor extension
 instructions, and should run on all processors of this general
 architecture, albeit less than optimally.
 .
 If you want to create an ATLAS packaged optimized for your processor, see the
 section: "Building Optimized Atlas Packages on your ARCH" in README.Debian

Package: libatombus-perl
Description-md5: be24622e3211ec87bd915730c4a9b806
Description-en: AtomPub server for messaging
 The Atom Publishing Protocol (Atompub) is a protocol for publishing and
 editing Web resources described at http://www.ietf.org/rfc/rfc5023.txt.
 .
 AtomBus is an AtomPub server that can be used for messaging. The idea
 is that atom feeds can correspond to conceptual queues or buses.
 AtomBus is built on top of the Dancer framework. It is also
 PubSubHubbub (PuSH) friendly.

Package: libatomic1-alpha-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-amd64-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-armel-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-hppa-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-i386-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-m68k-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-mips-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-mips64-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-mips64el-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-mips64r6-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-mips64r6el-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-mipsel-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-mipsr6-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-mipsr6el-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-powerpc-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-ppc64-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-riscv64-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-sh4-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-sparc64-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomic1-x32-cross
Description-md5: 16938852526fc26bdbcb46c18435ed08
Description-en: support library providing __atomic built-in functions
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libatomicparsley-dev
Description-md5: 946da3b3a527dde15a9666a1451ef666
Description-en: main library for atomic parsley in gtkpod, development kit
 This is the core library for atomic parsley of mp4 files in gtkpod.
 Library including read/write lyric support for the first time and
 write metadata function.
 .
 This package contains the static library and headers for use by developers.

Package: libatomicparsley0
Description-md5: 92a3ce181d739f6b85d68ef574b3a861
Description-en: main library for atomic parsley in gtkpod, shared library
 This is the core library for atomic parsley of mp4 files in gtkpod.
 Library including read/write lyric support for the first time and
 write metadata function.

Package: libatompub-perl
Description-md5: ff2a636bf4c6e4a52f3382eb829f30f0
Description-en: implementation of Atom Publishing Protocol
 The Atom Publishing Protocol (Atompub) is a protocol for publishing and
 editing Web resources described at http://www.ietf.org/rfc/rfc5023.txt.
 .
 Atompub implements client Atompub::Client and server Atompub::Server
 for the protocol.  XML formats used in the protocol are implemented in
 XML::Atom and XML::Atom::Service.  Catalyst extension
 Catalyst::Controller::Atompub is also available.

Package: libatrildocument-dev
Description-md5: 185f6dd2befdacd375c19d7be732f189
Description-en: MATE document rendering library (development files)
 Atril is a simple multi-page document viewer.  It can display
 and print PostScript (PS), Encapsulated PostScript (EPS), DJVU, DVI and
 Portable Document Format (PDF) files.
 .
 This package contains the development files for the libatrildocument
 library.

Package: libatrildocument3
Description-md5: 0eceffa746045c1381747094b687eb72
Description-en: MATE document rendering library
 Atril is a simple multi-page document viewer.  It can display
 and print PostScript (PS), Encapsulated PostScript (EPS), DJVU, DVI and
 Portable Document Format (PDF) files.
 .
 This package contains the document rendering library used by Atril.

Package: libatrilview-dev
Description-md5: 29fd1459b74761409b27dcfc1b4bc8d4
Description-en: MATE document viewing library (development files)
 Atril is a simple multi-page document viewer.  It can display
 and print PostScript (PS), Encapsulated PostScript (EPS), DJVU, DVI and
 Portable Document Format (PDF) files.
 .
 This package contains the development files for the libatrilview
 library.

Package: libatrilview3
Description-md5: 30ab5cdcedfef7f1046b2b8691d04c11
Description-en: MATE document viewing library
 Atril is a simple multi-page document viewer.  It can display
 and print PostScript (PS), Encapsulated PostScript (EPS), DJVU, DVI and
 Portable Document Format (PDF) files.
 .
 This package contains the document viewing library used by Atril.

Package: libattean-perl
Description-md5: 6af5b56a9bb91ff15122cea9e2e04de8
Description-en: semantic web framework
 Attean is a Perl framework
 for working with RDF data and SPARQL queries.
 It features parsers and serializers
 for many different RDF formats
 including RDF/XML, Turtle, N-Triples and N-Quads,
 as well as SPARQL formats
 like SPARQL-XML, SPARQL-JSON, SPARQL-CSV and SPARQL-TSV.
 .
 Attean features support for SPARQL 1.1 queries,
 and a set of APIs and command line tools
 to parse, transform, query, and serialize RDF data.
 .
 SPARQL is an RDF query language,
 that is, a semantic query language for databases,
 able to retrieve and manipulate data
 stored in Resource Description Framework format.
 .
 Resource Description Framework (RDF)
 is a standard model for data interchange on the Web.
 .
 Some modules require additional packages:
  * Test::Attean::*
    require the packages libmoose-perl libtest-roo-perl.
  * Test::Attean::SPARQLSuite
    instead requires the packages libtest-roo-perl libtest-modern-perl.

Package: libatteanx-compatibility-trine-perl
Description-md5: 6baaa674d9f700d5bb7e6b27e1b3bd9b
Description-en: compatibility layer between RDF::Trine and Attean
 AtteanX::Compatibility::Trine provides a tiny shim
 for code written for RDF::Trine to instead use Attean.
 .
 RDF::Trine and Attean are both Perl frameworks
 for working with RDF data and SPARQL queries,
 the former using Moose and deprecated
 in favor of the latter using Moo.
 .
 SPARQL is an RDF query language,
 that is, a semantic query language for databases,
 able to retrieve and manipulate data
 stored in Resource Description Framework format.
 .
 Resource Description Framework (RDF) is a standard model
 for data interchange on the Web.

Package: libatteanx-endpoint-perl
Description-md5: 3e83619bcdc76d4bbb99968dc8424a4f
Description-en: SPARQL 1.1 Protocol Endpoint
 AtteanX::Endpoint implements a PSGI SPARQL Protocol endpoint.
 .
 SPARQL is an RDF query language, that is, a semantic query language for
 databases, able to retrieve and manipulate data stored in Resource
 Description Framework format.
 .
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.

Package: libatteanx-serializer-rdfa-perl
Description-md5: 80b299aec4227adc3ed4ac89c1655921
Description-en: RDFa Serializer for Attean
 AtteanX::Serializer::RDFa can be used to serialize RDFa
 with several different styles.
 It is implemented using Attean
 to wrap around RDF::RDFa::Generator,
 which does the heavy lifting.
 .
 Attean is a Perl framework
 for working with RDF data and SPARQL queries.
 .
 SPARQL is an RDF query language,
 that is, a semantic query language for databases,
 able to retrieve and manipulate data
 stored in Resource Description Framework format.
 .
 Resource Description Framework (RDF) is a standard model
 for data interchange on the Web.

Package: libatteanx-store-ldf-perl
Description-md5: 271ace262f1b1c151156c42329cc8962
Description-en: Linked Data Fragment RDF store
 AtteanX::Store::LDF provides a triple-store connected to a Linked Data
 Fragment server.
 .
 Linked Data Fragment (LDF) is a protocol to exchange specific views of
 Linked Data.
 .
 Linked Data is a method of publishing structured data so that it can be
 interlinked and become more useful through semantic queries. It builds
 upon standard Web technologies such as HTTP, RDF and URIs, but rather
 than using them to serve web pages for human readers, it extends them
 to share information in a way that can be read automatically by
 computers.
 .
 A triplestore or RDF store is a purpose-built database for the storage
 and retrieval of triples through semantic queries. A triple is a data
 entity composed of subject-predicate-object, like "Bob is 35" or "Bob
 knows Fred".
 .
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.

Package: libatteanx-store-sparql-perl
Description-md5: f0a4155452441568b2c4cf4e3c797dbd
Description-en: Attean SPARQL triple store
 AtteanX::Store::SPARQL implements a simple immutable triple store,
 which simply allows programmers to use Attean facilities
 to query remote SPARQL endpoints.
 .
 This distribution also brings a corresponding AtteanX::Model::SPARQL,
 which allows query plans to be made,
 and an AtteanX::Store::SPARQL::Plan::BGP plan class,
 which contains a rudimentary cost estimate
 that attempts to avoid sending Cartesian joins to remote endpoints
 if possible.
 .
 SPARQL is an RDF query language,
 that is, a semantic query language for databases,
 able to retrieve and manipulate data stored
 in Resource Description Framework format.
 .
 Resource Description Framework (RDF) is a standard model
 for data interchange on the Web.

Package: libattribute-storage-perl
Description-md5: 9466242c4faade64723db82df7d049a9
Description-en: declare and retrieve named attributes about CODE
 This package provides a base, where a package using it can define handlers
 for particular code attributes. Other packages, using the package that
 defines the code attributes, can then use them to annotate subs.
 .
 This is similar to Attribute::Handlers, with the following key differences:
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libaubio-dev
Description-md5: ecb6c6521460704761ac69f20a53e6f8
Description-en: library for audio and music analysis, synthesis, and effects
 aubio gathers a set of functions for audio signal segmentation and labelling.
 The library contains a phase vocoder, onset and pitch detection functions, a
 beat tracking algorithm and other sound processing utilities.
 .
 This package contains the development files for libaubio.

Package: libaubio-doc
Description-md5: 8aa2c96df0d9f4359ed22794d2dfe564
Description-en: library for audio segmentation -- documentation
 aubio gathers a set of functions for audio signal segmentation and labelling.
 The library contains a phase vocoder, onset and pitch detection functions, a
 beat tracking algorithm and other sound processing utilities.
 .
 This package provides the documentation for the C interface.

Package: libaubio5
Description-md5: d8f79df880988a809190e4ce8a52d5b7
Description-en: library for audio segmentation
 aubio gathers a set of functions for audio signal segmentation and labelling.
 The library contains a phase vocoder, onset and pitch detection functions, a
 beat tracking algorithm and other sound processing utilities.
 .
 This package provides the shared library libaubio.

Package: libaudclient-dev
Description-md5: 211a21010813b8a6cbf8c90ece170759
Description-en: audacious D-Bus remote control library (development files)
 Audacious is a fork of beep-media-player which supports Winamp skins
 and many codecs.
 .
 In the default install, the following codecs are supported:
 .
  * MP3
  * Ogg Vorbis / Theora
  * AAC and AAC+
  * FLAC
  * ALAC
  * Windows Media (WMA)
  * WAVE
 .
 Additionally, Audacious is extendable through plugins, and contains
 other useful features like LIRC support. Support for many more codecs
 can also be added through plugins.
 .
 This package contains a development files and headers for the library
 used to remote control audacious.

Package: libaudclient2
Description-md5: 488da341a8b78e8ee3ae675361df3578
Description-en: audacious D-Bus remote control library
 Audacious is a fork of beep-media-player which supports Winamp skins
 and many codecs.
 .
 In the default install, the following codecs are supported:
 .
  * MP3
  * Ogg Vorbis / Theora
  * AAC and AAC+
  * FLAC
  * ALAC
  * Windows Media (WMA)
  * WAVE
 .
 Additionally, Audacious is extendable through plugins, and contains
 other useful features like LIRC support. Support for many more codecs
 can also be added through plugins.
 .
 This package contains a library used to remote control audacious.

Package: libaudcore5
Description-md5: 2a0caa32f6a6478ded9a174f96a8e23b
Description-en: audacious core engine library
 Audacious is a fork of beep-media-player which supports Winamp skins
 and many codecs.
 .
 In the default install, the following codecs are supported:
 .
  * MP3
  * Ogg Vorbis / Theora
  * AAC and AAC+
  * FLAC
  * ALAC
  * Windows Media (WMA)
  * WAVE
 .
 Additionally, Audacious is extendable through plugins, and contains
 other useful features like LIRC support. Support for many more codecs
 can also be added through plugins.
 .
 This package contains a library which provides core functionality
 of Audacious.

Package: libaudgui5
Description-md5: 53d8716a3af88c9d5a9cf67a787e0129
Description-en: audacious media player (libaudgui shared library)
 Audacious is a fork of beep-media-player which supports Winamp skins
 and many codecs.
 .
 In the default install, the following codecs are supported:
 .
  * MP3
  * Ogg Vorbis / Theora
  * AAC and AAC+
  * FLAC
  * ALAC
  * Windows Media (WMA)
  * WAVE
 .
 Additionally, Audacious is extendable through plugins, and contains
 other useful features like LIRC support. Support for many more codecs
 can also be added through plugins.
 .
 This package provides the libaudgui shared library.

Package: libaudio-cd-perl
Description-md5: 83f3b88bd5013516f36910cf4f809c80
Description-en: library to handle CDDB and low-level cd io calls
 Audio::CD supplies the CDDB functionality and low level calls to CD
 players. It provides a Perl interface to libcdaudio by Tony Arcieri,
 in the form of glue for the libcdaudio functions and data structures.

Package: libaudio-dev
Description-md5: 64f0a82f05b3c53e80a2a946147df4f5
Description-en: Network Audio System - development files
 The Network Audio System (NAS) was developed by NCD for playing,
 recording, and manipulating audio data over a network. Like the X
 Window System, it uses the client/server model to separate
 applications from the specific drivers that control audio input and
 output devices.
 .
 This package contains the header files and static library (libaudio.a),
 needed for development of programs using NAS.

Package: libaudio-ecasound-perl
Description-md5: fc6dd04d30899e59fcea8ee5abc70d0a
Description-en: Perl binding to the ecasound sampler, recorder, fx-processor
 Audio::Ecasound provides perl bindings to the ecasound control interface of
 the ecasound program. You can use perl to automate or interact with ecasound
 so you don't have to turn you back on the adoring masses packed into Wembly
 Stadium.
 .
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for audio playback, recording, format conversions, effects
 processing, mixing, as a LADSPA plugin host and JACK node. Version >= 2.2.X
 must be installed to use this package. SEE ALSO for more info.

Package: libaudio-file-perl
Description-md5: 01f60fa3cfe80821afa1e3c6933826e6
Description-en: Perl audio file abstraction library
 Audio::File abstracts a single audio file, independent of its format.
 Using this module you can access a files meta-info like title, album,
 etc. as well as the files audio-properties like its length and bitrate.
 .
 Currently only the formats flac, ogg vorbis and mp3 are supported, but
 support for other formats may be easily added.

Package: libaudio-flac-decoder-perl
Description-md5: 8929c23e95c0ead468db4754ae3da202
Description-en: Perl module providing an object-oriented FLAC decoder
 The Audio::FLAC::Decoder module provides users with Decoder objects for FLAC
 files. One can read data in PCM format from the stream, seek by pcm samples,
 or time.
 .
 FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is
 similar to MP3, but lossless, meaning that audio is compressed in FLAC without
 any loss in quality. This is similar to how Zip works, except with FLAC you
 will get much better compression because it is designed specifically for audio
 and you can play back compressed FLAC files in your favorite player just like
 you would an MP3 file.

Package: libaudio-flac-header-perl
Description-md5: 4af3aa12f5e379adaef3f7ca3b7cd81b
Description-en: Perl interface to FLAC file header metadata
 Audio::FLAC::Header provides an object-oriented interface to FLAC file header
 and metadata, it returns a hash containing basic information about a FLAC
 file, a representation of the embedded cue sheet if one exists, as well as tag
 information contained in the FLAC file's Vorbis tags.
 .
 There is no complete list of tag keys for Vorbis tags, as they can be
 defined by the user; the basic set of tags used for FLAC files include:
 ALBUM, ARTIST, TITLE, DATE, GENRE, TRACKNUMBER, COMMENT.

Package: libaudio-mixer-perl
Description-md5: 9808ce964262e165b6948407107b5cb7
Description-en: perl extension for Sound Mixer control
 Library to query / set various sound mixer parameters.
 .
 This is just a very simple Perl interface which allows one to
 set various sound mixer parameters. The most important
 probably 'vol' (volume). The list of all mixer parameters
 can be obtained using get_mixer_params() function.
 .
 This Audio::Mixer library works only with OSS.

Package: libaudio-moosic-perl
Description-md5: 63d3481c6a31f4946e2d49d2248758d3
Description-en: Moosic client library for Perl
 Audio::Moosic acts as a client for the musical jukebox program Moosic.
 .
 Using Audio::Moosic you can connect to a moosic server and execute
 arbitrary commands to control the moosic server.
 .
 Find out more about moosic at http://nanoo.org/~daniel/moosic/.

Package: libaudio-mpd-common-perl
Description-md5: cf1c3965b2f33f619a99e6622082f549
Description-en: collection of common perl helper classes for MPD
 Audio::MPD::Common is a Perl module which provides some base classes to
 represent MPD (Music Player Daemon) states and information. This module is a
 dependency of other, more useful modules such as Audio::MPD.

Package: libaudio-mpd-perl
Description-md5: 8fda28f321563f9cce2a64d6c3edb724
Description-en: module to communicate with MPD servers
 Audio::MPD is a Perl module that provides an object-oriented interface for
 communicating with and controlling MPD (Music Player Daemon) servers. It also
 includes an example control daemon, mpd-dynamic, which implements a dynamic
 playlist for MPD.

Package: libaudio-musepack-perl
Description-md5: dd8240f9274eb1b1a8458ae305a1e4e0
Description-en: object-oriented interface to Musepack file information and APE tag fields
 The Audio::Musepack and Audio::APETags perl modules provide methods for
 extracting basic information about a Musepack file, as well as tag
 information contained in the file's APE tags.
 .
 The Audio::APE module provides methods for extracting information from header
 and APE tags of a Monkey audio file.

Package: libaudio-rpld-perl
Description-md5: 6e82d9ae8ac761152c019750942edda3
Description-en: module to communicate with RoarAudio PlayList Daemon
 Audio::RPLD is used to communicate with a RoarAudio PlayList Daemon (rpld).
 It includes support for nearly all of the protocol.
 .
 This includes commands to control playback, the Main Queue, playlists and
 pointer mangement.

Package: libaudio-scan-perl
Description-md5: ed802a33d9707c66bfaaae50c8bf9999
Description-en: module for reading metadata from common audio file formats
 Audio::Scan is a Perl module for extracting file metadata and tag information
 from several of the most common file formats, including: MP3, MP4, Musepack,
 Ogg Vorbis, FLAC, ASF, WAV, AIFF, Monkey's Audio, and WavPack.

Package: libaudio-scrobbler-perl
Description-md5: fe887a91374feb60b0420f66be8e5cef
Description-en: perl interface to audioscrobbler.com/last.fm
 The Audio::Scrobbler module provides a Perl interface to the track
 submission API of Last.fm's AudioScrobbler - http://www.last.fm. So
 far, only track submissions are handled; the future plans include
 access to the various statistics.

Package: libaudio-wav-perl
Description-md5: 04816f6fe80a002017d302a30e28a448
Description-en: modules for manipulating WAV Audio files
 Audio::Wav is a collection of Perl modules that provide mechanisms to read,
 parse and write uncompressed Microsoft WAV audio files. It is a Pure Perl
 module, so it is extremely portable at the cost of performance.

Package: libaudio-wma-perl
Description-md5: 78f569079ea24add86f30da837546564
Description-en: perl extension for reading WMA/ASF Metadata
 Audio::WMA implements several methods which provide access to
 metadata/tag information contained in WMA files.

Package: libaudio-xmmsclient-perl
Description-md5: deba57b5a877f94f945b73949e63f289
Description-en: XMMS2 - Perl client library
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the Perl library Audio::Xmmsclient that allows you to
 write XMMS2 clients. It's a language binding of libxmmsclient0.

Package: libaudio2
Description-md5: e406a6ba4c2219d0fa8de179e6be344c
Description-en: Network Audio System - shared libraries
 The Network Audio System (NAS) was developed by NCD for playing,
 recording, and manipulating audio data over a network. Like the X
 Window System, it uses the client/server model to separate
 applications from the specific drivers that control audio input and
 output devices.
 .
 This package contains the NAS library (libaudio), needed for both remote
 and local output.

Package: libaudiofile-dev
Description-md5: aada0cfba076707b0be8faab61d1e438
Description-en: Open-source version of SGI's audiofile library (header files)
 The audiofile library allows the processing of audio data to and from audio
 files of many common formats (currently AIFF, AIFF-C, WAVE, NeXT/Sun, BICS,
 and raw data).
 .
 This package contains the development headers and library files needed to
 compile programs using libaudiofile, as well as example programs for
 identifying and converting audio files.

Package: libaudiofile1
Description-md5: 4514295eed6d5fc564db1ca78517ee90
Description-en: Open-source version of SGI's audiofile library
 The audiofile library allows the processing of audio data to and from audio
 files of many common formats (currently AIFF, AIFF-C, WAVE, NeXT/Sun, BICS,
 and raw data).
 .
 This package contains the library needed to run executables using
 libaudiofile.

Package: libaudiomask-dev
Description-md5: 6c9a7c86b839d15900ea09c5821600e4
Description-en: Audio masking threshold estimation lib headers, docs and examples
 Evaluates the simultaneous masking threshold for audio. The masking threshold
 is a psychoacoustics property of the perception of sound. This threshold
 determines the cut-off in perception. Any Fourier signal below the threshold
 is not perceived by listeners.
 .
 Simultaneous masking is the basis of mp3 audio compression. This GPL
 implementation allows arbitrary sample rate and window sizes. It is extremely
 simple to use, rather cheap in computation complexity and gives great results.
 To alter the degree of masking (quality of the playback after compression),
 simply shift the threshold this library generates up and down.
 .
 The development files are found in the documentation directory under
 /usr/share/doc.
 It includes a good API, as well as examples. The examples include C++ and an
 octave file to view the result.

Package: libaudiomask1
Description-md5: cf9974b5c73d8a946cff9edfbc885025
Description-en: Audio masking threshold estimation library
 Evaluates the simultaneous masking threshold for audio. The masking threshold
 is a psychoacoustics property of the perception of sound. This threshold
 determines the cut-off in perception. Any Fourier signal below the threshold is
 not perceived by listeners.
 .
 Simultaneous masking is the basis of mp3 audio compression. This GPL
 implementation allows arbitrary sample rate and window sizes. It is extremely
 simple to use, rather cheap in computation complexity and gives great results.
 To alter the degree of masking (quality of the playback after compression),
 simply shift the threshold this library generates up and down.

Package: libaudtag3
Description-md5: e742e2a22c1ee90c0e844a39496eab95
Description-en: audacious media player (libaudtag shared library)
 Audacious is a fork of beep-media-player which supports Winamp skins
 and many codecs.
 .
 In the default install, the following codecs are supported:
 .
  * MP3
  * Ogg Vorbis / Theora
  * AAC and AAC+
  * FLAC
  * ALAC
  * Windows Media (WMA)
  * WAVE
 .
 Additionally, Audacious is extendable through plugins, and contains
 other useful features like LIRC support. Support for many more codecs
 can also be added through plugins.
 .
 This package provides the libaudtag shared library.

Package: libaugeas-dev
Description-md5: ed145203b749773dd79ed363ff832678
Description-en: Development files for writing applications based on libaugeas0
 Augeas is a configuration editing tool. It parses configuration files in their
 native formats and transforms them into a tree. Configuration changes are made
 by manipulating this tree and saving it back into native config files.
 .
 This package includes the development files to write programs using the Augeas
 API.

Package: libaugeas0
Description-md5: 309877ef689bd8ed561675486502059a
Description-en: Augeas configuration editing library and API
 Augeas is a configuration editing tool. It parses configuration files in their
 native formats and transforms them into a tree. Configuration changes are made
 by manipulating this tree and saving it back into native config files.
 .
 The transformation works very hard to preserve comments and formatting
 details. It is controlled by ``lens'' definitions that describe the file
 format and the transformation into a tree.

Package: libaunit19
Description-md5: a2314707e390088b5e3de5ae4fe97204
Description-en: AUnit, a unit testing framework for Ada: shared library
 AUnit is a set of Ada packages based on the xUnit family of unit test
 frameworks. It's intended as a developer's tool to facilitate
 confident writing and evolution of Ada software. It is purposely
 lightweight, as one of its main goals is to make it easy to develop
 and run unit tests, rather than to generate artifacts for process
 management. The framework supports easy composition of sets of unit
 tests to provide flexibility in determining what tests to run for a
 given purpose.
 .
 This package contains the runtime shared library.

Package: libaunit19-dev
Description-md5: 692653161447d486922c732da0cbf0e2
Description-en: AUnit, a unit testing framework for Ada: development
 AUnit is a set of Ada packages based on the xUnit family of unit test
 frameworks. It's intended as a developer's tool to facilitate
 confident writing and evolution of Ada software. It is purposely
 lightweight, as one of its main goals is to make it easy to develop
 and run unit tests, rather than to generate artifacts for process
 management. The framework supports easy composition of sets of unit
 tests to provide flexibility in determining what tests to run for a
 given purpose.
 .
 Install this package if you want to write programs that use AUnit.
 Debian cannot redistribute the documentation for license reasons.
 It is available at
 http://docs.adacore.com/aunit-docs/html/aunit_cb/aunit_cb.html.

Package: libauth-googleauth-perl
Description-md5: 7e2bb38e228b30f819a5519c500f4983
Description-en: Google Authenticator TOTP Abstraction
 Auth::GoogleAuth provides a simplified interface to supporting typical
 two-factor authentication with Google Authenticator using the TOTP
 Algorithm as defined by RFC 6238. Although Google Authenticator supports
 both TOTP and HOTP, at the moment, this module only supports TOTP.

Package: libauth-yubikey-decrypter-perl
Description-md5: 6b832fe63e5f80c5b4826f7c0c5c6d20
Description-en: yubikey token output decryptor
 Auth::Yubikey_Decrypter is a Perl module to decrypt the AES output
 of Yubikey tokens.
 .
 Please note that this module does not perform authentication.
 It is a required component to decrypt the token first before
 authentication can be performed.

Package: libauth-yubikey-webclient-perl
Description-md5: d085a9185288a1059558d6a994ce4079
Description-en: Perl module to authenticate a Yubikey against the Yubico Web API
 Auth::Yubikey_WebClient is a Perl module to validate a Yubikey one-time
 password against the public Yubico Web API. Yubikeys are hardware
 authentication devices produced by yubico.com, which support different
 one-time password and two-factor authentication protocols as well as PGP
 cryptography.

Package: libauthcas-perl
Description-md5: 2ec2d35a9ed21af74dd804d9e3a70ec7
Description-en: Client library for CAS 2.0
 JA-SIG Central Authentication Service (CAS) is a web authentication
 and Single-Sign-On system.
 .
 This AuthCAS Perl module provides required subroutines to validate
 and retrieve CAS tickets, allowing perl applications to become
 clients of a CAS server.

Package: libauthen-bitcard-perl
Description-md5: 23739036e31c0a49f0970e99f2232ee8
Description-en: Perl module providing authentication using the Bitcard service
 Authen::Bitcard is an implementation of verification for signatures generated
 by Bitcard authentication. For information on the Bitcard protocol and using
 Bitcard in other applications, see <URL:http://www.bitcard.org/api>
 .
 The module and the protocol are heavily based on Authen::Typekey. (In fact,
 the Bitcard authentication server also supports the TypeKey API!)

Package: libauthen-captcha-perl
Description-md5: 3faaf460c203d4d8ba61bf6be81b9a07
Description-en: Perl extension for creating captcha's
 Authen::Captcha provides an object oriented interface to captcha file
 creations. Captcha stands for Completely Automated Public Turing test to
 tell Computers and Humans Apart. A Captcha is a program that can generate
 and grade tests that:
 .
   - Most humans can pass.
   - Current computer programs can't pass.
 .
 The most common form is an image file containing distorted text, which
 humans are adept at reading, and computers (generally) do a poor job.
 This module currently implements that method.

Package: libauthen-cas-client-perl
Description-md5: 575e1738b1909354af53230e046799da
Description-en: Perl module for authentication using CAS
 Authen::CAS::Client module provides a simple interface for authenticating
 users using JA-SIG's Central Authentication Service (CAS) Single Sign On
 protocol. Both CAS protocol version 1.0 and 2.0 are supported.

Package: libauthen-dechpwd-perl
Description-md5: ea8fb8a29e8e3566f6b29543009ae115
Description-en: Perl module for DEC VMS password hashing
 Authen::DecHpwd implements the LGI$HPWD password hashing function from VMS,
 and some associated VMS username and password handling functions.

Package: libauthen-htpasswd-perl
Description-md5: c94a9c353c47d7853d7f39b6c20a2b6f
Description-en: Perl module to read and modify Apache .htpasswd files
 Authen::Htpasswd provides a convenient, object-oriented interface to
 Apache-style .htpasswd files.
 .
 It supports passwords encrypted via MD5, SHA-1, and crypt, as well as plain
 (cleartext) passwords.
 .
 Additional fields after username and password, if present, are accessible via
 the extra_info array.

Package: libauthen-krb5-admin-perl
Description-md5: e27d53b110358a859b7da4c2ca984c6d
Description-en: Perl extension for MIT Kerberos 5 admin interface
 The Authen::Krb5::Admin Perl module is an object-oriented interface to
 the MIT Kerberos 5 admin server.  This allows creation, deletion,
 renaming, and examination of Kerberos principals, changing passwords or
 keys, and changing policy settings in the KDC.
 .
 This module only supports the MIT Kerberos admin protocol.  For Heimdal
 KDCs, see libheimdal-kadm5-perl instead.

Package: libauthen-krb5-perl
Description-md5: ab189c07cda2ef83142f6cc18946b06f
Description-en: Perl interface to Kerberos 5 API
 Authen::Krb5 is a Perl module providing an object-oriented interface to the
 Kerberos 5 API. It rearranges the API slightly to provide an object-oriented
 view, but otherwise closely matches the C interface. Use may require previous
 experience with Kerberos 5 programming or reference to the Kerberos 5 API
 documentation.
 .
 This package is built against the MIT Kerberos 5 libraries.

Package: libauthen-krb5-simple-perl
Description-md5: eaffe139d08b44647302168b45fb69de
Description-en: Perl module for authentication using Kerberos 5
 Authen::Krb5::Simple is a Perl module that provides a way to authenticate a
 username/password combination using Kerberos 5. Simply use this module and
 call its authentication function (or user@KRB_REALM) and a password.

Package: libauthen-libwrap-perl
Description-md5: 43f92bffba2db0b37f21f6931b25727a
Description-en: module providing access to the TCP Wrappers library
 The Authen::Libwrap module allows you to access the hosts_ctl() function from
 Wietse Venema's popular TCP Wrappers security package. This allows validation
 of network access from Perl programs against the system-wide hosts.allow file.
 .
 If any of the parameters to hosts_ctl() are not known (i.e. username due to
 lack of an identd server), the constant STRING_UNKNOWN may be passed to the
 function.

Package: libauthen-ntlm-perl
Description-md5: 0169da8e449e098c7a9610d29375d5ec
Description-en: authentication module for NTLM
 Authen::NTLM provides methods to use NTLM authentication. It can
 be used as an authenticate method with the Mail::IMAPClient module
 to perform the challenge/response mechanism for NTLM connections
 or it can be used on its own for NTLM authentication with other
 protocols (eg. HTTP).
 .
 The implementation is a direct port of the code from fetchmail
 which, itself, has based its NTLM implementation on samba. As
 such, this code is not especially efficient, however it will still
 take a fraction of a second to negotiate a login on a PII which is
 likely to be good enough for most situations.

Package: libauthen-oath-perl
Description-md5: c048008680ea8dc5024acfb4bf7f322f
Description-en: Perl module for OATH One Time Passwords
 Authen::OATH is an implementation of the HOTP and TOTP One Time Password
 algorithms as defined by OATH (http://www.openauthentication.org).
 .
 All necessary parameters are set by default, though these can be overridden.
 Both totp() and htop() have passed all of the test vectors defined in the RFC
 documents for TOTP and HOTP.
 .
 totp() and hotp() both default to returning 6 digits and using SHA. As such,
 both can be called by passing only the secret key and a valid OTP will be
 returned.

Package: libauthen-pam-perl
Description-md5: d0f77982a88156e720d72af612560c93
Description-en: Perl interface to PAM library
 The Authen::PAM module provides a Perl interface to the PAM library.
 It provides compatibility with both newer and older PAM libraries,
 allowing the use of any of the known names for a give constant.

Package: libauthen-passphrase-perl
Description-md5: 3faec1d3bb9a679b210bd81644f5a47f
Description-en: Perl module encapsulating hashed passwords/passphrases as objects
 Authen::Passphrase is the base class for a system of objects that encapsulate
 passphrases.  An object of this type is a passphrase recogniser: its
 job is to recognise whether an offered passphrase is the right one.
 For security, such passphrase recognisers usually do not themselves know
 the passphrase they are looking for; they can merely recognise it when
 they see it.  There are many schemes in use to achieve this effect,
 and the intent of this class is to provide a consistent interface to
 them all, hiding the details.
 .
 This package contains implementations of several specific passphrase schemes
 in addition to the base class.

Package: libauthen-radius-perl
Description-md5: 9718a7227979a8b134b6859db96565cb
Description-en: module to authenticate users using RADIUS
 Authen::Radius is a Perl module that supports authentication of credentials
 against a RADIUS database. It also permits retrieval and modification of a
 user's settings. Name/value attribute pairs are automatically translated by
 the loaded dictionary.

Package: libauthen-sasl-cyrus-perl
Description-md5: 8d119a1b5c291bea9eb2c3bd48a80229
Description-en: Perl extension for Cyrus SASL library
 This module provides an Perl interface to the Cyrus implementation of
 SASL.  It works with the existing Authen::SASL implementation, has been
 tested with Net::LDAP, and provides the GSSAPI authentication layer.
 .
 This version of the Authen::SASL::Cyrus includes an interface to the
 server-side functionality of the SASL library.

Package: libauthen-sasl-saslprep-perl
Description-md5: 6d1f6818bfe432a5e25a25552fbdd4ca
Description-en: Stringprep Profile for User Names and Passwords (RFC 4013)
 Authen::SASL::SASLprep implements the SASLprep specification, which describes
 how to prepare Unicode strings representing user names and passwords for
 comparison. SASLprep is a profile of the stringprep algorithm.

Package: libauthen-scram-perl
Description-md5: eba1e98a0abc487225dd852a6f20f61c
Description-en: Salted Challenge Response Authentication Mechanism (RFC 5802)
 The modules in this distribution implement the Salted Challenge Response
 Authentication Mechanism (SCRAM) from RFC 5802.
 .
 See Authen::SCRAM::Client and Authen::SCRAM::Server for usage details.

Package: libauthen-simple-cdbi-perl
Description-md5: 429c499104b145659222ac4ec523cf83
Description-en: Simple CDBI authentication
 Authen::Simple::CDBI enables CDBI authentication methods
 in the Authen::Simple framework.

Package: libauthen-simple-dbi-perl
Description-md5: cb4dada3f6f7184a8f8672f0bb16de6e
Description-en: Simple DBI authentication
 Authen::Simple::DBI enables DBI authentication methods in
 the Authen::Simple framework.

Package: libauthen-simple-dbm-perl
Description-md5: e786688efcb44725ba69c68ccfd63ceb
Description-en: module to allow simple DBM authentication
 Authen::Simple::DBM allows you to use DBM authentication methods.
 .
 It uses the libauthen-simple-perl framework.

Package: libauthen-simple-http-perl
Description-md5: 5fca3080bb6007365fd4af2f73fa5d52
Description-en: module to allow the use of simple HTTP authentication
 Authen::Simple::HTTP allows the use of HTTP authentication methods.
 .
 It uses the libauthen-simple-perl framework.

Package: libauthen-simple-kerberos-perl
Description-md5: 22855d0bec21a8e260b219e45dc45dc4
Description-en: module to allow the use of simple Kerberos authentication methods
 Authen::Simple::Kerberos allows the use of Kerberos authentication methods.
 .
 It uses the libauthen-simple-perl framework.

Package: libauthen-simple-ldap-perl
Description-md5: 95568b952d150fcbe12bc9c7c73dde9a
Description-en: module for simple LDAP authentication
 Authen::Simple::LDAP is part of the Authen::Simple framework for simple and
 consistent authentication. It provides a module for authentication against an
 LDAP service, including Apple Open Directory and Microsoft Active Directory
 servers.

Package: libauthen-simple-net-perl
Description-md5: 4c13879ad603b2700898e1231cafbd2c
Description-en: module to use simple NET authentication methods
 Authen::Simple::Net allows you to use NET authentication methods.
 .
 It supports:
  * Simple FTP authentication,
  * Simple POP3 authentication,
  * Simple SMTP authentication.
 .
 It uses the libauthen-simple-perl framework.

Package: libauthen-simple-pam-perl
Description-md5: 185dd573a49dba365ed17d3e89f510b2
Description-en: simple PAM authentication module
 Authen::Simple::PAM allows one to use PAM authentication methods.
 .
 It uses the libauthen-simple-perl framework.

Package: libauthen-simple-passwd-perl
Description-md5: 83a87e824ac85da83b75f4f80db5a2c5
Description-en: Simple Passwd authentication
 The Perl library Authen::Simple::Passwd allows one to authenticate
 against a passwd file.
 .
 It uses the Authen::Simple framework found in the
 libauthen-simple-perl package.

Package: libauthen-simple-perl
Description-md5: 4041c1a2a74cb50b12bbc9925fdf010d
Description-en: simple and consistent perl framework for authentication
 Authen::Simple is the base package for various authentication methods
 written by the same author and available in the following packages:
 .
   * libauthen-simple-pam-perl
   * libauthen-simple-passwd-perl
   * libauthen-simple-http-perl
   * libauthen-simple-ldap-perl
   * libauthen-simple-smb-perl
   * libauthen-simple-kerberos-perl

Package: libauthen-simple-radius-perl
Description-md5: 95d9bfae17dbd2af25fa313968def0ef
Description-en: Simple RADIUS authentication
 Authen::Simple::Radius enables RADIUS authentication methods
 in the Authen::Simple framework.

Package: libauthen-simple-smb-perl
Description-md5: 613399059e15e882a5b8be0ae75c462b
Description-en: Simple SMB authentication
 Authen::Simple::SMB enables authentication against an SMB server
 in the Authen::Simple framework.

Package: libauthen-smb-perl
Description-md5: 484a2efb80e7f2196c999122c2dc450a
Description-en: SMB authentication module for Perl
 This package supplies the Authen::SMB perl module for authenticating against
 an SMB password server.

Package: libauthen-tacacsplus-perl
Description-md5: ecfb99e2a65309e191f3b863386c2193
Description-en: Perl module for authentication using TACACS+ server
 Authen::TacacsPlus is a Perl module that provides authentication services
 using the TACACS+ authentication protocol, optionally supporting various
 authentication methods including plain text (ASCII), Password Authentication
 Protocol (PAP) and Challenge-Handshake Authentication Protocol (CHAP).

Package: libauthen-u2f-perl
Description-md5: c044a14f6997566630dcb4ee9927ae7d
Description-en: pure Perl FIDO U2F server library
 Authen::U2F provides the tools needed to add support for U2F in an application.
 .
 This module does not handle the wire encoding of U2F challenges and response,
 as these are different depending on the U2F host you're using and the style of
 your application. In the examples dir there are scripts that implement the 1.0
 wire format, used by Yubico's libu2f-host, and a Plack application that works
 with Google's JavaScript module.

Package: libauthen-u2f-tester-perl
Description-md5: 32f632a1ca67c461b62818e777f6933c
Description-en: FIDO/U2F Authentication Test Client
 Authen::U2F::Tester implements a FIDO/U2F tester that can be used for testing
 web applications that support FIDO/U2F. This module can thus emulate an U2F
 device.

Package: libauto64fto32f-java
Description-md5: 7a2221bd505744fa69786f04d7f41810
Description-en: minimalist library for converting double numerical Java code into float
 This library is used to create applications that can convert code written with
 64-bit floats (i.e. doubles) into 32-bit float code. This is done by performing
 specially designed keyword replacements. No special template language is
 required.

Package: libautobox-core-perl
Description-md5: 8e378af87a3d7ff336f0d58e85a969b7
Description-en: module providing automatic methods for core functions
 This module provides automatic methods which wrap perl's built-in
 functions for minipulating numbers, strings, arrays, hashes, and code
 references. It can be handy to use built-in functions as methods to avoid
 messy dereferencing syntaxes and parentheses pile ups.
 .
 autobox::Core is what you'd call a stub module. It is merely glue, presenting
 existing functions with a new interface. Most of the methods read like sub
 hex ($) { hex($_[0]) }. Besides built-ins that operate on hashes, arrays,
 scalars, and code references, some Perl 6-ish things were thrown in, and some
 keywords like foreach have been turned into methods.

Package: libautobox-dump-perl
Description-md5: 6dff301b64d748edcb02e59c33f968c2
Description-en: Perl module that display results in a Human/perl readable form
 autobox::dump adds, via the autobox pragma, a method to normal expression
 (such as scalars, arrays, hashes, math, literals, etc.) that produces a
 human/perl readable representation of the value of that expression.

Package: libautobox-junctions-perl
Description-md5: e0a3d78133b0d21768a372cb695c085e
Description-en: module providing autoboxified junction-style operators
 autobox::Junctions is a simple autoboxifying wrapper around
 Syntax::Keyword::Junction, that provides array and array references with the
 functions provided by that package as methods for arrays: any, all, one, and
 none.

Package: libautobox-list-util-perl
Description-md5: 91ec9746d0692300c0dd4f242574b832
Description-en: Perl module providing List::Util functions as methods on arrays
 The autobox pragma allows methods to be called on integers, floats, strings,
 arrays, hashes, and code references in exactly the same manner as blessed
 references.
 .
 autobox::List::Util brings all of the functions from List::Util to arrays as
 methods.

Package: libautobox-perl
Description-md5: 1f5d930384c2bd91260d4bf8ca1aa68e
Description-en: Perl pragma for method calls on native types
 autobox is a Perl pragma that enables method calls on Perl's native data types
 including numeric values (integers and floats), strings, arrays, hashes and
 even code references in a simple and consistent way.
 .
 When a method is invoked on something which is not a blessed object reference,
 autobox looks for the method in a package whose name corresponds to the ref()
 type of the value. This design means extending the default functionality for
 new methods on a type-by-type basis is very simple.

Package: libautocomplete-java
Description-md5: 0b10312e43351bf733ccb933c1a9d64e
Description-en: Java library for auto-completion in text component
 AutoComplete is a library allowing you to add IDE-like auto-completion
 (aka "code completion" or "Intellisense") to any Swing JTextComponent.
 Special integration is added for RSyntaxTextArea.
 .
 It features:
  * Drop-down completion choice list
  * Optional companion "description" window, complete with full HTML
    support and navigable with hyperlinks
  * Optional parameter completion assistance for functions/methods,
    ala Eclipse and NetBeans
  * Completion information is typically specified in an XML file,
    but can even be dynamic.

Package: libautocomplete-java-doc
Description-md5: 51687ad71a9b8955ceba452cb5bbc079
Description-en: Java library for auto-completion in text component (documentation)
 AutoComplete is a library allowing you to add IDE-like auto-completion
 (aka "code completion" or "Intellisense") to any Swing JTextComponent.
 Special integration is added for RSyntaxTextArea.
 .
 It features:
  * Drop-down completion choice list
  * Optional companion "description" window, complete with full HTML
    support and navigable with hyperlinks
  * Optional parameter completion assistance for functions/methods,
    ala Eclipse and NetBeans
  * Completion information is typically specified in an XML file,
    but can even be dynamic.
 .
 This package contains the API documentation of libautocomplete-java.

Package: libautomaton-java
Description-md5: af60c1484d9563863a56e8147272f55e
Description-en: Finite-State Automaton for Regular Expressions
 Automaton is a DFA/NFA (finite-state automata) implementation with Unicode
 alphabet (UTF16) and support for the standard regular expression operations
 (concatenation, union, Kleene star) and a number of non-standard ones
 (intersection, complement, etc.).

Package: libautopilot-gtk
Description-md5: c76f24e365cee9cbd3ae6bd89df0ce70
Description-en: Makes GTK+ applications introspectable by autopilot.
 This allows autopilot to test any existing GTK+ application,
 without having to rebuild the application under test.

Package: libautounit-dev
Description-md5: 349217fa73ba8ccc75e292d344b70f72
Description-en: Development files for C unit testing framework
 This package provides the development environment to write unit tests
 in the C Programming Language.

Package: libautounit2
Description-md5: 03794191522b127c6c011551739307df
Description-en: C unit testing framework interfacing well with autotools
 This package provides the libraries to run programs that have c unit
 tests in them.  It will rarely be useful without the development
 package.

Package: libautovivification-perl
Description-md5: 8e0f8248936ecf9ff77f8defc84c132a
Description-en: pragma for lexically disabling autovivification
 autovivication is a Perl pragma that enables developers to control whether
 variables can be created automatically on their first use, rather than
 requiring them to be defined beforehand. While occasionally useful, this
 behaviour can result in subtle bugs that are difficult to debug.
 .
 This pragma lets you disable autovivification for some constructs and can
 optionally also emit a warning or error when it would have happened.

Package: libavalon-framework-java
Description-md5: f9117fbf931d7d1e73c2658b182d9d0f
Description-en: Common framework for Java server applications
 The Avalon framework consists of interfaces that define
 relationships between commonly used application components,
 best-of-practice pattern enforcement, and several lightweight
 convenience implementations of the generic components.

Package: libavalon-framework-java-doc
Description-md5: f5c1e89e0e6d236615f930bed9800007
Description-en: Common framework for Java server applications (API)
 The Avalon framework consists of interfaces that define
 relationships between commonly used application components,
 best-of-practice pattern enforcement, and several lightweight
 convenience implementations of the generic components.
 .
 This is the API documentation for Avalon which is a common framework
 for Java server applications.

Package: libavc1394-tools
Description-md5: cca90fb0bc5ae2f64fb77f8bb41f7aa9
Description-en: control IEEE 1394 audio/video devices (utilities)
 libavc1394 is a programming interface for the 1394 Trade Association AV/C
 (Audio/Video Control) Digital Interface Command Set. It allows you to
 remote control camcorders and similar devices connected to your computer
 via an IEEE 1394 (aka Firewire) link.
 .
 This package provides the command line tool dvcont that acts as a simple
 remote control, the tool mkrfc2734 that is needed to advertise IP over
 IEEE 1394 (RFC 2734) capabilities with the Linux 2.4 kernel series, and
 the utility panelctl, a remote control for set-top boxes.

Package: libavcall1
Description-md5: 02820f8214dd37e4ea1cbb9aa05d6983
Description-en: foreign function call libraries - calling C functions with variable arguments
 ffcall is a collection of libraries which can be used to build
 foreign function call interfaces in embedded interpreters.
 .
 This package installs a shared library version of the avcall library, which
 can be used for calling C functions with variable arguments.
 .
 The use of this shared library is deprecated. The main libffcall library,
 which embeds avcall, should be preferred.

Package: libavcodec-dev
Description-md5: 2a62521830e42037d8140eba51f0355c
Description-en: FFmpeg library with de/encoders for audio/video codecs - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic encoding/decoding framework and
 contains multiple decoders and encoders for audio, video and subtitle streams,
 and several bitstream filters.
 .
 The shared architecture provides various services ranging from bit stream I/O
 to DSP optimizations, and makes it suitable for implementing robust and fast
 codecs as well as for experimentation.
 .
 This package contains the development files.

Package: libavcodec-extra
Description-md5: c7fed3b8f923a493b5362cbf63eca594
Description-en: FFmpeg library with extra codecs (metapackage)
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This metapackage depends on the latest version of the libavcodec variant
 that offers additional codec support. Application packages can depend
 on it if they require or suggest this variant in a robust manner.

Package: libavcodec-extra58
Description-md5: 73a37898888b3527366d10590327b31d
Description-en: FFmpeg library with additional de/encoders for audio/video codecs
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic encoding/decoding framework and
 contains multiple decoders and encoders for audio, video and subtitle streams,
 and several bitstream filters.
 .
 The shared architecture provides various services ranging from bit stream I/O
 to DSP optimizations, and makes it suitable for implementing robust and fast
 codecs as well as for experimentation.
 .
 This package replaces the libavcodec58 package and contains the
 following additional codecs:
 .
  * ARIB STD-B24 Captions (Subtitle Decoder)
  * OpenCORE Adaptive Multi-Rate (AMR) Narrow-Band (Encoder/Decoder)
  * OpenCORE Adaptive Multi-Rate (AMR) Wide-Band (Decoder)
  * Android VisualOn Adaptive Multi-Rate (AMR) Wide-Band (Encoder)
 .
 Because this package links against libraries that are licensed under
 Apache License 2.0, the resulting binaries are distributed under the
 GPL version 3 or later.

Package: libavcodec58
Description-md5: b6405aed870af39811ea887203c50393
Description-en: FFmpeg library with de/encoders for audio/video codecs - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic encoding/decoding framework and
 contains multiple decoders and encoders for audio, video and subtitle streams,
 and several bitstream filters.
 .
 The shared architecture provides various services ranging from bit stream I/O
 to DSP optimizations, and makes it suitable for implementing robust and fast
 codecs as well as for experimentation.
 .
 This package contains the runtime files.

Package: libavdevice-dev
Description-md5: 6c9d2502d27e23767fd8617f89f334a0
Description-en: FFmpeg library for handling input and output devices - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic framework for grabbing from and rendering to
 many common multimedia input/output devices, and supports several input and
 output devices, including Video4Linux2, VfW, DShow, and ALSA.
 .
 This package contains the development files.

Package: libavdevice58
Description-md5: ad40bf128a0d66f1d4aa3d3f40dd7bff
Description-en: FFmpeg library for handling input and output devices - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic framework for grabbing from and rendering to
 many common multimedia input/output devices, and supports several input and
 output devices, including Video4Linux2, VfW, DShow, and ALSA.
 .
 This package contains the runtime files.

Package: libavfilter-dev
Description-md5: 392f1c1f35e2c5ba69524b054062af62
Description-en: FFmpeg library containing media filters - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic audio/video filtering framework containing
 several filters, sources and sinks.
 .
 This package contains the development files.

Package: libavfilter-extra
Description-md5: 294c01059082816ea04837949ebe6ab1
Description-en: FFmpeg library with extra filters (metapackage)
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This metapackage depends on the latest version of the libavfilter variant
 that offers additional filter support. Application packages can depend
 on it if they require or suggest this variant in a robust manner.

Package: libavfilter-extra7
Description-md5: 86e3796e03a4cb5bd02a750c6523882f
Description-en: FFmpeg library with extra media filters - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic audio/video filtering framework containing
 several filters, sources and sinks.
 .
 This package replaces the libavfilter6 package and contains the
 following additional filters:
 .
  * Lens correction using Lensfun
  * Optical Character Recognition (uses Tesseract)
  * SOFAlizer (Spatially Oriented Format for Acoustics, uses netcdf)
 .
 Because this package links against libraries that are licensed under
 Apache License 2.0, the resulting binaries are distributed under the
 GPL version 3 or later.

Package: libavfilter7
Description-md5: d830215e6285a7d6c06650a8f1d8ce9e
Description-en: FFmpeg library containing media filters - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic audio/video filtering framework containing
 several filters, sources and sinks.
 .
 This package contains the runtime files.

Package: libavformat-dev
Description-md5: c3d0b37350451cbc0772c02383988a7b
Description-en: FFmpeg library with (de)muxers for multimedia containers - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic framework for multiplexing and demultiplexing
 (muxing and demuxing) audio, video and subtitle streams. It encompasses
 multiple muxers and demuxers for multimedia container formats. It also
 supports several input and output protocols to access a media resource.
 .
 This package contains the development files.

Package: libavformat58
Description-md5: a477ad30c384813e506c2b5a98d770cc
Description-en: FFmpeg library with (de)muxers for multimedia containers - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides a generic framework for multiplexing and demultiplexing
 (muxing and demuxing) audio, video and subtitle streams. It encompasses
 multiple muxers and demuxers for multimedia container formats. It also
 supports several input and output protocols to access a media resource.
 .
 This package contains the runtime files.

Package: libavifile-0.7-bin
Description-md5: 0b1f0e35c6f53657afce1e218c4fbb8e
Description-en: toolkit for libavifile
 Library that allows programs to read and write compressed
 AVI files (Indeo Video, DivX ;-), etc.) under GNU.
 (De)Compression is performed with various audio/video plugins
 (FFMpeg, Vorbis, Win32, ...).
 Formats like mpeg, mov are partly supported.
 For more info about usage of Win32, Lame and OpenDivX plugin
 see README.debian.
 .
 This package contains the toolkit for libavifile.

Package: libavifile-0.7-common
Description-md5: 45e59596d0441c8e4a95ae868691beba
Description-en: toolkit for libavifile
 Library that allows programs to read and write compressed
 AVI files (Indeo Video, DivX ;-), etc.) under GNU.
 (De)Compression is performed with various audio/video plugins
 (FFMpeg, Vorbis, Win32, ...).
 Formats like mpeg, mov are partly supported.
 For more info about usage of Win32, Lame and OpenDivX plugin
 see README.debian.
 .
 This package contains the common files which libavifile needed.

Package: libavifile-0.7-dev
Description-md5: 78cb733ee072c77a151af8445104e928
Description-en: development header files for libavifile
 Contains the symlinks, headers and object files needed to compile
 and link programs which use aviplay library.

Package: libavifile-0.7c2
Description-md5: 5006849d3971feb8a9fb50edbccea3b4
Description-en: shared libraries for AVI read/writing
 Library that allows programs to read and write compressed
 AVI files (Indeo Video, DivX ;-), etc.) under GNU.
 (De)Compression is performed with various audio/video plugins
 (FFMpeg, Vorbis, Win32, ...).
 Formats like mpeg, mov are partly supported.
 For more info about usage of Win32, Lame and OpenDivX plugin
 see README.debian.

Package: libavis-dev
Description-md5: 821e17cc7804a89a1500a25f7b547180
Description-en: high-performance publish/subscribe event router (C client library)
 Avis is a high-performance event router. It provides a fast
 publish/subscribe event routing service compatible with the commercial
 Elvin implementation developed by Mantara Software.
 .
 This package provides header files needed for developing C applications
 based on the avis client library.

Package: libavis0
Description-md5: 99e7777ed9f28c3ecac55280475f48a9
Description-en: high-performance publish/subscribe event router (C client library)
 Avis is a high-performance event router. It provides a fast
 publish/subscribe event routing service compatible with the commercial
 Elvin implementation developed by Mantara Software.
 .
 This is the C client library used by applictions wishing to use the avis
 service.

Package: libavkys-dev
Description-md5: bf294f5c151794899609db28a5cdbe10
Description-en: full featured webcam capture application - dev
 webcamoid allows one to capture, save and view a video stream. It also can
 do a lot of funny things.
 .
 Features:
 .
  * Take pictures and record videos with the webcam.
  * Manages multiple webcams.
  * GUI interface.
  * Custom controls for each webcam.
  * Add funny effects to the webcam.
  * +60 effects available.
  * Effects with live previews.
  * Translated to many languages.
  * Use custom network and local files as capture devices.
  * Capture from desktop.
  * Many recording formats.
  * Virtual webcam support for feeding other programs.
 .
 This package contains the development files of libavkys.

Package: libavkys8
Description-md5: 046e6566388c104b2e267424d7475104
Description-en: full featured webcam capture application - library
 webcamoid allows one to capture, save and view a video stream. It also can
 do a lot of funny things.
 .
 Features:
 .
  * Take pictures and record videos with the webcam.
  * Manages multiple webcams.
  * GUI interface.
  * Custom controls for each webcam.
  * Add funny effects to the webcam.
  * +60 effects available.
  * Effects with live previews.
  * Translated to many languages.
  * Use custom network and local files as capture devices.
  * Capture from desktop.
  * Many recording formats.
  * Virtual webcam support for feeding other programs.
 .
 This package contains the library for webcamoid.

Package: libavl-dev
Description-md5: bf8775a607f5f885f9037fdae2cee40f
Description-en: AVL tree manipulation library - development
 This library consists of a set of functions to manipulate AVL trees.  AVL
 trees are very efficient balanced binary trees, similar to red-black trees.
 The functions in this library can handle any kind of payload and search key
 type.
 .
 This package contains the header files.

Package: libavl1
Description-md5: 2890677a5e3fd496f3a20db6a3e6d37b
Description-en: AVL tree manipulation library - runtime
 This library consists of a set of functions to manipulate AVL trees.  AVL
 trees are very efficient balanced binary trees, similar to red-black trees.
 The functions in this library can handle any kind of payload and search key
 type.
 .
 This package contains the actual library.

Package: libavogadro-dev
Description-md5: 511b235cbf7c8f0d3983332a8a2ef822
Description-en: Molecular Graphics and Modelling System (development files)
 Avogadro is a molecular graphics and modelling system targeted at small
 to medium molecules.  It can visualize properties like molecular orbitals or
 electrostatic potentials and features an intuitive molecular builder.
 .
 This package provides the development and header files.

Package: libavogadro-doc
Description-md5: 7f06c264b03c4e4090cecbc8a7bff2da
Description-en: Molecular Graphics and Modelling System (lib documentation)
 Avogadro is a molecular graphics and modelling system targeted at small
 to medium molecules.  It can visualize properties like molecular orbitals or
 electrostatic potentials and features an intuitive molecular builder.
 .
 This package provides the documentation for libavogadro.

Package: libavogadro2-1
Description-md5: 023c3ab1c28cbbc224cad0ae6a38c459
Description-en: Molecular Graphics and Modelling System (library)
 Avogadro is a molecular graphics and modelling system targeted at small
 to medium molecules.  It can visualize properties like molecular orbitals or
 electrostatic potentials and features an intuitive molecular builder.
 .
 This package provides the shared libraries.

Package: libavresample-dev
Description-md5: 532c54cce9df38a9bc1da88ef5397768
Description-en: FFmpeg compatibility library for resampling - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library is provided for compatibility reasons only.
 The FFmpeg project advises to use libswresample instead.
 .
 This package contains the development files.

Package: libavresample4
Description-md5: eb33a13597d07846cebfd35669eb3552
Description-en: FFmpeg compatibility library for resampling - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library is provided for compatibility reasons only.
 The FFmpeg project advises to use libswresample instead.
 .
 This package contains the runtime files.

Package: libavro-compiler-java
Description-md5: f52ac7dfaf0757b637d9d3be6027aaab
Description-en: Apache Avro compiler for Java
 Apache Avro is a data serialization system providing:
  * Rich data structures.
  * A compact, fast, binary data format.
  * A container file, to store persistent data.
  * Remote procedure call (RPC).
  * Simple integration with dynamic languages. Code generation is not required
    to read or write data files nor to use or implement RPC protocols. Code
    generation as an optional optimization, only worth implementing
    for statically typed languages.
 .
 This package contains the compilers for Avro IDL and Avro specific Java API.

Package: libavro-dev
Description-md5: 7c3952e02ff2b8bbc2abd70b6e264a87
Description-en: Apache Avro C library headers (avro-c)
 Apache Avro is a data serialization system. Avro provides rich data
 structures; a binary data format; and a container file format, to store
 Avro-encoded data persistently.
 .
 This package provides the "avro-c" implementation of Apache Avro in C.
 The C implementation supports:
 .
  * binary encoding/decoding of all primitive and complex data types
  * storage to an Avro Object Container File
  * schema resolution, promotion and projection
  * validating and non-validating mode for writing Avro data
 .
 The C implementation of Avro lacks RPC support.
 .
 This package contains the development files.

Package: libavro-java
Description-md5: e17cf35abb47c7b6d16f98660f328fd5
Description-en: Apache Avro data serialization system
 Apache Avro is a data serialization system providing:
  * Rich data structures.
  * A compact, fast, binary data format.
  * A container file, to store persistent data.
  * Remote procedure call (RPC).
  * Simple integration with dynamic languages. Code generation is not required
    to read or write data files nor to use or implement RPC protocols. Code
    generation as an optional optimization, only worth implementing
    for statically typed languages.
 .
 This package contains the Java API of Apache Avro

Package: libavro-maven-plugin-java
Description-md5: 3a4b96f59ec1cd2b0cde6832d6928a52
Description-en: Apache Avro Maven plugin
 Apache Avro is a data serialization system providing:
  * Rich data structures.
  * A compact, fast, binary data format.
  * A container file, to store persistent data.
  * Remote procedure call (RPC).
  * Simple integration with dynamic languages. Code generation is not required
    to read or write data files nor to use or implement RPC protocols. Code
    generation as an optional optimization, only worth implementing
    for statically typed languages.
 .
 This package contains the Maven plugin for Avro IDL and specific API compilers

Package: libavro23
Description-md5: 016e88bf4690d11c7ed1a5b2ffd84fc0
Description-en: Apache Avro C shared library (avro-c)
 Apache Avro is a data serialization system. Avro provides rich data
 structures; a binary data format; and a container file format, to store
 Avro-encoded data persistently.
 .
 This package provides the "avro-c" implementation of Apache Avro in C.
 The C implementation supports:
 .
  * binary encoding/decoding of all primitive and complex data types
  * storage to an Avro Object Container File
  * schema resolution, promotion and projection
  * validating and non-validating mode for writing Avro data
 .
 The C implementation of Avro lacks RPC support.
 .
 This package contains the shared library.

Package: libavutil-dev
Description-md5: c444efda200ddbd71346c9a1d2e036cd
Description-en: FFmpeg library with functions for simplifying programming - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library is a utility library to aid portable multimedia programming.
 It contains safe portable string functions, random number generators, data
 structures, additional mathematics functions, cryptography and multimedia
 related functionality (like enumerations for pixel and sample formats).
 It is not a library for code needed by both libavcodec and libavformat.
 The goal for this library is to be modular, small, efficient and useful.
 .
 This package contains the development files.

Package: libavutil56
Description-md5: 770a5e363265c4557c507feffc8f58d8
Description-en: FFmpeg library with functions for simplifying programming - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library is a utility library to aid portable multimedia programming.
 It contains safe portable string functions, random number generators, data
 structures, additional mathematics functions, cryptography and multimedia
 related functionality (like enumerations for pixel and sample formats).
 It is not a library for code needed by both libavcodec and libavformat.
 The goal for this library is to be modular, small, efficient and useful.
 .
 This package contains the runtime files.

Package: libawl-php
Description-md5: 0db782f0eb6e87473cb571227ce4add7
Description-en: Andrew's Web Libraries - PHP Utility Libraries
 This package contains Andrew's Web Libraries.  This is a set
 of hopefully lightweight libraries for handling a variety of
 useful things for web programming, including:
  - Session management
  - User management
  - DB Records
  - Simple reporting
  - DB Schema Updating
  - iCalendar parsing
 .
 There is some documentation in the awl-doc package.

Package: libaws-bin
Description-md5: c7187e680fa9fbe6b5c09465f328bebd
Description-en: Ada Web Server utilities
 AWS is a complete framework to develop Web based applications. The
 main part of the framework is the embedded Web server. This small yet
 powerful Web server can be embedded into your application so your
 application will be able to talk with a Web browser. Around this Web
 server a lot of services have been developed.
 .
 This package contains utility programs to help develop web applications
 with AWS:
 .
 awsres transforms any text or binary file into an Ada unit which you
 can compile into your application, thereby making your application
 completely independent of any external files (think: embedded)
 .
 ada2wsdl, an ASIS program, reads Ada unit specifications and creates
 descriptions in the Web Service Description Language, so that you can
 advertise your web service application to the world.
 .
 wsdl2aws does the opposite job: it creates an Ada unit (spec and
 skeleton body) conforming to a specified description in WSDL.

Package: libaws-doc
Description-md5: c5946bcccc7f5deb5788df074c6ce290
Description-en: Ada Web Server documentation
 AWS is a complete framework to develop Web based applications. The
 main part of the framework is the embedded Web server. This small yet
 powerful Web server can be embedded into your application so your
 application will be able to talk with a Web browser. Around this Web
 server a lot of services have been developed.
 .
 This package contains the documentation for the Ada Web Server in
 info, ASCII and HTML formats, as well as demos and source code of
 reusable web elements.

Package: libaws-signature4-perl
Description-md5: 191d29e9fabdfd2711a02ae0fc6e2c1f
Description-en: module to create a version4 signature for Amazon Web Services
 AWS::Signature4 implements Amazon Web Service's Signature version 4
 (http://docs.aws.amazon.com/general/latest/gr/signature-version-4.html).
 It integrates with modules such as VM::EC2 or Amazon::SQS::Simple.

Package: libaws19-dev
Description-md5: dadbdf2ff4acf8415bc5a900f6177604
Description-en: Ada Web Server development files
 AWS is a complete framework to develop Web based applications. The
 main part of the framework is the embedded Web server. This small yet
 powerful Web server can be embedded into your application so your
 application will be able to talk with a Web browser. Around this Web
 server a lot of services have been developed.
 .
  - A Web parameters module. This module takes care of retrieving the
    forms or URL parameters and to build an associative table for easy
    access.
  - A session server, this is a very important module to be able to
    keep client's data from page to page.
  - Support SOAP to develop Web Services.
  - A tool to generate Web Services stubs/skeletons from a WSDL
    document.
  - A template parser, this module makes it possible to completely
    separate the Web design from the code. No more scripting into your Web
    page.
  - Support for Secure Sockets (HTTPS/SSL), this is based on the GNU TLS
    library.
  - Support for large servers using dispatchers based on URI, request
    methods.
  - Support for virtual hosting (dispatchers based on the host name).
  - Support for server push.
  - A directory browser ready to be used in any application.
  - A status page to get many information about the current AWS server.
  - A log module. Log files keep information about all resources
    requested to the server.
  - Hotplug modules which can be loaded/unloaded dynamically to add
    specific features to a server.
  - A communication API to exchange data between applications using the
    HTTP protocol.
  - A configuration API to tune/change the server parameters without
    recompilation.
  - A client API to retrieve any Web page from a Web site.
  - A Web Page service to build a simple static page server.
  - Support for SMTP, LDAP and Jabber protocols.

Package: libaws5
Description-md5: 63efcdd4a07d36b8d5d5cd1487a1ea0c
Description-en: Ada Web Server shared library
 AWS is a complete framework to develop Web based applications. The
 main part of the framework is the embedded Web server. This small yet
 powerful Web server can be embedded into your application so your
 application will be able to talk with a Web browser. Around this Web
 server a lot of services have been developed.
 .
 This is the runtime library for the Ada Web Server.

Package: libax25
Description-md5: a13d06ad9528eb2ac0f6fece51f449ee
Description-en: ax25 library for hamradio applications
 This library is for ham radio applications that use the ax25
 protocol.  Included are routines to do ax25 address parsing, common
 ax25 application config file parsing, etc.

Package: libax25-dev
Description-md5: 7ab0bcf5d3517afec679cbf61e9298db
Description-en: ax25 library development files
 This library is used for applications that use the ax25 protocol.
 Included are routines to do ax25 address parsing, common ax25
 application config file parsing, etc.  This development library
 package includes some man pages and include files for compiling
 applications which use libax25.

Package: libaxiom-java
Description-md5: 8fe74b4b030d6608a03041126c4a6857
Description-en: Apache AXIOM - StAX-based XML Infoset compliant object model
 Apache Axiom provides an XML Infoset compliant object model implementation
 which supports on-demand building of the object tree. It supports a novel
 "pull-through" model which allows one to turn off the tree building and
 directly access the underlying pull event stream using the StAX API.
 It also has built in support for XML Optimized Packaging (XOP) and MTOM,
 the combination of which allows XML to carry binary data efficiently and
 in a transparent manner. The combination of these is an easy to use API
 with a very high performant architecture.

Package: libaxis-java
Description-md5: b589e0c92911ac766ae3ec016a1ea37b
Description-en: SOAP implementation in Java
 Apache AXIS is an implementation of the SOAP ("Simple Object Access Protocol")
 submission to W3C.
 .
 From the draft W3C specification:
 .
 SOAP is a lightweight protocol for exchange of information in a decentralized,
 distributed environment. It is an XML based protocol that consists of three
 parts: an envelope that defines a framework for describing what is in a message
 and how to process it, a set of encoding rules for expressing instances of
 application-defined datatypes, and a convention for representing remote
 procedure calls and responses.
 .
 This project is a follow-on to the Apache SOAP project.

Package: libaxis-java-doc
Description-md5: cf80abfb540610facf7fc7b5369b6e94
Description-en: SOAP implementation in Java (documentation)
 Apache AXIS is an implementation of the SOAP ("Simple Object Access Protocol")
 submission to W3C.
 .
 From the draft W3C specification:
 .
 SOAP is a lightweight protocol for exchange of information in a decentralized,
 distributed environment. It is an XML based protocol that consists of three
 parts: an envelope that defines a framework for describing what is in a message
 and how to process it, a set of encoding rules for expressing instances of
 application-defined datatypes, and a convention for representing remote
 procedure calls and responses.
 .
 This project is a follow-on to the Apache SOAP project.
 .
 This package contains the Javadoc API documentation.

Package: libaxmlrpc-java
Description-md5: 211e9d69567036bc71fbcbe388607d02
Description-en: XML-RPC Java library
 A lightweight Java XML-RPC client designed to run fine on Android.
 Since there is absolutely no dependency to any android specific library
 it also works fine in normal Java applications.

Package: libaxmlrpc-java-doc
Description-md5: f1ee1aa54fa7c84d7ac5622e1eb90775
Description-en: XML-RPC Java library -- documentation
 A lightweight Java XML-RPC client designed to run fine on Android.
 Since there is absolutely no dependency to any android specific library
 it also works fine in normal Java applications.
 .
 This package contains the API documentation of libaxmlrpc-java.

Package: libaxtls-dev
Description-md5: 58fed598a2a03aaae29cbdcac55ad746
Description-en: Highly configurable client/server TLSv1.2 library (development files)
 The axTLS embedded SSL project is a highly configurable client/server TLSv1.2
 library designed for platforms with small memory requirements, and is suited
 to embedded projects. It comes with a small HTTP/HTTPS server and additional
 test tools.
 .
 This package contains the header files.

Package: libaxtls1
Description-md5: 8f10d78a0fa7e3804d3f106e12adc15a
Description-en: Highly configurable client/server TLSv1.2 library
 The axTLS embedded SSL project is a highly configurable client/server TLSv1.2
 library designed for platforms with small memory requirements, and is suited
 to embedded projects. It comes with a small HTTP/HTTPS server and additional
 test tools.

Package: libaxtlsp-perl
Description-md5: 68e7cab37515ddfaaa0ce17535738b15
Description-en: Highly configurable client/server TLSv1.2 library (Perl binding)
 The axTLS embedded SSL project is a highly configurable client/server TLSv1.2
 library designed for platforms with small memory requirements, and is suited
 to embedded projects. It comes with a small HTTP/HTTPS server and additional
 test tools.
 .
 This package contains the Perl binding.

Package: libayatana-appindicator-dev
Description-md5: 49ee5d707cbfc9d5cda3a5e33a0e646a
Description-en: Ayatana Application Indicators (development files, GTK-2+ version)
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains files that are needed to build applications
 (GTK-2+ version).

Package: libayatana-appindicator-doc
Description-md5: 2116481917673d2dd912e52dceaf496a
Description-en: Ayatana Application Indicators (documentation files, GTK-2+ version)
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains developer documentation (GTK-2+ version).

Package: libayatana-appindicator0.1-cil
Description-md5: cb1fac820161fcf2e74b1e322718c953
Description-en: CLI bindings for libayatana-appindicator
 This package provides the appindicator-sharp assembly that allows CLI (.NET)
 programs to take menus from applications and place them in the panel.
 .
 This package contains assemblies to be used by Mono applications.

Package: libayatana-appindicator0.1-cil-dev
Description-md5: a6226f1739c5317cccacd4f860a9c189
Description-en: Application Indicators for Mono
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains files that are needed to build applications.

Package: libayatana-appindicator1
Description-md5: 2de0f93d1115a044b95ee7dd5f2d2df2
Description-en: Ayatana Application Indicators (GTK-2+ version)
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains shared libraries to be used by applications compiled
 against GTK-2+.

Package: libayatana-appindicator3-1
Description-md5: 465bad85366ee3eb415feaca9ac0647f
Description-en: Ayatana Application Indicators (GTK-3+ version)
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains shared libraries to be used by applications compiled
 against GTK-3+.

Package: libayatana-appindicator3-dev
Description-md5: 8618951cf2573e8ee20eb05f142917a1
Description-en: Ayatana Application Indicators (development files, GTK-3+ version)
 A library and indicator to take menus from applications and place them in
 the panel.
 .
 This package contains files that are needed to build applications
 (GTK-3+ version).

Package: libayatana-ido3-0.4-0
Description-md5: ae8f79697c864dc6308d870a23d318bb
Description-en: Widgets and other objects used for Ayatana Indicators
 Shared library providing extra gtk menu items for display in system
 Ayatana Indicators.
 .
 This package contains shared libraries to be used by GTK+ 3
 applications.

Package: libayatana-ido3-dev
Description-md5: 74012c7de7c0adbc8db25068083107c4
Description-en: Widgets and other objects used for Ayatana Indicators - development files
 Shared library providing extra gtk menu items for display in system
 Ayatana Indicators
 .
 This package contains files that are needed to build GTK+ 3 applications
 with Ayatana Indicators support.

Package: libayatana-indicator-dev
Description-md5: ed0944ddc56a6841ac35b0bdb5517b99
Description-en: panel indicator applet - library development files (GTK-2+)
 The Ayatana Indicators library contains information to build indicators
 to go into modern desktops' indicator applets.
 .
 This package contains files that are needed to build GTK-2+ applications
 with Ayatana Indicator support.

Package: libayatana-indicator3-7
Description-md5: b7bf0379e39f86d98764c8ca774a9898
Description-en: panel indicator applet - shared library (GTK-3+ variant)
 The Ayatana Indicators library contains information to build indicators
 to go into modern desktops' indicator applets.
 .
 This package contains the library itself (GTK-3+ variant).

Package: libayatana-indicator3-dev
Description-md5: 0b614460238c1cca27a2a03eabdfc549
Description-en: panel indicator applet - library development files (GTK-3+)
 The Ayatana Indicators library contains information to build indicators
 to go into modern desktops' indicator applets.
 .
 This package contains files that are needed to build GTK-3+ applications
 with Ayatana Indicator support.

Package: libayatana-indicator3-tools
Description-md5: eed68b574deb445f792f234e83757969
Description-en: Tools for libayatana-indicator
 The Ayatana Indicators library contains information to build indicators
 to go into modern desktops' indicator applets.
 .
 This package contains tools useful for developers of applications using
 indicators.

Package: libayatana-indicator7
Description-md5: c65fa911ac270f8aa43caed914f318ba
Description-en: panel indicator applet - shared library (GTK-2+ variant)
 The Ayatana Indicators library contains information to build indicators
 to go into modern desktops' indicator applets.
 .
 This package contains the library itself (GTK-2+ variant).

Package: libb-compiling-perl
Description-md5: b7b5b4290744a869261a5ca29eb58099
Description-en: Perl module for expose PL_compiling to perl
 B::Compiling exposes the perl interpreter's PL_compiling variable to perl.
 .
 The module exports one function, PL_compiling, which  returns a "B::COP"
 object representing PL_compiling. See B for documentation on how to use the
 returned "B::COP".

Package: libb-cow-perl
Description-md5: 9b1c409924e6a2241e50872368fe90e5
Description-en: additional B helpers to check COW status
 B::COW provides some naive additional B helpers to check the COW status of
 one SvPV.
 .
 A COWed SvPV is sharing its string (the PV) with other SvPVs. It's a (kind
 of) Read Only C string, that would be Copied On Write (COW).
 .
 More than one SV can share the same PV, but when one PV need to alter it, it
 would perform a copy of it, decrease the COWREFCNT counter.
 .
 One SV can then drop the COW flag when it's the only one holding a pointer to
 the PV.
 .
 The COWREFCNT is stored at the end of the PV, after the "\0".
 .
 That value is limited to 255, after that a new PV would be created,

Package: libb-debug-perl
Description-md5: be94a17ed15c441e18feba4734a61ffd
Description-en: module to print debug info about perl ops
 The B::Debug module walks the Perl syntax tree, printing debug info about
 ops.
 .
 The B module supplies classes which allow a Perl program to delve
 into its own innards.  It is the module used to implement the
 "backends" of the Perl compiler.
 .
 B::Debug in perl core is deprecated in 5.27.3 and will be removed in the
 future.

Package: libb-hooks-op-annotation-perl
Description-md5: 68fc795b35d9b1f0b3315a291dd448e5
Description-en: module to allow annotation and delegation of hooked OPs
 B::Hooks::OP::Annotation provides a way for XS code that hijacks OP op_ppaddr
 functions to delegate to (or restore) the previous functions, whether they
 are assigned by perl or by another module. Typically this should be used in
 conjunction with B::Hooks::OP::Check (see libb-hooks-op-check-perl).
 .
 B::Hooks::OP::Annotation makes its types and functions available to XS code
 by means of ExtUtils::Depends (libextutils-depends-perl). Modules that wish
 to use these exports in their XS code should use B::OP::Hooks::Annotation in
 the Perl module that loads the XS.

Package: libb-hooks-op-check-entersubforcv-perl
Description-md5: 5ee009fd278d42a541a135f2c53eedf5
Description-en: Invoke callbacks on construction of entersub OPs for certain CVs
 B::Hooks::OP::Check::EntersubForCV is a perl module to register and
 unregister handlers to be executed when an entersub opcode for a
 given CV is compiled.
 .
 This enables you to hook one subroutine onto another, and have it
 executed before the originally called subroutine.

Package: libb-hooks-op-ppaddr-perl
Description-md5: 50b107a5c4684cf9e080616f28aa49a4
Description-en: C API to hook into Perl opcode execution
 B::Hooks::OP::PPAddr provides a C API for XS modules to hook into the
 execution of Perl opcodes.

Package: libb-hooks-parser-perl
Description-md5: 99b2f41be69be3319df75cf87eb0c9da
Description-en: module providing an interface to Perl's parser variables
 B::Hooks::Parser provides an API for parts of the perl parser. It can
 be used to modify the code during parsing, providing functions to get
 and set the line currently worked on, the position on that line and to
 inject Perl code at the current parsing position.

Package: libb-keywords-perl
Description-md5: 867be8146ae40a9735fca6dc5f66de66
Description-en: lists of internal perl keywords
 The B::Keywords perl module provides lists of internal keywords of the
 perl programming language. It can be used as an adjunct to the B perl
 module by programs that parse and analyze perl code.

Package: libb-lint-perl
Description-md5: 333f80df6f006d7cbc3f1ea5ea9d71ec
Description-en: Perl lint
 The B::Lint module is equivalent to an extended version of the -w option of
 perl. It is named after the program lint which carries out a similar process
 for C programs.

Package: libb-perlreq-perl
Description-md5: 974d7985a6e96f99ce1980caf1820826
Description-en: Perl module to determine Perl module dependencies
 B::PerlReq is a backend module for the Perl compiler that determines the
 dependencies of Perl source code, based on the internal structure that Perl
 creates itself after parsing a program source file. The output of this module
 is suitable for automatic dependency detection, tracking and organization,
 particularly for building and maintaining binary packages.

Package: libb-utils-perl
Description-md5: 2c33e08bb9077aff37609a4230195603
Description-en: Perl module assisting with op tree manipulation
 B::Utils contains a variety of functions which make it easier to manipulate
 perl's op tree. It provides many commonly used features like stringification
 of operations, determining ancestors and predecessors, etc. It also provides
 several ways to walk the entire op tree.

Package: libb2-1
Description-md5: 09e82d84aa2c2c5ff47a2e662ecc6c6c
Description-en: BLAKE2 family of hash functions
 The BLAKE2 family of hash functions is an improved version of the
 SHA-3 finalist BLAKE.
 .
 BLAKE2b is optimized for 64-bit platforms and produces up to 64 bytes
 of output; BLAKE2s is optimized for 32-bit platforms and produces up
 to 32 bytes of output.
 .
 BLAKE2bp and BLAKE2sp are parallel versions of BLAKE2b and BLAKE2s
 designed for increased performance on multicore and large-vector SIMD
 processors.
 .
 libb2 provides a portable implementation of BLAKE2, optimized
 implementations for IA-32 and AMD64 processors, and an interface
 layer that automatically selects the best implementation for the
 processor it is run on.

Package: libb2-dev
Description-md5: a278e394b5f1792f6cb6409d603dcb48
Description-en: BLAKE2 family of hash functions -- development files
 The BLAKE2 family of hash functions is an improved version of the
 SHA-3 finalist BLAKE.
 .
 BLAKE2b is optimized for 64-bit platforms and produces up to 64 bytes
 of output; BLAKE2s is optimized for 32-bit platforms and produces up
 to 32 bytes of output.
 .
 BLAKE2bp and BLAKE2sp are parallel versions of BLAKE2b and BLAKE2s
 designed for increased performance on multicore and large-vector SIMD
 processors.
 .
 libb2 provides a portable implementation of BLAKE2, optimized
 implementations for IA-32 and AMD64 processors, and an interface
 layer that automatically selects the best implementation for the
 processor it is run on.
 .
 This package contains the development files for libb2.

Package: libb64-0d
Description-md5: 38278cc69ddc54feb03712a98f7a2d86
Description-en: base64 encoding/decoding library - runtime library
 libb64 is a library of ANSI C routines for fast encoding/decoding data into
 and from a base64-encoded format.
 .
 This package provides the runtime library.

Package: libb64-dev
Description-md5: 3901aea4c59c2ab1cefc84f957ab6297
Description-en: base64 encoding/decoding library - development files
 libb64 is a library of ANSI C routines for fast encoding/decoding data into
 and from a base64-encoded format.
 .
 This package provides the static library and headers for C and C++.

Package: libbabeltrace-ctf1
Description-md5: 0cff086deb73902946be95adb3442aaf
Description-en: Babeltrace conversion libraries (transitional package)
 Babeltrace provides trace reading and writing libraries, as well as a trace
 converter. Plugins can be created for any trace format to allow its conversion
 to/from any other supported format.
 .
 This is a transitional package. It can safely be removed.

Package: libbabl-0.1-0
Description-md5: ff900fc6f030f93197b9e121afde6c95
Description-en: Dynamic, any to any, pixel format conversion library
 Babl is a dynamic, any to any, pixel format conversion library. It
 provides conversions between the myriad of buffer types images can be
 stored in. Babl doesn't only help with existing pixel formats, but
 also facilitates creation of new and uncommon ones.

Package: libbabl-dev
Description-md5: 8222faeeaa3c6abb27290df29be5d457
Description-en: Dynamic, any to any, pixel format conversion library (development files)
 Babl is a dynamic, any to any, pixel format conversion library. It
 provides conversions between the myriad of buffer types images can be
 stored in. Babl doesn't only help with existing pixel formats, but
 also facilitates creation of new and uncommon ones.
 .
 This package contains the development files.

Package: libbabl-doc
Description-md5: 1843cc45fff811e1ae47f159d418f66d
Description-en: Dynamic, any to any, pixel format conversion library (documentation)
 Babl is a dynamic, any to any, pixel format conversion library. It
 provides conversions between the myriad of buffer types images can be
 stored in. Babl doesn't only help with existing pixel formats, but
 also facilitates creation of new and uncommon ones.
 .
 This package contains the API documentation.

Package: libbackuppc-xs-perl
Description-md5: ac3500b72e7daa9831d67518dd6a3b9d
Description-en: Perl module with C backend for BackupPC 4
 BackupPC::XS implements various BackupPC functions in a perl-callable
 module.
 .
 This module is required for BackupPC version 4 and above.

Package: libbackward-cpp-dev
Description-md5: 84973161f41817e3645a8796bd5b425e
Description-en: Beautiful stack trace pretty printer for C++
 Backward spices the stack trace up when C++ programs run into fault.
 .
 It can also display code snippets with colored lines when the source files
 are accessible.
 .
 Backward is a header only library.

Package: libball1.5
Description-md5: 417d223b00ce5aa66dfb676fafee4a7e
Description-en: Biochemical Algorithms Library
 BALL (Biochemical Algorithms Library) is an application framework
 in C++ that has been specifically designed for rapid software
 development in Molecular Modeling and Computational Molecular Biology.
 It provides an extensive set of data structures as well as classes
 for Molecular Mechanics, advanced solvation methods, comparison and
 analysis of protein structures, file import/export, and visualization.
 BALL is currently being developed in the groups of Oliver Kohlbacher
 (University of Tuebingen, Germany), Andreas Hildebrandt (Saarland
 University, Saarbruecken, Germany), and Hans-Peter Lenhof (Saarland
 University, Saarbruecken, Germany).
 .
 This package contains the view - independent parts of BALL (libBALL,
 data directories et al., except for libVIEW) and can be installed on
 machines without X11.

Package: libball1.5-data
Description-md5: f2f0996b5b1612a08998850c64c3ff79
Description-en: Biochemical Algorithms Library (data files)
 BALL (Biochemical Algorithms Library) is an application framework
 in C++ that has been specifically designed for rapid software
 development in Molecular Modeling and Computational Molecular Biology.
 It provides an extensive set of data structures as well as classes
 for Molecular Mechanics, advanced solvation methods, comparison and
 analysis of protein structures, file import/export, and visualization.
 BALL is currently being developed in the groups of Oliver Kohlbacher
 (University of Tuebingen, Germany), Andreas Hildebrandt (Saarland
 University, Saarbruecken, Germany), and Hans-Peter Lenhof (Saarland
 University, Saarbruecken, Germany).
 .
 This package contains the data files (force field parameters, fragment
 data bases, etc) necessary for using BALL.

Package: libball1.5-dev
Description-md5: bbb78c716537cb021e48bf3140b54313
Description-en: Header files for the Biochemical Algorithms Library
 BALL (Biochemical Algorithms Library) is an application framework
 in C++ that has been specifically designed for rapid software
 development in Molecular Modeling and Computational Molecular Biology.
 It provides an extensive set of data structures as well as classes
 for Molecular Mechanics, advanced solvation methods, comparison and
 analysis of protein structures, file import/export, and visualization.
 BALL is currently being developed in the groups of Oliver Kohlbacher
 (University of Tuebingen, Germany), Andreas Hildebrandt (Saarland
 University, Saarbruecken, Germany), and Hans-Peter Lenhof (Saarland
 University, Saarbruecken, Germany).
 .
 This package comprises the header files allowing to create
 one's own applications with the BALL library.

Package: libball1.5-doc
Description-md5: 06b6df4e359e8b3228ee01500e8b2b88
Description-en: documentation for the BALL library
 BALL (Biochemical Algorithms Library) is an application framework
 in C++ that has been specifically designed for rapid software
 development in Molecular Modeling and Computational Molecular Biology.
 The package comprises an extensive manual on how to program molecular
 modelling programs with BALL.

Package: libballoontip-java
Description-md5: 6faf8792915b41cdca05effbee41fbd7
Description-en: Balloon Tips for Java
 Provides balloon-tips for use in Java Swing applications to be laid over any
 kind of swing-component such as JButton.
 The API is lightweight and fully customizable.

Package: libballview1.5
Description-md5: f35489b37bf37d17852326b805ec2d54
Description-en: Biochemical Algorithms Library, VIEW framework
 BALL (Biochemical Algorithms Library) is an application framework
 in C++ that has been specifically designed for rapid software
 development in Molecular Modeling and Computational Molecular Biology.
 It provides an extensive set of data structures as well as classes
 for Molecular Mechanics, advanced solvation methods, comparison and
 analysis of protein structures, file import/export, and visualization.
 BALL is currently being developed in the groups of Oliver Kohlbacher
 (University of Tuebingen, Germany), Andreas Hildebrandt (Saarland
 University, Saarbruecken, Germany), and Hans-Peter Lenhof (Saarland
 University, Saarbruecken, Germany).
 .
 This package contains the view parts of BALL (libVIEW). Those are
 required for molecular visualization and for running BALLView.

Package: libballview1.5-dev
Description-md5: 1feb64c16f2f6b6b1e561ee7b9864590
Description-en: Header files for the VIEW part of the Biochemical Algorithms Library
 BALL (Biochemical Algorithms Library) is an application framework
 in C++ that has been specifically designed for rapid software
 development in Molecular Modeling and Computational Molecular Biology.
 This package comprises the header files allowing to create one's own
 applications with the VIEW framework of the BALL library.

Package: libbam-dev
Description-md5: dfd8e1761272f866ec273befdfe8f57b
Description-en: manipulates nucleotide sequence alignments in BAM or SAM format
 The BAM library provides I/O and various operations on manipulating nucleotide
 sequence alignments in the BAM (Binary Alignment/Mapping) or SAM (Sequence
 Alignment/Map) format. It now supports importing from or exporting to SAM,
 sorting, merging, generating pileup, and quickly retrieval of reads overlapped
 with a specified region.
 .
 This library is part of SAMtools version 0.1.19.  It is obsolete and provided
 only to build software that has not yet transitioned to the HTSlib, which
 replaces this library.

Package: libbambamc-dev
Description-md5: 76e17a5dfa84e1c0ac5cd5148b55437a
Description-en: Development files for reading and writing BAM (genome alignment) files
 The BAM Format is a binary format for storing sequence data.  This is a
 lightweight C implementation of the read name collation code from the
 larger bambam C++ project to handle BAM file input and BAM file output.
 .
 This package contains the static library and header files.

Package: libbambamc0
Description-md5: e78ea04e5559990688ac8a4206222b99
Description-en: Runtime library for reading and writing BAM (genome alignment) files
 The BAM Format is a binary format for storing sequence data.  This is a
 lightweight C implementation of the read name collation code from the
 larger bambam C++ project to handle BAM file input and BAM file output.

Package: libbamf-doc
Description-md5: 11f61fe589985b977cd6f7ea9a9db116
Description-en: Window matching library - documentation
 bamf matches application windows to desktop files
 .
 This package contains the daemon used by the library and a gio
 module that facilitates the matching of applications started
 through GDesktopAppInfo
 .
 This package contains the documentation

Package: libbamf3-2
Description-md5: 11d37ab9301d7178a8b4e967e68c0316
Description-en: Window matching library - shared library
 bamf matches application windows to desktop files
 .
 This package contains shared libraries to be used by applications.

Package: libbamf3-dev
Description-md5: 701d26c3fffca1e031bcd5e22b1bbf2f
Description-en: Window matching library - development files
 bamf matches application windows to desktop files
 .
 This package contains files that are needed to build applications.

Package: libbamtools-dev
Description-md5: 071b9c0ea5e1e93a613add87519c2ca9
Description-en: C++ API for manipulating BAM (genome alignment) files
 BamTools facilitates research analysis and data management using BAM
 files.  It copes with the enormous amount of data produced by current
 sequencing technologies that is typically stored in compressed, binary
 formats that are not easily handled by the text-based parsers commonly
 used in bioinformatics research.
 .
 BamTools provides both a C++ API for BAM file support as well as a
 command-line toolkit.
 .
 This is the developers API package.

Package: libbamtools-doc
Description-md5: bf217aec0c438caca5b70e9ae90b087c
Description-en: docs for dynamic library for manipulating BAM (genome alignment) files
 BamTools facilitates research analysis and data management using BAM
 files.  It copes with the enormous amount of data produced by current
 sequencing technologies that is typically stored in compressed, binary
 formats that are not easily handled by the text-based parsers commonly
 used in bioinformatics research.
 .
 BamTools provides both a C++ API for BAM file support as well as a
 command-line toolkit.
 .
 This is the documentation for the library.

Package: libbamtools2.5.1
Description-md5: 6d8cb419efe029da5429790d9d7753e2
Description-en: dynamic library for manipulating BAM (genome alignment) files
 BamTools facilitates research analysis and data management using BAM
 files.  It copes with the enormous amount of data produced by current
 sequencing technologies that is typically stored in compressed, binary
 formats that are not easily handled by the text-based parsers commonly
 used in bioinformatics research.
 .
 BamTools provides both a C++ API for BAM file support as well as a
 command-line toolkit.
 .
 This is the runtime library.

Package: libbarclay-java
Description-md5: 8ba8d6e289cb5eadb04d2d725d742edc
Description-en: Java library to parse command line
 Command line argument parser and online documentation generation utilities
 for java command line programs.
 .
 Barclay is a set of classes for annotating, parsing, validating, and
 generating documentation for command line options.

Package: libbarcode-code128-perl
Description-md5: c5b6d9077406ebf741637df499f1d767
Description-en: Perl library to generate CODE 128 bar codes
 Barcode::Code128 generates bar codes using the CODE 128 symbology. It can
 generate images in PNG or GIF format using the GD package, or it can generate
 a text string representing the barcode that you can render using some other
 technology if desired.
 .
 The intended use of this module is to create a web page with a bar code on it,
 which can then be printed out and faxed or mailed to someone who will scan the
 bar code. The application which spurred its creation was an expense report
 tool, where the employee submitting the report would print out the web page
 and staple the receipts to it, and the Accounts Payable clerk would scan the
 bar code to indicate that the receipts were received.
 .
 The default settings for this module produce a large image that can safely be
 FAXed several times and still scanned easily. If this requirement is not
 important you can generate smaller image using optional parameters, described
 below.

Package: libbarcode-datamatrix-perl
Description-md5: 0ebabcee2787388146ee4d29ee8fe8a1
Description-en: generator for Data Matrix barcodes
 Barcode::DataMatrix generates data for Data Matrix barcodes. It is primarily
 useful as a data source for barcode modules that do rendering, such as
 HTML::Barcode::DataMatrix or Barcode::DataMatrix::PNG

Package: libbarcode-datamatrix-png-perl
Description-md5: 97f7625ca97bd74704aa994c0cb2c189
Description-en: generator of PNG Data Matrix barcodes
 Barcode::DataMatrix::PNG extends Barcode::DataMatrix to create graphical
 representations of data matrix barcodes

Package: libbarcode-zbar-perl
Description-md5: 786452797735dcc36f300270df6ca56b
Description-en: QR code / bar code scanner and decoder (Perl bindings)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the Perl bindings and the Barcode::Zbar module.

Package: libbareword-filehandles-perl
Description-md5: a315ce39c7cb346ac405ccfbd6b86972
Description-en: Perl pragma to disable bareword filehandles
 bareword::filehandles lexically disables the use of bareword filehandles
 with builtin functions, except for the special builtin filehandles
 STDIN, STDOUT, STDERR, ARGV, ARGVOUT and DATA. To use it in your
 scripts, simply say
 .
   no bareword::filehandles;

Package: libbart-dev
Description-md5: f7bff59e7c49c143be9e1a99976fcbd5
Description-en: Development files for BART
 The Berkeley Advanced Reconstruction Toolbox (BART) is a free and
 open-source image-reconstruction framework for Computational Magnetic
 Resonance Imaging.
 .
 This package provides headers and static libraries.

Package: libbase-java
Description-md5: 3f75711f951f942f579b92630f1ab67c
Description-en: A general purpose base service library (OOo 3.1 branch)
 LibBase is a library developed to provide base services like
 logging, configuration and initialization to all other libraries
 and applications. The library is the root library for all other
 Pentaho-Reporting projects.

Package: libbase-ocaml
Description-md5: be2b9274c2bdd500bb30b4073e64b7de
Description-en: Jane Street's alternative standard library (runtime)
 Base is a standard library for OCaml. It provides a standard set of
 general purpose modules that are well-tested, performant, and
 fully-portable across any environment that can run OCaml code. Unlike
 other standard library projects, Base is meant to be used as a
 wholesale replacement of the standard library distributed with the
 OCaml compiler. In particular it makes different choices and doesn’t
 re-export features that are not fully portable such as I/O, which are
 left to other libraries.
 .
 This package contains runtime files.

Package: libbase-ocaml-dev
Description-md5: 05dbe174474840963387f30badaa3387
Description-en: Jane Street's alternative standard library (development)
 Base is a standard library for OCaml. It provides a standard set of
 general purpose modules that are well-tested, performant, and
 fully-portable across any environment that can run OCaml code. Unlike
 other standard library projects, Base is meant to be used as a
 wholesale replacement of the standard library distributed with the
 OCaml compiler. In particular it makes different choices and doesn’t
 re-export features that are not fully portable such as I/O, which are
 left to other libraries.
 .
 This package contains development files.

Package: libbase1
Description-md5: 126141e991061f38dbaad72681c41fbe
Description-en: GDAL add-on tools to perform useful raster processing - libbase
 Pktools is a collection of programs to perform operations, mostly on
 raster geolocated  images. It  heavily relies  on the  Geospatial Data
 Abstraction Library (GDAL) and OGR.  The programs are similar to the
 GDAL tools (gdalinfo, gdal_translate,  gdal_merge, ...) and some of the
 functionalities provided in pktools already exist in the GDAL tools.
 .
 All utilities in pktools use command line options and have a built
 in help, and include more than thirty binaries to edit, change, crop,
 classify, compare, dump, fill, enhance images and many other
 common operations useful in the remote sensing field of image
 analysis.
 .
 This package contains the libbase shared library.

Package: libbase58-0
Description-md5: 946cd4715200eeda11d2f069d42fa347
Description-en: library for Bitcoin's base58 encoding
 Library for encoding/decoding Base58 and Base58Check.

Package: libbase58-dev
Description-md5: 84ac289ada209c99df583b5d87b2cfd1
Description-en: library for Bitcoin's base58 encoding -- development files
 Library for encoding/decoding Base58 and Base58Check.
 .
 This package provides development files.

Package: libbase64-ocaml
Description-md5: d9c269e6e413f2cd3c47a26a4091b0ed
Description-en: Base64 encoding and decoding OCaml library (runtime files)
 Base64 is a group of similar binary-to-text encoding schemes that
 represent binary data in an ASCII string format by translating it
 into a radix-64 representation.  It is specified in RFC 2045.
 .
 This package contains runtime files.

Package: libbase64-ocaml-dev
Description-md5: e59bda4cc95ce2fab70259cca123cf67
Description-en: Base64 encoding and decoding OCaml library (dev files)
 Base64 is a group of similar binary-to-text encoding schemes that
 represent binary data in an ASCII string format by translating it
 into a radix-64 representation.  It is specified in RFC 2045.
 .
 This package contains development files.

Package: libbash
Description-md5: 55d65dce15ce757c43e51fc60fd12e3f
Description-en: bash dynamic-like shared libraries
 libbash is a tool for managing bash scripts that contain functions you may
 want to use in various scripts. It provides mechanism to define dependencies
 between scripts and facility for script loading.

Package: libbash-doc
Description-md5: 3e89704a46294e25d8b593aaf3149b81
Description-en: bash dynamic-like shared libraries - documentation
 libbash is a tool for managing bash scripts that contain functions you may
 want to use in various scripts. It provides mechanism to define dependencies
 between scripts and facility for script loading.
 .
 This package contains user and developer docs libbash

Package: libbasicplayer-java
Description-md5: 86f78a70cadeecad0adc5d686321a6b6
Description-en: threaded simple player class based on JavaSound API
 BasicPlayer layer is the simple player API of jlGui. These classes are
 designed to be used in any application that needs simple features (play, stop,
 pause, resume, seek) to play audio file or stream. It's a high-level API over
 JavaSound API.
 .
 This java library is part of the jlgui player project but can be used
 independently.

Package: libbasicusageenvironment1
Description-md5: 7513066394242ab04698d5a22dc74a0e
Description-en: multimedia RTSP streaming library (BasicUsageEnvironment class)
 The live555.com streaming media code is a set of C++ libraries for multimedia
 streaming, using open standard protocols (RTP/RTCP, RTSP, SIP). These
 libraries can be used to build applications to stream, receive and process
 MPEG, H.263+ or JPEG video, several audio codecs, and can easily be extended
 to support additional codecs. They can also be used to build basic RTSP (Real
 Time Streaming Protocol) or SIP (Session Initiation Protocol) clients and
 servers.
 .
 This package contains the BasicUsageEnvironment library which defines one
 concrete implementation (i.e., subclasses) of the "UsageEnvironment" classes,
 for use in simple, console applications. Read events and delayed operations are
 handled using a select() loop.

Package: libbatik-java
Description-md5: 7b184faa1b3cf8713ca5674d8a7583ca
Description-en: xml.apache.org SVG Library
 Batik is a toolkit for applications or applets that want to use images
 in the Scalable Vector Graphics (SVG) format for various purposes, such
 as viewing, generation or manipulation.
 .
 Batik provides several modules to be used in applications:
  * A SVG generator module, usable to export graphics into the SVG format.
  * A SVG processor and SVG Viewing component for integrating SVG viewing.
  * A module to convert SVG to various formats, such as raster images
    (JPEG, PNG or Tiff) and PS, PDF.
 .
 fop is necessary for PDF output of rasterizer.
 .
 rhino is necessary for using the SVG browser, squiggle.
 .
 For detailed information, go to http://xml.apache.org/batik/

Package: libbatteries-ocaml-dev
Description-md5: d0260c382c6c7cb20b4c4c6d6d03927f
Description-en: Batteries included: OCaml development platform - development files
 Batteries included is a community-driven effort to standardize on an
 uniform, documented, and comprehensive OCaml development platform.
 .
 Batteries included serves the following purposes:
  * define a standard set of libraries which may be expected on every
    compliant installation of OCaml
  * organize these libraries into a hierarchy of modules, with one
    source of documentation
  * define a standard set of language extensions which may be expected
    on every compliant installation of OCaml
  * provide a consistent API for otherwise independent libraries.
 .
 This package contains the core part of batteries included: libraries
 and language extensions for the OCaml language. Using this package
 you can develop OCaml programs built on top of batteries included.

Package: libbatteries-ocaml-doc
Description-md5: 8e855bb4be7b4dbeab3bd5be066a368e
Description-en: Batteries included - OCaml development platform - documentation
 Batteries included is a community-driven effort to standardize on an
 uniform, documented, and comprehensive OCaml development platform.
 .
 Batteries included serves the following purposes:
  * define a standard set of libraries which may be expected on every
    compliant installation of OCaml
  * organize these libraries into a hierarchy of modules, with one
    source of documentation
  * define a standard set of language extensions which may be expected
    on every compliant installation of OCaml
  * provide a consistent API for otherwise independent libraries.
 .
 This package contains the documentation for batteries included: HTML
 API documentation, examples, and information about how to use
 batteries included in your programs.

Package: libbcel-java
Description-md5: 130e464457303867bf0628be20236a5f
Description-en: Analyze, create, and manipulate (binary) Java class files
 The Byte Code Engineering Library is intended to give users a convenient
 possibility to analyze, create, and manipulate (binary) Java class files
 (those ending with .class). Classes are represented by objects which contain
 all the symbolic information of the given class: methods, fields and byte
 code instructions, in particular.
 .
 Such objects can be read from an existing file, be transformed by a program
 (e.g. a class loader at run-time) and dumped to a file again. An even more
 interesting application is the creation of classes from scratch at run-time.
 The Byte Code Engineering Library (BCEL) may be also useful if you want to
 learn about the Java Virtual Machine (JVM) and the format of Java .class
 files.

Package: libbcel-java-doc
Description-md5: 28e1f469ef323ac95315d9f3b3f77df6
Description-en: Documentation for Byte Code Engineering Library (BCEL)
 The Byte Code Engineering Library is intended to give users a convenient
 possibility to analyze, create, and manipulate (binary) Java class files
 (those ending with .class). Classes are represented by objects which contain
 all the symbolic information of the given class: methods, fields and byte
 code instructions, in particular.
 .
 Such objects can be read from an existing file, be transformed by a program
 (e.g. a class loader at run-time) and dumped to a file again. An even more
 interesting application is the creation of classes from scratch at run-time.
 The Byte Code Engineering Library (BCEL) may be also useful if you want to
 learn about the Java Virtual Machine (JVM) and the format of Java .class
 files.
 .
 This package contains the API (javadoc) documentation.

Package: libbcmail-java
Description-md5: db592e3b068dd6edf84ea7ee4fe7269b
Description-en: Bouncy Castle generators/processors for S/MIME and CMS
 The Bouncy Castle Crypto package is a Java implementation of
 cryptographic algorithms.
 .
 This package contains generators and processors for S/MIME and CMS
 (PKCS7/RFC 3852).

Package: libbcmail-java-doc
Description-md5: d8a6e0dfe63dc470544a1e91492cbb7f
Description-en: Bouncy Castle generators/processors for S/MIME and CMS (Documentation)
 The Bouncy Castle Crypto package is a Java implementation of
 cryptographic algorithms.
 .
 This package contains the Javadoc for libbcmail-java.

Package: libbcpg-java
Description-md5: fb261bacf9406a7cc08d3ab0f013ae4b
Description-en: Bouncy Castle generators/processors for OpenPGP
 The Bouncy Castle Crypto package is a Java implementation of
 cryptographic algorithms.
 .
 This package contains generators and processors for OpenPGP (RFC 2440).

Package: libbcpg-java-doc
Description-md5: 382c4791eee554acd4c9cefa6f870dca
Description-en: Bouncy Castle generators/processors for OpenPGP (Documentation)
 The Bouncy Castle Crypto package is a Java implementation of
 cryptographic algorithms.
 .
 This package contains the Javadoc for libbcpg-java.

Package: libbcpkix-java
Description-md5: c5f32972d1c1564a4f053850f0d8b208
Description-en: Bouncy Castle Java API for PKIX, CMS, EAC, TSP, PKCS, OCSP, CMP, and CRMF
 The Bouncy Castle Crypto package is a Java implementation of
 cryptographic algorithms.
 .
 This package contains generators and processors for PKIX, CMS,
 EAC, TSP, PKCS, OCSP, CMP, and CRMF.

Package: libbcpkix-java-doc
Description-md5: d0ec732d002d1d25ee8a9203867dfca6
Description-en: Bouncy Castle Java API for PKIX, CMS, EAC, TSP, PKCS... (Documentation)
 The Bouncy Castle Crypto package is a Java implementation of
 cryptographic algorithms.
 .
 This package contains the Javadoc for libbcpkix-java.

Package: libbcprov-java
Description-md5: 522bfc51b38b2fda273cc08a1fd66df1
Description-en: Bouncy Castle Java Cryptographic Service Provider
 The Bouncy Castle Crypto package is a Java implementation of
 cryptographic algorithms.
 .
 This package contains a JCE provider and a lightweight crypto API.

Package: libbcprov-java-doc
Description-md5: e307199093bf86957104c3ad997f9a16
Description-en: Bouncy Castle Java Cryptographic Service Provider (Documentation)
 The Bouncy Castle Crypto package is a Java implementation of
 cryptographic algorithms.
 .
 This package contains the Javadoc for libbcprov-java.

Package: libbctoolbox-dev
Description-md5: f234bfe89131f3073826b50e0ab1095e
Description-en: Helper code for software by Belledonne Communications (development headers)
 The package provides a C/C++ utility library used by Linphone and other
 software by Belledonne Communications. The library offers platform independent
 implementations of cryptographic functions, exception handling, logging
 modules, file access, unit tests and data structures like lists and maps.
 .
 The package is probably not useful outside the Belledonne Communications suite
 of libraries and programs.
 .
 This package contains the development headers.

Package: libbctoolbox1
Description-md5: d2a2a4548c275301e88c65913ade0d15
Description-en: Helper library for software by Belledonne Communications (shared library)
 The package provides a C/C++ utility library used by Linphone and other
 software by Belledonne Communications. The library offers platform independent
 implementations of cryptographic functions, exception handling, logging
 modules, file access, unit tests and data structures like lists and maps.
 .
 The package is probably not useful outside the Belledonne Communications suite
 of libraries and programs.
 .
 This package contains the shared library.

Package: libbdd-dev
Description-md5: caaa42509b2e5eb065636f9cd6cf753a
Description-en: Binary decision-diagram library (development)
 Binary decision diagrams (BDDs) are space-efficient encodings of
 boolean expressions or dynamic truth tables, used in eg. model
 checking.  BuDDy is an efficient BDD library with all the standard
 BDD operations, dynamic reordering of variables, automated garbage
 collection, a C++ interface with automatic reference counting, and
 more.
 .
 libbdd-dev is the BuDDy development package containing a static
 library and the include files needed for building applications using
 BuDDy.

Package: libbdd0c2
Description-md5: b3e8960dec071b06cd523391a20941ac
Description-en: Binary decision-diagram library (runtime)
 Binary decision diagrams (BDDs) are space-efficient encodings of
 boolean expressions or dynamic truth tables, used in eg. model
 checking.  This is the runtime package for programs that use the
 BuDDy library.

Package: libbde-dev
Description-md5: 0efc5d50517dfd9c4ba9f02d0b14a00f
Description-en: BitLocker Drive Encryption access library -- development files
 The BDE format is used by Microsoft Windows (Vista and later) to
 encrypt data on a storage media volume.
 .
 This package includes the development support files.

Package: libbde-utils
Description-md5: 73134051cf2d0fdd7adc4b00a487b563
Description-en: Tools to access the BitLocker Drive Encryption format.
 The BDE format is used by Microsoft Windows (Vista and later) to
 encrypt data on a storage media volume.

Package: libbde1
Description-md5: e8855bb0882e361448b4ce50c1634b6b
Description-en: BitLocker Drive Encryption access library
 The BDE format is used by Microsoft Windows (Vista and later) to
 encrypt data on a storage media volume.

Package: libbdplus-dev
Description-md5: 3ed9396aa16041058d917e06aa44e617
Description-en: implementation of BD+ for reading Blu-ray Discs (development files)
 libbdplus is a research project to implement the BD+ System Specifications.
 It provides, through an open-source library, a way to understand how the BD+
 works.
 .
 This package DOES NOT provide any key, certificate, configuration
 file or virtual machine that could be used to decode encrypted
 copyrighted material.
 .
 This package provides the development files for libbdplus0.

Package: libbdplus0
Description-md5: 44563531694d412195b061a3e8b95116
Description-en: implementation of BD+ for reading Blu-ray Discs
 libbdplus is a research project to implement the BD+ System Specifications.
 It provides, through an open-source library, a way to understand how the BD+
 works.
 .
 This package DOES NOT provide any key, certificate, configuration
 file or virtual machine that could be used to decode encrypted
 copyrighted material.
 .
 This package provides the shared library.

Package: libbeam-java
Description-md5: 52920eaf2f9543bc271f4f6da16e2bbd
Description-en: SMILES parsing and generation library for cheminformatics
 Beam is a free toolkit dedicated to parsing and generating Simplified
 molecular-input line-entry system - SMILES line notations. The primary focus
 of the library is to elegantly handle the SMILES syntax and as fast as
 possible.

Package: libbeansbinding-java
Description-md5: cfce729fc30921b001c71430978226c8
Description-en: Beans Binding API (library)
 In essence, Beans Binding (JSR 295) is about keeping
 two properties (typically of two objects) in sync.
 An additional emphasis is placed on the ability
 to bind to Swing components, and easy integration
 with IDEs such as NetBeans. This project provides
 the reference implementation.
 .
 This package contains the Java Beans Binding library.

Package: libbeansbinding-java-doc
Description-md5: 94cafe2ab9eb28fd7e03d25d50002cc2
Description-en: Beans Binding API (documentation)
 In essence, Beans Binding (JSR 295) is about keeping
 two properties (typically of two objects) in sync.
 An additional emphasis is placed on the ability
 to bind to Swing components, and easy integration
 with IDEs such as NetBeans. This project provides
 the reference implementation.
 .
 This package contains Javadoc API documentation.

Package: libbeckon-clojure
Description-md5: ee52110412a79e0053bd7de18e68e6f6
Description-en: handle POSIX signals in Clojure
 beckon is a Clojure library to handle POSIX signals in JVM applications with
 a simple API.

Package: libbelcard-dev
Description-md5: 5e0588101cca382bd85e1da986e8f7a5
Description-en: VCard standard format manipulation library (development headers)
 Belcard is a C++ library to manipulate VCard standard format.
 .
 The package is probably not useful outside the Belledonne Communications suite
 of libraries and programs.
 .
 This package contains the development headers.

Package: libbelcard1
Description-md5: 9227dc75e88ed2df8f5d2e1f1c4ba702
Description-en: VCard standard format manipulation library
 Belcard is a C++ library to manipulate VCard standard format.
 .
 The package is probably not useful outside the Belledonne Communications suite
 of libraries and programs.
 .
 This package contains the shared library.

Package: libbellesip-dev
Description-md5: 37d5bbd16fbf264a57045f7b895cee12
Description-en: SIP stack from the Linphone team (development files)
 Belle-Sip is a new SIP stack (RFC3261) developed by the Linphone team.
 .
 Belle-Sip supports multiple transports at the same time, has a dual
 IPv6 and IPv4 stack, is fully asynchronous and implements the
 +sip.instance and alias parameters. It also handles network
 disconnections better, offers a privacy API and supports rich presence.
 .
 SIP/TLS is handled by mbed TLS (by way of bctoolbox), not OpenSSL.
 .
 This package contains the development files.

Package: libbellesip0
Description-md5: 3f054b304f850f04c4f65923e96189d6
Description-en: SIP stack from the Linphone team
 Belle-Sip is a new SIP stack (RFC3261) developed by the Linphone team.
 .
 Belle-Sip supports multiple transports at the same time, has a dual
 IPv6 and IPv4 stack, is fully asynchronous and implements the
 +sip.instance and alias parameters. It also handles network
 disconnections better, offers a privacy API and supports rich presence.
 .
 SIP/TLS is handled by mbed TLS (by way of bctoolbox), not OpenSSL.

Package: libbelr-dev
Description-md5: 8eef305d11e42dd95ac1cfd7c42bc88e
Description-en: language recognition library by Belledonne Communications (development headers)
 Belr is Belledonne Communications' language recognition library. It aims
 at parsing any input formatted according to a language defined by an
 ABNF grammar, such as the protocols standardized at IETF.
 .
 It is based on finite state machine theory and heavily relies on
 recursivity from an implementation standpoint.
 .
 The package is probably not useful outside the Belledonne Communications suite
 of libraries and programs.
 .
 This package contains the development headers.

Package: libbelr1
Description-md5: 40849cbdf228736e76df7c2715e506fc
Description-en: language recognition library by Belledonne Communications
 Belr is Belledonne Communications' language recognition library. It aims
 at parsing any input formatted according to a language defined by an
 ABNF grammar, such as the protocols standardized at IETF.
 .
 It is based on finite state machine theory and heavily relies on
 recursivity from an implementation standpoint.
 .
 The package is probably not useful outside the Belledonne Communications suite
 of libraries and programs.
 .
 This package contains the shared library.

Package: libben-ocaml
Description-md5: 3b0d493e6c0a2a3a4820db20159a9fc9
Description-en: OCaml libraries for Debian maintainers (runtime package)
 This package contains miscellaneous OCaml libraries to make the life
 of an OCaml-aware Debian package maintainer easier.
 .
 This package contains the shared runtime stub libraries.

Package: libben-ocaml-dev
Description-md5: 70dbf7e34af8aa0784c8e49a298bfc8e
Description-en: OCaml libraries for Debian maintainers (development package)
 This package contains miscellaneous OCaml libraries to make the life
 of an OCaml-aware Debian package maintainer easier.
 .
 This package contains development files of the library.

Package: libbenchmark-apps-perl
Description-md5: 17f6d65437c8fba75dea5e544912cc4e
Description-en: simple module to benchmark applications
 Benchmark::Apps can be used to perform simple benchmarks on programs.
 Basically, it can be used to benchmark any program that can be called with a
 system call.

Package: libbenchmark-dev
Description-md5: a020454cbd7e8fa0e5f9ea8c10cbcf8e
Description-en: Microbenchmark support library, development files
 Library to support the benchmarking of functions, similar to unit-tests.
 .
 This package contains the development files.

Package: libbenchmark-ocaml-dev
Description-md5: cb5750dfaf79ced34f6a292aaebf23bd
Description-en: OCaml benchmarking library
 This library implements benchmarking functions for measuring the
 run-time of one or many functions using latency (multiple
 repetitions) or throughput (repeat until some time period has
 passed) tests.
 .
 It is inspired by the Perl module of the same name ( benchmark ).

Package: libbenchmark-progressbar-perl
Description-md5: 3f802f35bb76de7f66de5e72362c6807
Description-en: Perl module that displays progress bar during benchmarking
 Benchmark::ProgressBar is a combination of Benchmark and Term::ProgressBar
 that produces a simple progress bar illustrating execution of benchmark
 runs. As such, it is particularly useful for heavy benchmarking tests
 that take a long time to complete.
 .
 You can use it as a drop-in replacement for Benchmark, but the only functions
 that would display a progress bar are: cmpthese, timethese and timeit.
 .
 This module is not compatible for use with Benchmark in the same script. The
 author doesn't know of any case where this would make sense.

Package: libbenchmark-timer-perl
Description-md5: 2644fa50388524d2af058542b25d88c1
Description-en: benchmarking module with statistical confidence
 The Benchmark::Timer class allows you to time portions of code conveniently,
 as well as benchmark code by allowing timings of repeated trials. It is
 perfect for when you need more precise information about the running time of
 portions of your code than the Benchmark module will give you, but don't want
 to go all out and profile your code.

Package: libbenchmark-tools
Description-md5: 03e09ee5da6ba94cd4cafd9fff2d7f88
Description-en: Microbenchmark support library, tools and documentation
 Library to support the benchmarking of functions, similar to unit-tests.
 .
 This package contains tools and documentation.

Package: libbenchmark1
Description-md5: ff992efc40623f1296489b28e55ca328
Description-en: Microbenchmark support library, shared library
 Library to support the benchmarking of functions, similar to unit-tests.
 .
 This package contains the shared library.

Package: libbencode-perl
Description-md5: 1d7970f393a2d58b0064f4b84cb819ca
Description-en: Perl module for BitTorrent serialisation format
 Bencode implements the BitTorrent bencode serialisation format as described
 in http://www.bittorrent.org/beps/bep_0003.html
 .
 BitTorrent is a protocol for distributing files. It identifies content by
 URL and is designed to integrate seamlessly with the web.

Package: libbest-perl
Description-md5: 6ed10c0a87eb06d262d9d34c620af6e8
Description-en: fallbackable module loader
 Best.pm attempts to load Perl modules from a list, stopping at the first
 successful load and failing only if no alternative was found.
 .
 Often there are several possible providers of some functionality your program
 needs, but you don't know which is available at the run site. For example,
 one of the modules may be implemented with XS, or not in the core Perl
 distribution and thus not necessarily installed. With Best.pm, this can
 be as simple as:
 .
  use Best qw/YAML::Syck YAML/;

Package: libbetter-appframework-java
Description-md5: ad2c1fc364c932b61389785a5e1effa2
Description-en: Java Better Swing Application Framework (library)
 The Better Swing Application Framework is a fork of the original Swing
 Application Framework (appframework) reference implementation of JSR 296. Since
 August 2009, the original Swing Application Framework project has been on hold,
 and therefore this fork was created to carry on the work until the original
 project resumes.
 .
 The last public release of the original appframework project was version 1.03.
 The BSAF project currently aims at producing a new release, version 1.9, with
 the primary goals of improving stability, keeping backward compatibility with
 SAF 1.03, fixing bugs, updating documentation, and creating more unit tests and
 examples.
 .
 This package contains the Java library for the Better Swing Application
 Framework.

Package: libbetter-appframework-java-doc
Description-md5: 0de75733f1f031e7edbe062033cf0783
Description-en: Java Better Swing Application Framework (documentation)
 The Better Swing Application Framework is a fork of the original Swing
 Application Framework (appframework) reference implementation of JSR 296. Since
 August 2009, the original Swing Application Framework project has been on hold,
 and therefore this fork was created to carry on the work until the original
 project resumes.
 .
 The last public release of the original appframework project was version 1.03.
 The BSAF project currently aims at producing a new release, version 1.9, with
 the primary goals of improving stability, keeping backward compatibility with
 SAF 1.03, fixing bugs, updating documentation, and creating more unit tests and
 examples.
 .
 This package contains the documentation for the Better Swing Application
 Framework.

Package: libbfb0
Description-md5: c07b3a7765e509edf5098a0839e2a316
Description-en: bfb protocol library
 This library adds support for OBEX protocol over BFB/BFC, some Siemens
 Mobile specific protocols that are used with the serial cables for S25,
 S35 and almost all later phones that include a modem, also those
 labeled as BenQ Mobile.
 .
 This package contains the runtime library.

Package: libbfb0-dev
Description-md5: e2ac8a2fe4d2a9602f2723c4c9b440c2
Description-en: bfb protocol library - development files
 This library adds support for OBEX protocol over BFB/BFC, some Siemens
 Mobile specific protocols that are used with the serial cables for S25,
 S35 and almost all later phones that include a modem, also those
 labeled as BenQ Mobile.
 .
 This package contains the development files.

Package: libbfio-dev
Description-md5: 7566ad449e9e5b1c5575864911b634fd
Description-en: Header files and libraries for developing applications for libbfio
 Libbfio is a library to provide basic file input/output abstraction. It is
 used in multiple other libraries like libewf, libmsiecf, libnk2, libolecf and
 libpff. It is used to chain I/O to support file-in-file access.
 .
 This package contains the development files.

Package: libbfio1
Description-md5: 19b81aad66ce4aaea6a712eb4cb018d2
Description-en: Library to provide basic input/output abstraction
 Libbfio is a library to provide basic file input/output abstraction. It is
 used in multiple other libraries like libewf, libmsiecf, libnk2, libolecf and
 libpff. It is used to chain I/O to support file-in-file access.
 .
 This package contains the shared library.

Package: libbg-dev
Description-md5: 71cc343322a7d2885601ead6b8f33030
Description-en: BG Libraries Collection (development)
 This package contains a collection of libraries written by
 Bruce Guenter and put in use in various packages.
 .
 The library collection is mandatory to build most of software
 packages available at http://untroubled.org.
 .
 This package contains the development files.

Package: libbg2
Description-md5: 4c99c87b86d3e8f25567fa7388bf5633
Description-en: BG Libraries Collection
 This package contains a collection of libraries written by
 Bruce Guenter and put in use in various packages.
 .
 The library collection is mandatory to build most of software
 packages available at http://untroubled.org.
 .
 This package contains the shared libraries.

Package: libbg2-doc
Description-md5: 33e0db4e280b07932b3f0c898647eee4
Description-en: BG Libraries Collection (documentation)
 This package contains a collection of libraries written by
 Bruce Guenter and put in use in various packages.
 .
 The library collection is mandatory to build most of software
 packages available at http://untroubled.org.
 .
 This package contains the documentation for bglibs.

Package: libbiblesync-dev
Description-md5: 06cd35417a2175af3fc284165739b61b
Description-en: multicast protocol to support Bible co-navigation (development files)
 This is a C++ single class library encapsulating a protocol conduit.  The
 premise is that there is a local network over which to multicast Bible
 navigation, and someone, possibly several someones, will transmit, and
 others will receive.  The choices for when you decide to xmit and what to
 do when you recv are up to you as the application designer.
 .
 This package contains the development files.

Package: libbiblesync1.1
Description-md5: 88dd75844648485055f94eb097eadcf7
Description-en: multicast protocol to support Bible co-navigation
 This is a C++ single class library encapsulating a protocol conduit.  The
 premise is that there is a local network over which to multicast Bible
 navigation, and someone, possibly several someones, will transmit, and
 others will receive.  The choices for when you decide to xmit and what to
 do when you recv are up to you as the application designer.
 .
 This package contains the shared library.

Package: libbiblio-citation-parser-perl
Description-md5: 0dd26ba51309b805f3213b4376b338ac
Description-en: citation parsing framework
 Biblio::Citation::Parser provides generic methods for reference parsers. This
 class should not be used directly, but rather be overridden by specific
 parsers. Parsers that extend the Parser class must provide at least the two
 methods defined here to ensure compatibility.

Package: libbiblio-endnotestyle-perl
Description-md5: 63ec6734a36c9def122aa6161e9f6672
Description-en: Perl module to format bibliographic references using Endnote-like templates
 Biblio::EndnoteStyle provides a way of formatting bibliographic
 references using style templates similar to those used by the popular
 reference management software Endnote (http://www.endnote.com/).  The
 API is embarrassingly simple: a formatter object is made using the
 class's constructor, the new() method; format() may then be
 repeatedly called on this object, using the same or different
 templates.
 .
 (The sole purpose of the object is to cache compiled templates so that
 multiple format() invocations are more efficient than they would
 otherwise be. Apart from that, the API might just as well have been a
 single function.)

Package: libbiblio-isis-perl
Description-md5: e53ff141797f2a2f6e26d73c7e9eabc7
Description-en: Perl module which reads ISIS databases
 This module will read ISIS databases created by DOS CDS/ISIS, WinIsis or
 IsisMarc.
 .
 Biblio::Isis is a Perl module which reads ISIS databases produced by
 CDS/ISIS programs, such as MicroISIS, WinISIS and IsisMARC. ISIS was a
 popular family of integrated library systems provided as freeware by
 UNESCO, and this module provides methods to parse full databases and
 use them in a Perl program in order to migrate them to other formats.
 .
 It can create hash values from data in ISIS database (using to_hash),
 ASCII dump (using to_ascii) or just hash with field names and packed
 values (like ^asomething^belse).
 .
 Unique feature of this module is ability to include_deleted records.
 It will also skip zero sized fields (OpenIsis has a bug in XS bindings, so
 fields which are zero sized will be filled with random junk from memory).
 .
 It also has support for identifiers (only if ISIS database is created by
 IsisMarc), see to_hash.
 .
 Homepage: http://search.cpan.org/~dpavlin/Biblio-Isis-0.24/lib/Biblio/Isis.pm

Package: libbiblio-thesaurus-perl
Description-md5: 133f6faa4403f013f7fd23475837e8e0
Description-en: Perl extension for managing ISO thesaurus
 A Thesaurus is a classification structure. It can be seen as a graph where
 nodes are terms and the vertices are relations between terms.
 .
 Biblio::Thesaurus provides transparent methods to maintain Thesaurus files.
 The module uses a subset from ISO 2788 which defines some standard features
 to be found on thesaurus files. This ISO includes a set of relations that can
 be seen as standard but, this program can use user defined ones. So, it can
 be used on ISO or not ISO thesaurus files.

Package: libbibutils-dev
Description-md5: 3f750d02e56cd7c83319c5d577d9bb47
Description-en: bibliography file converter, development kit
 Convert between the following bibliographic data formats: BibTeX,
 COPAC, EndNote refer, EndNote XML, Pubmed XML, ISI web of science, US
 Library of Congress MODS, RIS, and Word 2007 bibliography.
 .
 This package contains the static library and headers for use by developers.

Package: libbibutils6
Description-md5: 4283c207ffa16dd1be6b61c7594768a1
Description-en: bibliography file converter, shared library
 Convert between the following bibliographic data formats: BibTeX,
 COPAC, EndNote refer, EndNote XML, Pubmed XML, ISI web of science, US
 Library of Congress MODS, RIS, and Word 2007 bibliography.
 .
 This package contains the shared library doing most of the work

Package: libbidi-clojure
Description-md5: 1c550907385c9ce7996817954d82bbc0
Description-en: bidirectional URI routing for Clojure
 Bi-directional URI dispatch. Like compojure, but when you want to go both
 ways. If you are serving REST resources, you should be
 providing links to other resources, and without full support for forming URIs
 from handlers your code will become coupled with your routing. In short,
 hard-coded URIs will eventually break.
 .
 bidi provides a way to construct URIs from handlers.

Package: libbigint-dev
Description-md5: a97c2668be618997084f745daf8dd219
Description-en: C++ Big Integer Library (development files)
 You can use this library in a C++ program to do arithmetic
 on integers of size limited only by your computer's memory.
 The library provides BigUnsigned and BigInteger classes that
 represent nonnegative integers and signed integers,
 respectively.
 .
 This package provides the development files for the library.

Package: libbigint0
Description-md5: ab6fec8596a531c1db36041d94b5bee6
Description-en: C++ Big Integer Library
 You can use this library in a C++ program to do arithmetic
 on integers of size limited only by your computer's memory.
 The library provides BigUnsigned and BigInteger classes that
 represent nonnegative integers and signed integers,
 respectively.
 .
 This package provides the shared library.

Package: libbigwig-dev
Description-md5: 4d3e82a850945c2a203e281f69b1d0fb
Description-en: C library for handling bigWig files - header files
 This package provides the files needed to develop with the libBigWig
 C library for reading/parsing local and remote bigWig and bigBed files.

Package: libbigwig-doc
Description-md5: 2e794ad7a184a8a8bde6bc218a9e53fa
Description-en: C library for handling bigWig files - documentation
 This package provides the doxygen-created inline documentation needed
 to develop with the libBigWig C library for reading/parsing local and
 remote bigWig and bigBed files.

Package: libbigwig0
Description-md5: aed5da12885616349016dc50499ba548
Description-en: C library for handling bigWig files
 This package provides a C library for reading/parsing local and remote
 bigWig and bigBed files.  This library was refurbished to particularly
 suit to be wrapped by scripting languages by avoiding early bailouts
 in case of errors.

Package: libbin-prot-ocaml
Description-md5: 63c6e42a9644887f70869a202b1a87b3
Description-en: type-safe binary serialization for OCaml values (runtime)
 bin-prot provides functionalities for reading and writing OCaml-values
 in a type-safe binary protocol.
 .
 These functions provide a safe way of performing I/O on any
 extensionally defined data type. Functions, objects, and values whose
 type is bound through a polymorphic record field are not supported, but
 everything else is.
 .
 There is no support for cyclic or shared values and only little endian
 computer architectures are supported.
 .
 This package contains runtime files.

Package: libbin-prot-ocaml-dev
Description-md5: e1b4e98b2c3df762ce9168432ebc94ca
Description-en: type-safe binary serialization for OCaml values (dev files)
 bin-prot provides functionalities for reading and writing OCaml-values
 in a type-safe binary protocol.
 .
 These functions provide a safe way of performing I/O on any
 extensionally defined data type. Functions, objects, and values whose
 type is bound through a polymorphic record field are not supported, but
 everything else is.
 .
 There is no support for cyclic or shared values and only little endian
 computer architectures are supported.
 .
 This package contains development files.

Package: libbind-config-parser-perl
Description-md5: fe5a23e52ba6418af0f6079d5727c390
Description-en: parser for BIND Config files
 BIND::Config::Parser provides a lightweight parser to the configuration file
 syntax of BIND v8 and v9 using a Parse::RecDescent grammar.
 .
 It is in a similar vein to BIND::Conf_Parser. However, as it has no knowledge
 of the directives, it doesn't need to be kept updated as new directives are
 added, it simply knows how to carve up a BIND configuration file into logical
 chunks.

Package: libbind-confparser-perl
Description-md5: aba3c0dcdffe68cca9444c64e27867b0
Description-en: parser class for BIND configuration files
 BIND::Conf_Parser implements a virtual base class for parsing BIND
 (Berkeley Internet Name Domain) server version 8 (and sometimes version 9)
 configuration files ("named.conf").  The parsing methods shown in the
 synopsis perform syntactic analysis only.  As each meaningful semantic
 'chunk' is parsed, a callback method is invoked with the parsed information.

Package: libbindex-java
Description-md5: c2a43364dab92d22eb9157e82f92324d
Description-en: OSGi BIndex reader
 BIndex is a small Java program that implements the manifest header to repository
 format mapping as described in RFC-0112 Bundle Repository. BIndex can recurse
 over a directory structure and just creates a repository.xml file.
 The URLs can be rewritten using a template.

Package: libbinio-dev
Description-md5: 7616273c241a8df14ef29d600f584646
Description-en: Binary I/O stream class library (development files)
 The binary I/O stream class library presents a platform-independent way to
 access binary data streams in C++. The library is hardware independent in
 the form that it transparently converts between the different forms of
 machine-internal binary data representation. It further employs no special
 I/O protocol and can be used on arbitrary binary data sources.
 .
 This package contains the development files.

Package: libbinio1v5
Description-md5: 6dcc2fc6be1a1378ad049532031ae678
Description-en: Binary I/O stream class library
 The binary I/O stream class library presents a platform-independent way to
 access binary data streams in C++. The library is hardware independent in
 the form that it transparently converts between the different forms of
 machine-internal binary data representation. It further employs no special
 I/O protocol and can be used on arbitrary binary data sources.

Package: libbiniou-ocaml
Description-md5: 2e19b185ae384321e2eaf7d5790628a5
Description-en: flexible binary data format in OCaml - plugins
 Biniou is a binary data format designed for speed, safety, ease of use
 and backward compatibility as protocols evolve. Biniou is vastly
 equivalent to JSON in terms of functionality but allows implementations
 about 4 times as fast (see godi-yojson for comparison), with 25-35%
 space savings. Biniou data can be decoded into human-readable form
 without knowledge of type definitions except for field and variant names
 which are represented by 31-bit hashes.
 .
 This package contains the shared runtime libraries.

Package: libbiniou-ocaml-dev
Description-md5: ad1cd13dbafd1730a161f4b74527be6e
Description-en: flexible binary data format in OCaml - development files
 Biniou is a binary data format designed for speed, safety, ease of use
 and backward compatibility as protocols evolve. Biniou is vastly
 equivalent to JSON in terms of functionality but allows implementations
 about 4 times as fast (see godi-yojson for comparison), with 25-35%
 space savings. Biniou data can be decoded into human-readable form
 without knowledge of type definitions except for field and variant names
 which are represented by 31-bit hashes.
 .
 This package contains the development files needed for programming
 with the library.

Package: libbintray-client-java
Description-md5: e47a4212d25d191d869e3606b74bfd81
Description-en: Bintray REST Client Java API Bindings
 Bintray REST Client API provides access to JFrog's JCenter repositories.
 .
 This package provides the Java bindings for Bintray REST Client API.

Package: libbintray-client-java-doc
Description-md5: b8221e4d499865aaad7ccd3a44c0709a
Description-en: Bintray REST Client Java API Bindings (Documentations)
 Bintray REST Client API provides access to JFrog's JCenter repositories.
 .
 This package provides the documentations of Bintray REST Client Java API
 Bindings.

Package: libbio-alignio-stockholm-perl
Description-md5: 036ddd32e34458c1d2492458e1a615cf
Description-en: stockholm sequence input/output stream
 Indexes Stockholm format alignments such as those from Pfam and Rfam. Returns
 raw stream data using the ID or a Bio::SimpleAlign object (via Bio::AlignIO).
 .
 Bio::AlignIO::stockholm also allows for ID parsing using a callback:

Package: libbio-asn1-entrezgene-perl
Description-md5: 509a55d23010beff27e394f85f8c8673
Description-en: parser for NCBI Entrez Gene and NCBI Sequence records
 Bio::ASN1::EntrezGene and Bio::ASN1::Sequence are regular expression-based
 parsers for NCBI Entrez Gene genome databases
 (<http://www.ncbi.nih.gov/entrez/query.fcgi?db=gene>).
 .
 They parse ASN.1-formatted Entrez Gene records and NCBI sequences,
 returning data structures that contain all data items from the gene records
 or the sequence records.
 .
 The parser will report error & line number if input data does not conform to
 the NCBI Entrez Gene genome or NCBI Sequence annotation file format.
 .
 Bio::ASN1::Sequence is basically a modified version of the high-performance
 Bio::ASN1::EntrezGene parser. However this standalone module exists since it
 is more efficient to keep Sequence-specific code out of EntrezGene.pm.

Package: libbio-chado-schema-perl
Description-md5: e8df152f1b4935a6a1886485ca1aa0f3
Description-en: DBIx::Class layer for the Chado database schema
 The module Bio::Chado::Schema is a standard object-relational mapping
 layer for use with the GMOD Chado database schema.
 Chado is an open-source modular database schema for biological data.

Package: libbio-cluster-perl
Description-md5: 411f29ac4f2fe64a4e94b95e431c356b
Description-en: BioPerl cluster modules
 The ClusterIO module works with the ClusterIO format module to read various
 cluster formats such as NCBI UniGene.

Package: libbio-coordinate-perl
Description-md5: 8af553e026d814fa8e12de3dc35d8cca
Description-en: BioPerl modules for working with biological coordinates
 The Bioperl project is a coordinated effort to collect computational methods
 routinely used in bioinformatics into a set of standard CPAN-style,
 well-documented, and freely available Perl modules.
 .
 Since BioPerl version 1.7 several modules where split into separate projects.
 This package provides the Bio::Coordinate module for working with biological
 coordinates.

Package: libbio-das-lite-perl
Description-md5: 4b8512afc497d6fd7c66089bc7baf524
Description-en: implementation of the BioDas protocol
 Bio::Das::Lite is an implementation of the BioDas protocol
 for the retrieval of biological data from XML sources over HTTP.
 .
 Bio::Das::Lite is designed as a lightweight and more forgiving alternative to
 the client/retrieval/parsing components of Bio::Das. Bio::Das::Lite itself is
 not a drop-in replacement for Bio::Das but it can be subclassed to do so.

Package: libbio-db-ace-perl
Description-md5: be77fc4702a98d98affb4d6b8538ae1e
Description-en: Database object interface to ACeDB servers
 This provides a standard BioPerl database access to Ace, using Lincoln Steins
 excellent AcePerl module.
 .
 This interface is designed at the moment to work through a
 aceclient/aceserver type mechanism

Package: libbio-db-biofetch-perl
Description-md5: 11c00cab92930809d5b29576286fdb9a
Description-en: Database object interface to BioFetch retrieval
 Bio::DB::BioFetch is a guaranteed best effort sequence entry fetching method.
 It goes to the Web-based dbfetch server located at the EBI
 (http://www.ebi.ac.uk/Tools/dbfetch/dbfetch) to retrieve sequences in the
 EMBL or GenBank sequence repositories.
 .
 Bio::DB::BioFetch implements all the Bio::DB::RandomAccessI interface, plus
 the get_Stream_by_id() and get_Stream_by_acc() methods that are found in the
 Bio::DB::SwissProt interface.

Package: libbio-db-embl-perl
Description-md5: 8c44f379a3098aeafb58d06c548deaea
Description-en: Database object interface for EMBL entry retrieval
 Allows the dynamic retrieval of sequence objects Bio::Seq from the EMBL
 database using the dbfetch script at EBI:
 http://www.ebi.ac.uk/Tools/dbfetch/dbfetch.
 .
 In order to make changes transparent host type (currently only ebi) and
 location (defaults to ebi) were separated out. This allows later additions
 of more servers in different geographical locations.
 .
 The functionality of this module is inherited from Bio::DB::DBFetch which
 implements Bio::DB::WebDBSeqI.

Package: libbio-db-gff-perl
Description-md5: 1ce05f595fa0ba15a3a25414321787aa
Description-en: Storage and retrieval of sequence annotation data
 Bio::DB::GFF provides fast indexed access to a sequence annotation database.
 It supports multiple database types (ACeDB, relational), and multiple schemas
 through a system of adaptors and aggregators.
 .
 The following operations are supported by this module:
 .
  - retrieving a segment of sequence based on the ID of a landmark
 .
  - retrieving the DNA from that segment
 .
  - finding all annotations that overlap with the segment
 .
  - finding all annotations that are completely contained within the
 segment
 .
  - retrieving all annotations of a particular type, either within a
 segment, or globally
 .
  - conversion from absolute to relative coordinates and back again,
 using any arbitrary landmark for the relative coordinates
 .
  - using a sequence segment to create new segments based on relative
 offsets

Package: libbio-db-hts-perl
Description-md5: 72331b2dbe774c7c5ad34fee672bff70
Description-en: Perl interface to the HTS library
 HTSlib is an implementation of a unified C library for accessing common file
 formats, such as SAM (Sequence Alignment/Map), CRAM and VCF (Variant Call
 Format), used for high-throughput sequencing data, and is the core library
 used by samtools and bcftools. HTSlib only depends on zlib. It is known to be
 compatible with gcc, g++ and clang.
 .
 HTSlib implements a generalized BAM (binary SAM) index, with file extension
 'csi' (coordinate-sorted index). The HTSlib file reader first looks for the
 new index and then for the old if the new index is absent.
 .
 This package provides a Perl interface to the HTS library.

Package: libbio-db-ncbihelper-perl
Description-md5: 7017fb1cf3866dceb6d43ad895a09451
Description-en: collection of routines useful for queries to NCBI databases
 Provides a single place to setup some common methods for querying NCBI web
 databases. Bio::DB::NCBIHelper just centralizes the methods for constructing
 a URL for querying NCBI GenBank and NCBI GenPept and the common HTML
 stripping done in postprocess_data().
 .
 The base NCBI query URL used is:
 https://eutils.ncbi.nlm.nih.gov/entrez/eutils/efetch.fcgi

Package: libbio-db-refseq-perl
Description-md5: 64ecf0da6799e8766c1bb90700f3380f
Description-en: Database object interface for RefSeq retrieval
 Allows the dynamic retrieval of sequence objects Bio::Seq from the RefSeq
 nucleotide database using the dbfetch script at EBI:
 .
 http://www.ebi.ac.uk/Tools/dbfetch/dbfetch
 .
 At this time the module specifically retrieves nucleotide sequences only.
 .
 In order to make changes transparent host type (currently only ebi) and
 location (defaults to ebi) were separated out. This allows later additions
 of more servers in different geographical locations.
 .
 The functionality of this module is inherited from Bio::DB::DBFetch which
 implements Bio::DB::WebDBSeqI.

Package: libbio-db-seqfeature-perl
Description-md5: 3f2313a6f4fd1e332c6c234f6d0f9f7c
Description-en: Normalized feature for use with Bio::DB::SeqFeature::Store
 The Bio::DB::SeqFeature object is the default SeqFeature class stored in
 Bio::DB::SeqFeature databases. It implements both the
 Bio::DB::SeqFeature::NormalizedFeatureI and
 Bio::DB::SeqFeature::NormalizedTableFeatureI interfaces, which means that its
 subfeatures, if any, are stored in the database in a normalized fashion, and
 that the parent/child hierarchy of features and subfeatures are also stored
 in the database as set of tuples. This provides efficiencies in both storage
 and retrieval speed.
 .
 Typically you will not create Bio::DB::SeqFeature directly, but will ask the
 database to do so on your behalf, as described in Bio::DB::SeqFeature::Store.

Package: libbio-db-swissprot-perl
Description-md5: 561a00ce6dab79c7d90c3fda943983f2
Description-en: Database object interface to SwissProt retrieval
 SwissProt is a curated database of proteins managed by the Swiss
 Bioinformatics Institute. Additional tools for parsing and manipulating
 swissprot files can be found at
 ftp://ftp.ebi.ac.uk/pub/software/swissprot/Swissknife/.
 .
 Allows the dynamic retrieval of Sequence objects (Bio::Seq) from the
 SwissProt database via an Expasy retrieval.
 .
 In order to make changes transparent host type (currently only expasy)
 and location (default to Switzerland) is separated out. This allows the
 user to pick the closest Expasy mirror for running their queries.

Package: libbio-eutilities-perl
Description-md5: f9c120f52e2f9756b1e22389b781d690
Description-en: BioPerl interface to the Entrez Programming Utilities (E-utilities)
 The Bioperl project is a coordinated effort to collect computational
 methods routinely used in bioinformatics into a set of standard
 CPAN-style, well-documented, and freely available Perl modules.  This
 package provides a programmatic interface to NCBI's Entrez Programming
 Utilities commonly referred to as E-utilities.  Namely, it provides the
 Bio::DB::EUtilities and Bio::Tools::EUtilities perl modules.
 .
 Entrez is a federated search engine at the National Center for
 Biotechnology Information (NCBI) for a large number of databases
 covering a variety of biomedical data, including nucleotide and
 protein sequences, gene records, three-dimensional molecular
 structures, and the biomedical literature.  E-utilities are a set of
 eight server-side programs that provide a stable interface into the
 Entrez query and database system at the National Center for
 Biotechnology Information (NCBI).

Package: libbio-featureio-perl
Description-md5: 52369447cf0e504b3a8c1fb8bcbff765
Description-en: Modules for reading, writing, and manipulating sequence features
 An I/O iterator subsystem for genomic sequence features.
 .
 Bio::FeatureIO is a handler module for the formats in the FeatureIO set (eg,
 Bio::FeatureIO::GFF). It is the officially sanctioned way of getting at the
 format objects, which most people should use.
 .
 The Bio::FeatureIO system can be thought of like biological file handles.
 They are attached to filehandles with smart formatting rules (eg, GFF format,
 or BED format) and can either read or write feature objects (Bio::SeqFeature
 objects, or more correctly, Bio::FeatureHolderI implementing objects, of
 which Bio::SeqFeature is one such object). If you want to know what to do
 with a Bio::SeqFeatureI object, read Bio::SeqFeatureI.
 .
 The idea is that you request a stream object for a particular format. All the
 stream objects have a notion of an internal file that is read from or written
 to. A particular FeatureIO object instance is configured for either input or
 output. A specific example of a stream object is the Bio::FeatureIO::gff
 object.

Package: libbio-graphics-perl
Description-md5: 4c1e302f07a2493ac85971b05ef00176
Description-en: Generate GD images of Bio::Seq objects
 The Bio::Graphics::Panel class provides drawing and formatting
 services for any object that implements the Bio::SeqFeatureI
 interface, including Ace::Sequence::Feature, Das::Segment::Feature and
 Bio::DB::Graphics objects.  It can be used to draw sequence
 annotations, physical (contig) maps, protein domains, or any other
 type of map in which a set of discrete ranges need to be laid out on
 the number line.

Package: libbio-mage-perl
Description-md5: b83e0735b0f97232033973cbce5b7f61
Description-en: Container module for classes in the MAGE package: MAGE
 MAGE-TAB (MicroArray Gene Expression Tabular) format is a standard from the
 Microarray Gene Expression Data Society (MGED). This package contains Perl
 modules in the Bio::MAGE hierarchy to manipulate MIAME-compliant (Minimum
 Information About a Microarray Experiment) records of microarray ("DNA chips")
 experiments.
 .
 The Bio::MAGE module contains the following Bio::MAGE classes:
  * NameValueType
  * Extendable
  * Identifiable
  * Describable

Package: libbio-mage-utils-perl
Description-md5: 5d1df9814a8fa6e353d805f1183bbeba
Description-en: Extra modules for classes in the MAGE package: MAGE
 MAGE-TAB (MicroArray Gene Expression Tabular) format is a standard from the
 Microarray Gene Expression Data Society (MGED). This package contains Perl
 modules in the Bio::MAGE hierarchy to manipulate MIAME-compliant (Minimum
 Information About a Microarray Experiment) records of microarray ("DNA chips")
 experiments.
 .
 Bio-MAGE-Utils contains extra modules for handling MAGE XML and MGED ontology,
 as well as SQL utilities.

Package: libbio-perl-perl
Description-md5: 4a76d242ce1e4cdbafc27047e6096cc2
Description-en: BioPerl core perl modules
 BioPerl is a toolkit of perl modules useful in building bioinformatics
 solutions in Perl. It is built in an object-oriented manner so that many
 modules depend on each other to achieve a task. The collection of modules in
 libbio-perl-perl consist of the core of the functionality of bioperl.

Package: libbio-perl-run-perl
Description-md5: 02e928aa466025c3b3ccea5088374edb
Description-en: BioPerl wrappers: modules
 Contains modules that provide a Perl interface to various bioinformatics
 applications to allow them to be used with common BioPerl objects.

Package: libbio-primerdesigner-perl
Description-md5: efbdf6f4924275bcb6128cb06d449fcb
Description-en: Perl module to design PCR primers using primer3 and epcr
 Bio::PrimerDesigner provides a low-level interface to the primer3 and epcr
 binary executables and supplies methods to return the results. In addition to
 accessing local installations of primer3 or e-PCR, it also offers the ability
 to accessing the primer3 binary via a remote server.

Package: libbio-procedural-perl
Description-md5: b8d8c0e70ba158c7207ac93f7beb49a4
Description-en: Simple low-dependency procedural interfaces to BioPerl
 The code in this distribution focuses on simple low-dependency procedural
 interfaces to BioPerl that don't require knowledge of BioPerl classes and
 methods.

Package: libbio-samtools-perl
Description-md5: 73b654c3e7ee8120b5c9b0a3c60da326
Description-en: Perl interface to SamTools library for DNA sequencing
 Bio::SamTools provides a Perl interface to the libbam library for indexed and
 unindexed SAM/BAM sequence alignment databases. It provides support for
 retrieving information on individual alignments, read pairs, and alignment
 coverage information across large regions. It also provides callback
 functionality for calling SNPs and performing other base-by-base functions.
 Most operations are compatible with the BioPerl Bio::SeqFeatureI interface,
 allowing BAM files to be used as a backend to the GBrowse genome browser
 application.

Package: libbio-scf-perl
Description-md5: 928fbfcc6d740a61406ae515d3369942
Description-en: Perl extension for reading and writing SCF sequence files
 The Bio::SCF (Standard Chromatogram Format) module allows you to read and
 update (in a restricted way) SCF chromatographic sequence files. It is an
 interface to Roger Staden's io-lib. It has both tied hash and an
 object-oriented interfaces. It provides the ability to read fields from SCF
 files and limited ability to modify them and write them back.

Package: libbio-searchio-hmmer-perl
Description-md5: e20b4be1ac58d51536a90dde88d97db0
Description-en: perl parser for HMMER2 and HMMER3 output (hmmscan, hmmsearch, hmmpfam)
 This object implements a parser for hmmpfam hsp output, a program in the
 HMMER package.
 .
 It is part of the libbio-perl collection.

Package: libbio-tools-phylo-paml-perl
Description-md5: 7be1537f9e0daf417b7f8a01efafb508
Description-en: Bioperl interface to the PAML suite
 This distribution provides a Perl interface to PAML, a suite of
 programs (baseml, codeml, evolver, and yn00) for phylogenetic
 analyses of DNA or protein sequences using maximum likelihood.
 .
 The Bio::Tools::Run::Phylo::PAML::* modules provide an interface to
 run the PAML programs while Bio::Tools::Phylo::PAML provides an
 interface to parse their output files.
 .
 This distribution is part of the Bioperl project.

Package: libbio-tools-run-alignment-clustalw-perl
Description-md5: 6bbfe9bd2b0eaed85b615fa7ec7f9c5e
Description-en: Bioperl interface to Clustal W
 Bio::Tools::Run::Alignment::Clustalw provides a Perl interface to
 Clustal W, a program for alignment of multiple nucleotide and peptide
 sequences.
 .
 This module distribution is part of the Bioperl project.

Package: libbio-tools-run-alignment-tcoffee-perl
Description-md5: 70ff5d3d95a8fc5c1fd278e60d667157
Description-en: Bioperl interface to T-Coffee
 Bio::Tools::Run::Alignment::TCoffee provides a Perl interface to
 T-Coffee, a program for multiple alignments of DNA, RNA, and protein
 sequences and structures.
 .
 This module distribution is part of the Bioperl project.

Package: libbio-tools-run-remoteblast-perl
Description-md5: d3fdd897013758576309b80b38c19787
Description-en: Object for remote execution of the NCBI Blast via HTTP
 Class for remote execution of the NCBI Blast via HTTP.
 .
 For a description of the many CGI parameters see:
 https://www.ncbi.nlm.nih.gov/BLAST/Doc/urlapi.html
 .
 Various additional options and input formats are available.

Package: libbio-variation-perl
Description-md5: 63c4017b0ec43832aa03a6efe20ad2e3
Description-en: BioPerl variation-related functionality
 The code in this distribution focuses on simple low-dependency variant-related
 functionality for BioPerl.
 .
 Bio::Variation name space contains modules to store sequence variation
 information as differences between the reference sequence and changes
 sequences. Also included are classes to write out and recrete objects
 from EMBL-like flat files and XML. Lastly, there are simple classes to
 calculate values for sequence change objects.

Package: libbiococoa-dev
Description-md5: b81475f0fc6f402543168839b5916578
Description-en: Bioinformatics framework for GNUstep and Cocoa (development files)
 BioCocoa is an open source Cocoa framework for bioinformatics. It intends to
 provide Cocoa programmers with a full suite of tools for handling and
 manipulating biological sequences. Cocoa is a great framework for rapid
 application development and it is therefore often used to create innovative
 bioscientific apps. To speed up development even more, BioCocoa wants to
 offer reusable Cocoa classes that are specific for molecular biology and
 biofinformatics. At this time, BioCocoa offers model classes for biological
 sequences, controller classes for alignment, sequence manipulation and I/O,
 interfacing with ENTREZ and view classes that let you easily display and work
 with sequences in your own applications.
 .
 This package contains the development files, documentation and
 examples for developing GNUstep applications using the BioCocoa framework.

Package: libbiococoa2
Description-md5: 47504dce77c3c51f2bbf44340f794f01
Description-en: Bioinformatics framework for GNUstep and Cocoa (library files)
 BioCocoa is an open source Cocoa framework for bioinformatics. It intends to
 provide Cocoa programmers with a full suite of tools for handling and
 manipulating biological sequences. Cocoa is a great framework for rapid
 application development and it is therefore often used to create innovative
 bioscientific apps. To speed up development even more, BioCocoa wants to
 offer reusable Cocoa classes that are specific for molecular biology and
 biofinformatics. At this time, BioCocoa offers model classes for biological
 sequences, controller classes for alignment, sequence manipulation and I/O,
 interfacing with ENTREZ and view classes that let you easily display and work
 with sequences in your own applications.
 .
 This package contains the runtime libraries.

Package: libbiod-dev
Description-md5: bf48b65651de3b9a27d53e3cbffba009
Description-en: bioinformatics library in D (development files)
 BioD is a fast and memory efficient bioinformatics library written in
 the D programming language. BioD aims to:
  * Provide a platform for writing high-performance bioinformatics
    applications in D. BioD achieves this by:
    - automatic parallelization of tasks where possible for example
      reading and writing BAM files.
    - reducing the GC overhead by avoiding unnecessary memory allocations
  * Offer support for manipulating common biological data formats.
  * Write clear documented and maintainable codebase.
 .
 This package contains the include files for development.

Package: libbiod0
Description-md5: 5cfb1de1dc7f02a6f270bd86d90d91c3
Description-en: bioinformatics library in D
 BioD is a fast and memory efficient bioinformatics library written in
 the D programming language. BioD aims to:
  * Provide a platform for writing high-performance bioinformatics
    applications in D. BioD achieves this by:
    - automatic parallelization of tasks where possible for example
      reading and writing BAM files.
    - reducing the GC overhead by avoiding unnecessary memory allocations
  * Offer support for manipulating common biological data formats.
  * Write clear documented and maintainable codebase.
 .
 This package contains the dynamic library.

Package: libbiojava-java
Description-md5: 81fa6baab74ccacd4d7d8068c8f9861b
Description-en: Java API to biological data and applications (default version)
 BioJava is an open-source project dedicated to providing a Java framework
 for processing biological data. It includes objects for manipulating
 sequences, file parsers, DAS client and server support, access to BioSQL
 and Ensembl databases, and powerful analysis and statistical routines
 including a dynamic programming toolkit.
 .
 BioJava is provided by a vibrant community which meets annually at
 the Bioinformatics Open Source Conference (BOSC) that traditionally
 accompanies the Intelligent Systems in Molecular Biology (ISMB)
 meeting. Much like BioPerl, the employment of this library is valuable
 for everybody active in the field because of the many tricks of the
 trade one learns just by communicating on the mailing list.
 .
 This is a wrapper package which should enable smooth upgrades to new
 versions.

Package: libbiojava-java-demos
Description-md5: fbab8481a6ebda58df94273baed38836
Description-en: Example programs for BioJava
 The package comes with a wealth of use cases for BioJava. It is generated
 together with the API documentation but because of its size of ~3.7MB it
 was decided to distribute it separately.

Package: libbiojava-java-doc
Description-md5: 92178ca3213c4b3d6465fb5470be089f
Description-en: [Biology] Documentation for BioJava
 BioJava is an open-source project dedicated to providing a Java framework
 for processing biological data.
 .
 This package contains the HTML documentation describing the API of BioJava
 which was generated automatically by JavaDoc.

Package: libbiojava1.7-java
Description-md5: 7afaf516d8a06098642b858713f9a365
Description-en: Java API to biological data and applications (version 1.7)
 This package presents the Open Source Java API to biological databases
 and a series of mostly sequence-based algorithms. The APIs are not
 compatible between versions. In analogy to the dealing with shared
 libraries of the C language, the jar file of this package has the
 API version in its name. It is symbolically linked to the expected
 biojava.jar filename in the package libbiojava-java. This will help
 keeping multiple versions of the biojava API in parallel.

Package: libbiojava4-java
Description-md5: 73f1b06bfb2d832e73f6fb8e852a846d
Description-en: Java API to biological data and applications (default version)
 BioJava is an open-source project dedicated to providing a Java framework
 for processing biological data. It includes objects for manipulating
 sequences, file parsers, server support, access to BioSQL
 and Ensembl databases, and powerful analysis and statistical routines
 including a dynamic programming toolkit.
 .
 BioJava is provided by a vibrant community which meets annually at
 the Bioinformatics Open Source Conference (BOSC) that traditionally
 accompanies the Intelligent Systems in Molecular Biology (ISMB)
 meeting. Much like BioPerl, the employment of this library is valuable
 for everybody active in the field because of the many tricks of the
 trade one learns just by communicating on the mailing list.
 .
 This is a wrapper package which should enable smooth upgrades to new
 versions.

Package: libbiojava4-java-doc
Description-md5: 92178ca3213c4b3d6465fb5470be089f
Description-en: [Biology] Documentation for BioJava
 BioJava is an open-source project dedicated to providing a Java framework
 for processing biological data.
 .
 This package contains the HTML documentation describing the API of BioJava
 which was generated automatically by JavaDoc.

Package: libbiojava4.0-java
Description-md5: 604a8ea8c64c440efee9b0585da353fb
Description-en: Java API to biological data and applications (version 4)
 This package presents the Open Source Java API to biological databases
 and a series of mostly sequence-based algorithms.
 .
 BioJava is an open-source project dedicated to providing a Java framework
 for processing biological data. It includes objects for manipulating
 sequences, file parsers, server support, access to BioSQL
 and Ensembl databases, and powerful analysis and statistical routines
 including a dynamic programming toolkit.

Package: libbioparser-dev
Description-md5: 5322dd754aa009c7171f1e2efea2d9b7
Description-en: library for parsing several formats in bioinformatics
 Bioparser is a c++ implementation of parsers for several bioinformatics
 formats. It consists of only one header file containing template parsers
 for FASTA, FASTQ, MHAP, PAF and SAM format. It also supports compressed
 files with gzip.

Package: libbit-vector-minimal-perl
Description-md5: 7249801ea6d9d3bbd0ec4854c1f444f4
Description-en: object-oriented wrapper around vec()
 Bit::Vector::Minimal is a much simplified, lightweight version of Bit::Vector.
 It wraps Perl's sometimes confusing vec() function in an object-oriented
 abstraction.

Package: libbit-vector-perl
Description-md5: 667b66c34bb915821018851127ec800f
Description-en: Perl module for bit vectors and more
 Bit::Vector is a module that allows you to handle bit vectors, integer sets,
 "big integer arithmetic" and boolean matrices, all of arbitrary sizes. It is
 efficient (in terms of algorithmic complexity) and therefore fast (in terms
 of execution speed). It also provides overloaded operators for ease of use.

Package: libbitmask-dev
Description-md5: d6815bce79098ae4743c9cdde3c8069d
Description-en: header files and docs for libbitmask
 Contains the header files and documentation for libbitmask
 for use in developing applications that use the libbitmask library.
 .
 This Bitmask library supports multi-word bitmask operations for
 applications programmed in 'C'. It works in conjunction with recent
 Linux kernel support for processor and memory placement on
 multiprocessor SMP and NUMA systems. The cpuset library, being
 developed in parallel, depends on this bitmask library.
 .
 Bitmasks provide multi-word bit masks and operations thereon to do
 such things as set and clear bits, intersect and union masks,
 query bits, and display and parse masks.
 .
 The initial intended use for these bitmasks is to represent sets of
 CPUs and Memory Nodes, when configuring large SMP and NUMA systems.
 However there is little in the semantics of bitmasks that is
 specific to this particular use, and bitmasks should be usable for
 other purposes that had similar design requirements.
 .
 These bitmasks share the same underlying layout as the bitmasks
 used by the Linux kernel to represent sets of CPUs and Memory
 Nodes. Unlike the kernel bitmasks, these bitmasks use dynamically
 allocated memory and are manipulated via a pointer. This enables a
 program to work correctly on systems with various numbers of CPUs
 and Nodes, without recompilation.
 .
 There is a related cpuset library which uses the bitmask type
 provided here to represent sets of CPUs and Memory Nodes. The
 internal representation (as an array of unsigned longs, in little
 endian order) is directly compatible with the sched_setaffinity(2)
 and sched_getaffinity(2) system calls (added in Linux 2.6).

Package: libbitmask1
Description-md5: 5a9693fca107bda778becadeaf910e27
Description-en: supports multi-word bitmask operations
 This Bitmask library supports multi-word bitmask operations for
 applications programmed in 'C'. It works in conjunction with recent
 Linux kernel support for processor and memory placement on
 multiprocessor SMP and NUMA systems. The cpuset library, being
 developed in parallel, depends on this bitmask library.
 .
 Bitmasks provide multi-word bit masks and operations thereon to do
 such things as set and clear bits, intersect and union masks,
 query bits, and display and parse masks.
 .
 The initial intended use for these bitmasks is to represent sets of
 CPUs and Memory Nodes, when configuring large SMP and NUMA systems.
 However there is little in the semantics of bitmasks that is
 specific to this particular use, and bitmasks should be usable for
 other purposes that had similar design requirements.
 .
 These bitmasks share the same underlying layout as the bitmasks
 used by the Linux kernel to represent sets of CPUs and Memory
 Nodes. Unlike the kernel bitmasks, these bitmasks use dynamically
 allocated memory and are manipulated via a pointer. This enables a
 program to work correctly on systems with various numbers of CPUs
 and Nodes, without recompilation.
 .
 There is a related cpuset library which uses the bitmask type
 provided here to represent sets of CPUs and Memory Nodes. The
 internal representation (as an array of unsigned longs, in little
 endian order) is directly compatible with the sched_setaffinity(2)
 and sched_getaffinity(2) system calls (added in Linux 2.6).

Package: libbitstream-dev
Description-md5: a94fd57b458bc24e07a3a0aa637feb00
Description-en: C headers giving access to binary streams like MPEG or DVB
 biTStream is a set of C headers allowing a simpler access
 to binary structures such as specified by MPEG, DVB, IETF,
 etc.
 .
 The goal of this package is to offer a simpler access that
 libdvbpsi does currently. This package is also lower level
 and more efficient: fewer memory allocations, fewer memory
 copies.

Package: libbitstring-ocaml
Description-md5: c2b8966f5698181380aea049d9cdbad0
Description-en: Erlang-style bitstrings for OCaml (runtime)
 Bitstring adds Erlang-style bitstrings and matching over bitstrings as a syntax
 extension and library for OCaml.
 .
 You can use this module to both parse and generate binary formats, files and
 protocols.
 .
 Bitstring handling is added as primitives to the language, making it simple to
 use.
 .
 Bitstring handles integers, strings, sub-bitstrings, big-, little- and
 native-endianness, signed and unsigned types, variable-width fields, fields
 with arbitrary bit alignment.
 .
 This package contains only the shared runtime stub libraries.

Package: libbitstring-ocaml-dev
Description-md5: dfe4b5728e3209528abc0e843b171f00
Description-en: Erlang-style bitstrings for OCaml (development files)
 Bitstring adds Erlang-style bitstrings and matching over bitstrings as a syntax
 extension and library for OCaml.
 .
 You can use this module to both parse and generate binary formats, files and
 protocols.
 .
 Bitstring handling is added as primitives to the language, making it simple to
 use.
 .
 Bitstring handles integers, strings, sub-bitstrings, big-, little- and
 native-endianness, signed and unsigned types, variable-width fields, fields
 with arbitrary bit alignment.
 .
 This package contains header and OCaml library.

Package: libbjack-ocaml
Description-md5: 45485c96f3ed4689b7c4d0471680da7e
Description-en: OCaml blocking interface to jack audio connection kit
 ocaml-bjack is a blocking interface to jack audio connection kit.
 Using it, you can create a jack device and read/write from it
 much like with ALSA or OSS.
 .
 This package contains only the shared runtime stub libraries.

Package: libbjack-ocaml-dev
Description-md5: e68d2fd6f9ee4b67e3576a0a3d6a59f2
Description-en: OCaml blocking interface to jack audio connection kit
 ocaml-bjack is a blocking interface to jack audio connection kit.
 Using it, you can create a jack device and read/write from it
 much like with ALSA or OSS.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-bjack.

Package: libbladerf-dev
Description-md5: 09355b6d85bd62890d93471d61ff03c8
Description-en: Nuand bladeRF software-defined radio device (header files)
 The Nuand bladeRF is an open-source software-defined radio (SDR) system,
 comprised of an RF transceiver, a field-programmable gate array (FPGA),
 a microcontroller driving a USB 3.0 interface, and a suite of host
 libraries and drivers to facilitate interaction with the device.
 .
 This package contains the header files required for compiling bladeRF
 applications.

Package: libbladerf-doc
Description-md5: 20f7c7795d9bbed7b6e5475278229785
Description-en: Nuand bladeRF software-defined radio device (API documentation)
 The Nuand bladeRF is an open-source software-defined radio (SDR) system,
 comprised of an RF transceiver, a field-programmable gate array (FPGA),
 a microcontroller driving a USB 3.0 interface, and a suite of host
 libraries and drivers to facilitate interaction with the device.
 .
 This package contains libbladeRF API documentation.

Package: libbladerf2
Description-md5: 58c8a74e835c1961ec440cd71432a7cc
Description-en: Nuand bladeRF software-defined radio device
 The Nuand bladeRF is an open-source software-defined radio (SDR) system,
 comprised of an RF transceiver, a field-programmable gate array (FPGA),
 a microcontroller driving a USB 3.0 interface, and a suite of host
 libraries and drivers to facilitate interaction with the device.
 .
 This package provides the host library.

Package: libblas-test
Description-md5: 7e697a3bd80892afd85df0f1b0596433
Description-en: Basic Linear Algebra Subroutines 3, testing programs
 BLAS (Basic Linear Algebra Subroutines) is a set of efficient
 routines for most of the basic vector and matrix operations.
 They are widely used as the basis for other high quality linear
 algebra software, for example lapack and linpack.  This
 implementation is the Fortran 77 reference implementation found
 at netlib.
 .
 This package contains a set of programs which test the integrity of an
 installed blas-compatible shared library. These programs may therefore be used
 to test the libraries provided by the blas package as well as those provided
 by the libatlas3-base and libopenblas-base packages. The programs are
 dynamically linked -- one can explicitly select a library to test by setting
 the libblas.so.3 alternative, or by using the LD_LIBRARY_PATH or LD_PRELOAD
 environment variables. Likewise, one can display the library selected using
 the ldd program in an identical environment.

Package: libblas64-test
Description-md5: 87d771807f8e9f385d0433f0579b2453
Description-en: Basic Linear Algebra Subroutines 3, testing programs (64bit-index)
 BLAS (Basic Linear Algebra Subroutines) is a set of efficient
 routines for most of the basic vector and matrix operations.
 They are widely used as the basis for other high quality linear
 algebra software, for example lapack and linpack.  This
 implementation is the Fortran 77 reference implementation found
 at netlib.
 .
 This package contains a set of programs which test the integrity of an
 installed blas-compatible shared library. These programs may therefore be used
 to test the libraries provided by the blas package as well as those provided
 by the libatlas3-base and libopenblas-base packages. The programs are
 dynamically linked -- one can explicitly select a library to test by setting
 the libblas.so.3 alternative, or by using the LD_LIBRARY_PATH or LD_PRELOAD
 environment variables. Likewise, one can display the library selected using
 the ldd program in an identical environment.
 .
 Compiled with Fortran option "-fdefault-integer-8".

Package: libblasr
Description-md5: 7d8a4565bcb39d80aab2a0efe55a1b2b
Description-en: tools for aligning PacBio reads to target sequences
 Blasr_libcpp is a library used by blasr and other executables such as
 samtoh5, loadPulses for analyzing PacBio sequences. This library contains
 three sub-libraries, including pbdata, hdf and alignment.
 .
 This package contains the alignment sublibrary.

Package: libblasr-dev
Description-md5: d9411a07e32efeaa2b4e01cb1f6de812
Description-en: tools for aligning PacBio reads to target sequences (development files)
 Blasr_libcpp is a library used by blasr and other executables such as
 samtoh5, loadPulses for analyzing PacBio sequences. This library contains
 three sub-libraries, including pbdata, hdf and alignment.
 .
 This package contains the header files and static library for the alignment
 sublibrary.

Package: libblis-dev
Description-md5: d44f242ddc33fc4aceb0b30847f83e4e
Description-en: BLAS-like Library Instantiation Software Framework (dev,32bit,meta)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package pulls development files for one of the 32-bit variants.

Package: libblis-openmp-dev
Description-md5: 5251ed0bb6e932034ceaec9183c58489
Description-en: BLAS-like Library Instantiation Software Framework (dev,32bit,openmp)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains (32bit,openmp) version of development files.

Package: libblis-pthread-dev
Description-md5: c492fe030ff0ceac9c66ad3f96874ccd
Description-en: BLAS-like Library Instantiation Software Framework (dev,32bit,pthread)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains (32bit,pthread) version of development files.

Package: libblis-serial-dev
Description-md5: 397432f2112cacf9b160a97144011a61
Description-en: BLAS-like Library Instantiation Software Framework (dev,32bit,serial)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains (32bit,serial) version development files.

Package: libblis3
Description-md5: 4a6aa9931e42aa14b31d80c1abdb25af
Description-en: BLAS-like Library Instantiation Software Framework (32bit,meta)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package pulls one of the 32-bit-Blasint shared library variants.

Package: libblis3-openmp
Description-md5: 6bec7e7404106d99b982d34cb6230cae
Description-en: BLAS-like Library Instantiation Software Framework (32bit,openmp)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (32bit,openmp) version of shared library.

Package: libblis3-pthread
Description-md5: ead532d57f46d518f7c16e6f2f6c4d28
Description-en: BLAS-like Library Instantiation Software Framework (32bit,pthread)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (32bit,pthread) version of shared library.

Package: libblis3-serial
Description-md5: 42c560037cf81ac55b133eda95d10b7f
Description-en: BLAS-like Library Instantiation Software Framework (32bit,serial)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (32bit,serial) version of shared library.

Package: libblis64-3
Description-md5: d9e3e8fb94e053b46784e300e5560c29
Description-en: BLAS-like Library Instantiation Software Framework (64bit,meta)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package pulls one of the 64-bit-Blasint shared library variants.

Package: libblis64-3-openmp
Description-md5: 3eefcfc70f22b95098a64f371bfd227f
Description-en: BLAS-like Library Instantiation Software Framework (64bit,openmp)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (64bit,openmp) version of shared library.

Package: libblis64-3-pthread
Description-md5: 004eb7a612185406260e2b403ece048d
Description-en: BLAS-like Library Instantiation Software Framework (64bit,pthread)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (64bit,pthread) version of shared library.

Package: libblis64-3-serial
Description-md5: acaec25c11d50d6096b2ab3850c597ce
Description-en: BLAS-like Library Instantiation Software Framework (64bit,serial)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (64bit,serial) version of shared library.

Package: libblis64-dev
Description-md5: 6d33850d326b0f0aade541cae968bc42
Description-en: BLAS-like Library Instantiation Software Framework (dev,64bit,meta)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package pulls development files for one of the 64-bit variants.

Package: libblis64-openmp-dev
Description-md5: b5691b311c097725e051440e3b3686af
Description-en: BLAS-like Library Instantiation Software Framework (dev,64bit,openmp)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (64bit,openmp) version of development files.

Package: libblis64-pthread-dev
Description-md5: 3a7b5e6173de612af0e1ac472938e4ca
Description-en: BLAS-like Library Instantiation Software Framework (dev,64bit,pthread)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (64bit,pthread) version of development files.

Package: libblis64-serial-dev
Description-md5: 61464c1eb6a30863e8dac2567a7cc729
Description-en: BLAS-like Library Instantiation Software Framework (dev,64bit,serial)
 BLIS is a portable software framework for instantiating high-performance
 BLAS-like dense linear algebra libraries. The framework was designed to
 isolate essential kernels of computation that, when optimized, immediately
 enable optimized implementations of most of its commonly used and
 computationally intensive operations. BLIS is written in ISO C99 and available
 under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like
 API, it also includes a BLAS compatibility layer which gives application
 developers access to BLIS implementations via traditional BLAS routine calls.
 An object-based API is also available for more experienced users.
 .
 The package contains the (64bit,serial) version of development files.

Package: libbliss-dev
Description-md5: c617b9ff1e49c48a5d64286f7c49e060
Description-en: development files to compute graph automorphisms and labelings -- libdev
 Bliss is a backtracking algorithm for computing automorphism groups
 and canonical forms of graphs, based on individualization and
 refinement. Its data structures, subroutines, and pruning heuristics
 are specially designed for fast handling of large and sparse graphs.
 .
 This package provides the static library and symbolic links needed
 for development.

Package: libbliss-dev-common
Description-md5: ea4511040edb051319499e84306c3200
Description-en: development files to compute graph automorphisms and labelings -- headers
 Bliss is a backtracking algorithm for computing automorphism groups
 and canonical forms of graphs, based on individualization and
 refinement. Its data structures, subroutines, and pruning heuristics
 are specially designed for fast handling of large and sparse graphs.
 .
 This package provides the C/C++ headers needed for development.

Package: libbliss2
Description-md5: 9e7704bbbcbc4cba2f43a547082219ea
Description-en: library to compute graph automorphisms and labelings
 Bliss is a backtracking algorithm for computing automorphism groups
 and canonical forms of graphs, based on individualization and
 refinement. Its data structures, subroutines, and pruning heuristics
 are specially designed for fast handling of large and sparse graphs.
 .
 This package provides the shared library.

Package: libblitz-doc
Description-md5: aa5ef0d91ae0ba4ffc4edb902fa5f85f
Description-en: C++ template class library for scientific computing - doc
 Blitz++ offers a high level of abstraction,
 but performance which rivals Fortran.  The
 current version supports arrays and vectors.
 .
 This package contains the documentation and examples.

Package: libblitz0-dev
Description-md5: 41e28b5da577909bc610feb7087392a9
Description-en: C++ template class library for scientific computing - libdev
 Blitz++ offers a high level of abstraction,
 but performance which rivals Fortran.  The
 current version supports arrays and vectors.
 .
 This package contains the static library
 and header files for  compiling programs with
 blitz++.

Package: libblitz0v5
Description-md5: 1e96ac47192a16a0ea4990621fe70fd1
Description-en: C++ template class library for scientific computing
 Blitz++ offers a high level of abstraction,
 but performance which rivals Fortran.  The
 current version supports arrays and vectors.
 .
 This package contains the dynamic library.

Package: libblkmaker-0.1-6
Description-md5: d6971f6743bf0ab6172f5d365f3bed63
Description-en: implementation of getblocktemplate protocol
 libblkmaker is a C implementation of getblocktemplate as per Bitcoin
 Improvement Proposal 22 (BIP 22).

Package: libblkmaker-0.1-dev
Description-md5: dbe3b4161ce196a1636d9363ec8c874e
Description-en: C implementation of getblocktemplate protocol - development files
 libblkmaker is a C implementation of getblocktemplate as per Bitcoin
 Improvement Proposal 22 (BIP 22).
 .
 This package provides development files.

Package: libblockdev-btrfs-dev
Description-md5: 41ccafb966ec983fea029eca2faede52
Description-en: BTRFS plugin for libblockdev - development
 Header files and pkg-config files needed for development with the
 libblockdev-btrfs plugin/library.

Package: libblockdev-btrfs2
Description-md5: 7a0b461f02cd46f7146f238dc46f41aa
Description-en: BTRFS plugin for libblockdev
 The libblockdev library plugin (and at the same time a standalone library)
 providing the BTRFS-related functionality.

Package: libblockdev-kbd-dev
Description-md5: d21f2d57f1c7b7cacb8c0ceec2df992c
Description-en: kernel block device plugin for libblockdev - development
 This package contains header files and pkg-config files needed for development
 with the libblockdev-kbd plugin/library.

Package: libblockdev-kbd2
Description-md5: 10dc94dfdf144960328def029d6d41dc
Description-en: kernel block device plugin for libblockdev
 The libblockdev library plugin (and at the same time a standalone library)
 providing the functionality related to kernel block devices (namely zRAM and
 Bcache).

Package: libblockdev-lvm-dev
Description-md5: 9d9f6aab6bf9b97db7cc5a98d26c1bef
Description-en: LVM plugin for libblockdev - development
 This package contains header files and pkg-config files needed for development
 with the libblockdev-lvm plugin/library.

Package: libblockdev-lvm2
Description-md5: 1bcf3adb5ee5aa1e42a6706ab65a758f
Description-en: LVM plugin for libblockdev
 The libblockdev library plugin (and at the same time a standalone library)
 providing the LVM-related functionality.

Package: libblockdev-mdraid-dev
Description-md5: 1cd6f668e32cdd497062d9bd27a8b8a0
Description-en: MD RAID plugin for libblockdev - development
 This package contains header files and pkg-config files needed for development
 with the libblockdev-mdraid plugin/library.

Package: libblockdev-mdraid2
Description-md5: 02522482ab0117923301cae0eac4eaa3
Description-en: MD RAID plugin for libblockdev
 The libblockdev library plugin (and at the same time a standalone library)
 providing the functionality related to MD RAID.

Package: libblockdev-nvdimm-dev
Description-md5: b3d801252c6ac08bbbeaa10b0996ab5c
Description-en: NVDIMM plugin for libblockdev - development
 This package contains header files and pkg-config files needed for development
 with the libblockdev-nvdimm plugin/library.

Package: libblockdev-nvdimm2
Description-md5: 017ab7e9e5fae26967239a6b16a87699
Description-en: NVDIMM plugin for libblockdev
 The libblockdev library plugin (and at the same time a standalone library)
 providing the functionality related to NVDIMM.

Package: libblockdev-plugins-all
Description-md5: e0bcdd0554389520673c4ce623bd4b06
Description-en: All plugins for libblockdev
 libblockdev is a C library with GObject introspection support that can be used
 for doing low-level operations with block devices like setting up LVM, BTRFS,
 LUKS or MD RAID.
 .
 The library uses plugins (LVM, BTRFS,...) and serves as a thin wrapper around
 its plugins' functionality.
 .
 This is a metapackage that depends on all libblockdev plugins.

Package: libblockdev-vdo-dev
Description-md5: a019b10932626bc4df943c225d012a35
Description-en: VDO plugin for libblockdev - development
 This package contains header files and pkg-config files needed for development
 with the libblockdev-vdo plugin/library.

Package: libblockdev-vdo2
Description-md5: bf4b3f6d0bc43a9b61ca7fad42a99b62
Description-en: VDO plugin for libblockdev
 The libblockdev library plugin (and at the same time a standalone library)
 providing the functionality related to Virtual Data Optimizer (VDO).

Package: libblocksruntime-dev
Description-md5: 8975a46539213a197684c03d6ff12d1d
Description-en: Blocks Runtime (development files)
 This package contains development headers for building software that
 uses blocks.
 .
 Blocks are a proposed extension to the C, Objective C, and C++ languages
 developed by Apple to support the Grand Central Dispatch concurrency engine.

Package: libblocksruntime0
Description-md5: 085f8a4412ae6540ed5d632889b5e35d
Description-en: Blocks Runtime library
 Blocks are a proposed extension to the C, Objective C, and C++ languages
 developed by Apple to support the Grand Central Dispatch concurrency engine.
 Blocks are anonymous inline functions that automatically capture a read-only
 copy of local variables, and have read-write access to local variables that
 are declared with the "__block" storage class.
 .
 This package contains a library that is needed by programs that use Blocks.

Package: libbloom-dev
Description-md5: 127c4aa85feb482bbd7fc53f76d7d81d
Description-en: simple and small bloom filter implementation in C (development files)
 It implements bloom filters:
  http://en.wikipedia.org/wiki/Bloom_filter
 .
 This package provides C header files for the library.

Package: libbloom-filter-perl
Description-md5: 373e2f7350ef3054b73418e0f31b8490
Description-en: Bloom filter implemented in Perl
 A Bloom filter is a probabilistic algorithm for doing existence tests
 in less memory than a full list of keys would require.  The tradeoff to
 using Bloom filters is a certain configurable risk of false positives.
 .
 Bloom::Filter is a Perl library implementing a simple Bloom filter with
 configurable capacity and false positive rate.

Package: libbloom1
Description-md5: db98c98644eaf11bf73f19c6cb7d5293
Description-en: simple and small bloom filter implementation in C
 It implements bloom filters:
  http://en.wikipedia.org/wiki/Bloom_filter
 .
 This package provides shared library.

Package: libblosc-dev
Description-md5: d4cb8f384fede65d372e621a91fbb94e
Description-en: high performance meta-compressor optimized for binary data (development files)
 Blosc is a high performance compressor optimized for binary data. It has been
 designed to transmit data to the processor cache faster than the traditional,
 non-compressed, direct memory fetch approach via a memcpy() OS call. Blosc is
 meant not only to reduce the size of large datasets on-disk or in-memory, but
 also to accelerate memory-bound computations.
 .
 It uses the blocking technique to reduce activity on the memory bus as much
 as possible. In short, this technique works by dividing datasets in blocks
 that are small enough to fit in caches of modern processors and perform
 compression / decompression there. It also leverages, if available, SIMD
 instructions (SSE2) and multi-threading capabilities of CPUs, in order to
 accelerate the compression / decompression process to a maximum.
 .
 This package contains the development files required to build programs against
 Blosc.

Package: libblosc1
Description-md5: 00198534c7d52daa250235b07fd3d873
Description-en: high performance meta-compressor optimized for binary data
 Blosc is a high performance compressor optimized for binary data. It has been
 designed to transmit data to the processor cache faster than the traditional,
 non-compressed, direct memory fetch approach via a memcpy() OS call. Blosc is
 meant not only to reduce the size of large datasets on-disk or in-memory, but
 also to accelerate memory-bound computations.
 .
 It uses the blocking technique to reduce activity on the memory bus as much
 as possible. In short, this technique works by dividing datasets in blocks
 that are small enough to fit in caches of modern processors and perform
 compression / decompression there. It also leverages, if available, SIMD
 instructions (SSE2) and multi-threading capabilities of CPUs, in order to
 accelerate the compression / decompression process to a maximum.

Package: libbluray-bdj
Description-md5: b7516ed067ff18976be2798614fdf2d7
Description-en: Blu-ray Disc Java support library (BD-J library)
 libbluray is an open-source library designed for Blu-Ray Discs playback for
 media players, like VLC or MPlayer. This research project is developed by an
 international team of developers from Doom9. libbluray integrates navigation,
 playlist parsing, menus, and BD-J.
 .
 NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this
 library is not enough to playback those discs.
 .
 BD-J support is important because many of the advanced features and extra
 content in Blu-ray movies uses BD-J. Programs designed to provide support for
 those features must depend on this.
 .
 This package provides the BD-J library.

Package: libbluray-bin
Description-md5: 86a606cc18261bb244b282564b079c54
Description-en: Blu-ray disc playback support library (tools)
 libbluray is an open-source library designed for Blu-Ray Discs playback for
 media players, like VLC or MPlayer. This research project is developed by an
 international team of developers from Doom9. libbluray integrates navigation,
 playlist parsing, menus, and BD-J.
 .
 NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this
 library is not enough to playback those discs.
 .
 This package provides a simple tool to retrieve information about a Blu-ray.

Package: libbluray-dev
Description-md5: fe7084e33044fe1eb6ad5e1166acc85d
Description-en: Blu-ray disc playback support library (development files)
 libbluray is an open-source library designed for Blu-Ray Discs playback for
 media players, like VLC or MPlayer. This research project is developed by an
 international team of developers from Doom9. libbluray integrates navigation,
 playlist parsing, menus, and BD-J.
 .
 NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this
 library is not enough to playback those discs.
 .
 This package provides the necessary files needed for development.

Package: libbluray-doc
Description-md5: de52fa48468722f1b8bcb17abca71cdb
Description-en: Blu-ray disc playback support library (documentation)
 libbluray is an open-source library designed for Blu-Ray Discs playback for
 media players, like VLC or MPlayer. This research project is developed by an
 international team of developers from Doom9. libbluray integrates navigation,
 playlist parsing, menus, and BD-J.
 .
 NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this
 library is not enough to playback those discs.
 .
 This package provides the libbluray documentation.

Package: libbluray2
Description-md5: fe136787603e33abf78c9a718f32fa0d
Description-en: Blu-ray disc playback support library (shared library)
 libbluray is an open-source library designed for Blu-Ray Discs playback for
 media players, like VLC or MPlayer. This research project is developed by an
 international team of developers from Doom9. libbluray integrates navigation,
 playlist parsing, menus, and BD-J.
 .
 NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this
 library is not enough to playback those discs.
 .
 This package provides the shared library.

Package: libbmusb-dev
Description-md5: 6ea76d03359936aa3aca98f0cb5d5f7f
Description-en: userspace driver for Blackmagic USB3 video capture cards
 bmusb is a userspace driver for Blackmagic's USB3 series of
 video capture and playback cards (although only the capture part is
 currently supported), in particular the Intensity Shuttle (HDMI) and
 UltraStudio SDI cards. These are not currently served by Blackmagic's
 own Linux drivers.
 .
 bmusb is not API- or ABI-compatible with Blackmagic's drivers.
 .
 This package contains the development files and static library.

Package: libbmusb6
Description-md5: 3ea26a387a58a2317b1bd70b9c379d39
Description-en: userspace driver for Blackmagic USB3 video capture cards
 bmusb is a userspace driver for Blackmagic's USB3 series of
 video capture and playback cards (although only the capture part is
 currently supported), in particular the Intensity Shuttle (HDMI) and
 UltraStudio SDI cards. These are not currently served by Blackmagic's
 own Linux drivers.
 .
 bmusb is not API- or ABI-compatible with Blackmagic's drivers.
 .
 This package contains the shared library.

Package: libbobcat-dev
Description-md5: 763a8e9e0e58cc027ada65b808a7d145
Description-en: headers and documentation for the Bobcat library
 Headers and documentation of classes defined in the Bobcat library.
 .
 The Bobcat library contains a variety of C++ classes and templates, some of
 them based on well-known Design Patterns. The library offers classes
 handling, e.g.,
    Child Processes, Forks, Pipes and Redirection
    Command-to-Function associations
    Command-line arguments
    Command-line editing using streams
    Configuration Files
    Decryption and Encryption
    Extended String Operations
    Hash-tables
    Integers of unlimited size
    File-descriptor based streams (e.g. handle sockets using streams)
    Mail headers and mail filtering (milters)
    Message Digests
    Pattern matching
    Processes, Signals, Threads
    Shared Memory
    Single (Direct) Key Input
    Syslog- and other kinds of messages
    Temporary files
    Universal Type Conversions (Templates)
 .
 The libbobcat-dev package contains the static library as well as header
 files and manual pages of the Bobcat classes and templates.

Package: libbobcat5
Description-md5: cb760947407a1507ed84c4f93e7e0534
Description-en: run-time (shared) Bobcat library
 The (shared) Bobcat library contains classes and templates used by, e.g., the
 Stealth and Bisonc++ programs.
 .
 The Bobcat library contains a variety of C++ classes and templates, some of
 them based on well-known Design Patterns. The library offers classes
 handling, e.g.,
    Child Processes, Forks, Pipes and Redirection
    Command-to-Function associations
    Command-line arguments and history handling
    Command-line editing using streams
    Configuration Files
    Decryption and Encryption
    Extended String Operations
    Hash-tables
    Integers of unlimited size
    File-descriptor based streams (e.g. handle sockets using streams)
    Mail headers and mail filtering (milters)
    Message Digests
    Pattern matching
    Processes, Signals, Threads
    Shared Memory
    Single (Direct) Key Input
    Syslog- and other kinds of messages
    Temporary files
    Universal Type Conversions (Templates)

Package: libboilerpipe-java
Description-md5: 8a9654f4b6579b9ec684e87231e38a2d
Description-en: Boilerplate removal and fulltext extraction from HTML pages
 The boilerpipe library provides algorithms to detect and remove the surplus
 "clutter" (boilerplate, templates) around the main textual content of a web
 page.
 .
 The library already provides specific strategies for common tasks (for example:
 news article extraction) and may also be easily extended for individual problem
 settings.
 .
 Extracting content is very fast (milliseconds), just needs the input document
 (no global or site-level information required) and is usually quite accurate.

Package: libboinc-app-dev
Description-md5: 14bf791641e7ac0e849dc1dede8fcd86
Description-en: development files to build applications for BOINC projects
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing using volunteered computer
 resources.
 .
 This package contains header files that are needed to develop and
 compile applications which utilizes the BOINC infrastructure.

Package: libboinc-app7
Description-md5: c61445098356f24f852e0a3c9ef219e7
Description-en: libraries for BOINC's scientific applications
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing using volunteered computer
 resources.
 .
 This package contains dynamic libraries that are needed for applications
 which utilizes the BOINC infrastructure and the boinc-client itself.

Package: libboinc7
Description-md5: 11081d0d4ad203dafbfd2b8fc5e1425b
Description-en: libraries of BOINC the client depends on
 The Berkeley Open Infrastructure for Network Computing (BOINC) is a
 software platform for distributed computing using volunteered computer
 resources.
 .
 The environment offers a series of libraries that both the user-run
 client and the client-invoked scientific applications depend on. With
 the number of cores per machine growing, and with scientific apps
 commonly started for every core, it is essential to have memory
 shared as much as possible between binaries of all projects.

Package: libbond-dev
Description-md5: a18eb8c9decbc889017c97a94c6ebc64
Description-en: Messages related to Robot OS bond_core - development
 This package is part of Robot OS (ROS). This is the 'bond' process state
 machine library development files.
 .
 Bond is a mechanism for checking when another process has
 terminated. A bond allows two processes, A and B, to know when the
 other has terminated, either cleanly or by crashing. The bond remains
 connected until it is either broken explicitly or until a heartbeat
 times out.

Package: libbondcpp-dev
Description-md5: 59458798afdf523c1431d7873e1b364b
Description-en: Development files for Robot OS bondcpp library
 This package is part of Robot OS (ROS). It is the 'bond' process state
 machine library C++ implementation development files.
 .
 Bond is a mechanism for checking when another process has
 terminated. A bond allows two processes, A and B, to know when the
 other has terminated, either cleanly or by crashing. The bond remains
 connected until it is either broken explicitly or until a heartbeat
 times out.

Package: libbondcpp1d
Description-md5: ff947b345b3e3badfe95dbd8d43bb2de
Description-en: Robot OS bondcpp library
 This package is part of Robot OS (ROS). It is the 'bond' process state
 machine library C++ implementation.
 .
 Bond is a mechanism for checking when another process has
 terminated. A bond allows two processes, A and B, to know when the
 other has terminated, either cleanly or by crashing. The bond remains
 connected until it is either broken explicitly or until a heartbeat
 times out.

Package: libboogie-cil
Description-md5: 9628486121bb5a906dcc3d6cb111643e
Description-en: verifiable programming language (library)
 Boogie is a compiler intermediate language with support for automatic invariant
 checking using an SMT solver such as Z3.  It supports program verification for
 a variety of other, higher-level languages, including Spec\#, C, Dafny, and
 Chalice.
 .
 This package contains the Boogie library.

Package: libbookkeeper-java
Description-md5: 6372065698d5e1282406fa65d7eeaedb
Description-en: Replicated log service
 BookKeeper is a replicated log service which can be used to build replicated
 state machines. A log contains a sequence of events which can be applied to
 a state machine. BookKeeper guarantees that each replica state machine will
 see all the same entries, in the same order.

Package: libboolean-perl
Description-md5: 9a5e343b7edcb0de89263858b3d3b18a
Description-en: module providing transparent support for booleans
 The boolean module provides basic Boolean support for Perl.
 .
 The module provides two singleton objects, true and false, and several
 helper functions.

Package: libboolstuff-0.1-0
Description-md5: 43abe543ba77135f932fb1126cb1096b
Description-en: library for operating on boolean expression binary trees
 BoolStuff is a C++ library that supports a few operations on boolean
 expression binary trees.
 .
 This package includes the runtime libraries.

Package: libboolstuff-0.1-0-dbg
Description-md5: 3a41976d1b5854f763a684edac6c0ae9
Description-en: library for operating on boolean expression binary trees - debug
 BoolStuff is a C++ library that supports a few operations on boolean
 expression binary trees.
 .
 This package includes the debugging symbols.

Package: libboost-all-dev
Description-md5: a6409b7fa6da770e0f6d5f228f4a3717
Description-en: Boost C++ Libraries development files (ALL) (default version)
 The Boost web site provides free, peer-reviewed, portable C++ source
 libraries. The emphasis is on libraries which work well with the C++
 Standard Library. One goal is to establish "existing practice" and
 provide reference implementations so that the Boost libraries are
 suitable for eventual standardization. Some of the libraries have
 already been proposed for inclusion in the C++ Standards Committee's
 upcoming C++ Standard Library Technical Report.
 .
 This metapackage provides the complete Boost development environment,
 including all separately-packaged libraries.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-atomic-dev
Description-md5: b085759bfd1276bbe3326a459384d497
Description-en: atomic data types, operations, and memory ordering constraints (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Atomic is a library that provides atomic data types and
 operations on these data types, as well as memory ordering
 constraints required for coordinating multiple threads through atomic
 variables. It implements the interface as defined by the C++11
 standard, but makes this feature available for platforms lacking
 system/compiler support for this particular C++11 feature.
 .
 Users of this library should already be familiar with concurrency in
 general, as well as elementary concepts such as "mutual exclusion".
 .
 The implementation makes use of processor-specific instructions where
 possible (via inline assembler, platform libraries or compiler
 intrinsics), and falls back to "emulating" atomic operations through
 locking.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-atomic1.67-dev
Description-md5: 1aa2477e97733797bec0d32670d4e90e
Description-en: atomic data types, operations, and memory ordering constraints
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Atomic is a library that provides atomic data types and
 operations on these data types, as well as memory ordering
 constraints required for coordinating multiple threads through atomic
 variables. It implements the interface as defined by the C++11
 standard, but makes this feature available for platforms lacking
 system/compiler support for this particular C++11 feature.
 .
 Users of this library should already be familiar with concurrency in
 general, as well as elementary concepts such as "mutual exclusion".
 .
 The implementation makes use of processor-specific instructions where
 possible (via inline assembler, platform libraries or compiler
 intrinsics), and falls back to "emulating" atomic operations through
 locking.

Package: libboost-atomic1.67.0
Description-md5: 1aa2477e97733797bec0d32670d4e90e
Description-en: atomic data types, operations, and memory ordering constraints
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Atomic is a library that provides atomic data types and
 operations on these data types, as well as memory ordering
 constraints required for coordinating multiple threads through atomic
 variables. It implements the interface as defined by the C++11
 standard, but makes this feature available for platforms lacking
 system/compiler support for this particular C++11 feature.
 .
 Users of this library should already be familiar with concurrency in
 general, as well as elementary concepts such as "mutual exclusion".
 .
 The implementation makes use of processor-specific instructions where
 possible (via inline assembler, platform libraries or compiler
 intrinsics), and falls back to "emulating" atomic operations through
 locking.

Package: libboost-chrono-dev
Description-md5: 50c63501e219b4f81f1f4ebfe9f2e536
Description-en: C++ representation of time duration, time point, and clocks (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.Chrono library provides:
 .
  * A means to represent time durations: managed by the generic
 duration class . Examples of time durations include days, minutes,
 seconds and nanoseconds, which can be represented with a fixed number
 of clock ticks per unit. All of these units of time duration are
 united with a generic interface by the duration facility.
  * A type for representing points in time: time_point. A time_point
 represents an epoch plus or minus a duration. The library leaves
 epochs unspecified. A time_point is associated with a clock.
  * Several clocks, some of which may not be available on a
 particular platform: system_clock, steady_clock and
 high_resolution_clock. A clock is a pairing of a time_point and
 duration, and a function which returns a time_point representing now.
 .
 To make the timing facilities more generally useful, Boost.Chrono
 provides a number of clocks that are thin wrappers around the
 operating system's time APIs, thereby allowing the extraction of wall
 clock time, user CPU time, system CPU time spent by the process:
 .
  * process_real_cpu_clock, captures wall clock CPU time spent by the
 current process.
  * process_user_cpu_clock, captures user-CPU time
 spent by the current process.
  * process_system_cpu_clock, captures
 system-CPU time spent by the current process.
  * A tuple-like class
 process_cpu_clock, that captures real, user-CPU, and system-CPU
 process times together.
  * A thread_clock thread steady clock giving
 the time spent by the current thread (when supported by a platform).
 .
 Lastly, Boost.Chrono includes typeof registration for duration and
 time_point to permit using emulated auto with C++03 compilers.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-chrono1.67-dev
Description-md5: 885c86b3701dab9982f79d2dcc83515c
Description-en: C++ representation of time duration, time point, and clocks
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.Chrono library provides:
 .
  * A means to represent time durations: managed by the generic
 duration class . Examples of time durations include days, minutes,
 seconds and nanoseconds, which can be represented with a fixed number
 of clock ticks per unit. All of these units of time duration are
 united with a generic interface by the duration facility.
  * A type for representing points in time: time_point. A time_point
 represents an epoch plus or minus a duration. The library leaves
 epochs unspecified. A time_point is associated with a clock.
  * Several clocks, some of which may not be available on a
 particular platform: system_clock, steady_clock and
 high_resolution_clock. A clock is a pairing of a time_point and
 duration, and a function which returns a time_point representing now.
 .
 To make the timing facilities more generally useful, Boost.Chrono
 provides a number of clocks that are thin wrappers around the
 operating system's time APIs, thereby allowing the extraction of wall
 clock time, user CPU time, system CPU time spent by the process:
 .
  * process_real_cpu_clock, captures wall clock CPU time spent by the
 current process.
  * process_user_cpu_clock, captures user-CPU time
 spent by the current process.
  * process_system_cpu_clock, captures
 system-CPU time spent by the current process.
  * A tuple-like class
 process_cpu_clock, that captures real, user-CPU, and system-CPU
 process times together.
  * A thread_clock thread steady clock giving
 the time spent by the current thread (when supported by a platform).
 .
 Lastly, Boost.Chrono includes typeof registration for duration and
 time_point to permit using emulated auto with C++03 compilers.

Package: libboost-chrono1.67.0
Description-md5: 48bd785e2e56a406e6dbf1b5ba8ce77e
Description-en: C++ representation of time duration, time point, and clocks
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.Chrono library provides:
 .
  * A means to represent time durations: managed by the generic duration
    class.Examples of time durations include days, minutes, seconds and
    nanoseconds, which can be represented with a fixed number of clock
    ticks per unit. All of these units of time duration are united with
    a generic interface by the duration facility.
  * A type for representing points in time: time_point. A time_point
    represents an epoch plus or minus a duration. The library leaves
    epochs unspecified. A time_point is associated with a clock.
  * Several clocks, some of which may not be available on a particular
    platform: system_clock, steady_clock and high_resolution_clock. A
    clock is a pairing of a time_point and duration, and a function
    which returns a time_point representing now.
 .
 To make the timing facilities more generally useful, Boost.Chrono
 provides a number of clocks that are thin wrappers around the
 operating system's time APIs, thereby allowing the extraction of wall
 clock time, user CPU time, system CPU time spent by the process:
 .
  * process_real_cpu_clock, captures wall clock CPU time spent by the
    current process.
  * process_user_cpu_clock, captures user-CPU time spent by the current
    process.
  * process_system_cpu_clock, captures system-CPU time spent by the
    current process.
  * a tuple-like class process_cpu_clock, that captures real, user-CPU,
    and system-CPU process times together.
  * a thread_clock thread steady clock giving the time spent by the
    current thread (when supported by a platform).
 .
 Lastly, Boost.Chrono includes typeof registration for duration and
 time_point to permit using emulated auto with C++03 compilers.

Package: libboost-container-dev
Description-md5: 8cef9bc0486c7eaf1bddc9da4b99e7ea
Description-en: C++ library that implements several well-known containers - dev files (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Container library implements several well-known containers,
 including STL containers. The aim of the library is to offers
 advanced features not present in standard containers or to offer the
 latest standard draft features for compilers that don't comply with
 the latest C++ standard.
 .
 In short, what does Boost.Container offer?
 .
  * Move semantics are implemented, including move emulation
    for pre-C++11 compilers.
  * New advanced features (e.g. placement insertion,
    recursive containers) are present.
  * Containers support stateful allocators and are compatible with
    Boost.Interprocess (they can be safely placed in shared memory).
  * The library offers new useful containers:
    * flat_map, flat_set, flat_multimap and flat_multiset: drop-in
      replacements for standard associative containers but more
      memory friendly and with faster searches.
    * stable_vector: a std::list and std::vector hybrid container:
      vector-like random-access iterators and list-like iterator
      stability in insertions and erasures.
    * slist: the classic pre-standard singly linked list implementation
      offering constant-time size(). Note that C++11 forward_list has no size().
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-container1.67-dev
Description-md5: 41cba76db235acb1c7483636f7771fe0
Description-en: C++ library that implements several well-known containers - dev files
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Container library implements several well-known containers,
 including STL containers. The aim of the library is to offers
 advanced features not present in standard containers or to offer the
 latest standard draft features for compilers that don't comply with
 the latest C++ standard.
 .
 In short, what does Boost.Container offer?
 .
  * Move semantics are implemented, including move emulation
    for pre-C++11 compilers.
  * New advanced features (e.g. placement insertion,
    recursive containers) are present.
  * Containers support stateful allocators and are compatible with
    Boost.Interprocess (they can be safely placed in shared memory).
  * The library offers new useful containers:
    * flat_map, flat_set, flat_multimap and flat_multiset: drop-in
      replacements for standard associative containers but more
      memory friendly and with faster searches.
    * stable_vector: a std::list and std::vector hybrid container:
      vector-like random-access iterators and list-like iterator
      stability in insertions and erasures.
    * slist: the classic pre-standard singly linked list implementation
      offering constant-time size(). Note that C++11 forward_list has no size().

Package: libboost-container1.67.0
Description-md5: 4cc3eab0b1f3ec7475cebab0f0d54594
Description-en: C++ library that implements several well-known containers
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Container library implements several well-known containers,
 including STL containers. The aim of the library is to offers
 advanced features not present in standard containers or to offer the
 latest standard draft features for compilers that don't comply with
 the latest C++ standard.
 .
 In short, what does Boost.Container offer?
 .
  * Move semantics are implemented, including move emulation
    for pre-C++11 compilers.
  * New advanced features (e.g. placement insertion,
    recursive containers) are present.
  * Containers support stateful allocators and are compatible with
    Boost.Interprocess (they can be safely placed in shared memory).
  * The library offers new useful containers:
    * flat_map, flat_set, flat_multimap and flat_multiset: drop-in
      replacements for standard associative containers but more
      memory friendly and with faster searches.
    * stable_vector: a std::list and std::vector hybrid container:
      vector-like random-access iterators and list-like iterator
      stability in insertions and erasures.
    * slist: the classic pre-standard singly linked list implementation
      offering constant-time size(). Note that C++11 forward_list has no size().

Package: libboost-container1.71-dev
Description-md5: 41cba76db235acb1c7483636f7771fe0
Description-en: C++ library that implements several well-known containers - dev files
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Container library implements several well-known containers,
 including STL containers. The aim of the library is to offers
 advanced features not present in standard containers or to offer the
 latest standard draft features for compilers that don't comply with
 the latest C++ standard.
 .
 In short, what does Boost.Container offer?
 .
  * Move semantics are implemented, including move emulation
    for pre-C++11 compilers.
  * New advanced features (e.g. placement insertion,
    recursive containers) are present.
  * Containers support stateful allocators and are compatible with
    Boost.Interprocess (they can be safely placed in shared memory).
  * The library offers new useful containers:
    * flat_map, flat_set, flat_multimap and flat_multiset: drop-in
      replacements for standard associative containers but more
      memory friendly and with faster searches.
    * stable_vector: a std::list and std::vector hybrid container:
      vector-like random-access iterators and list-like iterator
      stability in insertions and erasures.
    * slist: the classic pre-standard singly linked list implementation
      offering constant-time size(). Note that C++11 forward_list has no size().

Package: libboost-container1.71.0
Description-md5: 4cc3eab0b1f3ec7475cebab0f0d54594
Description-en: C++ library that implements several well-known containers
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Container library implements several well-known containers,
 including STL containers. The aim of the library is to offers
 advanced features not present in standard containers or to offer the
 latest standard draft features for compilers that don't comply with
 the latest C++ standard.
 .
 In short, what does Boost.Container offer?
 .
  * Move semantics are implemented, including move emulation
    for pre-C++11 compilers.
  * New advanced features (e.g. placement insertion,
    recursive containers) are present.
  * Containers support stateful allocators and are compatible with
    Boost.Interprocess (they can be safely placed in shared memory).
  * The library offers new useful containers:
    * flat_map, flat_set, flat_multimap and flat_multiset: drop-in
      replacements for standard associative containers but more
      memory friendly and with faster searches.
    * stable_vector: a std::list and std::vector hybrid container:
      vector-like random-access iterators and list-like iterator
      stability in insertions and erasures.
    * slist: the classic pre-standard singly linked list implementation
      offering constant-time size(). Note that C++11 forward_list has no size().

Package: libboost-context-dev
Description-md5: d4371e2af2e2c7ce00ff6dffabe209f1
Description-en: provides a sort of cooperative multitasking on a single thread (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Context is a foundational library that provides a sort of
 cooperative multitasking on a single thread. By providing an
 abstraction of the current execution state in the current thread,
 including the stack (with local variables) and stack pointer, all
 registers and CPU flags, and the instruction pointer, a fcontext_t
 instance represents a specific point in the application's execution
 path. This is useful for building higher-level abstractions, like
 coroutines, cooperative threads (userland threads) or an equivalent
 to C# keyword yield in C++.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-context1.67-dev
Description-md5: 509bcb267a10afdee403415de2d077bd
Description-en: provides a sort of cooperative multitasking on a single thread
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Context is a foundational library that provides a sort of
 cooperative multitasking on a single thread. By providing an
 abstraction of the current execution state in the current thread,
 including the stack (with local variables) and stack pointer, all
 registers and CPU flags, and the instruction pointer, a fcontext_t
 instance represents a specific point in the application's execution
 path. This is useful for building higher-level abstractions, like
 coroutines, cooperative threads (userland threads) or an equivalent
 to C# keyword yield in C++.

Package: libboost-context1.67.0
Description-md5: 509bcb267a10afdee403415de2d077bd
Description-en: provides a sort of cooperative multitasking on a single thread
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Context is a foundational library that provides a sort of
 cooperative multitasking on a single thread. By providing an
 abstraction of the current execution state in the current thread,
 including the stack (with local variables) and stack pointer, all
 registers and CPU flags, and the instruction pointer, a fcontext_t
 instance represents a specific point in the application's execution
 path. This is useful for building higher-level abstractions, like
 coroutines, cooperative threads (userland threads) or an equivalent
 to C# keyword yield in C++.

Package: libboost-context1.71-dev
Description-md5: 509bcb267a10afdee403415de2d077bd
Description-en: provides a sort of cooperative multitasking on a single thread
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Context is a foundational library that provides a sort of
 cooperative multitasking on a single thread. By providing an
 abstraction of the current execution state in the current thread,
 including the stack (with local variables) and stack pointer, all
 registers and CPU flags, and the instruction pointer, a fcontext_t
 instance represents a specific point in the application's execution
 path. This is useful for building higher-level abstractions, like
 coroutines, cooperative threads (userland threads) or an equivalent
 to C# keyword yield in C++.

Package: libboost-contract-dev
Description-md5: 4f8c1680a317cca266f1ee63ff956bad
Description-en: Contract library for C++ - dev files (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 All contract programming features are supported: Subcontracting,
 class invariants, postconditions (with old and return values),
 preconditions, customizable actions on assertion failure (e.g.,
 terminate or throw), optional compilation and checking of assertions,
 etc.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-contract1.67-dev
Description-md5: bf0d7e4539ed6d34f89ea7c9286b60e4
Description-en: Contract library for C++ - dev files
 This package forms part of the Boost C++ Libraries collection.
 .
 All contract programming features are supported: Subcontracting,
 class invariants, postconditions (with old and return values),
 preconditions, customizable actions on assertion failure (e.g.,
 terminate or throw), optional compilation and checking of assertions,
 etc.

Package: libboost-contract1.67.0
Description-md5: cbd95e0aa2c452e909d5fb8cd5d45bc3
Description-en: Contract library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 All contract programming features are supported: Subcontracting,
 class invariants, postconditions (with old and return values),
 preconditions, customizable actions on assertion failure (e.g.,
 terminate or throw), optional compilation and checking of assertions,
 etc.

Package: libboost-contract1.71-dev
Description-md5: bf0d7e4539ed6d34f89ea7c9286b60e4
Description-en: Contract library for C++ - dev files
 This package forms part of the Boost C++ Libraries collection.
 .
 All contract programming features are supported: Subcontracting,
 class invariants, postconditions (with old and return values),
 preconditions, customizable actions on assertion failure (e.g.,
 terminate or throw), optional compilation and checking of assertions,
 etc.

Package: libboost-contract1.71.0
Description-md5: cbd95e0aa2c452e909d5fb8cd5d45bc3
Description-en: Contract library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 All contract programming features are supported: Subcontracting,
 class invariants, postconditions (with old and return values),
 preconditions, customizable actions on assertion failure (e.g.,
 terminate or throw), optional compilation and checking of assertions,
 etc.

Package: libboost-coroutine-dev
Description-md5: a7c6e7ec9a15b4f4ef5e0db6a866a4a5
Description-en: provides a sort of cooperative multitasking on a single thread (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Coroutine provides templates for generalized subroutines which
 allow multiple entry points for suspending and resuming execution at
 certain locations. It preserves the local state of execution and
 allows re-entering subroutines more than once (useful if state must
 be kept across function calls).
 .
 Coroutines can be viewed as a language-level construct providing a
 special kind of control flow.
 .
 In contrast to threads, which are pre-emptive, coroutine switches are
 cooperative (programmer controls when a switch will happen). The
 kernel is not involved in the coroutine switches.
 .
 The implementation uses Boost.Context for context switching.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-coroutine1.67-dev
Description-md5: 86838fff80fef6efdf92ff95491207e4
Description-en: provides a sort of cooperative multitasking on a single thread
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Coroutine provides templates for generalized subroutines which
 allow multiple entry points for suspending and resuming execution at
 certain locations. It preserves the local state of execution and
 allows re-entering subroutines more than once (useful if state must
 be kept across function calls).
 .
 Coroutines can be viewed as a language-level construct providing a
 special kind of control flow.
 .
 In contrast to threads, which are pre-emptive, coroutine switches are
 cooperative (programmer controls when a switch will happen). The
 kernel is not involved in the coroutine switches.
 .
 The implementation uses Boost.Context for context switching.

Package: libboost-coroutine1.67.0
Description-md5: 86838fff80fef6efdf92ff95491207e4
Description-en: provides a sort of cooperative multitasking on a single thread
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Coroutine provides templates for generalized subroutines which
 allow multiple entry points for suspending and resuming execution at
 certain locations. It preserves the local state of execution and
 allows re-entering subroutines more than once (useful if state must
 be kept across function calls).
 .
 Coroutines can be viewed as a language-level construct providing a
 special kind of control flow.
 .
 In contrast to threads, which are pre-emptive, coroutine switches are
 cooperative (programmer controls when a switch will happen). The
 kernel is not involved in the coroutine switches.
 .
 The implementation uses Boost.Context for context switching.

Package: libboost-coroutine1.71-dev
Description-md5: 86838fff80fef6efdf92ff95491207e4
Description-en: provides a sort of cooperative multitasking on a single thread
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Coroutine provides templates for generalized subroutines which
 allow multiple entry points for suspending and resuming execution at
 certain locations. It preserves the local state of execution and
 allows re-entering subroutines more than once (useful if state must
 be kept across function calls).
 .
 Coroutines can be viewed as a language-level construct providing a
 special kind of control flow.
 .
 In contrast to threads, which are pre-emptive, coroutine switches are
 cooperative (programmer controls when a switch will happen). The
 kernel is not involved in the coroutine switches.
 .
 The implementation uses Boost.Context for context switching.

Package: libboost-coroutine1.71.0
Description-md5: 86838fff80fef6efdf92ff95491207e4
Description-en: provides a sort of cooperative multitasking on a single thread
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Coroutine provides templates for generalized subroutines which
 allow multiple entry points for suspending and resuming execution at
 certain locations. It preserves the local state of execution and
 allows re-entering subroutines more than once (useful if state must
 be kept across function calls).
 .
 Coroutines can be viewed as a language-level construct providing a
 special kind of control flow.
 .
 In contrast to threads, which are pre-emptive, coroutine switches are
 cooperative (programmer controls when a switch will happen). The
 kernel is not involved in the coroutine switches.
 .
 The implementation uses Boost.Context for context switching.

Package: libboost-date-time-dev
Description-md5: d190d71baf9e3d050e3a0a17624cc50a
Description-en: set of date-time libraries based on generic programming concepts (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 These libraries are intended to make programming with dates and times
 almost as simple and natural as programming with strings and integers.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-date-time1.67-dev
Description-md5: fca468edfa68f3723647bff7a2ed63c3
Description-en: set of date-time libraries based on generic programming concepts
 This package forms part of the Boost C++ Libraries collection.
 .
 These libraries are intended to make programming with dates and times
 almost as simple and natural as programming with strings and integers.

Package: libboost-date-time1.67.0
Description-md5: fca468edfa68f3723647bff7a2ed63c3
Description-en: set of date-time libraries based on generic programming concepts
 This package forms part of the Boost C++ Libraries collection.
 .
 These libraries are intended to make programming with dates and times
 almost as simple and natural as programming with strings and integers.

Package: libboost-doc
Description-md5: 5ffde9a2ad9742deae8a27172de30a27
Description-en: Boost.org libraries documentation placeholder (default version)
 This package originally provided documentation, but has since fallen
 into disrepair and presently provides no documentation.  Please
 use documentation at www.boost.org.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-exception-dev
Description-md5: 4c87e8384ded3e4a10adea501bae28d3
Description-en: library to help write exceptions and handlers (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The purpose of Boost Exception is to ease the design of exception
 class hierarchies and to help write exception handling and error
 reporting code.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-exception1.67-dev
Description-md5: 384c2c28f8227e2f185f0778df8c37fc
Description-en: library to help write exceptions and handlers
 This package forms part of the Boost C++ Libraries collection.
 .
 The purpose of Boost Exception is to ease the design of exception
 class hierarchies and to help write exception handling and error
 reporting code.

Package: libboost-exception1.71-dev
Description-md5: 384c2c28f8227e2f185f0778df8c37fc
Description-en: library to help write exceptions and handlers
 This package forms part of the Boost C++ Libraries collection.
 .
 The purpose of Boost Exception is to ease the design of exception
 class hierarchies and to help write exception handling and error
 reporting code.

Package: libboost-fiber-dev
Description-md5: badcf7eba2eb1b18df476baf59877890
Description-en: cooperatively-scheduled micro-/userland-threads (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Fiber provides a framework for micro-/userland-threads (fibers)
 scheduled cooperatively.  The API contains classes and functions to
 manage and synchronize fibers similarly to standard thread support
 library.
 .
 Each fiber has its own stack.
 .
 A fiber can save the current execution state, including all registers
 and CPU flags, the instruction pointer, and the stack pointer and
 later restore this state. The idea is to have multiple execution
 paths running on a single thread using cooperative scheduling (versus
 threads, which are preemptively scheduled). The running fiber decides
 explicitly when it should yield to allow another fiber to run
 (context switching). Boost.Fiber internally uses execution_context
 from Boost.Context; the classes in this library manage, schedule and,
 when needed, synchronize those execution contexts. A context switch
 between threads usually costs thousands of CPU cycles on x86,
 compared to a fiber switch with less than a hundred cycles. A fiber
 runs on a single thread at any point in time.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-fiber1.67-dev
Description-md5: f7f6013704ff4580caf3394917e3c295
Description-en: cooperatively-scheduled micro-/userland-threads
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Fiber provides a framework for micro-/userland-threads (fibers)
 scheduled cooperatively.  The API contains classes and functions to
 manage and synchronize fibers similarly to standard thread support
 library.
 .
 Each fiber has its own stack.
 .
 A fiber can save the current execution state, including all registers
 and CPU flags, the instruction pointer, and the stack pointer and
 later restore this state. The idea is to have multiple execution
 paths running on a single thread using cooperative scheduling (versus
 threads, which are preemptively scheduled). The running fiber decides
 explicitly when it should yield to allow another fiber to run
 (context switching). Boost.Fiber internally uses execution_context
 from Boost.Context; the classes in this library manage, schedule and,
 when needed, synchronize those execution contexts. A context switch
 between threads usually costs thousands of CPU cycles on x86,
 compared to a fiber switch with less than a hundred cycles. A fiber
 runs on a single thread at any point in time.

Package: libboost-fiber1.67.0
Description-md5: f7f6013704ff4580caf3394917e3c295
Description-en: cooperatively-scheduled micro-/userland-threads
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Fiber provides a framework for micro-/userland-threads (fibers)
 scheduled cooperatively.  The API contains classes and functions to
 manage and synchronize fibers similarly to standard thread support
 library.
 .
 Each fiber has its own stack.
 .
 A fiber can save the current execution state, including all registers
 and CPU flags, the instruction pointer, and the stack pointer and
 later restore this state. The idea is to have multiple execution
 paths running on a single thread using cooperative scheduling (versus
 threads, which are preemptively scheduled). The running fiber decides
 explicitly when it should yield to allow another fiber to run
 (context switching). Boost.Fiber internally uses execution_context
 from Boost.Context; the classes in this library manage, schedule and,
 when needed, synchronize those execution contexts. A context switch
 between threads usually costs thousands of CPU cycles on x86,
 compared to a fiber switch with less than a hundred cycles. A fiber
 runs on a single thread at any point in time.

Package: libboost-fiber1.71-dev
Description-md5: f7f6013704ff4580caf3394917e3c295
Description-en: cooperatively-scheduled micro-/userland-threads
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Fiber provides a framework for micro-/userland-threads (fibers)
 scheduled cooperatively.  The API contains classes and functions to
 manage and synchronize fibers similarly to standard thread support
 library.
 .
 Each fiber has its own stack.
 .
 A fiber can save the current execution state, including all registers
 and CPU flags, the instruction pointer, and the stack pointer and
 later restore this state. The idea is to have multiple execution
 paths running on a single thread using cooperative scheduling (versus
 threads, which are preemptively scheduled). The running fiber decides
 explicitly when it should yield to allow another fiber to run
 (context switching). Boost.Fiber internally uses execution_context
 from Boost.Context; the classes in this library manage, schedule and,
 when needed, synchronize those execution contexts. A context switch
 between threads usually costs thousands of CPU cycles on x86,
 compared to a fiber switch with less than a hundred cycles. A fiber
 runs on a single thread at any point in time.

Package: libboost-fiber1.71.0
Description-md5: f7f6013704ff4580caf3394917e3c295
Description-en: cooperatively-scheduled micro-/userland-threads
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Fiber provides a framework for micro-/userland-threads (fibers)
 scheduled cooperatively.  The API contains classes and functions to
 manage and synchronize fibers similarly to standard thread support
 library.
 .
 Each fiber has its own stack.
 .
 A fiber can save the current execution state, including all registers
 and CPU flags, the instruction pointer, and the stack pointer and
 later restore this state. The idea is to have multiple execution
 paths running on a single thread using cooperative scheduling (versus
 threads, which are preemptively scheduled). The running fiber decides
 explicitly when it should yield to allow another fiber to run
 (context switching). Boost.Fiber internally uses execution_context
 from Boost.Context; the classes in this library manage, schedule and,
 when needed, synchronize those execution contexts. A context switch
 between threads usually costs thousands of CPU cycles on x86,
 compared to a fiber switch with less than a hundred cycles. A fiber
 runs on a single thread at any point in time.

Package: libboost-filesystem1.67-dev
Description-md5: 2491b00e1306a35046eca365dc71ba1d
Description-en: filesystem operations (portable paths, iteration over directories, etc) in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Filesystem Library provides portable facilities to query and
 manipulate paths, files, and directories.  The goal is to
 facilitate portable script-like operations from within C++ programs.

Package: libboost-filesystem1.67.0
Description-md5: 2491b00e1306a35046eca365dc71ba1d
Description-en: filesystem operations (portable paths, iteration over directories, etc) in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Filesystem Library provides portable facilities to query and
 manipulate paths, files, and directories.  The goal is to
 facilitate portable script-like operations from within C++ programs.

Package: libboost-geometry-utils-perl
Description-md5: fbf3a2329b92d806237b19468b29e384
Description-en: Perl module providing bindings to the Boost Geometry library
 Boost::Geometry::Utils provides bindings to perform some geometric
 operations using the Boost Geometry library. It does not aim at
 providing full bindings for such library, which is why the
 Boost::Geometry namespace was left free.

Package: libboost-graph-dev
Description-md5: 614173f6a51c3673843019b570f92ed1
Description-en: generic graph components and algorithms in C++ (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Graphs are mathematical abstractions that are useful for solving
 many types of problems in computer science. Consequently, these
 abstractions must also be represented in computer programs. A
 standardized generic interface for traversing graphs is of utmost
 importance to encourage reuse of graph algorithms and data structures.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-graph-parallel-dev
Description-md5: 7f05d2bd53d8ee258a81b6048865b3aa
Description-en: generic graph components and algorithms in C++ (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Parallel Boost Graph Library is an extension to the Boost Graph Library
 (BGL) for parallel and distributed computing. It offers distributed graphs
 and graph algorithms to exploit coarse-grained parallelism along with
 parallel algorithms that exploit fine-grained parallelism, while retaining
 the same interfaces as the (sequential) BGL. Code written using the sequential
 BGL should be easy to parallelize with the parallel BGL.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-graph-parallel1.67-dev
Description-md5: 4f57c2a6e2a339b83d9c59b83812e4c6
Description-en: generic graph components and algorithms in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 The Parallel Boost Graph Library is an extension to the Boost Graph Library
 (BGL) for parallel and distributed computing. It offers distributed graphs
 and graph algorithms to exploit coarse-grained parallelism along with
 parallel algorithms that exploit fine-grained parallelism, while retaining
 the same interfaces as the (sequential) BGL. Code written using the sequential
 BGL should be easy to parallelize with the parallel BGL.

Package: libboost-graph-parallel1.67.0
Description-md5: 4f57c2a6e2a339b83d9c59b83812e4c6
Description-en: generic graph components and algorithms in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 The Parallel Boost Graph Library is an extension to the Boost Graph Library
 (BGL) for parallel and distributed computing. It offers distributed graphs
 and graph algorithms to exploit coarse-grained parallelism along with
 parallel algorithms that exploit fine-grained parallelism, while retaining
 the same interfaces as the (sequential) BGL. Code written using the sequential
 BGL should be easy to parallelize with the parallel BGL.

Package: libboost-graph-parallel1.71-dev
Description-md5: 4f57c2a6e2a339b83d9c59b83812e4c6
Description-en: generic graph components and algorithms in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 The Parallel Boost Graph Library is an extension to the Boost Graph Library
 (BGL) for parallel and distributed computing. It offers distributed graphs
 and graph algorithms to exploit coarse-grained parallelism along with
 parallel algorithms that exploit fine-grained parallelism, while retaining
 the same interfaces as the (sequential) BGL. Code written using the sequential
 BGL should be easy to parallelize with the parallel BGL.

Package: libboost-graph-parallel1.71.0
Description-md5: 4f57c2a6e2a339b83d9c59b83812e4c6
Description-en: generic graph components and algorithms in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 The Parallel Boost Graph Library is an extension to the Boost Graph Library
 (BGL) for parallel and distributed computing. It offers distributed graphs
 and graph algorithms to exploit coarse-grained parallelism along with
 parallel algorithms that exploit fine-grained parallelism, while retaining
 the same interfaces as the (sequential) BGL. Code written using the sequential
 BGL should be easy to parallelize with the parallel BGL.

Package: libboost-graph1.67-dev
Description-md5: 6dc872164cdff9808536697108f9eecd
Description-en: generic graph components and algorithms in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Graphs are mathematical abstractions that are useful for solving
 many types of problems in computer science. Consequently, these
 abstractions must also be represented in computer programs. A
 standardized generic interface for traversing graphs is of utmost
 importance to encourage reuse of graph algorithms and data structures.

Package: libboost-graph1.67.0
Description-md5: 6dc872164cdff9808536697108f9eecd
Description-en: generic graph components and algorithms in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Graphs are mathematical abstractions that are useful for solving
 many types of problems in computer science. Consequently, these
 abstractions must also be represented in computer programs. A
 standardized generic interface for traversing graphs is of utmost
 importance to encourage reuse of graph algorithms and data structures.

Package: libboost-graph1.71-dev
Description-md5: 6dc872164cdff9808536697108f9eecd
Description-en: generic graph components and algorithms in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Graphs are mathematical abstractions that are useful for solving
 many types of problems in computer science. Consequently, these
 abstractions must also be represented in computer programs. A
 standardized generic interface for traversing graphs is of utmost
 importance to encourage reuse of graph algorithms and data structures.

Package: libboost-graph1.71.0
Description-md5: 6dc872164cdff9808536697108f9eecd
Description-en: generic graph components and algorithms in C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Graphs are mathematical abstractions that are useful for solving
 many types of problems in computer science. Consequently, these
 abstractions must also be represented in computer programs. A
 standardized generic interface for traversing graphs is of utmost
 importance to encourage reuse of graph algorithms and data structures.

Package: libboost-iostreams-dev
Description-md5: 8ac712ed9dfd6e7ddc96927cd0bf1040
Description-en: Boost.Iostreams Library development files (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Iostreams are a collection of concepts and a set of templates
 which turn models of these concepts into C++ standard library streams
 and stream buffers.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-iostreams1.67-dev
Description-md5: c5f42432b54f040f1daea1900a535e47
Description-en: Boost.Iostreams Library development files
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Iostreams are a collection of concepts and a set of templates
 which turn models of these concepts into C++ standard library streams
 and stream buffers.

Package: libboost-iostreams1.67.0
Description-md5: 3c388d3a296c3c5ceb93d6073902d96e
Description-en: Boost.Iostreams Library
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Iostreams are a collection of concepts and a set of templates
 which turn models of these concepts into C++ standard library streams
 and stream buffers.

Package: libboost-iostreams1.71-dev
Description-md5: c5f42432b54f040f1daea1900a535e47
Description-en: Boost.Iostreams Library development files
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Iostreams are a collection of concepts and a set of templates
 which turn models of these concepts into C++ standard library streams
 and stream buffers.

Package: libboost-locale-dev
Description-md5: 2aa5c3495d98cb1fcf37ad8154249c7a
Description-en: C++ facilities for localization (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Locale gives powerful tools for development of cross platform
 localized software - the software that talks to user in its language.
 .
  * Correct case conversion, case folding and normalization.
  * Collation (sorting), including support for 4 Unicode collation
 levels.
  * Date, time, timezone and calendar manipulations, formatting
 and parsing, including transparent support for calendars other than
 Gregorian.
  * Boundary analysis for characters, words, sentences and
 line-breaks.
  * Number formatting, spelling and parsing.
  * Monetary formatting and parsing.
  * Powerful message formatting (string translation) including
 support for plural forms, using GNU catalogs.
  * Character set conversion.
  * Transparent support for 8-bit character sets like Latin1
  * Support for char and wchar_t
  * Experimental support for C++0x char16_t and char32_t strings and streams.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-locale1.67-dev
Description-md5: 38eb5c1dcd3b37527a010d985b7c8018
Description-en: C++ facilities for localization
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Locale gives powerful tools for development of cross platform
 localized software - the software that talks to user in its language.
 .
  * Correct case conversion, case folding and normalization.
  * Collation (sorting), including support for 4 Unicode collation
 levels.
  * Date, time, timezone and calendar manipulations, formatting
 and parsing, including transparent support for calendars other than
 Gregorian.
  * Boundary analysis for characters, words, sentences and
 line-breaks.
  * Number formatting, spelling and parsing.
  * Monetary formatting and parsing.
  * Powerful message formatting (string translation) including
 support for plural forms, using GNU catalogs.
  * Character set conversion.
  * Transparent support for 8-bit character sets like Latin1
  * Support for char and wchar_t
  * Experimental support for C++0x char16_t and char32_t strings and streams.

Package: libboost-locale1.67.0
Description-md5: 38eb5c1dcd3b37527a010d985b7c8018
Description-en: C++ facilities for localization
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Locale gives powerful tools for development of cross platform
 localized software - the software that talks to user in its language.
 .
  * Correct case conversion, case folding and normalization.
  * Collation (sorting), including support for 4 Unicode collation
 levels.
  * Date, time, timezone and calendar manipulations, formatting
 and parsing, including transparent support for calendars other than
 Gregorian.
  * Boundary analysis for characters, words, sentences and
 line-breaks.
  * Number formatting, spelling and parsing.
  * Monetary formatting and parsing.
  * Powerful message formatting (string translation) including
 support for plural forms, using GNU catalogs.
  * Character set conversion.
  * Transparent support for 8-bit character sets like Latin1
  * Support for char and wchar_t
  * Experimental support for C++0x char16_t and char32_t strings and streams.

Package: libboost-locale1.71-dev
Description-md5: 38eb5c1dcd3b37527a010d985b7c8018
Description-en: C++ facilities for localization
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Locale gives powerful tools for development of cross platform
 localized software - the software that talks to user in its language.
 .
  * Correct case conversion, case folding and normalization.
  * Collation (sorting), including support for 4 Unicode collation
 levels.
  * Date, time, timezone and calendar manipulations, formatting
 and parsing, including transparent support for calendars other than
 Gregorian.
  * Boundary analysis for characters, words, sentences and
 line-breaks.
  * Number formatting, spelling and parsing.
  * Monetary formatting and parsing.
  * Powerful message formatting (string translation) including
 support for plural forms, using GNU catalogs.
  * Character set conversion.
  * Transparent support for 8-bit character sets like Latin1
  * Support for char and wchar_t
  * Experimental support for C++0x char16_t and char32_t strings and streams.

Package: libboost-log-dev
Description-md5: 9ba8e4dc67b9950ab405c1176a35e4e2
Description-en: C++ logging library (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 This library aims to make logging significantly easier for the
 application developer. It provides a wide range of out-of-the-box
 tools along with public interfaces for extending the library. The
 main goals of the library are:
 .
  * Simplicity. A small example code snippet should be enough to get
 the feel of the library and be ready to use its basic features.
  * Extensibility. A user should be able to extend functionality of the
 library for collecting and storing information into logs.
  * Performance. The library should have as little performance impact on
 the user's application as possible.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-log1.67-dev
Description-md5: 35804ca45b526f128dc2dc545fd3dbf6
Description-en: C++ logging library
 This package forms part of the Boost C++ Libraries collection.
 .
 This library aims to make logging significantly easier for the
 application developer. It provides a wide range of out-of-the-box
 tools along with public interfaces for extending the library. The
 main goals of the library are:
 .
  * Simplicity. A small example code snippet should be enough to get
 the feel of the library and be ready to use its basic features.
  * Extensibility. A user should be able to extend functionality of the
 library for collecting and storing information into logs.
  * Performance. The library should have as little performance impact on
 the user's application as possible.

Package: libboost-log1.67.0
Description-md5: 35804ca45b526f128dc2dc545fd3dbf6
Description-en: C++ logging library
 This package forms part of the Boost C++ Libraries collection.
 .
 This library aims to make logging significantly easier for the
 application developer. It provides a wide range of out-of-the-box
 tools along with public interfaces for extending the library. The
 main goals of the library are:
 .
  * Simplicity. A small example code snippet should be enough to get
 the feel of the library and be ready to use its basic features.
  * Extensibility. A user should be able to extend functionality of the
 library for collecting and storing information into logs.
  * Performance. The library should have as little performance impact on
 the user's application as possible.

Package: libboost-log1.71-dev
Description-md5: 35804ca45b526f128dc2dc545fd3dbf6
Description-en: C++ logging library
 This package forms part of the Boost C++ Libraries collection.
 .
 This library aims to make logging significantly easier for the
 application developer. It provides a wide range of out-of-the-box
 tools along with public interfaces for extending the library. The
 main goals of the library are:
 .
  * Simplicity. A small example code snippet should be enough to get
 the feel of the library and be ready to use its basic features.
  * Extensibility. A user should be able to extend functionality of the
 library for collecting and storing information into logs.
  * Performance. The library should have as little performance impact on
 the user's application as possible.

Package: libboost-log1.71.0
Description-md5: 35804ca45b526f128dc2dc545fd3dbf6
Description-en: C++ logging library
 This package forms part of the Boost C++ Libraries collection.
 .
 This library aims to make logging significantly easier for the
 application developer. It provides a wide range of out-of-the-box
 tools along with public interfaces for extending the library. The
 main goals of the library are:
 .
  * Simplicity. A small example code snippet should be enough to get
 the feel of the library and be ready to use its basic features.
  * Extensibility. A user should be able to extend functionality of the
 library for collecting and storing information into logs.
  * Performance. The library should have as little performance impact on
 the user's application as possible.

Package: libboost-math-dev
Description-md5: 5dc01f81666b72721aa6699ee39b5a31
Description-en: Boost.Math Library development files (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 This library is divided into three interconnected parts:
  * Statistical Distributions: Provides a reasonably comprehensive set of
    statistical distributions, upon which higher level statistical tests
    can be built.
  * Mathematical Special Functions: Provides a small number of high quality
    special functions, initially these were concentrated on functions used in
    statistical applications along with those in the Technical Report on
    C++ Library Extensions.
  * Implementation Toolkit: Provides many of the tools required to implement
    mathematical special functions.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-math1.67-dev
Description-md5: ef3334f7fe3b30cab4c2d25557dbaaa3
Description-en: Boost.Math Library development files
 This package forms part of the Boost C++ Libraries collection.
 .
 This library is divided into three interconnected parts:
  * Statistical Distributions: Provides a reasonably comprehensive set of
    statistical distributions, upon which higher level statistical tests
    can be built.
  * Mathematical Special Functions: Provides a small number of high quality
    special functions, initially these were concentrated on functions used in
    statistical applications along with those in the Technical Report on
    C++ Library Extensions.
  * Implementation Toolkit: Provides many of the tools required to implement
    mathematical special functions.

Package: libboost-math1.67.0
Description-md5: 23f6f4e3877dda6577301cc1999a87b1
Description-en: Boost.Math Library
 This package forms part of the Boost C++ Libraries collection.
 .
 This library is divided into three interconnected parts:
  * Statistical Distributions: Provides a reasonably comprehensive set of
    statistical distributions, upon which higher level statistical tests
    can be built.
  * Mathematical Special Functions: Provides a small number of high quality
    special functions, initially these were concentrated on functions used in
    statistical applications along with those in the Technical Report on
    C++ Library Extensions.
  * Implementation Toolkit: Provides many of the tools required to implement
    mathematical special functions.

Package: libboost-math1.71-dev
Description-md5: ef3334f7fe3b30cab4c2d25557dbaaa3
Description-en: Boost.Math Library development files
 This package forms part of the Boost C++ Libraries collection.
 .
 This library is divided into three interconnected parts:
  * Statistical Distributions: Provides a reasonably comprehensive set of
    statistical distributions, upon which higher level statistical tests
    can be built.
  * Mathematical Special Functions: Provides a small number of high quality
    special functions, initially these were concentrated on functions used in
    statistical applications along with those in the Technical Report on
    C++ Library Extensions.
  * Implementation Toolkit: Provides many of the tools required to implement
    mathematical special functions.

Package: libboost-math1.71.0
Description-md5: 23f6f4e3877dda6577301cc1999a87b1
Description-en: Boost.Math Library
 This package forms part of the Boost C++ Libraries collection.
 .
 This library is divided into three interconnected parts:
  * Statistical Distributions: Provides a reasonably comprehensive set of
    statistical distributions, upon which higher level statistical tests
    can be built.
  * Mathematical Special Functions: Provides a small number of high quality
    special functions, initially these were concentrated on functions used in
    statistical applications along with those in the Technical Report on
    C++ Library Extensions.
  * Implementation Toolkit: Provides many of the tools required to implement
    mathematical special functions.

Package: libboost-mpi-dev
Description-md5: 4e526c5a80ebd767ceb205792012d07b
Description-en: C++ interface to the Message Passing Interface (MPI) (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-mpi-python-dev
Description-md5: 45cdcfaba1f327ecc2a1541556d72355
Description-en: C++ interface to the Message Passing Interface (MPI), Python Bindings (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.
 .
 This package provides Python Bindings to the C++ interface.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-mpi-python1.67-dev
Description-md5: f4aa2213bbcbac6016ed67ed252a2cb4
Description-en: C++ interface to the Message Passing Interface (MPI), Python Bindings
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.
 .
 This package provides Python Bindings to the C++ interface.

Package: libboost-mpi-python1.67.0
Description-md5: 3aacb014fd455aab00e9bc4e91c51677
Description-en: C++ interface to the Message Passing Interface (MPI), Python Bindings
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.
 .
 This package provides Python Bindings to the C++ interface.
 .
 One of the python interpreter packages is required to use the bindings.

Package: libboost-mpi-python1.71-dev
Description-md5: f4aa2213bbcbac6016ed67ed252a2cb4
Description-en: C++ interface to the Message Passing Interface (MPI), Python Bindings
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.
 .
 This package provides Python Bindings to the C++ interface.

Package: libboost-mpi-python1.71.0
Description-md5: 3aacb014fd455aab00e9bc4e91c51677
Description-en: C++ interface to the Message Passing Interface (MPI), Python Bindings
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.
 .
 This package provides Python Bindings to the C++ interface.
 .
 One of the python interpreter packages is required to use the bindings.

Package: libboost-mpi1.67-dev
Description-md5: 6766b51a84b1e0bf3fc6b1ccf0d4bbf2
Description-en: C++ interface to the Message Passing Interface (MPI)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.

Package: libboost-mpi1.67.0
Description-md5: 6766b51a84b1e0bf3fc6b1ccf0d4bbf2
Description-en: C++ interface to the Message Passing Interface (MPI)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.

Package: libboost-mpi1.71-dev
Description-md5: 6766b51a84b1e0bf3fc6b1ccf0d4bbf2
Description-en: C++ interface to the Message Passing Interface (MPI)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.

Package: libboost-mpi1.71.0
Description-md5: 6766b51a84b1e0bf3fc6b1ccf0d4bbf2
Description-en: C++ interface to the Message Passing Interface (MPI)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost.MPI library provides a C++ interface to MPI that
 supports modern C++ development styles, including complete support for
 user-defined data types and C++ Standard Library types, arbitrary function
 objects for collective algorithms, and the use of modern C++ library
 techniques to maintain maximal efficiency.

Package: libboost-numpy-dev
Description-md5: c5ff6dbd29438f1f94e53b6158d5cbbd
Description-en: Boost.Python NumPy extensions development files (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python library enables exporting a C++ library to Python.
 The NumPy extensions lets the C++ code interact with NumPy types.
 .
 This package allows development of a Python interface for all current
 versions of Python in Debian.  Code using this library will need also
 one of the Python development packages.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-numpy1.67-dev
Description-md5: 0cd697d06e4f050674a72a97968e8c0c
Description-en: Boost.Python NumPy extensions development files
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python library enables exporting a C++ library to Python.
 The NumPy extensions lets the C++ code interact with NumPy types.
 .
 This package allows development of a Python interface for all current
 versions of Python in Debian.  Code using this library will need also
 one of the Python development packages.

Package: libboost-numpy1.67.0
Description-md5: f9652c47c704cb13fd7973b1d9eed5c1
Description-en: Boost.Python NumPy extensions
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python library enables exporting a C++ library to Python.
 The NumPy extensions lets the C++ code interact with NumPy types.
 .
 One of the python interpreter packages is required to use the
 created extensions.

Package: libboost-numpy1.71-dev
Description-md5: 0cd697d06e4f050674a72a97968e8c0c
Description-en: Boost.Python NumPy extensions development files
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python library enables exporting a C++ library to Python.
 The NumPy extensions lets the C++ code interact with NumPy types.
 .
 This package allows development of a Python interface for all current
 versions of Python in Debian.  Code using this library will need also
 one of the Python development packages.

Package: libboost-numpy1.71.0
Description-md5: f9652c47c704cb13fd7973b1d9eed5c1
Description-en: Boost.Python NumPy extensions
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python library enables exporting a C++ library to Python.
 The NumPy extensions lets the C++ code interact with NumPy types.
 .
 One of the python interpreter packages is required to use the
 created extensions.

Package: libboost-program-options1.67-dev
Description-md5: 9ab9e15257fa51221c16c7f0a9e40597
Description-en: program options library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Library to let program developers obtain program options, that is
 (name, value) pairs from the user, via conventional methods such as
 command line and config file.

Package: libboost-program-options1.67.0
Description-md5: 9ab9e15257fa51221c16c7f0a9e40597
Description-en: program options library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Library to let program developers obtain program options, that is
 (name, value) pairs from the user, via conventional methods such as
 command line and config file.

Package: libboost-python-dev
Description-md5: 450734a9eeb8f95a5f2e6e0f0bce2364
Description-en: Boost.Python Library development files (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python Library is used to quickly and easily export a C++
 library to Python such that the Python interface is very similar to
 the C++ interface. It is designed to be minimally intrusive on your
 C++ design. In most cases, you should not have to alter your C++
 classes in any way in order to use them with Boost.Python.  The
 system should simply "reflect" your C++ classes and functions into
 Python. The major features of Boost.Python include support for:
 Subclassing extension types in Python, Overriding virtual functions
 in Python, Member function Overloading, Automatic wrapping of
 numeric operators among others.
 .
 This package allows development of a Python interface for all current
 versions of Python in Debian.  Code using this library will need also
 one of the Python development packages.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-python1.67-dev
Description-md5: 4073b19bab34408d8c56b2db9718bb71
Description-en: Boost.Python Library development files
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python Library is used to quickly and easily export a C++
 library to Python such that the Python interface is very similar to
 the C++ interface. It is designed to be minimally intrusive on your
 C++ design. In most cases, you should not have to alter your C++
 classes in any way in order to use them with Boost.Python.  The
 system should simply "reflect" your C++ classes and functions into
 Python. The major features of Boost.Python include support for:
 Subclassing extension types in Python, Overriding virtual functions
 in Python, Member function Overloading, Automatic wrapping of
 numeric operators among others.
 .
 This package allows development of a Python interface for all current
 versions of Python in Debian.  Code using this library will need also
 one of the Python development packages.

Package: libboost-python1.67.0
Description-md5: 1fd3f0310a5498412ebe02c4766ff0b1
Description-en: Boost.Python Library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python Library is used to quickly and easily export a C++
 library to Python such that the Python interface is very similar to
 the C++ interface. It is designed to be minimally intrusive on your
 C++ design. In most cases, you should not have to alter your C++
 classes in any way in order to use them with Boost.Python.  The
 system should simply "reflect" your C++ classes and functions into
 Python. The major features of Boost.Python include support for:
 Subclassing extension types in Python, Overriding virtual functions
 in Python, Member function Overloading, Automatic wrapping of
 numeric operators among others.
 .
 One of the python interpreter packages is required to use the
 created extensions.

Package: libboost-python1.71-dev
Description-md5: 4073b19bab34408d8c56b2db9718bb71
Description-en: Boost.Python Library development files
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Python Library is used to quickly and easily export a C++
 library to Python such that the Python interface is very similar to
 the C++ interface. It is designed to be minimally intrusive on your
 C++ design. In most cases, you should not have to alter your C++
 classes in any way in order to use them with Boost.Python.  The
 system should simply "reflect" your C++ classes and functions into
 Python. The major features of Boost.Python include support for:
 Subclassing extension types in Python, Overriding virtual functions
 in Python, Member function Overloading, Automatic wrapping of
 numeric operators among others.
 .
 This package allows development of a Python interface for all current
 versions of Python in Debian.  Code using this library will need also
 one of the Python development packages.

Package: libboost-random-dev
Description-md5: 888a32c76141c8d52ccaa196d50627b2
Description-en: Boost Random Number Library (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Random Number Library (Boost.Random for short) provides a
 variety of generators and distributions to produce random numbers
 having useful properties, such as uniform distribution.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-random1.67-dev
Description-md5: 7ed20e15c02905e3feecfa31084222f4
Description-en: Boost Random Number Library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Random Number Library (Boost.Random for short) provides a
 variety of generators and distributions to produce random numbers
 having useful properties, such as uniform distribution.

Package: libboost-random1.67.0
Description-md5: 7ed20e15c02905e3feecfa31084222f4
Description-en: Boost Random Number Library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Random Number Library (Boost.Random for short) provides a
 variety of generators and distributions to produce random numbers
 having useful properties, such as uniform distribution.

Package: libboost-random1.71-dev
Description-md5: 7ed20e15c02905e3feecfa31084222f4
Description-en: Boost Random Number Library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Random Number Library (Boost.Random for short) provides a
 variety of generators and distributions to produce random numbers
 having useful properties, such as uniform distribution.

Package: libboost-random1.71.0
Description-md5: 7ed20e15c02905e3feecfa31084222f4
Description-en: Boost Random Number Library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost Random Number Library (Boost.Random for short) provides a
 variety of generators and distributions to produce random numbers
 having useful properties, such as uniform distribution.

Package: libboost-regex-dev
Description-md5: 7e31cb3e428448e732a5a23c2d01ce0d
Description-en: regular expression library for C++ (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Regular expressions are a form of pattern-matching that are often
 used in text processing; many users will be familiar with the Unix
 utilities grep, sed and awk, and the programming language perl, each
 of which make extensive use of regular expressions. Traditionally C++
 users have been limited to the POSIX C APIs for manipulating regular
 expressions, and while regex does provide these APIs, they do not
 represent the best way to use the library. For example regex can cope
 with wide character strings, or search and replace operations (in a
 manner analogous to either sed or perl), something that traditional C
 libraries can not do.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-regex1.67-dev
Description-md5: 798250c772fac56d605e432dcc6fe14f
Description-en: regular expression library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Regular expressions are a form of pattern-matching that are often
 used in text processing; many users will be familiar with the Unix
 utilities grep, sed and awk, and the programming language perl, each
 of which make extensive use of regular expressions. Traditionally C++
 users have been limited to the POSIX C APIs for manipulating regular
 expressions, and while regex does provide these APIs, they do not
 represent the best way to use the library. For example regex can cope
 with wide character strings, or search and replace operations (in a
 manner analogous to either sed or perl), something that traditional C
 libraries can not do.

Package: libboost-regex1.67.0
Description-md5: 798250c772fac56d605e432dcc6fe14f
Description-en: regular expression library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Regular expressions are a form of pattern-matching that are often
 used in text processing; many users will be familiar with the Unix
 utilities grep, sed and awk, and the programming language perl, each
 of which make extensive use of regular expressions. Traditionally C++
 users have been limited to the POSIX C APIs for manipulating regular
 expressions, and while regex does provide these APIs, they do not
 represent the best way to use the library. For example regex can cope
 with wide character strings, or search and replace operations (in a
 manner analogous to either sed or perl), something that traditional C
 libraries can not do.

Package: libboost-regex1.71-dev
Description-md5: 798250c772fac56d605e432dcc6fe14f
Description-en: regular expression library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Regular expressions are a form of pattern-matching that are often
 used in text processing; many users will be familiar with the Unix
 utilities grep, sed and awk, and the programming language perl, each
 of which make extensive use of regular expressions. Traditionally C++
 users have been limited to the POSIX C APIs for manipulating regular
 expressions, and while regex does provide these APIs, they do not
 represent the best way to use the library. For example regex can cope
 with wide character strings, or search and replace operations (in a
 manner analogous to either sed or perl), something that traditional C
 libraries can not do.

Package: libboost-regex1.71.0
Description-md5: 798250c772fac56d605e432dcc6fe14f
Description-en: regular expression library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Regular expressions are a form of pattern-matching that are often
 used in text processing; many users will be familiar with the Unix
 utilities grep, sed and awk, and the programming language perl, each
 of which make extensive use of regular expressions. Traditionally C++
 users have been limited to the POSIX C APIs for manipulating regular
 expressions, and while regex does provide these APIs, they do not
 represent the best way to use the library. For example regex can cope
 with wide character strings, or search and replace operations (in a
 manner analogous to either sed or perl), something that traditional C
 libraries can not do.

Package: libboost-serialization-dev
Description-md5: ec809e8700b75915ff013c21cdc93529
Description-en: serialization library for C++ (default version)
 This package forms part of the Boost C++ Libraries collection,
 containing the following functionalities:
 .
  * proper restoration of pointers to shared data
  * serialization of STL containers and other commonly used templates
  * data portability - streams of bytes created on one platform should
    be readable on any other
  * archive interface must be rich enough to permit the creation of an
    archive that presents serialized data as XML in a useful manner
 .
 Here, "serialization" means the reversible deconstruction of an
 arbitrary set of C++ data structures to a sequence of bytes.
 archive: to refer to a specific rendering of this stream of bytes.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-serialization1.67-dev
Description-md5: 94da985e07c2397fc71a3f86ec4da7dd
Description-en: serialization library for C++
 This package forms part of the Boost C++ Libraries collection,
 containing the following functionalities:
 .
  * proper restoration of pointers to shared data
  * serialization of STL containers and other commonly used templates
  * data portability - streams of bytes created on one platform should
    be readable on any other
  * archive interface must be rich enough to permit the creation of an
    archive that presents serialized data as XML in a useful manner
 .
 Here, "serialization" means the reversible deconstruction of an
 arbitrary set of C++ data structures to a sequence of bytes.
 archive: to refer to a specific rendering of this stream of bytes.

Package: libboost-serialization1.67.0
Description-md5: 94da985e07c2397fc71a3f86ec4da7dd
Description-en: serialization library for C++
 This package forms part of the Boost C++ Libraries collection,
 containing the following functionalities:
 .
  * proper restoration of pointers to shared data
  * serialization of STL containers and other commonly used templates
  * data portability - streams of bytes created on one platform should
    be readable on any other
  * archive interface must be rich enough to permit the creation of an
    archive that presents serialized data as XML in a useful manner
 .
 Here, "serialization" means the reversible deconstruction of an
 arbitrary set of C++ data structures to a sequence of bytes.
 archive: to refer to a specific rendering of this stream of bytes.

Package: libboost-signals1.67-dev
Description-md5: 737c799c0950f5b01392f79ff2396cf2
Description-en: managed signals and slots library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Signals represent callbacks with multiple targets, and are also
 called publishers or events in similar systems. Signals are connected
 to some set of slots, which are callback receivers (also called event
 targets or subscribers), which are called when the signal is
 "emitted."

Package: libboost-signals1.67.0
Description-md5: 737c799c0950f5b01392f79ff2396cf2
Description-en: managed signals and slots library for C++
 This package forms part of the Boost C++ Libraries collection.
 .
 Signals represent callbacks with multiple targets, and are also
 called publishers or events in similar systems. Signals are connected
 to some set of slots, which are callback receivers (also called event
 targets or subscribers), which are called when the signal is
 "emitted."

Package: libboost-stacktrace-dev
Description-md5: 5b3e7009e9b4fe60485370277af8a303
Description-en: library to capture and print stack traces - development files (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Stacktrace library is a simple C++03 library that provides
 information about call sequence in a human-readable form.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-stacktrace1.67-dev
Description-md5: 54146e5655935cff00889b9b265f7df3
Description-en: library to capture and print stack traces - development files
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Stacktrace library is a simple C++03 library that provides
 information about call sequence in a human-readable form.

Package: libboost-stacktrace1.67.0
Description-md5: 111fa2da3db5649074e55bfb276b056e
Description-en: library to capture and print stack traces
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Stacktrace library is a simple C++03 library that provides
 information about call sequence in a human-readable form.

Package: libboost-stacktrace1.71-dev
Description-md5: 54146e5655935cff00889b9b265f7df3
Description-en: library to capture and print stack traces - development files
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Stacktrace library is a simple C++03 library that provides
 information about call sequence in a human-readable form.

Package: libboost-stacktrace1.71.0
Description-md5: 111fa2da3db5649074e55bfb276b056e
Description-en: library to capture and print stack traces
 This package forms part of the Boost C++ Libraries collection.
 .
 Boost.Stacktrace library is a simple C++03 library that provides
 information about call sequence in a human-readable form.

Package: libboost-system1.67-dev
Description-md5: 1197eb2ee52a77c28d5e31e8e74c41eb
Description-en: Operating system (e.g. diagnostics support) library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost System library provides simple, light-weight error_code
 objects that encapsulate system-specific error code values, yet also
 provide access to more abstract and portable error conditions via
 error_condition objects. Because error_code objects can represent
 errors from sources other than the operating system, including
 user-defined sources, each error_code and error_condition has an
 associated error_category.

Package: libboost-system1.67.0
Description-md5: 1197eb2ee52a77c28d5e31e8e74c41eb
Description-en: Operating system (e.g. diagnostics support) library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Boost System library provides simple, light-weight error_code
 objects that encapsulate system-specific error code values, yet also
 provide access to more abstract and portable error conditions via
 error_condition objects. Because error_code objects can represent
 errors from sources other than the operating system, including
 user-defined sources, each error_code and error_condition has an
 associated error_category.

Package: libboost-test-dev
Description-md5: 7e49aa3b1000d5dd48a2d8087798c14e
Description-en: components for writing and executing test suites (default version)
 This package forms part of the Boost C++ Libraries collection.  The
 library contains several components.
 .
  * Basic execution, error detection, and reporting facility.
  * Facilities to monitor program execution and produce error reports.
  * Unit test framework to simplify writing test cases.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-test1.67-dev
Description-md5: 73a9e2027ebac32df5b898db3154448b
Description-en: components for writing and executing test suites
 This package forms part of the Boost C++ Libraries collection.  The
 library contains several components.
 .
  * Basic execution, error detection, and reporting facility.
  * Facilities to monitor program execution and produce error reports.
  * Unit test framework to simplify writing test cases.

Package: libboost-test1.67.0
Description-md5: 73a9e2027ebac32df5b898db3154448b
Description-en: components for writing and executing test suites
 This package forms part of the Boost C++ Libraries collection.  The
 library contains several components.
 .
  * Basic execution, error detection, and reporting facility.
  * Facilities to monitor program execution and produce error reports.
  * Unit test framework to simplify writing test cases.

Package: libboost-test1.71-dev
Description-md5: 73a9e2027ebac32df5b898db3154448b
Description-en: components for writing and executing test suites
 This package forms part of the Boost C++ Libraries collection.  The
 library contains several components.
 .
  * Basic execution, error detection, and reporting facility.
  * Facilities to monitor program execution and produce error reports.
  * Unit test framework to simplify writing test cases.

Package: libboost-test1.71.0
Description-md5: 73a9e2027ebac32df5b898db3154448b
Description-en: components for writing and executing test suites
 This package forms part of the Boost C++ Libraries collection.  The
 library contains several components.
 .
  * Basic execution, error detection, and reporting facility.
  * Facilities to monitor program execution and produce error reports.
  * Unit test framework to simplify writing test cases.

Package: libboost-thread1.67-dev
Description-md5: d19954bb99722597efd2b9b392158287
Description-en: portable C++ multi-threading
 This package forms part of the Boost C++ Libraries collection.
 .
 Toolkit for writing C++ programs that execute as multiple,
 asynchronous, independent, threads-of-execution. Each thread has its
 own machine state including program instruction counter and
 registers.

Package: libboost-thread1.67.0
Description-md5: d19954bb99722597efd2b9b392158287
Description-en: portable C++ multi-threading
 This package forms part of the Boost C++ Libraries collection.
 .
 Toolkit for writing C++ programs that execute as multiple,
 asynchronous, independent, threads-of-execution. Each thread has its
 own machine state including program instruction counter and
 registers.

Package: libboost-timer-dev
Description-md5: 3c9f1a6ccae3b4274c197f83c4f18907
Description-en: C++ wall clock and CPU process timers (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 Portable C++ timer classes that answer the question "How long does my
 C++ code take to run?" with as little as one #include and one
 additional line of code.
 .
 Class cpu_timer measures wall clock time, user CPU process time, and
 system CPU process time. Class auto_cpu_timer is a refinement of
 cpu_timer that automatically reports the elapsed times when an
 auto_cpu_timer object is destroyed.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-timer1.67-dev
Description-md5: 3800da07b3dc08efcf3c762480b53231
Description-en: C++ wall clock and CPU process timers
 This package forms part of the Boost C++ Libraries collection.
 .
 Portable C++ timer classes that answer the question "How long does my
 C++ code take to run?" with as little as one #include and one
 additional line of code.
 .
 Class cpu_timer measures wall clock time, user CPU process time, and
 system CPU process time. Class auto_cpu_timer is a refinement of
 cpu_timer that automatically reports the elapsed times when an
 auto_cpu_timer object is destroyed.

Package: libboost-timer1.67.0
Description-md5: 3800da07b3dc08efcf3c762480b53231
Description-en: C++ wall clock and CPU process timers
 This package forms part of the Boost C++ Libraries collection.
 .
 Portable C++ timer classes that answer the question "How long does my
 C++ code take to run?" with as little as one #include and one
 additional line of code.
 .
 Class cpu_timer measures wall clock time, user CPU process time, and
 system CPU process time. Class auto_cpu_timer is a refinement of
 cpu_timer that automatically reports the elapsed times when an
 auto_cpu_timer object is destroyed.

Package: libboost-timer1.71-dev
Description-md5: 3800da07b3dc08efcf3c762480b53231
Description-en: C++ wall clock and CPU process timers
 This package forms part of the Boost C++ Libraries collection.
 .
 Portable C++ timer classes that answer the question "How long does my
 C++ code take to run?" with as little as one #include and one
 additional line of code.
 .
 Class cpu_timer measures wall clock time, user CPU process time, and
 system CPU process time. Class auto_cpu_timer is a refinement of
 cpu_timer that automatically reports the elapsed times when an
 auto_cpu_timer object is destroyed.

Package: libboost-timer1.71.0
Description-md5: 3800da07b3dc08efcf3c762480b53231
Description-en: C++ wall clock and CPU process timers
 This package forms part of the Boost C++ Libraries collection.
 .
 Portable C++ timer classes that answer the question "How long does my
 C++ code take to run?" with as little as one #include and one
 additional line of code.
 .
 Class cpu_timer measures wall clock time, user CPU process time, and
 system CPU process time. Class auto_cpu_timer is a refinement of
 cpu_timer that automatically reports the elapsed times when an
 auto_cpu_timer object is destroyed.

Package: libboost-tools-dev
Description-md5: 02d5b5c2c5105f16a766f3a0f31b9f59
Description-en: Boost C++ Libraries development tools (default version)
 The Boost web site provides free, peer-reviewed, portable C++ source
 libraries. The emphasis is on libraries which work well with the C++
 Standard Library. One goal is to establish "existing practice" and
 provide reference implementations so that the Boost libraries are
 suitable for eventual standardization. Some of the libraries have
 already been proposed for inclusion in the C++ Standards Committee's
 upcoming C++ Standard Library Technical Report.
 .
 This package provides the auxiliary tools bjam, Boost.Build, bcp,
 inspect, boostbook and quickbook.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-type-erasure-dev
Description-md5: af2e36fe313e53b458d36dfc45a54f56
Description-en: C++ runtime polymorphism based on concepts (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 C++ has two distinct kinds of polymorphism, virtual functions and templates,
 each of which has its own advantages and disadvantages.  The Boost.TypeErasure
 library combines the superior abstraction capabilities of templates, with the
 runtime flexibility of virtual functions.
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-type-erasure1.67-dev
Description-md5: c5bcc1bc341ce6b96d3a0f9a07fb2221
Description-en: C++ runtime polymorphism based on concepts
 This package forms part of the Boost C++ Libraries collection.
 .
 C++ has two distinct kinds of polymorphism, virtual functions and templates,
 each of which has its own advantages and disadvantages.  The Boost.TypeErasure
 library combines the superior abstraction capabilities of templates, with the
 runtime flexibility of virtual functions.

Package: libboost-type-erasure1.67.0
Description-md5: c5bcc1bc341ce6b96d3a0f9a07fb2221
Description-en: C++ runtime polymorphism based on concepts
 This package forms part of the Boost C++ Libraries collection.
 .
 C++ has two distinct kinds of polymorphism, virtual functions and templates,
 each of which has its own advantages and disadvantages.  The Boost.TypeErasure
 library combines the superior abstraction capabilities of templates, with the
 runtime flexibility of virtual functions.

Package: libboost-type-erasure1.71-dev
Description-md5: c5bcc1bc341ce6b96d3a0f9a07fb2221
Description-en: C++ runtime polymorphism based on concepts
 This package forms part of the Boost C++ Libraries collection.
 .
 C++ has two distinct kinds of polymorphism, virtual functions and templates,
 each of which has its own advantages and disadvantages.  The Boost.TypeErasure
 library combines the superior abstraction capabilities of templates, with the
 runtime flexibility of virtual functions.

Package: libboost-type-erasure1.71.0
Description-md5: c5bcc1bc341ce6b96d3a0f9a07fb2221
Description-en: C++ runtime polymorphism based on concepts
 This package forms part of the Boost C++ Libraries collection.
 .
 C++ has two distinct kinds of polymorphism, virtual functions and templates,
 each of which has its own advantages and disadvantages.  The Boost.TypeErasure
 library combines the superior abstraction capabilities of templates, with the
 runtime flexibility of virtual functions.

Package: libboost-wave-dev
Description-md5: 4b6c2ff714ed80cf450b28e59876116f
Description-en: C99/C++ preprocessor library (default version)
 This package forms part of the Boost C++ Libraries collection.
 .
 The Wave C++ preprocessor library is a Standards conformant
 implementation of the mandated C99/C++ preprocessor functionality
 packed behind a simple to use interface, which integrates well with
 the well known idioms of the Standard Template Library (STL).
 .
 This package is a dependency package, which depends on Debian's default
 Boost version (currently 1.71).

Package: libboost-wave1.67-dev
Description-md5: 793667709ca25994c0a09d26633460ba
Description-en: C99/C++ preprocessor library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Wave C++ preprocessor library is a Standards conformant
 implementation of the mandated C99/C++ preprocessor functionality
 packed behind a simple to use interface, which integrates well with
 the well known idioms of the Standard Template Library (STL).

Package: libboost-wave1.67.0
Description-md5: 793667709ca25994c0a09d26633460ba
Description-en: C99/C++ preprocessor library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Wave C++ preprocessor library is a Standards conformant
 implementation of the mandated C99/C++ preprocessor functionality
 packed behind a simple to use interface, which integrates well with
 the well known idioms of the Standard Template Library (STL).

Package: libboost-wave1.71-dev
Description-md5: 793667709ca25994c0a09d26633460ba
Description-en: C99/C++ preprocessor library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Wave C++ preprocessor library is a Standards conformant
 implementation of the mandated C99/C++ preprocessor functionality
 packed behind a simple to use interface, which integrates well with
 the well known idioms of the Standard Template Library (STL).

Package: libboost-wave1.71.0
Description-md5: 793667709ca25994c0a09d26633460ba
Description-en: C99/C++ preprocessor library
 This package forms part of the Boost C++ Libraries collection.
 .
 The Wave C++ preprocessor library is a Standards conformant
 implementation of the mandated C99/C++ preprocessor functionality
 packed behind a simple to use interface, which integrates well with
 the well known idioms of the Standard Template Library (STL).

Package: libboost1.67-all-dev
Description-md5: abd1c54975e8940f5fbd0921c61d01f1
Description-en: Boost C++ Libraries development files (ALL)
 The Boost web site provides free, peer-reviewed, portable C++ source
 libraries. The emphasis is on libraries which work well with the C++
 Standard Library. One goal is to establish "existing practice" and
 provide reference implementations so that the Boost libraries are
 suitable for eventual standardization. Some of the libraries have
 already been proposed for inclusion in the C++ Standards Committee's
 upcoming C++ Standard Library Technical Report.
 .
 This metapackage provides the complete Boost development environment,
 including all separately-packaged libraries.

Package: libboost1.67-dev
Description-md5: 5a4757456b06ec2c205f53eaa244f371
Description-en: Boost C++ Libraries development files
 The Boost web site provides free, peer-reviewed, portable C++ source
 libraries. The emphasis is on libraries which work well with the C++
 Standard Library. One goal is to establish "existing practice" and
 provide reference implementations so that the Boost libraries are
 suitable for eventual standardization. Some of the libraries have
 already been proposed for inclusion in the C++ Standards Committee's
 upcoming C++ Standard Library Technical Report.
 .
 This package provides headers for all Boost libraries.
 .
 Extended precision arithmetic package libmpfrc++-dev or libntl-dev is
 required to use the Boost.Multiprecision wrapping of the respective
 library.

Package: libboost1.67-doc
Description-md5: 0047dca3fe8d493c8010ac9a1b558493
Description-en: Boost.org libraries documentation placeholder
 This package originally provided documentation, but has since fallen
 into disrepair and presently provides no documentation.  Please
 use documentation at www.boost.org.

Package: libboost1.67-tools-dev
Description-md5: b20d39e2efe0c14e144ce9d2c5d01d38
Description-en: Boost C++ Libraries development tools
 The Boost web site provides free, peer-reviewed, portable C++ source
 libraries. The emphasis is on libraries which work well with the C++
 Standard Library. One goal is to establish "existing practice" and
 provide reference implementations so that the Boost libraries are
 suitable for eventual standardization. Some of the libraries have
 already been proposed for inclusion in the C++ Standards Committee's
 upcoming C++ Standard Library Technical Report.
 .
 This package provides the auxiliary tools bjam, Boost.Build, bcp,
 inspect, boostbook and quickbook.

Package: libboost1.71-all-dev
Description-md5: abd1c54975e8940f5fbd0921c61d01f1
Description-en: Boost C++ Libraries development files (ALL)
 The Boost web site provides free, peer-reviewed, portable C++ source
 libraries. The emphasis is on libraries which work well with the C++
 Standard Library. One goal is to establish "existing practice" and
 provide reference implementations so that the Boost libraries are
 suitable for eventual standardization. Some of the libraries have
 already been proposed for inclusion in the C++ Standards Committee's
 upcoming C++ Standard Library Technical Report.
 .
 This metapackage provides the complete Boost development environment,
 including all separately-packaged libraries.

Package: libboost1.71-doc
Description-md5: 0047dca3fe8d493c8010ac9a1b558493
Description-en: Boost.org libraries documentation placeholder
 This package originally provided documentation, but has since fallen
 into disrepair and presently provides no documentation.  Please
 use documentation at www.boost.org.

Package: libbot-basicbot-perl
Description-md5: e61d469965fb53d59c2512df0b0be302
Description-en: simple IRC bot baseclass
 Basic IRC bot system designed to make it easy to do simple bots, optionally
 forking longer processes (like searches) concurrently in the background.
 .
 Several examples of bots using Bot::BasicBot are provided.

Package: libbot-basicbot-pluggable-perl
Description-md5: b686d781e5096c94a8f70d0ec0d62631
Description-en: extended simple IRC bot for pluggable modules
 Bot::BasicBot::Pluggable started as Yet Another Infobot replacement,
 but now is a generalized framework for writing infobot-type bots that
 lets you keep each specific function separate. You can have separate modules
 for factoid tracking, 'seen' status, karma, googling, etc.
 Included default modules are:
 .
  Auth    - user authentication and main access.
  DNS     - host lookup (e.g. nslookup and dns).
  Google  - search Google for things.
  Infobot - handles infobot-style factoids.
  Join    - joins and leaves channels.
  Karma   - tracks the popularity of things.
  Loader  - loads and unloads modules as bot commands.
  Seen    - tells you when people were last seen.
  Title   - gets the title of URLs mentioned in channel.
  Vars    - changes module variables.

Package: libbot-training-perl
Description-md5: 6e871c1212d9cf05752615bd50a77b25
Description-en: text training material for bots
 Markov bots like Hailo and AI::MegaHAL are fun. But to get them
 working you either need to train them on existing training material or
 make your own.
 .
 Bot::Training provides a pluggable way to install already existing
 training files via the CPAN. It also comes with a command-line
 interface called bot-training.

Package: libbotan-2-12
Description-md5: 6de918ceaa3e7e490370b3b25cbac026
Description-en: multiplatform crypto library (2.x version)
 Botan is a C++ library which provides support for many common cryptographic
 operations, including encryption, authentication, and X.509v3 certificates and
 CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES,
 MD5, and SHA-1.
 .
 This package contains the 2.x version of Botan.

Package: libbotan-2-dev
Description-md5: 6de918ceaa3e7e490370b3b25cbac026
Description-en: multiplatform crypto library (2.x version)
 Botan is a C++ library which provides support for many common cryptographic
 operations, including encryption, authentication, and X.509v3 certificates and
 CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES,
 MD5, and SHA-1.
 .
 This package contains the 2.x version of Botan.

Package: libbotan-2-doc
Description-md5: 6de918ceaa3e7e490370b3b25cbac026
Description-en: multiplatform crypto library (2.x version)
 Botan is a C++ library which provides support for many common cryptographic
 operations, including encryption, authentication, and X.509v3 certificates and
 CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES,
 MD5, and SHA-1.
 .
 This package contains the 2.x version of Botan.

Package: libboulder-perl
Description-md5: c5e47fde800b5969404e71ffafea9c04
Description-en: Perl module for hierarchical tag/value structures
 Boulder provides a simple stream-oriented format for transmitting data
 objects between one or more processes.  It does not provide for the
 serialization of Perl objects the way FreezeThaw or Data::Dumper do,
 but it does provide the advantage of being language independent.
 .
 In addition to a stream-oriented interface, Boulder comes with a
 simple record-oriented database-oriented interface, Boulder::Store,
 which provides query and search capabilities comparable to many flat
 file DBMS systems.

Package: libbox2d-dev
Description-md5: 7f950bd241ad69588550f4c515423a02
Description-en: 2D physics engine - development files
 2D rigid body simulation library for games. Programmers can use it in
 their games to make objects move in believable ways and make the world
 seem more interactive. From the game's point of view a physics engine is
 just a system for procedural animation. Rather than paying (or begging)
 an animator to move your actors around, you can let Sir Isaac Newton do
 the directing.
 .
 This package contains the development libraries and headers.

Package: libbox2d-doc
Description-md5: f8cda977c507d4b4636a5b30ed557262
Description-en: 2D physics engine - documentation
 2D rigid body simulation library for games. Programmers can use it in
 their games to make objects move in believable ways and make the world
 seem more interactive.
 .
 This package contains the API documentation and the manual.

Package: libbox2d2.3.0
Description-md5: daf1bd506664298c3c0b307d3c4d81f6
Description-en: 2D physics engine
 2D rigid body simulation library for games. Programmers can use it in
 their games to make objects move in believable ways and make the world
 seem more interactive. From the game's point of view a physics engine is
 just a system for procedural animation. Rather than paying (or begging)
 an animator to move your actors around, you can let Sir Isaac Newton do
 the directing.

Package: libboxfort
Description-md5: 8128a8de999506ce502124b4e15cf134
Description-en: simple, cross-platform sandboxing C library powering Criterion
 BoxFort provides a simple API to run user code in isolated processes.
 .
 Although BoxFort provides some kind of security of the parent process from
 spawned sandboxes, a sandbox has by default the same system permissions and
 access than its parent, and is hence, without care, ill-fitted for security
 purposes.
 .
 The main goal of this project is not security, but portable code isolation
 -- if you want complete system isolation, consider using properly configured
 containers.

Package: libboxfort-dev
Description-md5: 0541108a6142fb1b036b644c9fdc14ab
Description-en: development file for BoxFort
 BoxFort provides a simple API to run user code in isolated processes.
 .
 Although BoxFort provides some kind of security of the parent process from
 spawned sandboxes, a sandbox has by default the same system permissions and
 access than its parent, and is hence, without care, ill-fitted for security
 purposes.
 .
 The main goal of this project is not security, but portable code isolation
 -- if you want complete system isolation, consider using properly configured
 containers.
 .
 This package contains the header files required to compile a package against
 BoxFort.

Package: libbpfcc
Description-md5: f1a2f55ce8034318f2e4d912f9e3daa8
Description-en: shared library for BPF Compiler Collection (BCC)
 BPF Compiler Collection (BCC) is a toolkit for creating efficient
 kernel tracing and manipulation programs
 .
 It makes use of extended BPF (Berkeley Package Filter) and provides tools
 for BPF based Linux IO analysis, networking, monitoring and more
 .
 This package provides the shared library for BPF Compiler Collection
 to control BPF programs from userspace.

Package: libbpfcc-dev
Description-md5: 84f1aab24de6fad33d26d21f0add6fb8
Description-en: shared library for BPF Compiler Collection (BCC)
 BPF Compiler Collection (BCC) is a toolkit for creating efficient
 kernel tracing and manipulation programs
 .
 It makes use of extended BPF (Berkeley Package Filter) and provides tools
 for BPF based Linux IO analysis, networking, monitoring and more
 .
 This package provides the development headers for BPF Compiler Collection

Package: libbpp-core-dev
Description-md5: cdb7982ac0345bfeaa2a4b3751d0553e
Description-en: Bio++ Core library development files
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the static library and the header files of the
 Bio++ core classes.

Package: libbpp-core4
Description-md5: 41283ea0cba5f10d17a7daaeff42ea9f
Description-en: Bio++ Core library
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the dynamic library of the Bio++ core classes.

Package: libbpp-phyl-dev
Description-md5: ecbb8f2629f77269e14839f4f3009709
Description-en: Bio++ Phylogenetic library development files
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the static library and the header files of the
 Bio++ classes for phylogenetics.

Package: libbpp-phyl-omics-dev
Description-md5: 0842ff0430914c350171c68c503e3c98
Description-en: Bio++ Phylogenetics library: genomics components (development files)
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the static library and the header files of the
 Bio++ classes dedicated to genomic phylogeny.

Package: libbpp-phyl-omics3
Description-md5: 8c041016d3ddd4e0d77aaa79469bc58a
Description-en: Bio++ Phylogenetics library: genomics components
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the dynamic library of the Bio++ classes
 dedicated to genomic phylogeny.

Package: libbpp-phyl12
Description-md5: 4eabb420215b44163df63e483364dbad
Description-en: Bio++ Phylogenetic library
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the Bio++ classes for phylogenetics.

Package: libbpp-popgen-dev
Description-md5: cc140fda86582e1b058f0ee873b32762
Description-en: Bio++ Population Genetics library development files
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the static library and the header files of the
 Bio++ Population Genetics classes.

Package: libbpp-popgen8
Description-md5: 82689ca0214decec392f833586dd1e21
Description-en: Bio++ Population Genetics library
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the dynamic library of the Bio++ Population
 Genetics classes.

Package: libbpp-qt-dev
Description-md5: eb9edf0683eaa8ec7e5a7373c50364f4
Description-en: Bio++ Qt Graphic classes library development files
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 Contains development files of the Bio++ graphical classes developed
 with Qt.

Package: libbpp-qt2
Description-md5: b275409d2f6445ff965cdde7392eb6f0
Description-en: Bio++ Qt Graphic classes library
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 Contains the Bio++ graphical classes developed with Qt.

Package: libbpp-raa-dev
Description-md5: c34daa6a999130ac182030f9e53a51f3
Description-en: Bio++ Remote Acnuc Access library development files
 This library contains utilitary and classes to query public databases
 (GenBank, EMBL, SwissProt, etc) using acnuc.
 It is part of the Bio++ project.
 .
 This package contains header files and static library.

Package: libbpp-raa4
Description-md5: 2fc64940ac4060090c42dd9bd3ab800a
Description-en: Bio++ Remote Acnuc Access library
 This library contains utilitary and classes to query public databases
 (GenBank, EMBL, SwissProt, etc) using acnuc.
 It is part of the Bio++ project.
 .
 This package contains the dynamic library.

Package: libbpp-seq-dev
Description-md5: 277fc09c00aacce05de693cc1624eff6
Description-en: Bio++ Sequence library development files
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the static library and the header files of Bio++
 classes for sequence analysis classes.

Package: libbpp-seq-omics-dev
Description-md5: 0691977b662e1cda905f3c7fda528e1c
Description-en: Bio++ Sequence library: genomics components (development files)
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the static library and the header files of the
 Bio++ classes dedicated to genomic sequencing.

Package: libbpp-seq-omics3
Description-md5: 24bf0b8d0df837ea2ae82773ed44ee9e
Description-en: Bio++ Sequence library: genomics components
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the dynamic library of the Bio++ classes
 dedicated to genomic sequencing.

Package: libbpp-seq12
Description-md5: cf118c42cb51cd6712d33e4c5537ce2f
Description-en: Bio++ Sequence library
 Bio++ is a set of C++ libraries for Bioinformatics, including sequence
 analysis, phylogenetics, molecular evolution and population genetics.
 Bio++ is Object Oriented and is designed to be both easy to use and
 computer efficient. Bio++ intends to help programmers to write computer
 expensive programs, by providing them a set of re-usable tools.
 .
 This package contains the Bio++ classes for sequence analysis.

Package: libbrahe-1.3-3
Description-md5: f4ff8aee9c52045caa72574716b2d4ac
Description-en: heterogeneous C library of numeric functions
 This library provides:
 .
   * a function for rounding floating point values to a specific number
     of digits
   * several pseudo-random number generators, including the Mersenne
     Twister, various algorithms by Marsaglia, and ISAAC
   * least common multiple and greatest common denominator functions
   * a few trigonometry functions for finding the inversions of hyperbolic
     sine, cosine, and tangent
 .
 This library is also used by libevocosm, which is in turn the
 foundation for Acovea, used to determine optimal compiler optimizations

Package: libbrahe-dev
Description-md5: c9509cdef5acf270f8cf0338a66a1960
Description-en: heterogeneous C library of numeric functions
 This library provides:
 .
   * a function for rounding floating point values to a specific number
     of digits
   * several pseudo-random number generators, including the Mersenne
     Twister, various algorithms by Marsaglia, and ISAAC
   * least common multiple and greatest common denominator functions
   * a few trigonometry functions for finding the inversions of hyperbolic
     sine, cosine, and tangent
 .
 This library is also used by libevocosm, which is in turn the
 foundation for Acovea, used to determine optimal compiler optimizations
 .
 This package contains the files needed to develop code using libbrahe.

Package: libbraiding-dev
Description-md5: 044c45d05a6d3e7cbe21c733d184deeb
Description-en: computations on braid groups (development files)
 libbraiding is a library to compute several properties of braids,
 including centralizer and conjugacy check.
 .
 It is based on CBraid by Jae Choon Cha and Braiding by Juan Gonzalez-Meneses.
 .
 This package contains the development files for the library.

Package: libbraiding0
Description-md5: ab689a5d0d1260bb007c4dcdf30b7e53
Description-en: computations on braid groups
 libbraiding is a library to compute several properties of braids,
 including centralizer and conjugacy check.
 .
 It is based on CBraid by Jae Choon Cha and Braiding by Juan Gonzalez-Meneses.

Package: libbrailleutils-java
Description-md5: 8810fef3f9bfd8214e9dda95c63ddfef
Description-en: cross platform library for embossing/converting PEF-files
 BrailleUtils provides a cross platform API for embossing and converting
 braille in PEF-format.  It also supports conversion to and from commonly used
 "braille" text formats.
 .
 The package contains just the library.

Package: libbrailleutils-java-doc
Description-md5: 05465ef434585807ec59f84931a0fecd
Description-en: javadoc for brailleUtils for converting/embossing PEF files
 BrailleUtils provides a cross platform API for embossing and converting
 braille in PEF-format.  It also supports conversion to and from commonly used
 "braille" text formats.
 .
 This package contains the user and the developer documentation.

Package: libbrasero-media3-1
Description-md5: 24b5258efe5b1e76dca7a3f333ac5af7
Description-en: CD/DVD burning library for GNOME - runtime
 Libbrasero is a simple library to burn, copy and erase CD and DVD
 media.
 .
 This package contains the shared library.

Package: libbrasero-media3-dev
Description-md5: e5d0a609d9371ea0dd9ee6e59e1db375
Description-en: CD/DVD burning library for GNOME - development
 Libbrasero is a simple library to burn, copy and erase CD and DVD
 media.
 .
 This package contains the development headers and API documentation.

Package: libbread-board-perl
Description-md5: 3318bd8502d14d4750ecc0f0a312909f
Description-en: solderless way to wire up your application components
 Bread::Board is an inversion of control framework
 with a focus on dependency injection and lifecycle management.
 It's goal is to help you write more decoupled objects and components
 by removing the need for you
 to manually wire those objects/components together.

Package: libbrial-dev
Description-md5: c2626fcf7fcb606f5ba4966ffc1b51a2
Description-en: polynomials over Boolean Rings, core development files
 The core of BRiAl is a C++ library, which provides high-level data
 types for Boolean polynomials and monomials, exponent vectors, as
 well as for the underlying polynomial rings and subsets of the
 powerset of the Boolean variables. As a unique approach, binary
 decision diagrams are used as internal storage type for polynomial
 structures. On top of this C++-library a Python interface
 is provided. This allows parsing of complex polynomial systems, as well
 as sophisticated and extendable strategies for Groebner base
 computation. BRiAl features a powerful reference implementation
 for Groebner basis computation.
 .
 This package contains the BRiAl core development files.

Package: libbrial-groebner-dev
Description-md5: 06b76f7f1667b2f0b3f088ab64c02c58
Description-en: development files for libbrial-groebner
 The core of BRiAl is a C++ library, which provides high-level data
 types for Boolean polynomials and monomials, exponent vectors, as
 well as for the underlying polynomial rings and subsets of the
 powerset of the Boolean variables. As a unique approach, binary
 decision diagrams are used as internal storage type for polynomial
 structures. On top of this C++-library a Python interface
 is provided. This allows parsing of complex polynomial systems, as well
 as sophisticated and extendable strategies for Groebner base
 computation. BRiAl features a powerful reference implementation
 for Groebner basis computation.
 .
 This package contains the development files for libbrial-groebner.

Package: libbrial-groebner3
Description-md5: c85b9b23bc19cfbcd1c4f6095e22ba7e
Description-en: computer algebra routines of BRiAl
 The core of BRiAl is a C++ library, which provides high-level data
 types for Boolean polynomials and monomials, exponent vectors, as
 well as for the underlying polynomial rings and subsets of the
 powerset of the Boolean variables. As a unique approach, binary
 decision diagrams are used as internal storage type for polynomial
 structures. On top of this C++-library a Python interface
 is provided. This allows parsing of complex polynomial systems, as well
 as sophisticated and extendable strategies for Groebner base
 computation. BRiAl features a powerful reference implementation
 for Groebner basis computation.
 .
 This package contains the BRiAl shared library libbrial_groebner.

Package: libbrial3
Description-md5: 25d1c5e76291f633e89aa1da852af7cd
Description-en: polynomials over Boolean Rings, shared library
 The core of BRiAl is a C++ library, which provides high-level data
 types for Boolean polynomials and monomials, exponent vectors, as
 well as for the underlying polynomial rings and subsets of the
 powerset of the Boolean variables. As a unique approach, binary
 decision diagrams are used as internal storage type for polynomial
 structures. On top of this C++-library a Python interface
 is provided. This allows parsing of complex polynomial systems, as well
 as sophisticated and extendable strategies for Groebner base
 computation. BRiAl features a powerful reference implementation
 for Groebner basis computation.
 .
 This package contains the BRiAl shared library libbrial.

Package: libbridge-method-injector-java
Description-md5: 37653fc15797b71018b5442c45126ac0
Description-en: Evolve Java classes without breaking compatibility
 Bridge Method Injector provides a maven-plugin that allows you
 to evolve Java classes without breaking API compatibility.
 .
 It also provides the annotation @WithBridgeMethods so uses of
 bridge methods can be easily identified through use of
 annotation-indexing.

Package: libbridge-method-injector-java-doc
Description-md5: e856c874b5ff07dc43f77ce01202ac57
Description-en: Documentation for Bridge Method Injector
 Bridge Method Injector provides a maven-plugin that allows you
 to evolve Java classes without breaking API compatibility.
 .
 It also provides the annotation @WithBridgeMethods so uses of
 bridge methods can be easily identified through use of
 annotation-indexing.
 .
 This package provides the API documentation for libbridge-method-injector-java.

Package: libbrlapi-java
Description-md5: 550798d32e56d5123c7e48d253383d72
Description-en: Java bindings for BrlAPI
 BRLTTY is a daemon which provides access to the console (text mode)
 for a blind person using a braille display.  It drives the braille
 display and provides complete screen review functionality.
 .
 BrlAPI is a library which gives an application access to a braille display and
 lets it write braille.
 .
 This package provides java classes to access a braille display via BrlAPI.

Package: libbrlapi-jni
Description-md5: eafbff381891784e8b3d70095621f0d7
Description-en: Java bindings for BrlAPI (native library)
 BRLTTY is a daemon which provides access to the console (text mode)
 for a blind person using a braille display.  It drives the braille
 display and provides complete screen review functionality.
 .
 BrlAPI is a library which gives an application access to a braille display and
 lets it write braille.
 .
 This package provides the architecture-dependant files required to
 access a braille terminal via BrlAPI from Java.

Package: libbroccoli-dev
Description-md5: 8eeeb7cac4dbd6602621207d72a8ce80
Description-en: Bro client communications library -- headers and static libraries
 This package contains the development environment for the "Broccoli" library.

Package: libbroccoli5
Description-md5: ed83da784be27b7f5e39c3a6aad8fc5a
Description-en: Bro client communications library
 Broccoli is the "Bro client communications library". It allows you to
 create client sensors for the Bro intrusion detection system.
 Broccoli can speak a good subset of the Bro communication protocol,
 in particular, it can receive Bro IDs, send and receive Bro events,
 and send and receive event requests to/from peering Bros. You can
 currently create and receive values of pure types like integers,
 counters, timestamps, IP addresses, port numbers, booleans, and
 strings.

Package: libbrowser-open-perl
Description-md5: 4500c62c94b30b3448bf96fcb3f0b7b1
Description-en: Perl module to open a browser in a given URL
 The functions optionaly exported by Browser::Open allows you to open URLs in
 the user browser.
 .
 A set of known commands per OS-name is tested for presence, and the first one
 found is executed. With an optional parameter, all known commands are
 checked.
 .
 The "open_browser" uses the system() function to execute the command. If you
 want more control, you can get the command with the "open_browser_cmd" or
 "open_browser_cmd_all" functions and then use whatever method you want to
 execute it.

Package: libbs2b-dev
Description-md5: 3f42f91d4cd4baf6331fbc6d6d304efb
Description-en: Bauer stereophonic-to-binaural DSP library development files
 The Bauer stereophonic-to-binaural DSP (bs2b) is designed to improve
 headphone listening of stereo audio records.
 .
 Typical stereo recordings are made for listening with speakers.
 What's missing in headphones is the sound going from each channel
 to the opposite ear, arriving a short time later for the extra distance
 traveled, and with a bit of high frequency roll-off for the shadowing
 effect of the head. The time delay to the far ear should also be
 somewhat longer at low frequencies than at high frequencies. bs2b
 implements both of these ideas to transform stereophonic recordings
 for headphone listening.
 .
 This package contains the files needed to build packages linking against
 libbs2b.

Package: libbs2b0
Description-md5: eef71aa12fe254c11f5d4cfef06a170a
Description-en: Bauer stereophonic-to-binaural DSP library
 The Bauer stereophonic-to-binaural DSP (bs2b) is designed to improve
 headphone listening of stereo audio records.
 .
 Typical stereo recordings are made for listening with speakers.
 What's missing in headphones is the sound going from each channel
 to the opposite ear, arriving a short time later for the extra distance
 traveled, and with a bit of high frequency roll-off for the shadowing
 effect of the head. The time delay to the far ear should also be
 somewhat longer at low frequencies than at high frequencies. bs2b
 implements both of these ideas to transform stereophonic recordings
 for headphone listening.

Package: libbsd-arc4random-perl
Description-md5: 2c060edcf9d088c702390e63f5b7fc59
Description-en: CPAN's BSD::arc4random -- Perl bindings for arc4random
 The BSD::arc4random module provides a Perl API for the arc4random(3)
 suite of functions and a few natively implemented functions.
 .
 Exposed functions are: have_kintf (ability to push entropy back to
 the kernel); arc4random, arc4random_bytes, arc4random_uniform, the
 RANDOM variable (get entropy); arc4random_stir (next time used, some
 entropy from the kernel is first requested); arc4random_addrandom
 (add user data to SRNG state); arc4random_push[bk] (push user data
 to kernel RNG if supported; add kernel data or, if not supported,
 user data to SRNG); the ability to "tie" variables to this module
 for retrieving and storing entropy (e.g. in $RANDOM).

Package: libbsf-java
Description-md5: 7edf52967a3df85d4e3d6a32472105f0
Description-en: Bean Scripting Framework to support scripting languages in Java
 Bean Scripting Framework (BSF) is a set of Java classes which provides
 scripting language support within Java applications, and access to Java
 objects and methods from scripting languages. BSF allows one to write JSPs in
 languages other than Java while providing access to the Java class library. In
 addition, BSF permits any Java application to be implemented in part (or
 dynamically extended) by a language that is embedded within it. This is
 achieved by providing an API that permits calling scripting language engines
 from within Java, as well as an object registry that exposes Java objects to
 these scripting language engines.
 .
 BSF supports these scripting languages:
  * Python (using Jython)
  * JavaScript (using rhino)
  * XSLT Stylesheets (as a component of Apache XML project's Xalan and Xerces)
  * BeanShell (using bsh) via its own bsf adapter
 .
 Support for Tcl, NetRexx is not available in this Debian
 package since Jacl, NetRexx (non-free) are not packaged.

Package: libbsf-java-doc
Description-md5: 92502baf2a10b38aeaf5b2752e5730e4
Description-en: Bean Scripting Framework to support scripting - documentation
 Bean Scripting Framework (BSF) is a set of Java classes which provides
 scripting language support within Java applications, and access to Java
 objects and methods from scripting languages. BSF allows one to write JSPs in
 languages other than Java while providing access to the Java class library. In
 addition, BSF permits any Java application to be implemented in part (or
 dynamically extended) by a language that is embedded within it. This is
 achieved by providing an API that permits calling scripting language engines
 from within Java, as well as an object registry that exposes Java objects to
 these scripting language engines.
 .
 BSF supports these scripting languages:
  * Python (using Jython)
  * JavaScript (using rhino)
  * XSLT Stylesheets (as a component of Apache XML project's Xalan and Xerces)
  * BeanShell (using bsh) via its own bsf adapter
 .
 Support for Tcl, NetRexx is not available in this Debian
 package since Jacl, NetRexx (non-free) are not packaged.
 .
 This package contains Javadoc HTML documentation for
 libbsf-java.

Package: libbsh-java
Description-md5: 9438acca3862ed2b76eba52014902e6e
Description-en: Java scripting environment (BeanShell) Version 2 (library)
 BeanShell is a small, free, embeddable, Java source interpreter with object
 scripting language features, written in Java. BeanShell executes standard Java
 statements and expressions, in addition to obvious scripting commands and
 syntax.  BeanShell supports scripted objects as simple method closures like
 those in Perl and JavaScript(tm).
 .
 You can use BeanShell interactively for Java experimentation and debugging or
 as a simple scripting engine for you applications.  In short: BeanShell is a
 dynamically interpreted Java, plus some useful stuff.
 .
 This package contains the Java library without the command line tools.

Package: libbson-1.0-0
Description-md5: 5e4ec561076f38b0708faffaffcef666
Description-en: Library to parse and generate BSON documents - runtime files
 libbson parses and generates BSON documents, the data format for
 MongoDB. It is a dependency for libmongoc, the MongoDB client library,
 for which it provides BSON support as well as platform-compatibility
 functions and macros.
 .
 This package contains the libbson runtime libraries, for applications that
 have been built with libbson.

Package: libbson-dev
Description-md5: 6aa5c36fddeb6bc9cad3d09fd53066da
Description-en: Library to parse and generate BSON documents - dev files
 libbson parses and generates BSON documents, the data format for
 MongoDB. It is a dependency for libmongoc, the MongoDB client library,
 for which it provides BSON support as well as platform-compatibility
 functions and macros.
 .
 This package contains all files necessary to develop applications which
 use libbson.

Package: libbson-doc
Description-md5: 977036cf3bd4217d468a8be57dd08926
Description-en: Library to parse and generate BSON documents - documentation
 libbson parses and generates BSON documents, the data format for
 MongoDB. It is a dependency for libmongoc, the MongoDB client library,
 for which it provides BSON support as well as platform-compatibility
 functions and macros.
 .
 This package contains the man pages for libbson.

Package: libbson-perl
Description-md5: c4489a8fd643eae386a31828d0b4caf8
Description-en: BSON serialization and deserialization for Perl
 The BSON class implements a BSON encoder/decoder ("codec"). It consumes
 "documents" (typically hash references) and emits BSON strings and vice versa
 in accordance with the BSON Specification (http://bsonspec.org).
 .
 BSON is the primary data representation for MongoDB. While this module has
 several features that support MongoDB-specific needs and conventions, it can
 be used as a standalone serialization format.

Package: libbson-xs-perl
Description-md5: d7d68d24879f17bb4a02671b0af871cb
Description-en: Perl XS implementation of MongoDB's BSON serialization
 The BSON class implements a BSON encoder/decoder ("codec"). It consumes
 "documents" (typically hash references) and emits BSON strings and vice versa
 in accordance with the BSON Specification (http://bsonspec.org).
 .
 BSON is the primary data representation for MongoDB. While this module has
 several features that support MongoDB-specific needs and conventions, it can
 be used as a standalone serialization format.
 .
 BSON::XS contains an XS implementation for BSON encoding and decoding.
 There is no public API. Use the BSON module and it will choose the best
 implementation for you.

Package: libbssolv-perl
Description-md5: 6ddc1478ad38015a0484412b399737eb
Description-en: module to compute package dependencies (for Open Build Service backend)
 Satisfyability Solver based on LibSolv to compute package dependencies.
 .
 BSSolv is a support Perl module for the Open Build Service (OBS)
 backend. It contains functions for repository management, dependency
 solving, package ordering, and meta file creation.

Package: libbt-dev
Description-md5: 3f098bcca4decf81b38658425d93e901
Description-en: Blackbox - development library
 This is a window manager for X.  It is similar in many respects to
 such popular packages as Window Maker, Enlightenment, and FVWM2.  You
 might be interested in this package if you are tired of window managers
 that are a heavy drain on your system resources, but you still want
 an attractive and modern-looking interface.
 .
 The best part of all is that this program is coded in C++, so it
 is even more attractive "under the hood" than it is in service -- no
 small feat.
 .
 If none of this sounds familiar to you, or you want your computer to
 look like Microsoft Windows or Apple's OS X, you probably don't want
 this package.
 .
 This package contains the development library libbt0

Package: libbt0
Description-md5: 3e0ac4d0426041bc8725367210a3a5dc
Description-en: Blackbox - shared library
 This is a window manager for X.  It is similar in many respects to
 such popular packages as Window Maker, Enlightenment, and FVWM2.  You
 might be interested in this package if you are tired of window managers
 that are a heavy drain on your system resources, but you still want
 an attractive and modern-looking interface.
 .
 The best part of all is that this program is coded in C++, so it
 is even more attractive "under the hood" than it is in service -- no
 small feat.
 .
 If none of this sounds familiar to you, or you want your computer to
 look like Microsoft Windows or Apple's OS X, you probably don't want
 this package.
 .
 This package contains the shared library libbt0

Package: libbtbb-dev
Description-md5: 3a6661f252f7b32e0fb2ac4a60f1df69
Description-en: Bluetooth baseband decoding library - development files
 This is the Bluetooth baseband decoding library, forked from the GR-Bluetooth
 project. It can be used to extract Bluetooth packet and piconet information
 from Ubertooth devices as well as GR-Bluetooth/USRP.
 .
 This package provides the development files for using the btbb library.

Package: libbtbb1
Description-md5: ce90ea98423cea0302d8dda4eaa6b083
Description-en: Bluetooth baseband decoding library
 This is the Bluetooth baseband decoding library, forked from the GR-Bluetooth
 project. It can be used to extract Bluetooth packet and piconet information
 from Ubertooth devices as well as GR-Bluetooth/USRP.

Package: libbtm-java
Description-md5: 4531d05ac43af2c057c6d774d51d2a94
Description-en: Bitronix JTA Transaction Manager
 The Bitronix Transaction Manager (BTM) is a simple but complete implementation
 of the JTA 1.0.1B API. It is a fully working XA transaction manager that
 provides all services required by the JTA API while trying to keep the code as
 simple as possible for easier understanding of the XA semantics. This is BTM's
 strongest point compared to its competitors: it is trivial to configure and
 when something goes wrong it is much easier to figure out what to do thanks to
 the great care placed in useful error reporting and logging.

Package: libbtparse-dev
Description-md5: 6cc9043cbd281b6ab0649e75be781e05
Description-en: C library to parse BibTeX files - development files
 btparse is the C component of btOOL, a pair of libraries for parsing and
 processing BibTeX files. It is built on top of a lexical analyzer and parser
 constructed using PCCTS. The library provides entry points to the parser,
 functions to traverse and query the abstract-syntax tree that it produces, and
 some functions for processing strings in "the BibTeX way".
 .
 This package contains the necessary symlinks, headers and object files needed
 develop applications using btparse.

Package: libbtparse2
Description-md5: 8b569a7f954fe56523cdd7267f841f2a
Description-en: C library to parse BibTeX files
 btparse is the C component of btOOL, a pair of libraries for parsing and
 processing BibTeX files. It is built on top of a lexical analyzer and parser
 constructed using PCCTS. The library provides entry points to the parser,
 functions to traverse and query the abstract-syntax tree that it produces, and
 some functions for processing strings in "the BibTeX way".

Package: libbudgie-plugin0
Description-md5: 17aeb698890bc0c7f269538eecce8de0
Description-en: Plugin library for budgie-desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This adds the plugin library to budgie-desktop

Package: libbudgie-private0
Description-md5: eebc63cce6cc12b3764a50e8ea7c2d34
Description-en: Budgie Private library for budgie-desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This provides a private ABI for raven and the budgie panel

Package: libbudgietheme0
Description-md5: e3bdad239ff0a71f067a1357037d314e
Description-en: Theme library for budgie-desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This adds the theme controls for budgie-desktop

Package: libbuild-helper-maven-plugin-java
Description-md5: e1c1b168428cd79695169bf580575d1e
Description-en: Build Helper Maven Plugin
 This plugin contains various small independent goals to assist with Maven
 build lifecycle.
 .
 For example: attach some directory as new source folder, extract maven current
 version, parse and resolve a version property or reserve a random network port.

Package: libbullet-dev
Description-md5: bb781d0f479b67427ea1dd59e035c5d7
Description-en: professional 3D Game Multiphysics Library -- development files
 Bullet professional 3D Game Multiphysics Library provides state of the art
 collision detection, soft body and rigid body dynamics.
 .
  * Used by many movie and game companies in AAA titles on Playstation 3, XBox
    360, Nintendo Wii, PC, Android and iPhone.
  * Modular extendible C++ design with hot-swap of most components.
  * Discrete and continuous collision detection (CCD).
  * Swept collision queries.
  * Ray casting with custom collision filtering.
  * Generic convex support (using GJK), capsule, cylinder, cone, sphere, box and
    non-convex triangle meshes.
  * Support for dynamic deformation of non-convex triangle meshes, by refitting
    the acceleration structures.
 .
 Multiphysics support including:
 .
  * Rigid body dynamics including constraint solvers, generic constraints,
    ragdolls, hinge, ball-socket.
  * Support for constraint limits and motors.
  * Soft body support including cloth, rope and deformable.
  * Bullet is integrated into Blender.
  * Serialization of physics data in the cross-platform binary bullet file
    format.
 .
 This package contains development files for Bullet.

Package: libbullet-doc
Description-md5: 6d5dd96f32e2777f362c62dae4f5ecb3
Description-en: professional 3D Game Multiphysics Library -- documentation
 Bullet professional 3D Game Multiphysics Library provides state of the art
 collision detection, soft body and rigid body dynamics.
 .
 This package contains the Bullet API documentation and the Bullet Quick Start
 Guide.
 .
 For more information about Bullet, please refer to the libbullet-dev package.

Package: libbullet-extras-dev
Description-md5: 8340b03b9b64bfa835b906278a4153a9
Description-en: professional 3D Game Multiphysics Library -- extra development
 Bullet professional 3D Game Multiphysics Library provides state of the art
 collision detection, soft body and rigid body dynamics.
 .
 This package contains extra development files for Bullet.
 .
 For more information about Bullet, please refer to the libbullet-dev package.

Package: libbullet-extras2.88
Description-md5: 1a1908a354113872d7b63f700aba418d
Description-en: professional 3D Game Multiphysics Library -- extra libraries
 Bullet professional 3D Game Multiphysics Library provides state of the art
 collision detection, soft body and rigid body dynamics.
 .
 This package contains all extra shared runtime libraries for Bullet including
 HACD, GIMPACTUtils, InverseDynamics, Serialize, ConvexDecomposition and VHACD.
 .
 For more information about Bullet, please refer to the libbullet-dev package.

Package: libbullet2.88
Description-md5: 12d47535f35f354eaa86793578c64b8f
Description-en: professional 3D Game Multiphysics Library
 Bullet professional 3D Game Multiphysics Library provides state of the art
 collision detection, soft body and rigid body dynamics.
 .
 This package contains shared runtime libraries including BulletCollision,
 BulletDynamics, BulletSoftbody, LinearMath and all Bullet 3 libraries.
 .
 For more information about Bullet, please refer to the libbullet-dev package.

Package: libbulletml-dev
Description-md5: 66a3e1577c62893a5c3391db4dac7bbf
Description-en: C++ library to handle BulletML easily - development files
 BulletML is the Bullet Markup Language. BulletML can describe the barrage of
 bullets in shooting games.
 .
 This package includes the files needed for the development of programs that
 use this library.

Package: libbulletml0v5
Description-md5: d12a33d6e66c35f7372a26c9758cf856
Description-en: C++ library to handle BulletML easily - runtime library
 BulletML is the Bullet Markup Language. BulletML can describe the barrage of
 bullets in shooting games.
 .
 There are many advantages for using BulletML:
  * BulletML can describe the complicated barrage of bullets in recent
   shooting games easily
  * BulletML demo applet is available. Write BulletML document, and check it
   on this applet
  * BulletML is XML-based language. It offers data portability and reusability

Package: libbultitude-clojure
Description-md5: 4c1f415b3d826df3c9f23324735ac222
Description-en: Clojure library for finding namespaces on the classpath
 Bultitude is a library for finding namespaces on the classpath.

Package: libburn-dev
Description-md5: eea0e4c58fb3e562a54bbeaa2e05cadd
Description-en: development package for libburn4
 This package contains the headers, pkgconfig data and static library for
 libburn.
 You need the headers if you want to develop or compile applications which
 make use of the libburn4 API. Its definition is in <libburn/libburn.h>.

Package: libburn-doc
Description-md5: 7cb1d60ff5a4ce50715d8969e275629a
Description-en: background documentation for libburn library
 This package contains the background documentation for libburn. Not needed
 for application development but rather describing the peculiarities of
 optical media.

Package: libburn4
Description-md5: cf2babf95757ff222e8daf87baf45341
Description-en: library to provide CD/DVD/BD writing functions
 libburn is a library for reading and writing optical discs.
 Supported media are: CD-R, CD-RW, DVD-RAM, DVD+RW, DVD+R, DVD+R/DL,
 DVD-RW, DVD-R, DVD-R/DL, BD-R, BD-RE.

Package: libburner-media3-1
Description-md5: 3cbe52a11557eda116724ae3d01a81b5
Description-en: CD/DVD burning library for UKUI - runtime
 Libburner is a simple library to burn, copy and erase CD and DVD
 media.
 .
 This package contains the shared library.

Package: libburner-media3-dev
Description-md5: d4e3abc13fd5fe60c8d8d2a97deb3944
Description-en: CD/DVD burning library for GNOME - development
 Libburner is a simple library to burn, copy and erase CD and DVD
 media.
 .
 This package contains the development headers and API documentation.

Package: libbusiness-br-ids-perl
Description-md5: 8f002c7790f6c20e2569b521f1d95ddf
Description-en: modules for dealing with Brazilian identification codes (CPF, CNPJ, ...)
 Business::BR provides facilities to deal with Brazilian identification numbers
 and codes. It is part of a set of distributions to approach programming on
 Brazilian business-related subjects, like CPF and CNPJ.

Package: libbusiness-creditcard-perl
Description-md5: 0ecc8236acc8e008b18040559441ead3
Description-en: Perl module to validate or generate credit card checksums and names
 Business::CreditCard tell you whether a credit card number is
 self-consistent -- whether the last digit of the number is a valid
 checksum for the preceding digits.
 .
 The validate() subroutine returns 1 if the card number provided passes
 the checksum test, and 0 otherwise.
 .
 The cardtype() subroutine returns a string containing the type of
 card: "MasterCard", "VISA", and so on.
 .
 The generate_last_digit() subroutine computes and returns the last
 digit of the card given the preceding digits.  With a 16-digit card,
 you provide the first 15 digits; the subroutine returns the sixteenth.

Package: libbusiness-edi-perl
Description-md5: af5e7d637255b548942f4f086d9fac8a
Description-en: class for generating U.N. EDI interchange objects
 The focus of Business::EDI is to provide object based access to EDI messages
 and subelements. At present, the EDI input processed by Business::EDI objects
 is JSON from the edi4r ruby library, and there is no EDI output beyond the
 perl objects themselves.

Package: libbusiness-edifact-interchange-perl
Description-md5: aeae10c6a2cf4c96033cee7e3ae35d9f
Description-en: module to parse Edifact messages for book ordering
 Business::Edifact::Interchange is a support module for EDI ordering
 modules being developed for the Koha and Evergreen OS library
 management systems. The standards for using Edifact in Library book
 supply are available from http://www.editeur.org.

Package: libbusiness-hours-perl
Description-md5: 407cf025717e50802024a768e413aa25
Description-en: Perl module to calculate business hours in a time period
 Business::Hours is a simple tool for calculating business hours in a time
 period. Business hours can be specified for each day of the week, including
 details of breaks. Additionally, (public) holidays can be defined and
 excluded from the calculation.

Package: libbusiness-isbn-data-perl
Description-md5: 8d0e38cef4621235676190262eac2227
Description-en: data pack for Business::ISBN
 Business::ISBN::Data contains the data for Business::ISBN to do its work. It
 is current as per the version of this package.
 .
 You can update Business::ISBN::Data independently of this module as the
 various ISBN organizations assign new publisher codes. The ISBN agency lists
 these data on their website at http://www.isbn-international.org/, and a
 current RangeMessage.xml file can be downloaded from
 http://www.isbn-international.org/agency?rmxml=1 and will be used by this
 module in preference of the included data when pointed to by the
 ISBN_RANGE_MESSAGE environment variable.
 .
 Note, that as a historical artifact, some countries are actually language
 areas. For instance, country code 2 is "French", and includes several
 French-speaking countries.

Package: libbusiness-isbn-perl
Description-md5: 70e3939e29425484ad8e7999a53e663c
Description-en: Perl library to work with International Standard Book Numbers
 Business::ISBN allows one to easily extract useful information from ISBN such
 as country code, publisher code, ... It allows one to check the checksum
 and/or correct it.

Package: libbusiness-isin-perl
Description-md5: f5ed0a69d671d0c0d169f0c5e288af56
Description-en: module to validate International Securities Identification Numbers
 Business::ISIN is a class which validates ISINs (International Securities
 Identification Numbers), the codes which identify shares in much the same way
 as ISBNs identify books.

Package: libbusiness-ismn-perl
Description-md5: f7d8e3e5823d63b2572b53e745078f25
Description-en: module for International Standard Music Numbers
 Business::ISMN is a module for working with International Standard Music
 Numbers. It allows one to format, query, convert, or validate them, and to
 print barcodes.

Package: libbusiness-issn-perl
Description-md5: 05b9591d6bbfa41ac3eed3564d136812
Description-en: Perl extension for International Standard Serial Numbers (ISSN)
 A Perl module (Business::ISSN) for working with ISSN numbers (International
 Standard Serial Numbers), used to identify periodical publications, such
 as magazines.

Package: libbusiness-onlinepayment-authorizenet-perl
Description-md5: ae7d09090969ac42d46f259b53eb5168
Description-en: AuthorizeNet backend for Business::OnlinePayment
 Business::OnlinePayment::AuthorizeNet is a Business::OnlinePayment backend
 module for Authorize.Net.  It is only useful if you have a merchant account
 with Authorize.Net: http://www.authorize.net/
 .
 Business::OnlinePayment is a generic interface for processing payments through
 online credit card processors, online check acceptance houses, etc.  (If you
 like buzzwords, call it an "multiplatform ecommerce-enabling middleware
 solution").

Package: libbusiness-onlinepayment-ippay-perl
Description-md5: 5ab7a33db64230dfc1374ed9e5b22c44
Description-en: backend for IPPay in Business::OnlinePayment framework
 IPPay (see http://www.ippay.com) is a online payment framework for recurring
 utility bills. Business::OnlinePayment::IPPay is a Perl interface that fits
 into the wider Business::OnlinePayment framework.

Package: libbusiness-onlinepayment-openecho-perl
Description-md5: 5074774dc8b888eabee80e38b67a0474
Description-en: ECHO backend module for Business::OnlinePayment
 This is Business::OnlinePayment::OpenECHO, an Business::OnlinePayment
 backend module for ECHO.  It is only useful if you have a merchant account
 with ECHO: http://www.echo-inc.com/  (also see http://www.openecho.com/)
 .
 Business::OnlinePayment is a generic interface for processing payments through
 online credit card processors, online check acceptance houses, etc.  (If you
 like buzzwords, call it an "multiplatform ecommerce-enabling middleware
 solution").

Package: libbusiness-onlinepayment-payconnect-perl
Description-md5: 1f21ccba3a025bf7674be1119cee2aef
Description-en: PaymentOne PayConnect backend for Business::OnlinePayment
 Business::OnlinePayment::PayConnect is a Business::OnlinePayment backend
  module for PaymentOne (formerly eBillit) PayConnect.  It is only useful if
  you have a merchant account with PaymentOne (formerly eBillit):
  http://www.paymentone.com/products/paycon.asp
 .
 Business::OnlinePayment is a generic interface for processing payments through
 online credit card processors, online check acceptance houses, etc.  (If you
 like buzzwords, call it an "multiplatform ecommerce-enabling middleware
 solution").

Package: libbusiness-onlinepayment-payflowpro-perl
Description-md5: 7f34120000c357aefb9709bcaa1983c2
Description-en: PayPal Payflow Pro backend for Business::OnlinePayment
 This is Business::OnlinePayment::PayflowPro, an Business::OnlinePayment
 backend module for PayPal Payflow Pro.  It is only useful if you have a
 merchant account with PayPal Payflow Pro:
 https://www.paypal.com/cgi-bin/webscr?cmd=_payflow-pro-overview-outside
 .
 Business::OnlinePayment is a generic interface for processing payments through
 online credit card processors, online check acceptance houses, etc.  (If you
 like buzzwords, call it an "multiplatform ecommerce-enabling middleware
 solution").

Package: libbusiness-onlinepayment-paymentech-perl
Description-md5: ad3461adfef7dd794aafb234e82b69d4
Description-en: Chase Paymentech backend for Business::OnlinePayment
 This is Business::OnlinePayment::PaymenTech, an Business::OnlinePayment
 backend module for Chase Paymentech.  It is only useful if you have a
 merchant account with Chase Paymentech: http://www.chasepaymentech.com/
 .
 Business::OnlinePayment is a generic interface for processing payments through
 online credit card processors, online check acceptance houses, etc.  (If you
 like buzzwords, call it an "multiplatform ecommerce-enabling middleware
 solution").

Package: libbusiness-onlinepayment-perl
Description-md5: 0732d0b3a56b0f7ff862c5b0d0cc8cd2
Description-en: Perl extension for online payment processing
 Business::OnlinePayment is a generic module for processing payments through
 online credit card processors, online check acceptance houses, etc.  (If you
 like buzzwords, call it an "multiplatform ecommerce-enabling middleware
 solution").
 .
 IMPORTANT: Business::OnlinePayment only defines the frontend interface to the
 system, in order to use it you also need to have at least one backend
 processing module installed.

Package: libbusiness-onlinepayment-tclink-perl
Description-md5: 479820be937fa1d14b7ad5168ee1ff57
Description-en: TrustCommerce backend for Business::OnlinePayment
 Business::OnlinePayment::TCLink is a Business::OnlinePayment
 backend module for the TrustCommerce payment gateway. It is only useful if
 you have an account with TrustCommerce: http://www.trustcommerce.com/
 .
 Business::OnlinePayment is a generic interface for processing payments through
 online credit card processors, online check acceptance houses, etc. (If you
 like buzzwords, call it an "multiplatform ecommerce-enabling middleware
 solution").

Package: libbusiness-onlinepayment-transactioncentral-perl
Description-md5: b09d15635d36379f0640f6c9e2f8ed52
Description-en: Transaction Central backend module for Business::OnlinePayment
 Business::OnlinePayment::TransactionCentral is a backend module for the
 MerchantAnywhere Transaction Central gateway.  It is only useful if you
 have a merchant account with MerchantAnywhere.

Package: libbusiness-onlinepayment-viaklix-perl
Description-md5: 12fdc07bb24618448dba33da58bcae20
Description-en: viaKLIX backend for Business::OnlinePayment
 Business::OnlinePayment::viaKLIX is a back end driver that implements
 the interface specified by Business::OnlinePayment to support payment
 handling via viaKLIX's Internet payment solution.
 .
 See Business::OnlinePayment for details on the interface this
 modules supports.

Package: libbusiness-paypal-api-perl
Description-md5: 2f65534015ba19d168f2e111814fc2b0
Description-en: module providing access to the PayPal API
 Business::PayPal::API is a Perl module providing access to the PayPal API.
 It supports both certificate authentication and the new 3-token "Signature"
 authentication. It also support PayPal's development sandbox for testing.

Package: libbusiness-tax-vat-validation-perl
Description-md5: edd805eef61d5b3403888465b340e4ff
Description-en: library for European VAT numbers validation
 Business::Tax::VAT::Validation is a Perl library providing an easy API to
 validate European VAT numbers and check if they have been registered by the
 competent authorities. It asks the VIES database when needed.

Package: libbusiness-us-usps-webtools-perl
Description-md5: 9c76d38752bb4c43151a4c8fe5224e7e
Description-en: Perl module enabling use of USPS Web Tools services
 Business::US::USPS::WebTools provides a Perl interface to the US
 Postal Service's Web Tools service. You need a UserID and Password
 to use these services. See the Web Tools site at
 http://www.usps.com/webtools/ for details.

Package: libbwa-dev
Description-md5: 01833875f8f69852d0cd759251a6ed1b
Description-en: Burrows-Wheeler Aligner source files
 BWA is a software package for mapping low-divergent sequences against
 a large reference genome, such as the human genome. It consists of
 three algorithms: BWA-backtrack, BWA-SW and BWA-MEM. The first
 algorithm is designed for Illumina sequence reads up to 100bp, while
 the rest two for longer sequences ranged from 70bp to 1Mbp. BWA-MEM
 and BWA-SW share similar features such as long-read support and split
 alignment, but BWA-MEM, which is the latest, is generally recommended
 for high-quality queries as it is faster and more accurate. BWA-MEM
 also has better performance than BWA-backtrack for 70-100bp Illumina
 reads.
 .
 This package contains the source files for use in other programs.

Package: libbyte-buddy-java
Description-md5: d0a1c7593e3d7f156778102c15644cc1
Description-en: Runtime code generation for the Java virtual machine
 Byte Buddy is a code generation and manipulation library for creating
 and modifying Java classes during the runtime of a Java application and
 without the help of a compiler. Other than the code generation utilities
 that ship with the Java Class Library, Byte Buddy allows the creation of
 arbitrary classes and is not limited to implementing interfaces for the
 creation of runtime proxies. Furthermore, Byte Buddy offers a convenient
 API for changing classes either manually, using a Java agent or during a
 build.

Package: libbyte-buddy-java-doc
Description-md5: 2e47d9871787bd4dba33995fe7f995d6
Description-en: Runtime code generation for the Java virtual machine (document)
 Byte Buddy is a Java library for creating Java classes at run time.
 .
 This package contains the API documentation of libbyte-buddy-java.

Package: libbytecode-java
Description-md5: 3469ce7f24fac3476be3498096bfe275
Description-en: Java bytecode manipulation library
 The library presents itself as a collection of routines to manipulate Java
 bytecode. It allows for the dynamic creation of Java class files without
 using of Javac. Such tailored code can be used, i.e. as for the upstream's
 motivation of the BioJava developers, to generate implementations of
 Hidden Markov Models. It thus acts much like inline assembly for Java.

Package: libbytecode-java-doc
Description-md5: fa080ce639827f2ac77895b8c140d30a
Description-en: Documentation for the API of the Java bytecode library
 Javadoc-generated description of the bytecode API in HTML.

Package: libbytelist-java
Description-md5: 5a3226b3896723bfcf476571e1e24db2
Description-en: helper library for packaging JRuby
 This library provides the org.jruby.util.ByteList byte container.
 .
 ByteList is simple a collection of bytes in the same way a Java
 String is a collection of characters. However, its API resembles
 StringBuffer/StringBuilder more than String because it is a mutable
 object.

Package: libbyteman-java
Description-md5: faa13f82f05d8907585d532d3dc8b761
Description-en: Java agent-based bytecode injection tool
 Byteman is a Java agent-based bytecode injection tool. It can be used to inject
 Event Condition Action rules into Java application and JVM runtime methods. Its
 primary purpose is to support execution tracing and fault injection testing.

Package: libbytes-random-secure-perl
Description-md5: 68225558b28766f90a4c8bc6d353f898
Description-en: Perl extension to generate cryptographically-secure random bytes
 Bytes::Random::Secure provides two interfaces for obtaining crypt-quality
 random bytes. The simple interface is built around plain functions. For
 greater control over the Random Number Generator's seeding, there is an
 Object Oriented interface that provides much more flexibility.
 .
 The "functions" interface provides five functions that can be used any time
 you need a string (or MIME Base64 representation, or hex-digits
 representation, or Quoted Printable representation) of a specific number of
 random bytes. There are equivalent methods available via the OO interface.
 .
 Bytes::Random::Secure can be a drop-in replacement for Bytes::Random, with
 the primary enhancement of using a much higher quality random number
 generator to create the random data. The random_bytes function emulates the
 user interface of Bytes::Random's function by the same name. But with
 Bytes::Random::Secure the random number generator comes from
 Math::Random::ISAAC, and is suitable for cryptographic purposes. The harder
 problem to solve is how to seed the generator. This module uses
 Crypt::Random::Seed to generate the initial seeds for Math::Random::ISAAC.

Package: libbytesize-dev
Description-md5: 500749f4532fb8b81f02c19e15d31462
Description-en: library for common operations with sizes in bytes - development
 This package ships the header pkg-config files needed for building things
 against the libbytesize library.

Package: libbytesize1
Description-md5: fcc79bb2e764fce1635f2520850b7e27
Description-en: library for common operations with sizes in bytes
 Many projects need to work with sizes in bytes (be it sizes of storage
 space, memory,...) and all of them need to deal with the same issues
 like:
 .
  * How to get a human-readable string for the given size?
  * How to store the given size so that no significant information is lost?
  * If we store the size in bytes, what if the given size gets over the
    MAXUINT64 value?  How to interpret sizes entered by users according
    to their locale and typing conventions?
  * How to deal with the decimal/binary units (MB vs. MiB) ambiguity?
 .
 This library aims to be as much generally usable as possible, small, fast and
 be easily interfaced from other languages.

Package: libbz2-ocaml
Description-md5: c1f12ff62a902d3f19070ce4fa56fdb9
Description-en: OCaml bindings for the bzip2 compression library (runtime)
 CamlBZ2 provides OCaml bindings for libbz2 (AKA bzip2), a popular
 compression library which typically compresses better (i.e., smaller
 resulting files) than gzip.
 .
 Using CamlBZ2 you can read and write compressed "files", where files
 can be anything offering an in_channel/out_channel abstraction
 (files, sockets, ...).
 .
 Also, with CamlBZ2 you can compress and decompress strings in memory
 using the bzip2 compression algorithm.
 .
 This package contains only the shared runtime stub libraries.

Package: libbz2-ocaml-dev
Description-md5: 2e0772b8c2e025a604672d5b06c05ced
Description-en: OCaml bindings for the bzip2 compression library
 CamlBZ2 provides OCaml bindings for libbz2 (AKA bzip2), a popular
 compression library which typically compresses better (i.e., smaller
 resulting files) than gzip.
 .
 Using CamlBZ2 you can read and write compressed "files", where files
 can be anything offering an in_channel/out_channel abstraction
 (files, sockets, ...).
 .
 Also, with CamlBZ2 you can compress and decompress strings in memory
 using the bzip2 compression algorithm.
 .
 This package contains all the development stuff you need to use
 CamlBZ2 in your programs.

Package: libbzrtp-dev
Description-md5: e91b6d99d8e51f92cfc356dcb26ce714
Description-en: Development files for the Bzrtp key exchange library
 Bzrtp is an open source implementation of the ZRTP key exchange protocol.
 The library is written in C 89. It is fully portable and can be executed
 on many platforms including ARM and x86.
 .
 This package contains the development files.

Package: libbzrtp0
Description-md5: 7aecf8a56f6cff0819a9052952066c73
Description-en: Library for the ZRTP key exchange protocol
 Bzrtp is an open source implementation of the ZRTP key exchange protocol.
 The library is written in C 89. It is fully portable and can be executed
 on many platforms including ARM and x86.
 .
 The library enables encrypted communications in Linphone.

Package: libc++-10-dev
Description-md5: 8cd44d2ae4969ab1c95a30917ddc69e7
Description-en: LLVM C++ Standard library (development files)
 libc++ is another implementation of the C++ standard library
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.

Package: libc++-7-dev
Description-md5: 8cd44d2ae4969ab1c95a30917ddc69e7
Description-en: LLVM C++ Standard library (development files)
 libc++ is another implementation of the C++ standard library
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.

Package: libc++-8-dev
Description-md5: 8cd44d2ae4969ab1c95a30917ddc69e7
Description-en: LLVM C++ Standard library (development files)
 libc++ is another implementation of the C++ standard library
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.

Package: libc++-9-dev
Description-md5: 8cd44d2ae4969ab1c95a30917ddc69e7
Description-en: LLVM C++ Standard library (development files)
 libc++ is another implementation of the C++ standard library
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.

Package: libc++-dev
Description-md5: d6ddd828f191a901b236b63f6c33ebd7
Description-en: LLVM C++ Standard library (development files)
 libc++ is another implementation of the C++ standard library
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.
 This is a dependency package providing the default LLVM C++ Standard library
 development files.

Package: libc++1
Description-md5: 0ca3e9323e5fe0f6d714867a9814cdee
Description-en: LLVM C++ Standard library
 libc++ is another implementation of the C++ standard library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.
 This is a dependency package providing the default LLVM C++ Standard library.

Package: libc++1-10
Description-md5: 3e11b80d657dd5ed05ee027e3cba65ca
Description-en: LLVM C++ Standard library
 libc++ is another implementation of the C++ standard library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.

Package: libc++1-7
Description-md5: 3e11b80d657dd5ed05ee027e3cba65ca
Description-en: LLVM C++ Standard library
 libc++ is another implementation of the C++ standard library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.

Package: libc++1-8
Description-md5: 3e11b80d657dd5ed05ee027e3cba65ca
Description-en: LLVM C++ Standard library
 libc++ is another implementation of the C++ standard library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.

Package: libc++1-9
Description-md5: 3e11b80d657dd5ed05ee027e3cba65ca
Description-en: LLVM C++ Standard library
 libc++ is another implementation of the C++ standard library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Fast execution.
   * Minimal memory use.
   * Fast compile times.
   * ABI compatibility with gcc's libstdc++ for some low-level features such
     as exception objects, rtti and memory allocation.
   * Extensive unit tests.

Package: libc++abi-10-dev
Description-md5: 364169ef5dcd81ea07cbcba49d061676
Description-en: LLVM low level support for a standard C++ library (development files)
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++

Package: libc++abi-7-dev
Description-md5: 364169ef5dcd81ea07cbcba49d061676
Description-en: LLVM low level support for a standard C++ library (development files)
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++

Package: libc++abi-8-dev
Description-md5: 364169ef5dcd81ea07cbcba49d061676
Description-en: LLVM low level support for a standard C++ library (development files)
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++

Package: libc++abi-9-dev
Description-md5: 364169ef5dcd81ea07cbcba49d061676
Description-en: LLVM low level support for a standard C++ library (development files)
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++

Package: libc++abi-dev
Description-md5: a5c9d4b97ea25bd6a045d6932f813b78
Description-en: LLVM low level support for a standard C++ library (development files)
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++
 This is a dependency package providing low level support to
 LLVM C++ Standard library.

Package: libc++abi1
Description-md5: 2cd4e4a21fe536b0cc022a71e5804302
Description-en: LLVM low level support for a standard C++ library
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++
 This is a dependency package providing low level support to
 LLVM C++ Standard library.

Package: libc++abi1-10
Description-md5: 6a197f8a8ae8385e801b369ea97886ce
Description-en: LLVM low level support for a standard C++ library
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++

Package: libc++abi1-7
Description-md5: 6a197f8a8ae8385e801b369ea97886ce
Description-en: LLVM low level support for a standard C++ library
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++

Package: libc++abi1-8
Description-md5: 6a197f8a8ae8385e801b369ea97886ce
Description-en: LLVM low level support for a standard C++ library
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++

Package: libc++abi1-9
Description-md5: 6a197f8a8ae8385e801b369ea97886ce
Description-en: LLVM low level support for a standard C++ library
 libc++abi is another implementation of low level support for a standard C++
 library.
 .
 Features and Goals
 .
   * Correctness as defined by the C++ standards.
   * Provide a portable sublayer to ease the porting of libc++

Package: libc-client2007e
Description-md5: 48fa45722d1b998449c387d673b91573
Description-en: c-client library for mail protocols - library files
 IMAP (Internet Message Access Protocol) is a method of accessing
 electronic messages kept on a (possibly shared) mail server.
 .
 The UW (University of Washington) IMAP toolkit provides the c-client
 mail-handling library supporting various network transport methods,
 file system storage formats, and authentication and authorization
 methods.
 .
 This package contains the shared c-client library.

Package: libc-client2007e-dev
Description-md5: add4c4d00dfe93627d556cd726165101
Description-en: c-client library for mail protocols - development files
 IMAP (Internet Message Access Protocol) is a method of accessing
 electronic messages kept on a (possibly shared) mail server.
 .
 The UW (University of Washington) IMAP toolkit provides the c-client
 mail-handling library supporting various network transport methods,
 file system storage formats, and authentication and authorization
 methods.
 .
 This package contains the static c-client library and development
 headers.

Package: libc-icap-mod-contentfiltering
Description-md5: 99f03b262675e3273abfba9801638ab5
Description-en: Content filtering Service for c-icap
 This is an score based content filtering icap service. It is distributed with
 c-icap and written by the same author.

Package: libc-icap-mod-urlcheck
Description-md5: 8200a081f38385b61d3569124b1e21cb
Description-en: URL Check Service for c-icap
 This is an URL Check Service for c-icap. It is
 distributed with c-icap and written by the same author.

Package: libc-icap-mod-virus-scan
Description-md5: 9a1feb12d473b8a6d38b3d0fdcbffc97
Description-en: Antivirus Service for c-icap
 This is an antivirus Service for c-icap which uses libclamav or clamd to do
 scanning. It is distributed with c-icap and written by the same author.

Package: libc3p0-java
Description-md5: 3fdeadf4bdcabd80ea70ea855ccb3491
Description-en: library for JDBC connection pooling
 c3p0 is an easy-to-use library for making traditional JDBC drivers
 "enterprise-ready" by augmenting them with functionality defined by
 the jdbc3 spec and the optional extensions to jdbc2.

Package: libc3p0-java-doc
Description-md5: 4210c65aafe7e44727050ce4fa4f9091
Description-en: library for JDBC connection pooling (documentation)
 c3p0 is an easy-to-use library for making traditional JDBC drivers
 "enterprise-ready" by augmenting them with functionality defined by
 the jdbc3 spec and the optional extensions to jdbc2.
 .
 This package includes the documentation.

Package: libc6-amd64-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-amd64-i386-cross
Description-md5: 90eac660991e1fea8af2dc1445cb077b
Description-en: GNU C Library: 64bit Shared libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for AMD64 systems.

Package: libc6-amd64-x32-cross
Description-md5: 90eac660991e1fea8af2dc1445cb077b
Description-en: GNU C Library: 64bit Shared libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for AMD64 systems.

Package: libc6-armel-armhf-cross
Description-md5: 780e9a19653fff3e8fee03fa7d0e12fd
Description-en: Dummy package to get libc6:armel installed

Package: libc6-armel-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-armhf-armel-cross
Description-md5: 333e2f1d8b10257f1afd140586c24642
Description-en: Dummy package to get libc6:armhf installed

Package: libc6-dbg-amd64-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-arm64-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-armel-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-armhf-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-hppa-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-i386-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-m68k-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-powerpc-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-ppc64-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-ppc64el-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-riscv64-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-s390x-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-sh4-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-sparc64-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dbg-x32-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6-dev-amd64-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-amd64-i386-cross
Description-md5: 539c1605208f0bf01da31ad6bd971c23
Description-en: GNU C Library: 64bit Development Libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for AMD64 systems.

Package: libc6-dev-amd64-x32-cross
Description-md5: 539c1605208f0bf01da31ad6bd971c23
Description-en: GNU C Library: 64bit Development Libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for AMD64 systems.

Package: libc6-dev-armel-armhf-cross
Description-md5: f80e6c73db074d37066ad221aa84dc6c
Description-en: Dummy package to get libc6-dev:armel installed

Package: libc6-dev-armel-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-armhf-armel-cross
Description-md5: 64c90bb20f08f2c6689cc12bf4b92cf1
Description-en: Dummy package to get libc6-dev:armhf installed

Package: libc6-dev-hppa-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-i386-amd64-cross
Description-md5: 6f806ee4e757d7383cd6757e6a169292
Description-en: GNU C Library: 32-bit development libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 32bit version of the
 library, meant for AMD64 systems.

Package: libc6-dev-i386-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-i386-x32-cross
Description-md5: 6f806ee4e757d7383cd6757e6a169292
Description-en: GNU C Library: 32-bit development libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 32bit version of the
 library, meant for AMD64 systems.

Package: libc6-dev-m68k-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mips-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mips32-mips64-cross
Description-md5: 0d93a5febe0cbda57c14ef57d80daed2
Description-en: GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the o32 version of the
 library, meant for MIPS systems.

Package: libc6-dev-mips32-mips64el-cross
Description-md5: 0d93a5febe0cbda57c14ef57d80daed2
Description-en: GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the o32 version of the
 library, meant for MIPS systems.

Package: libc6-dev-mips32-mips64r6-cross
Description-md5: 0d93a5febe0cbda57c14ef57d80daed2
Description-en: GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the o32 version of the
 library, meant for MIPS systems.

Package: libc6-dev-mips32-mips64r6el-cross
Description-md5: 0d93a5febe0cbda57c14ef57d80daed2
Description-en: GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the o32 version of the
 library, meant for MIPS systems.

Package: libc6-dev-mips32-mipsn32-cross
Description-md5: 0d93a5febe0cbda57c14ef57d80daed2
Description-en: GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the o32 version of the
 library, meant for MIPS systems.

Package: libc6-dev-mips32-mipsn32el-cross
Description-md5: 0d93a5febe0cbda57c14ef57d80daed2
Description-en: GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the o32 version of the
 library, meant for MIPS systems.

Package: libc6-dev-mips32-mipsn32r6-cross
Description-md5: 0d93a5febe0cbda57c14ef57d80daed2
Description-en: GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the o32 version of the
 library, meant for MIPS systems.

Package: libc6-dev-mips32-mipsn32r6el-cross
Description-md5: 0d93a5febe0cbda57c14ef57d80daed2
Description-en: GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the o32 version of the
 library, meant for MIPS systems.

Package: libc6-dev-mips64-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mips64-mips-cross
Description-md5: 151cb526cdda85496eb6da94138a2f37
Description-en: GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mips64-mipsel-cross
Description-md5: 151cb526cdda85496eb6da94138a2f37
Description-en: GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mips64-mipsn32-cross
Description-md5: 151cb526cdda85496eb6da94138a2f37
Description-en: GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mips64-mipsn32el-cross
Description-md5: 151cb526cdda85496eb6da94138a2f37
Description-en: GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mips64-mipsn32r6-cross
Description-md5: 151cb526cdda85496eb6da94138a2f37
Description-en: GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mips64-mipsn32r6el-cross
Description-md5: 151cb526cdda85496eb6da94138a2f37
Description-en: GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mips64-mipsr6-cross
Description-md5: 151cb526cdda85496eb6da94138a2f37
Description-en: GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mips64-mipsr6el-cross
Description-md5: 151cb526cdda85496eb6da94138a2f37
Description-en: GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mips64el-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mips64r6-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mips64r6el-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mipsel-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mipsn32-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mipsn32-mips-cross
Description-md5: 5d6d5df5f38d4454d93cb2c42018ec5b
Description-en: GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the n32 version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mipsn32-mips64-cross
Description-md5: 5d6d5df5f38d4454d93cb2c42018ec5b
Description-en: GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the n32 version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mipsn32-mips64el-cross
Description-md5: 5d6d5df5f38d4454d93cb2c42018ec5b
Description-en: GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the n32 version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mipsn32-mips64r6-cross
Description-md5: 5d6d5df5f38d4454d93cb2c42018ec5b
Description-en: GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the n32 version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mipsn32-mips64r6el-cross
Description-md5: 5d6d5df5f38d4454d93cb2c42018ec5b
Description-en: GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the n32 version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mipsn32-mipsel-cross
Description-md5: 5d6d5df5f38d4454d93cb2c42018ec5b
Description-en: GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the n32 version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mipsn32-mipsr6-cross
Description-md5: 5d6d5df5f38d4454d93cb2c42018ec5b
Description-en: GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the n32 version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mipsn32-mipsr6el-cross
Description-md5: 5d6d5df5f38d4454d93cb2c42018ec5b
Description-en: GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the n32 version of the
 library, meant for MIPS64 systems.

Package: libc6-dev-mipsn32el-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mipsn32r6-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mipsn32r6el-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mipsr6-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-mipsr6el-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-powerpc-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-powerpc-ppc64-cross
Description-md5: 75670e8b467db3ae588c19a0f750d72f
Description-en: GNU C Library: 32bit powerpc development libraries for ppc64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 32bit version of the
 library, meant for ppc64 systems.

Package: libc6-dev-ppc64-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-ppc64-powerpc-cross
Description-md5: 40830d53ae7d4f878756116065b1f9e5
Description-en: GNU C Library: 64bit Development Libraries for PowerPC64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 64bit version of the
 library, meant for PowerPC64 systems.

Package: libc6-dev-riscv64-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-s390-s390x-cross
Description-md5: 1999184c3b8e147a69695ffb273dc650
Description-en: GNU C Library: 32bit Development Libraries for IBM zSeries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 32bit version of the
 library.

Package: libc6-dev-sh4-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-sparc-sparc64-cross
Description-md5: 505d919869b47ce2ae19500eff514ca6
Description-en: GNU C Library: 32bit Development Libraries for SPARC (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the 32bit version of the
 library, meant for SPARC systems.

Package: libc6-dev-sparc64-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-x32-amd64-cross
Description-md5: ce902e17792a1f6f55caa01d881d0b93
Description-en: GNU C Library: X32 ABI Development Libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the X32 ABI version of the
 library, meant for amd64 systems.

Package: libc6-dev-x32-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libc6-dev-x32-i386-cross
Description-md5: ce902e17792a1f6f55caa01d881d0b93
Description-en: GNU C Library: X32 ABI Development Libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks and object files needed to compile and link programs
 which use the standard C library. This is the X32 ABI version of the
 library, meant for amd64 systems.

Package: libc6-hppa-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-i386-amd64-cross
Description-md5: 5c31c1b9d190705f988b3d90b31e65f7
Description-en: GNU C Library: 32-bit shared libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C
 library and the standard math library, as well as many others.
 This is the 32bit version of the library, meant for AMD64 systems.

Package: libc6-i386-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-i386-x32-cross
Description-md5: 5c31c1b9d190705f988b3d90b31e65f7
Description-en: GNU C Library: 32-bit shared libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C
 library and the standard math library, as well as many others.
 This is the 32bit version of the library, meant for AMD64 systems.

Package: libc6-m68k-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mips-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mips32-mips64-cross
Description-md5: d64bb63d3f35f4ae0d6d34b723389c01
Description-en: GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the o32 version
 of the library, meant for MIPS systems.

Package: libc6-mips32-mips64el-cross
Description-md5: d64bb63d3f35f4ae0d6d34b723389c01
Description-en: GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the o32 version
 of the library, meant for MIPS systems.

Package: libc6-mips32-mips64r6-cross
Description-md5: d64bb63d3f35f4ae0d6d34b723389c01
Description-en: GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the o32 version
 of the library, meant for MIPS systems.

Package: libc6-mips32-mips64r6el-cross
Description-md5: d64bb63d3f35f4ae0d6d34b723389c01
Description-en: GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the o32 version
 of the library, meant for MIPS systems.

Package: libc6-mips32-mipsn32-cross
Description-md5: d64bb63d3f35f4ae0d6d34b723389c01
Description-en: GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the o32 version
 of the library, meant for MIPS systems.

Package: libc6-mips32-mipsn32el-cross
Description-md5: d64bb63d3f35f4ae0d6d34b723389c01
Description-en: GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the o32 version
 of the library, meant for MIPS systems.

Package: libc6-mips32-mipsn32r6-cross
Description-md5: d64bb63d3f35f4ae0d6d34b723389c01
Description-en: GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the o32 version
 of the library, meant for MIPS systems.

Package: libc6-mips32-mipsn32r6el-cross
Description-md5: d64bb63d3f35f4ae0d6d34b723389c01
Description-en: GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the o32 version
 of the library, meant for MIPS systems.

Package: libc6-mips64-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mips64-mips-cross
Description-md5: e3aaa406e83c551fb079b8bb733644fa
Description-en: GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for MIPS64 systems.

Package: libc6-mips64-mipsel-cross
Description-md5: e3aaa406e83c551fb079b8bb733644fa
Description-en: GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for MIPS64 systems.

Package: libc6-mips64-mipsn32-cross
Description-md5: e3aaa406e83c551fb079b8bb733644fa
Description-en: GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for MIPS64 systems.

Package: libc6-mips64-mipsn32el-cross
Description-md5: e3aaa406e83c551fb079b8bb733644fa
Description-en: GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for MIPS64 systems.

Package: libc6-mips64-mipsn32r6-cross
Description-md5: e3aaa406e83c551fb079b8bb733644fa
Description-en: GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for MIPS64 systems.

Package: libc6-mips64-mipsn32r6el-cross
Description-md5: e3aaa406e83c551fb079b8bb733644fa
Description-en: GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for MIPS64 systems.

Package: libc6-mips64-mipsr6-cross
Description-md5: e3aaa406e83c551fb079b8bb733644fa
Description-en: GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for MIPS64 systems.

Package: libc6-mips64-mipsr6el-cross
Description-md5: e3aaa406e83c551fb079b8bb733644fa
Description-en: GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for MIPS64 systems.

Package: libc6-mips64el-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mips64r6-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mips64r6el-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mipsel-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mipsn32-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mipsn32-mips-cross
Description-md5: 08ff9be02984292473357e8bfeca1f43
Description-en: GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the n32 version
 of the library, meant for MIPS64 systems.

Package: libc6-mipsn32-mips64-cross
Description-md5: 08ff9be02984292473357e8bfeca1f43
Description-en: GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the n32 version
 of the library, meant for MIPS64 systems.

Package: libc6-mipsn32-mips64el-cross
Description-md5: 08ff9be02984292473357e8bfeca1f43
Description-en: GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the n32 version
 of the library, meant for MIPS64 systems.

Package: libc6-mipsn32-mips64r6-cross
Description-md5: 08ff9be02984292473357e8bfeca1f43
Description-en: GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the n32 version
 of the library, meant for MIPS64 systems.

Package: libc6-mipsn32-mips64r6el-cross
Description-md5: 08ff9be02984292473357e8bfeca1f43
Description-en: GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the n32 version
 of the library, meant for MIPS64 systems.

Package: libc6-mipsn32-mipsel-cross
Description-md5: 08ff9be02984292473357e8bfeca1f43
Description-en: GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the n32 version
 of the library, meant for MIPS64 systems.

Package: libc6-mipsn32-mipsr6-cross
Description-md5: 08ff9be02984292473357e8bfeca1f43
Description-en: GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the n32 version
 of the library, meant for MIPS64 systems.

Package: libc6-mipsn32-mipsr6el-cross
Description-md5: 08ff9be02984292473357e8bfeca1f43
Description-en: GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the n32 version
 of the library, meant for MIPS64 systems.

Package: libc6-mipsn32el-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mipsn32r6-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mipsn32r6el-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mipsr6-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-mipsr6el-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-pic
Description-md5: f7532ae2bb48ede897a6da638f062d2e
Description-en: GNU C Library: PIC archive library
 Contains an archive library (ar file) composed of individual shared objects.
 This is used for creating a library which is a smaller subset of the
 standard libc shared library. The reduced library is used by debian-installer
 and may be useful for custom installation media and in embedded systems.

Package: libc6-powerpc-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-powerpc-ppc64-cross
Description-md5: 25e7cbeaad8f68c146fede12bd968ddc
Description-en: GNU C Library: 32bit powerpc shared libraries for ppc64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C
 library and the standard math library, as well as many others.
 This is the 32bit version of the library, meant for ppc64 systems.

Package: libc6-ppc64-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-ppc64-powerpc-cross
Description-md5: c5a7a7575a89a36e58d5e9f47ce1c521
Description-en: GNU C Library: 64bit Shared libraries for PowerPC64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 64bit version
 of the library, meant for PowerPC64 systems.

Package: libc6-riscv64-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-s390-s390x-cross
Description-md5: 2c7735adffb5f6882cf5045071fc72b3
Description-en: GNU C Library: 32bit Shared libraries for IBM zSeries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 32bit version
 of the library.

Package: libc6-sh4-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-sparc-sparc64-cross
Description-md5: 8e87d2da5c41e50953de58852b489e1f
Description-en: GNU C Library: 32bit Shared libraries for SPARC (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the 32bit version
 of the library, meant for SPARC systems.

Package: libc6-sparc64-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-x32-amd64-cross
Description-md5: 5dce7768d6cba17025bfaf870f4a171a
Description-en: GNU C Library: X32 ABI Shared libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the X32 ABI version
 of the library, meant for AMD64 systems.

Package: libc6-x32-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6-x32-i386-cross
Description-md5: 5dce7768d6cba17025bfaf870f4a171a
Description-en: GNU C Library: X32 ABI Shared libraries for AMD64 (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package includes shared versions of the standard C library and the
 standard math library, as well as many others. This is the X32 ABI version
 of the library, meant for AMD64 systems.

Package: libc6.1-alpha-cross
Description-md5: b266351f0a5ff19b39a85a1e2ab438d7
Description-en: GNU C Library: Shared libraries (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the standard libraries that are used by nearly all programs on
 the system. This package includes shared versions of the standard C library
 and the standard math library, as well as many others.

Package: libc6.1-dbg-alpha-cross
Description-md5: 8e33deb1e3b96d190323ece33ca4e219
Description-en: GNU C Library: detached debugging symbols (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 This package contains the detached debugging symbols for the GNU C
 library.

Package: libc6.1-dev-alpha-cross
Description-md5: fc7d1124da33f2f1ca4b4e0833019db4
Description-en: GNU C Library: Development Libraries and Header Files (for cross-compiling)
 This package was generated by dpkg-cross for cross compiling.
 .
 Contains the symlinks, headers, and object files needed to compile
 and link programs which use the standard C library.

Package: libcache-bdb-perl
Description-md5: d5730b09af26a78cf9f6516378c82b13
Description-en: object caching wrapper around BerkeleyDB
 Cache::BDB implements a caching layer around BerkeleyDB for object
 persistence. It implements the basic methods necessary to add, retrieve, and
 remove objects but not expiration, automatic purging etc. The main advantage
 over other caching modules is performance. The API is reasonably feature rich,
 but does not compare with using BerkeleyDB directly.
 .
 The interface is similar to Cache::Cache.

Package: libcache-cache-perl
Description-md5: e211feba00e6a0cd36061b7c8208749d
Description-en: Managed caches of persistent information
 The Cache modules are designed to assist a developer in persisting
 data for a specified period of time.  Often these modules are used in
 web applications to store data locally to save repeated and redundant
 expensive calls to remote machines or databases.  People have also
 been known to use Cache::Cache for its straightforward interface in
 sharing data between runs of an application or invocations of a
 CGI-style script or simply as an easy to use abstraction of the
 filesystem or shared memory.

Package: libcache-fastmmap-perl
Description-md5: 1ae2c7cbe6861169a2b5c6020c5b69b2
Description-en: Perl module providing a mmap'ed cache
 Cache::FastMmap uses the mmap system call to establish an interprocess shared
 memory cache. Its core code is written in C, which can provide significant
 performance compared to a Pure Perl implementation such as Cache::Mmap. It can
 handle rather large pages without the socket connection and latency of using
 full-fledged databases where long-term persistence is unnecessary.
 .
 Since the algorithm uses a dual-level hashing system (a hash is used to find
 a page, then another hash within each page to find a given slot), most get
 calls can execute in constant O(1) time. The system uses fcntl to handle
 concurrent access, but only locks individual pages to reduce contention. The
 oldest (least recently used) data is evicted from the cache first, making
 this cache implementation most suitable for cases when old data is unlikely
 to be searched.

Package: libcache-historical-perl
Description-md5: 454d16132883f42776119497382fcab5
Description-en: simple data caching service with time history
 Cache::Historical provides simple methods to store and search text values
 that are tied to a time and a key, like user or category. Each caching
 operation sets a time stamp, thus making it possible to search according
 to events in time. Like the name suggests, it was originally conceived
 for recording of historic events such as stock trading.
 .
 This module uses SQLite3 as database backend, thus leaving ample space
 for costumized additional tools to the same cached historic data.

Package: libcache-lru-perl
Description-md5: 71eb072e52056c3568c66a3b6181ad7c
Description-en: simple, fast implementation of LRU cache
 Cache::LRU is a simple, fast implementation of an in-memory Least
 Recently Used (LRU) cache in pure perl.

Package: libcache-memcached-fast-perl
Description-md5: 7bc6a0f059041432c7b60ab87f79c37b
Description-en: Perl client for memcached, in C language
 Cache::Memcached::Fast is a Perl client for memcached, a memory cache
 daemon (http://www.danga.com/memcached/). Module core is implemented in
 C and tries hard to minimize number of system calls and to avoid any
 key/value copying for speed. As a result, it has very low CPU
 consumption.
 .
 API is largely compatible with Cache::Memcached, original pure Perl
 client, most users of the original module may start using this module
 by installing it and adding "::Fast" to the old name in their scripts.

Package: libcache-memcached-getparserxs-perl
Description-md5: 6fed4d23efa2027e7064e1ceffe2cd1e
Description-en: C/XS implementation of Cache::Memcached::GetParser
 Cache::Memcached::GetParserXS implements the same function as
 Cache::Memcached::GetParser, except it's written in C/XS. Initial benchmarks
 have shown it to be possibly twice as fast as the original perl version.

Package: libcache-memcached-libmemcached-perl
Description-md5: ec75a3140f9f57033eb7848901f43dad
Description-en: cache interface to Memcached::libmemcached
 Cache::Memcached::libmemcached is the Cache::Memcached compatible interface to
 libmemcached, a C library to interface with memcached.
 .
 Cache::Memcached::libmemcached is built on top of Memcached::libmemcached.
 While Memcached::libmemcached aims to port libmemcached API to perl,
 Cache::Memcached::libmemcached attempts to be API compatible with
 Cache::Memcached, so it can be used as a drop-in replacement.
 .
 Cache::Memcached::libmemcached inherits from Memcached::libmemcached. While you
 are free to use the Memcached::libmemcached specific methods directly on the
 object, doing so will mean that your code is no longer compatible with the
 original Cache::Memcached API therefore losing some of the portability in case
 you want to replace it with some other package.

Package: libcache-memcached-managed-perl
Description-md5: 0f1747bc76a216ee9f3b2d41e4b2c5e2
Description-en: API for managing cached information
 The Cache::Memcached::Managed module provides an API to values, cached in
 one or more memcached servers.  Apart from being very similar to the API
 of Cache::Memcached, the Cached::Memcached::Managed API allows for
 management of groups of values, for simplified key generation and expiration,
 as well as version and namespace management and a few other goodies.
 .
 Over the functionality provided by the Cache::Memcached module, this module
 provides: automatic key generation, ID refinement, version management,
 namespace support, group management, easy (default) expiration specification,
 automatic fork() detection, magical increment, instant invalidation,
 dead memcached server detection, starting/stopping memcached servers and an
 extensive test-suite.
 .
 The basic premise is that each piece of information that is to be cached,
 can be identified by a key, an optional ID, a version and a namespace.
 The key determines the basic identification of the value to be cached.
 The ID specifies a refinement on the basic identification.  The version
 ensures that differently formatted values with the same key and ID do not
 interfere with each other.  The namespace ensures that different realms
 of information (for instance, for different users) do not interfere with each
 other.

Package: libcache-memcached-perl
Description-md5: 01348ade779970f96ae40a86cdb3314f
Description-en: Perl module for using memcached servers
 Cache::Memcached is a module that interfaces with the memcached distributed
 memory cache daemon. Danga Interactive's memcached is a generic memory object
 caching system, often used to accelerate dynamic web sites by reducing load
 on slower databases. (See the memcached package)
 .
 This module allows Perl authors to quickly set and retrieve key/data pairs
 from memcached servers, even clusters of multiple daemons. As such, it is
 used to power several large-scale and high-performance web sites, including
 LiveJournal and Slashdot.

Package: libcache-mmap-perl
Description-md5: 254e921034646bac4fa6f9caa95a1797
Description-en: module to provide a shared data cache using memory mapped files
 Cache::Mmap implements a shared data cache, using memory mapped files.
 If routines are provided which interact with the underlying data, access to
 the cache is completely transparent, and the module handles all the details of
 refreshing cache contents, and updating underlying data, if necessary.
 .
 Cache entries are assigned to "buckets" within the cache file, depending on
 the key. Within each bucket, entries are stored approximately in order of last
 access, so that frequently accessed entries will move to the head of the
 bucket, thus decreasing access time. Concurrent accesses to the same bucket are
 prevented by file locking of the relevant section of the cache file.

Package: libcache-perl
Description-md5: c7f909086a6b4f1d9ac8f36954a9ee16
Description-en: Cache interface
 Cache is designed to assist a developer in persisting data for a
 specified period of time.  Often these modules are used in web applications to
 store data locally to save repeated and redundant expensive calls to remote
 machines or databases.
 .
 The Cache interface is implemented by derived classes that store cached data
 in different manners (such as files on a filesystem, or in memory).

Package: libcache-ref-perl
Description-md5: 15aa35d6225f08203fc5a3d7a0f990b9
Description-en: Perl module for caching references in memory
 Cache::Ref implements in-memory caching, designed primarily for shared
 references.  It supports various caching algorithms.
 .
 It differs from CHI (libchi-perl), in that it does not attempt to address
 the problem of caching things persistently.

Package: libcache-simple-timedexpiry-perl
Description-md5: 46ed4ee94fbbfd5e356813cc5635ed50
Description-en: Perl module to cache and expire key/value pairs
 Example:
 .
 my $h = new Cache::Simple::TimedExpiry;
 .
 $h->set(Forever => "Don't expire", 0);
 do {$h->set($_,"Value of $_", 1); sleep 2;} for qw(Have a nice day); $,=' ';
 print $h->elements; $h->dump; sleep 4; print $h->elements; $h->dump;

Package: libcaf-core0.16.3
Description-md5: ed43ee4ab83a4d0a2854655dcfcbdec9
Description-en: Implementation of the Actor Model in C++, core library
 The actor-framework library facilitates writing applications based on the
 actor model in C++. It aims to be lightweight, distributed and simple.
 .
 This package contains the core library.

Package: libcaf-dev
Description-md5: bcec138152f82f2d349f3f5a90dfb1e4
Description-en: Implementation of the Actor Model in C++, development files
 The actor-framework library facilitates writing applications based on the
 actor model in C++. It aims to be lightweight, distributed and simple.
 .
 This package contains headers and other files required to develop
 applications against the library.

Package: libcaf-doc
Description-md5: 8ed9f02a0189317fe022c9d123006a82
Description-en: Implementation of the Actor Model in C++, development files
 The actor-framework library facilitates writing applications based on the
 actor model in C++. It aims to be lightweight, distributed and simple.
 .
 This package contains documentation and examples for the library.

Package: libcaf-io0.16.3
Description-md5: 9d244c51c439b998d9fcf14306e87b4b
Description-en: Implementation of the Actor Model in C++, I/O library
 The actor-framework library facilitates writing applications based on the
 actor model in C++. It aims to be lightweight, distributed and simple.
 .
 This package contains the io library required for network transparency.

Package: libcaf-mpich-3
Description-md5: 9d9412e935ae276ba04b111249f3e1db
Description-en: Co-Array Fortran libraries  (MPICH)
 OpenCoArrays is an open-source software project that supports the
 coarray Fortran (CAF) parallel programming features of the Fortran 2008
 standard and several features proposed for Fortran 2015 in the draft
 Technical Specification [TS 18508] "Additional Parallel Features in Fortran".
 .
 This package provides the libraries necessary for Fortran to build parallel
 CAF programs built on top of MPICH MPI.

Package: libcaf-openmpi-3
Description-md5: fb98a199d40670e2d06b868ea80280ff
Description-en: Co-Array Fortran libraries  (OpenMPI)
 OpenCoArrays is an open-source software project that supports the
 coarray Fortran (CAF) parallel programming features of the Fortran 2008
 standard and several features proposed for Fortran 2015 in the draft
 Technical Specification [TS 18508] "Additional Parallel Features in Fortran".
 .
 This package provides the libraries necessary for Fortran to build parallel
 CAF programs built on top of OpenMPI.

Package: libcaf-openssl0.16.3
Description-md5: 33f5b11367457c950e30d8236a7b399d
Description-en: Implementation of the Actor Model in C++, OpenSSL library
 The actor-framework library facilitates writing applications based on the
 actor model in C++. It aims to be lightweight, distributed and simple.
 .
 This package contains the io library required for TLS encryption
 using OpenSSL.

Package: libcaffe-cpu-dev
Description-md5: 535c32f7f9467fa81dc84c7964306b42
Description-en: development files for Caffe (CPU_ONLY)
 Caffe is a deep learning framework made with expression, speed,
 and modularity in mind. It is developed by the Berkeley AI Research
 Lab (BAIR) and community contributors.
 .
 It contains development files of caffe.

Package: libcaffe-cpu1
Description-md5: f8b2324ee6a480a326f748474e888faa
Description-en: library of Caffe, deep learning framework (CPU_ONLY)
 Caffe is a deep learning framework made with expression, speed,
 and modularity in mind. It is developed by the Berkeley AI Research
 Lab (BAIR) and community contributors.
 .
 It contains caffe shared library, configured as CPU_ONLY.

Package: libcaffeine-java
Description-md5: 723cef6c3f0497d013b90de1935a824d
Description-en: High performance caching library
 Caffeine provides an in-memory cache using a Google Guava inspired API.
 The improvements draw on the experience designing Guava's cache and
 ConcurrentLinkedHashMap.
 .
 Caffeine provides flexible construction to create a cache with a combination
 of the following features:
  * Automatic loading of entries into the cache, optionally asynchronously
  * Size-based eviction when a maximum is exceeded based on frequency
    and recency
  * Time-based expiration of entries, measured since last access or last write
  * Asynchronously refresh when the first stale request for an entry occurs
  * Keys automatically wrapped in weak references
  * Values automatically wrapped in weak or soft references
  * Notification of evicted (or otherwise removed) entries
  * Writes propagated to an external resource
  * Accumulation of cache access statistics

Package: libcairo-5c-dev
Description-md5: d69f661bf25d93951e78ad753e2321ab
Description-en: library for cairo nickle bindings dev files
 This package contains a shared library which links to the cairo
 library using the nickle FFI mechanism. It exposes most of the cairo
 API, although support for fonts is limited to the toy font API. This
 package provides the development files for the libcairo-5c library.

Package: libcairo-5c0
Description-md5: 1e6a6c5989b8ebadfcb1dbfcc165d42c
Description-en: library for cairo nickle bindings
 This package contains a shared library which links to the cairo
 library using the nickle FFI mechanism. It exposes most of the cairo
 API, although support for fonts is limited to the toy font API.

Package: libcairo-ocaml
Description-md5: 79085065a08b6c8d01ce5299b9ad01ae
Description-en: OCaml bindings for Cairo (runtime)
 Cairo is a multi-platform library providing anti-aliased
 vector-based rendering for multiple target backends. Paths consist
 of line segments and cubic splines and can be rendered at any width
 with various join and cap styles. All colors may be specified with
 optional translucence (opacity/alpha) and combined using the
 extended Porter/Duff compositing algebra as found in the X Render
 Extension.
 .
 Cairo exports a stateful rendering API similar in spirit to the path
 construction, text, and painting operators of PostScript, (with the
 significant addition of translucence in the imaging model). When
 complete, the API is intended to support the complete imaging model of
 PDF 1.4.
 .
 This package contains only the shared runtime stub libraries.

Package: libcairo-ocaml-dev
Description-md5: 52446b21850ea80840bf88ddb0e9e147
Description-en: OCaml bindings for Cairo
 Cairo is a multi-platform library providing anti-aliased
 vector-based rendering for multiple target backends. Paths consist
 of line segments and cubic splines and can be rendered at any width
 with various join and cap styles. All colors may be specified with
 optional translucence (opacity/alpha) and combined using the
 extended Porter/Duff compositing algebra as found in the X Render
 Extension.
 .
 Cairo exports a stateful rendering API similar in spirit to the path
 construction, text, and painting operators of PostScript, (with the
 significant addition of translucence in the imaging model). When
 complete, the API is intended to support the complete imaging model of
 PDF 1.4.
 .
 This package contains all the development stuff you need to use Cairo in
 your OCaml programs. The following backends are supported: PostScript,
 PDF, PNG, In-memory images and X11.

Package: libcairo1.10-cil
Description-md5: 16caaa32503ade3b6188b0b0e986cd8d
Description-en: CLI binding for Cairo
 This package provides the cairo-sharp assembly that allow CLI (.NET) programs
 to use the Cairo 2D vector graphics library.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libcairo2-ocaml
Description-md5: 9d144d7ffa8f6c79599605757a2c868d
Description-en: OCaml binding for Cairo (runtime library)
 This is an OCaml binding to Cairo, a 2D graphics library with support for
 multiple output devices. Currently supported output targets include
 the X Window System, Quartz, Win32, image buffers, PostScript, PDF,
 and SVG file output.
 .
 This package includes only the dynamic library needed for running dynamic
 OCaml bytecode executables that have been compiled with the cairo2 OCaml
 libraries.

Package: libcairo2-ocaml-dev
Description-md5: 219b07edbdf1ba8502bb977db999eb36
Description-en: OCaml binding for Cairo (development files)
 This is an OCaml binding to Cairo, a 2D graphics library with support for
 multiple output devices. Currently supported output targets include
 the X Window System, Quartz, Win32, image buffers, PostScript, PDF,
 and SVG file output.
 .
 This package contains the development libraries you need to use cairo2 in
 the development of OCaml programs.

Package: libcaja-extension-dev
Description-md5: a80ffbfa9b1d018b217fb2f97f2bfff9
Description-en: libraries for Caja components (development files)
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.
 .
 This package contains the development files for the libraries needed
 by Caja's extensions.

Package: libcaja-extension-doc
Description-md5: e79a836ca4e5c4babe0c1af6e4ea3576
Description-en: libraries for Caja components (API documentation files)
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.
 .
 This package contains the API documentation for the libraries needed
 by Caja's extensions.

Package: libcaja-extension1
Description-md5: 0f35c3d74c9f8f2fc4264c69a262623e
Description-en: libraries for Caja components
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.
 .
 This package contains a few runtime libraries needed by Caja's
 extensions.

Package: libcal-dav-perl
Description-md5: 1c2434b562e4a0c99e10447e52844ec3
Description-en: CalDAV client library
 Cal::DAV is a library providing the client part of the CalDAV protocol.
 .
 Cal::DAV is implemented as a very thin wrapper around HTTP::DAV and
 Data::ICal.

Package: libcal3d-doc
Description-md5: b35bbfb815979c9de953857e36dd021c
Description-en: Skeletal based 3d character animation library - API documentation
 Cal3d is a skeletal based 3d character animation library
 written in C++ in a platform-/graphic API-independent way.
 It has an unified exporter plugin framework. Currently it
 includes exporters 16 for 3D Studio Max and MilkShape 3D).
 .
 This package contains the cal3 API documentation.

Package: libcal3d12-dev
Description-md5: 0c3ac45630aff5118ec7038e6354d60b
Description-en: Skeletal based 3d character animation library - development files
 Cal3d is a skeletal based 3d character animation library
 written in C++ in a platform-/graphic API-independent way.
 It has an unified exporter plugin framework. Currently it
 includes exporters 16 for 3D Studio Max and MilkShape 3D).
 .
 This package contains the cal3 development files.

Package: libcal3d12v5
Description-md5: cd28c9fe9db1acf09989285557331430
Description-en: Skeletal based 3d character animation library
 Cal3d is a skeletal based 3d character animation library
 written in C++ in a platform-/graphic API-independent way.
 It has an unified exporter plugin framework. Currently it
 includes exporters 16 for 3D Studio Max and MilkShape 3D).
 .
 This package contains the cal3 runtime library.

Package: libcalendar-ocaml
Description-md5: 7b728538bee9dbffe6525216437d8f02
Description-en: OCaml library providing operations over dates and times (runtime)
 OCaml library implementing common date/time operations with
 timezones and pretty printing support.
 .
 This package contains the shared runtime libraries.

Package: libcalendar-ocaml-dev
Description-md5: e97e275bf447506b868de3fab16d1567
Description-en: OCaml library providing operations over dates and times (dev files)
 OCaml library implementing common date/time operations with
 timezones and pretty printing support.

Package: libcalendar-ocaml-doc
Description-md5: 14b74073efa634a2645683ce4fa2ec05
Description-en: OCaml library providing operations over dates and times (doc)
 OCaml library implementing common date/time operations with
 timezones and pretty printing support.
 .
 This package contains the library documentation.

Package: libcalendar-simple-perl
Description-md5: 7d16077cd87b60eca4c245e0c40a202a
Description-en: module for producing simple calendars
 Calendar::Simple is a Perl module that exports a single function, calendar(),
 which returns a data structure representing dates in a month. Its output can
 be configured in various ways, for example, by changing the day that each
 week begins with.

Package: libcallback1
Description-md5: df2b8104ee889bc8d0739ea4e5d1e5ec
Description-en: foreign function call libraries - closures with variable arguments in C
 ffcall is a collection of libraries which can be used to build
 foreign function call interfaces in embedded interpreters.
 .
 This package installs a shared library version of the callback library, which
 provides closures with variable arguments as first-class C functions.
 .
 The use of this shared library is deprecated. The main libffcall library,
 which embeds callback, should be preferred.

Package: libcam-pdf-perl
Description-md5: ce00f248f9eed0bf7e417fe1819db6ee
Description-en: PDF manipulation library
 CAM::PDF reads and writes any document that conforms to the PDF specification
 generously provided by Adobe at
 https://www.adobe.com/devnet/pdf/pdf_reference.html (link last checked Feb
 2013).
 .
 The file format through PDF 1.5 is well-supported, with the exception of the
 "linearized" or "optimized" output format, which this module can read but not
 write. Many specific aspects of the document model are not manipulable with
 this package (like fonts), but if the input document is correctly written,
 then this module will preserve the model integrity.
 .
 The PDF writing feature saves as PDF 1.4-compatible. That means that
 compressed object streams cannot be written. The consequence is that reading
 and then writing a PDF 1.5+ document may enlarge the resulting file by a fair
 margin.

Package: libcamera-calibration-parsers-dev
Description-md5: 5b628951e56b0f6b7794ebf2cdb0feca
Description-en: Robot OS camera_calibration_parsers package - development
 This package is part of Robot OS (ROS). It contains C++ headers for
 reading and writing camera calibration parameters.

Package: libcamera-calibration-parsers0d
Description-md5: 07bad23a5cd49280acdee617eabf37fe
Description-en: Robot OS camera_calibration_parsers package
 This package is part of Robot OS (ROS). The package provides
 the library with routines for reading and writing camera
 calibration parameters.

Package: libcamera-info-manager-dev
Description-md5: 77a14c6c0d27b61371891b29c5b48cc4
Description-en: Robot OS camera_info_manager package - development
 This package is part of Robot OS (ROS). It provides C++ header files
 for camera calibration information: CameraInfo, SetCameraInfo service
 requests, saving and restoring the camera calibration data.

Package: libcamera-info-manager0d
Description-md5: 1fb0b7c9a6737dd511031a64af1cf0c6
Description-en: Robot OS camera_info_manager package
 This package is part of Robot OS (ROS). It provides a C++ interface
 for camera calibration information: CameraInfo, SetCameraInfo service
 requests, saving and restoring the camera calibration data.

Package: libcamitk-dev
Description-md5: 6235bd1db242781f6a963bdefdb11041
Description-en: Computer Assisted Medical Intervention Tool Kit - development
 Helps researchers and clinicians to easily and rapidly collaborate in
 order to prototype CAMI applications, that feature medical images,
 surgical navigation and biomechanical simulations.
 .
 This package contains development files needed to build CamiTK applications.
 This package also provides the CamiTK wizard application to create new
 extensions.

Package: libcamitk4
Description-md5: 5cefd2aa55a8280e9b55146cc51755b5
Description-en: Computer Assisted Medical Intervention Tool Kit - runtime
 Helps researchers and clinicians to easily and rapidly collaborate in
 order to prototype CAMI applications, that feature medical images,
 surgical navigation and biomechanical simulations.
 .
 This package contains the shared libraries needed to run CamiTK applications.

Package: libcamitk4-data
Description-md5: bd31b3313aa2f68fce5bdf0a5992d5b9
Description-en: Computer Assisted Medical Intervention Tool Kit - data
 Helps researchers and clinicians to easily and rapidly collaborate in
 order to prototype CAMI applications, that feature medical images,
 surgical navigation and biomechanical simulations.
 .
 This package contains the examples and test data for CamiTK.

Package: libcamitk4-doc
Description-md5: 9ad56f284ab3b0729fe457ad6dae0ab6
Description-en: Computer Assisted Medical Intervention Tool Kit - documentation
 Helps researchers and clinicians to easily and rapidly collaborate in
 order to prototype CAMI applications, that feature medical images,
 surgical navigation and biomechanical simulations.
 .
 This package contains the documentation for CamiTK.

Package: libcaml2html-ocaml
Description-md5: a687481372812cafc8a1e5bd1000914b
Description-en: HTML and LaTeX colored syntax from OCaml source files - plugins
 Caml2html provides a command-line executable which converts a set of
 OCaml source files into a HTML or LaTeX document with colored syntax. A
 library is also provided for building web-page generators that would color
 OCaml code appropriately.
 .
 This package contains the shared runtime library.

Package: libcaml2html-ocaml-dev
Description-md5: 973275788c2a884039262f1fd5ceb484
Description-en: HTML and LaTeX colored syntax from OCaml source files - dev files
 Caml2html provides a command-line executable which converts a set of
 OCaml source files into a HTML or LaTeX document with colored syntax. A
 library is also provided for building web-page generators that would color
 OCaml code appropriately.
 .
 This package contains the development files for programming with the
 library.

Package: libcamlimages-ocaml
Description-md5: 6eb1c42cba4a24a580967c7fec87ee7e
Description-en: OCaml image processing library (Runtime library)
 CamlImages is an image processing library for OCaml, which provides:
 .
  * basic functions for image processing and loading/saving
    various image file formats (hence providing a translation
    facility from format to format)
 .
  * an interface with the Caml graphics library allows one to display
    images in the Graphics module screen and to mix them with Caml
    drawings
 .
  * a freetype interface, integrated into the library: you can
    draw texts into images using any truetype fonts
 .
 In addition, the library can handle huge images that cannot be
 (or can hardly be) stored into the main memory (the library then
 automatically creates swap files and escapes them to reduce the
 memory usage).
 .
 This package contains only the shared runtime stub libraries.

Package: libcamlimages-ocaml-dev
Description-md5: 36af8e24c3b4683a345d049c1091e8eb
Description-en: OCaml image processing library (Development package)
 CamlImages is an image processing library for OCaml, which provides:
 .
  * basic functions for image processing and loading/saving
    various image file formats (hence providing a translation
    facility from format to format)
 .
  * an interface with the Caml graphics library allows one to display
    images in the Graphics module screen and to mix them with Caml
    drawings
 .
  * a freetype interface, integrated into the library: you can
    draw texts into images using any truetype fonts
 .
 In addition, the library can handle huge images that cannot be
 (or can hardly be) stored into the main memory (the library then
 automatically creates swap files and escapes them to reduce the
 memory usage).
 .
 This package contains the development part of the camlimages
 library.

Package: libcamlimages-ocaml-doc
Description-md5: 25c611115fad6de0e0c7b1947aba30fb
Description-en: OCaml CamlImages library documentation
 This package provides documentation for the OCaml CamlImages
 library.
 .
 The library itself is provided in the libcamlimages-ocaml and
 libcamlimages-ocaml-dev packages.

Package: libcamljava-ocaml-dev
Description-md5: d1e73ebc3ce6a847f5b796ea845b02a6
Description-en: interface between OCaml and Java via Caml/C interface and JNI
 CamlJava is an interface between OCaml and Java allowing programs written in
 one of the two languages to call code written in the other.
 .
 Interaction among the two languages happen via the respective C interfaces:
 Caml/C interface for OCaml and JNI (Java Native Interface) for Java.
 .
 Currently, CamlJava provides a low-level, weakly-typed OCaml interface very
 similar to the JNI. Java object references are mapped to an abstract type, and
 various JNI-like operations are provided to allow Java method invocation,
 field access, and more.
 .
 A basic callback facility (allowing Java code to invoke methods on OCaml
 objects) is also provided, although some stub Java code must be written by
 hand.
 .
 This package contain all the development stuff needed to use CamlJava from
 OCaml programs.

Package: libcamlp4-ocaml-dev
Description-md5: 2cc59be47adb23bf4e61a5ce7532a410
Description-en: Pre Processor Pretty Printer for OCaml (libraries)
 Camlp4 is a software system for writing extensible parsers for
 programming languages. It provides a set of OCaml libraries that are
 used to define grammars as well as loadable syntax extensions of such
 grammars. Camlp4 stands for Caml Preprocessor and Pretty-Printer and
 one of its most important applications is the definition of
 domain-specific extensions of the syntax of OCaml.
 .
 This package contains CamlP4 libraries.

Package: libcamlpdf-ocaml
Description-md5: b1123d823b45af0b9540099e96d907cf
Description-en: library for reading, writing and manipulating PDF files and data (runtime)
 CamlPDF consists of a set of low level modules for representing,
 reading and writing the basic structure of PDF, together with an
 initial attempt at a higher level API.
 .
 This package contains runtime libraries.

Package: libcamlpdf-ocaml-dev
Description-md5: 97f2b397b7b42069a4592cf3e5df0f3a
Description-en: library for reading, writing and manipulating PDF files and data
 CamlPDF consists of a set of low level modules for representing,
 reading and writing the basic structure of PDF, together with an
 initial attempt at a higher level API.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use camlpdf.

Package: libcamltemplate-ocaml
Description-md5: e8b0c45ea3182fe73063780c485810bf
Description-en: configurable library for generating text from templates in OCaml
 CamlTemplate is a library for generating text from templates in OCaml. It can
 be used to generate web pages, scripts, SQL queries, XML documents and other
 sorts of text.
 .
 This library defines a small templating language, with basic operations like
 #if or #foreach. To use this library you need to configure the data source
 that will be used in the template with the templating language.
 .
 This package contains the shared runtime libraries.

Package: libcamltemplate-ocaml-dev
Description-md5: c43b26d2aa55aae4bc6c4a8310811732
Description-en: configurable library for generating text from templates in OCaml
 CamlTemplate is a library for generating text from templates in OCaml. It can
 be used to generate web pages, scripts, SQL queries, XML documents and other
 sorts of text.
 .
 This library defines a small templating language, with basic operations like
 #if or #foreach. To use this library you need to configure the data source
 that will be used in the template with the templating language.

Package: libcamomile-ocaml-data
Description-md5: 5b9a5bec5bcd07beb55454ff36c7c5ee
Description-en: Unicode data for OCaml
 Camomile is a comprehensive Unicode library for objective caml language.
 The library is currently designed to conform Unicode Standard 3.2.
 .
 This package contains the data needed by camomile.

Package: libcamomile-ocaml-dev
Description-md5: 0e0fe980c309cb01a10cbb193370d5ec
Description-en: Unicode library for OCaml
 Camomile is a comprehensive Unicode library for objective caml language.
 The library is currently designed to conform Unicode Standard 3.2.
 .
 Normalisers (NFD, NFKD, NFC, NFKC) and collator (string comparison) pass
 the conformance tests defined Unicode Technical Reports. Collator is also
 tested to Canadian, Thai and Japanese standards with their locales.

Package: libcamp-dev
Description-md5: 49c8e9f34f8bab185beda7d1860aa9fb
Description-en: C++ multi-purpose reflection library (development files)
 CAMP is a multi-purpose reflection library developed by Technogerma Systems
 France (http://www.tegesoft.com).
 It provides an abstraction for most of the high-level concepts of C++
  - Classes
  - Enumerations
  - Properties
  - Functions
  - Objects
  - Variables
 By wrapping all these concepts into abstract structures, CAMP provides an
 extra layer of flexibility to programs, and allow them to fully expose their
 data structures at runtime.
 Many applications can take advantage of CAMP, in order to automate tasks which
 would otherwise require a huge amount of work. For example, CAMP can be used
 to expose and edit objects' attributes into a graphical user interface. It can
 also be used to do automatic binding of C++ classes to script languages such as
 Python or Lua.
 Another possible application would be the serialization of objects to XML, text
 or binary formats. Or you can even combine all these examples to provide a
 powerful and consistent interface for manipulating your objects outside C++
 code.
 .
 This package contains the files needed for development.

Package: libcamp0.8
Description-md5: 7479122a1e86ca39cc856540cb9a0c4b
Description-en: C++ multi-purpose reflection library
 CAMP is a multi-purpose reflection library developed by Technogerma Systems
 France (http://www.tegesoft.com).
 It provides an abstraction for most of the high-level concepts of C++
  - Classes
  - Enumerations
  - Properties
  - Functions
  - Objects
  - Variables
 By wrapping all these concepts into abstract structures, CAMP provides an
 extra layer of flexibility to programs, and allow them to fully expose their
 data structures at runtime.
 Many applications can take advantage of CAMP, in order to automate tasks which
 would otherwise require a huge amount of work. For example, CAMP can be used
 to expose and edit objects' attributes into a graphical user interface. It can
 also be used to do automatic binding of C++ classes to script languages such as
 Python or Lua.
 Another possible application would be the serialization of objects to XML, text
 or binary formats. Or you can even combine all these examples to provide a
 powerful and consistent interface for manipulating your objects outside C++
 code.

Package: libcanary-stability-perl
Description-md5: 9ad064f77f55cb078de19f2f0a0d48ab
Description-en: canary to check perl compatibility for schmorp's modules
 Canary::Stability is used by Schmorp's modules during configuration
 stage to test the installed perl for compatibility with his modules.
 .
 It's not, at this stage, meant as a tool for other module authors,
 although in principle nothing prevents them from subscribing to the
 same ideas.

Package: libcanberra-gstreamer
Description-md5: 6ed9b79f20215c7d94edabb80133434f
Description-en: GStreamer backend for libcanberra
 libcanberra defines a simple abstract interface for playing event
 sounds.
 .
 This package contains the GStreamer backend for libcanberra.

Package: libcanberra-gtk-dev
Description-md5: 54181da135f6b624b07e8fdef5757bc9
Description-en: simple interface for playing event sounds (GTK+ 2 development files)
 libcanberra-gtk provides a few functions that simplify
 libcanberra usage from GTK+.
 .
 Contextual information is needed to play event sound. This library
 can help to compile directly event sounds property lists based on
 active GtkWidget objects or GdkEvent events.
 .
 This package contains headers and libraries for developing
 applications that play event sounds with libcanberra-gtk.

Package: libcanberra-gtk-module
Description-md5: 405adfc13d8fdb076cf1c2bffb2842ee
Description-en: translates GTK+ widgets signals to event sounds
 A GtkModule which will automatically hook into all kinds of events
 inside a GTK+ program and generate sound events from them.

Package: libcanberra-gtk0
Description-md5: 341328ccbf871bdf01238ff50e7bd58f
Description-en: GTK+ helper for playing widget event sounds with libcanberra
 libcanberra-gtk provides a few functions that simplify libcanberra
 usage from GTK+.
 .
 Contextual information is needed to play event sound. This library
 can help to compile directly event sounds property lists based on
 active GtkWidget objects or GdkEvent events.

Package: libcangjie2
Description-md5: fe156c874b9b3bd195d214452cf10de9
Description-en: Cangjie input method library
 This is a library that provides functions related to the Cangjie
 Chinese input method.

Package: libcangjie2-data
Description-md5: 01af91b40302a23472048caa360c98f6
Description-en: Cangjie input method library (common database)
 This is a library that provides functions related to the Cangjie
 Chinese input method.
 .
 This package contains the sqlite database of the library.

Package: libcangjie2-dev
Description-md5: 1bb970031e14e5d4d372ab2fd5735168
Description-en: Cangjie input method library (development)
 This is a library that provides functions related to the Cangjie
 Chinese input method.
 .
 This package contains the development library and header files.

Package: libcangjie2-dev-tools
Description-md5: 3bc1511ebac7dc96d27907b282579453
Description-en: Cangjie input method library development tools
 This is a library that provides functions related to the Cangjie
 Chinese input method.
 .
 This package contains the development tools.

Package: libcanl-c-dev
Description-md5: 87c357c8bbf6621cdd14b121fa560888
Description-en: Development files for EMI caNl
 This package contains development libraries and header files for EMI caNl.

Package: libcanl-c-doc
Description-md5: 69223fb3bab089ff170c92acc52f7e26
Description-en: Documentation files for EMI caNl
 This package contains documentation files for EMI caNl.

Package: libcanl-c-examples
Description-md5: 89ff7327a7b81f234a3f9197571cb541
Description-en: Example programs of EMI caNl
 This package contains client and server examples of EMI caNl.

Package: libcanl-c4
Description-md5: ac46e0691d37f4dbd4ba05c6d3b81ee4
Description-en: EMI Common Authentication library - bindings for C
 This is the C part of the EMI caNl -- the Common Authentication Library.

Package: libcanl-java
Description-md5: b6cfaa247baf7d40e5afa416060c4fc1
Description-en: EMI Common Authentication library - bindings for Java
 This is the Java part of the EMI caNl -- the Common Authentication Library.

Package: libcanl-java-doc
Description-md5: b42d41d7d04ddc1929d8d65c1c902428
Description-en: Javadoc documentation for canl-java
 Javadoc documentation for EMI caNl.

Package: libcanlock-dev
Description-md5: a03238beda9e61647714e924ae69e3ab
Description-en: development files for Usenet cancel lock library
 Cancel locks are used by Usenet article posters to authenticate their
 authorship of an article. It may then by used by servers to prevent
 cancel and supersede abuse. The use of this feature remains the
 newsmaster's decision.
 .
 This library may be used for both the generation and the verification
 of cancel locks. The header file and the static library are included
 in this package.

Package: libcanlock3
Description-md5: dba911ccd76a44babb844c3e2cca62de
Description-en: library for creating and verifying Usenet cancel locks
 Cancel locks are used by Usenet article posters to authenticate their
 authorship of an article. It may then by used by servers to prevent
 cancel and supersede abuse. The use of this feature remains the
 newsmaster's decision.
 .
 This library may be used for both the generation and the verification
 of cancel locks.

Package: libcanna1g
Description-md5: 79a167cab35861ccf9f4f38edaa99725
Description-en: input system for Japanese - runtime library
 Canna provides a unified user interface for Japanese input. It is based
 on a client-server model and supports automatic kana-to-kanji conversion.
 .
 It supports multiple clients (including kinput2 and canuum), and allows
 them all to work in the same way, sharing customization files,
 romaji-to-kana conversion rules and conversion dictionaries.
 .
 This package provides shared libraries for Canna.

Package: libcanna1g-dev
Description-md5: 090cad56f23505a86213a564aab58d7d
Description-en: input system for Japanese - development files
 Canna provides a unified user interface for Japanese input. It is based
 on a client-server model and supports automatic kana-to-kanji conversion.
 .
 It supports multiple clients (including kinput2 and canuum), and allows
 them all to work in the same way, sharing customization files,
 romaji-to-kana conversion rules and conversion dictionaries.
 .
 This package provides the headers and static libraries needed to develop
 software based on Canna.

Package: libcantor-pythonbackend
Description-md5: 054bc66520f3a2911510feace924d13c
Description-en: Base Python backend for Cantor
 Cantor is an application to allow you to you use your favorite mathematical
 applications from within an elegant worksheet interface. It provides dialogs
 to assist with common tasks and allows you to share your worksheets
 with others.
 .
 This package provides the backend for using the Python language
 (https://www.python.org/) in Cantor.
 .
 This package is part of the KDE education module.

Package: libcap-ng-utils
Description-md5: fbe5ccdbf5d2f02cbe089add9ba015da
Description-en: Utilities for analysing and setting file capabilities
 This library implements the user-space interfaces to the POSIX
 1003.1e capabilities available in Linux kernels.  These capabilities are
 a partitioning of the all powerful root privilege into a set of distinct
 privileges.
 .
 The libcap-ng library is intended to make programming with POSIX
 capabilities much easier than the traditional libcap library.
 .
 This package contains utilities for analysing and setting file capabilities.

Package: libcapi20-3
Description-md5: e6237d0b178b74b2cec15106bc601a11
Description-en: ISDN utilities - CAPI support libraries
 Handle requests from CAPI-driven applications such as fax systems via active
 and passive ISDN cards.

Package: libcapi20-dev
Description-md5: c898443a93667d2f1626fdc416f3a787
Description-en: ISDN utilities - CAPI development libraries
 This package provides files needed for development of CAPI-aware software.

Package: libcapstone-dev
Description-md5: 4f54beb4eed583c81d86a8aea1e0692e
Description-en: lightweight multi-architecture disassembly framework - devel files
 Capstone is a lightweight multi-platform, multi-architecture disassembly
 framework.
 .
 These are the development headers and libraries.

Package: libcapstone3
Description-md5: 0586dbb906d9a9f4f9aaba412489b161
Description-en: lightweight multi-architecture disassembly framework - library
 Capstone is a lightweight multi-platform, multi-architecture disassembly
 framework.
 .
 Features:
  - Support hardware architectures: ARM, ARM64 (aka ARMv8), Mips, PowerPC &
 Intel.
  - Clean/simple/lightweight/intuitive architecture-neutral API.
  - Provide details on disassembled instructions (called "decomposer" by some
 others).
  - Provide some semantics of the disassembled instruction, such as list of
 implicit registers read & written.
  - Implemented in pure C language, with bindings for Java, OCaml and Python
 ready to use and Ruby, C#, GO & Vala available on git repos.
  - Native support for Windows & *nix (with OS X, Linux, *BSD & Solaris
 confirmed).
  - Thread-safe by design.
  - Special support for embedding into firmware or OS kernel.
  - Distributed under the open source BSD license.

Package: libcaptcha-recaptcha-perl
Description-md5: 47b5d11a58e40b8def96b535bf81a5df
Description-en: perl implementation of the reCAPTCHA API
 Captcha::reCAPTCHA is a perl module for accessing the reCAPTCHA API.
 .
 reCAPTCHA is a hybrid mechanical turk and captcha that allows visitors
 who complete the captcha to assist in the digitization of books.
 From http://recaptcha.net/learnmore.html:
 .
 reCAPTCHA improves the process of digitizing books by sending words that
 cannot be read by computers to the Web in the form of CAPTCHAs for
 humans to decipher. More specifically, each word that cannot be read
 correctly by OCR is placed on an image and used as a CAPTCHA. This is
 possible because most OCR programs alert you when a word cannot be read
 correctly.
 .
 To use reCAPTCHA you need to register your site here:
 https://admin.recaptcha.net/recaptcha/createsite/

Package: libcaribou-common
Description-md5: a94f0f1467cc887917fdde2955e3444f
Description-en: Configurable on screen keyboard with scanning mode - common files
 An input assistive technology intended for switch and pointer users.
 .
 This package contains support files for libcaribou

Package: libcaribou-dev
Description-md5: 899a5ccda9290f163f84ed4ec6e856e5
Description-en: Configurable on screen keyboard - library (development)
 An input assistive technology intended for switch and pointer users.
 .
 This package contains the development files for libcaribou library.

Package: libcaribou-gtk-module
Description-md5: 627a888bf80537e68736ce65dc6d6c32
Description-en: Configurable on screen keyboard with scanning mode (GTK+ 2.0 module)
 An input assistive technology intended for switch and pointer users.
 .
 This package contains the GTK+ 2.0 module library.

Package: libcaribou-gtk3-module
Description-md5: 770686abc3640114778c8bb79a609e03
Description-en: Configurable on screen keyboard with scanning mode (GTK+ 3.0 module)
 An input assistive technology intended for switch and pointer users.
 .
 This package contains the GTK+ 3.0 module library.

Package: libcaribou0
Description-md5: 1a867b08863f4e45fd5c44b32c69b60b
Description-en: Configurable on screen keyboard with scanning mode - library
 An input assistive technology intended for switch and pointer users.
 .
 This package contains the shared library.

Package: libcarp-always-perl
Description-md5: 5496fb584a56cceb361a353879dc059f
Description-en: Perl module to make warn and die noisy with stack backtraces
 Carp::Always is meant as a debugging aid. It can be used to make a script
 complain loudly with stack backtraces when warn()ing or die()ing. Thus, it is
 commonly used on the command line, to give more context to those mysterious
 warnings from deep down inside the module, without the need to edit code.

Package: libcarp-assert-more-perl
Description-md5: 397d65eeb96a6432bc119ac9999155fe
Description-en: set of convenience wrappers for libcarp-assert-perl
 Carp::Assert::More is a set of wrappers around the Carp::Assert functions
 to make the habit of writing assertions even easier.

Package: libcarp-assert-perl
Description-md5: 6c9ca76e42dee6117b3a40fbafefc2d6
Description-en: Carp::Assert - executable comments for perl
 Carp::Assert provides ANSI C assert.h style assertions for perl,
 useful for debugging and commenting

Package: libcarp-clan-perl
Description-md5: e5a6eb72f36e93a94e8c3ddae4f4e21c
Description-en: Perl enhancement to Carp error logging facilities
 Carp::Clan is a Perl module designed to report errors from the perspective
 of the caller of a "clan" of modules, similar to "Carp" itself. Instead of
 giving it a number of levels to skip on the calling stack, you give it a
 pattern to characterize the package names of the "clan" of modules which
 shall never be blamed for any error.
 .
 These modules stick together like a "clan" and any error which occurs will
 be blamed on the "outsider" script or modules not belonging to this clan.

Package: libcarp-clan-share-perl
Description-md5: a239248a0f58a9498918e0bc7c3c2127
Description-en: Perl module enabling sharing of Carp::Clan settings
 Carp::Clan::Share is a lightweight helper module that automatically creates
 a ::Carp module for you, under your current base namespace, forwarding the
 options to Carp::Clan. It does this using an import method.

Package: libcarp-datum-perl
Description-md5: b8c82806f5bf7673b252b63850985b56
Description-en: Debugging And Tracing Ultimate Module (for perl)
 Carp::Datum implements the following features:
 .
  * Programming by contract: pre-conditions, post-conditions, assertions.
  * Flow control tracing: routine entry, arguments, returned values
  * Dynamic (i.e. runtime) configuration via mini language to tailor
    debugging and/or tracing at the routine / file / package / type level.
  * Ability to statically remove all assertions and flow control tracing
    hooks in modules making use of Carp::Datum.
  * Cooperation with Log::Agent for tracing.

Package: libcarp-fix-1-25-perl
Description-md5: 56ca3eeea82d0b80b6497e2f8133c3e1
Description-en: Perl module to smooth over incompatible changes in Carp 1.25
 Carp 1.25 made a change to its formatting, adding a period at the end of the
 message. This can mess up tests and code that are looking for error messages.
 Carp::Fix::1_25 makes the message consistent, regardless of what version of
 Carp you're using.
 .
 Carp::Fix::1_25 exports its own carp functions which change the Carp message
 to match the 1.25 version. Carp::Fix::1_25 otherwise acts exactly like Carp
 and it will honor Carp global variables|Carp/GLOBAL VARIABLES such as
 @CARP_NOT and %Carp::Internal.
 .
 Why do this instead of just upgrading Carp? Upgrading Carp would affect all
 installed code all at once. You might not be ready for that, or you might not
 want your module to foist that on its users. This lets you fix things one
 namespace at a time.

Package: libcarrotsearch-hppc-java
Description-md5: 82a5be67d4c88527e1ba242ce8ecb96a
Description-en: High Performance Primitive Collections for Java
 hppc is a library that contains fundamental data structures (maps,
 sets, lists, stacks, queues) generated for combinations of object and
 primitive types to conserve JVM memory and speed up execution.

Package: libcarrotsearch-randomizedtesting-java
Description-md5: aa9cf8f9e40de164b00725ed35767cd0
Description-en: Randomized testing infrastructure for JUnit, Ant and Maven
 Inspired by the Apache Lucene project's infrastructure, this project brings
 a refactored, stand-alone runner for JUnit 4.x tests, which encapsulates
 built-in repeatable randomized tests, threading and timeout control, parameter
 factories, thread execution groups and more. Everything is JUnit compatible
 and works in any IDE.
 .
 On top of that, the project comes with Ant and Maven integration allowing
 execution of tests in concurrent isolated JVMs, load-balancing and multiple
 options for reporting, including attractive reports and results visualizations.

Package: libcasa-casa4
Description-md5: 1bf0778b3525ff92ea1e8d23c2e43fc4
Description-en: CASA core modules
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the Core modules: Multi-dimensional arrays, Quantities
 (values with physical units), OS and IO interface classes, Containers (from
 pre-STL era), Miscellaneous modules for exceptions, tasking interface,
 command line input, logging, STL wrappers, and basic math classes and
 functors.
 .
 Most of the code has been developed before STL came into existence, so
 several classes in modules Containers and Utilities are superseded by their
 STL counterparts. However, they are still used in some casacore
 code. Furthermore, some classes offer some extra functionality compared to
 STL.

Package: libcasa-coordinates4
Description-md5: ae009c5328bbea995942d4e0a09e4a2d
Description-en: CASA coordinates library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the implementation of coordinates for astronomical
 images.

Package: libcasa-derivedmscal4
Description-md5: 4eec8c5432cb2fd9ea0b246886d348cc
Description-en: CASA derived mscal library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains derived MeasurementSet quantities (like hourangle) that
 can be used as virtual table columns or as TaQL user defined functions.

Package: libcasa-fits4
Description-md5: ab456270f339c3d11ac470cfe6b23e85
Description-en: CASA FITS library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains a C++ interface to read and write FITS files.

Package: libcasa-images4
Description-md5: 832f2ac0f258d5fd77a11b73e99b2667
Description-en: CASA image processing library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package implements n-dimensional images with masks, coordinates, and
 history.

Package: libcasa-lattices4
Description-md5: df54fa21334930285a1da2762b2c8f9e
Description-en: CASA lattice library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package implements memory- or disk-based N-dimensional arrays (lattices)
 with masking, regions, expressions, and math.

Package: libcasa-meas4
Description-md5: 0b11e54085348232b3b902c9e51204ee
Description-en: CASA functions handling measures
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This module extends TaQL (the Table Query Language) with functions handling
 measures. Currently it can handle directions, epochs, and positions.

Package: libcasa-measures4
Description-md5: 2d3c5415a586a553ff93755bbd8f6d26
Description-en: CASA measures library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package implements measures (i.e. quantities with references frames) and
 their persistency. Examples are the Hour-angle and Declination of a source at
 a certain time and observatory; an Ra/Dec for a certain mean epoch; an
 apparent frequency at a certain time given in eV; a local sidereal time at an
 observatory. Measures can be converted from one reference frame to another
 (and this possibility is its main reason for existence).

Package: libcasa-mirlib4
Description-md5: 027c9fbfa74d1849190276ff43385815
Description-en: CASA mirlib library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package implements the MIRIAN radio interferometry data reduction
 package that is of particular interest to users of the Australia Telescope
 Compact Array (ATCA).

Package: libcasa-ms4
Description-md5: 15f97b2bf7fb3bf4c8ad1468584bc2f8
Description-en: CASA MeasurementSet library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the data format for visibility data as described in the
 MeasurementSet definition. The MeasurementSet is where all data are
 ultimately to be found in CASA.

Package: libcasa-msfits4
Description-md5: b7cc1a0ae17095126bbe58849e0e8b8c
Description-en: CASA msfits library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the mapping of MeasurementSets to/from FITS.

Package: libcasa-python3-4
Description-md5: 0b87a349d2f6691a91dd3e189805a5a8
Description-en: CASA Python bindings
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the Python 3 bindings.

Package: libcasa-scimath-f4
Description-md5: d0ed04f4223f7fdda03f70a1f8ae0885
Description-en: CASA scimath F library
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the Mathematical modules N-dim functionals,
 linear/non-linear fitting, and miscellaneous.

Package: libcasa-scimath4
Description-md5: fbf40899a19879da90a29b8062b63abf
Description-en: CASA basic mathematical modules
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the mathematical modules n-dimensional functionals,
 linear and non-linear fitting, and miscellaneous.

Package: libcasa-tables4
Description-md5: 171b590d676eddaabc88d0b9cf1e4056
Description-en: CASA table system
 The casacore package contains the core libraries of the old
 AIPS++/CASA (Common Astronomy Software Applications) package. This
 split was made to get a better separation of core libraries and
 applications.
 .
 This package contains the database-like table system with advanced query
 language (TaQL).

Package: libcassie-dev
Description-md5: bb48bfc4d4fca6ac02c950a93db0a276
Description-en: library and headers for development
 Cassiopee index and search library C implementation.
 It is a complete rewrite of the ruby Cassiopee gem. It scans an input
 genomic sequence (dna/rna/protein) and search for a subsequence with
 exact match or allowing substitutions (Hamming distance) and/or
 insertion/deletions.
 .
 This package contains the header files for development.

Package: libcassie-doc
Description-md5: ff2fdb5a552ae9d897d5df9e2509ea8a
Description-en: documentation for cassiopee library
 Cassiopee index and search library C implementation. It is a complete
 rewrite of the ruby Cassiopee gem. It scans an input genomic sequence
 (dna/rna/protein) and search for a subsequence with exact match or
 allowing substitutions (Hamming distance) and/or insertion/deletions.
 .
 This package contains the doxygen generated documentation for the
 cassiopee library for development.

Package: libcassie1v5
Description-md5: 4d94e363e65115dc73e3db5440824309
Description-en: library implementating search algorithms
 Cassiopee index and search library C implementation.
 It is a complete rewrite of the ruby Cassiopee gem. It scans an input
 genomic sequence (dna/rna/protein) and search for a subsequence with
 exact match or allowing substitutions (Hamming distance) and/or
 insertion/deletions.

Package: libcastor-anttasks-java
Description-md5: 3355b01b187d4ffe1e4a35538183780e
Description-en: Data binding framework for Java (XML, Objects, DB) - Ant Tasks
 Castor is a data binding framework for Java. It's the shortest path between
 Java objects, XML documents and relational tables.
 .
 Castor XML is an XML data binding framework. Unlike the two main XML APIs,
 DOM (Document Object Model) and SAX (Simple API for XML) which deal with
 the structure of an XML document, Castor enables you to deal with the
 data defined in an XML document through an object model which represents
 that data.
 .
 Castor XML can be compared to XMLBeans framework.
 .
 Castor JDO is an Object-Relational Mapping and Data-Binding Framework.
 Castor can map relational database data into Java Data Object based
 on user-defined mapping schema. In the other point-of-view,
 it provides java data objects a persistence layer.
 .
 This package contains Ant task definitions for Castor XML code generator.

Package: libcastor-codegen-java
Description-md5: d4bb6224f3b704185ca592fa471c0cbf
Description-en: Data binding framework for Java (XML, Objects, DB) - Codegen
 Castor is a data binding framework for Java. It's the shortest path between
 Java objects, XML documents and relational tables.
 .
 Castor XML is an XML data binding framework. Unlike the two main XML APIs,
 DOM (Document Object Model) and SAX (Simple API for XML) which deal with
 the structure of an XML document, Castor enables you to deal with the
 data defined in an XML document through an object model which represents
 that data.
 .
 Castor XML can be compared to XMLBeans framework.
 .
 Castor JDO is an Object-Relational Mapping and Data-Binding Framework.
 Castor can map relational database data into Java Data Object based
 on user-defined mapping schema. In the other point-of-view,
 it provides java data objects a persistence layer.
 .
 This package contains the Castor XML code generator.

Package: libcastor-core-java
Description-md5: 0b72735e3c883a43ad4bdb47a15d2ab2
Description-en: Data binding framework for Java (XML, Objects, DB) - Core
 Castor is a data binding framework for Java. It's the shortest path between
 Java objects, XML documents and relational tables.
 .
 Castor XML is an XML data binding framework. Unlike the two main XML APIs,
 DOM (Document Object Model) and SAX (Simple API for XML) which deal with
 the structure of an XML document, Castor enables you to deal with the
 data defined in an XML document through an object model which represents
 that data.
 .
 Castor XML can be compared to XMLBeans framework.
 .
 Castor JDO is an Object-Relational Mapping and Data-Binding Framework.
 Castor can map relational database data into Java Data Object based
 on user-defined mapping schema. In the other point-of-view,
 it provides java data objects a persistence layer.
 .
 This package contains the core functionality of Castor and it is required
 by all other modules.

Package: libcastor-ddlgen-java
Description-md5: c60999a3958cccf087fac1f44bfcb168
Description-en: Data binding framework for Java (XML, Objects, DB) - DDL generator
 Castor is a data binding framework for Java. It's the shortest path between
 Java objects, XML documents and relational tables.
 .
 Castor XML is an XML data binding framework. Unlike the two main XML APIs,
 DOM (Document Object Model) and SAX (Simple API for XML) which deal with
 the structure of an XML document, Castor enables you to deal with the
 data defined in an XML document through an object model which represents
 that data.
 .
 Castor XML can be compared to XMLBeans framework.
 .
 Castor JDO is an Object-Relational Mapping and Data-Binding Framework.
 Castor can map relational database data into Java Data Object based
 on user-defined mapping schema. In the other point-of-view,
 it provides java data objects a persistence layer.
 .
 This package contains the Castor JDO DDL generator.

Package: libcastor-java-doc
Description-md5: 52b311e9a8b1df26d00f3473f7ca53aa
Description-en: Documentation for Castor Java databinding framework
 Documentation for Castor, that is a data binding framework for Java. It's
 the shortest path between Java objects, XML documents and relational tables.
 .
 Castor XML is an XML data binding framework. Unlike the two main XML APIs,
 DOM (Document Object Model) and SAX (Simple API for XML) which deal with
 the structure of an XML document, Castor enables you to deal with the
 data defined in an XML document through an object model which represents
 that data.
 .
 Castor XML can be compared to XMLBeans framework.
 .
 Castor JDO is an Object-Relational Mapping and Data-Binding Framework.
 Castor can map relational database data into Java Data Object based
 on user-defined mapping schema. In the other point-of-view,
 it provides java data objects a persistence layer.

Package: libcastor-jdo-java
Description-md5: 64840c194fab1cba840f93bf27b85e14
Description-en: Data binding framework for Java (XML, Objects, DB) - JDO
 Castor is a data binding framework for Java. It's the shortest path between
 Java objects, XML documents and relational tables.
 .
 Castor XML is an XML data binding framework. Unlike the two main XML APIs,
 DOM (Document Object Model) and SAX (Simple API for XML) which deal with
 the structure of an XML document, Castor enables you to deal with the
 data defined in an XML document through an object model which represents
 that data.
 .
 Castor XML can be compared to XMLBeans framework.
 .
 Castor JDO is an Object-Relational Mapping and Data-Binding Framework.
 Castor can map relational database data into Java Data Object based
 on user-defined mapping schema. In the other point-of-view,
 it provides java data objects a persistence layer.
 .
 This package contains Castor JDO: a persistence framework.

Package: libcastor-xml-java
Description-md5: b453cf09cae0593efe5ea92e689eef2a
Description-en: Data binding framework for Java (XML, Objects, DB) - XML module
 Castor is a data binding framework for Java. It's the shortest path between
 Java objects, XML documents and relational tables.
 .
 Castor XML is an XML data binding framework. Unlike the two main XML APIs,
 DOM (Document Object Model) and SAX (Simple API for XML) which deal with
 the structure of an XML document, Castor enables you to deal with the
 data defined in an XML document through an object model which represents
 that data.
 .
 Castor XML can be compared to XMLBeans framework.
 .
 Castor JDO is an Object-Relational Mapping and Data-Binding Framework.
 Castor can map relational database data into Java Data Object based
 on user-defined mapping schema. In the other point-of-view,
 it provides java data objects a persistence layer.
 .
 This package contains the core XML data binding framework with support
 for marshalling Java objects to and unmarshalling from XML documents.

Package: libcastor-xml-schema-java
Description-md5: 59f5a45edeca8f6bd03bc954b6e7b6df
Description-en: Data binding framework for Java (XML, Objects, DB) - XML Schema
 Castor is a data binding framework for Java. It's the shortest path between
 Java objects, XML documents and relational tables.
 .
 Castor XML is an XML data binding framework. Unlike the two main XML APIs,
 DOM (Document Object Model) and SAX (Simple API for XML) which deal with
 the structure of an XML document, Castor enables you to deal with the
 data defined in an XML document through an object model which represents
 that data.
 .
 Castor XML can be compared to XMLBeans framework.
 .
 Castor JDO is an Object-Relational Mapping and Data-Binding Framework.
 Castor can map relational database data into Java Data Object based
 on user-defined mapping schema. In the other point-of-view,
 it provides java data objects a persistence layer.
 .
 This package contains a module with Castor XML schema support and provides
 functionality to reading, processing and writing XML schema documents.

Package: libcatalyst-action-renderview-perl
Description-md5: eb59e9c4243e6e55bc706285469eb51f
Description-en: Sensible default end action for Catalyst
 Catalyst::Action::RenderView module implements a sensible default end action
 for Catalyst, which will forward to the first available view, unless
 $c->res->status is a 3xx code (redirection, not modified, etc.), 204 (no
 content), or $c->res->body has already been set. It also allows you to
 pass 'dump_info=1' to the url in order to force a debug screen, while in
 debug mode.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-action-rest-perl
Description-md5: 938faceb61dcbf4ee38b981f742ed575
Description-en: module for automatic REST method dispatching
 Catalyst::Action::REST is a Catalyst Action that handles doing automatic
 method dispatching for REST requests. It takes a normal Catalyst action, and
 changes the dispatch to append an underscore and method name. It will
 dispatch either to the action with the generating name or to a regular
 method.

Package: libcatalyst-action-serialize-data-serializer-perl
Description-md5: cef71a8820b179d46dc891e2ee0ee274
Description-en: serializing module for Catalyst::Action::REST using Data::Serializer
 Catalyst::Action::Serialize::Data::Serializer implements a serializer for use
 with "Data::Dumper" and others. It was factored out of Catalyst::Action::REST
 because it is unlikely to be widely used and tends to break tests, be
 insecure, and is generally weird. Use at your own risk.

Package: libcatalyst-actionrole-acl-perl
Description-md5: 97671557704d397b097da4dbbd4c80d1
Description-en: Catalyst ActionRole for user role-based authorization
 Catalyst::ActionRole::ACL provides a Catalyst reusable action
 role for user role-based authorization.
 .
 ACLs are applied via the assignment of attributes to
 application action subroutines.

Package: libcatalyst-actionrole-checktrailingslash-perl
Description-md5: 52debe3ce8300600bb342d14b936ff4e
Description-en: Test URI path for trailing slash and redirect if needed
 Catalyst::ActionRole::CheckTrailingSlash provides a feature
 to test a URI for a trailing slash and redirect if needed.

Package: libcatalyst-actionrole-requiressl-perl
Description-md5: 1c580a1efca9e6c537b183fde7293f88
Description-en: Force an action to be (in)secure only
 Catalyst::ActionRole::RequireSSL provides a feature
 to force an action to be secure only, while
 Catalyst::ActionRole::NoSSL provides a way to force
 an action to be insecure/plain.

Package: libcatalyst-authentication-credential-authen-simple-perl
Description-md5: b63d1373ac88d4f1784b503255adbaee
Description-en: Catalyst plugin for verifying credentials with the Authen::Simple framework
 Catalyst::Authentication::Credential::Authen::Simple helps a Cataylst
 application authenticate against all credential databases supported by the
 Authen::Simple framework.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-authentication-credential-http-perl
Description-md5: ea1551452c6f0f22ea51655280e936fc
Description-en: HTTP Basic and Digest authentication for Catalyst
 Catalyst::Authentication::Credential::HTTP lets you use HTTP
 authentication with Catalyst::Plugin::Authentication. Both basic and
 digest authentication are currently supported.
 .
 When authentication is required, this module sets a status of 401, and
 the body of the response to 'Authorization required.'. To override this
 and set your own content, check for the "$c->res->status == 401" in
 your "end" action, and change the body accordingly.

Package: libcatalyst-authentication-store-dbix-class-perl
Description-md5: 055b8257f0ed6eb80c71c0183eb5e971
Description-en: Catalyst authentication storage via DBIx::Class
 The Catalyst::Authentication::Store::DBIx::Class provides access to
 authentication information stored in a database via DBIx::Class.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-authentication-store-htpasswd-perl
Description-md5: 32cc65a224cd66f99a7fcc5a71ea8586
Description-en: Authen::Htpasswd based user storage/authentication
 Catalyst::Authentication::Store::Htpasswd is a plugin for the Catalyst MVC web
 framework which enables Catalyst applications to use <.htpasswd> files for
 authentication storage.

Package: libcatalyst-component-instancepercontext-perl
Description-md5: f498b8e4e8937c1080106dfd9f17e229
Description-en: Single per-request instances of Catalyst components
 Catalyst::Component::InstancePerContext is a Moose role to create only one
 instance of a component per Cataylyst request.
 .
 Moose is modern Perl object system framework.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-controller-actionrole-perl
Description-md5: 07848ae244726bdc975f25bc51d8ae5c
Description-en: module for applying roles to action instances
 Catalyst::Controller::ActionRole allows one to apply Moose::Roles to the
 Catalyst::Actions for different controller methods.
 .
 As of version 5.90013, Catalyst has merged this functionality into the core
 Catalyst::Controller. You should no longer use this module for new
 development and it is recommended to switch to the core controller as soon as
 practical.

Package: libcatalyst-controller-formbuilder-perl
Description-md5: d06dcb0a3df7831f965cd753bf00b549
Description-en: Catalyst FormBuilder base controller
 This base controller merges the functionality of CGI::FormBuilder with
 Catalyst and the following templating systems: Template Toolkit, Mason and
 HTML::Template. This provides access to all of FormBuilder's niceties, such
 as controllablefield stickiness, multilingual support, and Javascript
 generation.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-controller-html-formfu-perl
Description-md5: e7de02fc8edb0d2dfd1ad3361b835ebd
Description-en: Catalyst integration for HTML::FormFu
 Catalyst::Controller::HTML::FormFu integrated Catalyst with HTML::FormFu.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.
 .
 HTML::FormFu is a framework for HTML form creation, rendering and validation.

Package: libcatalyst-devel-perl
Description-md5: 1b4c4aac4767f96f9ef54e0c0b929f93
Description-en: collection of development tools for Catalyst
 Catalyst::Devel is a collection of modules needed for Catalyst application
 development and testing, but is not required to run them. This package is not
 needed for Catalyst application deployment on production servers. Among other
 things, it includes the following tools:
 .
 Module::Install::Catalyst
 Provides various utilities for creating Catalyst module distributions.
 .
 Catalyst::Helper
 Helper module for bootstrapping a Catalyst application via catalyst.pl.
 .
 Catalyst::Restarter
 Utility to restart the server when files have changed

Package: libcatalyst-dispatchtype-regex-perl
Description-md5: 5756903ffcce10fad3740b5b62e4f523
Description-en: Regex DispatchType for Catalyst
 Catalyst::DispatchType::Regex has been deprecated and removed from Catalyst
 core. If your application depends on regex dispatch, you can install this
 package to keep things working while migrating to Chained methods or other
 techniques.
 .
 Please note that as part of the refactoring, the dispatch priority of
 Regex vs Regexp vs LocalRegex vs LocalRegexp may have changed. Priority
 is now influenced by when the dispatch type is first seen in your
 application.

Package: libcatalyst-engine-apache-perl
Description-md5: 2579c8862eaf4357fe58c062a931998d
Description-en: Catalyst engine for Apache 1.x and 2.x
 Catalyst::Engine::Apache is a Perl module that provides backend support for
 the Catalyst MVC framework on the Apache web server (for both the 1.x and
 2.x branches).
 .
 In Debian, it is usually used with mod_perl 2.0, though the engine supports
 mod_perl 1.3x and 1.99 as well.

Package: libcatalyst-log-log4perl-perl
Description-md5: 34fa3eea8446fc827245fdd0212da179
Description-en: logging module for Catalyst (deprecated)
 Deprecation warning: this package is provided only for users of
 libcatalyst-modules-perl. The module was previously bundled there.
 .
 Please migrate to Log::Log4perl::Catalyst, which is included in the
 liblog-log4perl-perl package.
 .
 Catalyst::Log::Log4perl provides a Catalyst::Log implementation that uses
 Log::Log4perl as the underlying log mechanism.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-manual-perl
Description-md5: 9eba41202bb1a66a897ff6e05a5cfebd
Description-en: developer's manual for Catalyst
 Catalyst is an elegant web application framework, extremely flexible yet
 extremely simple. It's similar to Ruby on Rails, Spring (Java) and Maypole,
 upon which it was originally based.
 .
 Catalyst follows the Model-View-Controller (MVC) design pattern, allowing you
 to easily separate concerns, like content, presentation, and flow control,
 into separate modules. This separation allows you to modify code that handles
 one concern without affecting code that handles the others. Catalyst promotes
 the re-use of existing Perl modules that already handle common web application
 concerns well.
 .
 Catalyst::Manual contains Catalyst developer's manual.

Package: libcatalyst-model-adaptor-perl
Description-md5: 94066d2f16ba60053037f8a03b4dd2cd
Description-en: glue for Catalyst model modules
 The idea is that there's no need for a Catalyst model to be anything other
 than a line or two of glue.  Using this module ensures that Model classes are
 separate from the rest of the application and therefore are well-abstracted,
 reusable, and easily testable.
 .
 Right now there are too many modules on CPAN that are Catalyst-specific. Most
 of the models would be better written as a class that handles most of the
 functionality with just a bit of glue to make it work nicely with Catalyst.
 Catalyst::Model::Adaptor aims to make integrating that class with Catalyst
 trivial, so there's no extra work involved in makint the model generic.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-model-cdbi-crud-perl
Description-md5: 37741834e0e3e0b8956193e1f8a2b6cb
Description-en: deprecated Catalyst module
 Catalyst::Model::CDBI::CRUD has been deprecated.
 .
 This module is no longer supported upstream. It is still indexed for the
 sake of existing users, but new users are highly recommend to look at
 Catalyst::Helper::Controller::Scaffold.
 .
 Catalyst::Model::CDBI::CRUD is a subclass of Catalyst::Model::CDBI with
 additional CRUD methods.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-model-cdbi-perl
Description-md5: a02d49ef310852dcd0dc6a62d2ca2c06
Description-en: CDBI Model Class for Catalyst
 Catalyst::Model::CDBI is the Class::DBI model class for Catalyst. It's
 built on top of Class::DBI::Loader.
 .
 This module is deprecated and only provided for older applications which
 still need it for backwards compatibility.

Package: libcatalyst-model-dbi-perl
Description-md5: ca4d38fa69d76682e7e3fedc44e7f2a0
Description-en: Database model class for Catalyst
 Catalyst::Model::DBI is the DBI model class for Catalyst.
 .
 There are two options for doing custom models with Catalyst. Either by using
 this model and any related modules as needed or by having a custom model
 decoupled from Catalyst and glued on using Catalyst::Model::Adaptor
 .
 Some general rules are as follows. If you do not wish to use DBIx::Connector
 or DBI directly and setup connections in your custom models or have glue
 models, then use this model. If you however need models that can be re-used
 outside of your application or simply wish to maintain connection code
 yourself outside of the Catalyst, then use Catalyst::Model::Adaptor which
 allows you to glue outside models into your Catalyst app.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.
 .
 DBI is a Perl database interface.

Package: libcatalyst-model-dbic-schema-perl
Description-md5: 0be9812f89a51b136225cb36517f7147
Description-en: DBIx::Class::Schema-based database model for Catalyst
 Catalyst::Model::DBIC::Schema is a Catalyst database model class for
 DBIx::Class::Schema-based Models. See the documentation for
 Catalyst::Helper::Model::DBIC::Schema for information on generating these
 Models via Helper scripts.
 .
 When a Catalyst app starts up, a thin Model layer is created as an interface
 to the DBIC Schema. It should be clearly noted that the model object returned
 by $c->model('FilmDB') is NOT itself a DBIC schema or resultset object, but
 merely a wrapper proving methods to access the underlying schema.
 .
 In addition to this model class, a shortcut class is generated for each
 source in the schema, allowing easy and direct access to a resultset of the
 corresponding type. These generated classes are even thinner than the model
 class, providing no public methods but simply hooking into Catalyst's model()
 accessor via the ACCEPT_CONTEXT mechanism.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-modules-extra-perl
Description-md5: d7d0218311a61b63734aa24036818e3d
Description-en: extra modules for Catalyst (metapackage)
 This package depends on some additional packages containing commonly used
 Catalyst modules which are not in libcatalyst-modules-perl.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-modules-perl
Description-md5: f3d980db5321ae5580fd4e4a58f17535
Description-en: modules for Catalyst (metapackage)
 This package depends on some packages containing commonly used Catalyst
 modules.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-perl
Description-md5: 66638affc383b17337ca9486a20f354e
Description-en: elegant Model-View-Controller Web Application Framework
 Catalyst is an elegant web application framework, extremely flexible yet very
 simple. It's similar to Ruby on Rails, Spring (Java) and Maypole, upon which
 it was originally based.
 .
 Catalyst follows the Model-View-Controller (MVC) design pattern, allowing you
 to easily separate concerns, like content, presentation, and flow control,
 into separate modules. This separation allows you to modify code that handles
 one concern without affecting code that handles the others. Catalyst promotes
 the re-use of existing Perl modules that already handle common web application
 concerns well.
 .
 This package only contains the Catalyst::Runtime module. If you want to develop
 your own web applications install libcatalyst-modules-perl as well.

Package: libcatalyst-plugin-authentication-credential-openid-perl
Description-md5: fa12f4e4514134b864a98670ffc73916
Description-en: OpenID credential for Catalyst::Auth framework
 Catalyst::Plugin::Authentication::Credential::OpenID is an OpenID credential
 for Catalyst::Plugin::Authentication framework.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-authentication-perl
Description-md5: 009470c219ee76e412e239c25b605f0d
Description-en: Infrastructure plugin for the Catalyst authentication framework
 Catalyst::Plugin::Authentication provides generic user support for Catalyst
 apps. It is the basis for both authentication (checking the user is who they
 claim to be), and authorization (allowing the user to do what the system
 authorises them to do).
 .
 Using authentication is split into two parts. A Store is used to actually
 store the user information, and can store any amount of data related to the
 user. Credentials are used to verify users, using information from the store,
 given data from the frontend. A Credential and a Store are paired to form a
 'Realm'. A Catalyst application using the authentication framework must have
 at least one realm, and may have several.
 .
 To implement authentication in a Catalyst application you need to add this
 module, and specify at least one realm in the configuration.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-authorization-acl-perl
Description-md5: 177986b0da77b4f1be9eae1c4641bfe2
Description-en: ACL support for Catalyst applications
 Catalyst::Plugin::Authorization::ACL provides Access Control List style path
 protection with arbitrary rules. It operates only on the Catalyst private
 namespace, at least at the moment.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-authorization-roles-perl
Description-md5: 4ab7f93923f68b7a14621070931ab37c
Description-en: Role based authorization plugin for Catalyst
 Catalyst::Plugin::Authorization::Roles provides role-based authorization
 (access control) for Catalyst applications.
 .
 Role based access control is very simple: every user has a list of roles,
 which that user is allowed to assume, and every restricted part of the app
 makes an assertion about the necessary roles.
 .
 With assert_user_roles, if the user is a member in all of the required roles
 access is granted. Otherwise, access is denied. With assert_any_user_role it
 is enough that the user is a member of one role.
 .
 There are alternative approaches to do this on a per action basis, see
 Catalyst::ActionRole::ACL.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-cache-perl
Description-md5: 5b40c5ecd0d478d7833a251d1c7eed97
Description-en: flexible caching support for Catalyst
 Catalyst::Plugin::Cache gives you access to a variety of systems for caching
 data. It allows you to use a very simple configuration API, while maintaining
 the possibility of flexibility when you need it later.
 .
 Among its features are support for multiple backends, segmentation based on
 component or controller, keyspace partitioning, and so more, in various
 subsidiary plugins.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-cache-store-fastmmap-perl
Description-md5: e0b3001f16885650216bc54e0c4794a5
Description-en: (deprecated) FastMmap cache store plugin for Catalyst::Plugin::Cache
 The Catalyst::Plugin::Cache::Store::FastMmap plugin is deprecated because
 Cache::FastMmap no longer needs to be wrapped to store plain values. It is
 still available for backwards compatibility and will still work with newer
 versions of Cache::FastMmap with a slight performance degredation.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-captcha-perl
Description-md5: d986d27c50c07a4ba24110edb1a2ae28
Description-en: create and validate Captcha for Catalyst
 Catalyst::Plugin::Captcha creates and validates Captcha objects.
 .
 Note: This plugin uses GD::SecurityImage and requires a session plugins like
 Catalyst::Plugin::Session
 .
 Captcha  is a type of challenge-response test used in computing to determine
 whether or not the user is human.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-compress-perl
Description-md5: 9a9333b0d1b21f2dff373daf5ddd4ade
Description-en: Catalyst plugin to compress the response
 This module combines Catalyst::Plugin::Deflate
 Catalyst::Plugin::Gzip Catalyst::Plugin::Zlib into one.
 .
 It compress response to [gzip bzip2 zlib deflate] if client supports it.
 .
 NOTE: If you want to use this module with Catalyst::Plugin::Unicode,
 You MUST load this plugin AFTER Catalyst::Plugin::Unicode.

Package: libcatalyst-plugin-configloader-perl
Description-md5: 13b52ad99e2a20e09b0524c75dfd8242
Description-en: Catalyst plugin for loading configuration files
 Catalyst::Plugin::ConfigLoader will attempt to find and load a
 configuration file of various types. Currently it supports YAML, JSON, XML,
 INI and Perl formats.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-customerrormessage-perl
Description-md5: 8f0c7189be2cc07ca22617673d7a7775
Description-en: Catalyst plugin to have more "cute" error message.
 You can use this module if you want to get rid of:
 .
 (en) Please come back later
 .
 (fr) SVP veuillez revenir plus tard
 .
 (de) Bitte versuchen sie es spaeter nocheinmal
 .
 (at) Konnten's bitt'schoen spaeter nochmal reinschauen
 .
 (no) Vennligst prov igjen senere
 .
 (dk) Venligst prov igen senere
 .
 (pl) Prosze sprobowac pozniej
 .
 What it does is that it inherites finalize_error to $c object.
 .
 See finalize_error() function.

Package: libcatalyst-plugin-fillinform-perl
Description-md5: 6f404ed6d8a474ea9768da4e195f2893
Description-en: module providing Fill-In-Form for Catalyst
 Catalyst::Plugin::FillInForm allows automatic insertion of data from
 a previous HTML form into HTML input fields, textarea fields, radio buttons,
 checkboxes, and select tags. It is an instance of HTML::FillInForm, which
 itself is a subclass of HTML::Parser, which it uses to parse the HTML and
 insert the values into the proper form tags.
 .
 The usual application is after a user submits an HTML form without filling
 out a required field, or with errors in fields having specified constraints.
 FillInForm is used to redisplay the HTML form with all the form elements
 containing the submitted info. FillInForm can also be used to fill forms
 with data from any source, e.g. directly from your database.

Package: libcatalyst-plugin-i18n-perl
Description-md5: 177c393ae8e8c624e94040bbe22d3af6
Description-en: I18N for Catalyst web application framework
 Catalyst::Plugin::I18N adds internationalization (i18n) to a Catalyst
 application. It supports mo/po files and Maketext classes under your
 application's I18N namespace.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-log-dispatch-perl
Description-md5: 07f3cedecbf5724c81889ff0e395d132
Description-en: Catalyst log module that uses Log::Dispatch
 Catalyst::Plugin::Log::Dispatch is a plugin to use Log::Dispatch from
 Catalyst.

Package: libcatalyst-plugin-redirect-perl
Description-md5: 6167552422c42151a45a70fcd23368fb
Description-en: Catalyst plugin for easy redirects
 You can use the module to do easy redirects with Catalyst
 .
 like: $c->redirect('http://www.perl.org/');

Package: libcatalyst-plugin-scheduler-perl
Description-md5: 3f609b022f58c73b4d29c096506b24f8
Description-en: Catalyst plugin for scheduling events to run in a cron-like fashion
 The Catalyst::Plugin::Scheduler plugin allows you to schedule events to run
 at recurring intervals. Events will run during the first request which meets
 or exceeds the specified time. Depending on the level of traffic to the
 application, events may or may not run at exactly the correct time, but it
 should be enough to satisfy many basic scheduling needs.

Package: libcatalyst-plugin-session-perl
Description-md5: 40ae35b17fc1cada47921ae9fe8645d6
Description-en: generic Session plugin for Catalyst
 Catalyst::Plugin::Session is the base of two related parts of functionality
 required for session management in web applications.
 .
 The first part, the State, is getting the browser to repeat back a session
 key, so that the web application can identify the client and logically string
 several requests together into a session.
 .
 The second part, the Store, deals with the actual storage of information
 about the client. This data is stored so that the it may be revived for every
 request made by the same client.
 .
 This plugin links the two pieces together.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-session-state-cookie-perl
Description-md5: 47de89f0570161dc34ff165234324cfc
Description-en: Catalyst plugin for maintaining session IDs using cookies
 In order for Catalyst::Plugin::Session to work the session ID needs to be
 stored on the client, and the session data needs to be stored on the server.
 .
 Catalyst::Plugin::Session::State::Cookie stores the session ID on the client
 using the cookie mechanism.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-session-store-cache-perl
Description-md5: d25362ef048eb2e2455704450a73e576
Description-en: Catalyst plugin for storing session data in the cache
 Catalyst::Plugin::Session::Store::Cache will store the session data in
 whatever cache module Catalyst uses.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-session-store-dbi-perl
Description-md5: 3159df60e09574deeaaadc9fb2d1d9ab
Description-en: DBI session storage plugin for Catalyst
 Catalyst::Plugin::Session::Store::DBI will store session data in a database
 using DBI.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-session-store-dbic-perl
Description-md5: 0cf6afbf1c420017b4fe7cd2d8bf5302
Description-en: DBIx::Class-based session storage plugin for Catalyst
 Catalyst::Plugin::Session::Store::DBIC saves session data in a database via
 DBIx::Class. It's actually just a wrapper around
 Catalyst::Plugin::Session::Store::Delegate. The later may be a better option
 if complete control over how sessions are stored is needed.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.
 .
 DBIx::Class is an extensible and flexible object <-> relational mapper.

Package: libcatalyst-plugin-session-store-delegate-perl
Description-md5: 7b26416358cffa9221945959035a9952
Description-en: delegated Catalyst session storage helper
 Catalyst::Plugin::Session::Store::Delegate helps with delegating the session
 storage to a first class object model.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-session-store-fastmmap-perl
Description-md5: 9651c564af9eabe736155a3aa08ad26d
Description-en: Catalyst session storage plugin backed by Cache::FastMMap
 Catalyst::Plugin::Session::Store::FastMmap is a fast session storage plugin
 for Catalyst that uses an mmap'ed file to act as a shared memory interprocess
 cache. It is based on Cache::FastMmap.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-session-store-file-perl
Description-md5: 1ab50a43de7df6e8fbdc34601dd865d1
Description-en: File storage backend for Catalyst session data
 Catalyst::Plugin::Session::Store::File is an easy to use storage plugin for
 Catalyst that uses an simple file to act as a shared memory interprocess
 cache. It is based on Cache::FileCache.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-session-store-redis-perl
Description-md5: 9a87229fba019ed2dd1265d7c82f22ce
Description-en: Redis Session store for Catalyst
 Catalyst::Plugin::Session::Store::Redis is a session storage plugin
 for Catalyst that uses the Redis (https://redis.io/) key-value database.

Package: libcatalyst-plugin-setenv-perl
Description-md5: 3369817ea4cd006abb1da5b883c9e5f6
Description-en: set up the environment from Catalyst's config file
 Catalyst::Plugin::Setenv handles setting up environment variables via the
 central configuration file for Catalyst.
 .
 It supports setting, prepending and appending to environment variables.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-smarturi-perl
Description-md5: 34a4d9ab24e2c255bc2202f362149258
Description-en: module providing configurable URIs for Catalyst
 Configure whether $c->uri_for and $c->req->uri_with return absolute, hostless
 or relative URIs, or URIs based on the 'Host' header. Also allows configuring
 which URI class to use. Works on application-wide or per-request basis.
 .
 Catalyst::Plugin::SmartURI is useful in situations where you're for example,
 redirecting to a lighttpd from a firewall rule, instead of a real proxy, and
 you want your links and redirects to still work correctly.
 .
 To use your own URI class, just subclass URI::SmartURI and set uri_class, or
 write a class that follows the same interface.
 .
 This plugin installs a custom $c->request_class, however it does so in a way
 that won't break if you've already set $c->request_class yourself, ie. by
 using Catalyst::Action::REST (thanks mst!).

Package: libcatalyst-plugin-stacktrace-perl
Description-md5: 1b292f7288034d0a2da75f701d5e06ad
Description-en: Catalyst plugin for displaying a stack trace on the debug screen
 Catalyst::Plugin::StackTrace will enhance the standard Catalyst debug screen
 by including a stack trace of your appliation up to the point where the error
 occurred. Each stack frame is displayed along with the package name, line
 number, file name, and code context surrounding the line number.
 .
 This plugin is only active in -Debug mode by default, but can be enabled by
 setting the enable config option.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-static-simple-perl
Description-md5: ecb23c127aec6dac49f7f62d3c9f0b36
Description-en: Catalyst plugin for easy serving of static pages
 Catalyst::Plugin::Static::Simple is designed to make serving static content
 in your application during development quick and easy, without requiring a
 single line of code from you.
 .
 This plugin detects static files by looking at the file extension in the URL
 (such as .css or .png or .js). The plugin uses the lightweight MIME::Types
 module to map file extensions to IANA-registered MIME types, and will serve
 your static files with the correct MIME type directly to the browser, without
 being processed through Catalyst.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-subrequest-perl
Description-md5: 5020c01c561b30b0a7f5a67fb1617ff9
Description-en: deprecated Catalist plugin for making subrequests to actions
 Catalyst::Plugin::SubRequest is a Catalyst plugin for making subrequests to
 actions. It uses the catalyst dispatcher, so it will work like an external
 URL call. Methods are provided both to get the body of the response and the
 full response (Catalyst::Response) object.
 .
 From: https://rt.cpan.org/Public/Bug/Display.html?id=57544:
  $c->visit() is a perfect substitute for Catalyst::Plugin::SubRequest and
  works fine with content types, response headers, request headers, request
  method, missing localization etc.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-plugin-unicode-perl
Description-md5: 0a594b0c4fd0baefbba502462c339d8b
Description-en: Unicode support for Catalyst (old style)
 At request time Catalyst::Plugin::Unicode decodes all params from UTF-8
 octets into a sequence of logical characters. On response, encodes body into
 UTF-8 octets.
 .
 Note that this plugin tries to autodetect if your response is encoded into
 characters before trying to encode it into a byte stream. This is *bad*
 as sometimes it can guess wrongly and cause problems.
 .
 As an example, latin1 characters such as é (e-accute) will not actually
 cause the output to be encoded as utf8.
 .
 Using Catalyst::Plugin::Unicode::Encoding (part of Catalyst 5.90042, packaged
 in libcatalyst-perl) is much more recommended, and that also does additional
 things (like decoding file upload filenames and request parameters which this
 plugin does not).
 .
 This plugin should be considered deprecated, but is maintained as a large
 number of applications are using it already.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-view-component-subinclude-perl
Description-md5: e62c758acda161e13f39927cb472c474
Description-en: Use subincludes in your Catalyst views
 Catalyst::View::Component::SubInclude allows you to include content in
 your templates (or, more generally, somewhere in your view's render
 processing) which comes from another action in your application. It's
 implemented as a Moose::Role, so using Moose in your view is required.

Package: libcatalyst-view-csv-perl
Description-md5: 35645d99af509ecd008a52a6ce939910
Description-en: CSV view class for the Catalyst web framework
 Catalyst::View::CSV provides a Catalyst view that generates CSV files. You can
 use either a Perl array of arrays, an array of hashes, an array of objects, or
 a database cursor as the source of the CSV data. The CSV file is generated
 using Text::CSV.

Package: libcatalyst-view-email-perl
Description-md5: 75ce1efd6a37b6467b15ff1d82cc9fe4
Description-en: Catalyst module for sending email
 Catalyst::View::Email sends out emails from a stash key specified in the
 configuration settings.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-view-excel-template-plus-perl
Description-md5: c24a6570292ef20cf7c1cf8c63e94f2f
Description-en: Catalyst View for Excel::Template::Plus
 This is a Catalyst View subclass which can handle rendering excel
 content through Excel::Template::Plus.

Package: libcatalyst-view-gd-perl
Description-md5: 1ae98f1c67d73be24115657604a13c9a
Description-en: Catalyst View for GD images
 This is a Catalyst View subclass which can handle rendering GD based image
 content.

Package: libcatalyst-view-json-perl
Description-md5: 4b19cec5324d97901a5f831185165f90
Description-en: JSON view plugin for Catalyst
 Catalyst::View::JSON is a Catalyst View handler that returns stash
 data in JSON format.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalyst-view-mason-perl
Description-md5: 4ea00ad13d85c4d80334e78e1421dfde
Description-en: Mason view component for Catalyst
 Catalyst::View::Mason is a view Catalyst component, which relies on Mason
 as a template engine.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.
 .
 Mason is a modular templatig engine.

Package: libcatalyst-view-pdf-reuse-perl
Description-md5: d8f920e8d354c035227e70834fffc0ca
Description-en: Create PDF files from Catalyst using Template Toolkit templates
 This module allows the creation of PDF files with Template Toolkit and
 PDF::Reuse within a Catalyst view.

Package: libcatalyst-view-petal-perl
Description-md5: 0a59c9d88e89c153a420ad6fe019cdfc
Description-en: Petal View Class for Catalyst
 Catalyst::View::Petal is the Catalyst view class for Petal. Your
 subclass should inherit from this class.

Package: libcatalyst-view-tt-perl
Description-md5: a482bd578930dceef4876cdbd0f49494
Description-en: Template View Class for Catalyst
 Catalyst::View::TT is the Catalyst view class for the Template toolkit. Your
 application subclass should inherit from this class. This plugin renders the
 template specified in $c->stash->{template}, or $c->request->match. The
 template variables are set up from the contents of $c->stash, augmented with
 template variable base set to Catalyst's $c->req->base, template variable c to
 Catalyst's $c, and template variable name to Catalyst's $c->config->{name}.
 The output is stored in $c->response->output.

Package: libcatalystx-component-traits-perl
Description-md5: 2a60161cc98e63b9410a7310a10132d9
Description-en: Automatic Trait Loading and Resolution for Catalyst Components
 CatalystX::Component::Traits adds a Catalyst::Component/COMPONENT method to
 your Catalyst component base class that reads the optional traits parameter
 from app and component config and instantiates the component subclass with
 those traits using MooseX::Traits/new_with_traits from
 MooseX::Traits::Pluggable.
 .
 Catalyst is an elegant Model-View-Controller web application framework
 written in Perl.

Package: libcatalystx-injectcomponent-perl
Description-md5: e8915ee8cf4fa95c5aad9b23f93e82e9
Description-en: module for injecting components into Catalyst applications
 CatalystX::InjectComponent will inject Controller, Model, and View
 components into Catalyst applications at setup (run)time. It does
 this by creating a new package on-the-fly, having that package extend
 the given component, and then having Catalyst setup the new component
 (via "->setup_component").

Package: libcatalystx-leakchecker-perl
Description-md5: 14d0a19886ae832ed08c9313a5eef58d
Description-en: module for detecting memory leaks in Catalyst applications
 CatalystX::LeakChecker is a Perl module that tries to help you find memory
 leaks in Catalsy applications by automatically checking for common causes.
 If any leaks are found (even when they occur within code references), a
 debug message is logged with useful debugging information.
 .
 This module is intended for use during development and debugging only, rather
 than in a production environment.

Package: libcatalystx-simplelogin-perl
Description-md5: 3c4ed9208fe1743ecfc386133721d77a
Description-en: simple and reusable login controller
 CatalystX::SimpleLogin is an application class Moose::Role which will inject
 an instance of CatalystX::SimpleLogin::Controller::Login into your
 application.
 .
 This provides a simple login and logout page with the addition of only one
 line of code and one template to your application.

Package: libcatmandu-atom-perl
Description-md5: 800e1f3cd3fa61074f0e909cabf36592
Description-en: modules for handling Atom feeds within the Catmandu framework
 Catmandu::Atom contains modules for handling Atom feeds
 within the Catmandu framework.
 .
 Catmandu provides a suite of Perl modules
 to ease the import, storage, retrieval, export and transformation
 of metadata records.

Package: libcatmandu-filestore-perl
Description-md5: c5bb42b8c4f54b68427d7c690b85d82e
Description-en: modules to make files persistent within the Catmandu framework
 Each Catmandu::FileStore is a Catmandu::Store and inherits all its methods.
 .
 A Catmandu::FileStore is package to store and retrieve binary content
 in an filesystem, memory or a network.
 A Catmandu::FileStore contains one or more Catmandu::FileBag
 which is a kind of folder.
 .
 Each Catmandu::FileBag contains one or more files.
 .
 One special Catmandu::FileBag is the index
 which contains the listing of all Catmandu::FileBag
 in the Catmandu::FileStore.
 .
 Catmandu provides a suite of Perl modules
 to ease the import, storage, retrieval, export
 and transformation of metadata records.

Package: libcatmandu-importer-getjson-perl
Description-md5: 64cccfcaf0c658f827854caaebd21cd0
Description-en: load JSON-encoded data from a server using a GET HTTP request
 Catmandu::Importer::getJSON performs a HTTP GET request
 to load JSON-encoded data from a server.
 The importer expects a line-separated input.
 Each line corresponds to a HTTP request
 that is mapped to a JSON-record on success.
 .
 Catmandu provides a suite of Perl modules
 to ease the import, storage, retrieval, export and transformation
 of metadata records.

Package: libcatmandu-mab2-perl
Description-md5: 034403fa91ab5b4367939653e9b10557
Description-en: modules for working with MAB2 data within the Catmandu framework
 Catmandu provides a suite of Perl modules to ease the import, storage,
 retrieval, export and transformation of metadata records.
 .
 Catmandu::MAB2 contains methods to work with MAB2 data within the
 Catmandu framework.

Package: libcatmandu-marc-perl
Description-md5: ec669b42c502f6c482767505441b5fcd
Description-en: modules for working with MARC data within the Catmandu framework
 Catmandu::MARC contains modules for handling MARC data
 within the Catmandu framework.
 .
 Catmandu provides a suite of Perl modules
 to ease the import, storage, retrieval, export
 and transformation of metadata records.

Package: libcatmandu-mods-perl
Description-md5: f12aa2f01221709592a4c6da9888cc5c
Description-en: modules for working with MODS data within the Catmandu framework
 Catmandu::MODS contains modules for handling MODS data within the
 Catmandu framework.
 .
 MODS is specified and described at the Library of Congress:
 <http://www.loc.gov/standards/mods/>
 .
 Catmandu provides a suite of Perl modules to ease the import, storage,
 retrieval, export and transformation of metadata records.

Package: libcatmandu-perl
Description-md5: 4109a5a5b7035fb4d949d3794ed34584
Description-en: metadata toolkit
 Catmandu provides a suite of Perl modules
 to ease the import, storage, retrieval, export
 and transformation of metadata records.
 .
 Combine Catmandu modules
 with web application frameworks such as PSGI/Plack,
 document stores such as MongoDB or CouchDB
 and full text indexes as ElasticSearch or Solr
 to create a rapid development environment
 for digital library services
 such as institutional repositories and search engines.
 .
 Use of the debug option
 requires the packages liblog-any-adapter-log4perl-perl
 and liblog-log4perl-perl.

Package: libcatmandu-rdf-perl
Description-md5: 2c016fd231cf644159949c55fb9dd6a0
Description-en: modules for handling RDF data within the Catmandu framework
 Catmandu::RDF contains modules for handling RDF data
 within the Catmandu framework.
 RDF data is encoded/decoded in aREF
 as implemented with RDF::aREF.
 Please keep in mind that RDF is a graph-based data structuring format
 with specialized technologies such as SPARQL and triple stores.
 Using Catmandu::RDF to transform RDF to RDF
 (e.g. conversion from one RDF serialization to another)
 is possible but probably less performant than decent RDF tools.
 Catmandu::RDF, however, is more convenient
 to convert between RDF and other data formats.
 .
 Catmandu provides a suite of Perl modules
 to ease the import, storage, retrieval, export and transformation
 of metadata records.

Package: libcatmandu-sru-perl
Description-md5: bd5b7e65b790d698322bad0054aa9c2f
Description-en: modules for working with SRU data within the Catmandu framework
 Catmandu::SRU contains modules for handling SRU data
 within the Catmandu framework.
 .
 Catmandu provides a suite of Perl modules
 to ease the import, storage, retrieval, export and transformation
 of metadata records.

Package: libcatmandu-store-elasticsearch-perl
Description-md5: 69887fca17f7e3f796c04f6c6e443447
Description-en: searchable store backed by Elasticsearch
 Catmandu::Store is the Namespace for packages that can make data persistent.
 Catmandu::Store::Elasticsearch provides Elasticsearch as a store option.
 Catmandu is a command line tool to access and convert data from your digital
 library, research services or any other open data sets.

Package: libcatmandu-store-mongodb-perl
Description-md5: 5811073bbbad4ee5e79f2b1cadf46353
Description-en: searchable store backed by MongoDB
 Catmandu::Store::MongoDB is a Perl package
 that can store data into MongoDB databases.
 The database as a whole is called a 'store'.
 Databases also have compartments (e.g. tables) called Catmandu::Bag-s.
 .
 Catmandu provides a suite of Perl modules
 to ease the import, storage, retrieval, export and transformation
 of metadata records.

Package: libcatmandu-template-perl
Description-md5: 135773a6d3daeeb622bff52eed671b88
Description-en: modules for working with templates within the Catmandu framework
 Catmandu::Template provides modules for working with templates within
 the Catmandu framework.
 .
 Catmandu provides a suite of Perl modules to ease the import, storage,
 retrieval, export and transformation of metadata records.

Package: libcatmandu-wikidata-perl
Description-md5: a2d7bf904903717aa5df430b53d891bf
Description-en: import from Wikidata for processing with Catmandu
 Catmandu provides a suite of Perl modules to ease the import, storage,
 retrieval, export and transformation of metadata records.
 .
 Catmandu::Wikidata provides modules to process data from
 <http://www.wikidata.org/> within the Catmandu framework.  In
 particular it facilitates access to Wikidata entity record via
 Catmandu::Importer::Wikidata, and simplification of these records via
 fixes.  Other Catmandu modules can be used to further process the
 records, for instance to load them into a database.

Package: libcatmandu-xls-perl
Description-md5: a38b9b5e238e9638b019069bf94877a7
Description-en: modules for working with Excel files within the Catmandu framework
 Catmandu::XLS provides modules for working with Excel .xls and .xlsx files
 within the Catmandu framework.
 .
 Catmandu is a suite of Perl modules
 to ease the import, storage, retrieval, export and transformation
 of metadata records.

Package: libcatmandu-xml-perl
Description-md5: dfd76e5b030d7d9806c296ec46d790d2
Description-en: modules for handling XML data within the Catmandu framework
 Catmandu provides a suite of Perl modules to ease the import, storage,
 retrieval, export and transformation of metadata records.
 .
 Catmandu::XML contains modules for handling XML data within the
 Catmandu framework. Parsing and serializing is based on XML::LibXML
 with XML::Struct. XSLT transformation is based on XML::LibXSLT.

Package: libcatmandu-xsd-perl
Description-md5: c313beae4c1d037db099ce8dafeb2db1
Description-en: modules for handling XML data with XSD compilation
 Catmandu::XSD contains modules for handling XML data within the
 Catmandu framework. Parsing and serializing is based on XML::Compile.
 .
 Catmandu provides a suite of Perl modules to ease the import, storage,
 retrieval, export and transformation of metadata records.

Package: libcattle-1.0-0
Description-md5: 59a5516c82f0cb8a0f5d696c6162f0f4
Description-en: Brainfuck language toolkit
 Cattle is a GObject-based library that allows one to inspect
 Brainfuck programs in various ways, and to easily embed a
 full-featured Brainfuck interpreter into any application.
 .
 This package contains the shared libraries needed to run
 applications linked against Cattle.

Package: libcattle-1.0-dev
Description-md5: f18620ed38bd980514ce5337fc66f2c4
Description-en: Brainfuck language toolkit (development files)
 Cattle is a GObject-based library that allows one to inspect
 Brainfuck programs in various ways, and to easily embed a
 full-featured Brainfuck interpreter into any application.
 .
 This package contains the development files needed to link
 applications against Cattle.

Package: libcattle-1.0-doc
Description-md5: d03094ae47678e28ee79db03ea0235e9
Description-en: Brainfuck language toolkit (API reference)
 Cattle is a GObject-based library that allows one to inspect
 Brainfuck programs in various ways, and to easily embed a
 full-featured Brainfuck interpreter into any application.
 .
 This package contains the API reference for Cattle, suitable
 for offline viewing.

Package: libcauchy0.0v5
Description-md5: 936f390935cb24a18d2fe842c11c8813
Description-en: library for transforming Matlab/Octave files to C++
 library for transforming Matlab/Octave files to C++ (with Eigen) and
 Matlab.

Package: libcauchyxunit0.0v5
Description-md5: c768b1337f40090d37fa31c956600a2e
Description-en: library for transforming Matlab/Octave files to C++
 library for transforming Matlab/Octave files to C++ (with Eigen) and
 Matlab.
 .
 Support library for the xUnit Test Framework

Package: libcava-java
Description-md5: 21e666357e676661cc03d9578c210f38
Description-en: Libraries and tools for blockchain and decentralized software
 In the spirit of Google Guava, Cava is a set of libraries and other
 tools to aid development of blockchain and other decentralized
 software in Java and other JVM languages.
 .
 It includes a low-level bytes library, serialization and
 deserialization codecs (e.g. RLP), various cryptography functions and
 primatives, and lots of other helpful utilities.
 .
 Cava is developed for JDK 1.8 or higher, and depends on various other
 FOSS libraries, including Guava.
 .
 This package only contains the cava-config and cava-toml
 libraries. The rest of the cava libraries have other dependencies
 that must be added to Debian first.

Package: libcbf-dev
Description-md5: dbc073f5e0f4396849cb23fc9d64ff81
Description-en: development files for CBFlib
 CBFLIB is a library of ANSI-C functions providing a simple mechanism for
 accessing Crystallographic Binary Files (CBF files) and Image-supporting
 CIF (imgCIF) files. The CBFLIB API is loosely based on the CIFPARSE API for
 mmCIF files. CBFLIB does not perform any semantic integrity checks and
 simply provides functions to create, read, modify and write CBF
 binary data files and imgCIF ASCII data files.
 .
 This package contains libraries and header files for program development.

Package: libcbf1
Description-md5: 937ebd00a45aaf1184ed439556e0f525
Description-en: shared library supporting CBFlib
 CBFlib is a library of ANSI-C functions providing a simple mechanism
 for accessing Crystallographic Binary Files (CBF files) and
 Image-supporting CIF (imgCIF) files.
 .
 This package contains the shared library.

Package: libcbor-doc
Description-md5: 742ca19067348410cdd9ea28be189854
Description-en: library for parsing and generating CBOR (RFC 7049) (documentation)
 CBOR is a general-purpose schema-less binary data format, defined in
 RFC 7049. This package provides a C library for parsing and generating
 CBOR.
 .
 This package contains the documentation

Package: libcbor-xs-perl
Description-md5: 6c1e7d7ebe40a976c541068643988e6d
Description-en: module for manipulating CBOR-formatted data (C/XS-accelerated)
 CBOR::XS converts Perl data structures to the Concise Binary Object
 Representation (CBOR) and vice versa. CBOR is a fast binary serialisation
 format that aims to use an (almost) superset of the JSON data model, i.e.
 when you can represent something useful in JSON, you should be able to
 represent it in CBOR.
 .
 In short, CBOR is a faster and quite compact binary alternative to JSON, with
 the added ability of supporting serialisation of Perl objects. (JSON often
 compresses better than CBOR though, so if you plan to compress the data later
 and speed is less important you might want to compare both formats first).
 .
 To give you a general idea about speed, with texts in the megabyte range,
 CBOR::XS usually encodes roughly twice as fast as Storable or JSON::XS and
 decodes about 15%-30% faster than those. The shorter the data, the worse
 Storable performs in comparison.

Package: libccd-dev
Description-md5: 6e757e9ce9c0717f589104b318003008
Description-en: Library for collision detection between convex shapes - dev files
 libccd implements variation on Gilbert-Johnson-Keerthi (GJK) algorithm + Expand
 Polytope Algorithm (EPA). It also implements Minkowski Portal Refinement (MPR,
 a.k.a. XenoCollide) algorithm as published in Game Programming Gems 7. libccd
 is one of the very few open source libraries that include MPR algorithm working
 in 3-D space.  However, there is a library called mpr2d, implemented in D
 programming language, that works in 2-D space.
 .
 This package contains development files (headers, shared library
 symbolic link and cmake file).

Package: libccd2
Description-md5: d953834a6d96e7183fe2ece9803af98a
Description-en: Library for collision detection between convex shapes - shared library
 libccd implements variation on Gilbert-Johnson-Keerthi (GJK) algorithm + Expand
 Polytope Algorithm (EPA). It also implements Minkowski Portal Refinement (MPR,
 a.k.a. XenoCollide) algorithm as published in Game Programming Gems 7. libccd
 is one of the very few open source libraries that include MPR algorithm working
 in 3-D space.  However, there is a library called mpr2d, implemented in D
 programming language, that works in 2-D space.
 .
 This package gathers the shared library

Package: libccfits-dev
Description-md5: b1a69cd2870d3ecb447ccde7530c1109
Description-en: static library for I/O with FITS format data files
 CCfits is an object oriented interface to the cfitsio library. It is
 designed to make the capabilities of cfitsio available to  programmers
 working in C++. It is written in ANSI C++ and implemented using the
 C++ Standard Library with namespaces, exception handling, and member
 template functions.
 .
 This package contains what you need for compiling sources that
 use this library in your own code.

Package: libccfits-doc
Description-md5: bddf0156670ee4bcbd04a022f651da57
Description-en: documentation for CCfits
 CCfits is an object oriented interface to the cfitsio library. It is
 designed to make the capabilities of cfitsio available to  programmers
 working in C++. It is written in ANSI C++ and implemented using the
 C++ Standard Library with namespaces, exception handling, and member
 template functions.
 .
 This package contains the CCFits reference manual in html and PDF
 format.

Package: libccfits0v5
Description-md5: 6690632e76e60db5b87023ceb3a182a2
Description-en: shared library for I/O with FITS format data files
 CCfits is an object oriented interface to the cfitsio library. It is
 designed to make the capabilities of cfitsio available to  programmers
 working in C++. It is written in ANSI C++ and implemented using the
 C++ Standard Library with namespaces, exception handling, and member
 template functions.
 .
 This package contains what you need to run programs that use this
 library.

Package: libccgnu2-1.8-0v5
Description-md5: a0eb0a964ac1f1308f671571c84a6e27
Description-en: GNU package for creating portable C++ programs
 Common C++ "2" is a GNU package which offers portable "abstraction"
 of system services such as threads, networks, and sockets.  Common
 C++ also offers individual frameworks generally useful to developing
 portable C++ applications including a object persistence engine, math
 libraries, threading, sockets, etc.  Common C++ is small, and highly
 portable.  Common C++ will support most Unix operating systems as
 well as Win32, in addition to GNU/Linux.
 .
 This package contains the runtime libraries.

Package: libccid
Description-md5: 0526431951af10123a8e2fba4694ad49
Description-en: PC/SC driver for USB CCID smart card readers
 This library provides a PC/SC IFD handler implementation for the USB smart
 card drivers compliant to the CCID protocol.
 .
 This package is needed to communicate with the CCID smartcard readers through
 the PC/SC Lite resource manager (pcscd).
 .
 For an exhaustive list of supported reader see
 http://pcsclite.alioth.debian.org/section.html
 .
 This driver also supports the GemPC Twin connected to a serial port and
 the GemPC Card (PCMCIA, through the suggested pcmciautils package) and
 Gemplus GemPC Express (Express54 card).

Package: libcconv-dev
Description-md5: e387d0b41fa3c440301b69819f9d783d
Description-en: development library for CCONV
 CCONV is a simplified-traditional chinese conversion tool.
 .
 This package provide development libraries and documentations for CCONV.
 .
 For more information about CCONV, please see the description of cconv package.

Package: libcconv0
Description-md5: 64c976c505d2fb66277c4d540e7130c0
Description-en: library for CCONV
 CCONV is a simplified-traditional chinese conversion tool.
 .
 This package provide runtime libraries for CCONV.
 .
 For more information about CCONV, please see the description of cconv package.

Package: libccp4-data
Description-md5: 85e2e7f6b6639db3c2a243c48e9db54e
Description-en: CCP4 core functionality - common files
 The CCP4 software suite is based around a library of routines which
 cover common tasks, such as file opening, parsing keyworded input,
 reading and writing of standard data formats, applying symmetry
 operations, etc. Programs in the suite call these routines which, as
 well as saving the programmer some effort, ensure that the varied
 programs in the suite have a similar look-and-feel.
 .
 The library contains several sub components:
 .
  * CMTZ library -- Contains a variety of functions for manipulating
    the data structure, for example adding crystals, datasets or
    columns. The data structure can be dumped to an output MTZ data
    file.
 .
  * CMAP library -- Functions defining the C-level API for accessing
   CCP4 map files.
 .
  * CSYM library -- a collection of functions centered around a data
   file syminfo.lib which is auto-generated from sgtbx (the Space
   Group Toolbox of cctbx).
 .
  * CCP4 utility library -- many utility functions which either give
   specific CCP4 or platform independent functionality.
 .
  * CCP4 Parser library -- provides CCP4-style parsing, as used for
   processing keywords of CCP4 programs, MTZ header records, etc.
 .
  * CCP4 resizable arrays -- defines an object and methods which looks
   just like a simple C array, but can be resized at will without
   incurring excessive overheads. This package contains the shared
   library components needed for programs that have been compiled with
   the libccp4 library.
 .
 This package provides the common files for the CCP4 library.

Package: libccp4-dev
Description-md5: 8abe9a7b42116ef3117260cdd175b98c
Description-en: CCP4 core functionality - development files
 The CCP4 software suite is based around a library of routines which
 cover common tasks, such as file opening, parsing keyworded input,
 reading and writing of standard data formats, applying symmetry
 operations, etc. Programs in the suite call these routines which, as
 well as saving the programmer some effort, ensure that the varied
 programs in the suite have a similar look-and-feel.
 .
 The library contains several sub components:
 .
  * CMTZ library -- Contains a variety of functions for manipulating
    the data structure, for example adding crystals, datasets or
    columns. The data structure can be dumped to an output MTZ data
    file.
 .
  * CMAP library -- Functions defining the C-level API for accessing
   CCP4 map files.
 .
  * CSYM library -- a collection of functions centered around a data
   file syminfo.lib which is auto-generated from sgtbx (the Space
   Group Toolbox of cctbx).
 .
  * CCP4 utility library -- many utility functions which either give
   specific CCP4 or platform independent functionality.
 .
  * CCP4 Parser library -- provides CCP4-style parsing, as used for
   processing keywords of CCP4 programs, MTZ header records, etc.
 .
  * CCP4 resizable arrays -- defines an object and methods which looks
   just like a simple C array, but can be resized at will without
   incurring excessive overheads. This package contains the shared
   library components needed for programs that have been compiled with
   the libccp4 library.

Package: libccp4c0
Description-md5: 2f02d5ecd9a0e3f0858641e834cf5435
Description-en: CCP4 core functionality - C runtime
 The CCP4 software suite is based around a library of routines which
 cover common tasks, such as file opening, parsing keyworded input,
 reading and writing of standard data formats, applying symmetry
 operations, etc. Programs in the suite call these routines which, as
 well as saving the programmer some effort, ensure that the varied
 programs in the suite have a similar look-and-feel.
 .
 The library contains several sub components:
 .
  * CMTZ library -- Contains a variety of functions for manipulating
    the data structure, for example adding crystals, datasets or
    columns. The data structure can be dumped to an output MTZ data
    file.
 .
  * CMAP library -- Functions defining the C-level API for accessing
   CCP4 map files.
 .
  * CSYM library -- a collection of functions centered around a data
   file syminfo.lib which is auto-generated from sgtbx (the Space
   Group Toolbox of cctbx).
 .
  * CCP4 utility library -- many utility functions which either give
   specific CCP4 or platform independent functionality.
 .
  * CCP4 Parser library -- provides CCP4-style parsing, as used for
   processing keywords of CCP4 programs, MTZ header records, etc.
 .
  * CCP4 resizable arrays -- defines an object and methods which looks
   just like a simple C array, but can be resized at will without
   incurring excessive overheads. This package contains the shared
   library components needed for programs that have been compiled with
   the libccp4 library.
 .
 This package provides the runtime of the CCP4 C library.

Package: libccp4f0
Description-md5: df1c2e15c15715e1e375989f92260ac7
Description-en: CCP4 core functionality - fortran runtime
 The CCP4 software suite is based around a library of routines which
 cover common tasks, such as file opening, parsing keyworded input,
 reading and writing of standard data formats, applying symmetry
 operations, etc. Programs in the suite call these routines which, as
 well as saving the programmer some effort, ensure that the varied
 programs in the suite have a similar look-and-feel.
 .
 The library contains several sub components:
 .
  * CMTZ library -- Contains a variety of functions for manipulating
    the data structure, for example adding crystals, datasets or
    columns. The data structure can be dumped to an output MTZ data
    file.
 .
  * CMAP library -- Functions defining the C-level API for accessing
   CCP4 map files.
 .
  * CSYM library -- a collection of functions centered around a data
   file syminfo.lib which is auto-generated from sgtbx (the Space
   Group Toolbox of cctbx).
 .
  * CCP4 utility library -- many utility functions which either give
   specific CCP4 or platform independent functionality.
 .
  * CCP4 Parser library -- provides CCP4-style parsing, as used for
   processing keywords of CCP4 programs, MTZ header records, etc.
 .
  * CCP4 resizable arrays -- defines an object and methods which looks
   just like a simple C array, but can be resized at will without
   incurring excessive overheads. This package contains the shared
   library components needed for programs that have been compiled with
   the libccp4 library.
 .
 This package provides the runtime of the CCP4 fortran library.

Package: libccrtp-dev
Description-md5: 6b2c19aeeb78cb03ac46d2252091dc25
Description-en: Common C++ class framework for RTP packets - development files
 GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is
 the Internet-standard protocol for the transport of real-time data,
 including audio and video. It can be used for media-on-demand as well
 as interactive for creating services such as Internet telephony.
 .
 GNU ccRTP offers support for RTCP and many other standard and extended
 features that are needed for both compatible and advanced streaming
 applications. GNU ccRTP can mix multiple payload types in stream, and
 hence can be used to implement RFC 2833 compliant signaling applications
 as well as other specialized things. GNU ccRTP also offers direct RTP
 packet filtering.
 .
 GNU ccRTP is threadsafe and high performance. It uses packet queue
 lists rather than streaming buffers. This allows ccRTP to be used to
 create high capacity streaming servers as well as client applications.
 .
 GNU ccRTP is designed to support both audio and video data and can do
 partial frame splits/re-assembly. GNU ccRTP also supports multicast
 networks and multiple active connection sources. This allows its use
 for building all forms of Internet standards based audio and visual
 conferencing systems.
 .
 GNU ccRTP uses templates and can be used to implement realtime
 streaming with different underlying transport protocols, not just
 with IPV4 UDP sockets.

Package: libccrtp-doc
Description-md5: 6c5b0e924e1d412cb9621fda0a91430e
Description-en: Documentation files for  GNU ccRTPp library
 GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is
 the Internet-standard protocol for the transport of real-time data,
 including audio and video. It can be used for media-on-demand as well
 as interactive for creating services such as Internet telephony.
 .
 This package contains the documentation files for GNU ccRTP.

Package: libccrtp2v5
Description-md5: 837dd2a836de3518a46745b367c12160
Description-en: Common C++ class framework for RTP packets
 GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is
 the Internet-standard protocol for the transport of real-time data,
 including audio and video. It can be used for media-on-demand as well
 as interactive for creating services such as Internet telephony.
 .
 This package contains the shared runtime libraries for GNU ccRTP.

Package: libcctz-dev
Description-md5: 1859f70bee054524a2d0d51254068925
Description-en: Library for computing dates, times and time zones, development files
 CCTZ contains two libraries that cooperate with `<chrono>` to give C++
 programmers all the necessary tools for computing with dates, times, and time
 zones in a simple and correct manner.
 .
 This package contains the development files.

Package: libcctz-doc
Description-md5: cc214550e3777d00046c497496c9d6c2
Description-en: Library for computing dates, times and time zones, documentation
 CCTZ contains two libraries that cooperate with `<chrono>` to give C++
 programmers all the necessary tools for computing with dates, times, and time
 zones in a simple and correct manner.
 .
 This package contains tools and documentation and examples.

Package: libcctz2
Description-md5: 22c65166488d2dee1983e2d22b8a12a1
Description-en: Library for computing dates, times and time zones, shared library
 CCTZ contains two libraries that cooperate with `<chrono>` to give C++
 programmers all the necessary tools for computing with dates, times, and time
 zones in a simple and correct manner.
 .
 This package contains the shared library.

Package: libcdaudio-dbg
Description-md5: 990c4d101f84dfcd8587f69add2ae30a
Description-en: library for controlling a CD-ROM when playing audio CDs (debug)
 This library provides functions for controlling an audio CD: starting,
 stopping, ejecting, etc. It also provides an interface to the CDDB and CD Index
 servers.
 .
 This package contains the debugging symbols.

Package: libcdaudio-dev
Description-md5: 1679573fd1ddf42d2d7c514523c338ca
Description-en: library for controlling a CD-ROM when playing audio CDs (development)
 This library provides functions for controlling an audio CD: starting,
 stopping, ejecting, etc. It also provides an interface to the CDDB and CD Index
 servers.
 .
 This package contains the development files.

Package: libcdaudio1
Description-md5: c5c5e443cd56e4c6996892b7d09edfcd
Description-en: library for controlling a CD-ROM when playing audio CDs
 This library provides functions for controlling an audio CD: starting,
 stopping, ejecting, etc. It also provides an interface to the CDDB and CD Index
 servers.

Package: libcdb-file-perl
Description-md5: d964394930a7029a5a3bdbdf2e43d28f
Description-en: module to access cdb databases from Perl
 CDB_File is a module which provides a Perl interface to creating and querying
 cdb databases.  cdb is a fast, reliable and lightweight package for creating
 and reading constant databases, developed by Dan Bernstein.
 .
 See http://pobox.com/~djb/cdb.html for more information about cdb.

Package: libcdd-dev
Description-md5: aa24f568b98472f48f411245f3487a66
Description-en: Library for finding vertices of convex polytopes, development
 The cddlib library is a C library for manipulating general convex
 polyhedra.  It supports converting between the system of linear
 inequalities representation and a vertices and extreme rays
 representation of a polyhedron, and also supports solving linear
 programming problems.
 .
 This package contains the cddlib development files.

Package: libcdd-doc
Description-md5: dd40a462ac6d9b6ea6807d9e9eb5e473
Description-en: documentation for libcdd
 The cddlib library is a C library for manipulating general convex
 polyhedra.  It supports converting between the system of linear
 inequalities representation and a vertices and extreme rays
 representation of a polyhedron, and also supports solving linear
 programming problems.
 .
 This package contains the cddlib reference manual.

Package: libcdd-tools
Description-md5: 6025578d871fe415bd15f639860e00a9
Description-en: Programs using libcdd
 The cddlib library is a C library for manipulating general convex
 polyhedra.  It supports converting between the system of linear
 inequalities representation and a vertices and extreme rays
 representation of a polyhedron, and also supports solving linear
 programming problems.
 .
 This package contains example programs using libcdd, for example
 cdd_both_reps, which computes minimal H- and V-representations
 of a given convex polytope.

Package: libcdd0d
Description-md5: 956a993744c5339af85ddbef33f6645f
Description-en: Library for calculations with convex polytopes, runtime
 The cddlib library is a C library for manipulating general convex
 polyhedra.  It supports converting between the system of linear
 inequalities representation and a vertices and extreme rays
 representation of a polyhedron, and also supports solving linear
 programming problems.
 .
 This package contains the cddlib shared libraries.

Package: libcddb-file-perl
Description-md5: d98ea2a037d99f7a94c666399ef1334e
Description-en: Parse a CDDB/freedb data file
 CDDB::File provides an interface for extracting data from CDDB-format data
 files, as used by freedb.

Package: libcddb-get-perl
Description-md5: 0441154c706686cd0850ed430e73f424
Description-en: Perl interface to read the CDDB entry for an audio CD in your drive
 This module/script gets the CDDB info for an audio cd. You need a cdrom drive
 and an active internet connection in order to access the CDDB information.

Package: libcddb-perl
Description-md5: 1af611023bd55e0697d73e09eabbf9aa
Description-en: module to query CDDB and freedb servers
 CDDB protocol servers provide compact disc information for programs that need
 it. This allows such programs to display disc and track titles automatically
 and it provides extended information like liner notes and lyrics. With this
 module, a Perl program can identify and possibly gather details about a disc
 based on its "table of contents" (the disc's track times and offsets).
 .
 Disc details have been useful for generating CD catalogs, naming mp3 files,
 printing CD liners, or even just playing discs in an automated jukebox.

Package: libcddb2
Description-md5: 65b88b0bff45169dc8ba375ce34a154a
Description-en: library to access CDDB data - runtime files
 libcddb is a C library to access data about Audio CD on a CDDB server
 .
 It allows one to search the database for CD matches, get detailed information
 about a CD and submit new CD entries to the database.
 It supports both the custom CDDB protocol and the tunneling of the query
 over HTTP. HTTP Proxying is supported. It also features a cache system.
 .
 This package contains the runtime library required to run libcddb-enabled
 programs.

Package: libcddb2-dev
Description-md5: 68e0c5da6193c51e42c886dd50e7f227
Description-en: library to access CDDB data - development files
 libcddb is a C library to access data about Audio CD on a CDDB server
 .
 It allows one to search the database for CD matches, get detailed information
 about a CD and submit new CD entries to the database.
 It supports both the custom CDDB protocol and the tunneling of the query
 over HTTP. HTTP Proxying is supported. It also features a cache system.
 .
 This package contains the development headers required to compile
 libcddb-enabled programs.

Package: libcdi-api-java
Description-md5: fb30b9c4d2443801c6308c104f9c14bf
Description-en: Contexts and Dependency Injection for Java EE
 Contexts and Dependency Injection (CDI aka JSR 299) defines a set of
 complementary services to help usage of Inversion of Control (IoC)
 on Java EE platform (like with Spring Framework).
 .
 CDI can be used on Java EE platform but some container also support
 running on Java SE.

Package: libcdi-api-java-doc
Description-md5: f08802327ed44a0e5a35abd74e0d5bf3
Description-en: Contexts and Dependency Injection for Java EE - documentation
 Contexts and Dependency Injection (CDI aka JSR 299) defines a set of
 complementary services to help usage of Inversion of Control (IoC)
 on Java EE platform (like with Spring Framework).
 .
 CDI can be used on Java EE platform but some container also support
 running on Java SE.
 .
 This package contains the Javadoc API for libcdi-api-java.

Package: libcdi-dev
Description-md5: 56541330a08304a544051f8785994812
Description-en: Development files for the climate data interface library
 CDI is a C and Fortran Interface to access Climate model Data.
 Supported data formats are GRIB, netCDF, SERVICE, EXTRA and IEG.
 This package provides development libraries to build against CDI.

Package: libcdi0
Description-md5: 3f9b964223ef963c3b5c4ce7ab7ede65
Description-en: Climate Data Interface (cdi) library
 CDI is a C and Fortran Interface to access Climate model Data.
 Supported data formats are GRIB, netCDF, SERVICE, EXTRA and IEG.

Package: libcdio-cdda-dev
Description-md5: cc879d65dd0f0f2b5cf3ad5083cd91c7
Description-en: library to read and control digital audio CDs (development files)
 This package contains development files (headers and static library)
 for the libcdio-cdda library.
 .
 This library is made to read and control digital audio CDs. It does
 not play such CDs, it only reads them digitally. As it uses libcdio as
 a backend, it supports the same kind of CDROM drives (and images) as
 libcdio.

Package: libcdio-paranoia-dev
Description-md5: f83a8c84a36846105e3494b5dea4244c
Description-en: library to read digital audio CDs with error correction (development files)
 This package contains development files (headers and static library)
 for the libcdio-paranoia library.
 .
 This library is made to read digital audio CDs, with error
 correction. It does its best to correct errors and jitter that happen
 when reading such discs. As it uses libcdio as a backend, it supports
 the same kind of CDROM drives (and images) as libcdio.

Package: libcdio-utils
Description-md5: 44fe4f4e99a5abf0f6b817a1a7f6ea78
Description-en: sample applications based on the CDIO libraries
 This package contains a collection of small libcdio-based tools:
  * cd-drive      show CD-ROM drive characteristics
  * cd-info       show information about a CD or CD-image
  * cd-paranoia   an audio CD ripper
  * cd-read       read information from a CD or CD-image
  * cdda-player   a simple curses-based audio CD player
  * iso-info      show information about an ISO 9660 image
  * iso-read      read portions of an ISO 9660 image
  * mmc-tool      issue low-level commands to a CD drive

Package: libcdk-java
Description-md5: 647a24513b2faf64ec435b05ee95522d
Description-en: Chemistry Development Kit (CDK) Java libraries
 The CDK is a library of Java classes used in computational and
 information chemistry and in bioinformatics. It includes renderers,
 file IO, SMILES generation/parsing, maximal common substructure
 algorithms, fingerprinting and much, much more.

Package: libcdk-perl
Description-md5: dbe992860c5d20e98ac6b3c0e96a0e73
Description-en: Perl interface for a curses widget library
 CDK stands for "Curses Development Kit".  CDK sits on top of the curses
 library and provides 22 ready to use widgets for rapid application
 development of text-based interfaces.  CDK delivers many of the common
 widget types required for a robust interface.  Widgets can be combined
 to create complex widgets if needed.
 .
 This package provides a Perl interface for the CDK library.

Package: libcdk5-dev
Description-md5: e44a6a3992d69862dbf25d8840802508
Description-en: C-based curses widget library (development files)
 CDK stands for "Curses Development Kit". CDK sits on top of the curses
 library and provides 22 ready to use widgets for rapid application
 development of text-based interfaces. CDK delivers many of the common
 widget types required for a robust interface. Widgets can be combined
 to create complex widgets if needed.
 .
 This package contains the header files and development libraries for
 the CDK library.

Package: libcdk5-doc
Description-md5: fa60b939f2a5256e30bef48cafd5fc53
Description-en: C-based curses widget library (examples and demos)
 CDK stands for "Curses Development Kit". CDK sits on top of the curses
 library and provides 22 ready to use widgets for rapid application
 development of text-based interfaces. CDK delivers many of the common
 widget types required for a robust interface. Widgets can be combined
 to create complex widgets if needed.
 .
 This package contains examples and demos about libcdk5nc6.

Package: libcdk5nc6
Description-md5: 9c4f30c429aa32a4a99bbda6393138fc
Description-en: C-based curses widget library
 CDK stands for "Curses Development Kit". CDK sits on top of the curses
 library and provides 22 ready to use widgets for rapid application
 development of text-based interfaces. CDK delivers many of the common
 widget types required for a robust interface. Widgets can be combined
 to create complex widgets if needed.

Package: libcdr-tools
Description-md5: bc68827c5142086b56952f4c03b4fb95
Description-en: library for reading and converting Corel DRAW files -- tools
 libcdr is a library and a set of tools for reading and converting binary files
 produced by Corel DRAW.
 .
 libcdr currently supports just CDR files from V7 to X3 and the following
 features:
 .
  - pages and page sizes
  - shapes, lines, Bezier curves, bitmaps
  - flat color fills, both RGB, CMYK, HLS and HSB
  - different kinds of strokes including dashed strokes
 .
 Above that libcdr provides a public API for rendering preview of pages for
 applications that have no notion of pages themselves.
 .
 This package contains cdr2xtml/cdr2raw and cmx2xhtml/cmx2raw.

Package: libcds-dev
Description-md5: 06f110a7cf42d9ff75ce1a12154fe23f
Description-en: Concurrent Data Structures (CDS) library - development
 The Concurrent Data Structures (CDS) library is a collection of concurrent
 containers that don't require external (manual) synchronization for shared
 access, and safe memory reclamation (SMR) algorithms like Hazard Pointer
 and user-space RCU that is used as an epoch-based SMR.
 .
 CDS is mostly header-only template library. Only SMR core implementation
 is segregated to .so/.dll file.
 .
 The library contains the implementations of the following containers:
 .
    - lock-free stack with optional elimination support
    - several algo for lock-free queue, including classic Michael & Scott
      algorithm and its derivatives, the flat combining queue, the
      segmented queue.
    - several implementation of unordered set/map - lock-free and
      fine-grained lock-based
    - flat-combining technique
    - lock-free skip-list
    - lock-free FeldmanHashMap/Set Multi-Level Array Hash with thread-safe
      bidirectional iterator support Bronson's et al algorithm for
      fine-grained lock-based AVL tree
 .
 Generally, each container has an intrusive and non-intrusive (STL-like)
 version belonging to cds::intrusive and cds::container namespace respectively.
 .
 This package contains the development files.

Package: libcds-healpix-java
Description-md5: 350e393f0f1e51a754c72c166b20638e
Description-en: CDS HEALPix library in Java
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude
 Pixelization of a sphere. As suggested in the name, this pixelization
 produces a subdivision of a spherical surface in which each pixel
 covers the same surface area as every other pixel. It is commonly
 used to store all-sky astronomical images, most famously maps of the
 cosmic microwave background.

Package: libcds-healpix-java-doc
Description-md5: 2639072e6ca8aa6bf91c4302703cd718
Description-en: API documentation for the CDS HEALPix library in Java
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude
 Pixelization of a sphere. As suggested in the name, this pixelization
 produces a subdivision of a spherical surface in which each pixel
 covers the same surface area as every other pixel. It is commonly
 used to store all-sky astronomical images, most famously maps of the
 cosmic microwave background.
 .
 This package contains the Javadoc API.

Package: libcds-moc-java
Description-md5: c3b1866bcf57397f33582a916235084e
Description-en: Multi-Order Coverage maps Virtual Observatory library
 MOC allows you to describe any region of the sky for very fast
 comparisons. It is based on HEALPix standard. This Java library enables
 users to load, write and manipulate MOCs (intersection, union, ...).
 MOCs can be displayed by the Virtual Observatory application "Aladin".

Package: libcds-moc-java-doc
Description-md5: 76a8716d6084a735438a47e4dd56e18e
Description-en: Multi-Order Coverage maps Virtual Observatory library documentation
 MOC allows you to describe any region of the sky for very fast
 comparisons. It is based on HEALPix standard. This Java library enables
 users to load, write and manipulate MOCs (intersection, union, ...).
 MOCs can be displayed by the Virtual Observatory application "Aladin".
 .
 This package contains the Javadoc API.

Package: libcds-savot-java
Description-md5: 821811ece8d083ac9befe4754fed85f6
Description-en: Simple Access to VOTable (SAVOT) library for Virtual Observatory
 SAVOT (Simple Access to VOTable) is a library which can be used to
 access VOTables. The VOTable format developed within the framework of
 the Virtual Observatory (VO) is an XML standard for the interchange of
 data represented as a set of tables. VOTable is derived from the
 "Astrores" format, itself modeled on the "FITS Table" format.
 .
 SAVOT aims at providing Java VOTable parsers based on different parsing
 models and at providing small Java VOTable parsers which can be
 included in applets.

Package: libcds-savot-java-doc
Description-md5: a690b5c4b89d5c73259f86d6d9d126b1
Description-en: Simple Access to VOTable (SAVOT) library for Virtual Observatory documentation
 SAVOT (Simple Access to VOTable) is a library which can be used to
 access VOTables. The VOTable format developed within the framework of
 the Virtual Observatory (VO) is an XML standard for the interchange of
 data represented as a set of tables. VOTable is derived from the
 "Astrores" format, itself modeled on the "FITS Table" format.
 .
 SAVOT aims at providing Java VOTable parsers based on different parsing
 models and at providing small Java VOTable parsers which can be
 included in applets.
 .
 This package contains the Javadoc API.

Package: libcds2.3.3
Description-md5: 938973ffcb59d5580620e0866895ff83
Description-en: Concurrent Data Structures (CDS) library
 The Concurrent Data Structures (CDS) library is a collection of concurrent
 containers that don't require external (manual) synchronization for shared
 access, and safe memory reclamation (SMR) algorithms like Hazard Pointer
 and user-space RCU that is used as an epoch-based SMR.
 .
 CDS is mostly header-only template library. Only SMR core implementation
 is segregated to .so/.dll file.
 .
 The library contains the implementations of the following containers:
 .
    - lock-free stack with optional elimination support
    - several algo for lock-free queue, including classic Michael & Scott
      algorithm and its derivatives, the flat combining queue, the
      segmented queue.
    - several implementation of unordered set/map - lock-free and
      fine-grained lock-based
    - flat-combining technique
    - lock-free skip-list
    - lock-free FeldmanHashMap/Set Multi-Level Array Hash with thread-safe
      bidirectional iterator support Bronson's et al algorithm for
      fine-grained lock-based AVL tree
 .
 Generally, each container has an intrusive and non-intrusive (STL-like)
 version belonging to cds::intrusive and cds::container namespace respectively.

Package: libcdt5
Description-md5: d9902443b1aa2da91da7ca4482e5eb20
Description-en: rich set of graph drawing tools - cdt library
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the cdt library.

Package: libcec-dev
Description-md5: a90478ffeddfa2b232fd76330aacdc92
Description-en: USB CEC Adaptor communication Library (development files)
 This library provides support for the Pulse-Eight USB-CEC adapter and
 other CEC capable hardware, like the Raspberry Pi.
 .
 This package provides the necessary files needed for development.

Package: libcec4
Description-md5: 8fa24da000d1436d85e6a210d9640157
Description-en: USB CEC Adaptor communication Library (shared library)
 This library provides support for the Pulse-Eight USB-CEC adapter and
 other CEC capable hardware, like the Raspberry Pi.
 .
 This package provides the shared library.

Package: libcegui-mk2-0.8.7
Description-md5: 57adb8fbd7fcd196faa415a60ac24b20
Description-en: Crazy Eddie's GUI (libraries)
 CEGUI is a free library providing windowing and widgets for graphics
 APIs and engines where such functionality is not natively available
 or is severely lacking. The library is written in C++, is object
 oriented, and is primarily targeted at games developers who should be
 spending their time creating great games, not building GUI sub-systems
 .
 This version of CEGUI natively supports the OpenGL rendering target.

Package: libcegui-mk2-data
Description-md5: e75e8ec9c3485a0fa7efd1654d6f9dda
Description-en: Crazy Eddie's GUI (data)
 CEGUI is a free library providing windowing and widgets for graphics
 APIs and engines where such functionality is not natively available
 or is severely lacking. The library is written in C++, is object
 oriented, and is primarily targeted at games developers who should be
 spending their time creating great games, not building GUI sub-systems
 .
 This package contains data files for the CEGUI library.

Package: libcegui-mk2-dev
Description-md5: d1039c8b7eb9a75b175baa58ebc5c358
Description-en: Crazy Eddie's GUI (development files)
 CEGUI is a free library providing windowing and widgets for graphics
 APIs and engines where such functionality is not natively available
 or is severely lacking. The library is written in C++, is object
 oriented, and is primarily targeted at games developers who should be
 spending their time creating great games, not building GUI sub-systems
 .
 This package contains the development files for the CEGUI library.

Package: libcegui-mk2-doc
Description-md5: 1934901c6e33f8e66894a7809dddfd4c
Description-en: Crazy Eddie's GUI (documentation)
 CEGUI is a free library providing windowing and widgets for graphics
 APIs and engines where such functionality is not natively available
 or is severely lacking. The library is written in C++, is object
 oriented, and is primarily targeted at games developers who should be
 spending their time creating great games, not building GUI sub-systems
 .
 This package contains the documentation for the CEGUI library.

Package: libcentreon-clib
Description-md5: c64bd4844fbeb0b9deeb1176ca2d4d11
Description-en: Network, system, applicative supervision and monitoring - core libraries
 Centreon is a modular and flexible platform for network, system and
 applicative supervision and monitoring.
 .
  * monitoring of network services
  * monitoring of host resources
  * simple plugin design that allows users to easily develop their own
    service checks
  * parallelized service checks
  * ability to define network hierarchies
  * contact notifications when service or host problems occur and get
    resolved (via email, page, or user-defined method)
  * ability to define event handlers to be run during service or host
    events for proactive problem resolution
  * automatic log file rotation
  * support for implementing redundant monitoring hosts
 .
 This package contains the core clib libraries.

Package: libcephfs-java
Description-md5: 7a7c6b551a3013f6e45ab66cc7b89b22
Description-en: Java library for the Ceph File System
 Ceph is a distributed storage system designed to provide excellent
 performance, reliability, and scalability.
 .
 This package contains the Java library for interacting with the Ceph
 File System.

Package: libcephfs-jni
Description-md5: eeccae7ad4653bc059b325a5ec4ddd58
Description-en: Java Native Interface library for CephFS Java bindings
 Ceph is a distributed storage system designed to provide excellent
 performance, reliability, and scalability.
 .
 This package contains the Java Native Interface library for interacting
 with the Ceph File System.

Package: libcereal-dev
Description-md5: 870052292bc33e72d293f66d84e3df21
Description-en: C++11 library for serialization
 cereal is a header-only C++11 serialization library. cereal takes arbitrary
 data types and reversibly turns them into different representations, such as
 compact binary encodings, XML, or JSON. cereal was designed to be fast,
 light-weight, and easy to extend - it has no external dependencies and can be
 easily bundled with other code or used standalone.

Package: libcereal-doc
Description-md5: cf6b5fcedc6b95481089864c771a7ff8
Description-en: C++11 library for serialization HTML documentation
 cereal is a header-only C++11 serialization library. cereal takes arbitrary
 data types and reversibly turns them into different representations, such as
 compact binary encodings, XML, or JSON. cereal was designed to be fast,
 light-weight, and easy to extend - it has no external dependencies and can be
 easily bundled with other code or used standalone.
 .
 This package contains the HTML documentation.

Package: libceres-dev
Description-md5: d345da6d14aefd2f0e674ab2d588dcca
Description-en: nonlinear least squares minimizer (development files)
 Ceres Solver is an open source C++ library for modeling and solving large,
 complicated optimization problems.
 It is a feature rich, mature and performant library which has been used
 in production at Google since 2010.
 .
 Ceres Solver can solve two kinds of problems.
  - Non-linear Least Squares problems with bounds constraints.
  - General unconstrained optimization problems.
 .
 This package contains the development header files.

Package: libceres1
Description-md5: 2e7c678437f129720d0e6636750922c8
Description-en: nonlinear least squares minimizer (shared library)
 Ceres Solver is an open source C++ library for modeling and solving large,
 complicated optimization problems.
 It is a feature rich, mature and performant library which has been used
 in production at Google since 2010.
 .
 Ceres Solver can solve two kinds of problems.
  - Non-linear Least Squares problems with bounds constraints.
  - General unconstrained optimization problems.
 .
 This package contains the shared library.

Package: libcerf-dev
Description-md5: 16e711763124e6841850c71f9656c6a5
Description-en: Complex error function library - development files
 libcerf is a self-contained numeric library that provides an efficient and
 accurate implementation of the complex error functions, along with Dawson,
 Faddeeva, and Voigt functions.
 .
 This package contains the development files for libcerf.

Package: libcerf-doc
Description-md5: 067dbb9690a1cd451a47bad32fee7d27
Description-en: Complex error function library - development files
 libcerf is a self-contained numeric library that provides an efficient and
 accurate implementation of the complex error functions, along with Dawson,
 Faddeeva, and Voigt functions.
 .
 This package contains the man pages and html documentation for libcerf.

Package: libcerf1
Description-md5: 994e41b2c8ebf3ced33a9b7ccc16658c
Description-en: Complex error function library - binary files
 libcerf is a self-contained numeric library that provides an efficient and
 accurate implementation of the complex error functions, along with Dawson,
 Faddeeva, and Voigt functions.
 .
 This package contains the runtime binary of the library.

Package: libcerf1-dbg
Description-md5: abfa2fa40562b48e11244962f100422d
Description-en: Complex error function library - debugging symbols
 libcerf is a self-contained numeric library that provides an efficient and
 accurate implementation of the complex error functions, along with Dawson,
 Faddeeva, and Voigt functions.
 .
 This package contains the debugging symbols for the library.

Package: libcexceptions-dev
Description-md5: 10b06024470c533c2b7628fc52c0fdfa
Description-en: C exception handling library (development files)
 Wrappers for C functions, allowing usage of try ... catch ... blocks
 in C language. Wrapped functions include memory allocation, standard
 I/O and strdup.
 .
 This package contains static library and header files.

Package: libcexceptions0
Description-md5: 10c111bb6698c00241fbfb2d9824cc48
Description-en: C exception handling library
 Wrappers for C functions, allowing usage of try ... catch ... blocks
 in C language. Wrapped functions include memory allocation, standard
 I/O and strdup.

Package: libcext-dev
Description-md5: 406244178c134b7de537054696e03fae
Description-en: Commonly used utility functions for C programs (development files)
 This is a C utility library, which is used to implement ESO's Common Pipeline
 Library (CPL). The library contains a basic collections framework (maps,
 linked lists, queues), memory management, strings, logging and others.
 .
 The package contains the static library and the C header files.

Package: libcext-doc
Description-md5: a21cd52aac3bdc383724b32bb89e50fd
Description-en: API documentation for ESO's C utility library libcext
 The libcext is a C utility library, which is used to implement ESO's Common
 Pipeline Library (CPL). The library contains a basic collections framework
 (maps, linked lists, queues), memory management, strings, logging and others.
 .
 The package contains the API documentation for libcpl.

Package: libcext0
Description-md5: 310f71e3ecf298157d6397d3e278fea4
Description-en: Commonly used utility functions for C programs
 This is a C utility library, which is used to implement ESO's Common Pipeline
 Library (CPL). The library contains a basic collections framework (maps,
 linked lists, queues), memory management, strings, logging and others.

Package: libcf-ocaml
Description-md5: 1d355184879247df4bd43d0dd3df0b24
Description-en: OCaml library with miscellaneous utility functions
 The pagoda core foundation OCaml library provides various modules. Some of
 the highlighted features include:
   - Functional streams and stream processors (extended).
   - Functional bootstrapped skew-binomial heap.
   - Functional red-black binary tree (associative array).
   - Functional sets and maps based on red-black binary tree.
   - Functional real-time catenable deque.
   - Functional LL(x) parsing using state-exception monad.
   - Functional lazy deterministic finite automaton (DFA).
   - Functional lexical analyzer (using lazy DFA and monadic parser).
   - Functional substring list manipulation (message buffer chains).
   - Gregorian calendar date manipulation.
   - Standard time manipulation.
   - System time in Temps Atomique Internationale (TAI).
   - Unicode transcoding.
   - Extended socket interface (supports IPv6 and UDP w/multicast).
   - Universal resource identifier (URI) manipulation.
   - I/O event multiplexing (with Unix.select).
 .
 This package contains only the shared runtime stub libraries.

Package: libcf-ocaml-dev
Description-md5: a1c3b3d6591f6c9cdb4b2036f7568439
Description-en: OCaml library with miscellaneous utility functions
 The pagoda core foundation OCaml library provides various modules. Some of
 the highlighted features include:
   - Functional streams and stream processors (extended).
   - Functional bootstrapped skew-binomial heap.
   - Functional red-black binary tree (associative array).
   - Functional sets and maps based on red-black binary tree.
   - Functional real-time catenable deque.
   - Functional LL(x) parsing using state-exception monad.
   - Functional lazy deterministic finite automaton (DFA).
   - Functional lexical analyzer (using lazy DFA and monadic parser).
   - Functional substring list manipulation (message buffer chains).
   - Gregorian calendar date manipulation.
   - Standard time manipulation.
   - System time in Temps Atomique Internationale (TAI).
   - Unicode transcoding.
   - Extended socket interface (supports IPv6 and UDP w/multicast).
   - Universal resource identifier (URI) manipulation.
   - I/O event multiplexing (with Unix.select).
 .
 This package contains all the development stuff you need to use ocaml-mad
 in your programs.

Package: libcfitsio-bin
Description-md5: 9ee658ca804c9a6f49e3fe88d5a212cc
Description-en: CFITSIO based utilities
 FITS (Flexible Image Transport System) is a data format most used in
 astronomy. cfitsio is a library of ANSI C routines for reading and
 writing FITS format data files.  A set of Fortran-callable wrapper
 routines are also included for the convenience of Fortran
 programmers.
 .
 This package contains utility programs, based on CFITSIO, namely
 fitscopy, fpack and funpack.

Package: libcfitsio-dev
Description-md5: 903890f49cc81b412671de3c4ba7ea56
Description-en: library for I/O with FITS format data files (development files)
 FITS (Flexible Image Transport System) is a data format most used in
 astronomy. cfitsio is a library of ANSI C routines for reading and
 writing FITS format data files.  A set of Fortran-callable wrapper
 routines are also included for the convenience of Fortran
 programmers.
 .
 This package contains what you need for compiling sources that
 use this library in your own code.

Package: libcfitsio-doc
Description-md5: ba07f397f75a32f60c44812948dd7737
Description-en: documentation for CFITSIO
 FITS (Flexible Image Transport System) is a data format most used in
 astronomy. cfitsio is a library of ANSI C routines for reading and
 writing FITS format data files.  A set of Fortran-callable wrapper
 routines are also included for the convenience of Fortran
 programmers.
 .
 This package contains the CFITSIO User's Guide and QuickStart Guide
 in html, postscript and text formats, and contains documentation on
 how to use the library in your programs. It also contains example
 source files that demonstrate the use of CFITSIO.

Package: libcfitsio8
Description-md5: f8dfe4ddfc2f5b2bb969cae8c68bad08
Description-en: shared library for I/O with FITS format data files
 FITS (Flexible Image Transport System) is a data format most used in
 astronomy. cfitsio is a library of ANSI C routines for reading and
 writing FITS format data files.  A set of Fortran-callable wrapper
 routines are also included for the convenience of Fortran
 programmers.
 .
 This package contains what you need to run programs that use this
 library.

Package: libcg3-1
Description-md5: e76c0c73cd548dbf8e0c806c41fe2b32
Description-en: Runtime for CG-3
 Runtime library for applications using the CG-3 API.
 .
 It is recommended to instrument the CLI tools instead of using this API.
 .
 See https://visl.sdu.dk/cg3.html for more documentation

Package: libcg3-dev
Description-md5: b4feaba73490463cc7005960034dcae2
Description-en: Headers and shared files to develop using the CG-3 library
 Development files to use the CG-3 API.
 .
 It is recommended to instrument the CLI tools instead of using this API.
 .
 See https://visl.sdu.dk/cg3.html for more documentation

Package: libcgal-demo
Description-md5: c0865b8da4bb8969ddbf6296240b0986
Description-en: C++ library for computational geometry (demos)
 CGAL (Computational Geometry Algorithms Library) makes the most important
 of the solutions and methods developed in computational geometry available
 to users in industry and academia in a C++ library. The goal is to provide
 easy access to useful, reliable geometric algorithms.
 .
 This package contains the demos and examples.

Package: libcgal-dev
Description-md5: 3a1c6355daea1137fb786b6ea3ee790b
Description-en: C++ library for computational geometry (development files)
 CGAL (Computational Geometry Algorithms Library) makes the most important
 of the solutions and methods developed in computational geometry available
 to users in industry and academia in a C++ library. The goal is to provide
 easy access to useful, reliable geometric algorithms.
 .
 This package contains most of the header files. The header files for
 visualization with Qt can be found in the package libcgal-qt5-dev.

Package: libcgal-ipelets
Description-md5: aafc3e64af75f37874a000fd1d93bd8d
Description-en: C++ library for computational geometry (ipelets)
 CGAL (Computational Geometry Algorithms Library) makes the most important
 of the solutions and methods developed in computational geometry available
 to users in industry and academia in a C++ library. The goal is to provide
 easy access to useful, reliable geometric algorithms.
 .
 This package contains the ipelets, i.e., plugins for ipe.

Package: libcgal-qt5-dev
Description-md5: cbbadd9de5a8cf187c2a3074c2686a4e
Description-en: C++ library for computational geometry (development files, support for Qt5)
 CGAL (Computational Geometry Algorithms Library) makes the most important
 of the solutions and methods developed in computational geometry available
 to users in industry and academia in a C++ library. The goal is to provide
 easy access to useful, reliable geometric algorithms.
 .
 This package contains the header files for visualization with Qt.

Package: libcgi-ajax-perl
Description-md5: 33ee10ea8f509d997964ce227966cf2b
Description-en: Perl-specific system for writing Asynchronous web applications
 CGI::Ajax is an object-oriented module that provides a unique mechanism
 for using Perl code asynchronously from JavaScript-enhanced HTML pages.
 CGI::Ajax unburdens the user from having to write extensive JavaScript,
 except for associating an exported method with a document-defined event
 (such as onClick, onKeyUp, etc.). CGI::Ajax also mixes well with HTML
 containing more complex JavaScript.
 .
 CGI::Ajax supports methods that return single results or multiple
 results to the web page, and supports returning values to multiple
 DIV elements on the HTML page.
 .
 Using CGI::Ajax, the URL for the HTTP GET/POST request is automatically
 generated based on HTML layout and events, and the page is then
 dynamically updated with the output from the perl function.  Additionally,
 CGI::Ajax supports mapping URL's to a CGI::Ajax function name, so you
 can separate your code processing over multiple scripts.
 .
 A primary goal of CGI::Ajax is to keep the module streamlined and
 maximally flexible. We are trying to keep the generated javascript code
 to a minimum, but still provide users with a variety of methods for
 deploying CGI::Ajax. And VERY little user JavaScript.

Package: libcgi-application-basic-plugin-bundle-perl
Description-md5: 88a99670fce6c6128618c7b00e14bd2b
Description-en: bundle of basic plugins for CGI::Application
 This is a dummy transition depending on the core CGI::Application plugins
 such as DBH, Session, ValidateRM, DevPopup, Dispatch, LogDispatch and so on.
 This package is only needed for packages that have not yet had their
 dependencies updated, and otherwise can be removed.

Package: libcgi-application-dispatch-perl
Description-md5: 09845a32f581155b1c30e76866d5d315
Description-en: request dispatcher for CGI::Application
 CGI::Application::Dispatch provides glue between web server environments
 such as mod_perl, Plack and straight CGI and the CGI::Application web
 framework. It does this by parsing the PATH_INFO environment variable
 and mapping it onto a CGI::Application subclass module invocation with the
 appropriate run mode and CGI::Application parameters.

Package: libcgi-application-extra-plugin-bundle-perl
Description-md5: 8d86524b7e0f42acb0bffa43b4aaaef1
Description-en: bundle of extra CGI::Application plugins
 This empty package exists for transition purposes and will be removed
 once no more packages depend on it. The packages pulled in by this metapackage
 are for historical reasons the CGI::Application Perl modules not used by
 Titanium apart from the largest four.

Package: libcgi-application-perl
Description-md5: f7f348cfb8d31c36b63159b2174bb38e
Description-en: framework for building reusable web-applications
 CGI::Application is intended to make it easier to create sophisticated,
 reusable web-based applications. This module implements a methodology
 which, if followed, will make your web software easier to design,
 easier to document, easier to write, and easier to evolve.
 .
 This package comes bundled with three extra modules providing useful glue
 run modes:
 .
 CGI::Application::Plugin::ErrorPage - automatic handling of errors
 .
 CGI::Application::Plugin::Redirect - external header based redirects
 .
 CGI::Application::Standard::Config - defines a standard interface for config

Package: libcgi-application-plugin-actiondispatch-perl
Description-md5: 1c4a29464deb3df541208a4c0fc16d16
Description-en: attribute extension for CGI::Application
 CGI::Application::Plugin::ActionDispatch adds attribute based support for
 parsing the PATH_INFO of the incoming request. The interface is inspired by
 Catalyst. This plugin is plug and play and will interrupt the default behavior
 of CGI::Application and works with mod_perl.

Package: libcgi-application-plugin-anytemplate-perl
Description-md5: e61fc225bd6ab5f452d02abfea40a1f7
Description-en: module supporting any templating system in CGI::Application
 CGI::Application::Plugin::AnyTemplate allows you to use any supported Perl
 templating system using a single consistent interface. Currently supported
 templating systems include HTML::Template, HTML::Template::Expr,
 HTML::Template::Pluggable, Template::Toolkit, Template and Petal.
 You can access any of these templating systems using the same interface.
 In this way, you can use the same code and switch templating systems on
 the fly. This approach has many uses. For instance, it can be useful in
 migrating your application from one templating system to another.

Package: libcgi-application-plugin-authentication-perl
Description-md5: da7cc35ff15c3e6db4f7cd2d32ca082e
Description-en: authentication framework for CGI::Application
 CGI::Application::Plugin::Authentication adds the ability to authenticate
 users in your CGI::Application modules. The module separates authentication
 into Drivers (backend logic), Store (cookie management etc) and Display
 (formulation of the login form). The following drivers are bundled with this
 package:
 .
  - CGI::Application::Plugin::Authentication::Driver::DBIC
  - CGI::Application::Plugin::Authentication::Driver::CDBI

Package: libcgi-application-plugin-authorization-perl
Description-md5: 8b7bf1512b9fcfc837d8dbb946d3caf0
Description-en: authorization framework for CGI::Application
 CGI::Application::Plugin::Authorization adds the ability to authorize users
 for specific tasks. Once a user has been authenticated and you know who you
 are dealing with, you can then use this plugin to control what that user has
 access to. It imports two methods (authz and authorization) into your
 CGI::Application module.  Both of these methods are interchangeable, so you
 should choose one and use it consistently throughout your code.  Through the
 authz method you can call all the methods of the
 CGI::Application::Plugin::Authorization plugin.
 .
 This package also bundles an active directory driver:
 CGI::Application::Plugin::Authorization::Driver::ActiveDirectory

Package: libcgi-application-plugin-autorunmode-perl
Description-md5: a9bd56bd93de1abde12959c9b0e630ac
Description-en: CGI::App plugin to automatically register runmodes
 The CGI::Application::Plugin::AutoRunmode plugin for CGI::Application
 provides easy ways to setup run modes. You can just write the method that
 implements a run mode. You do not have to explicitly register it with
 CGI::Application anymore.
 .
 You can either flag methods in your CGI::App subclass with the "Runmode" or
 "StartRunmode" attributes or simply declare that every method in a class is a
 run mode. You can also assign a delegate object, all whose methods will
 become runmodes. You can also mix both approaches.

Package: libcgi-application-plugin-captcha-perl
Description-md5: 9f7f31a5d1850782a5b19f7b02df1e7d
Description-en: module providing CAPTCHA support in CGI::Application
 CGI::Application::Plugin::CAPTCHA allows programmers to easily add and
 verify CAPTCHAs in their CGI::Application-derived web applications.
 A CAPTCHA (or Completely Automated Public Turing Test to Tell Computers
 and Humans Apart) is an image with a random string of characters.  A user
 must successfully enter the random string in order to submit a form. This
 is a simple (yet annoying) procedure for humans to complete, but one that is
 significantly more difficult for a form-stuffing script to complete without
 having to integrate some sort of OCR.
 .
 CAPTCHAs are not a perfect solution.  Any skilled, diligent cracker will
 eventually be able to bypass a CAPTCHA, but it should be able to shut down
 your average script-kiddie.
 .
 When a CAPTCHA is created with this module, raw image data is transmitted
 from your web application to the client browser. A cookie containing a
 checksum is also transmitted with the image. When the client submits their
 form for processing (along with their verification of the random string),
 captcha_verify() generates a checksum of the verification string the user
 entered. If the newly generated checksum matches the checksum found in the
 cookie, the CAPTCHA is assumed to have been successfully entered, and the
 user is allowed to continue processing their form.

Package: libcgi-application-plugin-config-simple-perl
Description-md5: 2f1693d3f60e2364af70682a0417949e
Description-en: module providing Config::Simple support to CGI::Application
 CGI::Application::Plugin::Config::Simple acts as a plugin for Config::Simple
 to be easily used inside a CGI::Application module. It does not provide
 every method available from Config::Simple but rather easy access to your
 configuration variables. It does however provide direct access to the
 underlying Config::General object created if you want to use it's full power.

Package: libcgi-application-plugin-configauto-perl
Description-md5: 1f385560ffb491e2ce29a34565a3b659
Description-en: plugin that adds Config::Auto support to CGI::Application
 CGI::Application::Plugin::ConfigAuto adds easy access to config file
 variables to your CGI::Application modules. Lazy loading is used to
 prevent the config file from being parsed if no configuration variables are
 accessed during the request.  In other words, the config file is not parsed
 until it is actually needed. The Config::Auto package provides
 the framework for this plugin.

Package: libcgi-application-plugin-dbh-perl
Description-md5: fd02ff481353612c395fd6837d6e387a
Description-en: plugin adding database support to CGI::Application
 CGI::Application::Plugin::DBH adds access to a DBI database handle to
 your CGI::Application modules. Lazy loading is used to prevent a database
 connection from being made if the dbh method is not called during the
 request.  In other words, the database connection is not created until it is
 actually needed.

Package: libcgi-application-plugin-dbiprofile-perl
Description-md5: d4d2942468a1f8322e343e0bdce8edaa
Description-en: plugin providing database profiling support
 CGI::Application::Plugin::DBIProfile integrates DBI::Profile into
 CGI::Application, thus providing database profiling. If present
 CGI::Application::Plugin::DevPopup is recognized and utilized for
 more user-friendly display.

Package: libcgi-application-plugin-devpopup-perl
Description-md5: 8a7e7ab434c7a9df45124bc995dadee0
Description-en: debugging framework for CGI::Application
 CGI::Application::Plugin::DevPopup module provides a plugin framework for
 displaying runtime information about your CGI::Application application in a
 popup window. Specific plugins include:
 .
 CGI::Application::Plugin::DevPopup::Query - Shows the state of the query
 object (usually a CGI)
 .
 CGI::Application::Plugin::DevPopup::Params - Shows the internal
 CGI::Application state
 .
 CGI::Application::Plugin::DevPopup::HTTPHeaders - Shows incoming and
 outgoing headers
 .
 CGI::Application::Plugin::DevPopup::Log - Shows information collected from
 a log file handle
 .
 CGI::Application::Plugin::DevPopup::Timing - Shows timing information about
 application states.

Package: libcgi-application-plugin-fillinform-perl
Description-md5: b8c50d98d4f3b64464a94cccacf1a405
Description-en: plugin for CGI::Application to fill in forms
 The CGI::Application::Plugin::FillInForm plugin provides a mix-in method to
 make using HTML::FillInForm more convenient. You pass the HTML as an argument
 to the fill_form method and the form fields are filled from the
 CGI::Application parameters.

Package: libcgi-application-plugin-formstate-perl
Description-md5: 8e0a3886ccff23a58b294025a6b536f0
Description-en: plugin to store form state without hidden fields
 CGI::Application::Plugin::FormState provides a temporary storage area within
 the user's session for storing form-related data. The main use of this is for
 multi-page forms. Instead of using hidden fields to store data related to the
 form, you store and retrieve values from the form state.

Package: libcgi-application-plugin-forward-perl
Description-md5: 6ee28fb81601e466e2af5f6795b89c34
Description-en: plugin to allow one run mode pass control to another
 CGI::Application::Plugin::Forward adds the forward method to your
 CGI::Application module, which passes control to another run mode and returns
 its output. This is equivalent to calling $self->$other_runmode, except that
 CGI::Application's internal value of the current run mode is updated.

Package: libcgi-application-plugin-json-perl
Description-md5: a26549a14105c8358dd15af9b1647412
Description-en: plugin to facilitate manipulation of JSON headers
 CGI::Application::Plugin::JSON provides a set of methods to
 manipulate the HTTP response headers when communicating with client-side
 JavaScript.

Package: libcgi-application-plugin-linkintegrity-perl
Description-md5: 5e548411b876f3595502b88bfc32a94b
Description-en: plugin to make tamper-resisistent links in CGI::Application
 CGI::Application::Plugin::LinkIntegrity lets you create tamper-resistent
 links within your CGI::Application project. When you create an URL with link,
 a cryptographic checksum is added to the URL, so any attempt to change
 part of the URL will fail.

Package: libcgi-application-plugin-logdispatch-perl
Description-md5: 5e97aeb110a4a97516454d64e7ef3c0b
Description-en: plugin that adds Log::Dispatch support to CGI::Application
 CGI::Application::Plugin::LogDispatch adds logging support to your
 CGI::Application modules by providing a Log::Dispatch dispatcher object that
 is accessible from anywhere in the application.
 .
 If you have CGI::Application::Plugin::DevPopup installed, a "Log Entries"
 report is added to the popup window, containing all of the entries that were
 logged during the execution of the runmode.

Package: libcgi-application-plugin-messagestack-perl
Description-md5: 3cb2fc76f2c50a861a29fda5bc965d45
Description-en: message passing plugin for CGI::Application
 CGI::Application::Plugin::MessageStack allows one part of a CGI::Applocation
 web application to publish messages, and for templates in other parts to
 pick them up. By default the in transit messages are stored in the Session
 object which makes the system ideal for passing user-specific information.

Package: libcgi-application-plugin-protectcsrf-perl
Description-md5: 923d8f844f6c1846c138e482d332d972
Description-en: plugin to generate and verify anti-CSRF challenges
 CGI::Application::Plugin::ProtectCSRF is a CGI::Application plugin that
 helps protect against CSRF attacks. It works by tying back the processing
 of a form to the display of a form.
 .
 A cross-site request forgery is a form of online attack in which Mr Attacker
 posts what appears to be an image in, say, a forum. However the image src
 attribute is carefully crafted to undertake some action desired by Mr Attacker
 on the target website. The trap is sprung when Mr Victim, logs on to the
 target website and then views the image set up by Mr Attacker in the same
 browser.

Package: libcgi-application-plugin-ratelimit-perl
Description-md5: 54f8605bd6e7975144c5ca1b8abc8626
Description-en: Perl module for limiting the runmode call rate per user
 CGI::Application::Plugin::RateLimit provides protection against a user
 calling a runmode too frequently. A typical use-case might be a contact form
 that sends email. You'd like to allow your users to send you messages, but
 thousands of messages from a single user would be a problem.
 .
 This module works by maintaining a database of hits to protected runmodes. It
 then checks this database to determine if a new hit should be allowed based
 on past activity by the user. The user's identity is, by default, tied to
 login (via REMOTE_USER) or IP address (via REMOTE_IP) if login info is not
 available. You may provide your own identity function via the
 identity_callback() method.
 .
 To use this module you must create a table in your database with the
 following schema (using MySQL-syntax, although other DBs may work as well
 with minor alterations):
 .
  CREATE TABLE rate_limit_hits (
      user_id   VARCHAR(255)      NOT NULL,
      action    VARCHAR(255)      NOT NULL,
      timestamp UNSIGNED INTEGER  NOT NULL,
      INDEX (user_id, action, timestamp)
   );
 .
 You may feel free to vary the storage-type and size of user_id and action to
 match your usage. For example, if your identity_callback() always returns an
 integer you could make user_id an integer column.
 .
 This table should be periodically cleared of old data. Anything older than the
 maximum timeframe being used can be safely deleted.
 .
 IMPORTANT NOTE: The protection offered by this module is not perfect.
 Identifying a user on the internet is very hard and a sophisticated attacker
 can work around these checks, by switching IPs or automating login creation.

Package: libcgi-application-plugin-requiressl-perl
Description-md5: 420029c40c72d4a03ab1dae290a30d35
Description-en: plugin enforcing that certain run modes must be SSL secured
 CGI::Application::Plugin::RequireSSL enables a CGI::Application module
 to specify that certain run-modes must be approached using the HTTPS protocol
 rather than HTTP. The module can specify whether a violation should lead to
 an error or redirection to the HTTP equivalent.

Package: libcgi-application-plugin-session-perl
Description-md5: 72f6969d73281b36ebc58ee1122b0d1d
Description-en: plugin that adds session support to CGI::Application
 CGI::Application::Plugin::Session seamlessly adds session support to your
 CGI::Application modules, by providing a CGI::Session object that is
 accessible from anywhere in the application. Lazy loading is used to prevent
 expensive file system or database calls from being made if the session is
 not needed during this request.

Package: libcgi-application-plugin-stream-perl
Description-md5: 3f0e851eee98ca058c14e833fbd10e95
Description-en: plugin that adds file streaming support to CGI::Application
 CGI::Application::Plugin::Stream provides a way to stream a file back to the
 user from a CGI::Application module. This is useful if you are creating a PDF
 or Spreadsheet document dynamically to deliver to the user. The file is read
 and printed in small chunks to keep memory consumption down. If you pass
 along a filehandle it will be automatically closed on completion.

Package: libcgi-application-plugin-tt-perl
Description-md5: 9eff53761b94f93e986ec5c90f11f94b
Description-en: plugin that adds Template Toolkit support to CGI::Application
 CGI::Application::Plugin::TT adds support for the popular Template Toolkit
 engine to your CGI::Application modules by providing several helper methods
 that allow you to process template files from within your runmodes. It
 compliments the support for HTML::Template that is built into CGI::Application
 through the 'load_tmpl' method.
 .
 This package also bundles in the CGI::Application::Plugin::TT::LastModified
 module, which adds support for setting a "Last-Modified" header based on the
 most recent modification time of all the components of a template that
 was processed with TT.

Package: libcgi-application-plugin-validaterm-perl
Description-md5: eb36d37962224eb65289da3212a2bd50
Description-en: plugin for validating form data using Data::FormValidator
 CGI::Application::Plugin::ValidateRM helps to validate web forms when using
 the CGI::Application framework. The check_rm method takes a run mode and a
 Data::FormValidator profile and validates the query parameters against the
 profile. Based upon the return results the web application either has
 some valid data to work with or the user receives the form with
 information about the invalid fields.

Package: libcgi-application-plugin-viewcode-perl
Description-md5: f3bba111f487f39de52cec11fc998869
Description-en: set of debugging tools for CGI::Application
 CGI::Application::Plugin::ViewCode provides additional run modes that
 display code and documentation.
 .
 CGI::Application::Plugin::DebugScreen captures die events and displays the
 stack trace to the user. It also provides links to the source code and
 documentation view the ViewCode plugin.

Package: libcgi-application-server-perl
Description-md5: 64cc9b6c2f1dc0dd03777ed35b3cc1bd
Description-en: simple HTTP server for developing with CGI::Application
 CGI::Application::Server is a subclass of HTTP::Server::Simple specialized
 for developing and testing CGI::Application-based modules. You can give it
 the name of one or more CGI::Application subclasses or preinitialized objects
 as entry points and it will serve them along with any static files.

Package: libcgi-compile-perl
Description-md5: 331e5c9c5fee500388fd4a1bfc55833e
Description-en: module for compiling .cgi scripts to a code reference
 CGI::Compile is an utility to compile CGI scripts into a code reference that
 can run many times on its own namespace, as long as the script is ready to
 run on a persistent environment.
 .
 NOTE: for best results, load CGI::Compile before any modules used by your
 CGIs.
 .
 Combined with CGI::Emulate::PSGI, your CGI script can be turned into a
 persistent PSGI application.

Package: libcgi-compress-gzip-perl
Description-md5: 06ee3055432b3b4ea668d0254fead94b
Description-en: module to allow automatically compressed CGI output
 CGI::Compress::Gzip extends the CGI module to auto-detect whether the client
 browser wants compressed output and, if so and if the script chooses HTML
 output, apply gzip compression on any content header for STDOUT.
 CGI::Compress::Gzip is intended to be a drop-in replacement for CGI.pm.
 .
 Apache mod_perl users may wish to consider the Apache::Compress or
 Apache::GzipChain modules, which allow more transparent output compression
 than this module can provide. However, as of this writing those modules are
 more aggressive about compressing, regardless of Content-Type.

Package: libcgi-cookie-splitter-perl
Description-md5: ad02ddf41990bc1423bbb2c13909d78f
Description-en: module for splitting big cookies into smaller ones
 RFC 2109 stipulates that user agents support a cookie size of "at least 4096
 bytes per cookie". However, this value has become the standard maximum cookie
 size supported by many implementations, so if your server sends larger
 cookies considerable breakage may occur.
 .
 CGI::Cookie::Splitter provides a pretty simple interface to generate small
 cookies that are under a certain limit, without wasting too much effort.

Package: libcgi-emulate-psgi-perl
Description-md5: 01fc7787d799dd890dd99b5c1dba931a
Description-en: PSGI adapter for CGI
 CGI::Emulate::PSGI allows an application designed for the CGI environment to
 run in a PSGI environment, and thus on any of the backends that PSGI
 supports.
 .
 It works by translating the environment provided by the PSGI specification
 to one expected by the CGI specification. Likewise, it captures output as
 it would be prepared for the CGI standard, and translates it to the format
 expected for the PSGI standard using CGI::Parse::PSGI module.

Package: libcgi-expand-perl
Description-md5: 8edc023239930712d481a63b4ee46f30
Description-en: convert flat hash to nested data using TT2's dot convention
 Converts a CGI query into structured data using a dotted name
 convention similar to TT2.  expand_cgi works with CGI.pm,
 Apache::Request or anything with an appropriate "param" method. Or you
 can use expand_hash directly.
 .
 If you prefer to use a different flattening convention then CGI::Expand
 can be subclassed.

Package: libcgi-formalware-perl
Description-md5: b1425d2f89923a89c23ab017266299f1
Description-en: Perl module for converting an XML file into a suite of CGI forms
 CGI::Formalware supports providing a type of repository for frequently
 used scripts, which can then be executed locally or remotely (via
 Net::Telnet), by just entering a password (for remote scripts), and
 clicking.

Package: libcgi-formbuilder-perl
Description-md5: 580136b68b0df4ebb6c4280f4f6032d9
Description-en: Easily generate and process stateful CGI forms
 CGI::FormBuilder is a Perl module for generating, processing, and validating
 CGI forms. It's an extremely fast and robust module with a ridiculous set of
 features.
 .
 Highlights:
 .
  * DWIMmery
 FormBuilder tries to do what you mean. Tell it the fields you care
 about, and it takes care of all the stupid HTML and JavaScript
 generation and processing for you. It also gives you back the correct
 values that you want. It will even label your fields automatically with
 human-readable names.
 .
  * Input field abstraction
 Simply define your fields and their options, and FormBuilder will
 take care of figuring out what the best HTML representation is. It will
 then generate the appropriate input fields (text, select, radio, etc),
 even changing any JavaScript actions appropriately.
 .
  * Easy handling of defaults
 Just specify a hash of values to use as the defaults for your fields.
 This will be searched case-insensitively and displayed in the form.
 What's more, if the user enters something via the CGI that overrides a
 default, when you use the field() method to get the data you'll get the
 correct value.
 .
  * Correct stickiness
 Stickiness is a PITA. FormBuilder correctly handles even multiple
 values selected in a multiple select list, completely integrated with
 proper handling of defaults.
 .
  * Robust field validation
 Form validation sucks, and this is where FormBuilder is a big help. It
 has tons of builtin patterns, and will even generate gobs of JavaScript
 validation code for you. It is possible to specify your own regexps as well,
 and FormBuilder will even check multivalued select lists correctly.
 .
  * Multiple submit mode support
 FormBuilder allows one to reliably tell whether the person clicked on
 the "Update" or "Delete" button of your form, normally a big pain.
 .
  * Template driver support
 FormBuilder can natively "drive" several major templating engines:
  * HTML::Template
  * CGI::FastTemplate
  * Text::Template
  * Template Toolkit
 FormBuilder can help building a complete form application with a template in
 less that 20 lines of Perl.
 .
  * Internationalized, Customizable Messaging
 FormBuilder allows customization of every single message that outputs for
 given locale.
 .
  * Simple Interface
 The author has specialized in User Interface Design.
 .
  * Extensive Documentation

Package: libcgi-formbuilder-source-perl-perl
Description-md5: 74472925f5f7966e2a27df96ada1e964
Description-en: module to initialize FormBuilder applications from Perl files
 CGI::FormBuilder::Source::Perl allows you to specify the config for a
 CGI::FormBuilder object using Perl syntax.
 .
 The contents of the config file will be evaled and the hash ref returned
 will be used as the config for the object.

Package: libcgi-formbuilder-source-yaml-perl
Description-md5: 20fd392c52303efc4dda21c6fad2bf82
Description-en: module to initialize FormBuilder applications from YAML files
 CGI::FormBuilder::Source::YAML reads a YAML file containing CGI::FormBuilder
 configuration options and returns a hash that can be directly fed into the
 application initialization method CGI::FormBuilder->new().
 .
 It uses YAML::Syck as YAML backend, allowing full data structure
 specification as well as code fragment specification. This is particularly
 useful if you need to define validation callbacks, as if often desired
 in CGI::FormBuilder applications.

Package: libcgi-github-webhook-perl
Description-md5: d9f0bd7da88e9a0a53299fb9dc14836c
Description-en: Easily write CGI-based GitHub webhooks in Perl
 CGI::Github::Webhook provides an easy way to write CGI-based GitHub
 webhooks in Perl. In most cases three statements already
 suffice: Configure a script to trigger, a shared secret and a log
 file and you're ready to go.

Package: libcgi-java
Description-md5: 306e6a1f053ad7ac7f1e7908b02c3887
Description-en: CGI library for Java
 This library allows CGI scripts to be written in Java.  The library provides
 access to all the standard CGI variables including POST/GET. It also makes it
 easy to create input forms in HTML documents.

Package: libcgi-psgi-perl
Description-md5: 9a6fb40e5a9a303a1efe1302d7b6158d
Description-en: Adapt CGI.pm to the PSGI protocol
 CGI::PSGI is for web application framework developers who currently use CGI
 to handle query parameters, and would like the frameworks to comply with
 the PSGI protocol.

Package: libcgi-session-driver-chi-perl
Description-md5: 961b25aada8dca0a9068b13787ceb89f
Description-en: driver for CGI::Session to use CHI as a session store
 CGI::Session::Driver::chi is a driver which enables CGI::Session to use the
 CHI unified cache handling interface to store persistent session data.

Package: libcgi-session-driver-memcached-perl
Description-md5: 5cb8e9626f12b68aee9e3601eaf56243
Description-en: Perl module to allow CGI sessions to be stored in memcache
 A Perl module (CGI::Session::Driver::memcached) that allows CGI session
 information to be stored in a memcached server. Memcached is a general-purpose
 distributed memory caching system often used to speed up dynamic
 database-driven websites by caching data and objects in RAM.

Package: libcgi-session-expiresessions-perl
Description-md5: b9bfedd2337ec1587e4f5bfeb4573089
Description-en: automatic deletion of expired CGI sessions
 CGI::Session::ExpireSessions is designed to expire old sessions produced
 by the CGI::Session module. The module can correctly remove sessions stored
 upon the filesystem, and inside MySQL databases.

Package: libcgi-session-perl
Description-md5: 43faa23a2f7e1babfc5ff35cd534c5bb
Description-en: persistent session data in CGI applications
 CGI-Session is a Perl5 library that provides an easy, reliable and modular
 session management system across HTTP requests. Persistency is a key feature
 for such applications as shopping carts, login/authentication routines, and
 application that need to carry data across HTTP requests.
 CGI::Session does that and many more.

Package: libcgi-session-serialize-yaml-perl
Description-md5: ed964c650c27c19f25ccede9e61d6132
Description-en: YAML and YAML::Syck support for CGI::Session's serializers
 CGI::Session::Serialize::yaml can be used by CGI::Session to serialize
 session data. It uses YAML, or the faster C implementation, YAML::Syck if it
 is available. YAML serializers exist not just for Perl but also other dynamic
 languages, such as PHP, Python, and Ruby, so storing session data in this
 format makes it easy to share session data across different languages.
 .
 YAML is made to be friendly for humans to parse as well as other computer
 languages. It creates a format that is easier to read than the default
 serializer.

Package: libcgi-simple-perl
Description-md5: 64fe12bfa6f62296ad20ccaca81c9002
Description-en: simple CGI.pm compatible OO CGI interface
 CGI::Simple provides a relatively lightweight drop in replacement for CGI.pm.
 It shares an identical OO interface to CGI.pm for parameter parsing, file
 upload, cookie handling and header generation. This module is entirely object
 oriented, however a complete functional interface is available by using the
 CGI::Simple::Standard module.
 .
 Essentially everything in CGI.pm that relates to the CGI (not HTML) side of
 things is available. There are even a few new methods and additions to old
 ones! If you are interested in what has gone on under the hood see the
 "Compatibility with CGI.pm" section in CGI::Simple(3pm).
 .
 In practical testing this module loads and runs about twice as fast as CGI.pm
 depending on the precise task.

Package: libcgi-ssi-parser-perl
Description-md5: 3410e36a4c8a96de7fac3477c0cc68f2
Description-en: used in CGI scripts for parsing SSI directives
 in files or string variables, and fully implements
 the functionality of apache's mod_include module.
 .
 It is an alternative to famous Apache::SSI modules, but it
 doesn't require mod_perl. This is an advantage to those who are
 using public hosting services. There is a disadvantage, however
 - the module consumes much memory, and I don't recommend using
 it on heavy-loaded sites (currently it's being used on a site
 with 10000 hits, and I consider this as a limit). I hope to get
 rid of this disadvantage by the time the release comes out
 (currently it's beta).

Package: libcgi-ssi-perl
Description-md5: 4806879ef93370a2fea9b2bacbcc6c9d
Description-en: Perl module to use SSI from CGI scripts
 CGI::SSI is meant to be used as an easy way to filter shtml through CGI
 scripts in a loose imitation of Apache's mod_include. If you're using
 Apache, you may want to use either mod_include or the Apache::SSI module
 instead of CGI::SSI. Limitations in a CGI script's knowledge of how the
 server behaves make some SSI directives impossible to imitate from a CGI
 script.

Package: libcgi-struct-xs-perl
Description-md5: 91617605f0badbc2e1eb143f6aba3735
Description-en: Perl module to build structures from CGI data, XS version
 CGI::Struct::XS is the XS implementation of CGI::Struct, a module for
 transforming CGI GET/POST data into intricate data structures. This is
 reminiscent of PHP's building arrays from form data, but with a perl
 twist.
 .
 CGI::Struct::XS is fully compatible with CGI::Struct, except for error
 messages. At the same time, it is 3-15 (5-25 with dclone disabled) times
 faster than original module.

Package: libcgi-test-perl
Description-md5: cafda67e691c85835af5786b6b760425
Description-en: CGI regression test framework
 The CGI::Test framework is an answer to the CGI testing problem.
 .
 It is very difficult to perform testing of complex CGI scripts, which
 handle multiple states and screens, and where a session involves
 multiple interactions with the form.  The offline testing mode of the
 CGI perl module reaches its limit there.
 .
 Hence CGI::Test, which acts as a "server" for CGI scripts and can run
 them offline, outside of any real web server.  The framework offers
 the infrastructure to analyze the data generated by CGI scripts, extract
 the various widget information, and gives programmatic control on them.
 .
 The framework can be used to easily "test" that the various expected
 widget controls are there, without necessarily interacting with the
 widgets.  You also have access to the raw HTML tree if you wish to
 further inspect the generation.

Package: libcgi-untaint-date-perl
Description-md5: 42955cd6d9da6d3240b8d5ba3e4e2f03
Description-en: CGI::Untaint input handler for date validation
 CGI::Untaint::date is an input handler for CGI::Untaint that verifies that it
 is dealing with a reasonable date. Reasonably means anything that Date::Manip
 thinks is sensible, so you could use any of (for example): "December 12,
 2001" "12th December, 2001" "2001-12-12" "next Tuesday" "third Wednesday in
 March".

Package: libcgi-untaint-email-perl
Description-md5: c4404c0fa67b87ffc7d31d92e7712f77
Description-en: input handler for CGI::Untaint to validate an email address
 CGI::Untaint::email is an input handler for CGI::Untaint that validates an
 email address according to RFC2822, using Email::Valid.
 .
 The resulting value will be an Mail::Address instance.

Package: libcgi-untaint-perl
Description-md5: 00cc87da1635f8fb10cef8e3db2ade9c
Description-en: module to process CGI input parameters
 Dealing with large web based applications with multiple forms is a
 minefield. It's often hard enough to ensure you validate all your
 input at all, without having to worry about doing it in a consistent
 manner. If any of the validation rules change, you often have to alter
 them in many different places. And, if you want to operate taint-safe,
 then you're just adding even more headaches.
 .
 CGI::Untaint provides a simple, convenient, abstracted and extensible
 manner for validating and untainting the input from web forms.
 .
 You simply create a handler with a hash of your parameters (usually
 $q->Vars), and then iterate over the fields you wish to extract,
 performing whatever validations you choose. The resulting variable is
 guaranteed not only to be valid, but also untainted.

Package: libcgi-uploader-perl
Description-md5: 74ea8d9f935c38cab717f2854cfd97c7
Description-en: module for managing CGI uploads using an SQL database
 CGI::Uploader is designed to help with the task of managing files
 uploaded through a CGI application. The files are stored on the file
 system, and the file attributes stored in a SQL database.

Package: libcgi-xml-perl
Description-md5: 1cff608dc8c5d2a505ffc1fd49792872
Description-en: perl module for converting CGI variables from/to XML
 This module converts CGI.pm variables to XML and vice versa.
 .
 CGI::XML is a subclass of CGI.pm, so it reads the CGI variables just
 as CGI.pm would.

Package: libcgi-xmlapplication-perl
Description-md5: 77abc1816f639c644f8ae9f46312a09e
Description-en: perl module for creating XML-DOM and OO based CGI scripts
 CGI::XMLApplication provides an XML-DOM and object-oriented extension to the
 CGI module. The XML-DOM extension allows one to generate the output from XML
 and laid out according to an XSLT stylesheet, separating code and
 presentation. The object-oriented extension allows one to specify handlers
 for events like a mouse click on a submit button or on an image.

Package: libcgi-xmlform-perl
Description-md5: ca0bc1cf45f0e5eb00084a057bb83d38
Description-en: perl module for reading/generating formatted XML
 The CGI::XMLForm module can either create form field values from XML based on
 XQL style queries (full XQL is _not_ supported - this module is designed for
 speed), or it can create XML from form values.

Package: libcgicc-dev
Description-md5: dc299f83e802a95c156918df7799fdca
Description-en: C++ class library for writing CGI applications (development files)
 An ANSI C++ compliant class library that greatly simplifies the creation of
 CGI applications for the World Wide Web. libcgicc performs the following
 functions:
 .
  * Parses both GET and POST form data transparently.
  * Provides string, integer, floating-point and single- and multiple-choice
    retrieval methods for form data.
  * Provides methods for saving and restoring CGI environments to aid in
    application debugging.
  * Provides full on-the-fly HTML generation capabilities, with support for
    cookies.
  * Supports HTTP file upload.
  * Compatible with FastCGI.
 .
 This package contains development files needed for writing and compiling
 applications that use libcgicc.

Package: libcgicc-doc
Description-md5: cbb5ce5cc5f6b9f688f457061c4b6f56
Description-en: C++ class library for writing CGI applications (documentation)
 An ANSI C++ compliant class library that greatly simplifies the creation of
 CGI applications for the World Wide Web. libcgicc performs the following
 functions:
 .
  * Parses both GET and POST form data transparently.
  * Provides string, integer, floating-point and single- and multiple-choice
    retrieval methods for form data.
  * Provides methods for saving and restoring CGI environments to aid in
    application debugging.
  * Provides full on-the-fly HTML generation capabilities, with support for
    cookies.
  * Supports HTTP file upload.
  * Compatible with FastCGI.
 .
 This package contains documentation on the usage of the library.

Package: libcgicc3
Description-md5: fdfbeee539d5ae97a61e29ecc9a9871d
Description-en: C++ class library for writing CGI applications (library)
 An ANSI C++ compliant class library that greatly simplifies the creation of
 CGI applications for the World Wide Web. libcgicc performs the following
 functions:
 .
  * Parses both GET and POST form data transparently.
  * Provides string, integer, floating-point and single- and multiple-choice
    retrieval methods for form data.
  * Provides methods for saving and restoring CGI environments to aid in
    application debugging.
  * Provides full on-the-fly HTML generation capabilities, with support for
    cookies.
  * Supports HTTP file upload.
  * Compatible with FastCGI.
 .
 This package contains runtime library files for running applications that use
 libcgicc.

Package: libcglib-java
Description-md5: 178c10c758ff88d93686093519d9093a
Description-en: Code generation library for Java
 cglib is a powerful, high performance and quality code generation
 library. It is used to extend Java classes and implement interfaces at
 run-time.

Package: libcglib-java-doc
Description-md5: 11875290513a5b555f029fa4514287d5
Description-en: Code generation library for Java (documentation)
 cglib is a powerful, high performance and quality code generation
 library. It is used to extend Java classes and implement interfaces at
 run-time.
 .
 This package includes the documentation.

Package: libcglib-nodep-java
Description-md5: cfbd9658ff1ff82bd12047784c97ffc5
Description-en: Code generation library for Java (without dependencies)
 cglib is a powerful, high performance and quality code generation
 library. It is used to extend Java classes and implement interfaces at
 run-time.
 .
 This package contains the cglib-nodep artifact.

Package: libcgns-dev
Description-md5: 0f5a028cc7dfa8a5f464ac198328264a
Description-en: CFD General Notation System library
 The CFD General Notation System (CGNS) provides a general, portable, and
 extensible standard for the storage and retrieval of computational fluid
 dynamics (CFD) analysis data. It consists of a collection of conventions, and
 free and open software implementing those conventions. It is self-descriptive,
 machine-independent, well-documented, and administered by an international
 steering committee. It is also an American Institute of Aeronautics and
 Astronautics (AIAA) Recommended Practice.
 .
 This package contains the development files needed to compile software to
 use the libcgns API.

Package: libcgns3.4
Description-md5: 87a772e0753f0f817e62c35c6676621b
Description-en: CFD General Notation System library
 The CFD General Notation System (CGNS) provides a general, portable, and
 extensible standard for the storage and retrieval of computational fluid
 dynamics (CFD) analysis data. It consists of a collection of conventions, and
 free and open software implementing those conventions. It is self-descriptive,
 machine-independent, well-documented, and administered by an international
 steering committee. It is also an American Institute of Aeronautics and
 Astronautics (AIAA) Recommended Practice.

Package: libcgraph6
Description-md5: 3c0eff44ae2d3aa5e19d60a4384485eb
Description-en: rich set of graph drawing tools - cgraph library
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the cgraph library.

Package: libcgroup-dev
Description-md5: 00bc9a9892f83a5eff72f96844aa1068
Description-en: control and monitor control groups (development)
 Control Groups (cgroups) provide a mechanism for aggregating/partitioning sets
 of tasks, and all their future children, into hierarchical groups with
 specialized behaviour.
 .
 libcgroup allows one to manipulate, control, administrate and monitor cgroups
 and the associated controllers.
 .
 This package contains the development files.

Package: libcgroup1
Description-md5: 91f51cf74160eb9398561ff90bcc52f8
Description-en: control and monitor control groups (library)
 Control Groups (cgroups) provide a mechanism for aggregating/partitioning sets
 of tasks, and all their future children, into hierarchical groups with
 specialized behaviour.
 .
 libcgroup allows one to manipulate, control, administrate and monitor cgroups
 and the associated controllers.
 .
 This package contains the shared library.

Package: libcgsi-gsoap-dev
Description-md5: 9fccf78e3652db671ae87d17248be5f6
Description-en: GSI plugin for gSOAP - development files
 This package provides the header files for programming with the cgsi-gsoap
 plugins.

Package: libcgsi-gsoap1
Description-md5: 3d528e0b25800172dafaa1c318ef0c13
Description-en: GSI plugin for gSOAP
 This is a GSI plugin for gSOAP. It uses the globus GSI libraries to implement
 GSI secure authentication and encryption on top of gSOAP.

Package: libchado-perl
Description-md5: ac7a6c0289cbd3f992fc578a3bf299a7
Description-en: database schema and tools for genomic data
 Chado is a relational database schema that underlies many GMOD
 installations. It is capable of representing many of the general
 classes of data frequently encountered in modern biology such as
 sequence, sequence comparisons, phenotypes, genotypes, ontologies,
 publications, and phylogeny. It has been designed to handle complex
 representations of biological knowledge and should be considered one
 of the most sophisticated relational schemas currently available in
 molecular biology. The price of this capability is that the new user
 must spend some time becoming familiar with its fundamentals.

Package: libchafa-dev
Description-md5: 92e6e61ebce909ab1cbeb08eda61a353
Description-en: development files for image-to-text converter chafa
 Chafa is a command-line utility that converts all kinds of images, including
 animated image formats like GIFs, into ANSI/Unicode character output that can
 be displayed in a terminal.
 .
 It is highly configurable, with support for alpha transparency and multiple
 color modes and color spaces, combining a range of Unicode characters for
 optimal output.
 .
 The core functionality is provided by a C library with a public,
 well-documented API.
 .
 This package ships the development files.

Package: libchafa0
Description-md5: d4892f8662a09f4cf72cd70ca8bdde08
Description-en: library for image-to-text converter chafa
 Chafa is a command-line utility that converts all kinds of images, including
 animated image formats like GIFs, into ANSI/Unicode character output that can
 be displayed in a terminal.
 .
 It is highly configurable, with support for alpha transparency and multiple
 color modes and color spaces, combining a range of Unicode characters for
 optimal output.
 .
 The core functionality is provided by a C library with a public,
 well-documented API.
 .
 This package ships the shared object.

Package: libchamplain-0.12-0
Description-md5: dc03ed3826707784a51a64c86fd0b6cc
Description-en: C library providing ClutterActor to display maps
 Libchamplain is a C library providing a ClutterActor to
 display maps.
 .
 It supports numerous free map sources such as
 OpenStreetMap (default), OpenArialMap and Maps for free.

Package: libchamplain-0.12-dev
Description-md5: befbf6593f806198310deb099a7a1b87
Description-en: C library providing ClutterActor to display maps (development files)
 Libchamplain is a C library providing a ClutterActor to
 display maps.
 .
 It supports numerous free map sources such as
 OpenStreetMap (default), OpenArialMap and Maps for free.
 .
 This package contains the header files required if you wish
 to develop software that uses libchamplain.

Package: libchamplain-doc
Description-md5: 224c8625ef01a5d63ce71425435cd393
Description-en: C library providing ClutterActor to display maps (documentation)
 Libchamplain is a C library providing a ClutterActor to
 display maps.
 .
 It supports numerous free map sources such as
 OpenStreetMap (default), OpenArialMap and Maps for free.
 .
 This package contains the documentation for this package.

Package: libchamplain-gtk-0.12-0
Description-md5: 93e623fa80ff9e0b96760ea7d1f0a6f7
Description-en: Gtk+ widget to display maps
 Libchamplain-gtk is a C library aimed to provide a Gtk+ widget
 to display rasterized maps and markers.
 .
 It supports numerous free map sources such as
 OpenStreetMap (default), OpenArialMap and Maps for free.

Package: libchamplain-gtk-0.12-dev
Description-md5: 290d620f429848f45526a4d0541908a3
Description-en: Gtk+ widget to display maps (development files)
 Libchamplain-gtk is a C library aimed to provide a Gtk+ widget
 to display rasterized maps and markers.
 .
 It supports numerous free map sources such as
 OpenStreetMap (default), OpenArialMap and Maps for free.
 .
 This package contains development files for libchamplain-gtk.

Package: libchardet-dev
Description-md5: 18676680f446738b602665fec3e42ca6
Description-en: universal charset detection library - development files
 libchardet is based on Mozilla Universal Charset Detector library and
 detects the character set used to encode data.
 .
 The original code was written by Netscape for the Mozilla Browser.
 Later, the code was turned into the Encode::Detect Perl module, known
 in Debian as libencode-detect-perl. This library switches the Perl
 wrapper API, to form a C library with a libtool build environment.
 .
 This package provides the include files and static libraries
 needed to build programs using libchardet.

Package: libchardet1
Description-md5: b1e560b83f4b61c7dc82172f33cc5218
Description-en: universal charset detection library - shared library
 libchardet is based on Mozilla Universal Charset Detector library and
 detects the character set used to encode data.
 .
 The original code was written by Netscape for the Mozilla Browser.
 Later, the code was turned into the Encode::Detect Perl module, known
 in Debian as libencode-detect-perl. This library switches the Perl
 wrapper API, to form a C library with a libtool build environment.
 .
 This package provides the shared libraries needed to run
 programs using libchardet.

Package: libcharinfo-width-ocaml
Description-md5: f29addfaf5881e6fb290860eff7e4583
Description-en: determine column width for a character (runtime)
 This module is implemented purely in OCaml and the width function
 follows the prototype of POSIX's wcwidth.
 .
 This package contains runtime files.

Package: libcharinfo-width-ocaml-dev
Description-md5: 3b9b2c6e8069e2e57443af88b2533c3c
Description-en: determine column width for a character (development)
 This module is implemented purely in OCaml and the width function
 follows the prototype of POSIX's wcwidth.
 .
 This package contains development files.

Package: libcharls-dev
Description-md5: 6cc1704433851fe1c0588bd63fbdfaf5
Description-en: Implementation of the JPEG-LS standard (development libraries)
 CharLS is an optimized implementation of the JPEG-LS standard for lossless and
 near-lossless image compression
 .
 JPEG-LS (ISO-14495-1/ITU-T.87) is a standard derived from the Hewlett Packard
 LOCO algorithm. JPEG LS has low complexity (meaning fast compression) and high
 compression ratios, similar to JPEG 2000. JPEG-LS is more similar to the old
 Lossless JPEG than to JPEG 2000, but interestingly the two different techniques
 result in vastly different performance characteristics.
 .
 This package contains the development files.

Package: libcharls2
Description-md5: fee402577c081dce8b9ea54835e9c42a
Description-en: Implementation of the JPEG-LS standard
 CharLS is an optimized implementation of the JPEG-LS standard for lossless and
 near-lossless image compression
 .
 JPEG-LS (ISO-14495-1/ITU-T.87) is a standard derived from the Hewlett Packard
 LOCO algorithm. JPEG LS has low complexity (meaning fast compression) and high
 compression ratios, similar to JPEG 2000. JPEG-LS is more similar to the old
 Lossless JPEG than to JPEG 2000, but interestingly the two different techniques
 result in vastly different performance characteristics.

Package: libcharon-extra-plugins
Description-md5: 1348dd26c09674033d8341f1e89baf78
Description-en: strongSwan charon library (extra plugins)
 The strongSwan VPN suite uses the native IPsec stack in the standard
 Linux kernel. It supports both the IKEv1 and IKEv2 protocols.
 .
 This package provides extra plugins for the charon library:
  - addrblock (Narrow traffic selectors to RFC 3779 address blocks in X.509
    certificates)
  - certexpire (Export expiration dates of used certificates)
  - eap-aka (Generic EAP-AKA protocol handler using different backends)
  - eap-gtc (EAP-GTC protocol handler authenticating with XAuth backends)
  - eap-identity (EAP-Identity identity exchange algorithm, to use with other
    EAP protocols)
  - eap-md5 (EAP-MD5 protocol handler using passwords)
  - eap-radius (EAP server proxy plugin forwarding EAP conversations to a
    RADIUS server)
  - eap-tls (EAP-TLS protocol handler, to authenticate with certificates in
    EAP)
  - eap-tnc (EAP-TNC protocol handler, Trusted Network Connect in a TLS tunnel)
  - eap-ttls (EAP-TTLS protocol handler, wraps other EAP methods securely)
  - error-notify (Notification about errors via UNIX socket)
  - ha (High-Availability clustering)
  - kernel-libipsec (Userspace IPsec Backend with TUN devices)
  - led (Let Linux LED subsystem LEDs blink on IKE activity)
  - lookip (Virtual IP lookup facility using a UNIX socket)
  - tnc (Trusted Network Connect)
  - unity (Cisco Unity extensions for IKEv1)
  - xauth-eap (XAuth backend that uses EAP methods to verify passwords)
  - xauth-pam (XAuth backend that uses PAM modules to verify passwords)

Package: libcharon-standard-plugins
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libchart-clicker-perl
Description-md5: d79cb995453e335ef7d3adac39eb47b2
Description-en: module for creating attractive charts and graphs
 Chart::Clicker is a Perl module that aims to create beautiful graphs in a
 powerful and extensible way. A variety of charts can be created, including
 line, bar and area charts (as well as their stacked equivalents), scatter,
 pie, bubble, candlestick and polar area. Charts can be saved in a variety
 of formats, including PNG, SVG, PDF and PostScript.

Package: libchart-gnuplot-perl
Description-md5: 045ad074816ce41c5f63d25643ea4c2d
Description-en: module for generating two- and three-dimensional plots
 Chart::Gnuplot is a module to plot graphs using GNUPLOT. If an image format
 other than PS, PDF and EPS is required to generate, ImageMagick's convert
 program is needed.

Package: libchart-strip-perl
Description-md5: 05663b650cf99cc76ec882a4b2519447
Description-en: Draw strip chart type graphs
 The Chart::Strip package plots data values versus time graphs, such as
 used for seismographs, EKGs, or network usage reports.
 .
 It can plot multiple data sets on one graph. It offers several
 styles of plots. It automatically determines the proper ranges
 and labels for both axes.

Package: libchasen-dev
Description-md5: 9c2444513470bb7db005af713c81b13c
Description-en: Japanese Morphological Analysis System (libraries and headers)
 ChaSen is a morphological analysis system. It can segment and
 tokenize Japanese text string, and can output with many additional
 information (pronunciation, semantic information, and others).
 .
 You can use ChaSen library to put ChaSen's module into other programs.

Package: libchasen2
Description-md5: bbb7e6a7871ee913ce2a3aeac1c77540
Description-en: Japanese Morphological Analysis System (shared libraries)
 ChaSen is a morphological analysis system. It can segment and
 tokenize Japanese text string, and can output with many additional
 information (pronunciation, semantic information, and others).
 .
 This package contains shared libraries for ChaSen.

Package: libchatbot-eliza-perl
Description-md5: 373ca099aca7e65509e910ee3b76dac9
Description-en: clone of the classic Eliza program
 Chatbot::Eliza implements the classic Eliza algorithm. The original Eliza
 program was written by Joseph Weizenbaum and described in the Communications
 of the ACM in 1966. Eliza is a mock Rogerian psychotherapist. It prompts for
 user input, and uses a simple transformation algorithm to change user input
 into a follow-up question. The program is designed to give the appearance of
 understanding.
 .
 This program is a faithful implementation of the program described by
 Weizenbaum. It uses a simplified script language (devised by Charles Hayden).
 The content of the script is the same as Weizenbaum's.

Package: libchealpix-dev
Description-md5: 07286411d7346f5775765ebaf17a9c3c
Description-en: HEALPix representation of spherical data - C development library
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This package provides the static library and headers for the C language
 implementation of HEALPix. The C library provides basic input/output of
 HEALPix maps as well as basic spatial operations like conversion between
 spherical coordinates and HEALPix pixels.

Package: libchealpix0
Description-md5: 37fdcdd8b722c832b3ade44144b43203
Description-en: HEALPix representation of spherical data - C shared library
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This package provides the dynamic library for the C language implementation
 of HEALPix. The C library provides basic input/output of HEALPix maps as well
 as basic spatial operations like conversion between spherical coordinates and
 HEALPix pixels.

Package: libcheck-isa-perl
Description-md5: 7601c7c077c4b273f21fac3d673b7137
Description-en: Perl module for correct checking of an object's class
 The Check::ISA module provides several functions to assist in testing
 whether a value is an object, and if so asking about its class.
 It allows an object to be examined using various methods provided by
 Perl's UNIVERSAL class.

Package: libchemistry-elements-perl
Description-md5: 946b443c010c52362d8eab1950390597
Description-en: Perl extension for working with Chemical Elements
 Chemistry::Elements provides an easy, object-oriented way to
 keep track of your chemical data.  Using either the atomic
 number, chemical symbol, or element name you can construct
 an Element object.  Once you have an element object, you can
 associate your data with the object by making up your own
 methods, which the AUTOLOAD function handles.  Since each
 chemist is likely to want to use his or her own data, or
 data for some unforesee-able property, this module does not
 try to be a repository for chemical data.

Package: libchemistry-formula-perl
Description-md5: 841df3da653ebc17aa768b6d55296fb2
Description-en: enumerate elements in a chemical formula
 This module provides a function which parses a string containing a
 chemical formula and returns the number of each element in the string.
 It can handle nested parentheses and square brackets and correctly
 computes stoichiometry given numbers outside the (possibly nested)
 parentheses.

Package: libchemistry-openbabel-perl
Description-md5: 9bcbf2562fd78652e201334aded7f548
Description-en: Chemical toolbox library (perl bindings)
 Open Babel is a chemical toolbox designed to speak the many languages of
 chemical data. It allows one to search, convert, analyze, or store data from
 molecular modeling, chemistry, solid-state materials, biochemistry, or related
 areas.  Features include:
 .
  * Hydrogen addition and deletion
  * Support for Molecular Mechanics
  * Support for SMARTS molecular matching syntax
  * Automatic feature perception (rings, bonds, hybridization, aromaticity)
  * Flexible atom typer and perception of multiple bonds from atomic coordinates
  * Gasteiger-Marsili partial charge calculation
 .
 File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL
 Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC.
 .
 This package contains Chemistry::Openbabel, the Perl binding for Open Babel.

Package: libchemps2-3
Description-md5: babf9de114b24a596b7c1f41ea1deb23
Description-en: Spin-adapted DMRG for ab initio quantum chemistry
 chemps2 is a scientific library which contains a spin-adapted
 implementation of the density matrix renormalization group (DMRG)
 for ab initio quantum chemistry. This wavefunction method allows one
 to obtain numerical accuracy in active spaces beyond the capabilities
 of full configuration interaction (FCI), and allows one to extract
 the 2-, 3-, and 4-particle reduced density matrices (2-, 3- and 4-RDM)
 of the active space.
 .
 For general active spaces up to 40 electrons in 40 orbitals can be
 handled with DMRG, and for one-dimensional active spaces up to 100
 electrons in 100 orbitals. The 2-RDM of these active spaces can
 also be easily extracted, while the 3- and 4-RDM are limited to
 about 28 orbitals.
 .
 When the active space size becomes prohibitively expensive for FCI,
 DMRG can be used to replace the FCI solver in the complete active
 space self consistent field (CASSCF) method and the corresponding
 complete active space second order perturbation theory (CASPT2).
 The corresponding methods are called DMRG-SCF and DMRG-CASPT2,
 respectively. For DMRG-SCF the active space 2-RDM is required, and
 for DMRG-CASPT2 the active space 4-RDM.

Package: libchemps2-dev
Description-md5: 06372b7130b99a8212112dae1d27c8ae
Description-en: C++ headers, static library, and symlink for libchemps2-3
 chemps2 is a scientific library which contains a spin-adapted
 implementation of the density matrix renormalization group (DMRG)
 for ab initio quantum chemistry. This wavefunction method allows one
 to obtain numerical accuracy in active spaces beyond the capabilities
 of full configuration interaction (FCI), and allows one to extract
 the 2-, 3-, and 4-particle reduced density matrices (2-, 3- and 4-RDM)
 of the active space.
 .
 For general active spaces up to 40 electrons in 40 orbitals can be
 handled with DMRG, and for one-dimensional active spaces up to 100
 electrons in 100 orbitals. The 2-RDM of these active spaces can
 also be easily extracted, while the 3- and 4-RDM are limited to
 about 28 orbitals.
 .
 When the active space size becomes prohibitively expensive for FCI,
 DMRG can be used to replace the FCI solver in the complete active
 space self consistent field (CASSCF) method and the corresponding
 complete active space second order perturbation theory (CASPT2).
 The corresponding methods are called DMRG-SCF and DMRG-CASPT2,
 respectively. For DMRG-SCF the active space 2-RDM is required, and
 for DMRG-CASPT2 the active space 4-RDM.
 .
 This package installs the C++ headers, static library, and symlink
 for libchemps2.

Package: libcheshire-clojure
Description-md5: 46805d80144f12b6cea30f661eb133d1
Description-en: fast JSON encoding for Clojure
 Cheshire is a fast JSON encoding library for Clojure, based off of clj-json
 and clojure-json, with additional features like Date/UUID/Set/Symbol
 encoding and SMILE support. It offers the speed of clj-json and the features
 of clojure-json.

Package: libchi-driver-memcached-perl
Description-md5: 844e40499ddb0830d49a6d6e9bcb27e8
Description-en: Memcached driver for CHI, the unified cache handling interface
 A CHI driver that uses Cache::Memcached to store data in the specified
 memcached server(s).
 .
 CHI::Driver::Memcached::Fast and CHI::Driver::Memcached::libmemcached are
 also available as part of this distribution. They work with other Memcached
 clients and support a similar feature set.

Package: libchi-driver-redis-perl
Description-md5: 29bc70d63341a022da87c6d368e10534
Description-en: CHI driver that uses Redis to store the data
 CHI::Driver::Redis is a CHI driver that uses Redis to store the data. Care has
 been taken to not have this module fail in fiery ways if the cache is
 unavailable. It is the author's hope that if it is failing and the cache is not
 required for your work, you can ignore it's warnings.

Package: libchi-memoize-perl
Description-md5: 4deb18f484a237c9bfe45967ea0319b3
Description-en: memoization implementation backed by CHI
 CHI::Memoize is an implementation of the memoization technique using CHI.
 Memoize caches the inputs and outputs of a function, if the function is called
 again with the same inputs, CHI::Memoize intervenes and provides the cached
 result. For slow functions, time is saved, at the expence of space.
 .
 CHI::Memoize provides the same facility as Memoize|Memoize, but backed by
 CHI|CHI. This means, among other things, that you can:
 .
  * specify expiration times (expires_in) and conditions (expire_if)
  * memoize to different backends, e.g. File, Memcached, DBI, or to multilevel
    caches
  * handle arbitrarily complex function arguments (via CHI key serialization)
 .
 For a bit of history and motivation, see
 .
 http://www.openswartz.com/2012/05/06/memoize-revisiting-a-twelve-year-old-api

Package: libchi-perl
Description-md5: 8cfbc8a8fd6899fdbb9c34825b40e0e6
Description-en: Unified Cache Handling Interface
 CHI provides a unified caching API for Perl programs, designed to assist
 a developer in keeping data persistent for a specified period of time.
 .
 The CHI interface is implemented by driver classes that support fetching,
 storing and clearing of data. Driver classes exist or will exist for the
 gamut of storage backends available to Perl, such as memory, plain files,
 memory mapped files, memcached, and DBI.
 .
 CHI is intended as an evolution of DeWitt Clinton's Cache::Cache package,
 adhering to the basic Cache API but adding new features and addressing
 limitations in the Cache::Cache implementation.

Package: libchicken-dev
Description-md5: 9b9db97395422a234a1f263d7fe5cf27
Description-en: Practical and portable Scheme system - development
 CHICKEN is a Scheme compiler which compiles a subset of R5RS into C.
 It uses the ideas presented in Baker's paper "Cheney on the MTA", and
 has a small core and is easily extendable.
 .
 This package contains the header file and static library for developing
 with chicken.

Package: libchicken11
Description-md5: 0840b7b603981f8e2ab533df37744114
Description-en: Practical and portable Scheme system - runtime
 CHICKEN is a Scheme compiler which compiles a subset of R5RS into C.
 It uses the ideas presented in Baker's paper "Cheney on the MTA", and
 has a small core and is easily extendable.
 .
 This package contains the shared library needed to run programs using
 chicken.

Package: libchild-perl
Description-md5: ffe20ccc34c20d2a8b92585fa4941bae
Description-en: Object Oriented simple interface to fork()
 Fork is too low level, and difficult to manage. Often people forget to exit
 at the end, reap their children, and check exit status. The problem is the
 low level functions provided to do these things. Throw in pipes for IPC and
 you just have a pile of things nobody wants to think about.
 .
 Child is an Object Oriented interface to fork. It provides a clean way to
 start a child process, and manage it afterwords. It provides methods for
 running, waiting, killing, checking, and even communicating with a child
 process.

Package: libchipcard-data
Description-md5: 1565e6dc8596170d470365d020e0fd91
Description-en: configuration files for libchipcard
 libchipcard provides an API for accessing smartcards. Examples are
 memory cards, as well as HBCI (home banking), German GeldKarte
 (electronic small change), and KVK (health insurance) cards.
 .
 This package contains configuration files for libchipcard.

Package: libchipcard-dev
Description-md5: 5e1108bf81fe6c6cc410214b58dc1ac0
Description-en: API for smartcard readers
 libchipcard provides an API for accessing smartcards. Examples are
 memory cards, as well as HBCI (home banking), German GeldKarte
 (electronic small change), and KVK (health insurance) cards.
 .
 This package contains the development files for libchipcard.

Package: libchipcard-libgwenhywfar60-plugins
Description-md5: 7436f19352274157d80c1aff96b552e3
Description-en: crypttoken plugin to libgwenhywfar - transitional package
 This transitional package helps to keep the plugins to gwenhywfar installed
 that provide cryptographic services via smartcards.
 .
 This transitional package can safely be removed.

Package: libchipcard-tools
Description-md5: 379ae3869f80ed055356d6e6fc390d6a
Description-en: tools for accessing chipcards
 libchipcard provides an API for accessing smartcards. Examples are
 memory cards, as well as HBCI (home banking), German GeldKarte
 (electronic small change), and KVK (health insurance) cards.
 .
 This package contains tools for chipcards, e.g. geldkarte.

Package: libchipcard6
Description-md5: 706eeaa04e2fe067b2699e412d96e293
Description-en: library for accessing smartcards
 libchipcard provides an API for accessing smartcards. Examples are
 memory cards, as well as HBCI (home banking), German GeldKarte
 (electronic small change), and KVK (health insurance) cards.
 .
 This package contains the client API.

Package: libchipmunk0d3
Description-md5: 0513cc5b03895ac32ab18979fc0b63ec
Description-en: fast and lightweight 2D rigid body physics library in C
 Chipmunk is a simple, lightweight, fast and portable 2D rigid body physics
 library written in C. It's licensed under the unrestrictive, OSI approved
 MIT license. Its aim is to give 2D developers access the same quality of
 physics you find in newer 3D games.

Package: libchipmunk0d3-dbg
Description-md5: 71cb2656aba325feca55a0408370daea
Description-en: Fast and lightweight 2D rigid body physics library - debug
 Chipmunk is a simple, lightweight, fast and portable 2D rigid body physics
 library written in C. It's licensed under the unrestrictive, OSI approved
 MIT license. Its aim is to give 2D developers access the same quality of
 physics you find in newer 3D games.
 .
 This package contains the debugging symbols.

Package: libchise-dev
Description-md5: 9d901c2e27c648ccc34071c7a0526d8d
Description-en: C language binding of CHISE, the character information database
 The CHISE (CHaracter Information Service Environment) project
 attempts to collect and organize into a Knowledge-Base information
 about characters in the scripts of the world.
 libchise is C language binding of CHISE database.

Package: libchise1
Description-md5: 9d901c2e27c648ccc34071c7a0526d8d
Description-en: C language binding of CHISE, the character information database
 The CHISE (CHaracter Information Service Environment) project
 attempts to collect and organize into a Knowledge-Base information
 about characters in the scripts of the world.
 libchise is C language binding of CHISE database.

Package: libchm-bin
Description-md5: 3ab4b7cf09805ed082bdb701380499f9
Description-en: library for dealing with Microsoft CHM files (test programs)
 It is a very simple library, but sufficient for dealing with all of the chm
 files. Library currently does not has write support.
 .
 CHM files are used on the Microsoft Windows platform to store program
 documentation, almost always in the form of HTML, with some non-standard
 quirks. CHM files are a form of archive known as InfoTech Storage Format
 (ITSF) files and contain some special files to implement features of the
 CHM viewer.
 .
 This package includes test programs.

Package: libchm-dev
Description-md5: 4fd026f526b01f287d8ee0c5b9aba3ae
Description-en: library for dealing with Microsoft CHM files (development)
 It is a very simple library, but sufficient for dealing with all of the chm
 files. Library currently does not has write support.
 .
 CHM files are used on the Microsoft Windows platform to store program
 documentation, almost always in the form of HTML, with some non-standard
 quirks. CHM files are a form of archive known as InfoTech Storage Format
 (ITSF) files and contain some special files to implement features of the
 CHM viewer.
 .
 This package includes development headers, libraries and test programs.

Package: libchm1
Description-md5: a57059b37e2f74dd6563b862533a1aa1
Description-en: library for dealing with Microsoft CHM files
 It is a very simple library, but sufficient for dealing with all of the chm
 files. Library currently does not has write support.
 .
 CHM files are used on the Microsoft Windows platform to store program
 documentation, almost always in the form of HTML, with some non-standard
 quirks. CHM files are a form of archive known as InfoTech Storage Format
 (ITSF) files and contain some special files to implement features of the
 CHM viewer.

Package: libchromaprint-dev
Description-md5: 19f7a2a5c7547a763a928884060bba9c
Description-en: audio fingerprinting library - development files
 Chromaprint is a library for calculating audio fingerprints
 which are used by the Acoustid service. Its main purpose is to provide an
 accurate identifier for record tracks
 .
 This package includes development files needed to compile programs
 using libchromaprint.

Package: libchromaprint-tools
Description-md5: 8d7c280b20022b1c569ab9fa7c801618
Description-en: audio fingerprinting library - tools
 Chromaprint is a library for calculating audio fingerprints
 which are used by the Acoustid service. Its main purpose is to provide an
 accurate identifier for record tracks
 .
 This package provides the fpcalc utility.

Package: libchromaprint1
Description-md5: f03ae1d55d6849a25cdf7b13744ca2b4
Description-en: audio fingerprint library
 Chromaprint is a library for calculating audio fingerprints
 which are used by the Acoustid service. Its main purpose is to provide an
 accurate identifier for record tracks

Package: libcidr-dev
Description-md5: acc43d8d30048153a2235c9944e5dbd7
Description-en: IP addresses and netblocks manipulation library
 libcidr is a C library implementing a variety of functions to
 manipulate IP addresses and netblocks. It handles both IPv4 and IPv6
 networks, will transform them to and from text strings in a variety of
 formats, cram them into and lever them out of in_addr and in6_addr
 structures, and give you all sorts of stats about them.
 Functions are provided also to tell you a few things about the network
 any given CIDR block is in, what its parent and child networks are, and
 so on. If you're really nice, may even cook you breakfast.
 .
 This package contains include files and development documentation.

Package: libcidr0
Description-md5: 9eb977a5f6f154f267d23c305020c5f0
Description-en: IP addresses and netblocks manipulation library
 libcidr is a C library implementing a variety of functions to
 manipulate IP addresses and netblocks. It handles both IPv4 and IPv6
 networks, will transform them to and from text strings in a variety of
 formats, cram them into and lever them out of in_addr and in6_addr
 structures, and give you all sorts of stats about them.
 Functions are provided also to tell you a few things about the network
 any given CIDR block is in, what its parent and child networks are, and
 so on. If you're really nice, may even cook you breakfast.
 .
 This package contains the shared runtime library.

Package: libcifti-dev
Description-md5: c94d1b476c4d9fe786b502058376b70a
Description-en: development files for CiftiLib
 CIFTI (Connectivity Informatics Technology Initiative) standardizes the file
 formats for storage of connectivity data. These formats are developed by the
 Human Connectome Project and other interested parties.
 .
 CiftiLib is a C++ library for CIFTI-1 and CIFTI-2 file I/O, supporting both
 on-disk and in-memory access. It also provides facilities for reading and
 writing generic NIfTI-1 and NIfTI-2 files.
 .
 This package provides the development files.

Package: libcifti-doc
Description-md5: 2998830ce97a536f03cfa8ca7af072b8
Description-en: documentation for CiftiLib
 CIFTI (Connectivity Informatics Technology Initiative) standardizes the file
 formats for storage of connectivity data. These formats are developed by the
 Human Connectome Project and other interested parties.
 .
 CiftiLib is a C++ library for CIFTI-1 and CIFTI-2 file I/O, supporting both
 on-disk and in-memory access. It also provides facilities for reading and
 writing generic NIfTI-1 and NIfTI-2 files.
 .
 This package provides the documentation.

Package: libcifti0
Description-md5: ba65749010dd317ee7a115c1355a2776
Description-en: library for manipulating CIFTI files
 CIFTI (Connectivity Informatics Technology Initiative) standardizes the file
 formats for storage of connectivity data. These formats are developed by the
 Human Connectome Project and other interested parties.
 .
 CiftiLib is a C++ library for CIFTI-1 and CIFTI-2 file I/O, supporting both
 on-disk and in-memory access. It also provides facilities for reading and
 writing generic NIfTI-1 and NIfTI-2 files.
 .
 This package provides the shared libraries.

Package: libcilkrts5
Description-md5: ee1eb21d65e9b0336fe6bb9239b54288
Description-en: Intel Cilk Plus language extensions (runtime)
 Intel Cilk Plus is an extension to the C and C++ languages to support
 data and task parallelism.

Package: libcimcclient0
Description-md5: c02a03b6670270bfaf0ae2439de8e9a1
Description-en: small footprint CIM client libraries
 The small footprint CIM client library is a C API allowing client
 applications to interface with CIM implementations (e.g. CIM servers).
 Due to it's small memory and disk footprint it is well-suited for
 embedded environments.

Package: libcimcclient0-dev
Description-md5: ab1e87a267383eac3e286bf62c807d41
Description-en: small footprint CIM client development headers
 The small footprint CIM client library is a C API allowing client
 applications to interface with CIM implementations (e.g. CIM
 servers). Due to it's small memory and disk footprint it is
 well-suited for embedded environments.
 .
 This package provides the development headers for building
 packages against the cimcclient.

Package: libcinnamon-control-center-dev
Description-md5: ee023f81d9d00f715c25ff3fb94c6b81
Description-en: configuration applets for Cinnamon - development files
 This package contains the development files for the cinnamon control center
 panels library.

Package: libcinnamon-control-center1
Description-md5: f04e8eee3eb1e5fa0772a192571acda6
Description-en: library used by configuration applets for Cinnamon
 This package contains the library used by control center panels.

Package: libcinnamon-desktop-dev
Description-md5: 061bd49689b9d36fe886a9b0b0c8bc22
Description-en: Cinnamon library for loading .desktop files - development files
 This package provides the include files and static library for the Cinnamon
 desktop library functions.

Package: libcinnamon-desktop4
Description-md5: eb4367c8b19a6315688e6a6072e5fd02
Description-en: Cinnamon library for loading .desktop files
 This library is used by Cinnamon to load the .desktop files.

Package: libcinnamon-menu-3-0
Description-md5: 1f139eed2d744b6e3d51e3d7f7061671
Description-en: Cinnamon implementation of the freedesktop menu specification
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org:
 .
 http://www.freedesktop.org/Standards/menu-spec
 .
 This package contains the shared library.

Package: libcinnamon-menu-3-dev
Description-md5: ca358b97a2c8c5f4e4592aed98e71b0b
Description-en: Cinnamon implementation of the freedesktop menu specification
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org:
 .
 http://www.freedesktop.org/Standards/menu-spec
 .
 This package contains the development headers.

Package: libcitadel-dev
Description-md5: 1c819ef4c2a0dd83e7cb3d61ebe96b05
Description-en: Development files for libcitadel4
 This library contains the commonly used routines for the citadel suite.
 .
 This package provides development files and static libraries.

Package: libcitadel4
Description-md5: 2e73ef818d3d6fd84cb71f56d49add96
Description-en: Citadel toolbox
 This library contains the commonly used routines for the citadel suite.

Package: libcitygml-bin
Description-md5: ead31a80fe6da55c294672762a6b944a
Description-en: Utils of libcitygml - citygmltest
 libcitygml is a small and easy to use open source C++ library for parsing
 CityGML files in such a way that data can be easily exploited by 3D
 rendering applications (geometry data are tesselated and optimized for
 rendering during parsing). For instance, it can be used to develop readers
 of CityGML files in many 3D based applications (OpenGL, OpenSceneGraph, ...)
 Most metadata are not lost, they are available through a per-node hashmap.
 .
 CityGML (City Geography Markup Language) is an XML-based schema for the
 modelling and exchange of georeferenced 3D city and landscape models that
 is quickly being adopted on an international level.
 .
 This package contains the citygmltest utility.

Package: libcitygml-dev
Description-md5: 03a06cd5d8ffe420abc4e31b67648446
Description-en: Static and header files of libcitygml
 libcitygml is a small and easy to use open source C++ library for parsing
 CityGML files in such a way that data can be easily exploited by 3D
 rendering applications (geometry data are tesselated and optimized for
 rendering during parsing). For instance, it can be used to develop readers
 of CityGML files in many 3D based applications (OpenGL, OpenSceneGraph, ...)
 Most metadata are not lost, they are available through a per-node hashmap.
 .
 CityGML (City Geography Markup Language) is an XML-based schema for the
 modelling and exchange of georeferenced 3D city and landscape models that
 is quickly being adopted on an international level.
 .
 This package contains develop files: static and header files of libcitygml.

Package: libcitygml2
Description-md5: 29f991da00ae4a8eabfef65d68ecc429
Description-en: Open source C++ library for parsing CityGML files
 libcitygml is a small and easy to use open source C++ library for parsing
 CityGML files in such a way that data can be easily exploited by 3D
 rendering applications (geometry data are tesselated and optimized for
 rendering during parsing). For instance, it can be used to develop readers
 of CityGML files in many 3D based applications (OpenGL, OpenSceneGraph, ...)
 Most metadata are not lost, they are available through a per-node hashmap.
 .
 CityGML (City Geography Markup Language) is an XML-based schema for the
 modelling and exchange of georeferenced 3D city and landscape models that
 is quickly being adopted on an international level.
 .
 This package contains share library.

Package: libcjose-dev
Description-md5: 28ad88effba96c6914b86c829f76404a
Description-en: Development files for libcjose
 Development files for building software using libcjose

Package: libcjose0
Description-md5: 11b499a5e761d13a3c1303aa57315ee7
Description-en: C library implementing the Javascript Object Signing and Encryption (JOSE)
 Implementation of JOSE for C/C++

Package: libcjs-dev
Description-md5: 2bda62b242c6646bae1a219ebeb061e5
Description-en: Mozilla-based javascript bindings for the Cinnamon platform
 Makes it possible for applications to use all of Cinnamon's platform
 libraries using the Javascript language. It's mainly based on the
 Mozilla javascript engine and the GObject introspection framework.
 .
 This package is the frozen version of gjs that the cinnamon desktop currently
 uses.
 .
 This package contains the development files applications need to
 build against.

Package: libcjs0
Description-md5: 4b1e7f04c89a5c3ecfe70815300b704c
Description-en: Mozilla-based javascript bindings for the GNOME platform
 Makes it possible for applications to use all of GNOME's platform
 libraries using the Javascript language. It's mainly based on the
 Mozilla javascript engine and the GObject introspection framework.
 .
 This package is the frozen version of gjs that the cinnamon desktop currently
 uses.
 .
 This is the shared library applications link to.

Package: libcjson-dev
Description-md5: d39b046fdd2f73667c0118d66d0aabc9
Description-en: Ultralightweight JSON parser in ANSI C (development files)
 cJSON is a ultralightweight json parse.
 .
 It aims to be the dumbest possible parser that you can get your job done with.
 .
 It's a single file of C, and a single header file.
 .
 This package contains the header files of cJSON.

Package: libcjson1
Description-md5: b70ec1dac01c769ddace4c75bd4ef426
Description-en: Ultralightweight JSON parser in ANSI C
 cJSON is a ultralightweight json parse.
 .
 It aims to be the dumbest possible parser that you can get your job done with.
 .
 It's a single file of C, and a single header file.
 .
 This package contains the shared libraries.

Package: libck-dev
Description-md5: c0dab51e01c5d1fd53c8b1ff1962a47d
Description-en: Concurrency Kit - development files
 Concurrency primitives, safe memory reclamation mechanisms and
 non-blocking data structures designed to aid in the research,
 design and implementation of high performance concurrent systems.
 .
 This package provides header files needed by developers.

Package: libck0
Description-md5: 1683070101b418d1d6b2226ea5a98120
Description-en: Concurrency Kit - shared libraries
 Concurrency primitives, safe memory reclamation mechanisms and
 non-blocking data structures designed to aid in the research,
 design and implementation of high performance concurrent systems.

Package: libckit-smlnj
Description-md5: 9d27bb8e3e33cbe84e008c5db8e6400a
Description-en: SML library for parsing and type-checking C programs
 ckit is a C front end written in SML that translates C source code (after
 preprocessing) into abstract syntax represented as a set of SML datatypes.
 It also provides facilities for extending the C language with additional
 syntactic constructs, which can be useful for implementing "C-like"
 domain-specific languages as well as C dialects.

Package: libckyapplet1
Description-md5: acf73cdca0632bb5b106c65f34e25329
Description-en: Smart Card Coolkey applet
 Protocol library for Coolkey applications.

Package: libckyapplet1-dev
Description-md5: 8d10aed80467ad01a1d1572ae7da5b77
Description-en: Smart Card Coolkey applet development files
 Header files and support for Coolkey applications.

Package: libclalsadrv-dev
Description-md5: bd93102cd57fe31fa53a9f92aea56bee
Description-en: ALSA driver C++ access library (development files)
 C++ wrapper around the ALSA API.
 .
 This package includes the development tools.

Package: libclalsadrv2
Description-md5: e71bb69d341dea90b3eeb27bb0dc7b0f
Description-en: ALSA driver C++ access library
 C++ wrapper around the ALSA API.
 .
 This package includes the shared library object.

Package: libclamav-client-perl
Description-md5: 532095d788f927200bfe012b59b72ae8
Description-en: Perl client for the ClamAV virus scanner daemon
 This package supplies ClamAV::Client, a Perl interface to
 the ClamAV virus scanner via the clamd daemon.  It allows
 connection either over a UNIX domain socket to a local
 clamd, via TCP to a remote one.
 .
 The client package fully implements the clamd socket protocol,
 with both scanning and daemon management calls provided.

Package: libclanapp-1.0v5
Description-md5: 47b771ae473d9c7109ecc5c52278a284
Description-en: ClanLib game SDK runtime
 ClanLib is a multi-platform software development kit, with an emphasis on
 game development.
 .
 On one side, it tries to provide a platform independent interface to
 write games with, by taking over the low-level work from the game and
 providing wrappers around toolkits such as DirectFB, DirectX, OpenGL,
 Vorbis, X11, etc., so that if a game is written with ClanLib, the game
 should compile on any platform supported by ClanLib without changing
 its source code.
 .
 On the other hand, ClanLib also tries to be a service-minded SDK.
 In other words, the developers have put great effort into designing
 the API, to ensure ClanLib's ease of use - while maintaining its power.
 .
 This is the runtime part of the ClanLib SDK, needed to run applications
 built with it.

Package: libclang-10-dev
Description-md5: 9b3b942eb350ec8edab23ebcc8784d58
Description-en: Clang library - Development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This package contains the Clang headers to develop extensions over
 libclang1-10.

Package: libclang-6.0-dev
Description-md5: 8efb873251f775638a01117d4d4a556e
Description-en: clang library - Development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the clang headers to develop extensions over
 libclang1-6.0.

Package: libclang-7-dev
Description-md5: 1d6a48e08a670ae654dfc374a8ffc33c
Description-en: Clang library - Development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang headers to develop extensions over
 libclang1-7.

Package: libclang-8-dev
Description-md5: 859e6cb68eddf4aec1ccbffaf6e2c3ee
Description-en: Clang library - Development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang headers to develop extensions over
 libclang1-8.

Package: libclang-9-dev
Description-md5: d391930d72c946f1d8a7675e049eec45
Description-en: Clang library - Development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang headers to develop extensions over
 libclang1-9.

Package: libclang-common-10-dev
Description-md5: ae6d2a86e34e75b11fc16e55a9058fa7
Description-en: Clang library - Common development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This package contains the Clang generic headers and some libraries
 (profiling, etc).

Package: libclang-common-6.0-dev
Description-md5: 56247ef9bb2ea4872ba8afd968b3b7d2
Description-en: clang library - Common development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the clang generic headers and some libraries
 (profiling, etc).

Package: libclang-common-7-dev
Description-md5: 058258ae071a45e0d321dcabad2d07f3
Description-en: Clang library - Common development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang generic headers and some libraries
 (profiling, etc).

Package: libclang-common-8-dev
Description-md5: 058258ae071a45e0d321dcabad2d07f3
Description-en: Clang library - Common development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang generic headers and some libraries
 (profiling, etc).

Package: libclang-common-9-dev
Description-md5: 058258ae071a45e0d321dcabad2d07f3
Description-en: Clang library - Common development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang generic headers and some libraries
 (profiling, etc).

Package: libclang-cpp1-9
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libclang-cpp10
Description-md5: 8acc7c5b41666c14f1ef5e79310225e6
Description-en: C++ interface to the Clang library
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This package contains the Clang C++ library.
 .
 The C++ Interface to Clang provides an API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.

Package: libclang-cpp10-dev
Description-md5: eb68ebc9bab41ce1391f23d48dd41739
Description-en: C++ interface to the Clang library
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This package contains the Clang C++ library.
 .
 The C++ Interface to Clang provides an API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.
 .
 This package contains the Clang headers to develop extensions over
 libclang-cpp10.

Package: libclang-cpp9
Description-md5: df15daa6dd324d0fb064175e2e0fc5c7
Description-en: C++ interface to the Clang library
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang C++ library.
 .
 The C++ Interface to Clang provides an API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.

Package: libclang-dev
Description-md5: 85edab1339a6f2db87a0465e411e77e2
Description-en: clang library - Development package
 Clang project is a C, C++, Objective C and Objective C++ front-end
 for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler
 Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This is a dependency package providing the default libclang libraries and
 headers.

Package: libclang-perl
Description-md5: 86a81b49d8d167d0bb95b7c5631a186a
Description-en: Perl bindings to the Clang compiler's indexing interface
 Clang is a compiler front end for the C, C++, Objective-C, and Objective-C++
 programming languages which uses LLVM as its back end.
 .
 Clang module provides Perl bindings to the Clang indexing interface, used for
 extracting high-level symbol information from source files without exposing
 the full Clang C++ API.

Package: libclang1
Description-md5: 071c8bb9bae1c79ad698910d3c1ada68
Description-en: C, C++ and Objective-C compiler (LLVM based)
 Clang project is a C, C++, Objective C and Objective C++ front-end
 for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler
 Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This is a dependency package providing the default clang libraries.
 .
 The C Interface to Clang provides a relatively small API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.

Package: libclang1-10
Description-md5: 6fbabc5fd121a4ff6b254de59627b6de
Description-en: C interface to the Clang library
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This package contains the Clang library.
 .
 The C Interface to Clang provides a relatively small API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.

Package: libclang1-6.0
Description-md5: 87298a16bf7fd99987a7ab1850749f1f
Description-en: C interface to the clang library
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the clang library.
 .
 The C Interface to Clang provides a relatively small API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.

Package: libclang1-7
Description-md5: b773817295739e0f81822ebebd8bbc53
Description-en: C interface to the Clang library
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang library.
 .
 The C Interface to Clang provides a relatively small API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.

Package: libclang1-8
Description-md5: b773817295739e0f81822ebebd8bbc53
Description-en: C interface to the Clang library
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang library.
 .
 The C Interface to Clang provides a relatively small API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.

Package: libclang1-9
Description-md5: b773817295739e0f81822ebebd8bbc53
Description-en: C interface to the Clang library
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This package contains the Clang library.
 .
 The C Interface to Clang provides a relatively small API that exposes
 facilities for parsing source code into an abstract syntax tree (AST),
 loading already-parsed ASTs, traversing the AST, associating physical source
 locations with elements within the AST, and other facilities that support
 Clang-based development tools.

Package: libclanlib-dev
Description-md5: 84088a62ba08f7c37c39a217e41ab169
Description-en: ClanLib game SDK development files
 ClanLib is a multi-platform software development kit, with an emphasis on
 game development.
 .
 On one side, it tries to provide a platform independent interface to
 write games with, by taking over the low-level work from the game and
 providing wrappers around toolkits such as DirectFB, DirectX, OpenGL,
 Vorbis, X11, etc., so that if a game is written with ClanLib, the game
 should compile on any platform supported by ClanLib without changing
 its source code.
 .
 On the other hand, ClanLib also tries to be a service-minded SDK.
 In other words, the developers have put great effort into designing
 the API, to ensure ClanLib's ease of use - while maintaining its power.
 .
 This is the development part of the ClanLib SDK, which is needed to build
 applications with it.

Package: libclansdl-1.0v5
Description-md5: 0901ecdf5ddc71026676f0916607e0c8
Description-en: SDL module for ClanLib game SDK
 ClanLib delivers a platform independent interface to write games with.
 If a game is written with ClanLib, it should be possible to compile the
 game under any platform (supported by ClanLib, that is) without changing
 the application source code.
 .
 But ClanLib is not just a wrapper library, providing a common interface
 to low-level libraries such as DirectFB, DirectX, OpenGL, X11, etc. While
 platform independence is ClanLib's primary goal, it also tries to be a
 service-minded game SDK. In other words, great effort has been put into
 designing the API, to ensure ClanLib's ease of use - while maintaining its
 power.
 .
 This package contains the SDL module (clanSDL).

Package: libclass-accessor-chained-perl
Description-md5: 53e1da07d6aa021a822a387c88d0b162
Description-en: Perl module providing chained accessors
 A chained accessor is one that always returns the object when called
 with parameters (to set), and the value of the field when called with
 no arguments.
 .
 Class::Accessor::Chained subclasses Class::Accessor in order to provide
 the same mk_accessors interface.

Package: libclass-accessor-children-perl
Description-md5: a178b90c4019238b9e92b1851f2820ec
Description-en: perl module for automated child-class/accessor generation
 Class::Accessor::Children automagically generates child classes which have
 accessor/mutator methods.
 .
 This module inherits Class::Accessor to make accessors.

Package: libclass-accessor-class-perl
Description-md5: d473800a24b1975e12bd50cc20373f8e
Description-en: simple class variable accessors
 Class::Accessor::Class provides a simple way to create accessor and
 mutator methods for class variables, just as Class::Accessor provides
 for objects. It can use either an enclosed lexical variable, or a
 package variable.
 .
 This module was once implemented in terms of Class::Accessor, but
 changes to that module broke this relationship. Class::Accessor::Class
 is still a subclass of Class::Accessor, strictly for historical
 reasons. As a side benefit, a class that isa Class::Accessor::Class is
 also a Class::Accessor and can use its methods.

Package: libclass-accessor-classy-perl
Description-md5: 6af0f729d70c73acdb8bc33b4066896a
Description-en: Perl module providing minimalist, fast accessors
 Class::Accessor::Classy provides an extremely small-footprint accessor/mutator
 declaration scheme for fast and convenient object attribute setup. Its intent
 is to be a simple and speedy mechanism for preventing hash-key typos rather
 than a full-blown object system with type checking and so on.
 .
 The accessor ('foo') and mutator ('set_foo') methods appear as a hidden parent
 class of your package and stay out of your way otherwise.

Package: libclass-accessor-grouped-perl
Description-md5: d41318d20a1d03baa25c82ba088f3f70
Description-en: Perl module to build groups of accessors
 Class::Accessor::Grouped is a Perl module that allows you to build groups
 of accessors that will call different getters and setters.
 .
 For more information, see Class::Accessor (libclass-accessor-perl).

Package: libclass-accessor-lite-perl
Description-md5: 518681be038c94a0f230d88ee5780f29
Description-en: minimalistic variant of Class::Accessor
 Class::Accessor::Lite is a variant of Class::Accessor. It is fast and
 requires less typing, has no dependencies to other modules, and does not mess
 up the @ISA.

Package: libclass-accessor-lvalue-perl
Description-md5: e049de547bef44fe9ae11fb3398a67e4
Description-en: module to create Lvalue accessors
 Class::Accessor::Lvalue subclasses Class::Accessor in order to provide
 lvalue accessor makers.

Package: libclass-accessor-named-perl
Description-md5: b2c329059669f5ab998c2ffd7148f9af
Description-en: module to better profiling output for Class::Accessor
 Class::Accessor is a great way to automate the tedious task of generating
 accessors and mutators. One small drawback is that due to the details of
 the implemenetation, you only get one __ANON__ entry in profiling output.
 That entry contains all your accessors, which can be a real pain if you're
 attempting to figure out which of your accessors is being called six billion
 times.
 .
 Class::Accessor::Named is a development aid which uses Hook::LexWrap and
 Sub::Name to talk your accessors into identifying themselves. While it
 shouldn't add much additional runtime overhead (as it acts only
 Class::Accessor's generator functions), it has not been designed for
 production deployment.

Package: libclass-accessor-perl
Description-md5: 478fde937a7300dacb3834b3ffdba459
Description-en: Perl module that automatically generates accessors
 Class::Accessor automagically generates accessor/mutator methods for your
 class. Most of the time, writing accessors is an exercise in cutting and
 pasting. If you make your module a subclass of Class::Accessor and declare
 your accessor fields with mk_accessors() then you will find yourself with a
 set of automatically generated accessors which can even be customized.

Package: libclass-adapter-perl
Description-md5: eae2b91594cbef0365bff6d8e17e704d
Description-en: Perl implementation of the "Adapter" Design Pattern
 The Class::Adapter class is intended as an abstract base class for creating
 any sort of class or object that follows the Adapter pattern.
 .
 The term Adapter refers to a "Design Pattern" of the same name, from the
 famous "Gang of Four" book "Design Patterns". Although their original
 implementation was designed for Java and similar single-inheritance
 strictly-typed language, the situation for which it applies is still valid.
 .
 An Adapter in this Perl sense of the term is when a class is created to
 achieve by composition (objects containing other object) something that can't
 be achieved by inheritance (sub-classing).
 .
 This is similar to the Decorator pattern, but is intended to be applied on a
 class-by-class basis, as opposed to being able to be applied one object at a
 time, as is the case with the Decorator pattern.

Package: libclass-autoloadcan-perl
Description-md5: 83532b3e50673266cb9705df6bd014d9
Description-en: module managing conflict between AUTOLOAD, can and inheritance
 Class::AutoloadCAN solves a fundamental conflict between AUTOLOAD, can and
 inheritance. The problem is that while you can implement anything in
 AUTOLOAD, UNIVERSAL::can is not aware that it is there. Attempting to modify
 UNIVERSAL::can to document those methods is very hard. And if a parent class
 uses AUTOLOAD then subclasses have to do a lot of work to make their
 AUTOLOADs cooperate with the parent one. It is harder still if 2 parent
 classes in a multiple inheritance tree wish to cooperate with each other. Few
 try to do this, which may be good since those who try usually get it wrong.
 See http://www.perlmonks.org/?node_id=342804 for a fuller discussion.
 .
 With this module instead of writing AUTOLOADs, you write CANs. Based on what
 they return, Class::AutoloadCAN will decide whether you handle the call or it
 needs to search higher up the inheritance chain.

Package: libclass-autouse-perl
Description-md5: 157b884b57c016978a95edd689412a4f
Description-en: module for deferring loading ('use'ing) of a class until run time
 Class::Autouse allows you to specify a class the will only load when a
 method of the class is called. For large classes that might not be used
 during the running of a program, such as Date::Manip, this can save
 you large amounts of memory, and decrease the script load time.

Package: libclass-base-perl
Description-md5: eae10fcda9dd7f77987994a5728b8fb5
Description-en: useful base class for deriving other modules
 Class::Base implements a simple base class from which other modules
 can be derived, thereby inheriting a number of useful methods such as
 new(), init(), params(), clone(), error() and debug().

Package: libclass-c3-adopt-next-perl
Description-md5: 218c7107e2a00e4a7672c5905a08c200
Description-en: drop-in replacement for NEXT, using Class::C3 to do the hard work
 Class::C3::Adopt::NEXT is intended as a drop-in replacement for NEXT,
 supporting the same interface, but using Class::C3 to do the hard work. You
 can then write new code without NEXT, and migrate individual source files to
 use Class::C3 or method modifiers as appropriate, at whatever pace you're
 comfortable with.

Package: libclass-c3-componentised-perl
Description-md5: 4e3be8a891af6e0c84cc4d3ec2f91de9
Description-en: module to load mix-ins or components to C3-based classes
 Class::C3::Componentised is a Perl module that injects multiple base classes
 into your module using the Class::C3 method resolution order.
 .
 Note that plugins loaded this way cannot be used to override methods in your
 module. Consider MooseX::Object::Pluggable (libmoosex-object-pluggable-perl)
 if your application requires this.

Package: libclass-c3-perl
Description-md5: 3f1d1676f63dab7129fb8ece8f37bbb9
Description-en: pragma for using the C3 method resolution order
 Class::C3 is a Perl pragma that modifies the standard method resolution order
 from depth-first left-to-right to the more sophisticated C3 method resolution
 order.
 .
 The C3 algorithm aims to provide a sane method resolution order with multiple
 inheritance. It was first introduced in the Dylan language, later adopted for
 Python 2.3. It is also the canonical MRO implementation for Perl 6 classes
 and is the default for Parrot objects as well.

Package: libclass-c3-xs-perl
Description-md5: a3ab4e438147fb81f1438e0b60c3904d
Description-en: Perl module to accelerate Class::C3
 Class::C3::XS is a Perl module designed to enhance the performance of the
 Class::C3 package (see libclass-c3-perl). It does so automatically and is not
 designed to be used directly; once installed, it should accelerate all programs
 that make use of Class::C3 itself.

Package: libclass-container-perl
Description-md5: 71788ba2af7b8dcb5b0064106cf69934
Description-en: Perl module to glue object frameworks together transparently
 The Class::Container module facilitates building frameworks of several classes
 that inter-operate. It was first designed and built for HTML::Mason, in which
 the Compiler, Lexer, Interpreter, Resolver, Component, Buffer, and several
 other objects must create each other transparently, passing the appropriate
 parameters to the right class, possibly substituting their own subclass for any
 of these objects.
 .
 The main features of Class::Container are:
 .
  * Declaration of parameters used by each member in a class framework
 .
  * Transparent passing of constructor parameters to the class that
    needs them
 .
  * Ability to create one (automatic) or many (manual) contained
    objects automatically and transparently

Package: libclass-contract-perl
Description-md5: c8a86465aa0f74f7260f8c8c0e9f075f
Description-en: Perl Design-by-Contract OO module
 The Class::Contract module implements strong encapsulation, static
 inheritance, and design-by-contract condition checking for object-oriented
 Perl. The module provides a declarative syntax for attribute, method,
 constructor, and destructor definitions at both the object and class level.
 Pre-conditions, post-conditions, and class invariants are also fully
 supported.

Package: libclass-csv-perl
Description-md5: 52d6bbd65356ba3bd67d9c9377899608
Description-en: Class based CSV parser/writer
 This module can be used to create objects from CSV files, or to create CSV
 files from objects. Text::CSV_XS is used for parsing and creating CSV file
 lines, so any limitations in Text::CSV_XS will of course be inherant in this
 module.
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libclass-data-accessor-perl
Description-md5: 8cd60cb88df24f04898b4e18b894906f
Description-en: Inheritable, overridable class and instance data accessor creation
 Class::Data::Accessor is the marriage of Class::Accessor and
 Class::Data::Inheritable into a single module. It is used for creating
 accessors to class properties that is overridable in subclasses as well as in
 class instances.
 .
 For example:
 .
   Pere::Ubu->mk_classaccessor('Suitcase');
 .
 will generate the method Suitcase() in the class Pere::Ubu.
 .
 This new method can be used to get and set a piece of class property.
 .
   Pere::Ubu->Suitcase('Red');
   $suitcase = Pere::Ubu->Suitcase;

Package: libclass-date-perl
Description-md5: 116319bc53d18c827d81694477dd9799
Description-en: Perl module for easy date and time manipulation
 Class::Date provides a general purpose date and time manipulation
 functions for either relative or absolute dates, featuring an object-oriented
 interface and overloading.

Package: libclass-dbi-abstractsearch-perl
Description-md5: eef1e2e43eeff889c687ea5c16caf428
Description-en: Abstract Class::DBI's SQL with SQL::Abstract
 Class::DBI::AbstractSearch is a Class::DBI plugin to glue the
 SQL::Abstract module into Class::DBI.
 .
 Class::DBI provides a convenient abstraction layer to a database. It
 not only provides a simple database to object mapping layer, but can
 be used to implement several higher order database functions, at the
 application level, rather than at the database.
 .
 SQL::Abstract provides methods for generating abstract SQL from Perl
 data structures.

Package: libclass-dbi-asform-perl
Description-md5: f4a78e6061db37b27560254e4a1cd8e2
Description-en: module to produce HTML form elements for database columns using Class::DBI
 The Class::DBI::AsForm module helps to generate HTML forms for creating new
 database rows or editing existing rows. It maps column names in a database
 table to HTML form elements which fit the schema. Large text fields
 are turned into textareas, and fields with a has-a relationship to
 other Class::DBI tables are turned into select drop-downs populated
 with objects from the joined class.

Package: libclass-dbi-fromcgi-perl
Description-md5: b11ea0d38819ded510cdbcfefc99486a
Description-en: Perl module to update Class::DBI data using CGI::Untaint
 Lots of times, Class::DBI is used in web-based applications. (In
 fact, coupled with a templating system that allows you to pass
 objects, such as Template::Toolkit, Class::DBI is very much your
 friend for these.)
 .
 One of the most irritating things about writing web-based applications
 is the monotony of writing much of the same stuff over and over again.
 And, where there's monotony there's a tendency to skip over stuff that
 is really important, but is a pain to write - like Taint Checking and
 sensible input validation. (Especially as you can still show a 'working'
 application without it!). CGI::Untaint can take care of a lot of that
 for us.
 .
 It so happens that CGI::Untaint also plays well with Class::DBI. All
 you need to do is to 'use Class::DBI::FromCGI' in your class (or in
 your local Class::DBI subclass that all your other classes inherit
 from. You do that, don't you?).

Package: libclass-dbi-fromform-perl
Description-md5: c2f707132386e5fe53a1fcde5cc63385
Description-en: Perl module to update Class::DBI data using Data::FormValidator
 Class::DBI::FromForm module allows you to create and update Class::DBI data
 using Data::FormValidator.

Package: libclass-dbi-loader-perl
Description-md5: 083e9316a2832c47b5a4c6630c151bb9
Description-en: Perl module for dynamic definition of Class::DBI sub classes
 Class::DBI::Loader automates the definition of Class::DBI
 sub-classes. It scans database schemas to set up tables, columns, and
 primary keys. Class names are defined by table names and namespace
 option. It currently supports MySQL, Postgres and SQLite.

Package: libclass-dbi-loader-relationship-perl
Description-md5: 22c46307d09d8a7658c8776ad98db89c
Description-en: Easier relationship specification in Class::DBI::Loader
 The Class::DBI::Loader::Relationship module acts as a mix-in, adding the
 relationship() method to Class::DBI::Loader. Since Class::DBI::Loader knows
 how to map between table names and class names, there ought to be no need to
 replicate the names.
 .
 In addition, it is common (but not universal) to want reverse
 relationships defined for has-many relationships, and for has-a
 relationships to be defined for the linkages surrounding a
 many-to-many table.
 .
 The aim of this module is to simplify the declaration of common
 database relationships by providing both of these features.
 .
 The relationship takes a string. It recognises table names (singular
 or plural, for convenience) and extracts them from the "sentence".

Package: libclass-dbi-mysql-perl
Description-md5: 7566e115973a60580c615a94029a6752
Description-en: extensions to Class::DBI for MySQL
 The Class::DBI::mysql module is an extension to Class::DBI, containing several
 functions and optimisations for the MySQL database.

Package: libclass-dbi-pager-perl
Description-md5: 977f6a81b6c3ddc3ba20f6e5a46421a1
Description-en: pager utility for Class::DBI
 Class::DBI::Pager is a plugin for Class::DBI, which glues Data::Page
 with Class::DBI. This module reduces your work a lot, for example
 when you have to do something like:
 .
  * retrieve objects from a database
  * display objects with 20 items per page
 .
 In addition, your work will be reduced more, when you use
 Template-Toolkit as your templating engine.

Package: libclass-dbi-perl
Description-md5: 7064453f2b30ba5aa86c0b0b22a0cdec
Description-en: convenient abstraction layer to a database
 Class::DBI not only provides a simple database to object mapping
 layer, but can be used to implement several higher order database
 functions (triggers, referential integrity, cascading delete etc.),
 at the application level, rather than at the database.
 .
 This is particularly useful when using a database which doesn't
 support these (such as MySQL), or when you would like your code to be
 portable across multiple databases which might implement these things
 in different ways.
 .
 In short, Class::DBI aims to make it simple to introduce 'best
 practice' when dealing with data stored in a relational database.

Package: libclass-dbi-pg-perl
Description-md5: e80b6947080e2a3667d62a679f3745b9
Description-en: Class::DBI extension for Postgres
 Class::DBI::Pg automates the setup of Class::DBI columns and primary
 keys for PostgreSQL.
 .
 It selects the PostgreSQL system catalog and finds out all columns,
 primary keys and SERIAL type columns.

Package: libclass-dbi-plugin-abstractcount-perl
Description-md5: 0df5393e69f02a0c795ed251ae619159
Description-en: Class::DBI plugin to get COUNT(*) results with abstract SQL
 The Class::DBI::Plugin::AbstractCount module combines the functionality from
 Class::DBI::Plugin::CountSearch (counting objects without having to use an
 array or an iterator), and Class::DBI::AbstractSearch, which allows complex
 where-clauses a la SQL::Abstract.

Package: libclass-dbi-plugin-pager-perl
Description-md5: 8c26b2b2446e62c25956fefa241ed8c9
Description-en: Perl extension for paging SQL results
 Class::DBI::Plugin::Pager adds a pager method that can query using
 SQL::Abstract where clauses, and limit the number of rows returned to a
 specific subset.

Package: libclass-dbi-plugin-perl
Description-md5: 3bf80a1579f89d04fe453249c0fea11d
Description-en: abstract base class for Class::DBI plugins
 The purpose of the Class::DBI::Plugin module is to make writing Class::DBI
 plugins easier. Writers of plugins should be able to concentrate on the
 functionality that their module provides, instead of having to deal with
 the symbol table hackery involved when writing a plugin module.
 .
 Class::DBI provides a convenient abstraction layer to a database. It
 not only provides a simple database to object mapping layer, but can
 be used to implement several higher order database functions, at the
 application level, rather than at the database.

Package: libclass-dbi-plugin-retrieveall-perl
Description-md5: d7633b4357417a0bd2750f44dafa2a14
Description-en: Class::DBI plugin providing a more complex retrieve_all() method
 Class::DBI::Plugin::RetrieveAll is a simple plugin to a Class::DBI subclass
 which provides a 'retrieve_all_sorted_by' method.
 .
 This method will be exported into the calling class, and allows for
 retrieving all the objects of the class, sorted by the given column.
 .
 The argument given will be passed straight through to the database
 'as is', and is not checked in any way, so an error here will
 probably result in an error from the database, rather than Class::DBI
 itself. However, because of this it is possible to pass more complex
 ORDER BY clauses through:

Package: libclass-dbi-plugin-type-perl
Description-md5: 235a86158666ede3cf25dd3cabf801c4
Description-en: Class::DBI plugin to determine type information for table columns
 The Class::DBI::Plugin::Type module allows Class::DBI-based classes to
 query their columns for data type information in a database-independent
 manner.

Package: libclass-dbi-sqlite-perl
Description-md5: f15e84ec5f35a20156c5edcf853a5d39
Description-en: extension to Class::DBI for sqlite
 Class::DBI::SQLite is an extension to Class::DBI for DBD::SQLite. It allows
 you to populate an auto-incremented row id after insert.

Package: libclass-dbi-sweet-perl
Description-md5: de1569f08778354e39e5dc1a26a379c0
Description-en: Perl module providing a sweeter Class::DBI
 Class::DBI::Sweet provides convenient count, search, page and cache functions
 in a simple package. It integrates these functions with the usual Class::DBI
 (libclass-dbi-perl) interface in a convenient and efficient way.

Package: libclass-default-perl
Description-md5: efcf4d16e4fb4534db751d3d22cb58de
Description-en: Perl module to make static calls apply to a default instantiation
 Class::Default provides a mechanism to allow your class to take static method
 calls and apply it to a default instantiation of an object. It provides a
 flexibility to an API that allows it to be used more comfortably in
 different situations.
 .
 This technique appears to be especially useful when writing modules that you
 want to be used in either a single use or a persistent environment. In a CGI
 like environment, you want the simplicity of a static interface. You can
 call Class->method directly, without having to pass an instantiation
 around constantly.

Package: libclass-delegator-perl
Description-md5: ab69ee58fb7f63efba9c9497a88d985c
Description-en: Perl module for a simple and fast object-oriented delegation
 This module provides a subset of the functionality of Damian Conway's lovely
 Class::Delegation module.
 .
 However the specification semantics of Class::Delegator differ slightly
 from those of Class::Delegation, so this module isn't a drop-in
 replacement for Class::Delegation.

Package: libclass-ehierarchy-perl
Description-md5: 8c426306d24fab3a60eaa2f1915ac3e5
Description-en: module that provides a base class for hierarchally ordered objects
 Class::EHierarchy is intended for use as a base class for custom objects, but
 objects that need one or more of the following features: orderly bottom-up
 destruction of objects, opaque objects, class-based access restrictions for
 properties and methods, primitive strict property type awareness, alias-based
 object retrieval.

Package: libclass-errorhandler-perl
Description-md5: cb51def7d9f353e5caf1f0f426f554c8
Description-en: Base class for error handling
 Class::ErrorHandler provides an error-handling mechanism that's generic
 enough to be used as the base class for a variety of OO classes.
 Subclasses inherit its two error-handling methods, error and errstr,
 to communicate error messages back to the calling program.

Package: libclass-factory-perl
Description-md5: 7fb9785d01790a1eb14663802eef6040
Description-en: Base class for dynamic factory classes
 This is a simple module that factory classes can use to generate new
 types of objects on the fly, providing a consistent interface to common
 groups of objects.
 .
 Factory classes are used when you have different implementations for
 the same set of tasks but may not know in advance what implementations
 you will be using.  With a factory class this is easy.  Class::Factory
 even provides a simple constructor for you.

Package: libclass-factory-util-perl
Description-md5: b7bb88d8c976879b1bf6095bc2a6f678
Description-en: utility method for factory classes
 When the Class::Factory::Util module is loaded, it creates a method in its
 caller named subclasses(). This method returns a list of the available
 subclasses for the package.

Package: libclass-field-perl
Description-md5: 61f1637ccb83a9b2a3ad4cd1d0b09c21
Description-en: accessor cenerator for class fields and consts
 Class::Field exports two subroutines, field and const. These
 functions are used to declare fields and constants in your class.
 .
 Class::Field generates custom code for each accessor that is optimized
 for speed.

Package: libclass-forward-perl
Description-md5: 48fdb2d0b410d5c5955ce7baa15e6bf6
Description-en: class dispatcher that handles namespaces like paths
 Class::Forward is designed to simply return class names or dispatch method
 calls using shorthand. It uses file-system path specification conventions to
 match against class namespaces.

Package: libclass-gomor-perl
Description-md5: 76113b50aa4dfc1ab1d410f07e71b3b4
Description-en: class and object builder
 Class::Gomor is a Perl class and object builder, providing features like
 automatic validation of attributes. It can automatically create accessors
 for scalar or array attributes, as well as supporting custom attributes.

Package: libclass-handle-perl
Description-md5: 32f5784e24ec80546cc779f3c4054c7a
Description-en: module to create objects which are handles to classes
 Class::Handle is a Perl module that attempts to provide a convenient object
 wrapper around the various different types of functions that can be performed
 on a class. It provides what is effectively a combined API from UNIVERSAL,
 Class::ISA and Class::Inspector for obtaining information about a class, and
 some additional task methods.

Package: libclass-inner-perl
Description-md5: 5adbae58035d53ff3a8157c3619a96d0
Description-en: module providing Java-like inner classes
 Class::Inner is an implementation of an anonymous class with per-object
 overrideable methods, but with the added attraction of sort-of-working
 dispatch to the parent class's method.

Package: libclass-insideout-perl
Description-md5: 250ff96357af5b0ac31d02afe0094845
Description-en: safe, simple inside-out object construction kit
 Class::InsideOut is a simple, safe and streamlined toolkit for building
 inside-out objects. Unlike most other inside-out object building modules
 already on CPAN, this module aims for minimalism and robustness:
 .
   * Does not require derived classes to subclass it
   * Uses no source filters, attributes or CHECK blocks
   * Supports any underlying object type including black-box inheritance
   * Does not leak memory on object destruction
   * Overloading-safe
   * Thread-safe for Perl 5.8.5 or better
   * mod_perl compatible
   * Makes no assumption about inheritance or initializer needs
 .
 It provides the minimal support necessary for creating safe inside-out
 objects and generating flexible accessors.

Package: libclass-inspector-perl
Description-md5: d5eb5ee11b9996f68f80dc05e4bedce4
Description-en: Perl module that provides information about classes
 Class::Inspector allows you to get information about a loaded class.
 Most or all of this information can be found in other ways, but they
 aren't always very friendly, and usually involve a relatively high level
 of Perl wizardry, or strange and unusual looking code. Class::Inspector
 attempts to provide an easier, more friendly interface to this
 information.

Package: libclass-isa-perl
Description-md5: 5e48a71cca4edcdc4e2bcc0097a66664
Description-en: report the search path for a class's ISA tree
 Class::ISA returns the list of classes that the current class inherits
 from, listed in the order that Perl would search for a method not
 implemented in the current class. The list contains no duplicates.

Package: libclass-load-perl
Description-md5: b63795dd8b16286a9628af577cf946a3
Description-en: module for loading modules by name
 "require EXPR" only accepts Class/Name.pm style module names, not
 Class::Name. For that, Class::Load provides "load_class 'Class::Name'".
 .
 It's often useful to test whether a module can be loaded, instead of throwing
 an error when it's not available. For that, Class::Load provides
 "try_load_class 'Class::Name'".
 .
 Finally, sometimes it is important to know whether a particular class has
 been loaded. Asking %INC is an option, but that will miss inner packages and
 any class for which the filename does not correspond to the package name. For
 that, this module provides "is_class_loaded 'Class::Name'".

Package: libclass-load-xs-perl
Description-md5: e162500685b8f5f11cd4963332723776
Description-en: XS implementation of parts of Class::Load
 Class::Load::XS provides an XS implementation for portions of Class::Load, a
 module that provides several functions to load classes at runtime.
 .
 See Class::Load in the libclass-load-perl package for API details.

Package: libclass-loader-dev
Description-md5: 6a942d9b1f04f115362065f768100acb
Description-en: development files for Robot OS class_loader library
 This package is part of Robot OS (ROS). The class_loader package is a
 ROS-independent package for loading plugins during runtime and the
 foundation of the higher level ROS "pluginlib" library.  class_loader
 utilizes the host operating system's runtime loader to open runtime
 libraries (e.g. .so/.dll files), introspect the library for exported
 plugin classes, and allows users to instantiate objects of said
 exported classes without the explicit declaration (i.e. header file)
 for those classes.
 .
 This package contains the development files for the library.

Package: libclass-loader-perl
Description-md5: 298e7216da8c5dd5eb9928e148cf67e0
Description-en: module to load modules and create objects on command
 Class::Loader is a Perl module that provides an inheritable class useful for
 loading a module and automatically constructing an object. Loading this way
 is beneficial in situations where many modules are available, and one must be
 appropriately selected at compile time.

Package: libclass-loader1d
Description-md5: a55efec6acba44d800fc02116feda551
Description-en: ROS class_loader library
 This package is part of Robot OS (ROS). The class_loader package is a
 ROS-independent package for loading plugins during runtime and the
 foundation of the higher level ROS "pluginlib" library.  class_loader
 utilizes the host operating system's runtime loader to open runtime
 libraries (e.g. .so/.dll files), introspect the library for exported
 plugin classes, and allows users to instantiate objects of said
 exported classes without the explicit declaration (i.e. header file)
 for those classes.
 .
 This package contains the library.

Package: libclass-makemethods-perl
Description-md5: 76318550cb9d8311b12b95f894a625b6
Description-en: Perl module for generating common types of methods
 The Class::MakeMethods framework allows Perl class developers to
 quickly define common types of methods. When a module uses
 Class::MakeMethods or one of its subclasses, it can select from a
 variety of supported method types, and specify a name for each method
 desired. The methods are dynamically generated and installed in the
 calling package.

Package: libclass-meta-perl
Description-md5: 64c7d09a63c460a24913788ab981786b
Description-en: class automation, introspection, and data validation module
 Class::Meta provides an interface for automating the creation of Perl classes
 with attribute data type validation. It differs from other such modules in
 that it includes an introspection API that can be used as a unified interface
 for all Class::Meta-generated classes. In this sense, it is an implementation
 of the "Facade" design pattern.

Package: libclass-methodmaker-perl
Description-md5: aac41660ebcaf96c37839fa717182717
Description-en: Perl module for creating generic methods
 Class::MethodMaker is a simple module which solves the problem of having to
 continually write accessor and mutator methods for your objects that perform
 standard tasks. Instead of thinking in terms of methods, you install one or
 more components into a class and methods are automatically created for you.
 A component is intended as a cohesive unit of data that should only be changed
 using the provided methods.

Package: libclass-mix-perl
Description-md5: 069cc66ba066f8b2adde53b1a0ef9eba
Description-en: Perl module for dynamic class mixing
 Class::Mix dynamically generates `anonymous' classes with specified
 inheritance. This is useful where an incomplete class requires use
 of a mixin in order to become instantiable.

Package: libclass-mixinfactory-perl
Description-md5: af1e0e7c565036a3fbc1d7c9ba2c70d1
Description-en: Class Factory with Selection of Mixins
 Class::MixinFactory facilitates the run-time generation of classes which
 inherit from a base class and some optional selection of mixin classes.
 .
 A factory is provided to generate the mixed classes with multiple inheritance.
 A NEXT method allows method redispatch up the inheritance chain.

Package: libclass-multimethods-perl
Description-md5: 4e00252b742c802f68333f96be03bb53
Description-en: Support multimethods and subroutine overloading in Perl
 Sometimes Perl's standard polymorphic method dispatch mechanism isn't
 sophisticated enough to cope with the complexities of finding the right
 method to handle a given situation. Class::Multimethods to the rescue!
 .
 Generally speaking, multiple dispatch is needed whenever two or more objects
 belonging to different class hierarchies are going to interact, and there are
 different things that need to be done depending on the combination of the
 actual types of those objects. Typical applications that need this kind of
 ability include graphical user interfaces, image processing libraries,
 mixed-precision numerical computation systems, and most types of simulations.

Package: libclass-objecttemplate-perl
Description-md5: 599e008afb5c6e30b705921c670b4150
Description-en: Perl extension for an optimized template builder base class
 Class::ObjectTemplate is a utility class to assist in the building of
 other Object Oriented Perl classes.
 .
 It was described in detail in the O'Reilly book, "Advanced Perl
 Programming" by Sriram Srinivasam.

Package: libclass-ooorno-perl
Description-md5: e99dd99a250932d34ea531295c8308df
Description-en: Give your module classic AND OO interfaces
 Class::OOorNO helps your module handle the input for its subroutines
 whether called in object-oriented style (as object methods or class
 methods with the arrow syntax "->"), or in functional programming style
 (as subroutines imported to the caller's namespace via Exporter).
 .
 The bulk of this module comprises a lightweight, pure-Perl emulation of
 the Devel::Caller library's "called_as_method()" routine which is
 written in C.
 .
 Devel::Caller dives deep into the internals of the Perl interpreter
 (see perlguts) to trace stack frames and can get the input for any call
 in the stack.  It's really handy for Devel::opment and debugging.
 .
 This module is much more lightweight and focuses more on your module's
 Class:: methods themselves.

Package: libclass-perl
Description-md5: 8f4f2ab3a80007397281e38a8f5fc15d
Description-en: module providing aliases for __PACKAGE__
 CLASS is a minimalistic Perl module that simply provides aliases for the
 special literal, __PACKAGE__, which represents the current package name at
 that point during program execution. The aliases are mapped at compile-time,
 so there is no performance hit except for compilation of the module itself.
 .
 This module ensures that CLASS and $CLASS can be used anywhere in place of
 __PACKAGE__, and since $CLASS is a scalar, it has the additional benefit of
 working in strings.

Package: libclass-pluggable-perl
Description-md5: 5eeef01a4c9d9222af934f5e4d01f218
Description-en: Simple pluggable class.
 This class makes your class (sub class of Class::Pluggable) pluggable.
 In this documentation, the word "pluggable" has two meanings.
 .
 One is just simply adding new method to your pluggable classs from
 other plugin modules. So, after you plugged some modules to your class,
 you can use there method exactly same as your own object method.
 .
 You can see this kind of plugin mechanism in CGI::Application and
 CGI::Application::Plugin::Session.

Package: libclass-prototyped-perl
Description-md5: c3265f6a62fc1d32593d71ab13a27e4e
Description-en: module for fast prototype-based OO programming in Perl
 Class:Prototyped provides for efficient and simple prototype-based
 programming in Perl. You can provide different subroutines for each
 object, and also have objects inherit their behavior and state from
 another object.
 .
 Class::Prototyped borrows heavily from the programming language Self.
 The structure of an object is inspected and modified through mirrors,
 which are created by calling "reflect" on an object or class that
 inherits from Class::Prototyped.
 .
 Prototype-based programming is most useful when the cleanest way for code
 to use a module is to subclass it, or when one finds oneself avoiding
 this by passing anonymous subroutines as parameters to "new".
 Prototype-based programming is also useful in situations that otherwise
 require storing anonymous subroutines in databases, configuration files,
 or text files, or when users of a module are expected to override
 subroutines to change its behabior.

Package: libclass-refresh-perl
Description-md5: 93fcde7abc56f2dde78e033a61ea022d
Description-en: module for refreshing classes during runtime
 Class::Refresh allows you to reload your application classes on the fly, so
 that the code/test cycle becomes a lot easier.
 .
 During development, it is fairly common to cycle between writing code and
 testing that code. Generally the testing happens within the test suite, but
 frequently it is more convenient to test things by hand when tracking down a
 bug, or when doing some exploratory coding. In many situations, however, this
 becomes inconvenient - for instance, in a REPL, or in a stateful web
 application, restarting from the beginning after every code change can get
 pretty tedious.

Package: libclass-returnvalue-perl
Description-md5: c3b73d1f2b96a2affd271070b9d1b4a3
Description-en: return-value object that can be treated as boolean, array or object
 Class::ReturnValue is a "clever" return value object that can allow
 code calling your routine to expect:
 a boolean value (did it fail)
 or a list (what are the return values)

Package: libclass-singleton-perl
Description-md5: a3bcd5a81069e913babf02f25eaf47f1
Description-en: implementation of a "Singleton" class
 The Class::Singleton module implements a Singleton class from which other
 classes can be derived.  A Singleton describes an object class that can have
 only one instance in any system.  An example of a Singleton might be a print
 spooler or system registry.
 .
 By itself, the Class::Singleton module does very little other than manage the
 instantiation of a single object.  In deriving a class from Class::Singleton,
 your module will inherit the Singleton instantiation method and can implement
 whatever specific functionality is required.
 .
 For a description and discussion of the Singleton class, see
 "Design Patterns", Gamma et al, Addison-Wesley, 1995, ISBN 0-201-63361-2.

Package: libclass-spiffy-perl
Description-md5: 5ac0a7707b8c156161f66425deba0dfb
Description-en: Spiffy Perl interface framework
 Class::Spiffy is a framework and methodology for doing object oriented
 programming in Perl. Class::Spiffy combines the best parts of Exporter.pm,
 base.pm, mixin.pm and SUPER.pm into one magic foundation class. It attempts to
 fix all the nits and warts of traditional Perl OO, in a clean, straightforward
 and (perhaps someday) standard way.
 .
 Class::Spiffy borrows ideas from other OO languages like Python, Ruby, Java
 and Perl 6. It also adds a few tricks of its own.
 .
 If you take a look on CPAN, there are a ton of OO related modules. When
 starting a new project, you need to pick the set of modules that makes most
 sense, and then you need to use those modules in each of your classes.
 Class::Spiffy, on the other hand, has everything you'll probably need in one
 module, and you only need to use it once in one of your classes. If you make
 Class::Spiffy the base class of the basest class in your project,
 Class::Spiffy will automatically pass all of its magic to all of your
 subclasses. You may eventually forget that you're even using it!
 .
 The most striking difference between Class::Spiffy and other Perl object
 oriented base classes, is that it has the ability to export things. If you
 create a subclass of Class::Spiffy, all the things that Class::Spiffy exports
 will automatically be exported by your subclass, in addition to any more
 things that you want to export. And if someone creates a subclass of your
 subclass, all of those things will be exported automatically, and so on. Think
 of it as "Inherited Exportation", and it uses the familiar Exporter.pm
 specification syntax.

Package: libclass-std-fast-perl
Description-md5: 0aee3a1b12df958c56285da483025c50
Description-en: faster but less secure replacement for Class::Std
 Class::Std::Fast allows you to use the beautiful API of Class::Std in a
 faster way than Class::Std does.
 .
 You can get the object's ident via scalarifiyng your object.
 .
 Getting the objects ident is still possible via the ident method, but it's
 faster to scalarify your object.

Package: libclass-std-perl
Description-md5: 9f383ccec81b4f0c1ce30e6bc5bbfe34
Description-en: module for creating standard "inside-out" classes
 Class::Std is a Perl module that provides tools to implement the "inside out
 object" class structure in a convenient and standardized way. This approach,
 which is also known as flyweight scalars, warehoused attributes and inverted
 indices, is discussed further in the documentation for this package.

Package: libclass-std-storable-perl
Description-md5: a07cd462d015161614b9f2d83c06e037
Description-en: Support for creating serializable "inside-out" classes
 Class::Std introduced the "inside-out" model for classes (perldoc Class::Std
 for details). Among its salient features is complete encapsulation; that is,
 an object's data may only be accessed via its methods, unlike the usual
 hashref model that permits direct access by any code whatsoever. However, the
 drawback of complete encapsulation is that normal mechanisms for
 serialization won't work, as they rely on direct access to an object's
 attributes.
 .
 This class provides the class-building functionality from Class::Std, and in
 addition provides an interface to allow Storable to freeze and thaw any
 declared attributes of this class and any superclasses that were built via
 Class::Std::Storable.
 .
 However, in order to let Storable save attributes and construct the object,
 it is necessary to expose the attributes of the class to the world. Thus, any
 code could use the same interface that Storable does to get a copy of object
 attributes and create new objects with arbitrary attributes without going
 through the constructor. While the interface CAN'T be used to replace the
 existing attributes of an object, it COULD be used to create an arbitrarily
 mutated clone of an object without going through its methods. Also, if
 attributes are themselves references, then the objects to which they refer
 can be obtained and modified.

Package: libclass-std-utils-perl
Description-md5: cb67e741e8a179dc9a7b5485604a3a36
Description-en: utility subroutines for building "inside-out" objects
 Class::Std::Utils provides three utility subroutines that simplify the
 creation of "inside-out" classes. See Chapters 15 and 16 of "Perl Best
 Practices" (O'Reilly, 2005) for details.

Package: libclass-throwable-perl
Description-md5: 4aaedd37be25bff88eba687756319102
Description-en: minimal lightweight exception class
 Class::Throwable implements a minimal lightweight exception object. It is
 meant to be a compromise between more basic solutions like Carp which can
 only print information and cannot handle exception objects, and more
 complex solutions like Exception::Class which can be used to define
 complex inline exceptions and has a number of module dependencies.

Package: libclass-tiny-chained-perl
Description-md5: 019358bfc96dc55de224b59b69a0be45
Description-en: minimalist class construction module with chained attributes
 Class::Tiny::Chained is a wrapper around Class::Tiny which makes the
 generated attribute accessors chainable; that is, when setting an attribute
 value, the object is returned so that further methods can be called.

Package: libclass-tiny-perl
Description-md5: af73ea7f0ad0fbd0adf688f2cab87c59
Description-en: minimalist class construction module for Perl
 Class::Tiny offers a minimalist class construction kit in around 120
 lines of code. It uses no non-core modules for any recent Perl, while
 providing more features than Object::Tiny or Object::Tiny::RW.

Package: libclass-trait-perl
Description-md5: 9f3e8592612258cabcf83c661d5519fc
Description-en: Implementation of Traits in Perl
 Traits are a simple composition mechanism for structuring
 object-oriented programs. A Trait is essentially a parameterized set of
 methods, which serves as a building block for classes and is the primitive
 unit of code reuse. Unlike mixins and multiple inheritance, Traits do not
 use inheritance as the composition operator. Instead, Trait composition
 is based on a set of operators that are  complementary to single
 inheritance and result in better composition properties.
 .
 Traits are a core part of Perl 6 (called Roles there), and Class::Trait
 implements traits for Perl 5.

Package: libclass-trigger-perl
Description-md5: d8ae2df1cbbf6e089e8230608cf5e899
Description-en: Mix-in to add/call inheritable triggers
 Class::Trigger is a mixin class to add and call triggers (hooks)
 that get called at points you specify in your class.
 .
 Class:Trigger allows you to specify an arbitrary number of subroutines
 that are triggered every time something happens in your code, e.g. updating
 a full text search index after INSERT/UPDATE/DELETE queries.

Package: libclass-unload-perl
Description-md5: 842c7d0edebfe040b9f7ebe10eeee56a
Description-en: Perl module to unload a class at runtime
 Class::Unload is a Perl module that unloads the given class by clearing out its
 symbol table and removing it from %INC during runtime. The unloaded class may
 then be reloaded later on using 'require'.

Package: libclass-virtual-perl
Description-md5: cd343f871695d8db8dff11d1484b8244
Description-en: Base class for virtual base classes
 This is a base class for implementing virtual base classes (what some
 people call an abstract class).  Kinda kooky.  It allows you to
 explicitly declare what methods are virtual and that must be
 implemented by subclasses.  This might seem silly, since your program
 will halt and catch fire when an unimplemented virtual method is hit
 anyway, but there's some benefits.
 .
 The error message is more informative.  Instead of the usual
 "Can't locate object method" error, you'll get one explaining that a
 virtual method was left unimplemented.
 .
 Subclass authors can explicitly check to make sure they've implemented
 all the necessary virtual methods.  When used as part of a regression
 test, it will shield against the virtual method requirements changing
 out from under the subclass.

Package: libclass-whitehole-perl
Description-md5: efa50f14c0b449743d0e6e46d23107b8
Description-en: base class to treat unhandled method calls as errors
 It is possible to accidentally inherit an AUTOLOAD method. Often this
 will happen if a class somewhere in the chain uses AutoLoader or
 defines one of their own. This can lead to confusing error messages
 when method lookups fail.
 .
 Sometimes you want to avoid this accidental inheritance. In that
 case, inherit from Class::WhiteHole. All unhandled methods will
 produce normal Perl error messages.

Package: libclassad-dev
Description-md5: 97190abcbcc668134f3b187e270101d5
Description-en: HTCondor classads expression language - development library
 Classified Advertisements (classads) are the lingua franca of HTCondor, used
 for describing jobs, workstations, and other resources. There is a protocol
 for evaluating whether two classads match, which is used by the HTCondor
 central manager to determine the compatibility of jobs, and workstations where
 they may be run.
 .
 This package provides the static library and header files.

Package: libclassad8
Description-md5: 57400ac30a72e453e81c18e2cc648549
Description-en: HTCondor classads expression language - runtime library
 Classified Advertisements (classads) are the lingua franca of HTCondor, used
 for describing jobs, workstations, and other resources. There is a protocol
 for evaluating whether two classads match, which is used by the HTCondor
 central manager to determine the compatibility of jobs, and workstations where
 they may be run.
 .
 This package provides the runtime library.

Package: libclasslojure-clojure
Description-md5: 93f4e9c74af2031b1a11b6ebd330ea02
Description-en: Advanced classloading for Clojure
 classlojure lets you easily create a classloader with an alternate classpath
 and evaluate clojure forms in it. This classloader can even use a different
 version of clojure than your primary classloader.

Package: libclassmate-java
Description-md5: 560a8360c6d2367713bf4b148b3ff957
Description-en: Library for introspecting generic type information of types, methods and fields
 ClassMate is a zero-dependency Java library for accurately introspecting
 type information, including reliable resolution of generic type declarations
 for both classes ("types") and members (fields, methods and constructors).

Package: libclassycle-java
Description-md5: c56397ac5439ca18af668c18c5b4812f
Description-en: Analysing tool for Java dependencies
 Classycle's Analyser analyses the static class and package dependencies
 in Java applications or libraries. It is especially helpful for finding cyclic
 dependencies between classes or packages.
 .
 Classycle is similar to JDepend which does also a dependency analysis
 but only on the package level.
 .
 Classycle's Dependency Checker searches for unwanted class dependencies
 described in a dependency definition file. Dependency checking helps to
 monitor whether certain architectural constrains (e.g. in a layered
 architecture) are fulfilled or not.

Package: libclassycle-java-doc
Description-md5: 62f8e07ba07eac2467a84ffb4e294cb3
Description-en: Analysing tool for Java dependencies - documentation
 Classycle's Analyser analyses the static class and package dependencies
 in Java applications or libraries. It is especially helpful for finding cyclic
 dependencies between classes or packages.
 .
 Classycle is similar to JDepend which does also a dependency analysis
 but only on the package level.
 .
 Classycle's Dependency Checker searches for unwanted class dependencies
 described in a dependency definition file. Dependency checking helps to
 monitor whether certain architectural constrains (e.g. in a layered
 architecture) are fulfilled or not.
 .
 This package contains Javadoc API for libspock-java.

Package: libclaw-application-dev
Description-md5: ceeb415d6441b92fea7338789c26a5da
Description-en: Set of classes to ease the initialisation of the program (development files)
 Part of the libclaw library.
 .
 This library is intended to help to manage the arguments of your program, with
 automatic management of short/long arguments and help message.
 .
 This package contains the development files of the library.

Package: libclaw-application1v5
Description-md5: 82d75ac8a68f8b71e1f82010d7ca36d9
Description-en: Set of classes to ease the initialisation of the program
 Part of the libclaw library.
 .
 This library is intended to help to manage the arguments of your program, with
 automatic management of short/long arguments and help message.

Package: libclaw-configuration-file-dev
Description-md5: f2b8c347a71fe48b6b741abf137268d6
Description-en: Class to process configuration files (development files)
 Part of the libclaw library.
 .
 This library is intended to help to read configutation files divided in
 sections made of attribute/value pairs.
 .
 This package contains the development files of the library.

Package: libclaw-configuration-file1v5
Description-md5: 3e0cfdf1adca5e2be146f5b07cb58781
Description-en: Class to process configuration files
 Part of the libclaw library.
 .
 This library is intended to help to read configutation files divided in
 sections made of attribute/value pairs.

Package: libclaw-dev
Description-md5: ac6e8d01eb2f7083edc9692e02a59287
Description-en: Claw is a generalist C++ library (development files)
 Claw is a C++ Library Absolutely Wonderful bringing various nice
 classes and tools to C++ developers.
 .
 This library tries to be standard compliant and as portable as possible.
 .
 Claw provides:
  - tweener classes for easy interpolation,
  - advanced data structures: automaton, AVL binary search tree,
 graph, tree, ordered set, trie, maps with multiple types as keys,
  - geometric tools (2D): box/rectangle, point, line, vector,
  - image manipulation, reading and writing bitmap, jpeg, targa, png, pcx, xpm
 files
  - network tools: use sockets like any standard stream,
  - text algorithms,
  - min-max/alpha-beta algorithms,
  - developer tools: generic singleton, smart pointers,
 meta-programming concepts, buffered streams,
  - easy read of conf/ini files, easy access to environment variables,
  - manipulation of dynamic libraries,
  - and a lot more...

Package: libclaw-doc
Description-md5: a327bb281cc3e1c778fbca196f228fea
Description-en: Claw is a generalist C++ library (documentation files)
 Claw is a C++ Library Absolutely Wonderful bringing various nice
 classes and tools to C++ developers.
 .
 This package contains the HTML documentation of the API and a set of example
 programs.

Package: libclaw-dynamic-library-dev
Description-md5: fb6b5bf0a4867d2d223071909e8a4a8a
Description-en: Class to ease the manipulation of dynamic libraries (development files)
 Part of the libclaw library.
 .
 This library is intended to ease the use or dynamic libraries: loading,
 checking the availability of a symbol and getting a function pointer on it.
 .
 This package contains the development files of the library.

Package: libclaw-dynamic-library1v5
Description-md5: 8a8897797f3ffa3c030dd4dbf1c9b304
Description-en: Class to ease the manipulation of dynamic libraries
 Part of the libclaw library.
 .
 This library is intended to ease the use or dynamic libraries: loading,
 checking the availability of a symbol and getting a function pointer on it.

Package: libclaw-graphic-dev
Description-md5: db8a64d894fea60dacc379a9cb550219
Description-en: Set of classes for image manipulation (development files)
 Part of the libclaw library.
 .
 This library is intended to offer an easy way to deal with images, with read
 and write support of bmp, jpeg, pcx, png, targa and xbm files, and read only
 support for gif files.
 .
 This package contains the development files of the library.

Package: libclaw-graphic1v5
Description-md5: 568b8a53950dd7051d5ef7b717c2abc4
Description-en: Set of classes for image manipulation
 Part of the libclaw library.
 .
 This library is intended to offer an easy way to deal with images, with read
 and write support of bmp, jpeg, pcx, png, targa and xbm files, and read only
 support for gif files.

Package: libclaw-i18n
Description-md5: 5990da99858a71be01fa6266f0514e82
Description-en: Internationalisation files for libclaw
 This package provides internationalisation files for libclaw in the following
 languages:
 .
 * French

Package: libclaw-logger-dev
Description-md5: 74e2a730c1e89b6dbed30b2fcdaecfd4
Description-en: Flexible log system (development files)
 Part of the libclaw library.
 .
 This library provides a log system allowing several level of log and
 redirectable streams.
 .
 This package contains the development files of the library.

Package: libclaw-logger1v5
Description-md5: 9a4c62edbbbaea9fea6b7b20e5a6f127
Description-en: Flexible log system
 Part of the libclaw library.
 .
 This library provides a log system allowing several levels of logging
 and redirectable streams.

Package: libclaw-net-dev
Description-md5: 1382b88ea09b5b66f404155163b0c9e1
Description-en: C++ standard stream interface for Unix sockets (development files)
 Part of the libclaw library.
 .
 This library provides a C++ standard stream interface to Unix sockets.
 .
 This package contains the development files of the library.

Package: libclaw-net1v5
Description-md5: c0c2ae7355aecec2ee07e28c90f1b867
Description-en: C++ standard stream interface for Unix sockets
 Part of the libclaw library.
 .
 This library provides C++ classes to utilzed any
 Unix sockets as a standard stream.

Package: libclaw-tween-dev
Description-md5: 16a01f2a3569fe78eb8db0706395b58e
Description-en: C++ tweeners (development files)
 Part of the libclaw library.
 .
 This library provides a set of classes for tweeningin C++.
 .
 This package contains the development files of the library.

Package: libclaw-tween1v5
Description-md5: 6d6d9a06d04f495bb6b6ed0f4b7e9c2e
Description-en: C++ tweeners
 Part of the libclaw library.
 .
 Tween is a C++ library providing an implementation for tweening.
 .
 This library allows one to interpolate the intermediate values between
 two given values during a time interval. This practice is well used in
 animation and is widely popular in the context of ActionScript/Flash
 games and websites. The goal of this library is to provide similar
 functionalities to C++ programs.

Package: libclaws-mail-dev
Description-md5: 4e327f36df7562d537c275d4af1f0d49
Description-en: Development files for Claws Mail plugins
 This package provides the development headers needed to build
 plugins for Claws Mail client.
 Plugins are loadable modules which extend Claws Mail capabilities.

Package: libclblas-dev
Description-md5: 00f4d1a64a85fec5c7e4f69308ec363e
Description-en: development files for clBLAS
 This library provides an implementation of the Basic Linear Algebra
 Subprograms levels 1, 2 and 3, using OpenCL and optimized for AMD GPU
 hardware.
 .
 This package provides the development files.

Package: libclblas-doc
Description-md5: fa977585a877755b34ed5c82ea8a5975
Description-en: documentation for clBLAS
 This library provides an implementation of the Basic Linear Algebra
 Subprograms levels 1, 2 and 3, using OpenCL and optimized for AMD GPU
 hardware.
 .
 This package provides the documentation.

Package: libclblas2
Description-md5: 9bd18cc8493ff161cbe6384273f85137
Description-en: OpenCL BLAS library
 This library provides an implementation of the Basic Linear Algebra
 Subprograms levels 1, 2 and 3, using OpenCL and optimized for AMD GPU
 hardware.
 .
 This package provides the shared library.

Package: libclc-amdgcn
Description-md5: 39486dab626c4327d37f6c917c921988
Description-en: OpenCL C language implementation - amdgcn support
 libclc is an open implementation of the OpenCL C programming language,
 as specified by the OpenCL 1.1 Specification.
 .
 This package contains support for the amdgcn (AMD GPU) platform.
 Supported GPU families: Southern Islands and newer.

Package: libclc-dev
Description-md5: af42cc63b204a8c47b782398389a6170
Description-en: OpenCL C language implementation - development files
 libclc is an open implementation of the OpenCL C programming language,
 as specified by the OpenCL 1.1 Specification.
 .
 This package contains development header files.

Package: libclc-ptx
Description-md5: 2d3caf1ad35d421b87f2e21988576a5f
Description-en: OpenCL C language implementation - ptx support
 libclc is an open implementation of the OpenCL C programming language,
 as specified by the OpenCL 1.1 Specification.
 .
 This package contains support for the PTX platform.

Package: libclc-r600
Description-md5: 47251ab631031c2daed4679d552bad08
Description-en: OpenCL C language implementation - r600 support
 libclc is an open implementation of the OpenCL C programming language,
 as specified by the OpenCL 1.1 Specification.
 .
 This package contains support for the r600 (AMD GPU) platform.
 Supported GPU families: Evergreen and Northern Islands.

Package: libcld2-0
Description-md5: 7cbc64d5497434bd3e7d7ed7a4b4811a
Description-en: Compact Language Detector 2, library package
 Detects over 80 languages in UTF-8 text, based largely on groups
 of four letters.
 Also tables for 160+ language version.
 .
 This is the Library package.

Package: libcld2-dev
Description-md5: 08ce0946e305e6b9a6e483ff5b517cb1
Description-en: Compact Language Detector 2, development package
 Detects over 80 languages in UTF-8 text, based largely on groups
 of four letters.
 Also tables for 160+ language version.
 .
 This is the development package.

Package: libclearsilver-perl
Description-md5: be59004dd0fdccb51b297f6ec4089169
Description-en: Perl bindings for clearsilver
 Clearsilver is a fast, powerful, and language-neutral HTML template system.
 In both static content sites and dynamic HTML applications, it
 provides a separation between presentation code and application logic which
 makes working with your project easier.
 .
 This package contains the perl package ClearSilver.

Package: libcleri-dev
Description-md5: 5dd663feaa3ebef681a81e7536382d96
Description-en: language parser library - development files
 Libcleri is a powerful tool to build languages. From a built language,
 libcleri can automatically create parse trees, which are data structures
 representing how a grammar matches input. It also provides feedback in case
 the input does not match the language. This can be useful for auto-completion,
 suggestions or error handling.
 .
 This package contains the development files.

Package: libcleri0
Description-md5: ef180c003113788dd6f39ec0cf8d62de
Description-en: language parser library
 Libcleri is a powerful tool to build languages. From a built language,
 libcleri can automatically create parse trees, which are data structures
 representing how a grammar matches input. It also provides feedback in case
 the input does not match the language. This can be useful for auto-completion,
 suggestions or error handling.

Package: libclfft-dev
Description-md5: db93c1d801542a556a61eafb22afb75e
Description-en: development files for clFFT
 The clFFT library is an open source OpenCL library implementation of discrete
 Fast Fourier Transforms, which:
 .
   * Provides a fast and accurate platform for calculating discrete FFTs.
   * Works on CPU or GPU backends.
   * Supports in-place or out-of-place transforms.
   * Supports 1D, 2D, and 3D transforms with a batch size that can be greater
     than 1.
   * Supports planar (real and complex components in separate arrays) and
     interleaved (real and complex components as a pair contiguous in memory)
     formats.
   * Supports dimension lengths that can be any mix of powers of 2, 3, and 5.
   * Supports single and double precision floating point formats.
 .
 This package provides the development files.

Package: libclfft-doc
Description-md5: d691bfb5d20caeda25cf810dbfc6dc6a
Description-en: documentation for clFFT
 The clFFT library is an open source OpenCL library implementation of discrete
 Fast Fourier Transforms, which:
 .
   * Provides a fast and accurate platform for calculating discrete FFTs.
   * Works on CPU or GPU backends.
   * Supports in-place or out-of-place transforms.
   * Supports 1D, 2D, and 3D transforms with a batch size that can be greater
     than 1.
   * Supports planar (real and complex components in separate arrays) and
     interleaved (real and complex components as a pair contiguous in memory)
     formats.
   * Supports dimension lengths that can be any mix of powers of 2, 3, and 5.
   * Supports single and double precision floating point formats.
 .
 This package provides the documentation.

Package: libclfft2
Description-md5: 1468fc9fd9f3eba99a2a10991391c74b
Description-en: OpenCL FFT library
 The clFFT library is an open source OpenCL library implementation of discrete
 Fast Fourier Transforms, which:
 .
   * Provides a fast and accurate platform for calculating discrete FFTs.
   * Works on CPU or GPU backends.
   * Supports in-place or out-of-place transforms.
   * Supports 1D, 2D, and 3D transforms with a batch size that can be greater
     than 1.
   * Supports planar (real and complex components in separate arrays) and
     interleaved (real and complex components as a pair contiguous in memory)
     formats.
   * Supports dimension lengths that can be any mix of powers of 2, 3, and 5.
   * Supports single and double precision floating point formats.
 .
 This package provides the shared library.

Package: libclhep-dev
Description-md5: f4f379850f63ed3c57c3e2470e278c20
Description-en: CLHEP: A Class Library for High Energy Physics - development
 CLHEP provides a set of HEP-specific foundation and utility classes such
 as random generators, physics vectors, geometry and linear algebra.
 .
 This package provides development files of CLHEP.

Package: libclhep2.1v5
Description-md5: 856fbca7f29e03717abcd5776a9692dc
Description-en: CLHEP: A Class Library for High Energy Physics
 CLHEP provides a set of HEP-specific foundation and utility classes such
 as random generators, physics vectors, geometry and linear algebra.
 .
 This package provides run-time library of CLHEP.

Package: libcli-dev
Description-md5: c62072501f738ec51c88412616b6fc95
Description-en: emulates a cisco style telnet command-line interface (dev files)
 libcli provides a consistent Cisco style command-line environment for
 remote clients, with a few common features between every implemtation.
 .
 The library is not accessed by itself, rather the software which uses
 it listens on a defined port for a Telnet connection. This connection
 is handed off to libcli for processing.
 .
 libcli includes support for command history, command line editing and
 filtering of command output.
 .
 This package contains the files necessary for developing applications
 with libcli.

Package: libcli-framework-perl
Description-md5: f050226394222d799e5118c0fffb7c6b
Description-en: standardized, flexible, testable CLI applications framework for Perl
 CLI::Framework ("CLIF") provides a framework and conceptual pattern for
 building full-featured command line applications. It intends to make
 this process simple and consistent. It assumes the responsibility of
 implementing details that are common to all command-line applications,
 making it possible for new applications adhering to well-defined
 conventions to be built without the need to repeatedly write the same
 command-line interface code.

Package: libcli1.10
Description-md5: 7f5454a54d29f8eb153f55b860d813cd
Description-en: emulates a cisco style telnet command-line interface
 libcli provides a consistent Cisco style command-line environment for
 remote clients, with a few common features between every implemtation.
 .
 The library is not accessed by itself, rather the software which uses
 it listens on a defined port for a Telnet connection. This connection
 is handed off to libcli for processing.
 .
 libcli includes support for command history, command line editing and
 filtering of command output.

Package: libclipboard-perl
Description-md5: d9fed655f0cabf5d57205e4f624d1f27
Description-en: module to use X selections (clipboard) from Perl
 Clipboard is a module for accessing the X clipboard. It provides a copy() and
 a paste() function, and uses xclip(1) under the hood.
 .
 Additionally the package includes a couple of scripts for working with the
 clipboard:
 .
  clipaccumulate
  clipbrowse
  clipedit
  clipfilter
  clipjoin

Package: libclipper-dev
Description-md5: 43cf412bcbcd44628cdfc62336796303
Description-en: object oriented development kit for crystallographic computing
 The aim of the clipper project is to produce a set of object-oriented
 libraries for the organisation of crystallographic data and the
 performance of crystallographic computation.
 .
 This package contains development environment for programs which will
 use the clipper libraries.

Package: libclipper-doc
Description-md5: c9c2c6bc98bd6c153849f1eb4e5d3be2
Description-en: doxygen generated documentation for libclipper
 The aim of the clipper project is to produce a set of object-oriented
 libraries for the organisation of crystallographic data and the
 performance of crystallographic computation.
 .
 This package provides doxygen generated documentation for the library.

Package: libclipper2
Description-md5: f3f644ac20e54b661bfcd920e00412d1
Description-en: object oriented library for crystallographic computing
 The aim of the clipper project is to produce a set of object-oriented
 libraries for the organisation of crystallographic data and the
 performance of crystallographic computation.
 .
 This package contains shared libraries needed to run programs that
 have been developed using the clipper libraries.

Package: libclippoly-dev
Description-md5: 70700266994be9c66c10b1af1fb9da93
Description-en: polygon clipping routines, development library
 Robust routines for clipping polygons against each other: finding
 unions and intersections and differences of polygonal regions.
 Development library.

Package: libclippoly0
Description-md5: f2ad9b3406a966a1ec66ff02dbfaa8ae
Description-en: polygon clipping routines, runtime library
 Robust routines for clipping polygons against each other: finding
 unions and intersections and differences of polygonal regions.
 Runtime library.

Package: libcliquer-dev
Description-md5: df5c5f9274e9686f4f4132aa4d528959
Description-en: clique searching library (development headers)
 This package contains the development headers for the clique
 searching library found in libcliquer0, which allows searching for
 cliques in arbitrary weighted and unweighted graphs.

Package: libcliquer1
Description-md5: 1c98b0c01e7cbc33b24bd46dda6881b5
Description-en: clique searching library
 Cliquer is a set of routines for finding cliques in arbitrary weighted
 and unweighted graphs. It can search for maximal cliques, maximal-weighted
 cliques or cliques within a given size range.

Package: libclj-digest-clojure
Description-md5: 0c91b626696d9113a184414838786a2d
Description-en: message digest library for Clojure
 clj-digest provides message digest implementations for Clojure, handling the
 following types:
  * java.lang.String
  * byte array
  * java.io.File
  * java.io.InputStream
  * Sequences of byte arrays
 .
 It supports all digest algorithms provided by Java.

Package: libclj-http-clojure
Description-md5: 2f00d96ea9c3b68b6de0b6eae955d803
Description-en: Clojure HTTP client library
 clj-http is an HTTP library wrapping the Apache HttpComponents client library
 for Java.

Package: libclj-stacktrace-clojure
Description-md5: 7c1c78f6ad38e24a1d52903b2be7049e
Description-en: more readable stacktraces in Clojure programs
 clj-stacktrace-clojure changes the format of stacktraces making them more
 readable. Changes include vertical alignment, redirection, and special
 notation for Clojure functions.
 .
 This library also provides a hook for Leiningen to enable clj-stacktrace
 across all the projects that use clojure.test and clojure.stacktrace in
 general. It also allows clj-stacktrace to be used in the repl.

Package: libclj-time-clojure
Description-md5: eee42d1b664284203890f77ab2d729ed
Description-en: date and time library for Clojure
 clj-time is a date and time library for Clojure, wrapping the Joda Time Java
 library.

Package: libclj-tuple-clojure
Description-md5: 60c1fa63fb3a23695a52065bf1fd2739
Description-en: efficient implementations for small maps and vectors in Clojure
 clj-tuple provides efficient implementations for small maps and vectors in
 Clojure. The library is a drop-in replacement for Clojure's builtin vector and
 hash-map types.

Package: libclj-yaml-clojure
Description-md5: 7a781d5ccf4416438a983fda6915ac95
Description-en: YAML encoding and decoding for Clojure
 clj-yaml provides YAML encoding and decoding for Clojure via the SnakeYAML
 Java library.

Package: libcln-dev
Description-md5: 831d0390bfcb077ca603daabcf6aaf1c
Description-en: Development library for Class Library for Numbers (c++)
 CLN is a library for computations with all kinds of numbers.  It has a
 rich set of number classes, including integers (with unlimited precision),
 reals with various precisions (including unlimited), rationals, complex
 numbers and polynomials. The kernel is written in assembly language.
 It uses garbage  collection (automatic, without imposing any burden on the
 main application). Many efficient algorithms (i.e. for all transcendental
 functions) are implemented.
 .
 This package provides header files, a static library plus a manual.

Package: libcln6
Description-md5: 31060448fbd7fb4e8115ed8fe37ec84b
Description-en: Class Library for Numbers (C++)
 CLN is a library for computations with all kinds of numbers.  It has a
 rich set of number classes, including integers (with unlimited precision),
 reals with various precisions (including unlimited), rationals, complex
 numbers and polynomials. The kernel is written in assembly language.
 It uses garbage  collection (automatic, without imposing any burden on the
 main application). Many efficient algorithms (i.e. for all transcendental
 functions) are implemented.

Package: libclojure-java
Description-md5: 93c1db04e8e2532bb929bfe3e88ed517
Description-en: Lisp dialect for the JVM (library)
 Clojure is a dynamic programming language that targets the Java Virtual
 Machine. It is designed to be a general-purpose language, combining the
 approachability and interactive development of a scripting language with
 an efficient and robust infrastructure for multithreaded programming.
 Clojure is a compiled language - it compiles directly to JVM bytecode,
 yet remains completely dynamic. Every feature supported by Clojure is
 supported at runtime. Clojure provides easy access to the Java
 frameworks, with optional type hints and type inference, to ensure that
 calls to Java can avoid reflection.
 .
 Clojure is a dialect of Lisp, and shares with Lisp the code-as-data
 philosophy and a powerful macro system. Clojure is predominantly a
 functional programming language, and features a rich set of immutable,
 persistent data structures. When mutable state is needed, Clojure offers
 a software transactional memory system and reactive Agent system that
 ensure clean, correct, multithreaded designs.
 .
 This package contains the library.

Package: libclojure-maven-plugin-java
Description-md5: a7ba1a6d9fff1c9cf8429f95ff02f5b1
Description-en: Clojure plugin for Maven
 Clojure is a dynamic programming language that targets the Java Virtual
 Machine. It is designed to be a general-purpose language, combining the
 approachability and interactive development of a scripting language with
 an efficient and robust infrastructure for multithreaded programming.
 .
 This package provides a Maven Mojo allowing for programs written using
 Clojure to be built with Maven.

Package: libclone-choose-perl
Description-md5: 880cb21e26a092bf3824e0140503b675
Description-en: Choose appropriate clone utility (Perl library)
 Clone::Choose checks several different modules which provides a clone()
 function and selects an appropriate one.
 .
 A clone() function is useful for creating copies of complex nested data
 structures.
 .
 The default preference is
 .
 Clone
 .
 Storable
 .
 Clone::PP
 .
 This list might evolve in future.

Package: libclone-pp-perl
Description-md5: 7e8894544dacd57e244de9f10279f654
Description-en: module to recursively copy Perl datatypes
 Clone::PP provides a general-purpose clone function to make deep
 copies of Perl data structures. It calls itself recursively to copy
 nested hash, array, scalar and reference types, including tied
 variables and objects.
 .
 The clone() function takes a scalar argument to copy. To duplicate
 arrays or hashes, pass them in by reference:
 .
  my $copy = clone(\@array);    my @copy = @{ clone(\@array) };
  my $copy = clone(\%hash);     my %copy = %{ clone(\%hash) };
 .
 The clone() function also accepts an optional second parameter that
 can be used to limit the depth of the copy. If you pass a limit of
 0, clone will return the same value you supplied; for a limit of
 1, a shallow copy is constructed; for a limit of 2, two layers of
 copying are done, and so on.
 .
 This is a pure-perl implementation. For a faster XS-/C-based
 implementation see the libclone-perl package.

Package: libclosure-compiler-java
Description-md5: 592b016234a852aad75793e9deb4dd98
Description-en: JavaScript optimizing compiler - library package
 Closure Compiler is a JavaScript optimizing compiler. It parses your
 JavaScript, analyzes it, removes dead code and rewrites and minimizes
 what's left. It also checks syntax, variable references, and types, and
 warns about common JavaScript pitfalls. It is used in many of Google's
 JavaScript apps, including Gmail, Google Web Search, Google Maps, and
 Google Docs.
 .
 This package contains the jar library.

Package: libclosure-compiler-java-doc
Description-md5: e8fd98eb3d6ec3360a6a3a64a0c664bb
Description-en: JavaScript optimizing compiler - Javadoc
 Closure Compiler is a JavaScript optimizing compiler. It parses your
 JavaScript, analyzes it, removes dead code and rewrites and minimizes
 what's left. It also checks syntax, variable references, and types, and
 warns about common JavaScript pitfalls. It is used in many of Google's
 JavaScript apps, including Gmail, Google Web Search, Google Maps, and
 Google Docs.
 .
 This package contains the API documentation of libclosure-compiler-java.

Package: libcloudflare-client-perl
Description-md5: b6cf7f0cd97f67f036b4a6465bbec7ad
Description-en: object-orientated interface to the CloudFlare client API
 CloudFlare::Client provides an object-orientated interface to the CloudFlare
 client API, as described at https://www.cloudflare.com/docs/client-api.html
 .
 API actions are mapped to methods of the same name and arguments are passed in
 as a hash with keys as given in the docs. Successful API calls return the
 response section from the upstream JSON API. Failures for whatever reason
 throw exceptions under the CloudFlare::Client::Exception:: namespace.

Package: libcloudproviders-dev
Description-md5: 23f6fd2d428a71fbd30126a50523c2c0
Description-en: cloud provider library - development files
 libcloudproviders is a glib based library that makes it easier to implement
 a cloud provider server or client.
 .
 libcloudproviders also provides a DBus API that allows cloud storage sync
 clients to expose their services. Clients such as file managers and desktop
 environments can then provide integrated access to the cloud providers
 services.
 .
 This package contains the development files.

Package: libcloudproviders-doc
Description-md5: cd15e5e5816c2bf28a750c66c16b62d5
Description-en: cloud provider library - documentation
 libcloudproviders is a glib based library that makes it easier to implement
 a cloud provider server or client.
 .
 libcloudproviders also provides a DBus API that allows cloud storage sync
 clients to expose their services. Clients such as file managers and desktop
 environments can then provide integrated access to the cloud providers
 services.
 .
 This package contains the API reference.

Package: libcloudproviders0
Description-md5: 8674d99a95f8aa7995dee8e8ce395ee8
Description-en: cloud provider library
 libcloudproviders is a glib based library that makes it easier to implement
 a cloud provider server or client.
 .
 libcloudproviders also provides a DBus API that allows cloud storage sync
 clients to expose their services. Clients such as file managers and desktop
 environments can then provide integrated access to the cloud providers
 services.

Package: libclout-clojure
Description-md5: 2afe58537ddc3a36827327d8425224e0
Description-en: library for matching Ring HTTP requests
 Clout is a library for matching Ring HTTP requests. It uses the same routing
 syntax as used by popular Ruby web frameworks like Ruby on Rails and
 Sinatra.

Package: libclsync-dev
Description-md5: 251384e5a9e9348406b606596a08a9d1
Description-en: development files for libclsync
 Clsync recursively watches for source directory and executes external
 program to sync the changes. Clsync is adapted to use together with rsync.
 This utility is much more lightweight than competitors and supports such
 features as separate queue for big files, regex file filter,
 multi-threading.
 .
 This package contains development files for controlling clsync via socket.

Package: libclsync0
Description-md5: b4019c227c7a5cb0890c0ac7576dad95
Description-en: clsync control socket library
 Clsync recursively watches for source directory and executes external
 program to sync the changes. Clsync is adapted to use together with rsync.
 This utility is much more lightweight than competitors and supports such
 features as separate queue for big files, regex file filter,
 multi-threading.
 .
 This package contains a shared library to control clsync via socket.

Package: libclthreads-dev
Description-md5: 35f66b1b13cca73d84566f79a6b7253f
Description-en: POSIX threads C++ access library (development files)
 C++ wrapper library around the POSIX threads API.
 POSIX threads C++ access library
 .
 This package provides the development headers.

Package: libclthreads2
Description-md5: 2170acc68dd3f35e9f92cb5a00a4238a
Description-en: POSIX threads C++ access library  (shared library)
 C++ wrapper library around the POSIX threads API.
 POSIX threads C++ access library
 .
 This package contains the shared library for libclthreads2.

Package: libclustalo-dev
Description-md5: c587d67c2ea88d6832ac0ac2d9f46707
Description-en: library to embed Clustal Omega
 This package contains headers and libraries to include
 Clustal Omega in a program. It provides clustal usage
 with an API.

Package: libclustalo-doc
Description-md5: 5b02c6d23b380b298d6f824cac241e23
Description-en: API documentation for library to embed Clustal Omega
 Clustal-Omega is a general purpose multiple sequence alignment (MSA)
 program for dna/rna/proteins. It produces high quality MSAs and is capable of
 handling data-sets of hundreds of thousands of sequences in reasonable
 time.
 .
 This package contains the HTML documentation to use the Clustal Omega
 library provided in package libclustalo-dev.

Package: libclutter-imcontext-0.1-0
Description-md5: 9bc415c8e4a00041f27385ef8891bda0
Description-en: Open GL based interactive canvas library IMContext framework
 Clutter is an Open GL based interactive canvas library, designed for creating
 fast, mainly 2D single window applications such as media box UIs,
 presentations, kiosk style applications and so on. IMContext is an abstract
 base class defining a generic input method interface. This library ports the
 GTK+ IMContext to clutter.

Package: libclutter-imcontext-0.1-0-dbg
Description-md5: a002634612964db11866fc97f36f036a
Description-en: Open GL based interactive canvas library IMContext framework (debug files)
 Clutter is an Open GL based interactive canvas library, designed for creating
 fast, mainly 2D single window applications such as media box UIs,
 presentations, kiosk style applications and so on. IMContext is an abstract
 base class defining a generic input method interface. This library ports the
 GTK+ IMContext to clutter.
 .
 This package contains the debug files.

Package: libclutter-imcontext-0.1-bin
Description-md5: 0de88ea83c2cc20a03de21afea111b43
Description-en: Open GL based interactive canvas library IMContext framework
 Clutter is an Open GL based interactive canvas library, designed for creating
 fast, mainly 2D single window applications such as media box UIs,
 presentations, kiosk style applications and so on. IMContext is an abstract
 base class defining a generic input method interface. This library ports the
 GTK+ IMContext to clutter.
 .
 This package contains the utilities which are used by the libraries and other
 packages.

Package: libclutter-imcontext-0.1-dev
Description-md5: 24fa9592ecad42c20640222f67cafb39
Description-en: Open GL based interactive canvas library IMContext framework (dev. files)
 Clutter is an Open GL based interactive canvas library, designed for creating
 fast, mainly 2D single window applications such as media box UIs,
 presentations, kiosk style applications and so on. IMContext is an abstract
 base class defining a generic input method interface. This library ports the
 GTK+ IMContext to clutter.
 .
 This package contains the development files.

Package: libclutter-imcontext-0.1-doc
Description-md5: 1ac46db182ec5b8215b646c0dd0e080e
Description-en: Open GL based interactive canvas library IMContext framework (document)
 Clutter is an Open GL based interactive canvas library, designed for creating
 fast, mainly 2D single window applications such as media box UIs,
 presentations, kiosk style applications and so on. IMContext is an abstract
 base class defining a generic input method interface. This library ports the
 GTK+ IMContext to clutter.
 .
 This package contains the documentation.

Package: libclutter-perl
Description-md5: 2abb3671a5ecf6dfcd9577edc3a296dc
Description-en: perl bindings for an Open GL based interactive canvas library
 Clutter is an Open GL based interactive canvas library, designed for creating
 fast, mainly 2D single window applications such as media box UIs,
 presentations, kiosk style applications and so on.
 .
 This package contains the Perl bindings.

Package: libclxclient-dev
Description-md5: 854189f8f6c5b948cc336648411f20ec
Description-en: X Window System C++ access library (development files)
 C++ wrapper library around the X Window System API.
 X Window System C++ access library.
 .
 This package provides the development headers.

Package: libclxclient3
Description-md5: 3f98adef7bd9eb543fb9ab175db226a1
Description-en: X Window System C++ access library (shared library)
 C++ wrapper library around the X Window System API.
 X Window System C++ access library.
 .
 This package contains the shared library for libclxclient3.

Package: libcmark-dev
Description-md5: 179dd5ae67b6561e82799288eca59d5a
Description-en: development files for CommonMark parsing and rendering library
 cmark is the C reference implementation of CommonMark, a rationalized
 version of Markdown syntax with a spec. This package provides a library
 for parsing and rendering CommonMark documents.
 .
 This package contains the header and development files.

Package: libcmark-gfm-dev
Description-md5: d20b80235e9c657e6f2c9433a14f32f3
Description-en: CommonMark GitHub flavor gfm library dev files
 cmark-gfm is the GitHub flavor of the cmark C reference
 implementation of CommonMark, a rationalized version of Markdown
 syntax with a spec. This package provides the development files for
 the gfm library

Package: libcmark-gfm-extensions-dev
Description-md5: cb11d34df048ce300d436d62197aed7d
Description-en: CommonMark GitHub flavor gfm extensions library dev files
 cmark-gfm is the GitHub flavor of the cmark C reference
 implementation of CommonMark, a rationalized version of Markdown
 syntax with a spec. This package provides the development files for
 the gfm extensions library

Package: libcmark-gfm-extensions0
Description-md5: f72008757eaba01ac9520434e96822ed
Description-en: CommonMark GitHub flavor gfm extension library
 cmark-gfm is the GitHub flavor of the cmark C reference
 implementation of CommonMark, a rationalized version of Markdown
 syntax with a spec. This package provides the required gfm extension
 library

Package: libcmark-gfm0
Description-md5: a1e0535c35946803b65e474faabb2842
Description-en: CommonMark GitHub flavor gfm library
 cmark-gfm is the GitHub flavor of the cmark C reference
 implementation of CommonMark, a rationalized version of Markdown
 syntax with a spec. This package provides the required gfm
 library

Package: libcmark0.29.0
Description-md5: 4bfe6f4f05c6c6ea36582a000791334e
Description-en: CommonMark parsing and rendering library
 cmark is the C reference implementation of CommonMark, a rationalized
 version of Markdown syntax with a spec. This package provides a library
 for parsing and rendering CommonMark documents.
 .
 This package contains the shared libraries.

Package: libcmdliner-ocaml-dev
Description-md5: 40041cac04e1d80d38d3958487cb1079
Description-en: declarative definition of command line interfaces
 It provides a simple and compositional mechanism to convert command
 line arguments to OCaml values and pass them to your functions.
 The module automatically handles syntax errors, help messages and
 UNIX man page generation. It supports programs with single or
 multiple commands (like darcs or git) and respects most of the POSIX
 and GNU conventions.
 .
 This package contains the development modules you need to use Cmdliner
 in your programs.

Package: libcminpack-dev
Description-md5: d09343320262ffda2eaaa5c6bdac028c
Description-en: Nonlinear equations and nonlinear least squares problems - development
 Minpack includes software for solving nonlinear equations and nonlinear least
 squares problems. Five algorithmic paths each include a core subroutine and an
 easy-to-use driver. The algorithms proceed either from an analytic
 specification of the Jacobian matrix or directly from the problem functions.
 The paths include facilities for systems of equations with a banded Jacobian
 matrix, for least squares problems with a large amount of data, and for
 checking the consistency of the Jacobian matrix with the functions.
 .
 This is the C re-write of the original fortran minpack implementation.
 .
 This package contains development files needed to build cminpack applications.

Package: libcminpack1
Description-md5: 3d955d68e845c79c8067108dcda0b967
Description-en: Nonlinear equations and nonlinear least squares problems - runtime
 Minpack includes software for solving nonlinear equations and nonlinear least
 squares problems. Five algorithmic paths each include a core subroutine and an
 easy-to-use driver. The algorithms proceed either from an analytic
 specification of the Jacobian matrix or directly from the problem functions.
 The paths include facilities for systems of equations with a banded Jacobian
 matrix, for least squares problems with a large amount of data, and for
 checking the consistency of the Jacobian matrix with the functions.
 .
 This is the C re-write of the original fortran minpack implementation.
 .
 This package contains the libraries needed to run cminpack applications.

Package: libcml-smlnj
Description-md5: d2f9227628c6e7a647851b9475b99dfb
Description-en: Concurrent ML extension of SML
 An SML library for message-passing concurrency. This library adds
 threading support to SML. Each thread is then manually executed by
 running a separate function, rather than being called directly by the
 top-level SML loop.

Package: libcmlutil-smlnj
Description-md5: 68a0cbf0064a3fb137a91a71aff1abb5
Description-en: Concurrent ML utility library
 Not all modules in the normal SML/NJ library are thread safe. This
 library provides thread-safe implementations of these
 libraries.

Package: libcmlxom-java
Description-md5: 7b90aceff60dc9553c500b8f09900d06
Description-en: Java library for processing CML
 XML Object Model for Chemical Markup Language

Package: libcmocka-dev
Description-md5: 103a96bdcded2273bc4354626b9c471a
Description-en: development files for the CMocka unit testing framework
 This package contains the library development files for CMocka.
 .
 The idea of CMocka is that a test application only requires the
 standard C library and the CMocka library itself to minimize conflicts
 with standard C headers especially on a lot of different platforms.
 .
 Features:
  * Easy to use framework to write unit tests
  * Support for mock objects
  * Testing of memory leaks, buffer overflows and underflows
  * Platform and compiler independent

Package: libcmocka0
Description-md5: 07834604c09d5a18f3025ee5eddf87de
Description-en: library for the CMocka unit testing framework
 This is the major library for CMocka.
 .
 The idea of CMocka is that a test application only requires the
 standard C library and the CMocka library itself to minimize conflicts
 with standard C headers especially on a lot of different platforms.
 .
 Features:
  * Easy to use framework to write unit tests
  * Support for mock objects
  * Testing of memory leaks, buffer overflows and underflows
  * Platform and compiler independent

Package: libcmor-dev
Description-md5: 3cf8ecee61701b3ad4b60a28c9373fe3
Description-en: Development files for Climate Model Output Rewriter
 The "Climate Model Output Rewriter" (CMOR, pronounced "Seymour")
 comprises a set of C-based functions, with bindings to both Python
 and FORTRAN 90, that can be used to produce CF-compliant netCDF files.
 that fulfill the requirements of many of the climate community's
 standard model experiments.
 .
 This package contains files needed to build and link against the CMOR library.

Package: libcmor2
Description-md5: 3e7f8551dfbcda9ec257e71c4fcae795
Description-en: Climate Model Output Rewriter library
 The "Climate Model Output Rewriter" (CMOR, pronounced "Seymour")
 comprises a set of C-based functions, with bindings to both Python
 and FORTRAN 90, that can be used to produce CF-compliant netCDF files
 that fulfill the requirements of many of the climate community's
 standard model experiments. These experiments are collectively
 referred to as MIP's and include, for example, AMIP, CMIP, CFMIP,
 PMIP, APE, and IPCC scenario runs. The output resulting from CMOR
 is "self-describing" and facilitates analysis of results across models.

Package: libcmph-dev
Description-md5: 70b52092a3a23c9072e03bfc3756ae48
Description-en: C Minimal Perfect Hashing Library development files
 Minimal perfect hash functions are widely used for memory efficient storage
 and fast retrieval of items from static sets, such as words in natural
 languages, reserved words in programming languages or interactive systems,
 universal resource locations (URLs) in Web search engines, or item sets in
 data mining techniques.
 .
 This package contains the development files of the library.

Package: libcmph-tools
Description-md5: 01d8963db8891e236b4e86532cdbf662
Description-en: C Minimal Perfect Hashing Library command line utilities
 Minimal perfect hash functions are widely used for memory efficient storage
 and fast retrieval of items from static sets, such as words in natural
 languages, reserved words in programming languages or interactive systems,
 universal resource locations (URLs) in Web search engines, or item sets in
 data mining techniques.
 .
 This package contains the cmph command line utility to generate and query
 minimal perfect hash functions.

Package: libcmph0
Description-md5: b6c74b9e4a38152ed7cebc634a91b960
Description-en: C Minimal Perfect Hashing Library
 Minimal perfect hash functions are widely used for memory efficient storage
 and fast retrieval of items from static sets, such as words in natural
 languages, reserved words in programming languages or interactive systems,
 universal resource locations (URLs) in Web search engines, or item sets in
 data mining techniques.

Package: libcmpicppimpl0
Description-md5: 34a24b30a937e7c41799d8d264d78a7b
Description-en: CMPI C++ wrapper
 This package provides the so-called Common Manageability Programming
 Interface C++ Wrapper, a shared library implementing the C++ support for
 writing CMPI-compliant CIM providers (CMPI).

Package: libcmpicppimpl0-dev
Description-md5: 24278e50f920df72d561f7f41001fecd
Description-en: C and C++ CMPI development headers
 This package provides a standalone development kit for CMPI providers. It
 contains the CMPI header files needed for compilation of CMPI providers
 written in the C programming language, or making use of the CMPI C++ Wrapper.

Package: libcmpiosbase-common0
Description-md5: ddc1c4b7db896448136b05e0517c2c37
Description-en: SBLIM provider base OS common libraries
 The base OS common libraries used in SBLIM base provider and other SBLIM
 providers.

Package: libcmpiosbase-common0-dev
Description-md5: 127d0d16c8d3ed8003a32be36c6c82ed
Description-en: SBLIM provider base OS common header files
 This package contains header files needed to compile SBLIM providers using
 the SBLIM provider base OS common libraries.

Package: libcmrt-dev
Description-md5: 9de333610f73932e22a2a1cd67ac9628
Description-en: C bindings for media runtime -- development headers
 libcmrt provides C bindings for Intel Media Runtime.
 .
 This package includes the files for development.

Package: libcmrt1
Description-md5: a9a56a0416135b5a46e3543f4074c114
Description-en: C bindings for Intel Media Runtime
 libcmrt provides C bindings for Intel Media Runtime.

Package: libcmtspeechdata-dev
Description-md5: 34425c908db5e8af20e0ead659b5be8d
Description-en: modem speech data handling library (devel files)
 libcmtspeechdata is the userspace side for Nokia's CMT Speech Data SSI
 protocol. The library  provides an application interface for implementing the
 speech data path for cellular voice calls. IT does not contain any
 functionality for setting up and managing the call signaling path.
 .
 The library will access the hardware using /dev/cmt_speech.
 .
 This package contains the shared library's development files.

Package: libcmtspeechdata-doc
Description-md5: 09e87172419d1e9fe6b8dec39e8ff1a3
Description-en: modem speech data handling library (documentation)
 libcmtspeechdata is the userspace side for Nokia's CMT Speech Data SSI
 protocol. The library  provides an application interface for implementing the
 speech data path for cellular voice calls. IT does not contain any
 functionality for setting up and managing the call signaling path.
 .
 The library will access the hardware using /dev/cmt_speech.
 .
 This package contains the shared library's documentation files.

Package: libcmtspeechdata-utils
Description-md5: b46894add7f8923e888cf8dbb22b7fb3
Description-en: modem speech data handling library (utils)
 libcmtspeechdata is the userspace side for Nokia's CMT Speech Data SSI
 protocol. The library  provides an application interface for implementing the
 speech data path for cellular voice calls. IT does not contain any
 functionality for setting up and managing the call signaling path.
 .
 The library will access the hardware using /dev/cmt_speech.
 .
 This package contains small binary utils, that are part of the source package.

Package: libcmtspeechdata0
Description-md5: ab1b77342d609182065a449fbdd0732a
Description-en: modem speech data handling library
 libcmtspeechdata is the userspace side for Nokia's CMT Speech Data SSI
 protocol. The library  provides an application interface for implementing the
 speech data path for cellular voice calls. IT does not contain any
 functionality for setting up and managing the call signaling path.
 .
 The library will access the hardware using /dev/cmt_speech.
 .
 This package contains the shared library.

Package: libcneartree-dev
Description-md5: c9bf12b618fb540bb3546e8d4fc02aa6
Description-en: Library and headers for solving the Nearest Neighbor Problem
 Neartree is an API and a library for finding nearest neighbors among
 points in spaces of arbitrary dimensions. This package provides a C++
 template, TNear.h, and C library and header files for compiling
 programs using Neartree.
 .
 The library uses the Nearest Neighbor algorithm after Kalantari and
 McDonald, (IEEE Transactions on Software Engineering, v. SE-9, pp.
 631-634,1983) modified to use recursion instead of a double-linked tree
 and simplified so that it does less checking for things like is the
 distance to the right less than the distance to the left; it was found
 that these checks make little to no difference.

Package: libcneartree7
Description-md5: 0798d851391cb5df04b3fbbb913c918c
Description-en: Library for solving the Nearest Neighbor Problem
 Neartree is an API and a library for finding nearest neighbors among
 points in spaces of arbitrary dimensions.
 .
 The library uses the Nearest Neighbor algorithm after Kalantari and
 McDonald, (IEEE Transactions on Software Engineering, v. SE-9, pp.
 631-634,1983) modified to use recursion instead of a double-linked tree
 and simplified so that it does less checking for things like is the
 distance to the right less than the distance to the left; it was found
 that these checks make little to no difference.

Package: libcoap2
Description-md5: e64261d9df58c64aa5e089e22d5a1d4e
Description-en: C-Implementation of CoAP - libraries API version 2
 Lightweight application-protocol for devices that are constrained their
 resources such as computing power, RF range, memory, bandwidth, or network
 packet sizes. This protocol, CoAP, is developed in the IETF working group
 "CoRE", <http://6lowapp.net> and was standardized in RFC 7252.
 .
 The libcoap library v2 has DTLS functionality included based on TLS
 functions provided by OpenSSL in addition to the previous API version.
 .
 This package contains the various libcoap libraries based on API v2 with
 and without DTLS functionality.

Package: libcoap2-bin
Description-md5: 5b69d33f2699307c0c66bab4a3ae1e7a
Description-en: C-Implementation of CoAP - example binaries API version 2
 The libcoap provides some example binary files to show the usage of a CoAP
 Server, CoAP Client and a Resource Directory implementation. These examples
 heavily rely on the libcoap as the core functionality is based there.
 .
 This package provides the following example binaries without DTLS functions:
  * coap-client
    A command-line client that allows you to interact with CoAP reasources.
 .
  * coap-server
    Simple server that can provide CoAP resources for simple testing cases.
 .
  * coap-rd
    This binary let you simulate various CoAP resources mostly for testing
    purpose.
 .
 This package providesthe following example binaries with DTLS functions:
  * coap-client-openssl
    A command-line client that allows you to interact with CoAP reasources
    based on TLS functions by OpenSSL.
 .
  * coap-server-openssl
    Simple server that can provide CoAP resources for simple testing cases
    based on TLS functions by OpenSSL.
 .
  * coap-rd-openssl
    This binary let you simulate various CoAP resources mostly for testing
    purpose based on TLS functions by OpenSSL.
 .
 You can use these files without any exception no matter as they named
 examples. Please see the respectives man pages for usage instructions.

Package: libcoap2-dev
Description-md5: e5497bc4836a70c46eb219f7fb6acd76
Description-en: C-Implementation of CoAP - development files API version 2
 Lightweight application-protocol for devices that are constrained their
 resources such as computing power, RF range, memory, bandwidth, or network
 packet sizes. This protocol, CoAP, is developed in the IETF working group
 "CoRE", <http://6lowapp.net> and was standardized in RFC 7252.
 .
 This package contains the developer files like headers, the static library
 and the pkg-config file.

Package: libcoap2-doc
Description-md5: 415c03bc469a5c0cb8b8d7f910d8e2a5
Description-en: C-Implementation of CoAP - HTML based documentation files for API v2
 Lightweight application-protocol for devices that are constrained their
 resources such as computing power, RF range, memory, bandwidth, or network
 packet sizes. This protocol, CoAP, is developed in the IETF working group
 "CoRE", <http://6lowapp.net> and was standardized in RFC 7252.
 .
 This package contains the Doxygen generated library API HTML documentation
 for libcoap.
 They can be accessed by open /usr/share/doc/libcoap2-doc/html/index.html.

Package: libcoarrays-dev
Description-md5: 443f0a64b05f6c1b44fde675d83efdae
Description-en: Co-Array Fortran libraries
 OpenCoArrays is an open-source software project that supports the
 coarray Fortran (CAF) parallel programming features of the Fortran 2008
 standard and several features proposed for Fortran 2015 in the draft
 Technical Specification [TS 18508] "Additional Parallel Features in Fortran".
 .
 This package contains shared development files (headers and fortran modules)

Package: libcoarrays-mpich-dev
Description-md5: 26e66c932dd2e98f5060256749497024
Description-en: Co-Array Fortran libraries for - development files (MPICH)
 OpenCoArrays is an open-source software project that supports the
 coarray Fortran (CAF) parallel programming features of the Fortran 2008
 standard and several features proposed for Fortran 2015 in the draft
 Technical Specification [TS 18508] "Additional Parallel Features in Fortran".
 .
 This package provides the libraries necessary for Fortran to build parallel
 CAF programs built on top of MPICH MPI.

Package: libcoarrays-openmpi-dev
Description-md5: 521eab5b3cd3a12d232bdf03341cde88
Description-en: Co-Array Fortran libraries  - development files (OpenMPI)
 OpenCoArrays is an open-source software project that supports the
 coarray Fortran (CAF) parallel programming features of the Fortran 2008
 standard and several features proposed for Fortran 2015 in the draft
 Technical Specification [TS 18508] "Additional Parallel Features in Fortran".
 .
 This package provides the libraries necessary for Fortran to build parallel
 CAF programs built on top of OpenMPI.

Package: libcob4
Description-md5: 5ec5337490e4f8b8d9ab840c79769b1f
Description-en: COBOL compiler - runtime library
 This package contains the runtime library for gnucobol.

Package: libcob4-dev
Description-md5: 1cf74a2a4fa758760d1632b9e8501b40
Description-en: COBOL compiler - development files
 This package contains the development files for gnucobol.

Package: libcobertura-java
Description-md5: 3a176c521efc1ccb8ddeb5867475e20a
Description-en: java library that calculates the percentage of code accessed by tests
 Library that measures test coverage by instrumenting a Java code base and
 watching which lines of code are and are not executed as the test suite runs.
 In addition to identifying untested code and locating bugs, Cobertura can
 optimize code by flagging dead, unreachable code and can provide insights
 into how an API operates in practice. It is based on jcoverage.

Package: libcobra-java
Description-md5: cc046d296770a789a170829a8d351de5
Description-en: Java HTML Renderer & Parser
 Cobra is a pure Java HTML renderer and DOM parser that is being
 developed to support HTML 4, Javascript and CSS 2.
 .
 Cobra can be used as a Javascript-aware and CSS-aware HTML DOM
 parser, independently of the Cobra rendering engine. Javascript DOM
 modifications that occur during parsing (e.g. via document.write)
 will be reflected in the parsed DOM, unless Javascript is
 disabled. Likewise, DOM element implementations have a getStyle
 method that can be called to obtain the styling properties of an
 element.

Package: libcod-cif-parser-bison-perl
Description-md5: b0be3ec657b0faaddffeb335f14a0e6c
Description-en: error-correcting CIF parser - Perl bindings
 COD::CIF::Parser::Bison, Perl bindings for Crystallographic
 Information Format (CIF) v1.1 and v2.0 parser, which is written in C
 language, and developed by the Crystallography Open Database. A CIF
 format file is represented by an array of data blocks, where each
 data block is represented by a hash.

Package: libcod-cif-parser-yapp-perl
Description-md5: 726cd17e3ee4929cddb7180d2b88e3d6
Description-en: error-correcting CIF parser - pure Perl implementation
 COD::CIF::Parser::Yapp, YAPP implementation of error-correcting
 Crystallographic Information Format (CIF) v1.1 parser, which
 is developed by the Crystallography Open Database. A CIF format file
 is represented by an array of data blocks, where each data block is
 represented by a hash.

Package: libcod-precision-perl
Description-md5: aa2a8c6482ec1b892e4ea96afa16475f
Description-en: COD precision handling module for Perl language
 COD::Precision, module for handling precision in Crystallographic
 Information Format (CIF) notation, expressed as standard uncertainties
 in parentheses next to the value.

Package: libcod-usermessage-perl
Description-md5: 2515027d85c788e1d286aaeb3de0e3dd
Description-en: COD message formatting module for Perl language
 COD::UserMessage, module for formatting error/warning/note messages
 in a style, defined by Crystallography Open Database.
 .
 In short, the format of generated messages is the following:
 <program name>: <location>: <STATUS>, <message body>.

Package: libcoda-dev
Description-md5: 62c5e82183c996b6f3926cb1e4304705
Description-en: Development files for the CODA framework for Earth sciences
 The Common Data Access framework allows reading of scientific data
 from various data formats, including structured ascii, structured binary, XML,
 netCDF, CDF, HDF4, HDF5, GRIB, RINEX and SP3. It provides a single consistent
 hierarchical view on data independent of the underlying storage format.
 .
 This package contains files needed to develop C and Fortran programs
 against CODA.

Package: libcoda-java
Description-md5: 1b4f560ce2b0b60c7cc66ff410b6f3ac
Description-en: Java interface for Common Data Access Framework
 The Common Data Access framework allows reading of scientific data
 from various data formats, including structured ascii, structured binary, XML,
 netCDF, CDF, HDF4, HDF5, GRIB, RINEX and SP3. It provides a single consistent
 hierarchical view on data independent of the underlying storage format.
 .
 This package contains Java files needed to use the CODA framework.

Package: libcoda-jni
Description-md5: 5c66b9a622d58240364ec407a544c32d
Description-en: Java Native interface for Common Data Access Framework
 The Common Data Access framework allows reading of scientific data
 from various data formats, including structured ascii, structured binary, XML,
 netCDF, CDF, HDF4, HDF5, GRIB, RINEX and SP3. It provides a single consistent
 hierarchical view on data independent of the underlying storage format.
 .
 This package contains Java Native Interface (JNI) needed to use CODA.

Package: libcoda15
Description-md5: 501093ecc8081997e8be42f6a426223e
Description-en: Library for the Common Data Access framework for Earth science
 The Common Data Access framework allows reading of scientific data
 from various data formats, including structured ascii, structured binary, XML,
 netCDF, CDF, HDF4, HDF5, GRIB, RINEX and SP3. It provides a single consistent
 hierarchical view on data independent of the underlying storage format.

Package: libcodcif-dev
Description-md5: 02ac6b7da906fcbef50fae45ce62ff75
Description-en: error-correcting CIF parser - headers and static libraries
 Parser for Crystallographic Information Format (CIF) v1.1 and v2.0,
 written in C language. A CIF format file is represented by an array
 of data blocks, where each data block is represented by a CIF struct.
 .
 This package contains static library and header files.

Package: libcodcif2
Description-md5: a4ed29a6738e43c6166cc1332494c072
Description-en: error-correcting CIF parser - shared library
 Parser for Crystallographic Information Format (CIF) v1.1 and v2.0,
 written in C language. A CIF format file is represented by an array
 of data blocks, where each data block is represented by a CIF struct.

Package: libcode-tidyall-perl
Description-md5: adc396412a9b509fb140551cb34d6df5
Description-en: your all-in-one code tidier and validator
 There are a lot of great code tidiers and validators out there.
 tidyall makes them available from a single unified interface.
 .
 You can run tidyall on a single file or on an entire project hierarchy,
 and configure which tidiers/validators are applied to which files.
 tidyall will back up files beforehand,
 and for efficiency will only consider files that have changed
 since they were last processed.
 .
 This package provides commandline tool tidyall
 and Perl module Code::TidyAll.
 .
 Includes hooks for Git and Subversion,
 and plugin for php-codesniffer,
 requiring corresponding packages installed.

Package: libcode-tidyall-plugin-sortlines-naturally-perl
Description-md5: 1302826bafb253a75dbd08e4b289be44
Description-en: sort lines of a file using Unicode::Collate::Locale
 Code::TidyAll::Plugin::SortLines::Naturally is a plugin for Code::TidyAll
 to sort the lines of a file;
 whitespace lines are discarded.
 Useful for files containing one entry per line,
 such as .svnignore, .gitignore, and .ispell*.

Package: libcode-tidyall-plugin-uniquelines-perl
Description-md5: c5ea0d0fa69ce21dba628aaaf5d22daa
Description-en: module to remove duplicate lines from files
 Code::Tidyall::Plugin::Uniquelines acts as a plugin to Code::TidyAll and
 removes duplicate lines from a file.
 .
 This can be useful for files containing one entry per line, such as .svnignore,
 or .gitignore.

Package: libcode-tidyall-plugin-yaml-perl
Description-md5: efbebca626a041b79c47f2b75ae19642
Description-en: module to tidy YAML documents
 Code::TidyAll::Plugin::YAML brings YAML support to Code::TidyAll, a nice
 code linter. It relies on and behave like the YAML module.

Package: libcode-tidyall-plugin-yamlfrontmatter-perl
Description-md5: 52556641348eaf4b2d41afba2f064672
Description-en: module to validate YAML front matter
 Code::TidyAll::Plugin::YAMLFrontMatter acts as a plugin to Code::TidyAll and
 validates front matter in YAML files. It can check its existence, its
 validity, its encoding and its mandatory top level keys.

Package: libcodeblocks0
Description-md5: 88406bb0f3f45fa0a5d810ff85a0f62b
Description-en: Code::Blocks shared library
 Code::Blocks is a cross-platform Integrated Development Environment (IDE).
 It is based on a self-developed plugin framework allowing unlimited
 extensibility. Most of its functionality is already provided by plugins.
 Plugins included in the base package are:
    * Compiler frontend to many free compilers
    * Debugger frontend for GDB
    * Source formatter (based on AStyle)
    * Wizard to create new C++ classes
    * Code-completion / symbols-browser (work in progress)
    * Default MIME handler
    * Wizard to create new Code::Blocks plugins
    * To-do list
    * Extensible wizard based on scripts
    * Autosave (saves your work in the unfortunate case of a crash)
 .
 This package contains the Code::Blocks shared library.

Package: libcodec2-0.9
Description-md5: 48e991775e1392cbe3b2e66f501b746d
Description-en: Codec2 runtime library
 Next-Generation Digital Voice for Two-Way Radio
 .
 Codec2 is an audio codec especially designed for compressing voice at
 very low bit-rates for applications such as digital voice radio.
 .
 This package contains the codec2 runtime library.

Package: libcodec2-dev
Description-md5: fd29aaa38ad77544d6b478b7ba53c701
Description-en: Codec2 library development files
 Codec2 is an audio codec especially designed for compressing voice at
 very low bit-rates for applications such as digital voice radio.
 .
 This package provides the codec2 library headers and development files.

Package: libcodemodel-java
Description-md5: d5e5bf9b1ec1efe81e627d8f7e833229
Description-en: Java library for code generators
 CodeModel is a Java library for code generators; it provides a way to
 generate Java programs in a way much nicer than
 PrintStream.println(). This project is a spin-off from the JAXB
 Reference Implementation for its schema compiler to generate Java
 source files.

Package: libcodenarc-groovy-java
Description-md5: ba00ec53185bb2a943b5c25dd19e70b5
Description-en: Groovy library that provides static analysis features for Groovy code
 CodeNarc is a static analysis tool for Groovy source code,
 enabling monitoring and enforcement of many coding standards
 and best practices. CodeNarc applies a set of Rules
 (predefined and/or custom) that are applied to each Groovy
 file, and generates an HTML report of the results, including
 a list of rules violated for each source file, and a count
 of the number of violations per package and for the whole
 project.
 .
 CodeNarc is similar to popular static analysis tools such as
 PMD or Checkstyle, but is targeted at Groovy source code
 instead of Java.

Package: libcodenarc-groovy-java-doc
Description-md5: 2ec0f39c6dceac64e5d82395140c45a3
Description-en: Documentation for libcodenarc-groovy-java
 Documentation for CodeNarc that is a static analysis tool
 for Groovy source code, enabling monitoring and enforcement
 of many coding standards and best practices. CodeNarc applies
 a set of Rules (predefined and/or custom) that are applied
 to each Groovy file, and generates an HTML report of the
 results, including a list of rules violated for each source
 file, and a count of the number of violations per package
 and for the whole project.
 .
 CodeNarc is similar to popular static analysis tools such as
 PMD or Checkstyle, but is targeted at Groovy source code
 instead of Java.

Package: libcodesize-java
Description-md5: 0df30df2c09cee854a43857b2553cadd
Description-en: calculates the size of code for Robocode
 Codesize is a tool for calculating the code size of a Java classfile or Java
 archive (JAR). Code size is important to you if you want to make Robots for
 the smaller weight classes. It can be challenging, educational, frustrating or
 all at once to reduce the code to the targeted level.

Package: libcofoja-java
Description-md5: 50fd1c264dd9d78082d7c8c3b3d6912d
Description-en: Java API for annotating code with contracts
 Contracts for Java is a contract programming framework for Java, which
 uses annotation processing and bytecode instrumentation to provide
 run-time checking.
 .
 Contracts for Java enables you to annotate your code with contracts
 in the form of preconditions, postconditions and invariants.
 .
 These contract annotations are:
  - easy to write and read
  - checked at runtime
 Annotating code with contracts helps you:
  * design,
  * document,
  * test, and
  * debug
 programs.

Package: libcoin-dev
Description-md5: edc796278b5002f26e944bd20684ee3d
Description-en: high-level 3D graphics devkit with Open Inventor and VRML97 support
 Coin is an OpenGL-based, retain-mode 3D graphics library that
 implements the Open Inventor 2.1 API. It also includes support for
 VRML97 and 3D audio.

Package: libcoin-doc
Description-md5: ebaaa09372b74e58bdecbcfef7f5ce2d
Description-en: high-level 3D graphics kit with Open Inventor and VRML97 support
 API documentation for the Coin library (the Open Inventor API) in
 HTML format.
 .
 Coin is an OpenGL-based, retain-mode 3D graphics library that
 implements the Open Inventor 2.1 API. It also includes support for
 VRML97 and 3D audio.

Package: libcoin-runtime
Description-md5: 88a8608f0bcb563b643bccbcd2870a1f
Description-en: high-level 3D graphics kit - external data files
 External data files used by the Coin library at run-time.  The
 dragger geometry files represent the defaults that are used if the
 files are not found.  This package is completely optional.

Package: libcoin80c
Description-md5: 5f1bb7cd95ebfc2a1b6b4fd802528d19
Description-en: high-level 3D graphics kit implementing the Open Inventor API
 Coin is an OpenGL-based, retain-mode 3D graphics library that
 implements the Open Inventor 2.1 API. It also includes support for
 VRML97 and 3D audio.  Installing the suggested packages will enable
 extra features:
 .
     libsimage-dev enables loading of image files in SbImage
     libfreetype6 enables use of TrueType fonts
     libopenal0a   enables audio support
     zlib1g and libbz2 enable transparent read of compressed files

Package: libcojets2-dev
Description-md5: c8d03229038e701a4533e159884167c8
Description-en: [Physics] COJETS p-p and pbar-p interaction Monte Carlo
 COJETS is a Monte Carlo library which simulates pbar-p and p-p interactions at
 high energy.  The interaction process is first calculated at the parton level
 by means of perturbative QCD and the Weinberg-Salam model for electroweak
 interactions.  Partons are then independently fragmented into jets of hadrons,
 according to the Field-Feynman model, and the beam jets contribution is
 added, according to a longitudinal phase-space model.  Multiple QCD radiation
 of initial and final partons is included.
 .
 This is the static version of the library.  The package also includes
 FORTRAN header files and documentation for COJETS.

Package: libcojets2-gfortran
Description-md5: c87197f543fd7640fc74a9208c4739fd
Description-en: [Physics] COJETS p-p and pbar-p interaction Monte Carlo library
 COJETS is a Monte Carlo library which simulates pbar-p and p-p interactions at
 high energy.  The interaction process is first calculated at the parton level
 by means of perturbative QCD and the Weinberg-Salam model for electroweak
 interactions.  Partons are then independently fragmented into jets of hadrons,
 according to the Field-Feynman model, and the beam jets contribution is
 added, according to a longitudinal phase-space model.  Multiple QCD radiation
 of initial and final partons is included.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libcojets2-dev package.

Package: libcollada-dom2.4-dp-dev
Description-md5: 18ed4d49df909a2285364a36361c7f4e
Description-en: collada-dom 2.4.0 C++ headers and build tools
 The COLLADA Document Object Model (DOM) is an application programming
 interface (API) that provides a C++ object representation of a COLLADA XML
 instance document.

Package: libcollada-dom2.4-dp0
Description-md5: 3b7e7a5e0b6c85e64f9eaa577feae8d8
Description-en: collada-dom 2.4.0  - COLLADA using double precision
 The COLLADA Document Object Model (DOM) is an application programming
 interface (API) that provides a C++ object representation of a COLLADA XML
 instance document.

Package: libcollada-parser-dev
Description-md5: 587624097f4ed5b93ddcda41c187acb9
Description-en: Development files for ROS collada_parser library
 This package contains a C++ parser for the Collada robot description format.
 The parser reads a Collada XML robot description, and creates a C++ URDF
 model. Although it is possible to directly use this parser when working with
 Collada robot descriptions, the preferred user API is found in the urdf
 package.
 .
 This package contains the development files for the library.

Package: libcollada-parser1d
Description-md5: ed3225756c6c38d3d7fdf4793e903afa
Description-en: ROS collada_parser library
 This package contains a C++ parser for the Collada robot description format.
 The parser reads a Collada XML robot description, and creates a C++ URDF
 model. Although it is possible to directly use this parser when working with
 Collada robot descriptions, the preferred user API is found in the urdf
 package.
 .
 This package contains the library.

Package: libcollada-urdf-dev
Description-md5: a2fa9d1c57828259841c412aa6ddba09
Description-en: Development files for ROS collada_urdf library
 This package contains a tool to convert Unified Robot Description Format
 (URDF) documents into COLLAborative Design Activity (COLLADA) documents.
 .
 It implements robot-specific COLLADA extensions as defined by
 http://openrave.programmingvision.com/index.php/Started:COLLADA
 .
 This package contains the development files for the library.

Package: libcollada-urdf1d
Description-md5: 36c3a240abcf9e0b1ea6793c37bbcf94
Description-en: ROS collada_urdf library
 This package contains a library to convert Unified Robot Description Format
 (URDF) documents into COLLAborative Design Activity (COLLADA) documents.
 .
 It implements robot-specific COLLADA extensions as defined by
 http://openrave.programmingvision.com/index.php/Started:COLLADA
 .
 This package contains the library.

Package: libcollectdclient-dev
Description-md5: d44ea5f8057bb716907e3f9b870da882
Description-en: client library for collectd's control interface (development files)
 libcollectdclient provides an API to access the control interface provided by
 the unixsock plugin of collectd, a statistics collection and monitoring
 daemon. It can be used to access values collected by collectd or dispatch new
 values and notifications to the daemon. This allows for integration with
 other applications such as monitoring solutions.
 .
 This package contains the header files and the static library.

Package: libcollectdclient1
Description-md5: d7728be60ea0a78c5c3ec23914fc235c
Description-en: client library for collectd's control interface
 libcollectdclient provides an API to access the control interface provided by
 the unixsock plugin of collectd, a statistics collection and monitoring
 daemon. It can be used to access values collected by collectd or dispatch new
 values and notifications to the daemon. This allows for integration with
 other applications such as monitoring solutions.
 .
 This package contains the shared library.

Package: libcolor-ansi-util-perl
Description-md5: b496a1a169502db1368d729dfb028ff5
Description-en: routines for dealing with ANSI colors
 Color::ANSI::Util provides routines for dealing with ANSI colors. The two
 main functions are ansifg and ansibg. With those functions, you can specify
 colors in RGB and let it output the correct ANSI color escape code according
 to the color depth support of the terminal (whether 16-color, 256-color, or
 24bit). There are other functions to convert RGB to ANSI in specific color
 depths, or reverse functions to convert from ANSI to RGB codes.

Package: libcolor-calc-perl
Description-md5: 3533ad06672f22f7602f63480a68c2ed
Description-en: module for simple calculations with RGB colors
 Color::Calc is a Perl module that implements simple calculations with RGB
 colors. This can be used to create a full color scheme from a few colors
 and provides a variety of output styles including hexadecimal, 3-tuple as
 well as versions suitable for HTML and PDF.

Package: libcolor-library-perl
Description-md5: 30ab8e91bb71f075c2a0ccda4e948d8e
Description-en: comprehensive named-colour library
 Color::Library is a Perl module that provides a library of web (SVG, HTML
 and CSS) colours, X11 colours, Windows system palette colours, and more. It
 provides a simple way to specify colour names and retrieve the corresponding
 RGB definition in return.

Package: libcolor-palette-perl
Description-md5: d8bb7694a428d215a515f083325fcc08
Description-en: module to manipulate sets of named colours
 Color::Palette is a framework of Perl modules designed to construct and
 manipulate sets of named colours. This makes it easy to write applications
 that can define and validate the color names as required. Colours can be
 specified using a Graphics::Color object, a CSS-style hex triple (#RRGGBB),
 an arrayref of RGB values, or as the name of another colour that appears in
 the palette.

Package: libcolor-rgb-util-perl
Description-md5: 8ad766d208faf2dd92e29982fc48b004
Description-en: set of utilities related to RGB colors
 Color::RGB::Util provides routines for dealing with RGB colors.
 .
 Among others, functions are available to convert between strings and RGB
 values, or integers and RGB values, to convert from RGB to other color
 schemes, or to calculate color differences and distances.

Package: libcolor-scheme-perl
Description-md5: 35d725df3950c4ea3943e8226a608faa
Description-en: Perl module to generate pleasant color schemes
 Color::Scheme is a Perl implementation of Color Schemes 2
 (http://wellstyled.com/tools/colorscheme2/index-en.html), a color scheme
 generator.

Package: libcolorcorrect5
Description-md5: e9e5299834d00e49b98f0cc27ce7a598
Description-en: Plasma Workspace for KF5 library
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 ColorCorrect library.
 .
 This package contains library files.

Package: libcolorpicker-java
Description-md5: dc094b88c9d8e44bb5d37fe14788e109
Description-en: Java control to allow color selection
 A java package containing a color wheel picker for selecting
 a color. It can be customized, but out of the box it offers
 a great interface if you're dealing with a power user.

Package: libcolorpicker-java-doc
Description-md5: f0ed1ca2aa5199008af3d004396c34ed
Description-en: Java control to allow color selection (documentation)
 A java package containing a color wheel picker for selecting
 a color.
 .
 This package contains the documentation.

Package: libcolpack-dev
Description-md5: 3cd5fea960bce88a6ed8fe1cda3cf39c
Description-en: Specialized graph vertex coloring library, development files
 ColPack is a package comprising of implementation of algorithms for
 specialized vertex coloring problems that arise in sparse derivative
 computation.  It is written in an object-oriented fashion heavily
 using the Standard Template Library (STL).  It is designed to be
 simple, modular, extenable and efficient.  Its primary application
 has been for use in automatic differentiation.
 .
 This package contains the development library, header files, and
 documentation.

Package: libcolpack0v5
Description-md5: ce8c41393ac4ac3098ecef1288137ee9
Description-en: Specialized graph vertex coloring library, shared library
 ColPack is a package comprising of implementation of algorithms for
 specialized vertex coloring problems that arise in sparse derivative
 computation.  It is written in an object-oriented fashion heavily
 using the Standard Template Library (STL).  It is designed to be
 simple, modular, extenable and efficient.  Its primary application
 has been for use in automatic differentiation.
 .
 This package contains the runtime library.

Package: libcolt-free-java
Description-md5: 6b7deacb5ccf9bb3b52041df3f77efae
Description-en: scalable scientific and technical computing in Java
 This distribution provides an infrastructure for scalable scientific
 and technical computing in Java. It is particularly useful in the domain
 of High Energy Physics at CERN: It contains, among others, efficient and
 usable data structures and algorithms for Off-line and On-line Data
 Analysis, Linear Algebra, Multi-dimensional arrays, Statistics,
 Histogramming, Monte Carlo Simulation, Parallel & Concurrent
 Programming. It summons some of the best concepts, designs and
 implementations thought up over time by the community, ports or improves
 them and introduces new approaches where need arises. In overlapping
 areas, it is competitive or superior to toolkits such as STL, Root,
 HTL, CLHEP, TNT, GSL, C-RAND / WIN-RAND, (all C/C++) as well as  IBM
 Array,  JDK 1.2 Collections framework (all Java), in terms of
 performance, functionality and (re)usability.
 .
 Note: libcolt-free-java is a copy of libcolt-java stripped from some
 classes with a non-free license (LGPL+military exception).

Package: libcolt-free-java-doc
Description-md5: 65697765eeb840f0607a4991d09a14e8
Description-en: scalable scientific and technical computing in Java (doc)
 This distribution provides an infrastructure for scalable scientific
 and technical computing in Java. It is particularly useful in the domain
 of High Energy Physics at CERN: It contains, among others, efficient and
 usable data structures and algorithms for Off-line and On-line Data
 Analysis, Linear Algebra, Multi-dimensional arrays, Statistics,
 Histogramming, Monte Carlo Simulation, Parallel & Concurrent
 Programming. It summons some of the best concepts, designs and
 implementations thought up over time by the community, ports or improves
 them and introduces new approaches where need arises. In overlapping
 areas, it is competitive or superior to toolkits such as STL, Root,
 HTL, CLHEP, TNT, GSL, C-RAND / WIN-RAND, (all C/C++) as well as  IBM
 Array,  JDK 1.2 Collections framework (all Java), in terms of
 performance, functionality and (re)usability.
 .
 This package provides the documentation for the library
 .
 Note: libcolt-free-java is a copy of libcolt-java stripped from some
 classes with a non-free license (LGPL+military exception).

Package: libcolumbus1-common
Description-md5: 5b1a13928164c8e90dd3ac0c29030efa
Description-en: error tolerant matching engine - common files
 Libcolumbus is a search engine designed to work with unclean data.
 .
 This package contains the common files to have the library working.

Package: libcolumbus1-dev
Description-md5: c297587e723b1f50e5f866cbfb42b63d
Description-en: error tolerant matching engine - development files
 Libcolumbus is a search engine designed to work with unclean data.
 .
 This package contains files that are needed to build applications.

Package: libcolumbus1v5
Description-md5: 1d8b3c73e578079ae9f55edfd2476ba0
Description-en: error tolerant matching engine - shared library
 Libcolumbus is a search engine designed to work with unclean data.

Package: libcom-hypirion-io-clojure
Description-md5: 89533143c823992ba2c56c0dc9f43b3e
Description-en: I/O redirection, signal handling, and console utilities
 com-hypirion-io-clojure provides a set of features wrapped in Java classes:
 .
  *Pipe: Establish a link between InputStream and OutputStream, also supports
   Reader and Writer.
  *SignalInterceptor: Intercept POSIX signals before they are sent to their
   signal handlers.
  *RevivableInputStream: Allow canceling blocking read calls to streams
   without closing them.
  *ConsoleUtils: Set on/off echoing in the console.
 .
 Common usage of this library includes: asynchronous zipping of data from two
 data sources, redirecting output and input to subprocesses and loggers, and
 sending messages to threads.

Package: libcombblas-dev
Description-md5: 01092df4e8f248432b56947ace54f260
Description-en: an extensible parallel graph library for graph analytics
 The Combinatorial BLAS (CombBLAS) is an extensible distributed-memory
 parallel graph library offering a small but powerful set of linear
 algebra primitives specifically targeting graph analytics.
 .
   - The Combinatorial BLAS development influences the Graph BLAS
     standardization process.
   - It achieves scalability via its two dimensional distribution and
     coarse-grained parallelism.
   - CombBLAS powers HipMCL, a highly-scalable parallel implementation of
     the Markov Cluster Algorithm (MCL).
   - Operations among sparse matrices and vectors use arbitrary user
     defined semirings.
 .
 This package provides development files for building client
 applications against combblas.

Package: libcombblas-docs
Description-md5: 10fdb310beb6f4139d1cf4aeab6ac436
Description-en: an extensible parallel graph library for graph analytics (docs)
 The Combinatorial BLAS (CombBLAS) is an extensible distributed-memory
 parallel graph library offering a small but powerful set of linear
 algebra primitives specifically targeting graph analytics.
 .
   - The Combinatorial BLAS development influences the Graph BLAS
     standardization process.
   - It achieves scalability via its two dimensional distribution and
     coarse-grained parallelism.
   - CombBLAS powers HipMCL, a highly-scalable parallel implementation of
     the Markov Cluster Algorithm (MCL).
   - Operations among sparse matrices and vectors use arbitrary user
     defined semirings.
 .
 This package provides full HTML documentation for the CombBLAS API.

Package: libcombblas1.16.0
Description-md5: 40b7560544e0c13716535d69a7bfc105
Description-en: an extensible parallel graph library for graph analytics
 The Combinatorial BLAS (CombBLAS) is an extensible distributed-memory
 parallel graph library offering a small but powerful set of linear
 algebra primitives specifically targeting graph analytics.
 .
   - The Combinatorial BLAS development influences the Graph BLAS
     standardization process.
   - It achieves scalability via its two dimensional distribution and
     coarse-grained parallelism.
   - CombBLAS powers HipMCL, a highly-scalable parallel implementation of
     the Markov Cluster Algorithm (MCL).
   - Operations among sparse matrices and vectors use arbitrary user
     defined semirings.
 .
 This package provides the combblas shared library.

Package: libcomedi-dev
Description-md5: eed36a55678e38a314ba77313a153948
Description-en: Development library for Comedi
 Comedilib is a library for using Comedi, a driver interface for data
 acquisition hardware.
 .
 This package contains headers, static libraries, documentation, and
 examples for writing software that uses the Comedilib library.  You
 only need to install it if you plan to develop or compile software
 that uses Comedilib.

Package: libcomedi0
Description-md5: 8df438318b17e8268487ce6fef52f74e
Description-en: Library for Comedi
 Comedilib is a library for using Comedi, a driver interface for data
 acquisition hardware.  Comedi supports a wide variety of ISA and PCI
 devices that contain analog-to-digital converters, digital-to-analog
 converters, digital input/output, counters and timers.  The full list
 of support devices can be found in the libcomedi-dev documentation.
 .
 This package contains the shared library component of Comedilib.  In
 general, this package will be automatically installed as a dependency
 of application or other library packages.
 .
 In order to effectively use this package, you need to have compiled
 and installed the Comedi kernel modules.  The source for Comedi is
 available in the comedi-source package, which also contains
 instructions on how to compile and install the modules.

Package: libcomidi-clojure
Description-md5: 12e032760aff3a887db1972fade56572
Description-en: HTTP route definitions for Clojure
 Comidi is a library containing utility functions and compojure-like
 syntax-sugar wrappers around the bidi web routing library.
 It aims to provide a way to define your web routes that takes advantage of the
 strengths of both bidi and compojure:
 .
  * Route definitions are simple, composable and introspectable data structures.
  * Helper functions / macros for defining routes still provide the nice syntax
    of compojure

Package: libcomment-preprocessor-java
Description-md5: 3a414a1b782e6f2d19697de6547e8bd7
Description-en: Java Comment Preprocessor
 A Multi-pass java based preprocessor processing directives placed into
 Java comments

Package: libcommoncpp2-dev
Description-md5: 72af63f2708242c64d4914bebcfc7c60
Description-en: Header files and static libraries for Common C++ "2"
 Common C++ is a GNU package which offers portable "abstraction" of system
 services such as threads, networks, and sockets.  Common C++ also offers
 individual frameworks generally useful to developing portable C++
 applications including a object persistence engine, math libraries,
 threading, sockets, etc.  Common C++ is small, and highly portable.
 Common C++ will support most Unix operating systems as well
 as Win32, in addition to GNU/Linux.
 .
 This package contains the development files.

Package: libcommoncpp2-doc
Description-md5: a070247f62c5fe307e514c27ac2b6ab6
Description-en: Documentation files for Common C++ "2"
 Common C++ "2" is a GNU package which offers portable "abstraction"
 of system services such as threads, networks, and sockets.  Common
 C++ also offers individual frameworks generally useful to developing
 portable C++ applications including a object persistence engine, math
 libraries, threading, sockets, etc.  Common C++ is small, and highly
 portable.  Common C++ will support most Unix operating systems as
 well as Win32, in addition to GNU/Linux.
 .
 This package contains the library development documentation.

Package: libcommons-beanutils-java
Description-md5: 0a0a17bc59bed42e682ba5dbcefeb608
Description-en: Apache Commons BeanUtils - Utility for manipulating Java beans
 The BeanUtils Component contains a set of Java classes that provide
 static utility methods useful in manipulating Java classes that conform
 to the JavaBeans Specification naming patterns for bean properties in a
 dynamic fashion.

Package: libcommons-beanutils-java-doc
Description-md5: 0cf06021209afb8e0e3c95b61259f5af
Description-en: Apache Commons BeanUtils - Documentation
 The BeanUtils Component contains a set of Java classes that provide
 static utility methods useful in manipulating Java classes that conform
 to the JavaBeans Specification naming patterns for bean properties in a
 dynamic fashion.
 .
 This package contains the API documentation of libcommons-beanutils-java

Package: libcommons-cli-java
Description-md5: 0342e2b8f8f3e6825252b6c11b10bc23
Description-en: Command line arguments and options parsing library
 The Apache Commons CLI library provides an API for parsing command line options
 passed to programs. It's also able to print help messages detailing the options
 available for a command line tool.
 .
 Commons CLI supports different types of options:
 .
  * POSIX like options (ie. tar -zxvf foo.tar.gz)
  *  GNU like long options (ie. du --human-readable --max-depth=1)
  *  Java like properties (ie. java -Djava.awt.headless=true Foo)
  *  Short options with value attached (ie. gcc -O2 foo.c)
  *  long options with single hyphen (ie. ant -projecthelp)

Package: libcommons-codec-java
Description-md5: f0d1153fdd8793191c09de4105b02fc5
Description-en: encoder and decoders such as Base64 and hexadecimal codec
 The codec package contains simple encoder and decoders for various
 formats such as Base64 and Hexadecimal.  In addition to these widely
 used encoders and decoders, the codec package also maintains a
 collection of phonetic encoding utilities.
 .
 This is a part of the Apache Commons Project.

Package: libcommons-codec-java-doc
Description-md5: dc9519c04fe756132c46205b11a7b2aa
Description-en: encoder and decoders such as Base64 and hexadecimal codec - documentation
 The codec package contains simple encoder and decoders for various
 formats such as Base64 and Hexadecimal.  In addition to these widely
 used encoders and decoders, the codec package also maintains a
 collection of phonetic encoding utilities.
 .
 This is a part of the Apache Commons Project.
 .
 This package contains API Javadoc of Apache Commons Project

Package: libcommons-collections3-java
Description-md5: 4c7697aecd4b1c3a87686654049680ed
Description-en: Apache Commons Collections - Extended Collections API for Java
 The Java Collections Framework was a major addition in JDK 1.2. It added many
 powerful data structures that accelerate development of most significant Java
 applications. Since that time it has become the recognised standard for
 collection handling in Java.
 .
 Commons Collections seek to build upon the JDK classes by providing new
 interfaces, implementations and utilities. There are many features, including:
 .
  * Bag interface for collections that have a number of copies of each object
  * BidiMap interface for maps that can be looked up from value to key as well
    and key to value
  * MapIterator interface to provide simple and quick iteration over maps
  * Transforming decorators that alter each object as it is added to the
    collection
  * Composite collections that make multiple collections look like one
  * Ordered maps and sets that retain the order elements are added in,
    including an LRU based map
  * Reference map that allows keys and/or values to be garbage collected under
    close control
  * Many comparator implementations
  * Many iterator implementations
  * Adapter classes from array and enumerations to collections
  * Utilities to test or create typical set-theory properties of collections
    such as union, intersection, and closure

Package: libcommons-collections3-java-doc
Description-md5: e3f1eb5029394fb5f70496470789bb5a
Description-en: Documentation for libcommons-collections3-java
 Javadocs for libcommons-collections3-java which is a Java
 collection framework.

Package: libcommons-collections4-java
Description-md5: 141efefb1ab960f2f6d2e052c7407551
Description-en: Apache Commons Collections - Extended Collections API for Java
 The Java Collections Framework was a major addition in JDK 1.2. It added many
 powerful data structures that accelerate development of most significant Java
 applications. Since that time it has become the recognized standard for
 collection handling in Java.
 .
 Commons Collections seek to build upon the JDK classes by providing new
 interfaces, implementations and utilities. There are many features, including:
 .
  * Bag interface for collections that have a number of copies of each object
  * BidiMap interface for maps that can be looked up from value to key as well
    and key to value
  * MapIterator interface to provide simple and quick iteration over maps
  * Transforming decorators that alter each object as it is added to the
    collection
  * Composite collections that make multiple collections look like one
  * Ordered maps and sets that retain the order elements are added in,
    including an LRU based map
  * Reference map that allows keys and/or values to be garbage collected under
    close control
  * Many comparator implementations
  * Many iterator implementations
  * Adapter classes from array and enumerations to collections
  * Utilities to test or create typical set-theory properties of collections
    such as union, intersection, and closure

Package: libcommons-collections4-java-doc
Description-md5: b8a0b884055f117f06fb7d16b792c443
Description-en: Documentation for Commons Collections 4
 Commons Collections seek to build upon the JDK classes by providing new
 interfaces, implementations and utilities.
 .
 This package contains the API documentation of libcommons-collections4-java.

Package: libcommons-compress-java
Description-md5: 76de17b70e6e21e15df11e627978c90b
Description-en: Java API for working with compression and archive formats
 Apache Commons Compress defines a Java API for working with
 compression and archive formats. These include: bzip2, gzip, pack200,
 xz and ar, cpio, jar, tar, zip, dump.

Package: libcommons-configuration-java
Description-md5: b3e7a3525b15b9937537e5bbaab66c82
Description-en: Java based library providing a generic configuration interface
 Commons Configuration provides a generic configuration interface which enables
 an application to read configuration data from a variety of sources:
  - Properties files
  - XML documents
  - Windows INI files
  - Property list files (.plist)
  - JNDI
  - JDBC Datasource
  - System properties
  - Applet parameters
  - Servlet parameters
 Additional sources of configuration parameters can be created by using custom
 configuration objects.

Package: libcommons-configuration-java-doc
Description-md5: 80b2191003bef92ca038f9c6dd6b0a3c
Description-en: API Documentation for commons-configuration
 Commons Configuration provides a generic configuration interface which enables
 an application to read configuration data from a variety of sources:
  - Properties files
  - XML documents
  - Windows INI files
  - Property list files (.plist)
  - JNDI
  - JDBC Datasource
  - System properties
  - Applet parameters
  - Servlet parameters
 Additional sources of configuration parameters can be created by using custom
 configuration objects.
 .
 This package contains the API documentation for commons-configuration.

Package: libcommons-configuration2-java
Description-md5: b3e7a3525b15b9937537e5bbaab66c82
Description-en: Java based library providing a generic configuration interface
 Commons Configuration provides a generic configuration interface which enables
 an application to read configuration data from a variety of sources:
  - Properties files
  - XML documents
  - Windows INI files
  - Property list files (.plist)
  - JNDI
  - JDBC Datasource
  - System properties
  - Applet parameters
  - Servlet parameters
 Additional sources of configuration parameters can be created by using custom
 configuration objects.

Package: libcommons-configuration2-java-doc
Description-md5: f3350ba298d0bd9c235948bf4a624cb9
Description-en: API Documentation for commons-configuration2
 Commons Configuration provides a generic configuration interface which enables
 an application to read configuration data from a variety of sources:
  - Properties files
  - XML documents
  - Windows INI files
  - Property list files (.plist)
  - JNDI
  - JDBC Datasource
  - System properties
  - Applet parameters
  - Servlet parameters
 Additional sources of configuration parameters can be created by using custom
 configuration objects.
 .
 This package contains the API documentation for libcommons-configuration2-java.

Package: libcommons-csv-java
Description-md5: cccc9008743be14259431963a3f9873a
Description-en: Library for reading and writing CSV files
 The Apache Commons CSV library provides a simple interface for reading
 and writing files in variations of the Comma Separated Value (CSV) format.
 The most common CSV formats are supported (RFC 4180, Excel, MySQL, TDF)
 and custom formats can be handled using a fluent style API.

Package: libcommons-daemon-java
Description-md5: ddd3e914b36183d23b4bdb154a870655
Description-en: Library to launch Java applications as daemons
 The Daemon package from Apache Commons can be used to implement Java
 applications which can be launched as daemons. For example the
 program will be notified about a shutdown so that it can perform
 cleanup tasks before its process of execution is destroyed by the
 operation system.
 .
 This package contains the java library. You will also need the actual
 binary for your architecture which is provided by the jsvc package.

Package: libcommons-dbcp-java
Description-md5: f2f7170da4a16dd656c8f4ad419adda9
Description-en: Database Connection Pooling Services
 The DBCP package provides database connection pooling services. The
 following features are supported
 .
  * DataSource and Driver interfaces to the pool.
  * Support for arbitrary sources of the underlying Connections.
  * Integration with arbitrary org.apache.commons.pool.ObjectPool
    implementations.
  * Support for Connection validation, expiration, etc.
  * Support for PreparedStatement pooling.
  * XML configuration.
 .
 This is a part of the Apache Commons Project.

Package: libcommons-dbcp-java-doc
Description-md5: dd1ca148947c77791a02e1532682c186
Description-en: Database Connection Pooling Services - documentation
 The DBCP package provides database connection pooling services. The
 following features are supported
 .
  * DataSource and Driver interfaces to the pool.
  * Support for arbitrary sources of the underlying Connections.
  * Integration with arbitrary org.apache.commons.pool.ObjectPool
    implementations.
  * Support for Connection validation, expiration, etc.
  * Support for PreparedStatement pooling.
  * XML configuration.
 .
 This is a part of the Apache Commons Project.
 .
 This package contains Javadoc API Documentation of Commons DBCP.

Package: libcommons-dbcp2-java
Description-md5: d579fc5f5cdcd9d3893ae22e5f6eed3d
Description-en: Database Connection Pooling Services 2
 The DBCP package provides database connection pooling services. The
 following features are supported
 .
  * DataSource and Driver interfaces to the pool.
  * Support for arbitrary sources of the underlying Connections.
  * Integration with arbitrary org.apache.commons.pool.ObjectPool
    implementations.
  * Support for Connection validation, expiration, etc.
  * Support for PreparedStatement pooling.
  * XML configuration.
 .
 This package contains the version 2 series.

Package: libcommons-digester-java
Description-md5: d2060d4eb9d02dd1b21d31a4547df6c7
Description-en: Rule based XML Java object mapping tool
 Commons-Digester converts XML to a Java object by a set of mapping
 rules. A rich set of predefined rules is available for your use. You
 can also create your own rules.

Package: libcommons-digester-java-doc
Description-md5: 1b66198debf4c1aad78af36ff00ed28d
Description-en: Rule based XML Java object mapping tool (documentation)
 Commons-Digester converts XML to a Java object by a set of mapping
 rules. A rich set of predefined rules is available for your use. You
 can also create your own rules.
 .
 This package contains the documentation.

Package: libcommons-discovery-java
Description-md5: 69f1a9fb849fb52e7b308ae88d6f0a15
Description-en: locates classes that implement a given Java interface
 The Discovery Component is about discovering, or finding,
 implementations for pluggable interfaces. It provides facilities
 instantiating classes in general, and for lifecycle management of
 singleton (factory) classes.
 .
 Fundamentally, Discovery locates classes that implement a given Java
 interface. The discovery pattern, though not necessarily this package,
 is used in many projects including JAXP (SaxParserFactory and others)
 and commons-logging (LogFactory). By extracting this pattern, other
 projects can (re)use it and take advantage of improvements to the
 pattern as Discovery evolves.
 .
 Discovery improves over previous implementations by establishing
 facilities for working within managed environments. These allow
 configuration and property overrides without appealing to the global
 System properties (which are scoped across an entire JVM).

Package: libcommons-discovery-java-doc
Description-md5: 1b7d1eb8be973ccc608a24f05de5fd25
Description-en: locates classes that implement a given Java interface (documentation)
 The Discovery Component is about discovering, or finding,
 implementations for pluggable interfaces. It provides facilities
 instantiating classes in general, and for lifecycle management of
 singleton (factory) classes.
 .
 Fundamentally, Discovery locates classes that implement a given Java
 interface. The discovery pattern, though not necessarily this package,
 is used in many projects including JAXP (SaxParserFactory and others)
 and commons-logging (LogFactory). By extracting this pattern, other
 projects can (re)use it and take advantage of improvements to the
 pattern as Discovery evolves.
 .
 Discovery improves over previous implementations by establishing
 facilities for working within managed environments. These allow
 configuration and property overrides without appealing to the global
 System properties (which are scoped across an entire JVM).
 .
 This package contains the documentation.

Package: libcommons-el-java
Description-md5: 01e0d5afdf101e15eeadc484d3165c41
Description-en: Implementation of the JSP 2.0 Expression Language interpreter
 The JSP 2.0 specification provides a portable API for evaluating "EL
 Expressions": The classes and interfaces in the javax.servlet.jsp.el
 package describe and define programmatic access to the Expression
 Language evaluator. This package is an implementation of the API.

Package: libcommons-email-java
Description-md5: f145a2202182fca92b838061eb7089dd
Description-en: Apache Commons Java API for sending email
 Commons Email aims to provide a API for sending email. It is built on top of
 the Java Mail API, which it aims to simplify.
 .
 Some of the mail classes that are provided are as follows:
 .
  *  SimpleEmail - This class is used to send basic text based emails.
  *  MultiPartEmail - This class is used to send multipart messages. This allows
     a text message with attachments either inline or attached.
  *  HtmlEmail - This class is used to send HTML formatted emails. It has all of
     the capabilities as MultiPartEmail allowing attachments to be easily added.
     It also supports embedded images.
  *  ImageHtmlEmail - This class is used to send HTML formatted emails with
     inline images. It has all of the capabilities as HtmlEmail but transform
     all image references to inline images.
  *  EmailAttachment - This is a simple container class to allow for easy
     handling of attachments. It is for use with instances of MultiPartEmail and
     HtmlEmail.

Package: libcommons-exec-java
Description-md5: 6266048c286f13128004a19abae85f72
Description-en: Java library to reliably execute external processes from within the JVM
 Executing external processes from Java is a well-known problem
 area. It is inherently platform dependent and requires the developer
 to know and test for platform specific behaviors, for example
 using cmd.exe on Windows or limited buffer sizes causing deadlocks.
 The JRE support for this is very limited, albeit better with the
 new Java SE 1.5 ProcessBuilder class.
 .
 Reliably executing external processes can also require knowledge of
 the environment variables before or after the command is executed. In
 J2SE 1.1-1.4 there is no support for this, since the method,
 System.getenv(), for retrieving environment variables is deprecated.
 .
 There are currently several different libraries that for their own
 purposes have implemented frameworks around Runtime.exec() to handle
 the various issues outlined above. The commons-exec package aims
 at coordinating and learning from these initiatives to create and
 maintain a simple, reusable and well-tested package.

Package: libcommons-fileupload-java
Description-md5: 5cb492a84962ec30c4c01c8eca9eae51
Description-en: File upload capability to your servlets and web applications
 The Commons FileUpload package makes it easy to add robust, high-performance,
 file upload capability to your servlets and web applications.
 .
 FileUpload parses HTTP requests which conform to RFC 1867, "Form-based File
 Upload in HTML". That is, if an HTTP request is submitted using the POST
 method, and with a content type of "multipart/form-data", then FileUpload
 can parse that request, and make the results available in a manner easily
 used by the caller.

Package: libcommons-fileupload-java-doc
Description-md5: a4f0bfe5d80ca19aed74b668a444c27e
Description-en: Javadoc API documentation for Commons FileUploads
 FileUpload parses HTTP requests which conform to RFC 1867, "Form-based File
 Upload in HTML". That is, if an HTTP request is submitted using the POST
 method, and with a content type of "multipart/form-data", then FileUpload
 can parse that request, and make the results available in a manner easily
 used by the caller.
 .
 This package contains Javadoc API documentation for Commons FileUpload.

Package: libcommons-httpclient-java
Description-md5: 36b8cfe2b4587605173c49e5d49060b6
Description-en: Commons HTTPClient - Java library for creating HTTP clients
 The Jakarta Commons HTTPClient library provides an efficient,
 up-to-date, and feature-rich package implementing the client side of
 the most recent HTTP standards and recommendations.

Package: libcommons-httpclient-java-doc
Description-md5: 1070d64e1758482d619f5f0747f7157a
Description-en: Documentation for libcommons-httpclient-java
 The Jakarta Commons HTTPClient library provides an efficient,
 up-to-date, and feature-rich package implementing the client side of
 the most recent HTTP standards and recommendations.
 .
 This package contains the documentation for the Jakarta Commons
 HTTPClient library.

Package: libcommons-io-java
Description-md5: ab9a7ea305f82fb4e55b875ca4cdebe8
Description-en: Common useful IO related classes
 Commons-IO contains utility classes, stream implementations, file filters and
 endian classes.

Package: libcommons-io-java-doc
Description-md5: 4208986ef444c6a3799df296be1e7b40
Description-en: Common useful IO related classes - documentation
 Commons-IO contains utility classes, stream implementations, file filters and
 endian classes.
 .
 This package provides the API documentation for the Commons-IO library.

Package: libcommons-jci-eclipse-java
Description-md5: a51f91e43f7443c56896bdb400619248
Description-en: common Java interface for various compilers - Eclipse JDT
 Commons JCI is a common Java compiler interface for various compilers.
 .
 It can be used to either compile Java (or any other language that can be
 compiled to Java classes like e.g. Groovy or Javascript) to Java.
 .
 It is integrated with a Java FAM (Filesystem Alteration Monitor)
 that can be used with JCI compiling/reloading classloader.
 .
 This package contains Eclipse JDT compiler module of Commons JCI.

Package: libcommons-jci-groovy-java
Description-md5: c33cd4dbe02072159d7d7ddee0b8fbbe
Description-en: common Java interface for various compilers - Groovy
 Commons JCI is a common Java compiler interface for various compilers.
 .
 It can be used to either compile Java (or any other language that can be
 compiled to Java classes like e.g. Groovy or Javascript) to Java.
 .
 It is integrated with a Java FAM (Filesystem Alteration Monitor)
 that can be used with JCI compiling/reloading classloader.
 .
 This package contains Groovy compiler module of Commons JCI.

Package: libcommons-jci-janino-java
Description-md5: 22947dd6cc5216eb8b7ccfe05e9a3fd9
Description-en: common Java interface for various compilers - Janino
 Commons JCI is a common Java compiler interface for various compilers.
 .
 It can be used to either compile Java (or any other language that can be
 compiled to Java classes like e.g. Groovy or Javascript) to Java.
 .
 It is integrated with a Java FAM (Filesystem Alteration Monitor)
 that can be used with JCI compiling/reloading classloader.
 .
 This package contains Janino compiler module of Commons JCI.

Package: libcommons-jci-java
Description-md5: 1b1ec05e3b9196909504609839b5e08f
Description-en: common Java interface for various compilers - Core and FAM
 Commons JCI is a common Java compiler interface for various compilers.
 .
 It can be used to either compile Java (or any other language that can be
 compiled to Java classes like e.g. Groovy or Javascript) to Java.
 .
 It is integrated with a Java FAM (Filesystem Alteration Monitor)
 that can be used with JCI compiling/reloading classloader.
 .
 This package contains FAM and Core module of Commons JCI.

Package: libcommons-jci-java-doc
Description-md5: 177cf5949c92ae0feabc30dac2777ba9
Description-en: common Java interface for various compilers - documentation
 Commons JCI is a common Java compiler interface for various compilers.
 .
 It can be used to either compile Java (or any other language that can be
 compiled to Java classes like e.g. Groovy or Javascript) to Java.
 .
 It is integrated with a Java FAM (Filesystem Alteration Monitor)
 that can be used with JCI compiling/reloading classloader.
 .
 This package contains Javadoc API of Commons JCI.

Package: libcommons-jci-rhino-java
Description-md5: 391ec1bd45235733ec55ff8dfd0d3e93
Description-en: common Java interface for various compilers - Javascript
 Commons JCI is a common Java compiler interface for various compilers.
 .
 It can be used to either compile Java (or any other language that can be
 compiled to Java classes like e.g. Groovy or Javascript) to Java.
 .
 It is integrated with a Java FAM (Filesystem Alteration Monitor)
 that can be used with JCI compiling/reloading classloader.
 .
 This package contains Rhino compiler (Javascript) module of Commons JCI.

Package: libcommons-jexl-java
Description-md5: 7a7af0822a796a7414ecdffca8d80d32
Description-en: expression language engine
 This library is an expression language engine for easy embedding in
 applications and frameworks. It implements an extented version of the
 Expression Language of the JSTL (Java Standard Tag Library, java server
 related technology).

Package: libcommons-jexl2-java
Description-md5: 5599e22d02f6c0e4b475f27e57ba252d
Description-en: Apache Commons JEXL - Java Expression Language
 JEXL is a library intended to facilitate the implementation of dynamic and
 scripting features in applications and frameworks written in Java.
 .
 JEXL implements an Expression Language based on some extensions to the JSTL
 Expression Language supporting most of the constructs seen in shell-script
 or ECMAScript.

Package: libcommons-jexl2-java-doc
Description-md5: f6610719143d5af4f4378fe0d15dbdb4
Description-en: Documentation for Apache Commons JEXL
 The Commons JEXL library is an implementation of the JSTL Expression Language
 with extensions.
 .
 This package contains the API documentation of libcommons-jexl2-java.

Package: libcommons-jexl3-java
Description-md5: 5599e22d02f6c0e4b475f27e57ba252d
Description-en: Apache Commons JEXL - Java Expression Language
 JEXL is a library intended to facilitate the implementation of dynamic and
 scripting features in applications and frameworks written in Java.
 .
 JEXL implements an Expression Language based on some extensions to the JSTL
 Expression Language supporting most of the constructs seen in shell-script
 or ECMAScript.

Package: libcommons-jxpath-java
Description-md5: 2eb35c22f7dd621e99e0b7dddbcfe7be
Description-en: Apache Commons JXPath - XPath expressions applied to Java objects
 This library provides the Java developer the ability to manipulate
 javabeans with the XPath syntax. It also supports Java collections,
 objects with dynamic properties (like Map, ServletContext, etc)
 and mechanism for adding support for additional models.
 .
 One of the most important feature of the component is the
 unification of reference syntax between DOM and Java.

Package: libcommons-jxpath-java-doc
Description-md5: 8a51a069f4266e917aa8539acedd1c3b
Description-en: Javadoc API for libcommons-jxpath-java
 JXPath applies XPath expressions to graphs of objects of all kinds:
 JavaBeans, Maps, Servlet contexts, DOM etc, including mixtures thereof.
 .
 This package contains the Javadoc API for libcommons-jxpath-java.

Package: libcommons-lang-java
Description-md5: 388ede790b35f9eb3fb76d9002926cd2
Description-en: Commons Lang - an extension of the java.lang package
 The Lang Component contains a set of Java classes that provide helper
 methods for standard Java classes, especially those found in the
 java.lang package in the Sun JDK. The following classes are included:
 .
  * StringUtils - Helper for java.lang.String.
  * CharSetUtils - Methods for dealing with CharSets, which are sets of
    characters such as [a-z] and [abcdez].
  * RandomStringUtils - Helper for creating randomised Strings.
  * NumberUtils - Helper for java.lang.Number and its subclasses.
  * NumberRange - A range of numbers with an upper and lower bound.
  * ObjectUtils - Helper for java.lang.Object.
  * SerializationUtils - Helper for serializing Objects.
  * SystemUtils - Utility class defining the Java system properties.
  * NestedException package - A sub-package for the creation of nested
    exceptions.
  * Enum package - A sub-package for the creation of enumerated types.
  * Builder package - A sub-package for the creation of equals, hashCode,
    compareTo and toString methods.

Package: libcommons-lang-java-doc
Description-md5: 9c83238424f036eff838ce1ee01c3d3a
Description-en: Documentation for Commons Lang - an extension of the java.lang package
 The Lang Component contains a set of Java classes that provide helper
 methods for standard Java classes, especially those found in the
 java.lang package in the Sun JDK. The following classes are included:
 .
  * StringUtils - Helper for java.lang.String.
  * CharSetUtils - Methods for dealing with CharSets, which are sets of
    characters such as [a-z] and [abcdez].
  * RandomStringUtils - Helper for creating randomised Strings.
  * NumberUtils - Helper for java.lang.Number and its subclasses.
  * NumberRange - A range of numbers with an upper and lower bound.
  * ObjectUtils - Helper for java.lang.Object.
  * SerializationUtils - Helper for serializing Objects.
  * SystemUtils - Utility class defining the Java system properties.
  * NestedException package - A sub-package for the creation of nested
    exceptions.
  * Enum package - A sub-package for the creation of enumerated types.
  * Builder package - A sub-package for the creation of equals, hashCode,
    compareTo and toString methods.
 .
 This package contains the API documentation of libcommons-lang-java

Package: libcommons-lang3-java
Description-md5: d471bd30add9658db6186b5f3d60db27
Description-en: Apache Commons Lang utility classes
 Apache Commons Lang is a package of Java utility classes for the classes
 that are in java.lang's hierarchy, or are considered to be so standard
 as to justify existence in java.lang.
 .
 The standard Java libraries fail to provide enough methods for manipulation
 of its core classes. Apache Commons Lang provides these extra methods.
 .
 Apache Commons Lang provides a host of helper utilities for the java.lang API,
 notably String manipulation methods, basic numerical methods, object
 reflection, concurrency, creation and serialization and System properties.
 Additionally it contains basic enhancements to java.util.Date and a series
 of utilities dedicated to help with building methods, such as hashCode,
 toString and equals.

Package: libcommons-lang3-java-doc
Description-md5: a8b5251f1adbfddbcf850e0aa93cc1f1
Description-en: Apache Commons Lang utility classes (documentation)
 Apache Commons Lang is a package of Java utility classes for the classes
 that are in java.lang's hierarchy, or are considered to be so standard
 as to justify existence in java.lang.
 .
 The standard Java libraries fail to provide enough methods for manipulation
 of its core classes. Apache Commons Lang provides these extra methods.
 .
 Apache Commons Lang provides a host of helper utilities for the java.lang API,
 notably String manipulation methods, basic numerical methods, object
 reflection, concurrency, creation and serialization and System properties.
 Additionally it contains basic enhancements to java.util.Date and a series
 of utilities dedicated to help with building methods, such as hashCode,
 toString and equals.
 .
 This package contains the API documentation of libcommons-lang3-java.

Package: libcommons-logging-java
Description-md5: 73a7ea23210de9009f87c35d126ccfbd
Description-en: common wrapper interface for several logging APIs
 Provides a simple, component oriented interface together with wrappers for
 several existing java logging systems. The user can choose at runtime which
 system he want to use for logging.

Package: libcommons-logging-java-doc
Description-md5: 53cdcf907ecf9a99d6246542131d7037
Description-en: common wrapper interface for several logging APIs (documentation)
 Provides a simple, component oriented interface together with wrappers for
 several existing java logging systems. The user can choose at runtime which
 system he want to use for logging.
 .
 This package contains the documentation.

Package: libcommons-math-java
Description-md5: 82967a036975d8f7b19b0e8fcbbced7e
Description-en: Java lightweight mathematics and statistics components
 Commons Math is a Java library of lightweight, self-contained mathematics
 and statistics components.
 .
 Commons Math is made up of a small set of math/stat utilities addressing
 programming problems like the ones in the list below:
  - Computing means, variances and other summary statistics for a list of
  numbers
  - Fitting a line to a set of data points using linear regression
  - Finding a smooth curve that passes through a collection of points
  (interpolation)
  - Fitting a parametric model to a set of measurements using least-squares
  methods
  - Solving equations involving real-valued functions (i.e. root-finding)
  - Solving systems of linear equations
  - Solving Ordinary Differential Equations
  - Minimizing multi-dimensional functions
  - Generating random numbers with more restrictions (e.g distribution, range)
  than what is possible using the JDK
  - Generating random samples and/or datasets that are "like" the data in an
  input file
  - Performing statistical significance tests
  - Miscellaneous mathematical functions such as factorials, binomial
  coefficients and "special functions" (e.g. gamma, beta functions)
 .
 This is a part of the Apache Commons Project.

Package: libcommons-math-java-doc
Description-md5: 0f1ee1a8f50c7203dc3ef1246c19c060
Description-en: Java lightweight mathematics and statistics components - documentation
 Commons Math is a Java library of lightweight, self-contained mathematics
 and statistics components.
 .
 Commons Math is made up of a small set of math/stat utilities addressing
 programming problems like the ones in the list below:
  - Computing means, variances and other summary statistics for a list of
  numbers
  - Fitting a line to a set of data points using linear regression
  - Finding a smooth curve that passes through a collection of points
  (interpolation)
  - Fitting a parametric model to a set of measurements using least-squares
  methods
  - Solving equations involving real-valued functions (i.e. root-finding)
  - Solving systems of linear equations
  - Solving Ordinary Differential Equations
  - Minimizing multi-dimensional functions
  - Generating random numbers with more restrictions (e.g distribution, range)
  than what is possible using the JDK
  - Generating random samples and/or datasets that are "like" the data in an
  input file
  - Performing statistical significance tests
  - Miscellaneous mathematical functions such as factorials, binomial
  coefficients and "special functions" (e.g. gamma, beta functions)
 .
 This is a part of the Apache Commons Project.
 .
 This package provides the API documentation for Commons Math.

Package: libcommons-math3-java
Description-md5: 82967a036975d8f7b19b0e8fcbbced7e
Description-en: Java lightweight mathematics and statistics components
 Commons Math is a Java library of lightweight, self-contained mathematics
 and statistics components.
 .
 Commons Math is made up of a small set of math/stat utilities addressing
 programming problems like the ones in the list below:
  - Computing means, variances and other summary statistics for a list of
  numbers
  - Fitting a line to a set of data points using linear regression
  - Finding a smooth curve that passes through a collection of points
  (interpolation)
  - Fitting a parametric model to a set of measurements using least-squares
  methods
  - Solving equations involving real-valued functions (i.e. root-finding)
  - Solving systems of linear equations
  - Solving Ordinary Differential Equations
  - Minimizing multi-dimensional functions
  - Generating random numbers with more restrictions (e.g distribution, range)
  than what is possible using the JDK
  - Generating random samples and/or datasets that are "like" the data in an
  input file
  - Performing statistical significance tests
  - Miscellaneous mathematical functions such as factorials, binomial
  coefficients and "special functions" (e.g. gamma, beta functions)
 .
 This is a part of the Apache Commons Project.

Package: libcommons-math3-java-doc
Description-md5: 0f1ee1a8f50c7203dc3ef1246c19c060
Description-en: Java lightweight mathematics and statistics components - documentation
 Commons Math is a Java library of lightweight, self-contained mathematics
 and statistics components.
 .
 Commons Math is made up of a small set of math/stat utilities addressing
 programming problems like the ones in the list below:
  - Computing means, variances and other summary statistics for a list of
  numbers
  - Fitting a line to a set of data points using linear regression
  - Finding a smooth curve that passes through a collection of points
  (interpolation)
  - Fitting a parametric model to a set of measurements using least-squares
  methods
  - Solving equations involving real-valued functions (i.e. root-finding)
  - Solving systems of linear equations
  - Solving Ordinary Differential Equations
  - Minimizing multi-dimensional functions
  - Generating random numbers with more restrictions (e.g distribution, range)
  than what is possible using the JDK
  - Generating random samples and/or datasets that are "like" the data in an
  input file
  - Performing statistical significance tests
  - Miscellaneous mathematical functions such as factorials, binomial
  coefficients and "special functions" (e.g. gamma, beta functions)
 .
 This is a part of the Apache Commons Project.
 .
 This package provides the API documentation for Commons Math.

Package: libcommons-net-java
Description-md5: 17f2b226d0c52354a61f4992bc295d90
Description-en: Apache Commons Net - Java client API for basic Internet protocols
 The Apache Commons Net library implements the client side of many basic
 Internet protocols. The purpose of the library is to provide fundamental
 protocol access, not higher-level abstractions. Therefore, some of the
 design violates object-oriented design principles. The philosophy is to
 make the global functionality of a protocol accessible (e.g., TFTP send
 file and receive file) when possible, but also provide access to the
 fundamental protocols where applicable so that a programmer may construct
 his own custom implementations (e.g, the TFTP packet classes and the TFTP
 packet send and receive methods are exposed).
 .
 The supported protocols include:
  * FTP/FTPS
  * FTP over HTTP (experimental)
  * NNTP
  * SMTP(S)
  * POP3(S)
  * IMAP(S)
  * Telnet
  * TFTP
  * Finger
  * Whois
  * rexec/rcmd/rlogin
  * Time (rdate) and Daytime
  * Echo
  * Discard
  * NTP/SNTP

Package: libcommons-net-java-doc
Description-md5: 05442f2240b479256b16e4a9ecf8ad5d
Description-en: Apache Commons Net (API documentation)
 The Apache Commons Net library implements the client side of many basic
 Internet protocols. The purpose of the library is to provide fundamental
 protocol access, not higher-level abstractions. Therefore, some of the
 design violates object-oriented design principles. The philosophy is to
 make the global functionality of a protocol accessible (e.g., TFTP send
 file and receive file) when possible, but also provide access to the
 fundamental protocols where applicable so that a programmer may construct
 his own custom implementations (e.g, the TFTP packet classes and the TFTP
 packet send and receive methods are exposed).
 .
 This package contains the API documentation for libcommons-net-java.

Package: libcommons-parent-java
Description-md5: d0073f82178a5a011e65b320564c5b57
Description-en: Maven metadata for Apache Commons project
 This package contains the Maven metadata shared between all components of
 the Apache Commons project.

Package: libcommons-pool-java
Description-md5: 3a9df963586886381885c548196b37ea
Description-en: pooling implementation for Java objects
 Pool provides an Object-pooling API, with three major aspects:
  1. A generic object pool interface that clients and implementors can use to
     provide easily interchangeable pooling implementations.
  2. A toolkit for creating modular object pools.
  3. Several general purpose pool implementations.

Package: libcommons-pool-java-doc
Description-md5: b5d4dff62691b14e441858a4f24575b5
Description-en: pooling implementation for Java objects - documentation
 Pool provides an Object-pooling API, with three major aspects:
  1. A generic object pool interface that clients and implementors can use to
     provide easily interchangeable pooling implementations.
  2. A toolkit for creating modular object pools.
  3. Several general purpose pool implementations.
 .
 This package contains Javadoc API Documentation of Commons Pool.

Package: libcommons-pool2-java
Description-md5: 0c91cfe25d1ac0ae1a9b252e654b75f8
Description-en: Apache Commons Pool 2 - Pooling implementation for Java objects
 The Apache Commons Pool library provides an object-pooling API and a number
 of object pool implementations. Version 2 of Apache Commons Pool contains
 a completely re-written pooling implementation compared to the 1.x series.
 In addition to performance and scalability improvements, version 2 includes
 robust instance tracking and pool monitoring. Version 2 requires Java 6
 or higher.

Package: libcommons-text-java
Description-md5: 1210256d1bce63f648a79ec7a29fa1c7
Description-en: Apache Commons Text
 Library focused on algorithms working on strings.

Package: libcommons-validator-java
Description-md5: b89a3b120fdd1c321fea7e370299a9d4
Description-en: ease and speed development and maintenance of validation rules
 The Commons Validator is a basic validation framework
 that lets you define validation rules for a JavaBean
 in an xml file.
 .
 Validation rules can be defined in an xml file which
 keeps them abstracted from JavaBean you are validating.

Package: libcommons-validator-java-doc
Description-md5: 8c0591d05b1eda73a932e2453a8d1476
Description-en: API documentation for Commons Validator
 The Commons Validator is a basic validation framework
 that lets you define validation rules for a JavaBean
 in an xml file.
 .
 Validation rules can be defined in an xml file which
 keeps them abstracted from JavaBean you are validating.
 .
 This package contains the API documentation for libcommons-validator-java.

Package: libcommons-vfs-java
Description-md5: 8f23be4ffb3c69c4e3bb95bf6387e149
Description-en: Java API for accessing various filesystems
 Commons VFS provides a single API for accessing various different file
 systems. It presents a uniform view of the files from various different
 sources, such as the files on local disk, on an HTTP server, or inside
 a Zip archive.
 .
 This is a part of the Apache Commons Project.

Package: libcommons-vfs-java-doc
Description-md5: 5d7572cd48b7c03c4eb03d3cd10b5a49
Description-en: Java API for accessing various filesystems - documentation
 Commons VFS provides a single API for accessing various different file
 systems. It presents a uniform view of the files from various different
 sources, such as the files on local disk, on an HTTP server, or inside
 a Zip archive.
 .
 This is a part of the Apache Commons Project.
 .
 This package provides the API documentation for Commons VFS.

Package: libcompfaceg1
Description-md5: 3d764e5fcc74c7388ed0e59afecffa9b
Description-en: Compress/decompress images for mailheaders, libc6 runtime
 Converts 48x48 .xbm format (X bitmap) files to a compressed format
 that can be placed in your X-Face: mail header. Some mailreaders,
 like exmh will then display this image when the user is reading your mail.

Package: libcompfaceg1-dev
Description-md5: 70f233b96ebb4250ef9f5b1c3d2d5090
Description-en: Compress/decompress images for mailheaders, libc6 devel
 Converts 48x48 .xbm format (X bitmap) files to a compressed format
 that can be placed in your X-Face: mail header. Some mailreaders,
 like exmh will then display this image when the user is reading your mail.

Package: libcompile-command-annotations-java
Description-md5: 34c67da02c46e34ba6ed13df2137ec07
Description-en: annotation based configuration file generator for the Hotspot JVM JIT compiler
 The Hotspot JVM allows one to provide a command file for the JIT compiler.
 Using this project, one can generate this file automatically from annotations
 in the source code.

Package: libcompizconfig0
Description-md5: 9b1501bf431a077bb890354ada003ea2
Description-en: Settings library for plugins - OpenCompositing Project
 The OpenCompositing Project brings 3D desktop visual effects that improve
 usability of the X Window System and provide increased productivity
 through plugins and themes contributed by the community giving a
 rich desktop experience.
 .
 This package contains the library for plugins to configure settings.

Package: libcompizconfig0-dev
Description-md5: 61d1c40aa4308fbedaf76a0b0daed3ed
Description-en: Development file for plugin settings - OpenCompositing Project
 The OpenCompositing Project brings 3D desktop visual effects that improve
 usability of the X Window System and provide increased productivity
 through plugins and themes contributed by the community giving a
 rich desktop experience.
 .
 This package contains the headers and development files needed to
 build plugins with settings support.

Package: libcomplete-clojure
Description-md5: 83bb421415a62e7975a258974ce0d115
Description-en: completion of Clojure symbols
 complete-clojure supports completions for Clojure namespaces, var names, class
 names, special forms, Java methods, static members of classes, file paths, and
 other symbols.

Package: libcompojure-clojure
Description-md5: 944a4714327cf87c28a02d7bb7e8f545
Description-en: consice routing library for Ring
 Compojure is a small routing library for Ring that allows web
 applications to be composed of small, independent parts.

Package: libcompress-bzip2-perl
Description-md5: 6434664d8f63ecd21e4622c606406aad
Description-en: Perl interface to Bzip2 compression library
 The Compress::Bzip2 module provides a Perl interface to the Bzip2
 compression library, a relevant subset of the functionality provided
 by Bzip2  is available in Compress::Bzip2.
 .
 The module can be split into two general areas of functionality, namely
 in-memory compression/decompression and read/write access to bzip2
 files.

Package: libcompress-lz4-perl
Description-md5: a7f7c4bf8e5bce60f350a9001776bc3f
Description-en: Perl interface to the LZ4 (de)compressor
 The Compress::LZ4 module provides an interface to the LZ4 (de)compressor.
 .
 Besides functions for compression, high-compression, and decompression, it
 also includes compatibility functions for the same purposes to handle raw
 data in the official frame format.

Package: libcompress-lzf-java
Description-md5: b48fd62d97d245c2fa417f5dde709b3a
Description-en: Java library for encoding and decoding data in LZF format
 Compression codec for LZF encoding for particularly
 encoding/decoding, with reasonable compression. Compressor is basic
 Lempel-Ziv codec, without Huffman (deflate/gzip) or statistical
 post-encoding.

Package: libcompress-raw-bzip2-perl
Description-md5: 9566e6140732ea913b5cbb2cd5e8d8ae
Description-en: low-level interface to bzip2 compression library
 Compress::Raw::Bzip2 provides a low-level interface to bzip2 compression
 library for perl.
 .
 Usually, you don't need to use this directly;  modules like
 IO::Compress::Bzip2 in the libio-compress-perl package provide a nice,
 more high-level interface for you in your own perl programs.

Package: libcompress-raw-lzma-perl
Description-md5: eaf2ce106eaf1943e966feabc9ee6573
Description-en: low-level interface to lzma compression library
 Compress::Raw::Lzma provides a low-level Perl interface for reading and
 writing of lzma and xz files/buffers.
 .
 Although the primary purpose for the existence of Compress::Raw::Lzma is for
 use by the IO::Compress::Lzma, IO::Uncompress::UnLzma, IO::Compress::Xz and
 IO::Uncompress::UnXz modules (packaged as libio-compress-lzma-perl), it can
 be used on its own for simple compression/uncompression tasks.

Package: libcompress-raw-zlib-perl
Description-md5: 78fa58999816b802a5c29de4d65f94f5
Description-en: low-level interface to zlib compression library
 Compress::Raw::Zlib is a Perl module that provides low-level interface to the
 zlib compression library. It provides the core compression and decompression
 functionality useful for supporting other higher-level modules. Other modules
 provide support for file and stream compression.

Package: libcompress-snappy-perl
Description-md5: 265245e7b4f35cbce115189f87441ff0
Description-en: Perl interface to Google's Snappy (de)compressor
 The Compress::Snappy module provides an interface to Google's Snappy
 (de)compressor.
 .
 Snappy does not aim for maximum compression, or compatibility with any other
 compression library; instead, it aims for very high speeds and reasonable
 compression. For instance, compared to the fastest mode of zlib, Snappy is an
 order of magnitude faster for most inputs, but the resulting compressed files
 are anywhere from 20% to 100% bigger.

Package: libconcord-dev
Description-md5: 6d8b757f6f44515043d0f4b10cee6aec
Description-en: configuration tool for Harmony remotes - development files
 The Logitech Harmony is a highly configurable universal IR remote control
 compatible with most multimedia devices. The concordance software suite
 allows you to program such remotes using a configuration object retrieved
 from the harmonyremote.com website.
 .
 This package contains the development files for the concordance framework.

Package: libconcord5
Description-md5: a2c9d19f43187f869b62530eb0dc4791
Description-en: configuration tool for Harmony remotes - runtime libraries
 The Logitech Harmony is a highly configurable universal IR remote control
 compatible with most multimedia devices. The concordance software suite
 allows you to program such remotes using a configuration object retrieved
 from the harmonyremote.com website.
 .
 This package contains the C libraries for the concordance framework.

Package: libconcurrent-java
Description-md5: 7d7e9ed9166c59a6bbc9b7af7e16e6e1
Description-en: utility classes for concurrent java programming
 The concurrent java library provides standardized, efficient versions of
 utility classes commonly encountered in concurrent Java programming.
 Discussions of the rationale and applications of several of these classes
 can be found in the second edition of Concurrent Programming in Java.
 .
 The package mainly consists of implementations of a few interfaces:
 .
  * Sync -- locks, conditions
  * Channel -- queues, buffers
  * Barrier -- multi-party synchronization
  * SynchronizedVariable -- atomic ints, refs etc
  * java.util.Collection -- collections
  * Executor -- replacements for direct use of Thread

Package: libconcurrent-java-doc
Description-md5: 047da8892277036f4f999d246c5dea90
Description-en: documentation and javadoc API for libconcurrent-java
 The concurrent java library provides standardized, efficient versions of
 utility classes commonly encountered in concurrent Java programming.
 .
 This package contains the introduction and javadoc API.

Package: libconfig++-dev
Description-md5: f5222f17a36cd1f595adc29edaa8e337
Description-en: parsing/manipulation of structured config files (C++ development)
 This library features a fully reentrant parser and includes bindings for
 both the C and C++ programming languages. It runs on modern POSIX-compliant
 systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on
 Microsoft Windows 2000/XP and later (Visual Studio or MinGW).
 .
 This library allows parsing, manipulating and writing structured configuration
 files. Its main features are:
  - A fully reentrant parser: Multiple configurations can be parsed in
    concurrent threads at the same time.
  - A simple, structured configuration file format: more readable and compact
    than XML and more flexible than Windows' "INI" file format.
  - A low-footprint implementation
  - Proper documentation.
 .
 This package contains the files needed in order to use libconfig
 in your own C++ programs.

Package: libconfig++9v5
Description-md5: 9a8df51133928631226892f0ee52493b
Description-en: parsing/manipulation of structured configuration files (C++ binding)
 This library features a fully reentrant parser and includes bindings for
 both the C and C++ programming languages. It runs on modern POSIX-compliant
 systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on
 Microsoft Windows 2000/XP and later (Visual Studio or MinGW).
 .
 This library allows parsing, manipulating and writing structured configuration
 files.
 .
 This is the C++ binding.

Package: libconfig-any-perl
Description-md5: aeeb2d48adc228f69d2f73885231812a
Description-en: module to load configuration from different file formats
 Config::Any provides a facility for Perl applications and libraries to load
 configuration data from multiple different file formats. It supports XML,
 YAML, JSON, Apache-style configuration, Windows INI files, and even Perl
 code.
 .
 The rationale for this module is as follows: Perl programs are deployed on
 many different platforms and integrated with many different systems. Systems
 administrators and end users may prefer different configuration formats than
 the developers. The flexibility inherent in a multiple format configuration
 loader allows different users to make different choices, without generating
 extra work for the developers. As a developer you only need to learn a single
 interface to be able to use the power of different configuration formats.

Package: libconfig-apacheformat-perl
Description-md5: 957e75bbaf1f93fbb29548e28f7a183d
Description-en: Perl module to parse Apache format config files
 Config::ApacheFormat is designed to parse a configuration file in the same
 syntax used by the Apache web server (see http://httpd.apache.org for
 details).  This allows you to build applications which can be easily
 managed by experienced Apache admins.  Also, by using this module,
 you'll benefit from the support for nested blocks with built-in
 parameter inheritance.  This can greatly reduce the amount or repeated
 information in your configuration files.

Package: libconfig-augeas-perl
Description-md5: 5d15a0b8141de68ff6b4b49606d8ed9e
Description-en: module for manipulating configuration files through Augeas
 Config::Augeas is a Perl module that provides an object-oriented interface to
 the Augeas open source configuration library. The API is more "Perlish" than
 its C counterpart, making it natural to use with Perl.
 .
 Augeas is a library and command line tool that addresses the common problem
 of handling configuration data, providing a means to easily edit many types
 of configuration files. (See the augeas package for details)

Package: libconfig-autoconf-perl
Description-md5: 019b3b2b66ff8f574420030ff1d9c9b3
Description-en: Perl module to implement some of AutoConf's macros in pure Perl
 Config::AutoConf simulates some of the tasks AutoConf macros do, e.g.
 detecting a command, detecting a library, etc.
 .
 Config::AutoConf is intended to provide the same opportunities to Perl
 developers as GNU Autoconf does for Shell developers.

Package: libconfig-crontab-perl
Description-md5: c9613467243f2aacc1d71bd972bb8f91
Description-en: module to read/write Vixie-compatible crontab(5) files
 Config::Crontab provides an object-oriented interface to Vixie-style
 crontab(5) files for Perl.
 .
 In short, Config::Crontab reads and writes crontab(5) files (and does a
 little pretty-printing too) using objects. The general idea is that you
 create a Config::Crontab object and associate it with a file (if
 unassociated, it will work over a pipe to crontab -l). From there, you can
 add lines to your crontab object, change existing line attributes, and write
 everything back to file.

Package: libconfig-dev
Description-md5: 21ea54675951d05055b8aadda5a21743
Description-en: parsing/manipulation of structured config files (development)
 This library features a fully reentrant parser and includes bindings for
 both the C and C++ programming languages. It runs on modern POSIX-compliant
 systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on
 Microsoft Windows 2000/XP and later (Visual Studio or MinGW).
 .
 This library allows parsing, manipulating and writing structured configuration
 files. Its main features are:
  - A fully reentrant parser: Multiple configurations can be parsed in
    concurrent threads at the same time.
  - A simple, structured configuration file format: more readable and compact
    than XML and more flexible than Windows' "INI" file format.
  - A low-footprint implementation
  - Proper documentation.
 .
 This package contains the files needed in order to use libconfig
 in your own programs.

Package: libconfig-doc
Description-md5: 6392d9fb9c41805d37675a398591bb07
Description-en: parsing/manipulation of structured config files (Documentation)
 This library features a fully reentrant parser and includes bindings for
 both the C and C++ programming languages. It runs on modern POSIX-compliant
 systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on
 Microsoft Windows 2000/XP and later (Visual Studio or MinGW).
 .
 This library allows parsing, manipulating and writing structured configuration
 files.
 .
 This package provides documentation for the libconfig library.

Package: libconfig-file-ocaml-dev
Description-md5: 60dc6376086111e580283a9c8d6a9048
Description-en: OCaml library for managing configuration files (dev files)
 Config_file is an OCaml library used to manage the configuration
 file(s) of an application. You simply define your options and it
 performs the loading and saving of the options. Each option is
 defined from an option class (for example an "int" option) or from a
 combination of classes (for example to create "list of int" options).

Package: libconfig-file-perl
Description-md5: be9857585059816da87e812c4c7af624
Description-en: Parses simple configuration files
 Config::File parses simple configuration files and store its values in
 an anonymous hash reference. The syntax of the configuration file is
 quite simple:
 .
  # This is a comment
  VALUE_ONE = foo
  VALUE_TWO = $VALUE_ONE/bar
  VALUE_THREE = The value contains a \# (hash). # This is a comment.
  COMPOSED_VALUE[one] = The first component of a clustered value
  COMPOSED_VALUE[two] = The second component of a clustered value

Package: libconfig-find-perl
Description-md5: 431f75b4ae5cb39891db29079eab0f94
Description-en: module to search configuration files using OS dependent heuristics
 Every OS has different rules for configuration files placement, this module
 allows one to easily find and create app configuration files following
 those rules.
 .
 Config::Find references configuration files by the application name or by the
 application name and the configuration file name when the app uses several
 application files, i.e emacs, profile, apache/httpd, apache/ssl.

Package: libconfig-gitlike-perl
Description-md5: a6b3fb8d4aac4e0cec70f2515717d887
Description-en: Perl module for Git-compatible config file parsing
 Config::GitLike provides a Perl interface for parsing, writing, and managing
 configuration files of the format used by the version control system Git. It
 supports config-file inheritance in the same way that Git does: system-wide,
 user-wide, and per-directory config files can be specified and loaded, with
 values from more local files overriding those in less-local files.
 .
 For more information on this configuration file format, see:
 <URL:http://www.kernel.org/pub/software/scm/git/docs/git-config.html>

Package: libconfig-grammar-perl
Description-md5: 32678e3db5887889a663ca97a082bde7
Description-en: grammar-based user-friendly config parser
 Config::Grammar is a module to parse configuration files. The configuration
 may consist of multiple-level sections with assignments and tabular data. The
 parsed data will be returned as a hash containing the whole configuration.
 Config::Grammar uses a grammar that is supplied upon creation of a
 Config::Grammar object to parse the configuration file and return helpful
 error messages in case of syntax errors. Using the makepod method you can
 generate documentation of the configuration file format.
 .
 The maketmpl method can generate a template configuration file. If your
 grammar contains regexp matches, the template will not be all that helpful as
 Config::Grammar is not smart enough to give you sensible template data based
 in regular expressions. The related function maketmplmin generates a minimal
 configuration template without examples, regexps or comments and thus allows
 an experienced user to fill in the configuration data more efficiently.

Package: libconfig-identity-perl
Description-md5: 2da891fc2f3a3d576f015a982e9e0cf1
Description-en: module to load (and optionally decrypt) user/pass identity information
 Config::Identity is a tool for loading (and optionally decrypting via GnuPG)
 user/pass identity information.
 .
 For GitHub API access, an identity is a login/token pair.
 .
 For PAUSE access, an identity is a user/password pair.

Package: libconfig-ini-perl
Description-md5: bfb56232cb78a8226a1ec04da78cb39b
Description-en: Perl module for reading and writing .ini files
 Config::INI::Reader and Config::INI::Writer are "yet another config module"
 implementing yet another slightly different take on the undeniably easy to
 read ".ini" file format. Their default behavior is quite similar to that of
 Config::Tiny, on which it is based.
 .
 The chief difference is that Config::INI is designed to be subclassed to
 allow for side-effects and self-reconfiguration to occur during the course of
 reading its input.

Package: libconfig-ini-reader-ordered-perl
Description-md5: 3d3f94002d03358e93827be61b55f4a7
Description-en: .ini-file parser that returns sections in order
 Config::INI::Reader::Ordered is a subclass of Config::INI::Reader which
 preserves section order. See Config::INI::Reader (in the libconfig-ini-perl
 package) for all documentation.

Package: libconfig-inihash-perl
Description-md5: c7f5f97aba15006d698ad59e8d501976
Description-en: Perl extension for reading and writing INI files
 Config::IniHash reads and writes INI files, useful for storing
 settings. It returns the full file as a hashref or writes a
 hashref into an ini file.

Package: libconfig-jfdi-perl
Description-md5: 6665d733f9d7fa7f6378986147df46fe
Description-en: Perl module to load any configuration files
 Config::JFDI scans a directory for files matching a certain name. If such a
 file is found, and it matches an extension that Config::Any can read, then
 the configuration from that file will be loaded. It also looks for special
 files that end with a "_local" suffix, which take precedence over any other
 configuration files. The precedence takes place by merging the local config
 with the standard file using Hash::Merge::Simple (libhash-merge-simple-perl).
 .
 Essentially, this is an implementation of Catalyst::Plugin::ConfigLoader that
 exists outside of Catalyst.

Package: libconfig-json-perl
Description-md5: 7c47bb90c5664027a83523dff69629ca
Description-en: parser for JSON-based configuration files
 Config::JSON is a Perl module capable of parsing and writing configuration
 files written in JavaScript Object Notation (JSON). It supports arbitrary
 file inclusion (even hierarchical includes) and comments in the file. It is
 beneficial in environments that already use JSON, since the parser is already
 loaded into memory.

Package: libconfig-merge-perl
Description-md5: d5c85d44c6686cdabc92b3823efdef00
Description-en: umbrella interface to configuration modules
 Config::Merge allows one to load a configuration directory tree containing
 YAML, JSON, XML, Perl, INI or Config::General files. The interface can be
 used in either a functional or an object oriented way.

Package: libconfig-methodproxy-perl
Description-md5: 45ec01ae5754c143ad3b96065fbf0f8f
Description-en: Perl module for specifying method calls in a static configuration
 The method proxy concept provided by the Config::MethodProxy module is
 a particular data structure which, when found, is replaced by the value
 returned by calling that method.  In this way static configuration can be
 setup to call your code and return dynamic contents.  This makes static
 configuration much more powerful, and provides the ability to be more
 declarative in how dynamic values make it into the configuration.

Package: libconfig-model-approx-perl
Description-md5: 02f5b964d692d24b59711ddef4f16703
Description-en: Approx proxy server configuration editor
 Config::Model::Approx Perl module provides a configuration checker and
  editor for /etc/approx/approx.conf.
 .
 When libconfig-model-tkui-perl is installed, running 'cme edit approx'
 will launch a graphical configuration editor for Approx configuration
 file.
 .
 cme can also be run to check configuration (cme check approx) or
 migrate older configurations without user interaction (cme migrate
 approx)

Package: libconfig-model-backend-augeas-perl
Description-md5: 59413c88ed64cb64367aba9e36782c67
Description-en: config-model reader and writer powered by Augeas
 Config::Model::Backend::Augeas provides a way to load or store
 configuration data through Config::Augeas. This way, the structure and
 comments of the original configuration file are preserved.
 .
 With Config::Model::Backend::Augeas, Config::Model now provides a way
 to load and save data through RedHat's Augeas library.
 .
 Config::Model and Augeas are both configuration editing tool. Both
 parse configuration files in their native formats and transforms them
 into a tree. Configuration changes are made by manipulating this tree
 and saving it back into native config files.
 .
 Both Augeas and Config::Model rely on a tree to represent
 configuration data.
 .
 On one side, Augeas is able to load and write data while respecting
 the structure and comments of the original configuration file. But
 Augeas purpose is not to validate the semantic content of the
 configuration file.
 .
 On the other side, Config::Model is able to validate the semantic
 content of the configuration file, but it may discard all comments and
 will write back configuration data using a canonical order. Thus the
 structure of the original configuration file is not preserved.
 .
 You can now have the best of both worlds by using the Augeas library
 to load and write data from Config::Model configuration tree. You can
 now instruct Config::Model to use Augeas. See
 Config::Model::BackendMgr for details.
 .
 Unfortunately, you must ensure that the tree representation specified
 in Config::Model and Augeas lens are quite close together:
   - Config::Model element names and Augeas lens names must be identical
   - Both structures must be very close. Each Config::Model node must
     have a corresponding lens.
 .
 See Config::Model::Backend::Augeas documentation to use Augeas with
 your configuration model.
 .
 For more details on Config::Model see:
 .
    https://github.com/dod38fr/config-model/wiki
 .
 For more details on Augeas, see:
 .
    http://augeas.net

Package: libconfig-model-backend-yaml-perl
Description-md5: 1efd168200633d7979ab43c0211a98cf
Description-en: Read and write config as a YAML data structure
 Config::Model::Backend::Yaml is a Perl module used by Config::Model
 and cme to read or write YAML files.
 .
 This module should not be used directly. It is loaded by
 Config::Model when loading a model declaring a Yaml "backend".

Package: libconfig-model-cursesui-perl
Description-md5: 407ef984c40fe34ee42386eeced20dda
Description-en: curses interface to edit config data through Config::Model
 Config::Model::CursesUI provides a Curses interface to the configuration
 editor provided by Config::Model.
 .
 For instance, with this module, Config::Model and
 Config::Model::OpenSsh, you get a curses configuration editor for
 sshd_config.
 .
 This interface is used by config-edit program provided by
 Config::Model.

Package: libconfig-model-dpkg-perl
Description-md5: dffc7ee3833ac8ae256a2b773f27afc8
Description-en: editor for Dpkg source files with validation
 This package provides Config::Model::Dpkg, a plugin for Config::Model
 and cme. Once this package is installed, cme is able to handle dpkg
 source file.
 .
 For instance, the command 'cme edit dpkg' provides a graphical editor
 for most files of a package source.
 .
 The command 'cme check dpkg' provide a command line that will check the
 package file, a bit like lintian. But this command must be run in the
 source package directory and can be run before building the package.
 .
 Likewise, the command 'cme fix dpkg' will fix most of the warnings
 found by the command above.
 .
 The command 'cme update dpkg' will update debian/copyright file
 from the content of the package source file.
 .
 If the recommended package libconfig-model-tkui-perl is installed,
 you can run 'cme edit dpkg' to edit your package files with a GUI.
 .
 You can also run cme with a more restricted scope with:
  * cme edit|check|fix dpkg-control
  * cme edit|check|fix dpkg-copyright
 .
 For best results, you should install the latest available version
 of libmodule-corelist-perl.

Package: libconfig-model-itself-perl
Description-md5: 2115dc361f3e94391eb573220ffd3d1e
Description-en: graphical model editor for Config::Model
 Config::Model::Itself module is a plugin for cme which provides a
 Perl/Tk graphical interface to edit configuration models that are be
 used by Config::Model.
 .
 Config::Model::Itself also provides a model for Config::Model
 (hence the Itself name, you can also think of it as a meta-model).
 The model editor will use this meta-model to construct the graphical
 interface so you can edit the configuration model for *your*
 application. [ Config::Model::Itself is the "eat your own dog food" principle
 applied to Config::Model ;-) ]
 .
 Let's step back a little to explain. Any configuration data is, in
 essence, structured data. This data could be stored, for instance, in
 an XML file. A configuration model is a way to describe the structure
 and relation of all items of a configuration data set.
 .
 This configuration model is also expressed as structured data. This
 structure data is structured and follows a set of rules which are
 described for humans in Config::Model.
 .
 The structure and rules documented in Config::Model are also expressed
 in a model in the files provided with Config::Model::Itself.
 .
 Hence the possibity to verify, modify configuration data provided by
 Config::Model can also be applied on configuration models.
 .
 The model editor program launched with "cme meta edit"

Package: libconfig-model-lcdproc-perl
Description-md5: c61ea048fbe964b908b8cc5729c4a94c
Description-en: module to edit and validate LcdProc configuration file
 Config::Model::LcdProc provides a configuration editor (and models) for the
 configuration file of LcdProc, i.e. /etc/LCDd.conf.
 .
 libconfig-model-tkui-perl must be installed to have a graphical
 interface for the editor.
 .
 Once installed, you can run 'cme edit lcdproc' to edit LcdProc
 configuration. You can also check the configuration with
 'cme check lcdproc'.

Package: libconfig-model-openssh-perl
Description-md5: b945cdc31e29412d72dbd784de0c9e09
Description-en: configuration editor for OpenSsh
 Config::Model::OpenSsh enables cme command to edit OpenSSH
 configuration files, namely, /etc/ssh/sshd_config, /etc/ssh/ssh_config (for
 root) and ~/.ssh/config (for other users).
 .
 You can get extra functionality by installing some optional dependencies:
 .
  * A graphical OpenSSH file editor with libconfig-model-tkui-perl
  * A curses OpenSSH file editor with libconfig-model-cursesui-perl
 .
 Comments of the files are preserved during edition. Comments can
 be edited with the graphical interface and saved back in the
 configuration files.
 .
 'cme check ssh' command can be used to validate the content of ssh*
 configuration files.

Package: libconfig-model-perl
Description-md5: 89e63c35ca19a8c95f63d3bbd320b6ed
Description-en: module for describing and editing configuration data
 Config::Model is a Perl framework that enables project developers to provide
 an interactive configuration system for their software. It supports various
 frontend interfaces (graphical, curses-based or plain terminal) to users. It
 handles configuration files semantically rather than syntactically, meaning
 that developers can specify the structure and constraints of the data along
 with a mechanism to read and write the files.
 .
 Using the structure and constraint information, Config::Model will generate
 interactive configuration editors that include help and data validation. It
 is equally simple to use graphical (via Tk) interfaces as well as curses-
 and ReadLine-based terminal interfaces.
 .
 Config::Model also provides a facility to help configuration data upgrade
 that can be triggered during package upgrades.
 .
 Config::Model provides configuration model and editor for:
  - popularity-contest
  - fstab (/etc/fstab)
  - multistrap
 .
 Other configuration editors are available with other libconfig-model-*-perl
 packages.
 .
 Depending on which suggested or recommended modules are installed,
 Config::Model provides several user interfaces:
  - shell-like interface (with any of lib-term-readlin-*-perl)
  - virtual file system where each config parameter is mapped to a file
   (with fuse package)
  - graphical user interface (with libconfig-model-tkui-perl)

Package: libconfig-model-systemd-perl
Description-md5: 303277e63c2f9a989a4222522db2a202
Description-en: editor and validator for systemd configuration files
 Config::Model::Systemd provides a configuration editor for the
 configuration files of systemd, (that is, all files in
 ~/.config/systemd/user/ or all files in /etc/systemd/system/).
 .
 The first paragraph is a simplified view.
 In more accurate and complicated words, this package provides the
 configuration model of Systemd configuration that cme,
 Config::Model and Config::Model::TkUI use to provide a configuration
 editor and checker.

Package: libconfig-model-tester-perl
Description-md5: 20b9edfab52a47764219ee04c7fcf58c
Description-en: Test framework for Config::Model
 Config::Model::Tester provides a way to test configuration models with
 tests files. This class was designed to tests several models and several
 tests cases per model.

Package: libconfig-model-tkui-perl
Description-md5: ebcd1ec8b9c5609e39edb2240f115941
Description-en: Tk GUI to edit config data through Config::Model
 Config::Model::TkUI provides a Perl/Tk interface to:
   - the configuration editor provided by Config::Model (cme).
   - the configuration model editor provided by Config::Model::Itself
     (config-model-edit)
 .
 For instance, with this package and libconfig-model-openssh-perl
 Config::Model::OpenSsh, you get a graphical configuration editor for
 /etc/ssh/sshd_config.
 .
 To get the graphical editor, just install Config::Model::TkUI, and the
 cme (provided by libconfig-model-perl) will automagically launch the
 graphical interface.

Package: libconfig-mvp-perl
Description-md5: 52418572caa6dd93d2dfb52856348364
Description-en: multivalue-property package-oriented configuration module
 MVP is a mechanism for loading configuration (or other information) for
 libraries. It doesn't read a file or a database. It's a helper for things
 that do.
 .
 The idea is that you end up with a Config::MVP::Sequence object, and that you
 can use that object to fully configure your library or application. The
 sequence will contain a bunch of Config::MVP::Section objects, each of which
 is meant to provide configuration for a part of your program. Most of these
 sections will be directly related to a Perl library that you'll use as a
 plugin or helper. Each section will have a name, and every name in the
 sequence will be unique.

Package: libconfig-mvp-reader-ini-perl
Description-md5: 76d8ef48f47a1e4056ee628e4e177b0f
Description-en: Perl module providing a MVP config reader for .ini files
 Config::MVP::Reader::INI implements a reader for .ini files for use
 with Config::MVP.

Package: libconfig-mvp-slicer-perl
Description-md5: 6639ab1fc82d484a3525bfe2be1d4054
Description-en: module to extract embedded plugin config from parent config
 Config::MVP::Slicer can be used to extract embedded configurations
 for other plugins out of larger (parent) configurations.  An example
 where this can be useful is plugin bundles.  A bundle loads other
 plugins with a default configuration that works most of the time, but
 sometimes you wish you could customize the configuration for one of
 those plugins without having to remove the plugin from the bundle and
 re-specify it separately.

Package: libconfig-onion-perl
Description-md5: 0a240822f66f4a422f6eea4c68d8f451
Description-en: layered configuration
 Config::Onion stores all configuration settings in four layers:
 Defaults, Main, Local, and Override. Each layer can be added to as many
 times as you like. Within each layer, settings which are given multiple
 times will take the last specified value, while those which are not
 repeated will remain untouched.

Package: libconfig-pit-perl
Description-md5: 0f77747d983c75512b8f201d5c908866
Description-en: Perl module for Manage settings
 Config::Pit is account setting management library.  This library
 automates editing settings used in scripts.
 .
 Original library is written in Ruby and published as pit gem with
 management command.

Package: libconfig-properties-perl
Description-md5: f477f3ba2764dee29e96706f375f712b
Description-en: Perl module to read and write Java-style property files
 Config::Properties is a near implementation of the java.util.Properties API.
 It is designed to allow easy reading, writing and manipulation of Java-style
 property files in Perl applications.
 .
 The format of a Java-style property file is that of a key-value pair
 separated by either whitespace, the colon (:) character, or the equals (=)
 character. Whitespace before the key and on either side of the separator is
 ignored. Lines that begin with either a hash (#) or a bang (!) are considered
 comment lines and ignored.

Package: libconfig-record-perl
Description-md5: 0e6686da784459295258dfaf0c1c4c3e
Description-en: Perl module for configuration file access
 Config::Record provides an API for loading and saving of simple configuration
 file records. Entries in the configuration file are essentially key, value
 pairs, with the key and values separated by a single equals symbol. The key
 consists only of alphanumeric characters. There are three types of values:
 scalar values, array values and hash values.

Package: libconfig-scoped-perl
Description-md5: 72deec42ad57e5e6dccd0a72a80cd01a
Description-en: Feature rich configuration file parser
 Config::Scoped is a configuration file parser for complex configuration
 files based on Parse::RecDescent. Files similar to the ISC named or ISC
 dhcpd configurations are possible. In order to be fast a precompiled
 grammar and optionally a config cache is used.

Package: libconfig-simple-perl
Description-md5: 8f5af517a46210dd8fbd73c644fca9e0
Description-en: simple configuration file class
 Reading and writing configuration files is one of the most frequent
 aspects of any software design. Config::Simple is the library to help
 you with it.
 .
 Config::Simple is a class representing configuration file object. It
 supports several configuration file syntax and tries to identify the
 file syntax to parse them accordingly. Library supports parsing,
 updating and creating configuration files.

Package: libconfig-std-perl
Description-md5: 6e09dbf1dc38c6c46ab80b161514afa1
Description-en: Load and save configuration files in a standard format
 Config::Std implements yet another damn configuration-file system.
 .
 The configuration language is deliberately simple and limited, and the
 module works hard to preserve as much information (section order,
 comments, etc.) as possible when a configuration file is updated.

Package: libconfig-tiny-perl
Description-md5: 779ebf205c9f59e3bf483821c9981dd0
Description-en: Read/Write .ini style files with as little code as possible
 Config::Tiny is a perl class to read and write .ini style configuration files
 with as little code as possible, reducing load time and memory overhead.
 .
 This module is primarily for reading human written files, and anything
 written into shouldn't need to have documentation/comments. If something with
 more power is needed, move up to Config::Simple, Config::General or one of
 the many other Config:: modules. Config::Tiny does not preserve comments,
 whitespace, or the order of the file contents.

Package: libconfig-yaml-perl
Description-md5: a2fc5204377c6633e143374a9ecdb2c0
Description-en: module for manipulating YAML-based configuration files
 Config::YAML is a somewhat object-oriented wrapper around the YAML module
 designed to make reading and writing configuration files simple. It handles
 multiple configuration files (e.g. system and per-user configuration or a
 gallery application with per-directory configuration) with ease.

Package: libconfig-zomg-perl
Description-md5: b934000ef6d25fa8435ef0eac59a9ef8
Description-en: yet another Catalyst::Plugin::ConfigLoader-style layer over Config::Any
 Config::ZOMG is a fork of Config::JFDI. It removes a couple of unusual
 features and passes the same tests three times faster than
 Config::JFDI.
 .
 Config::ZOMG is an implementation of Catalyst::Plugin::ConfigLoader
 that exists outside of Catalyst.
 .
 Config::ZOMG will scan a directory for files matching a certain name.
 If such a file is found which also matches an extension that
 Config::Any can read, then the configuration from that file will be
 loaded.
 .
 Config::ZOMG will also look for special files that end with a "_local"
 suffix. Files with this special suffix will take precedence over any
 other existing configuration file, if any. The precedence takes place
 by merging the local configuration with the "standard" configuration
 via Hash::Merge::Simple.
 .
 Finally you can override/modify the path search from outside your
 application, by setting the "_CONFIG" variable outside your
 application (where $NAME is the uppercase version of what you passed to
 Config::ZOMG->new).

Package: libconfig9
Description-md5: f9e4a016d7fc40b75858220651e186b8
Description-en: parsing/manipulation of structured configuration files
 This library features a fully reentrant parser and includes bindings for
 both the C and C++ programming languages. It runs on modern POSIX-compliant
 systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on
 Microsoft Windows 2000/XP and later (Visual Studio or MinGW).
 .
 This library allows parsing, manipulating and writing structured configuration
 files.

Package: libconfigreader-perl
Description-md5: 6b913ae9ce5b96c2138e1cb0ca22969a
Description-en: Perl module for reading configuration files
 The ConfigReader library is a set of classes which reads directives
 from a configuration file.
 .
 Provided classes:
    * ConfigReader::Spec
    * ConfigReader::Values
    * ConfigReader::DirectiveStyle

Package: libconfigreader-simple-perl
Description-md5: 0ac9ec8789a76e1e18e6085c90f7513d
Description-en: simple configuration file parser
 ConfigReader::Simple reads and parses simple configuration files. It is
 designed to be smaller and simpler than the ConfigReader module and is more
 suited to simple configuration files.

Package: libconfuse-common
Description-md5: b68c89e8455873131939ae04c13ea60f
Description-en: Common files for libConfuse
 This package contains internationalization files for the confuse
 library.

Package: libconfuse-dev
Description-md5: 4ab3b2b53b592c7f75d631e73c49d74e
Description-en: Development files for libConfuse
 This package contains the header files and static library needed to
 compile applications that use the confuse library.

Package: libconfuse-doc
Description-md5: 1fe1525ce392462c68621be65a48d8ec
Description-en: Documentation for libConfuse
 This package contains the documentation of the confuse library.

Package: libconfuse2
Description-md5: 284f159006c24c48424ca2c396c9bf6b
Description-en: Library for parsing configuration files
 libConfuse is a configuration file parser library written in C. It
 supports sections and (lists of) values (strings, integers, floats,
 booleans or other sections), as well as some other features (such as
 single/double-quoted strings, environment variable expansion,
 functions and nested include statements).
 .
 It makes it very easy to add configuration file capability to a
 program using a simple API.

Package: libconsensuscore-dev
Description-md5: 581c86efe324866ea38c3325af97c775
Description-en: algorithms for PacBio multiple sequence consensus -- development files
 ConsensusCore is a library of C++ algorithms for Pacific Biosciences
 multiple sequence consensus that powers Quiver (Python) and ConsensusTools
 (.NET). This library primarily exists as the backend for GenomicConsensus,
 which implements Quiver.
 .
 This package is part of the SMRT Analysis suite.
 It provides the header files and static library.

Package: libconsole-bridge-dev
Description-md5: e7598014a4b7d4c87bd5d3d899e31986
Description-en: console bridge - development files
 ROS-independent, pure CMake (i.e. non-catkin and non-rosbuild
 package) that provides logging calls that mirror those found in
 rosconsole, but for applications that are not necessarily using ROS.
 .
 This package contains the development files (headers, pkg-config and
 CMake files).

Package: libconsole-bridge0.4
Description-md5: eb4410ba465eab46069aee1e130bdd54
Description-en: console bridge - library
 ROS-independent, pure CMake (i.e. non-catkin and non-rosbuild
 package) that provides logging calls that mirror those found in
 rosconsole, but for applications that are not necessarily using ROS.
 .
 This package contains the dynamic library.

Package: libconstant-defer-perl
Description-md5: 91233fd6139d8dee2e7eed202eab45fd
Description-en: Perl module providing constant subs with deferred value calculation
 constant::defer creates constants like constant.pm, but with the value
 calculated only on the first call.  This can save work for values only
 needed sometimes.

Package: libconstant-generate-perl
Description-md5: 667d5b14840a10a8e3cbe4cbe71bc3fb
Description-en: Perl module providing useful utilities for handling symbolic constants
 Constant::Generate provides useful utilities for handling, debugging, and
 generating opaque, 'magic-cookie' type constants as well as value-significant
 constants.
 .
 Using its simplest interface, it will generate a simple enumeration of names
 passed to it on import.

Package: libcontext-preserve-perl
Description-md5: 026e173ec733ce05bf7211cb7157f30e
Description-en: Perl module to preserve calling context
 Context::Preserve allows developers to preserve the calling context of their
 subroutines, which is useful for functions that call other functions and
 return their results, with some possible modifications. This means that, if
 your module is called in scalar, list or void context, the given subroutine
 is called in the same context and the appropriate 'thing' is returned.
 .
 This means that it is easy to write functions that call other functions,
 modify their result and return it, in a seamless manner.

Package: libcontrolsfx-java
Description-md5: a9d6a0bb51827a77010083057198f3ab
Description-en: high quality UI controls and other tools to complement JavaFX
 ControlsFX is a collection of UI controls and other tools to complement
 the core JavaFX distribution.  It has been developed for JavaFX 8.0 and
 beyond, and has a guiding principle of only accepting new controls and
 features when all existing code is at an acceptably high level, including
 having high quality javadoc documentation.
 .
 The full list of features is provided at:
 http://fxexperience.com/controlsfx/features/

Package: libconversant-disruptor-java
Description-md5: 37eb6c8681dc0d274cf987e525c4d0d2
Description-en: Very low latency Java BlockingQueue
 Disruptor is the highest performing intra-thread transfer mechanism
 available in Java. Conversant Disruptor is the highest performing
 implementation of this type of ring buffer queue because it has almost
 no overhead and it exploits a particularly simple design.

Package: libconvert-ascii-armour-perl
Description-md5: 24666624a2fed63f84713daa73c833ed
Description-en: module to convert binary into ASCII-armoured messages
 Convert::ASCII::Armour is a Perl module designed to convert hashes of binary
 octets into ASCII messages suitable for transfer over 6-bit clean transport
 channels. The encoded ASCII resembles PGP's armoured messages, but are in no
 way compatible with PGP.

Package: libconvert-ascii85-perl
Description-md5: e2edc71c56ede2dedceefb892acc01a4
Description-en: module for encoding and decoding of ascii85/base85 strings
 Convert::Ascii85 implements the Ascii85 (also known as Base85) algorithm for
 encoding binary data as text. This is done by interpreting each group of four
 bytes as a 32-bit integer, which is then converted to a five-digit base-85
 representation using the digits from ASCII 33 (!) to 117 (u).
 .
 This is similar to MIME::Base64 but more space efficient: The overhead is
 only 1/4 of the original data (as opposed to 1/3 for Base64).

Package: libconvert-base32-perl
Description-md5: c82f9281e0fc2913c50b6b957688bb45
Description-en: module for encoding and decoding of base32 strings
 Convert::Base32 provides functions to convert string from / to Base32
 encoding, specified in RACE internet-draft. The Base32 encoding is designed
 to encode non-ASCII characters in DNS-compatible host name parts.
 .
 See http://tools.ietf.org/html/draft-ietf-idn-race-03 for more details.

Package: libconvert-basen-perl
Description-md5: 4b9ba67881b5befea8770b362d01fc99
Description-en: perl module for encoding and decoding of base{2,4,8,16,32,64} strings
 Convert::BaseN makes it easy to deal with various data encoding formats,
 such as base2, base4, ..., base64. In addition, it allows the format of
 the encoding to be configured, for example by supplying custom character
 maps.

Package: libconvert-ber-perl
Description-md5: e8b66fee77fd3c3f7d833e78fa3a86df
Description-en: Perl implementation of Basic Encoding Rules (BER)
 Convert::BER is a perl object class implementation to encode
 and decode objects as described by ITU-T standard X.209 (ASN.1)
 using Basic Encoding Rules (BER).

Package: libconvert-binary-c-perl
Description-md5: b2e0a9d915f5910a08faa69eb6358634
Description-en: Binary Data Conversion using C Types
 Convert::Binary::C is a preprocessor and parser for C type definitions. It is
 highly configurable and supports arbitrarily complex data structures. Its
 object-oriented interface has pack and unpack methods that act as replacements
 for Perl's pack and unpack and allow one to use C types instead of a string
 representation of the data structure for conversion of binary data from and to
 Perl's complex data structures.
 .
 Actually, what Convert::Binary::C does is not very different from what a C
 compiler does, just that it doesn't compile the source code into an object
 file or executable, but only parses the code and allows Perl to use the
 enumerations, structs, unions and typedefs that have been defined within your
 C source for binary data conversion, similar to Perl's pack and unpack.

Package: libconvert-color-perl
Description-md5: e58162ddb347ff50aa9feee2d12ca7fd
Description-en: Perl module for color space conversions and named lookups
 Convert::Color provides conversions between commonly used ways to express
 colors. It provides conversions between color spaces such as RGB and HSV, and
 it provides ways to look up colors by a name.

Package: libconvert-color-xterm-perl
Description-md5: 6e761b062ca639c26b4f1d7805490dcf
Description-en: indexed colors used by XTerm
 This subclass of Convert::Color::RGB8 provides lookup of the colors that
 xterm uses by default. Note that the module is not intelligent enough to
 actually parse the XTerm configuration on a machine, nor to query a running
 terminal for its actual colors. It simply implements the colors that are
 present as defaults in the XTerm source code.
 .
 It implements the complete 256-color model in XTerm. This range consists of:

Package: libconvert-nls-date-format-perl
Description-md5: d8741bba77af63b477f136f013ded93d
Description-en: module for converting Oracle NLS_DATE_FORMAT <-> strftime Format Strings
 Convert::NLS_DATE_FORMAT converts Oracle's NLS_DATE_FORMAT string into a
 strptime format string, or the reverse.

Package: libconvert-pem-perl
Description-md5: 27f242404eef1017cde4c27d5fe45df0
Description-en: Perl module for reading/writing encrypted ASN.1 PEM files
 Convert::PEM reads/writes encrypted ASN.1 PEM files. The files can
 optionally be encrypted using a symmetric cipher algorithm, such as 3DES.

Package: libconvert-scalar-perl
Description-md5: d4b22fd187bfced2ab84d30c52b8bd74
Description-en: module to convert between different representations of perl scalars
 Convert::Scalar exports various internal perl methods that change the
 internal representation or state of a perl scalar. All of these work
 in-place, that is, they modify their scalar argument. No functions are
 exported by default.

Package: libconvert-units-perl
Description-md5: 880e514929104f8fd9d7752165454340
Description-en: Perl module for performing unit conversions
 The Units package is a collection of modules for parsing strings
 with unit measurements (such as "12pt" or "3 meters") and
 converting them to some other unit (such as "picas" or "inches").

Package: libconvert-ytext-perl
Description-md5: 2a74731bd4340495285e0856e16d5e18
Description-en: Perl module to quote strings suitably for RFC2822 local parts
 Convert::YText converts strings to and from "YText", a format inspired by
 xtext defined in RFC1894, the MIME base64 and quoted-printable types (RFC
 1394). The main goal is encode a UTF8 string into something safe for use as
 the local part in an internet email address (RFC2822).
 .
 By default spaces are replaced with "+", "/" with "~", the characters
 "A-Za-z0-9_.-" encode as themselves, and everything else is written "=USTR="
 where USTR is the base64 (using "A-Za-z0-9_." as digits) encoding of the
 unicode character code. The encoding is configurable (see below).

Package: libcookie-baker-perl
Description-md5: 70eb9ef3f981d4d0cf00e926eb646d0e
Description-en: simple cookie string generator and parser
 Cookie::Baker provides a simple cookie string generator and parser.
 There are many other cookie related modules on CPAN, like CGI,
 CGI::Simple, Plack, or Dancer::Cookie. But none is as simple as
 Cookie::Baker.

Package: libcookie-baker-xs-perl
Description-md5: 103935a97078ce8fa97965bf78b9da36
Description-en: module to boost Cookie::Baker's crush_cookie
 Cookie::Baker::XS provides a cookie string parser implemented in XS.
 Unlike Cookie::Baker, this module only provides a parser and does not have a
 generator function.

Package: libcoq-ocaml
Description-md5: 6f9fa9509e05b95dc040fa6f750867c3
Description-en: runtime libraries for Coq
 Coq is a proof assistant for higher-order logic, which allows the
 development of computer programs consistent with their formal
 specification. It is developed using Objective Caml and Camlp5.
 .
 This package provides runtime libraries for Coq.

Package: libcoq-ocaml-dev
Description-md5: 087097b71994724756abfbecea1882b9
Description-en: development libraries and tools for Coq
 Coq is a proof assistant for higher-order logic, which allows the
 development of computer programs consistent with their formal
 specification. It is developed using Objective Caml and Camlp5.
 .
 This package provides and libraries needed to develop OCaml-side
 extensions to Coq.

Package: libcore-async-clojure
Description-md5: 318d63e5f2746fa6cf1114447ddc3d5f
Description-en: asynchronous programming using channels for Clojure
 core.async is a Clojure library designed to provide facilities for async
 programming and communication.

Package: libcore-cache-clojure
Description-md5: 678b3fb451fbbedaf8f99e1e654251be
Description-en: cache abstraction library for Clojure
 core.cache is a Clojure library that provides implementations of basic
 caching strategies such as:
 .
  * First-in-first-out (FIFOCache)
  * Least-recently-used (LRUCache)
  * Least-used (LUCache -- sometimes called Least Frequently Used)
  * Time-to-live (TTLCache)
  * Naïve cache (BasicCache)
  * Naïve cache backed with soft references (SoftCache)
  * Low inter-reference recency set algorithm (LIRSCache)
 .
 All implementation use a common base abstraction (CacheProtocol) which, in
 combination with suitable macros, allows for the easy integration of user
 defined caching strategies that hook into the Clojure associative data
 capabilities.

Package: libcore-match-clojure
Description-md5: 943fb2ef2f405822a4d9c56c1167ab66
Description-en: optimized pattern match and predicate dispatch library for Clojure
 An optimized pattern match and predicate dispatch library for Clojure.
 Currently the library only implements pattern matching. It supports Clojure
 1.3.0 and later as well as ClojureScript

Package: libcore-memoize-clojure
Description-md5: 61c493a86a4c9207a9744f4acfcdc167
Description-en: Clojure memoization library
 core.memoize provides the following features:
  * An underlying PluggableMemoization protocol, allowing the use of
    customizable and swappable memoization caches.
  * Memoization builders for implementation of common caching strategies (FIFO,
    LRU, TTL, etc.)
  * Function for manipulating the memoization cache of core.memoize-backed
    functions.

Package: libcore-renderer-java
Description-md5: dd010beb9eb74de20c51d92bd06fc2fb
Description-en: Java library that provides an XML/XHTML/CSS 2.1 Renderer
 xhtmlrender is an XML/CSS renderer, which means it takes
 XML files as input, applies formatting and styling using
 CSS, and generates a rendered representation of that XML as
 output. The output may go to the screen (in a GUI), to an
 image or to a PDF file.
 .
 The main target for content is XHTML 1.0 (strict), an XML
 document format that standardizes HTML. However,
 xhtmlrenderer accepts any well-formed XML for rendering as
 long as CSS is provided that tells how to lay it out.
 .
 In the case of XHTML, default stylesheets are provided out
 of the box and packaged within the library.

Package: libcore-renderer-java-doc
Description-md5: 1d85beb725ca04f2c499acd815686b39
Description-en: Documentation for libcore-renderer-java
 Documentation for xhtmlrender that is an XML/CSS renderer,
 which means it takes XML files as input, applies formatting
 and styling using CSS, and generates a rendered representation
 of that XML as output. The output may go to the screen (in a
 GUI), to an image or to a PDF file.
 .
 The main target for content is XHTML 1.0 (strict), an XML
 document format that standardizes HTML. However,
 xhtmlrenderer accepts any well-formed XML for rendering as
 long as CSS is provided that tells how to lay it out.
 .
 In the case of XHTML, default stylesheets are provided out
 of the box and packaged within the library.
 .
 This package contains the javadoc files of
 libcore-renderer-java and the user guide reference for
 xhtmlrenderer.

Package: libcore-specs-alpha-clojure
Description-md5: 0b876eaadbb0718c207e1e0dc189d7b1
Description-en: specs to describe Clojure core macros and functions
 core.specs.alpha is a Clojure library containining specs to describe
 core macros and functions of the Clojure language.

Package: libcork-dev
Description-md5: b2722278e02478286ee8860eaebfb5d0
Description-en: simple, easily embeddable, cross-platform C library (development files)
 It falls roughly into the same category as glib or APR in the C world;
 the STL, POCO, or QtCore in the C++ world; or the standard libraries of any
 decent dynamic language.
 .
 So if libcork has all of these comparables, why a new library? Well, none of
 the C++ options are really applicable here. And none of the C options work,
 because one of the main goals is to have the library be highly modular,
 and useful in resource-constrained systems. You’ll hopefully see how this
 fits into an interesting niche of its own.
 .
 This package provides C header files for the libraries.

Package: libcork-doc
Description-md5: c91d57ec20b58a94c34df120a9a757ba
Description-en: simple, easily embeddable, cross-platform C library (documentation files)
 It falls roughly into the same category as glib or APR in the C world;
 the STL, POCO, or QtCore in the C++ world; or the standard libraries of any
 decent dynamic language.
 .
 So if libcork has all of these comparables, why a new library? Well, none of
 the C++ options are really applicable here. And none of the C options work,
 because one of the main goals is to have the library be highly modular,
 and useful in resource-constrained systems. You’ll hopefully see how this
 fits into an interesting niche of its own.
 .
 This package provides documentation in HTML for the libraries.

Package: libcork16
Description-md5: 182194623a50913a5a887fc2f0851695
Description-en: simple, easily embeddable, cross-platform C library
 It falls roughly into the same category as glib or APR in the C world;
 the STL, POCO, or QtCore in the C++ world; or the standard libraries of any
 decent dynamic language.
 .
 So if libcork has all of these comparables, why a new library? Well, none of
 the C++ options are really applicable here. And none of the C options work,
 because one of the main goals is to have the library be highly modular,
 and useful in resource-constrained systems. You’ll hopefully see how this
 fits into an interesting niche of its own.
 .
 This package provides shared libraries.

Package: libcorkipset-dev
Description-md5: 1e1d6362604e99c7cbde678f3d19f79f
Description-en: C library to store sets/maps of IP address (development files)
 The ipset library provides C data types for storing sets of IP
 addresses, and maps of IP addresses to integers.  It supports both
 IPv4 and IPv6 addresses.  It's implemented using "Binary Decision
 Diagrams" (BDDs, https://en.wikipedia.org/wiki/Binary_decision_diagram),
 which (we hypothesize) makes it space efficient for large sets.
 .
 This package provides C header files for the library.

Package: libcorkipset-doc
Description-md5: b3b6849a314173d62f4ca57deb7e20b3
Description-en: C library to store sets/maps of IP address (documentation files)
 The ipset library provides C data types for storing sets of IP
 addresses, and maps of IP addresses to integers.  It supports both
 IPv4 and IPv6 addresses.  It's implemented using "Binary Decision
 Diagrams" (BDDs, https://en.wikipedia.org/wiki/Binary_decision_diagram),
 which (we hypothesize) makes it space efficient for large sets.
 .
 This package provides documentation in HTML for the library.

Package: libcorkipset-utils
Description-md5: 528e84a5bc3064756c3360d6d8584f06
Description-en: C library to store sets/maps of IP address (utility files)
 The ipset library provides C data types for storing sets of IP
 addresses, and maps of IP addresses to integers.  It supports both
 IPv4 and IPv6 addresses.  It's implemented using "Binary Decision
 Diagrams" (BDDs, https://en.wikipedia.org/wiki/Binary_decision_diagram),
 which (we hypothesize) makes it space efficient for large sets.
 .
 This package provides a few utility binaries for the library.

Package: libcorkipset1
Description-md5: aa2b31f1cf6788379d9abbc03772db58
Description-en: C library to store sets/maps of IP address
 The ipset library provides C data types for storing sets of IP
 addresses, and maps of IP addresses to integers.  It supports both
 IPv4 and IPv6 addresses.  It's implemented using "Binary Decision
 Diagrams" (BDDs, https://en.wikipedia.org/wiki/Binary_decision_diagram),
 which (we hypothesize) makes it space efficient for large sets.
 .
 This package provides shared library.

Package: libcoro-perl
Description-md5: 0c6cab7368cefb4aba3ebeffab6ea9d8
Description-en: Perl framework implementing coroutines
 Coro is a collection of modules which manages continuations in general, most
 often in the form of cooperative threads (also called coros, or simply "coro"
 in the documentation). They do not actually execute at the same time, even on
 machines with multiple processors.
 .
 The specific flavor of thread offered by this module also guarantees you that
 it will not switch between threads unless necessary. It switches at easily-
 identified points in your program, so locking and parallel access are rarely
 an issue, making threaded programming much safer and easier than using other
 threading models.
 .
 Coro provides a full shared address space, which makes communication between
 threads very easy. A parallel matrix multiplication benchmark runs over 300
 times faster on a single core than perl's ithreads on a quad core using all
 four cores.
 .
 This version of Coro has been patched by the Debian Project to provide
 compatibility with Perl 5.22 and Perl 5.24. Please send bug reports to
 Debian (<https://www.debian.org/Bugs/>) and not the original author.

Package: libcorona-perl
Description-md5: 53415b42862f7aeb8d6dd0cfe1f79c2d
Description-en: Coro based PSGI web server
 Corona is a Coro based Plack web server. It uses Net::Server::Coro
 under the hood, which means there are coroutines (threads) for each
 socket, active connections and a main loop.

Package: libcortado-java
Description-md5: 8208b7f7efb3181c7e23234d93f43d7b
Description-en: streaming applet for Ogg formats -- shared components
 By embedding the cortado applet in your website, you can give viewers access
 to streams from either the Flumotion streaming server or any HTTP server
 providing multimedia files without the need for a locally installed media
 player supporting the correct formats on the visitor's computer.  Cortado
 currently include Java decoders for Ogg Theora, Ogg Vorbis (the JOrbis
 library), Mulaw audio, MJPEG and Flumotion's Smoke codec.
 .
 The package cotains the actual jar files that can be used by other programs to
 provide Theora playback capability.

Package: libcos4-2
Description-md5: 9d2b88b61746226db1aa810d5189301b
Description-en: omniORB CORBA services stubs
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes libcos4 and libcosdynamic4.

Package: libcos4-2-dbg
Description-md5: b3bd0046cacef9f5d88a5d357708a6c2
Description-en: omniORB CORBA services stubs debugging symbols
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes the debug versions of libcos4 and libcosdynamic4.

Package: libcos4-dev
Description-md5: e546d4c416ef7ebb363e32e3d12ee145
Description-en: omniORB CORBA services stubs development files
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes developer files for libcos4 and libcosdynamic4.

Package: libcothreads-ocaml-dev
Description-md5: 31451d9799e1279e7d93eef56f0e3707
Description-en: concurrent programming library for OCaml
 This library enhances the Threads library of the standard OCaml distribution
 in two dimensions:
 .
 - It implements the same API of the standard Threads library on different
 execution engines (process, networker), so that a single copy of
 source code can be compiled and deployed to different environments
 without modification.
 - It is also a super set of the standard Threads library, with extra
 components (STM etc.), functions (spawn etc.) and features (object-level
 compatibility etc.).
 .
 This package provides static libraries, interfaces, and documentation
 for coThreads.

Package: libcourier-unicode-dev
Description-md5: 0a66f8714157440b9993bfe1b7e2a2cb
Description-en: Courier Unicode library (development files and headers)
 This library implements several algorithms related to the Unicode
 Standard:
  - Look up uppercase, lowercase, and titlecase equivalents
    of a unicode character.
  - Implementation of grapheme and work breaking rules.
  - Implementation of line breaking rules.
  - Several ancillary functions, like looking up the unicode character
    that corresponds to some HTML 4.0 entity (such as “&amp;”, for
    example), and determining the normal width or a double-width status
    of a unicode character. Also, an adaptation of the iconv(3) API for
    this unicode library.
  - Look up Unicode script property.
 .
 This package contains the development files and headers.

Package: libcourier-unicode4
Description-md5: 550220e9e8944087bcba9ab32c1d15e7
Description-en: Courier Unicode library (shared runtime library)
 This library implements several algorithms related to the Unicode
 Standard:
  - Look up uppercase, lowercase, and titlecase equivalents
    of a unicode character.
  - Implementation of grapheme and work breaking rules.
  - Implementation of line breaking rules.
  - Several ancillary functions, like looking up the unicode character
    that corresponds to some HTML 4.0 entity (such as “&amp;”, for
    example), and determining the normal width or a double-width status
    of a unicode character. Also, an adaptation of the iconv(3) API for
    this unicode library.
  - Look up Unicode script property.
 .
 This package contains the shared runtime library.

Package: libcourriel-perl
Description-md5: 87e6741e58009550414e936382c3c980
Description-en: high level email parsing and manipulation module
 The Courriel class exists to provide a high level API for working with
 emails, particular for processing incoming email. It is primarily a wrapper
 around the other classes in the Courriel distro, especially
 Courriel::Headers, Courriel::Part::Single, and Courriel::Part::Multipart. If
 you need lower level information about an email, it should be available from
 one of this classes.

Package: libcoverart-dev
Description-md5: 80497e46a694262184de4ab729fdd78d
Description-en: library to access the Cover Art Archive (development files)
 The Cover Art Archive (CAA) is a joint project between MusicBrainz and
 Internet Archive that provides a covert art image database for music releases.
 Libcoverart is a client library to access the data stored in the CAA.
 .
 This package contains the development files.

Package: libcoverart-doc
Description-md5: 60b01b97f63e03fc574862de5b168317
Description-en: library to access the Cover Art Archive (developer documentation)
 The Cover Art Archive (CAA) is a joint project between MusicBrainz and
 Internet Archive that provides a covert art image database for music releases.
 Libcoverart is a client library to access the data stored in the CAA.
 .
 This package contains the developer documentation.

Package: libcoverart1
Description-md5: a98ea32c5c889df47fb87c401f5b3c81
Description-en: library to access the Cover Art Archive (C wrapper functions)
 The Cover Art Archive (CAA) is a joint project between MusicBrainz and
 Internet Archive that provides a covert art image database for music releases.
 Libcoverart is a client library to access the data stored in the CAA.
 .
 This package contains the shared library for the C wrapper functions.

Package: libcoverartcc1v5
Description-md5: 5d59323f82da02a6fd971486323f228d
Description-en: library to access the Cover Art Archive
 The Cover Art Archive (CAA) is a joint project between MusicBrainz and
 Internet Archive that provides a covert art image database for music releases.
 Libcoverart is a client library to access the data stored in the CAA.
 .
 This package contains the shared library.

Package: libcoy-perl
Description-md5: 60da0debefdf87402b1bcec5dc41ef8a
Description-en: replace perl error messages with haiku
 Coy is a perl module that generates haiku based on the error messages a
 perl program outputs. The haiku are not canned, they are generated fresh
 each time based on the error message.
 .
  Before use Coy: run
  code...read rebuke. After use
  Coy: run code...haiku!

Package: libcpan-audit-perl
Description-md5: 16ad583dfcc67411f17e82507a3c8e3d
Description-en: module to audit CPAN distributions for known vulnerabilities
 CPAN::Audit is a module and a database at the same time. It is used by the
 cpan-audit command line application to query for vulnerabilities.
 .
 cpan-audit is a command line application that checks the modules or
 distributions for known vulnerabilities. It is using its internal database
 that is automatically generated from a hand-picked database
 https://github.com/vti/cpan-security-advisory.

Package: libcpan-changes-perl
Description-md5: 2e59ee9cf3588f641e251e3a898749ad
Description-en: module for reading and writing CPAN Changes files
 CPAN::Changes is a Perl module for working with the quasi-standard Changes
 file commonly included with modern CPAN distributions. It allows developers
 to programmatically read and write files that comply with the preliminary
 CPAN::Changes::Spec specification.
 .
 Includes Test::CPAN::Changes for writing tests to ensure that the
 Changes file complies with CPAN::Changes::Spec.

Package: libcpan-checksums-perl
Description-md5: ee27039913de238b01372b0d36888ffa
Description-en: Perl module to write a CHECKSUMS file for a directory as on CPAN
 CPAN::Checksums writes CHECKSUMS files like the ones found on CPAN. This file
 contains PGP-signed checksum data which provides various ways to verify files,
 including a list of file sizes and checksums using various algorithms.

Package: libcpan-common-index-perl
Description-md5: 16bbb9e55991f5b68626b18488ca6001
Description-en: common library for searching CPAN modules, authors, and distributions
 CPAN::Common::Index provides a common library for working with a variety of
 CPAN index services. It is intentionally minimalist, trying to use as few
 non-core modules as possible.
 .
 CPAN::Common::Index is an abstract base class that defines a common API.
 Individual backends deliver the API for a particular index.

Package: libcpan-distnameinfo-perl
Description-md5: c494e0911f716e36fac123e267334ea1
Description-en: module to extract distribution name and version from a filename
 CPAN::DistnameInfo is a Perl module that extracts the name and version from a
 CPAN distribution name. It uses heuristics similar to those used by the main
 <URL:https://metacpan.org/> site to extract the distribution information.
 It also reports if the version is to be treated as a developer release.

Package: libcpan-inject-perl
Description-md5: 6ec22bc3717d14339c2de3e5ede67b4d
Description-en: module for injecting distributions into CPAN sources
 CPAN::Inject is a Perl module that provides a simple and reusable interface
 for injecting arbitrary packages to a CPAN mirror. Specifically, it accepts
 any Perl distribution tarball and performs some processing to make it look
 like it came from CPAN. Subsequently, the package will be installable from
 the CPAN Shell, with the full automatic recursive dependency resolution.
 .
 This package also provides a command line utility, cpaninject, which can be
 used to inject arbitrary packages under the officially blessed "Reserved
 Local CPAN Author" id, LOCAL.

Package: libcpan-meta-check-perl
Description-md5: fdb3101dc776ee51f79469ae21e1e0e3
Description-en: verify requirements in a CPAN::Meta object
 CPAN::Meta::Check verifies if requirements described in a CPAN::Meta
 object are present.

Package: libcpan-meta-requirements-perl
Description-md5: 1957ef3769d495a9cc64f0f83b07bd9e
Description-en: set of version requirements for a CPAN dist
 A CPAN::Meta::Requirements object models a set of version constraints like
 those specified in the META.yml or META.json files in CPAN distributions. It
 can be built up by adding more and more constraints, and it will reduce them
 to the simplest representation.
 .
 Logically impossible constraints will be identified immediately by thrown
 exceptions.

Package: libcpan-meta-yaml-perl
Description-md5: 457417de34739a0b9cff30434a5ef16c
Description-en: reimplementation of a subset of YAML for CPAN Meta files
 CPAN::Meta::YAML implements a subset of the YAML specification for use in
 reading and writing CPAN metadata files like META.yml and MYMETA.yml. It
 should not be used for any other general YAML parsing or generation task.

Package: libcpan-mini-inject-perl
Description-md5: aaf7c6f0fbd6e72e8219e7cd839eb1b9
Description-en: module to inject modules into a CPAN::Mini mirror
 CPAN::Mini::Inject uses CPAN::Mini to build or update a local CPAN mirror
 then adds modules from your repository to it. Allowing the inclusion of
 private modules in a minimal CPAN mirror. This enables the use of
 CPAN/CPANPLUS to install them.

Package: libcpan-mini-perl
Description-md5: 3d30fe463f09e2559457fee8bacb5949
Description-en: module for creating a minimal mirror of CPAN
 CPAN::Mini is a Perl module that provides a simple mechanism to build and
 update a minimal mirror of the CPAN on your local disk. It contains only
 those files needed to install the newest version of every distribution.
 .
 This package also provides a command line utility, minicpan, which can be
 used to create and update such mirrors easily and without writing any code.

Package: libcpan-perl-releases-perl
Description-md5: 04519beb960313d02273174b30e65a82
Description-en: module for mapping Perl releases on CPAN to the location of the tarballs
 CPAN::Perl::Releases is a module that contains the mappings of all Perl
 releases that have been uploaded to CPAN to the authors/id/ path that the
 tarballs reside in.
 .
 This is static data, but newer versions of this module will be made available
 as new releases of Perl are uploaded to CPAN.

Package: libcpan-reporter-perl
Description-md5: ad51c4cbee15ce84b150d8e4e3092ad9
Description-en: module which adds CPAN Testers reporting to CPAN.pm
 The CPAN Testers project captures and analyzes detailed results from building
 and testing CPAN distributions on multiple operating systems and multiple
 versions of Perl. This provides valuable feedback to module authors and
 potential users to identify bugs or platform compatibility issues and
 improves the overall quality and value of CPAN.
 .
 One way individuals can contribute is to send a report for each module that
 they test or install. CPAN::Reporter is an add-on for the CPAN.pm module to
 send the results of building and testing modules to the CPAN Testers project.
 Full support for CPAN::Reporter is available in CPAN.pm as of version 1.92.

Package: libcpan-reporter-smoker-perl
Description-md5: 1eb4d6ae5cb62314f1d08159edd0c2b5
Description-en: turnkey CPAN Testers smoking module
 Rudimentary smoke tester for CPAN Testers, built upon CPAN::Reporter. Use at
 your own risk. It requires a recent version of CPAN::Reporter to run.
 .
 Currently, CPAN::Reporter::Smoker requires zero independent configuration;
 instead it uses configuration settings from CPAN.pm and CPAN::Reporter.
 .
 Once started, it retrieves a list of distributions from the configured CPAN
 mirror and begins testing them in reverse order of upload. It will skip any
 distribution which has already had a report sent by CPAN::Reporter.
 .
 Features:
  * No configuration needed
  * Tests each distribution as a separate CPAN process -- each distribution
    has prerequisites like build_requires satisfied from scratch
  * Automatically checks for new distributions every twelve hours or as
    otherwise specified
  * Continues until interrupted with CTRL-C
  * Checks CPAN.pm "distroprefs" to see if distributions should be skipped
    (before handing off to CPAN)

Package: libcpan-sqlite-perl
Description-md5: 5a4920abba1b62888d29de32611ec559
Description-en: module to maintain a minimal CPAN database with SQLite
 CPAN::SQLite is used for setting up, maintaining, and searching through a
 local CPAN database consisting of information in the three main CPAN index
 files:
 .
  $CPAN/authors/01mailrc.txt.gz
  $CPAN/modules/02packages.details.txt.gz
  $CPAN/modules/03modlist.data.gz
 .
 DBD::SQLite is used as the database engine.

Package: libcpan-uploader-perl
Description-md5: 47fab965d8d61128afa9a45a59bd77c8
Description-en: module to upload packages to the CPAN
 CPAN::Uploader is a Perl module which allows developers to upload their
 modules and packages to the Comprehensive Perl Archive Network (CPAN) via
 the [Perl programming] Authors Upload Server (more commonly known as PAUSE).
 .
 It provides a direct programmatic interface via a singleton class, but also
 includes a command-line utility called `cpan-upload' to do the same.

Package: libcpandb-perl
Description-md5: e5059adf7dd7e2137c472c76e6d4b736
Description-en: Perl module for working with the unified CPAN database
 CPANDB is a module for retrieving various types of information about CPAN
 modules. It pulls data from different places, combining them in one SQLite
 database for convenient use.

Package: libcpanplus-dist-build-perl
Description-md5: 1385447b980c52dd4213227c19d951cc
Description-en: CPANPLUS plugin to install packages that use Build.PL
 CPANPLUS::Dist::Build is a distribution class for Module::Build related
 modules. Using this package, you can create, install and uninstall perl
 modules. It inherits from CPANPLUS::Dist.
 .
 Normal users won't have to worry about the interface to this module, as it
 functions transparently as a plug-in to CPANPLUS and will just Do The Right
 Thing when it's loaded.

Package: libcpanplus-perl
Description-md5: 717fad801674777feb089569261e07d7
Description-en: API & CLI access to the CPAN mirrors
 The CPANPLUS library is an API to the CPAN mirrors and a collection of
 interactive shells, commandline programs, etc, that use this API.

Package: libcpath-clojure
Description-md5: 69e54ad77b84e133a7d90d57f186bc27
Description-en: transparently collect files on the classpath
 cpath-clj is a small library to transparently collect files on the classpath
 based on a given base directory. Since that directory might be located inside a
 JAR, it is not always trivial to do so.

Package: libcpdb-libs-backend-dev
Description-md5: 56894a89ee30c524cfa58fe31108eca1
Description-en: Common Print Dialog Backends - Development files for Backend Library
 The Common Print Dialog Backends project provides a D-Bus interface
 so that the print dialogs of GUI applications and the communication
 with the print technologies (CUPS/IPP, Google Cloud Print, Save to
 File, ...)  are put into separate executables to be separately
 exchangeable.
 .
 The print dialogs of the different GUI toolkits and applications
 (GTK, Qt, LibreOffice, ...) are the frontends and to communicate with
 the different print technologies they use common backends. This way
 one simply adds new backends for new print technologies and updates
 the backends for changes in the print technologies, and immediately
 all applications are up-to-date, without need of modifying the code
 of the GUI toolkits or applications.
 .
 This package contains the header files to develop print dialog
 backends using libcpdb-libs-backend.

Package: libcpdb-libs-common-dev
Description-md5: 69c83ad454718ed0c402ef562d97101e
Description-en: Common Print Dialog Backends - Development files for both libraries
 The Common Print Dialog Backends project provides a D-Bus interface
 so that the print dialogs of GUI applications and the communication
 with the print technologies (CUPS/IPP, Google Cloud Print, Save to
 File, ...)  are put into separate executables to be separately
 exchangeable.
 .
 The print dialogs of the different GUI toolkits and applications
 (GTK, Qt, LibreOffice, ...) are the frontends and to communicate with
 the different print technologies they use common backends. This way
 one simply adds new backends for new print technologies and updates
 the backends for changes in the print technologies, and immediately
 all applications are up-to-date, without need of modifying the code
 of the GUI toolkits or applications.
 .
 This package contains a common header file to develop print dialogs
 supporting the Common Print Dialog Backends interface using
 libcpdb-libs-backend or libcpdb-libs-frontend.

Package: libcpdb-libs-common1
Description-md5: 413483fa13db280b613e61639b34d2cc
Description-en: Common Print Dialog Backends - Interface Library for Backends
 The Common Print Dialog Backends project provides a D-Bus interface
 so that the print dialogs of GUI applications and the communication
 with the print technologies (CUPS/IPP, Google Cloud Print, Save to
 File, ...)  are put into separate executables to be separately
 exchangeable.
 .
 The print dialogs of the different GUI toolkits and applications
 (GTK, Qt, LibreOffice, ...) are the frontends and to communicate with
 the different print technologies they use common backends. This way
 one simply adds new backends for new print technologies and updates
 the backends for changes in the print technologies, and immediately
 all applications are up-to-date, without need of modifying the code
 of the GUI toolkits or applications.
 .
 This package contains the library which provides the functions needed
 by both the frontends and the backends.

Package: libcpdb-libs-frontend-dev
Description-md5: c06c81f9d9618c91777c6f5e02cff886
Description-en: Common Print Dialog Backends - Development files for Frontend Library
 The Common Print Dialog Backends project provides a D-Bus interface
 so that the print dialogs of GUI applications and the communication
 with the print technologies (CUPS/IPP, Google Cloud Print, Save to
 File, ...)  are put into separate executables to be separately
 exchangeable.
 .
 The print dialogs of the different GUI toolkits and applications
 (GTK, Qt, LibreOffice, ...) are the frontends and to communicate with
 the different print technologies they use common backends. This way
 one simply adds new backends for new print technologies and updates
 the backends for changes in the print technologies, and immediately
 all applications are up-to-date, without need of modifying the code
 of the GUI toolkits or applications.
 .
 This package contains the header files to develop print dialogs
 supporting the Common Print Dialog Backends interface using
 libcpdb-libs-frontend.

Package: libcpdb-libs-frontend1
Description-md5: 26f0559054dbae82ed5eb0d2f4c5520f
Description-en: Common Print Dialog Backends - Interface Library for Frontends
 The Common Print Dialog Backends project provides a D-Bus interface
 so that the print dialogs of GUI applications and the communication
 with the print technologies (CUPS/IPP, Google Cloud Print, Save to
 File, ...)  are put into separate executables to be separately
 exchangeable.
 .
 The print dialogs of the different GUI toolkits and applications
 (GTK, Qt, LibreOffice, ...) are the frontends and to communicate with
 the different print technologies they use common backends. This way
 one simply adds new backends for new print technologies and updates
 the backends for changes in the print technologies, and immediately
 all applications are up-to-date, without need of modifying the code
 of the GUI toolkits or applications.
 .
 This package contains the library which provides the functions needed
 by the frontends (print dialogs).

Package: libcpgplot0
Description-md5: 25dace0ee3c566eb11c9b96e6cbef225
Description-en: CPGPLOT replacement shared lib based on giza
 Giza is a lightweight scientific plotting library built on top of cairo that
 provides uniform output to multiple devices. Giza also provides a
 drop-in, modern replacement for the PGPLOT graphics library.
 .
 This package provides a free replacement for the CPGPLOT shared lib.

Package: libcpl-dev
Description-md5: 231da2850196f5814401fe70a32cc870
Description-en: ESO library for automated astronomical data-reduction
 The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that
 provide a comprehensive, efficient and robust software toolkit. It forms a
 basis for the creation of automated astronomical data-reduction tasks (known
 as "pipelines").
 .
 The CPL was developed to standardise the way instrument pipelines
 are built, to shorten their development cycle and to ease their
 maintenance. However, it may be more generally applied to any similar
 application, and the details of the CPL code have been engineered in
 a way to make the library portable and flexible, as well as
 minimising external dependencies.
 .
 The CPL provides a host of functionality, presented in a clear,
 generic and uniform manner. Among its many features, the CPL offers:
 .
  * many useful low-level data types (images, tables, matrices, strings,
    property lists, ...),
  * many fundamental statistic, arithmetic and conversion operations for
    these data types.
  * medium-level data access methods (e.g. a data abstraction layer for
    FITS files),
  * data table organisation and manipulation,
  * keyword/value handling and management,
  * a standardised application interface for pipeline recipes, and,
  * support for dynamic loading of recipe modules.
 .
 Despite the current bias towards instrument pipeline development, the
 library also provides a variety of general-purpose image- and
 signal-processing functions, making it an excellent framework for the
 creation of more generic data-handling packages.
 .
 This package contains the static library and the C header files.

Package: libcpl-doc
Description-md5: 389e976dbaff760faaf168ba34cc66f3
Description-en: API documentation for the Common Pipeline Library
 The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that
 provide a comprehensive, efficient and robust software toolkit. It forms a
 basis for the creation of automated astronomical data-reduction tasks (known
 as "pipelines").
 .
 This package contains the API documentation for libcpl.

Package: libcplcore26
Description-md5: 79ede203fa8040230e8100f736e206a3
Description-en: Fundamental CPL data types and functions
 The CPLCORE library provides the fundamental CPL data types (such as cpl
 image, cpl table, cpl vector, cpl matrix etc.), the operations defined on
 these data types, and elementary utility functions. There should not be any
 physical units associated with any of the data types or functions of that
 library.
 .
 The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that
 provide a comprehensive, efficient and robust software toolkit. It forms a
 basis for the creation of automated astronomical data-reduction tasks (known
 as "pipelines").

Package: libcpldfs26
Description-md5: e3e14547eb70163b7b85a00b2c81d272
Description-en: CPL functions for Data Flow System compatibility
 The functions of this library ensure the compliance of pipeline
 products with the Data Flow System (DFS) of the European Southern
 Observatory (ESO).
 .
 The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that
 provide a comprehensive, efficient and robust software toolkit. It forms a
 basis for the creation of automated astronomical data-reduction tasks (known
 as "pipelines").

Package: libcpldrs26
Description-md5: 863073bae4fe2c649e69c0175cc3d720
Description-en: CPL higher level data processing algorithms
 The CPLDRS (DRS for Data Reduction System) implements higher level data
 processing algorithms (such as wavelength calibration, image recombination,
 dark-frame correction and so on).
 .
 The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that
 provide a comprehensive, efficient and robust software toolkit. It forms a
 basis for the creation of automated astronomical data-reduction tasks (known
 as "pipelines").

Package: libcpluff0
Description-md5: 5eb68e8b0d41c7b61cce50c794642af0
Description-en: C-Pluff, a plug-in framework for C - runtime library
 C-Pluff is a plug-in framework for C programs. It has been strongly
 inspired by the Java plug-in framework in Eclipse. C-Pluff focuses on
 providing core services for plug-in interaction and plug-in management.
 It aims to be platform neutral and supports dynamic changes to plug-in
 configuration without stopping the whole application or framework.
 .
 This package contains the C-Pluff runtime library.

Package: libcpluff0-dev
Description-md5: 7b9b84c40d9f8b054cd631a6c65a223e
Description-en: C-Pluff, a plug-in framework for C - development kit
 C-Pluff is a plug-in framework for C programs. It has been strongly
 inspired by the Java plug-in framework in Eclipse. C-Pluff focuses on
 providing core services for plug-in interaction and plug-in management.
 It aims to be platform neutral and supports dynamic changes to plug-in
 configuration without stopping the whole application or framework.
 .
 This package provides all the resources needed for developing extensible
 applications based on C-Pluff. It includes the header files, development
 libraries, development documentation, example source code and the C-Pluff
 Console utility. The package also pulls in the C-Pluff Loader from a
 separate package.

Package: libcplui26
Description-md5: cd484e644140e39759cb1df63f22ed13
Description-en: CPL framework interface library
 The CPLUI (UI for User Interface) provides services defining the standard
 interface for recipes and provides more complex data reduction related
 utilities and services. In particular, it contains the necessary types to
 handle the plugin interface, the parameters used to handle command-line
 options and the (set of) frames used to store the input list of files.
 .
 The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that
 provide a comprehensive, efficient and robust software toolkit. It forms a
 basis for the creation of automated astronomical data-reduction tasks (known
 as "pipelines").

Package: libcpp-common0d
Description-md5: db4f647f5e1ec4cc676f54b80ce58c66
Description-en: Robot OS utility library
 This package is part of Robot OS (ROS). It contains the C++ library
 libcpp_common which is code for doing things that are not necessarily ROS
 related, but are useful for multiple packages. This includes things like the
 ROS_DEPRECATED and ROS_FORCE_INLINE macros, as well as code for getting
 backtraces.

Package: libcpp-hocon-dev
Description-md5: 8530a2e69f52e72f072d3af7012d3b76
Description-en: C++ port of the TypesafeConfig library -- development files
 cpp-hocon is a port of the TypsafeConfig library to C++. It provides support
 for the HOCON configuration file format. HOCON aims to keep the semantics
 (tree structure; set of types; encoding/escaping) from JSON, but make it more
 convenient as a human-editable config file format.
 .
 This package contains cpp-hocon's development headers.

Package: libcpp-hocon0.1.7
Description-md5: f6edb9663eb6d95a1fe1de85e4545479
Description-en: C++ port of the TypesafeConfig library -- shared libraries
 cpp-hocon is a port of the TypsafeConfig library to C++. It provides support
 for the HOCON configuration file format. HOCON aims to keep the semantics
 (tree structure; set of types; encoding/escaping) from JSON, but make it more
 convenient as a human-editable config file format.
 .
 This package contains the shared library.

Package: libcppdb-dev
Description-md5: 0573fa71daea8d9e93749efac010165a
Description-en: SQL Connectivity Library (development files)
 CppDB is an SQL connectivity library that is designed to provide platform and
 Database independent connectivity API similarly to what JDBC, ODBC and other
 connectivity libraries do.
 .
 This library is developed as part of CppCMS Project - the C++ Web Development
 Framework.
 .
 CppDB was designed with following goals in the mind:
  - Performance is the primary goal - make fastest possible SQL connectivity as
    possible
  - Transparent connection pooling support
  - Transparent prepared statements caching
  - Dynamic DB modules loading and optional static linking
  - Full and high priority support of FOSS RDBMS: MySQL, PostgreSQL, Sqlite3
  - Support as many RDBMSs as possible via cppdb-odbc bridge
  - Simplicity in use
  - Locale safety
  - Support of both explicit verbose API and brief and nice syntactic sugar
 .
 This package contains the development files.

Package: libcppdb-mysql0
Description-md5: 5327aa84a1c587193b591955a89c55f0
Description-en: SQL Connectivity Library (MySQL backend)
 CppDB is an SQL connectivity library that is designed to provide platform and
 Database independent connectivity API similarly to what JDBC, ODBC and other
 connectivity libraries do.
 .
 This library is developed as part of CppCMS Project - the C++ Web Development
 Framework.
  .
 CppDB was designed with following goals in the mind:
  - Performance is the primary goal - make fastest possible SQL connectivity as
    possible
  - Transparent connection pooling support
  - Transparent prepared statements caching
  - Dynamic DB modules loading and optional static linking
  - Full and high priority support of FOSS RDBMS: MySQL, PostgreSQL, Sqlite3
  - Support as many RDBMSs as possible via cppdb-odbc bridge
  - Simplicity in use
  - Locale safety
  - Support of both explicit verbose API and brief and nice syntactic sugar
 .
 This package contains the MySQL backend

Package: libcppdb-odbc0
Description-md5: 01fab737d750d415afc736f973f21227
Description-en: SQL Connectivity Library (odbc backend)
 CppDB is an SQL connectivity library that is designed to provide platform and
 Database independent connectivity API similarly to what JDBC, ODBC and other
 connectivity libraries do.
 .
 This library is developed as part of CppCMS Project - the C++ Web Development
 Framework.
  .
 CppDB was designed with following goals in the mind:
  - Performance is the primary goal - make fastest possible SQL connectivity as
    possible
  - Transparent connection pooling support
  - Transparent prepared statements caching
  - Dynamic DB modules loading and optional static linking
  - Full and high priority support of FOSS RDBMS: MySQL, PostgreSQL, Sqlite3
  - Support as many RDBMSs as possible via cppdb-odbc bridge
  - Simplicity in use
  - Locale safety
  - Support of both explicit verbose API and brief and nice syntactic sugar
 .
 This package contains the odbc backend

Package: libcppdb-postgresql0
Description-md5: 933b39a23d9ef62c6d15e7455223b94a
Description-en: SQL Connectivity Library (PostgreSQL backend)
 CppDB is an SQL connectivity library that is designed to provide platform and
 Database independent connectivity API similarly to what JDBC, ODBC and other
 connectivity libraries do.
 .
 This library is developed as part of CppCMS Project - the C++ Web Development
 Framework.
  .
 CppDB was designed with following goals in the mind:
  - Performance is the primary goal - make fastest possible SQL connectivity as
    possible
  - Transparent connection pooling support
  - Transparent prepared statements caching
  - Dynamic DB modules loading and optional static linking
  - Full and high priority support of FOSS RDBMS: MySQL, PostgreSQL, Sqlite3
  - Support as many RDBMSs as possible via cppdb-odbc bridge
  - Simplicity in use
  - Locale safety
  - Support of both explicit verbose API and brief and nice syntactic sugar
 .
 This package contains the PostgreSQL backend

Package: libcppdb-sqlite3-0
Description-md5: 31523443a79dfcfde46e2d75c4c8cda0
Description-en: SQL Connectivity Library (sqlite3 backend)
 CppDB is an SQL connectivity library that is designed to provide platform and
 Database independent connectivity API similarly to what JDBC, ODBC and other
 connectivity libraries do.
 .
 This library is developed as part of CppCMS Project - the C++ Web Development
 Framework.
  .
 CppDB was designed with following goals in the mind:
  - Performance is the primary goal - make fastest possible SQL connectivity as
    possible
  - Transparent connection pooling support
  - Transparent prepared statements caching
  - Dynamic DB modules loading and optional static linking
  - Full and high priority support of FOSS RDBMS: MySQL, PostgreSQL, Sqlite3
  - Support as many RDBMSs as possible via cppdb-odbc bridge
  - Simplicity in use
  - Locale safety
  - Support of both explicit verbose API and brief and nice syntactic sugar
 .
 This package contains the sqlite3 backend

Package: libcppdb0
Description-md5: 4fbaec66c54a2381120ee6ecf86cb2da
Description-en: SQL Connectivity Library (core library)
 CppDB is an SQL connectivity library that is designed to provide platform and
 Database independent connectivity API similarly to what JDBC, ODBC and other
 connectivity libraries do.
 .
 This library is developed as part of CppCMS Project - the C++ Web Development
 Framework.
  .
 CppDB was designed with following goals in the mind:
  - Performance is the primary goal - make fastest possible SQL connectivity as
    possible
  - Transparent connection pooling support
  - Transparent prepared statements caching
  - Dynamic DB modules loading and optional static linking
  - Full and high priority support of FOSS RDBMS: MySQL, PostgreSQL, Sqlite3
  - Support as many RDBMSs as possible via cppdb-odbc bridge
  - Simplicity in use
  - Locale safety
  - Support of both explicit verbose API and brief and nice syntactic sugar
 .
 This package contains the core library

Package: libcpprest-dev
Description-md5: e7423ec6ec8f88dd9e50724424fb3f0a
Description-en: Development files for C++ REST SDK / Casablanca
 The C++ REST SDK (codename "Casablanca") is a Microsoft project for cloud-based
 client-server communication in native code using a modern asynchronous C++ API
 design. This project allows C++ developers to take advantage of the radical
 shift in software architecture that cloud computing represents.
  * Support for accessing REST services from native code on Linux, Windows
    Vista, Windows 7, and Windows 8 by providing asynchronous C++ bindings
    to HTTP, JSON, and URIs
  * Implementation of Asynchronous Streams and Stream Buffers
  * A consistent and powerful model for composing asynchronous operations based
    on C++ 11 features
  * A set of samples and reference documentation
  * New experimental features such as HTTP Listener library and support for
  Windows Phone 8 and Windows XP.
 .
 This package contains header files that are needed to develop and
 compile applications which utilizes the C++ REST SDK / Casablanca framework.

Package: libcpprest-doc
Description-md5: 5de49402d1f943e9e087bc124c8d2e05
Description-en: Reference manual for C++ REST SDK / Casablanca
 The C++ REST SDK (codename "Casablanca") is a Microsoft project for cloud-based
 client-server communication in native code using a modern asynchronous C++ API
 design. This project allows C++ developers to take advantage of the radical
 shift in software architecture that cloud computing represents.
  * Support for accessing REST services from native code on Linux, Windows
    Vista, Windows 7, and Windows 8 by providing asynchronous C++ bindings
    to HTTP, JSON, and URIs
  * Implementation of Asynchronous Streams and Stream Buffers
  * A consistent and powerful model for composing asynchronous operations based
    on C++ 11 features
  * A set of samples and reference documentation
  * New experimental features such as HTTP Listener library and support for
  Windows Phone 8 and Windows XP.
 .
 This package contains the common documentation.

Package: libcpprest2.10
Description-md5: 560e9c15bffa3441b7a4410c574de00a
Description-en: Shared library for C++ REST SDK / Casablanca
 The C++ REST SDK (codename "Casablanca") is a Microsoft project for cloud-based
 client-server communication in native code using a modern asynchronous C++ API
 design. This project allows C++ developers to take advantage of the radical
 shift in software architecture that cloud computing represents.
  * Support for accessing REST services from native code on Linux, Windows
    Vista, Windows 7, and Windows 8 by providing asynchronous C++ bindings
    to HTTP, JSON, and URIs
  * Implementation of Asynchronous Streams and Stream Buffers
  * A consistent and powerful model for composing asynchronous operations based
    on C++ 11 features
  * A set of samples and reference documentation
  * New experimental features such as HTTP Listener library and support for
  Windows Phone 8 and Windows XP.
 .
 This package contains the library files.

Package: libcpprspserver-dev
Description-md5: 9f9ab4b24ac3ad9659d7e2f9fabdda32
Description-en: headers of the C++ RSerPool client/server API library
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This package provides the header files for the rsplib C++ API. You need them to
 develop your own RSerPool-based clients and servers based on the C++ API.

Package: libcpprspserver3
Description-md5: d195874e8e2655ae185275f580e72530
Description-en: C++ RSerPool client/server API library
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This package provides an object-oriented API for the rsplib library.

Package: libcpptest-dev
Description-md5: bac7c66d846f3df7f3ecb9a9599b4936
Description-en: unit testing framework for C++ (development)
 CppTest is a portable and powerful, yet simple, unit testing framework for
 handling automated tests in C++. The focus lies on usability and extensibility.
 Several output formats, including simple text output, compiler-like output and
 HTML, are supported and new ones are easily added.
 .
 This package contains the development libraries and headers.

Package: libcpptest-doc
Description-md5: d7e95efe66e276c3a895030905acc408
Description-en: unit testing framework for C++ (documentation)
 CppTest is a portable and powerful, yet simple, unit testing framework for
 handling automated tests in C++. The focus lies on usability and extensibility.
 Several output formats, including simple text output, compiler-like output and
 HTML, are supported and new ones are easily added.
 .
 This package contains the document files for the libs and dev packages.

Package: libcpptest1
Description-md5: e60d01c35be2d67e693324099584bbbc
Description-en: unit testing framework for C++
 CppTest is a portable and powerful, yet simple, unit testing framework for
 handling automated tests in C++. The focus lies on usability and extensibility.
 Several output formats, including simple text output, compiler-like output and
 HTML, are supported and new ones are easily added.
 .
 This package contains the libraries for cpptest.

Package: libcppunit-1.15-0
Description-md5: dca7bb14a898276dca99f38b635142d2
Description-en: Unit Testing Library for C++
 CppUnit is a simple Framework for incorporating test cases in
 your C++ code.  It is similar to, and inspired by, xUnit and
 JUnit.

Package: libcppunit-dev
Description-md5: dca7bb14a898276dca99f38b635142d2
Description-en: Unit Testing Library for C++
 CppUnit is a simple Framework for incorporating test cases in
 your C++ code.  It is similar to, and inspired by, xUnit and
 JUnit.

Package: libcppunit-doc
Description-md5: dca7bb14a898276dca99f38b635142d2
Description-en: Unit Testing Library for C++
 CppUnit is a simple Framework for incorporating test cases in
 your C++ code.  It is similar to, and inspired by, xUnit and
 JUnit.

Package: libcpputest-dev
Description-md5: b07ac030862bd2010a81b8d93f0d453e
Description-en: C/C++ based unit test framework — headers and static libraries
 CppUTest is a C/C++ based unit xUnit test framework for unit testing and
 for test-driving your code. It is written in C++ but is used in C and C++
 projects and frequently used in embedded systems.
 .
 CppUTest has a couple design principles:
  * Simple to use and small
  * Portable to old and new platforms
 .
 CppUTest also has support for building mocks and can be used by
 practitioners of Test Driven Development.
 .
 This is the package with the headers and the static libraries implementing
 the test framework.

Package: libcps-perl
Description-md5: 389b95992c07b0301afcbd545e71c0da
Description-en: module to manage flow of control in Continuation Passing Style
 CPS is a Perl module that enables developers to write code in Continuation
 Passing Style, which is a style of writing code where the normal call/return
 mechanism is replaced by explicit "continuations". It is useful whenever some
 form of asynchronous or event-based programming is in use.
 .
 Note: This module is entirely deprecated now. It is maintained for
 compatibility for any code still using it, but please consider rewriting to
 use Future instead, which offers a far neater method of representing
 asynchronous program and data flow. In addition, Future::AsyncAwait can
 further improve readability of Future-based code by letting it use the
 familiar kinds of Perl control structure while still being asynchronous.

Package: libcpufreq-dev
Description-md5: 2b21fbbb72fdd73ad7d91131094b262f
Description-en: development files to deal with the cpufreq Linux kernel feature
 This package provides everything that is needed for developing own
 programs using libcpufreq.

Package: libcpufreq0
Description-md5: fcc22fed9052f900ec2f715653b1e465
Description-en: shared library to deal with the cpufreq Linux kernel feature
 This library provide an unified method to access the CPUFreq kernel
 interface.

Package: libcpuid-dev
Description-md5: 749ff19cc368f53d2b7195ee82678a98
Description-en: C library for x86/x86_64 CPU detection and feature extraction (headers)
 libcpuid is a small C library for x86 CPU detection and feature
 extraction. Using it, you can:
 .
    - get the processor vendor, model, brand string, code name,
    - get information about CPU features such as: number of cores or logical
      CPUs, cache sizes, CPU clock,
    - check if the processor implements a specific instruction set such as
      the SSE2, 3DNow!,
    - execute the CPUID and RDTSC instructions (portably!)
 .
 This package ships the libcpuid development headers.

Package: libcpuid14
Description-md5: a046fed8afd8909c28c838a8f163bbf7
Description-en: C library for x86/x86_64 CPU detection and feature extraction (shared lib)
 libcpuid is a small C library for x86 CPU detection and feature
 extraction. Using it, you can:
 .
    - get the processor vendor, model, brand string, code name,
    - get information about CPU features such as: number of cores or logical
      CPUs, cache sizes, CPU clock,
    - check if the processor implements a specific instruction set such as
      the SSE2, 3DNow!,
    - execute the CPUID and RDTSC instructions (portably!)
 .
 This package ships the libcpuid shared library.

Package: libcpuinfo-dev
Description-md5: 05fd14a2620097145c3ff8f1ef7a1ea5
Description-en: CPU INFOrmation library (header file)
 cpuinfo is a library to detect essential for performance optimization
 information about host CPU.
 .
 Features
 .
   - **Cross-platform** availability:
     - Linux, Windows, macOS, Android, and iOS operating systems
     - x86, x86-64, ARM, and ARM64 architectures
   - Modern **C/C++ interface**
     - Thread-safe
     - No memory allocation after initialization
     - No exceptions thrown
   - Detection of **supported instruction sets**, up to AVX512 (x86) and
     ARMv8.3 extensions
   - Detection of SoC and core information:
     - **Processor (SoC) name**
     - Integrated **GPU name** (Android/iOS only)
     - Vendor, **microarchitecture**, and ID (**MIDR** on ARM, **CPUID**
       leaf 1 EAX value on x86) for each CPU core
   - Detection of **cache information**:
     - Cache type (instruction/data/unified), size and line size
     - Cache associativity
     - Cores and logical processors (hyper-threads) sharing the cache
   - Detection of **topology information** (relative between logical
     processors, cores, and processor packages)
   - Well-tested **production-quality** code:
     - 60+ mock tests based on data from real devices
     - Includes work-arounds for common bugs in hardware and OS kernels
     - Supports systems with heterogenous cores, such as **big.LITTLE**
       and Max.Med.Min
   - Permissive **open-source** license (Simplified BSD)
 .
 This package ships with the header file.

Package: libcpuinfo0
Description-md5: 2a028083fb0ded07b60c6de48d30d6aa
Description-en: CPU INFOrmation library (shared object)
 cpuinfo is a library to detect essential for performance optimization
 information about host CPU.
 .
 Features
 .
   - **Cross-platform** availability:
     - Linux, Windows, macOS, Android, and iOS operating systems
     - x86, x86-64, ARM, and ARM64 architectures
   - Modern **C/C++ interface**
     - Thread-safe
     - No memory allocation after initialization
     - No exceptions thrown
   - Detection of **supported instruction sets**, up to AVX512 (x86) and
     ARMv8.3 extensions
   - Detection of SoC and core information:
     - **Processor (SoC) name**
     - Integrated **GPU name** (Android/iOS only)
     - Vendor, **microarchitecture**, and ID (**MIDR** on ARM, **CPUID**
       leaf 1 EAX value on x86) for each CPU core
   - Detection of **cache information**:
     - Cache type (instruction/data/unified), size and line size
     - Cache associativity
     - Cores and logical processors (hyper-threads) sharing the cache
   - Detection of **topology information** (relative between logical
     processors, cores, and processor packages)
   - Well-tested **production-quality** code:
     - 60+ mock tests based on data from real devices
     - Includes work-arounds for common bugs in hardware and OS kernels
     - Supports systems with heterogenous cores, such as **big.LITTLE**
       and Max.Med.Min
   - Permissive **open-source** license (Simplified BSD)
 .
 This package ships with the shared object.

Package: libcpuset-dev
Description-md5: bb881a6c0cd0df280cf114f772fb7c1e
Description-en: header files and docs for libcpuset
 Contains the header files and documentation for libcpuset
 for use in developing applications that use the libcpuset library.
 .
 The Cpuset System is a processor and memory placement mechanism that
 enables a system administrator to confine tasks to running on
 certain CPUs, and to allocating memory on certain Memory Nodes.
 The libcpuset library provides a convenient 'C' API to cpusets.

Package: libcpuset1
Description-md5: 0d2f2166dc89c74c09c666ab94a07fdc
Description-en: assigns a set of CPUs and Memory Nodes to a set of tasks
 The Cpuset System is a processor and memory placement mechanism that
 enables a system administrator to confine tasks to running on
 certain CPUs, and to allocating memory on certain Memory Nodes.
 The libcpuset library provides a convenient 'C' API to cpusets.

Package: libcql-parser-perl
Description-md5: f4d9a63456fcb704fb7f9bdec25c08d8
Description-en: Common Query Language parser
 CQL::Parser is a base class for boolean nodes in a CQL parse tree. See
 CQL::AndNode and CQL::OrNode. CQL::BooleanNode inherits from CQL::Node.
 Typically you'll want to use CQL::AndNode or CQL::OrNode to instantiate the
 object.

Package: libcqrlib-dev
Description-md5: be7089c73a92b02e68196dee2f0a3331
Description-en: Library and headers for quaternion arithmetic and rotation math
 Quaternions are four-dimensional numbers which form an associative normed
 division algebra over the real numbers. Unit quaternions are a convenient
 and efficient way to represent orientations and rotations in three
 dimensions.
 .
 CQRlib is an ANSI C implementation of a utility library for quaternion
 arithmetic and quaternion rotation math.
 .
 This package contains files needed for compiling programs using CQRlib.

Package: libcqrlib2
Description-md5: 54285a5baa6d62869761bcf86aa0138d
Description-en: Library for quaternion arithmetic and rotation math
 Quaternions are four-dimensional numbers which form an associative normed
 division algebra over the real numbers. Unit quaternions are a convenient
 and efficient way to represent orientations and rotations in three
 dimensions.
 .
 CQRlib is an ANSI C implementation of a utility library for quaternion
 arithmetic and quaternion rotation math.

Package: libcrcutil-dev
Description-md5: 7cbb589eb48b2c03698723b050d7e04d
Description-en: library for cyclic redundancy check (CRC) computation - development files
 Crcutil library provides efficient implementation of CRC algorithms. It
 includes reference implementation of a novel Multiword CRC algorithm
 invented by Andrew Kadatch and Bob Jenkins in early 2007. The new
 algorithm is heavily tuned towards modern Intel and AMD processors and is
 substantially faster than almost all other software CRC algorithms.
 .
 Included whitepaper describes the details of Multiword CRC algorithm as
 well as other algorithms and CRC manipulation routines implemented by
 crcutil library.
 .
 Crcutil library computes:
  * Hardware-assisted CRC32C: 0.13 (Nehalem) CPU cycles per byte.
  * 64-bit and smaller CRCs: 1.0 (Nehalem) - 1.2 (Core) CPU cycles per byte.
  * 128-bit CRCs: 1.7 CPU cycles per byte.
 .
 Since computational speed of 64-bit CRCs is identical to 32-bit and
 16-bit, the use of crcutil library enables widespread use of more reliable
 64-bit CRCs instead of 32-bit ones.
 .
 As a bonus, crcutil also implements a number of useful CRC "tricks" (e.g.
 computation of CRC of concatenated blocks of data of known length and CRC
 without touching actual data).
 .
 This package provides development files.

Package: libcrcutil-doc
Description-md5: cc71c2c424596597f250c5939920e145
Description-en: library for cyclic redundancy check (CRC) computation - documentation
 Crcutil library provides efficient implementation of CRC algorithms. It
 includes reference implementation of a novel Multiword CRC algorithm
 invented by Andrew Kadatch and Bob Jenkins in early 2007. The new
 algorithm is heavily tuned towards modern Intel and AMD processors and is
 substantially faster than almost all other software CRC algorithms.
 .
 Included whitepaper describes the details of Multiword CRC algorithm as
 well as other algorithms and CRC manipulation routines implemented by
 crcutil library.
 .
 Crcutil library computes:
  * Hardware-assisted CRC32C: 0.13 (Nehalem) CPU cycles per byte.
  * 64-bit and smaller CRCs: 1.0 (Nehalem) - 1.2 (Core) CPU cycles per byte.
  * 128-bit CRCs: 1.7 CPU cycles per byte.
 .
 Since computational speed of 64-bit CRCs is identical to 32-bit and
 16-bit, the use of crcutil library enables widespread use of more reliable
 64-bit CRCs instead of 32-bit ones.
 .
 As a bonus, crcutil also implements a number of useful CRC "tricks" (e.g.
 computation of CRC of concatenated blocks of data of known length and CRC
 without touching actual data).
 .
 This package provides PDF documentation.

Package: libcrcutil0
Description-md5: 5bab1273f483753a32be02c4ef88f671
Description-en: library for cyclic redundancy check (CRC) computation
 Crcutil library provides efficient implementation of CRC algorithms. It
 includes reference implementation of a novel Multiword CRC algorithm
 invented by Andrew Kadatch and Bob Jenkins in early 2007. The new
 algorithm is heavily tuned towards modern Intel and AMD processors and is
 substantially faster than almost all other software CRC algorithms.
 .
 Included whitepaper describes the details of Multiword CRC algorithm as
 well as other algorithms and CRC manipulation routines implemented by
 crcutil library.
 .
 Crcutil library computes:
  * Hardware-assisted CRC32C: 0.13 (Nehalem) CPU cycles per byte.
  * 64-bit and smaller CRCs: 1.0 (Nehalem) - 1.2 (Core) CPU cycles per byte.
  * 128-bit CRCs: 1.7 CPU cycles per byte.
 .
 Since computational speed of 64-bit CRCs is identical to 32-bit and
 16-bit, the use of crcutil library enables widespread use of more reliable
 64-bit CRCs instead of 32-bit ones.
 .
 As a bonus, crcutil also implements a number of useful CRC "tricks" (e.g.
 computation of CRC of concatenated blocks of data of known length and CRC
 without touching actual data).

Package: libcreal-ocaml-dev
Description-md5: 5392c0cba63d1a1bcbc835c73d54baa8
Description-en: O'Caml library that implements exact real arithmetic
 This  module  implements  exact  real  arithmetic,  following  Valerie
 Menissier-Morain Ph.D. thesis (http://www-calfor.lip6.fr/~vmm/).
 .
 A  real  x  is  represented  as  a function  giving,  for  any  n,  an
 approximation zn/4^n of x  such that |zn/4^n - x| < 1,  where zn is an
 arbitrary precision integer (of type Gmp.Z.t).
 .
 Coercions from type int, Gmp.Z.t, Gmp.Q.t, basic operations (addition,
 subtraction,  multiplication,   division,  power,  square   root)  and
 transcendental  functions (sin,  cos, tan,  log, exp,  arcsin, arccos,
 etc.) and a few constants (pi, e) are provided.
 .
 A small reverse-polish calculator is provided to test the library.

Package: libcriticism-perl
Description-md5: 318f9732aaf59c61f884703be29cb611
Description-en: Perl pragma to enforce coding standards and best-practices
 The cristicism pragma enforces coding standards and promotes best-practices
 by running your file through Perl::Critic before every execution. In a
 production system, this usually isn't feasible because it adds a lot of
 overhead at start-up. If you have a separate development environment,
 you can effectively bypass the "criticism" pragma by not installing
 Perl::Critic in the production environment. If Perl::Critic can't be
 loaded, then "criticism" just fails silently.

Package: libcroco-tools
Description-md5: 3b53e0d91ec738c40047e8cd57c4fb5b
Description-en: Cascading Style Sheet (CSS) parsing and manipulation toolkit - utils
 Services provided by Libcroco
  * A parser module that provides
    o A SAC like API. SAC stands for Simple API for CSS. SAC is an event driven
      API which resembles SAX in the xml world.
    o A CSSOM like API. CSSOM stands for Cascading Style Sheet Object Model.
 .
    The libcroco parser implements the CSS Level 2 specification, the CSS
    forward compatibility rules and the CSS cascading rules.
 .
  * A CSS2 selection engine
    Given an xml element node (that obviously comes from an xml document) and
    a stylesheet cascade, the Libcroco selection engine can evaluate the css
    selectors of the cascade and return the style properties associated to
    the xml element node.
 .
    Note that the xml manipulation toolkit used by the libcroco selection
    engine at the moment is libxml2.
 .
 This package contains csslint, a standalone utility based on libcroco that
 can be used for testing CSS correctness.

Package: libcrossguid-dev
Description-md5: fc6b34b577dba15a04576e1a623eb736
Description-en: C++ UUID library headers
 Development headers for Graeme Hill's cross platform C++ UUID library.

Package: libcrossguid0
Description-md5: 57bb8e629f1cf362c16ad5fc74a86a25
Description-en: C++ UUID library
 Graeme Hill's cross platform C++ UUID library.

Package: libcry-ocaml-dev
Description-md5: f37b3991a9f8d94a320d1c23c72d89ae
Description-en: MP3/Ogg Vorbis broadcast OCaml module
 This OCaml module implements the protocols used to connect and
 send source data to icecast2 and shoutcast servers.
 .
 It is a low-level implementation, so it only does the minimal
 source connection. In particular, it does not handle synchronisation.
 Hence, the task of sending audio data to the streaming server
 at real time rate is up to the programmer, contrary to the main
 implementation, libshout.

Package: libcrypt-blowfish-perl
Description-md5: d5d47fb635f42a37c0249e5db1e5ac96
Description-en: Blowfish cryptography for Perl
 This is Crypt::Blowfish, an XS-based implementation of the Blowfish
 cryptography algorithm designed by Bruce Schneier.  It's designed to
 take full advantage of Crypt::CBC when desired.  Blowfish keys may be
 up to 448 bits (56 bytes) long.

Package: libcrypt-cast5-perl
Description-md5: edc8e6b2b1e4c5ffceb00a1cfb35bdee
Description-en: CAST5 block cipher
 Crypt::CAST5 provides an implementation of the CAST5 block cipher using
 compiled C code for increased speed. CAST5 is also known as CAST-128. It is a
 product of the CAST design procedure developed by C. Adams and S. Tavares.
 The CAST5 cipher is available royalty-free.

Package: libcrypt-cbc-perl
Description-md5: 68a81a5da23746b3b6ee87d5ff707047
Description-en: implementation of cipher block chaining (CBC) mode
 In combination with a block cipher such as Crypt::Rijndael or
 Crypt::Blowfish, you can encrypt and decrypt messages of arbitrarily
 long length using Crypt::CBC. The encrypted messages are compatible
 with the encryption format used by SSLeay.

Package: libcrypt-ciphersaber-perl
Description-md5: 1d065b9f8264f50c861333c28444fca7
Description-en: Perl module implementing CipherSaber encryption
 The Crypt::CipherSaber module implements CipherSaber encryption,
 described at http://ciphersaber.gurus.com.  It is simple, fairly
 speedy, and relatively secure algorithm based on RC4.
 .
 Encryption and decryption are done based on a secret key, which
 must be shared with all intended recipients of a message.

Package: libcrypt-cracklib-perl
Description-md5: a40c4bac3f732d9b6dc3d25f5e7b28a9
Description-en: Perl interface to Alec Muffett's Cracklib
 Crypt::Cracklib is a simple interface to the cracklib library.

Package: libcrypt-des-ede3-perl
Description-md5: 528dba57e3076f5f8d9139ff80108c82
Description-en: Triple-DES EDE encryption/decryption for perl
 Crypt::DES_EDE3 implements DES-EDE3 encryption. This is triple-DES
 encryption where an encrypt operation is encrypt-decrypt-encrypt, and
 decrypt is decrypt-encrypt-decrypt. This implementation uses Crypt::DES
 to do its dirty DES work, and simply provides a wrapper around that
 module: setting up the individual DES ciphers, initializing the keys,
 and performing the encryption/decryption steps.
 .
 You're probably best off not using this module directly, as the encrypt
 and decrypt methods expect 8-octet blocks. You might want to use the
 module in conjunction with Crypt::CBC, for example. This would be
 DES-EDE3-CBC, or triple-DES in outer CBC mode.

Package: libcrypt-des-perl
Description-md5: faf8c9ead4ecdfe8ee709d2ea4ba8b77
Description-en: Perl DES encryption module
 This is Crypt::DES, an XS-based implementation of the DES
 cryptography algorithm.
 .
 The module implements the Crypt::CBC interface, which has
 blocksize, keysize, encrypt and decrypt functions.

Package: libcrypt-dh-gmp-perl
Description-md5: 6e9dc0ccabd81b84b4452795173a480e
Description-en: Crypt::DH replacement that uses GMP directly
 Crypt::DH::GMP is a (somewhat) portable replacement to Crypt::DH, implemented
 mostly in C.
 .
 In the beginning, there was Crypt::DH. However, Crypt::DH suffers from a
 couple of problems: GMP/Pari libraries are almost always required; Crypt::DH
 additionally has Math::BigInt in between GMP. Instantiating an object
 comes with a relatively high cost, and if you make many computations in one
 go, your program will suffer dramatically because of this.
 .
 Crypt::DH::GMP attempts to alleviate these problems by providing a
 Crypt::DH-compatible layer, which, instead of doing calculations via
 Math::BigInt, directly works with libgmp in C.
 .
 This means that essentially 2 call stacks worth of expensive Perl method
 calls are eliminated and also only load 1 (Crypt::DH::GMP) module instead of
 3 (Crypt::DH + Math::BigInt + Math::BigInt::GMP) is needed.
 .
 These add up to a fairly significant increase in performance.

Package: libcrypt-dh-perl
Description-md5: f83f8ecf82ba3c0d6426251c25aac1b7
Description-en: Diffie-Hellman key exchange system implemented in Perl
 Diffie-Hellman is an algorithm by which two parties can agree on a
 shared secret key, known only to them. The secret is negotiated over an
 insecure network without the two parties ever passing the actual shared
 secret, or their private keys, between them.

Package: libcrypt-dsa-perl
Description-md5: 76540fd1f5f1d4ed65e60b7b9f9e4d9a
Description-en: Perl module for DSA Signatures and Key Generation
 Crypt::DSA is a pure Perl implementation of DSA (Digital
 Signature Algorithm).
 It provides DSA signing, signature verification,
 and key generation.

Package: libcrypt-ecb-perl
Description-md5: 7092a34bbade39e96c8c50adf93bf525
Description-en: Perl library to encrypt data using ECB mode
 Crypt::ECB is a Perl-only implementation of the ECB mode. In
 combination with a block cipher such as DES, IDEA or Blowfish, you can
 encrypt and decrypt messages of arbitrarily long length. Though for
 security reasons other modes than ECB such as CBC should be preferred.
 See textbooks on cryptography if you want to know why.
 .
 The functionality of the module can be accessed via OO methods or via
 standard function calls. Remember that some crypting module like for
 example Blowfish has to be installed. The syntax follows that of
 Crypt::CBC meaning you can access Crypt::ECB exactly like Crypt::CBC,
 though Crypt::ECB is more flexible. For example you can change the key
 or the cipher without having to create a new crypt object.

Package: libcrypt-eksblowfish-perl
Description-md5: e08d18b44fefb312470d999be4590a4a
Description-en: Perl module implementing the Eksblowfish block cipher
 Crypt::Eksblowfish provides an implementation of the Eksblowfish encryption.
 .
 Eksblowfish is a variant of the Blowfish cipher, modified to make the
 key setup very expensive.  ("Eks" stands for "expensive key schedule".)
 This doesn't make it significantly cryptographically stronger,
 but is intended to hinder brute-force attacks.  It also makes it
 unsuitable for any application requiring key agility.  It was designed
 by Niels Provos and David Mazieres for password hashing in OpenBSD.
 See Crypt::Eksblowfish::Bcrypt for the hash algorithm.
 .
 Eksblowfish is a parameterised (family-keyed) cipher.  It takes a cost
 parameter that controls how expensive the key scheduling is.  It also
 takes a family key, known as the "salt".  Cost and salt parameters
 together define a cipher family.  Within each family, a key determines an
 encryption function in the usual way.  See Crypt::Eksblowfish::Family
 for a way to encapsulate an Eksblowfish cipher family.

Package: libcrypt-format-perl
Description-md5: 34c2dea46156d831083468698363bac6
Description-en: Perl interface to conversion utilities for encryption applications
 Crypt::Format provides an interface to a set of conversions for encryption
 applications (der2pem, pem2der, normalize_pem).

Package: libcrypt-gcrypt-perl
Description-md5: f71a93230671dbb50565e6319b90b801
Description-en: Perl interface to the GNU Cryptographic library
 Crypt::GCrypt provides an object interface to the C libgcrypt library. It
 currently supports symmetric encryption/decryption, while asymmetric
 cryptography is being worked on.

Package: libcrypt-generatepassword-perl
Description-md5: a4527e93e0365ee143453c8eb1dae5b9
Description-en: perl module to generate secure passwords
 Crypt::GeneratePassword lets you generate secure random passwords with a
 reasonable amount of pronounceability. It avoids the problems associated with
 the FIPS-181 NIST standard as used by Crypt::RandPasswd.

Package: libcrypt-hcesha-perl
Description-md5: 332cf33659f16ffca7f843cf04a7dc89
Description-en: Perl extension for one way hash chaining encryption using SHA
 This module implements a chaining block cipher using a one way hash. This
 method of encryption is the same that is used by radius (RFC2138) and is also
 described in Applied Cryptography.
 .
 Two interfaces are provided in the module. The first is straight block
 encryption/decryption the second does base64 mime encoding/decoding of the
 encrypted/decrypted blocks.
 .
 The idea is that the two sides have a shared secret that supplies one of the
 keys and a randomly generated block of bytes provides the second key. The
 random key is passed in cleartext between the two sides.
 .
 An example client and server are packaged as modules with this module. They
 are used in the tests. They can be found in the examples directory.

Package: libcrypt-jwt-perl
Description-md5: dbe4ef18f39b3732eeb98323db798ac8
Description-en: JSON Web Token support for Perl
 Implements JSON Web Token (JWT) - https://tools.ietf.org/html/rfc7519. The
 implementation covers not only JSON Web Signature (JWS) -
 https://tools.ietf.org/html/rfc7515, but also JSON Web Encryption (JWE) -
 https://tools.ietf.org/html/rfc7516.
 .
 The module implements all (100%) algorithms defined in
 https://tools.ietf.org/html/rfc7518 - JSON Web Algorithms (JWA).
 .
 Crypt::JWT supports Compact JWS/JWE and Flattened JWS/JWE JSON serialization,
 general JSON serialization is not supported yet.

Package: libcrypt-mysql-perl
Description-md5: 59da213782adc01115d908963141f3f2
Description-en: Perl module to emulate the MySQL PASSWORD() function
 Crypt::MySQL emulates MySQL PASSWORD() SQL function, without libmysqlclient.
 You can compare encrypted passwords, without real MySQL environment.

Package: libcrypt-openssl-dsa-perl
Description-md5: e61410edfd01af0043cebdb65b58d45c
Description-en: module which implements the DSA signature verification system
 Crypt::OpenSSL::DSA is a wrapper to the DSA (Digital Signature Algorithm)
 functions contained in the OpenSSL crypto library. It allows you to create
 public/private key pair, sign messages and verify signatures, as well as
 manipulate the keys at the low level.

Package: libcrypt-openssl-ec-perl
Description-md5: a14fa7bacc24dcea47be71c6a8678ae1
Description-en: Perl extension for OpenSSL EC (Elliptic Curves) library
 Crypt::OpenSSL::EC provides a standard (non-OO) interface to the OpenSSL EC
 (Elliptic Curve) library. It provides the Crypt::OpenSSL::EC class which
 defines some high level functions and constants. Some OO calls are supported.
 .
 Most of the functions described in openssl/ec.h are supported.

Package: libcrypt-openssl-pkcs10-perl
Description-md5: 345eabaabafa5a62ca4b71979f8f205c
Description-en: Perl extension to OpenSSL's PKCS10 API
 Crypt::OpenSSL::PKCS10 provides the ability to create PKCS10 certificate
 requests using RSA key pairs.

Package: libcrypt-openssl-pkcs12-perl
Description-md5: f292be14a888e8cf02f3f88c3d8dc183
Description-en: Perl extension to OpenSSL's PKCS12 API
 Crypt::OpenSSL::PKCS12 implements a small subset of OpenSSL's PKCS12 API.

Package: libcrypt-openssl-x509-perl
Description-md5: 371a3395a075c9e4563e01e65e689d5b
Description-en: Perl extension to OpenSSL's X509 API
 The Crypt::OpenSSL::X509 module implements a large majority of
 OpenSSL's useful X509 API.

Package: libcrypt-passwdmd5-perl
Description-md5: b2c1b9a666b747ac313aff6746fe2693
Description-en: interoperable MD5-based crypt() for Perl
 Crypt::PasswdMD5 provides various crypt()-compatible interfaces to the
 MD5-based crypt() function found in various *nixes.
 .
 It's based on the implementation found on FreeBSD 2.2.[56]-RELEASE.

Package: libcrypt-pbkdf2-perl
Description-md5: af573cf94bd74c47ae66c2e9c0dc5802
Description-en: Perl implementation of PBKDF2 password hash
 PBKDF2 (part of the PKCS#5 standard) is a secure password hashing
 algorithm that uses the techniques of "key strengthening" to make the
 complexity of a brute-force attack arbitrarily high. The Crypt::PBKDF2
 module supports SHA-1, SHA-2 and SHA-3 as the underlying hash functions
 natively and can also use arbitrary Digest-compatible classes. It
 allows for an arbitrary number of iterations of the hashing function,
 and a nearly unlimited output hash size (up to 2**32 - 1 times the size
 of the output of the backend hash). The hash is salted, as any password
 hash should be, and the salt may also be of arbitrary size.

Package: libcrypt-random-seed-perl
Description-md5: 344f093a74b92f1da435783140e2a0c8
Description-en: Perl module providing strong randomness for seeding
 Crypt::Random::Seed implements a simple mechanism to get strong
 randomness. The main purpose of this module is to provide a simple way
 to generate a seed for a PRNG such as Math::Random::ISAAC, for use in
 cryptographic key generation, or as the seed for an upstream module
 such as Bytes::Random::Secure. Flags for requiring non-blocking sources
 are allowed, as well as a very simple method for plugging in a source.

Package: libcrypt-random-source-perl
Description-md5: 0af7ced7687072cd3898bfabddf908fa
Description-en: get weak or strong random data from pluggable sources
 Crypt::Random::Source provides implementations
 for a number of byte oriented sources of random data.

Package: libcrypt-rc4-perl
Description-md5: 0b15e247814ec390b9cc3724f41bf758
Description-en: Perl implementation of the RC4 encryption algorithm
 Crypt::RC4 is intended to be a simple implementation of the RC4 algorithm,
 which can be used to generate a pseudo-random stream of bits (or keystream).
 .
 It should be noted that the RC4 algorithm itself is severely broken, and the
 upstream Perl module has not been updated since Dec 2001. It is included in
 Debian only because it is needed by other packages. In general this package
 should not be used for new projects, and where it is used appropriate
 defensive methods should be understood and applied.

Package: libcrypt-rijndael-perl
Description-md5: fe2601896a3a93b4a48976963df82f09
Description-en: Perl module implementing the Rijndael algorithm
 Crypt::Rijndael is a Perl module that provides an XS-based implementation of
 the Advanced Encryption Standard (AES) algorithm Rijndael, designed by Joan
 Daemen and Vincent Rijmen.

Package: libcrypt-rsa-parse-perl
Description-md5: bf2ecfdf3b4c9c56ba911b5507a61eda
Description-en: Perl module to parse RSA keys
 Crypt::RSA::Parse provides an interface for parsing RSA keys for useful
 information.
 .
 The public keys are represented via the Crypt::RSA::Parse::Public class,
 while private keys are represented via  Crypt::RSA::Parse::Private.

Package: libcrypt-saltedhash-perl
Description-md5: 76be86921b8fc7a3363589438df2de8d
Description-en: module for handling salted hashes
 Crypt::SaltedHash is a Perl module that provides an object oriented interface
 to create salted (or seeded) hashes of clear text data. The formalization of
 this concept originates from RFC-3112 and is extended by the use of different
 digital algorithms.

Package: libcrypt-simple-perl
Description-md5: 923d7e6987b52c4de0a39377449cfbaa
Description-en: Perl library to encrypt stuff simply
 Maybe you have a web application and you need to store some session
 data at the client side (in a cookie or hidden form fields) but you
 don't want the user to be able to mess with the data. Maybe you want
 to save secret information to a text file.  Maybe you have better
 ideas of what to do with encrypted stuff!
 .
 The Crypt::Simple module will convert all your data into nice base64 text
 that you can save in a text file, send in an email, store in a cookie
 or web page, or bounce around the Net. The data you encrypt can be as
 simple or as complicated as you like.

Package: libcrypt-smbhash-perl
Description-md5: 7a1351066980bca26800d98b4df7ac7d
Description-en: generate LM/NT hash of a password for samba
 Crypt::SmbHash provides functions to generate LM/NT hashes
 used in Samba's 'smbpasswd' file.
 .
 The module is a direct port of code from Samba and is written
 entirely in perl, so it is slower than a C implementation but it
 is easily ported and installed.

Package: libcrypt-smime-perl
Description-md5: bad083fe00eb0ed9eb99d38d64ebc1fb
Description-en: S/MIME message signing, verification, encryption and decryption module
 Crypt::SMIME provides a class for handling S/MIME messages. It can sign,
 verify, encrypt and decrypt messages.
 .
 Crypt::SMIME operates on email messages as simple strings, so there's no
 particular integration with other MAIL::* or MIME::* modules. Rather, it
 can co-operate with any module capable of accepting / outputting the entire
 message as a single string.

Package: libcrypt-ssleay-perl
Description-md5: 2d59d1a56c96575912561b17cf58e3f7
Description-en: OpenSSL support for LWP
 This perl module provides support for the HTTPS protocol under LWP, so
 that an LWP::UserAgent can make HTTPS GET & HEAD & POST requests. Please
 see perldoc LWP for more information on POST requests.
 .
 The Crypt::SSLeay package contains Net::SSL, which is automatically
 loaded by LWP::Protocol::https on HTTPS requests, and provides the
 necessary SSL glue for that module to work via these deprecated modules:
 Crypt::SSLeay::CTX, Crypt::SSLeay::Conn and Crypt::SSLeay::X509.
 .
 Work on Crypt::SSLeay has been continued only to provide HTTPS support
 for the LWP - libwww perl libraries. If you want access to the OpenSSL
 API via perl, check out Sampo's Net::SSLeay (available in Debian as
 the libnet-ssleay-perl package).

Package: libcrypt-twofish-perl
Description-md5: 8e48bf632f846e8b88ddaebbea8760eb
Description-en: Perl module for Twofish Encryption Algorithm
 Crypt::Twofish implements Twofish encryption using an interface
 compatible with Crypt::CBC interface.
 .
 Twofish is a 128-bit symmetric block cipher with a variable length (128, 192,
 or 256-bit) key, developed by Counterpane Labs. It is unpatented and free for
 all uses, as described at <http://www.counterpane.com/twofish.html>.

Package: libcrypt-u2f-server-perl
Description-md5: d8c4e5758fdc41907022ae10ffe8859c
Description-en: Perl module to register and authenticate U2F compatible devices
 Universal 2nd Factor (U2F) is an open authentication standard that strengthens
 and simplifies two-factor authentication using specialized USB or NFC devices
 based on similar security technology found in smart cards.
 .
 Crypt::U2F::Server module implements the server side of U2F authentication
 through Yubico's C library.
 .
 Prefer to use Crypt::U2F::Server::Simple since Crypt::U2F::Server is a very low
 level wrapper around the original C library.

Package: libcrypt-unixcrypt-perl
Description-md5: f4ab453c51bd4dad16a0ec23876fa1ab
Description-en: Perl-only implementation of the crypt(3) function
 The Crypt::UnixCrypt module is a portable implementation of the Unix
 library function crypt().  It is especially useful on systems whose
 perl does not implement the built-in crypt() function.
 .
 This module won't overload a built-in crypt() unless forced by a true
 value of the variable $Crypt::UnixCrypt::OVERRIDE_BUILTIN.

Package: libcrypt-unixcrypt-xs-perl
Description-md5: 225fb53e029e674fa64c609ab633cb37
Description-en: Perl XS interface that implements crypt() function
 Crypt::UnixCrypt_XS implements the DES-based Unix crypt function.  For those
 who need to construct non-standard variants of crypt, the various building
 blocks used in crypt are also supplied separately.

Package: libcrypt-urandom-perl
Description-md5: 6133e8558e5e0b4fa01f4b8866eba2a6
Description-en: module that provides non blocking randomness
 Crypt::Urandom is intended to provide an interface to the strongest available
 source of non-blocking randomness on the current platform.
 .
 Platforms currently supported are anything supporting /dev/urandom.

Package: libcrypt-util-perl
Description-md5: 1033e85177887d3c20fbcfc9e48dc6ee
Description-en: lightweight Crypt/Digest convenience API
 Crypt::Util provides an easy, intuitive and forgiving API for wielding
 crypto-fu.
 .
 The API is designed as a cascade, with rich features built using
 simpler ones. This means that the option processing is uniform
 throughout, and the behaviors are generally predictable.
 .
 Note that Crypt::Util doesn't do any crypto on its own, but delegates
 the actual work to the various other crypto modules on the CPAN.
 Crypt::Util merely wraps these modules, providing uniform parameters,
 and building on top of their polymorphism with higher level features.

Package: libcrypt-x509-perl
Description-md5: 20705c5253c6ed07093332b8935a2d08
Description-en: Parse X.509 certificates with Perl
 Crypt::X509 is a Perl module for parsing X.509 certificates. Methods
 are provided for accessing most certificate elements.

Package: libcryptgps-ocaml-dev
Description-md5: 7a05c0871c34b4536c9ba52fabf170a3
Description-en: OCaml implementation of symmetric cryptographic algorithms: Blowfish, DES, 3DES
 This OCaml library implements some well-known symmetric cryptographic
 algorithms, namely: Blowfish, DES, 3DES.
 .
 This package contains all the development stuff needed to use cryptgps in
 OCaml programs.

Package: libcrypto++-dev
Description-md5: afa92ef85d000a7ec800f7c18cc020df
Description-en: General purpose cryptographic library - C++ development
 Crypto++ is library for creating C++ programs which use cryptographic
 algorithms.  The library uses a Pipes & Filters architecture with heavy
 use of templates and abstract base classes.  The cryptographic
 tools include:
  * Authenticated encryption schemes (GCM, CCM, EAX),
  * High speed stream ciphers (Panama, Sosemanuk, Salsa20, XSalsa20),
  * AES and candidates (Rijndael, RC6, MARS, Twofish, Serpent, CAST-256),
  * Other symmetric block ciphers (IDEA, Triple-DES, Camellia, SEED,
    RC5, Blowfish, TEA, XTEA, Skipjack, SHACAL-2),
  * Block cipher modes of operation (ECB, CBC, CTS, CFB, OFB, CTR),
  * Message authentication codes (VMAC, HMAC, CMAC, CBC-MAC, DMAC,
    Two-Track-MAC),
  * Hash functions (SHA-1, SHA-224, SHA-256, SHA-384, SHA-512, Tiger,
    WHIRLPOOL, RIPEMD-128, RIPEMD-256, RIPEMD-160, RIPEMD-320),
  * Public-key cryptography (RSA, DSA, ElGamal, NR, RW, LUC, LUCELG,
    DLIES, ESIGN),
  * Padding schemes for public-key systems (PKCS#1, OAEP, PSS, PSSR,
    EMSA2, EMSA5),
  * Key agreement schemes (DH, MQV, LUCDIF, XTR-DH),
  * Elliptic curve cryptography (ECDSA, ECNR, ECIES, ECDH, ECMQV),
  * Backwards compatibility algorithms (MD2, MD4, MD5, Panama Hash, DES,
    ARC4, SEAL 3.0, WAKE, WAKE-OFB, DESX, RC2, SAFER, 3-WAY, GOST,
    SHARK, CAST-128, Square),
  * Pseudo-random number generators,
 and a whole lot more.  Alternative libraries are libgcrypt and
 nettle.
 .
 This package contains the header files needed for developing using
 the Crypto++ class library and the static library with libtool
 supoport.

Package: libcrypto++-doc
Description-md5: 9118b206d9976ba7d7210077dc8d8161
Description-en: General purpose cryptographic library - documentation
 Crypto++ is library for creating C++ programs which use cryptographic
 algorithms.  The library uses a Pipes & Filters architecture with heavy
 use of templates and abstract base classes.  The cryptographic
 tools include:
  * Authenticated encryption schemes (GCM, CCM, EAX),
  * High speed stream ciphers (Panama, Sosemanuk, Salsa20, XSalsa20),
  * AES and candidates (Rijndael, RC6, MARS, Twofish, Serpent, CAST-256),
  * Other symmetric block ciphers (IDEA, Triple-DES, Camellia, SEED,
    RC5, Blowfish, TEA, XTEA, Skipjack, SHACAL-2),
  * Block cipher modes of operation (ECB, CBC, CTS, CFB, OFB, CTR),
  * Message authentication codes (VMAC, HMAC, CMAC, CBC-MAC, DMAC,
    Two-Track-MAC),
  * Hash functions (SHA-1, SHA-224, SHA-256, SHA-384, SHA-512, Tiger,
    WHIRLPOOL, RIPEMD-128, RIPEMD-256, RIPEMD-160, RIPEMD-320),
  * Public-key cryptography (RSA, DSA, ElGamal, NR, RW, LUC, LUCELG,
    DLIES, ESIGN),
  * Padding schemes for public-key systems (PKCS#1, OAEP, PSS, PSSR,
    EMSA2, EMSA5),
  * Key agreement schemes (DH, MQV, LUCDIF, XTR-DH),
  * Elliptic curve cryptography (ECDSA, ECNR, ECIES, ECDH, ECMQV),
  * Backwards compatibility algorithms (MD2, MD4, MD5, Panama Hash, DES,
    ARC4, SEAL 3.0, WAKE, WAKE-OFB, DESX, RC2, SAFER, 3-WAY, GOST,
    SHARK, CAST-128, Square),
  * Pseudo-random number generators,
 and a whole lot more.  Alternative libraries are libgcrypt and
 nettle.
 .
 This package includes the HTML reference guide to the source code.

Package: libcrypto++-utils
Description-md5: 6906a68875f365b40620c68bc56f2bc4
Description-en: General purpose cryptographic library - utilities and data files
 Crypto++ is library for creating C++ programs which use cryptographic
 algorithms.  The library uses a Pipes & Filters architecture with heavy
 use of templates and abstract base classes.  The cryptographic
 tools include:
  * Authenticated encryption schemes (GCM, CCM, EAX),
  * High speed stream ciphers (Panama, Sosemanuk, Salsa20, XSalsa20),
  * AES and candidates (Rijndael, RC6, MARS, Twofish, Serpent, CAST-256),
  * Other symmetric block ciphers (IDEA, Triple-DES, Camellia, SEED,
    RC5, Blowfish, TEA, XTEA, Skipjack, SHACAL-2),
  * Block cipher modes of operation (ECB, CBC, CTS, CFB, OFB, CTR),
  * Message authentication codes (VMAC, HMAC, CMAC, CBC-MAC, DMAC,
    Two-Track-MAC),
  * Hash functions (SHA-1, SHA-224, SHA-256, SHA-384, SHA-512, Tiger,
    WHIRLPOOL, RIPEMD-128, RIPEMD-256, RIPEMD-160, RIPEMD-320),
  * Public-key cryptography (RSA, DSA, ElGamal, NR, RW, LUC, LUCELG,
    DLIES, ESIGN),
  * Padding schemes for public-key systems (PKCS#1, OAEP, PSS, PSSR,
    EMSA2, EMSA5),
  * Key agreement schemes (DH, MQV, LUCDIF, XTR-DH),
  * Elliptic curve cryptography (ECDSA, ECNR, ECIES, ECDH, ECMQV),
  * Backwards compatibility algorithms (MD2, MD4, MD5, Panama Hash, DES,
    ARC4, SEAL 3.0, WAKE, WAKE-OFB, DESX, RC2, SAFER, 3-WAY, GOST,
    SHARK, CAST-128, Square),
  * Pseudo-random number generators,
 and a whole lot more.  Alternative libraries are libgcrypt and
 nettle.
 .
 This package includes a utility for benchmarking and using the
 various Crypto++ routines from the command line.  It also includes
 the test vectors for validating both the Crypto++ implementation and
 other implementations of these routines.

Package: libcrypto++6
Description-md5: 81fdf53fa3eb3f0f338e2c29ca70b7aa
Description-en: General purpose cryptographic library - shared library
 General purpose cryptographic library for C++.
 .
 This package contains the shared libraries and should only be
 installed if other packages depend on it.

Package: libcrypto++6-dbg
Description-md5: caac9678acdd7e18184608d55f713814
Description-en: General purpose cryptographic library - debug symbols
 General purpose cryptographic library for C++.
 .
 This package contains the debug symbols for the shared library and
 should only be installed if you debugging a program that uses the
 shared library.

Package: libcrypto-equality-clojure
Description-md5: 82518c374eb733030fd6c6acebe5c570
Description-en: Clojure library protecting against timing attacks
 crypto-equality is a very small Clojure library for protecting against timing
 attacks when comparing strings or sequences of bytes. It is primarily indented
 for comparing user-supplied values against secrets held by the application,
 such as tokens or keys.

Package: libcrypto-random-clojure
Description-md5: 2be5674231b88732ae404051cb038086
Description-en: secure random generator for Clojure
 crypto-random is a small Clojure library for generating cryptographically
 secure random bytes and strings.

Package: libcryptokit-ocaml
Description-md5: f48cbdabd2c023a461e32012f3de75ec
Description-en: cryptographic algorithm library for OCaml - runtime
 The Cryptokit library for Objective Caml provides a variety of
 cryptographic primitives that can be used to implement cryptographic
 protocols in security-sensitive applications.  The primitives provided
 include:
 .
   - Symmetric-key ciphers: AES, DES, Triple-DES, ARCfour,
     in ECB, CBC, CFB and OFB modes.
   - Public-key cryptography: RSA encryption, Diffie-Hellman key agreement.
   - Hash functions and MACs: SHA-1, MD5, and MACs based on AES and DES.
   - Random number generation.
   - Encodings and compression: base 64, hexadecimal, Zlib compression.
 .
 Additional ciphers and hashes can easily be used in conjunction with
 the library.  In particular, basic mechanisms such as chaining modes,
 output buffering, and padding are provided by generic classes that can
 easily be composed with user-provided ciphers.  More generally, the library
 promotes a "Lego"-like style of constructing and composing
 transformations over character streams.
 .
 This package provides just the shared library for Cryptokit.

Package: libcryptokit-ocaml-dev
Description-md5: d4c1a0a76480735b44eaf63bc49e9a35
Description-en: cryptographic algorithm library for OCaml - development
 The Cryptokit library for Objective Caml provides a variety of
 cryptographic primitives that can be used to implement cryptographic
 protocols in security-sensitive applications.  The primitives provided
 include:
 .
   - Symmetric-key ciphers: AES, DES, Triple-DES, ARCfour,
     in ECB, CBC, CFB and OFB modes.
   - Public-key cryptography: RSA encryption, Diffie-Hellman key agreement.
   - Hash functions and MACs: SHA-1, MD5, and MACs based on AES and DES.
   - Random number generation.
   - Encodings and compression: base 64, hexadecimal, Zlib compression.
 .
 Additional ciphers and hashes can easily be used in conjunction with
 the library.  In particular, basic mechanisms such as chaining modes,
 output buffering, and padding are provided by generic classes that can
 easily be composed with user-provided ciphers.  More generally, the library
 promotes a "Lego"-like style of constructing and composing
 transformations over character streams.
 .
 This package provides static libraries, interfaces, and documentation
 for Cryptokit.

Package: libcryptui-dev
Description-md5: e6244b1a3099a42e1bffee5f80dbf690
Description-en: UI library for OpenPGP prompts (development)
 CryptUI is a library to manage OpenPGP prompts on GUI applications.
 .
 This package contains the development files and documentation needed to
 build applications using the CryptUI library.

Package: libcryptui-doc
Description-md5: 10fafe9b3cd3abca7ffbc17226e000bb
Description-en: UI library for OpenPGP prompts (documentation)
 CryptUI is a library to manage OpenPGP prompts on GUI applications.
 .
 This package contains the HTML documentation and API reference for the
 CryptUI library.

Package: libcryptui0a
Description-md5: c89c1ea82141febb072c0703a963dc53
Description-en: UI library for OpenPGP prompts
 CryptUI is a library to manage OpenPGP prompts on GUI applications.
 .
 This package contains the shared library needed to run programs built
 against the CryptUI library.

Package: libcryptx-perl
Description-md5: d68dc14bdc1f20eb1729dce206511567
Description-en: Perl module that provides a self-contained cryptographic toolkit
 CryptX is a self-contained cryptgraphico toolkit based on
 https://github.com/libtom/libtomcrypt. It provides cyphers, block cipher
 modes, authenticated encryption modes, hash functions, message authentication
 codes, public key cryptography, cryptographically secure random number
 generators, key derivation functions.

Package: libcrystalhd-dev
Description-md5: 2ccad650bf2e351c06e27d334202ca8f
Description-en: Crystal HD Video Decoder (development files)
 Crystal HD Solution is a product offered by Broadcom. It is used to enable
 flawless playback of 1080p high definition video across a wide range of
 systems.
 .
 This package contains the files necessary for development.

Package: libcrystalhd3
Description-md5: 9c0c3fc62fd3a469604f2bcbf869c64b
Description-en: Crystal HD Video Decoder (shared library)
 Crystal HD Solution is a product offered by Broadcom. It is used to enable
 flawless playback of 1080p high definition video across a wide range of
 systems.
 .
 This package contains the shared library.

Package: libcscreensaver0
Description-md5: 6eae7217b28364bd33a0a673a65367c6
Description-en: library and introspection data used by cinnamon-screensaver
 cinnamon-screensaver is a screen saver and locker that aims to have simple,
 sane and secure defaults, and be well integrated with the Cinnamon desktop.
 .
 This package contains the library used by the screensaver.

Package: libcsfml-audio2.5
Description-md5: e101b0358e53f0546c046323d09bfd36
Description-en: Libraries for the C Binding of SFML - Audio Part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 CSFML provides the bindings needed to use SFML with the C programming
 language.
 .
 The Audio library is used to play sound and music in games. It is able to
 load OGG/Vorbis files.

Package: libcsfml-dev
Description-md5: 72cf0d7cebc54347cfd41258a8bfeecd
Description-en: Libraries for the C Binding of SFML - Development Files
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 CSFML provides the bindings needed to use SFML with the C programming
 language.
 .
 This package provides the development files for the C Binding

Package: libcsfml-doc
Description-md5: b0a61932cf9aea453bc34997680415b2
Description-en: Libraries for the C Binding of SFML - Documentation
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 CSFML provides the bindings needed to use SFML with the C programming
 language.
 .
 This package includes documentation for all CSFML libraries.

Package: libcsfml-graphics2.5
Description-md5: f74464fcfff814f00a00593802ad559f
Description-en: Libraries for the C Binding of SFML - Graphics Part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 CSFML provides the bindings needed to use SFML with the C programming
 language.
 .
 The Graphics library contains all the OpenGL and drawing code. It needs the
 Window library for Window creation.

Package: libcsfml-network2.5
Description-md5: ad8bfa8fca3c053db4b651e140cb59e8
Description-en: Libraries for the C Binding of SFML - Network Part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 CSFML provides the bindings needed to use SFML with the C programming
 language.
 .
 The Network Library brings basic networking support as needed by games.

Package: libcsfml-system2.5
Description-md5: 810733221f01a1750858f80a171fb28b
Description-en: Libraries for the C Binding of SFML - System Part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 CSFML provides the bindings needed to use SFML with the C programming
 language.
 .
 The system library brings useful system utilities like multithreading and
 UNICODE handling

Package: libcsfml-window2.5
Description-md5: 01f2684377c85c11239e7814ce60c66c
Description-en: Libraries for the C Binding of SFML - Window Part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 CSFML provides the bindings needed to use SFML with the C programming
 language.
 .
 The Window library provides basic utilities for creating and managing Windows

Package: libcsirocsa0
Description-md5: a269767d31bc0994f7a17006d83bd80b
Description-en: Scientific plotting library (CSIRO csa library)
 This package contains the bivariate Cubic Spline Approximation library
 developed by Pavel Sakov, from the CSIRO Marine Research.
 .
 The source code for these libraries are part of the plplot source
 package.

Package: libcsironn0
Description-md5: 7fb74997c840a861f9afcfe0cb9ed5e9
Description-en: Scientific plotting library (CSIRO nn library)
 This package contains the Natural Neighbours interpolation library
 developed by Pavel Sakov, from the CSIRO Marine Research.
 .
 The source code for these libraries are part of the plplot source
 package.

Package: libcsmith-dev
Description-md5: 16d664b0404f7552805bb17169b22536
Description-en: generator of random C programs (development files)
 Csmith is a tool that can generate random C programs that statically
 and dynamically conform to the C99 standard. Thus, it is useful for
 stress-testing compilers, static analyzers, and other tools that
 process C code.
 .
 This package contains the header and development files needed to build
 programs and packages using csmith.

Package: libcsmith0
Description-md5: 7f448c03e7913caaebb479a526df1217
Description-en: generator of random C programs (runtime library)
 Csmith is a tool that can generate random C programs that statically
 and dynamically conform to the C99 standard. Thus, it is useful for
 stress-testing compilers, static analyzers, and other tools that
 process C code.
 .
 This package contains the runtime library files needed to run software
 using csmith.

Package: libcsnd-dev
Description-md5: bf56be2024ecfc0eccd5afb1f6586f2c
Description-en: development files for Csound -- C++ API
 This package contains the development files needed to build programs
 using the C++ bindings to the Csound library. It also includes the
 development symlink for the lua module, but you have to manually
 install liblua5.1-luacsnd for that to work.
 .
 For more information on Csound, see the csound package.

Package: libcsnd6-6.0v5
Description-md5: 58ed843c36f3eba2904a7b843c5409f5
Description-en: C++ bindings for the Csound API
 This is the C++ binding for the Csound API.
 .
 For more information on Csound, see the csound package.

Package: libcsnd6-java
Description-md5: 080bd2e7adf34409232837840853fd2f
Description-en: Java bindings for the Csound API
 These are the java bindings for the Csound API.
 .
 For more information on Csound, see the csound package

Package: libcsound64-6.0
Description-md5: caadee34c45586f9f7b4eff6a9a68150
Description-en: main library for Csound
 This package contains the library which provides most of the Csound
 functionality. This library was built using 64-bit precision for the
 calculations (this doesn't mean you need a 64-bit processor).
 .
 For more information on Csound, see the csound package.

Package: libcsound64-dev
Description-md5: cc7387a45cbd61400075101adc16b95a
Description-en: development files for Csound
 This package contains the development files needed to build programs
 linking to the Csound library.
 .
 For more information on Csound, see the csound package.

Package: libcsound64-doc
Description-md5: ee72ea950daddd84c954d76834fc5d0d
Description-en: Csound API documentation
 This package contains the API documentation for the Csound library in
 HTML format.
 .
 For more information on Csound, see the csound package.

Package: libcss-compressor-perl
Description-md5: 19c5a7b2aeb1e53dbce6511d381f1079
Description-en: Perl extension for CSS minification
 CSS::Compressor is an implementation of the CSS parts of Yahoo! YUIcompressor
 in Perl. It can be used to produce minified CSS on the fly using Perl based
 backend systems.

Package: libcss-dom-perl
Description-md5: 23a8f25a2f6b1e55a502773ac49a3a6c
Description-en: interface to the Document Object Model for Cascading Style Sheets
 This set of modules provides the CSS-specific interfaces described in the W3C
 DOM recommendation. The CSS::DOM class itself implements the StyleSheet and
 CSSStyleSheet DOM interfaces.

Package: libcss-lessp-perl
Description-md5: dca31f26f290ef04ac2ee68ddd32ea3a
Description-en: LESS for Perl
 CSS::LESSp provides helper function which allows parsing and compiling
 of LESS files into CSS.
 .
 This package also provides binary lessp which can be used to compile
 LESS files into CSS. Advantage of having it in perl is it is extremely
 fast compared to ruby-based less.

Package: libcss-minifier-perl
Description-md5: 57c18398e1004f2155e3c299316bfed7
Description-en: Perl extension for minifying CSS
 CSS::Minifier removes unnecessary whitespace from CSS. The primary
 requirement developing this module is to not break working stylesheets: if
 working CSS is in input then working CSS is output. The Mac/Internet Explorer
 comment hack will be minimized but not stripped and so will continue to
 function.
 .
 This module understands space, horizontal tab, new line, carriage return, and
 form feed characters to be whitespace. Any other characters that may be
 considered whitespace are not minimized. These other characters include
 paragraph separator and vertical tab.
 .
 For static CSS files, it is recommended that you minify during the build
 stage of web deployment. If you minify on-the-fly then it might be a good
 idea to cache the minified file. Minifying static files on-the-fly repeatedly
 is wasteful.

Package: libcss-minifier-xs-perl
Description-md5: e509baab9bc260a0df11d72e6e9f47f3
Description-en: XS based CSS minifier
 CSS::Minifier::XS is a CSS "minifier"; its designed to remove un-necessary
 whitespace and comments from CSS files, while also not breaking the CSS.
 .
 CSS::Minifier::XS is similar in function to CSS::Minifier, but is
 substantially faster as its written in XS and not just pure Perl.

Package: libcss-packer-perl
Description-md5: d0764136e662fc0144392c7a07af4c40
Description-en: fast pure perl CSS cleaner
 CSS::Packer is a pure Perl library for CSS cleanup. It can do CSS
 reformatting, whitespace and newline removal, in order to get a
 "pretty-printed" version or a minimal size version of the original
 CSS code.

Package: libcss-parser-pp0v5
Description-md5: 4a1bdbd7b6c15e92339ec9b20f69edd6
Description-en: simple CSS1 parser library for C++
 htmlcxx is a simple non-validating CSS1 and HTML parser for C++. Although
 there are several other html parsers available, htmlcxx has some
 characteristics that make it unique:
 .
  * STL like navigation of DOM tree, using excellent tree.hh library from
    Kasper Peeters
  * It is possible to reproduce exactly, character by character, the original
    document from the parse tree
  * Bundled CSS parser
  * Optional parsing of attributes
  * C++ code that looks like C++ (not so true anymore)
  * Offsets of tags/elements in the original document are stored in the nodes
    of the DOM tree
 .
 The parsing politics of htmlcxx were created trying to mimic Mozilla Firefox
 (http://www.mozilla.org) behavior. So you should expect parse trees similar to
 those create by Firefox. However, differently from Firefox, htmlcxx does not
 insert non-existent stuff in your html. Therefore, serializing the DOM tree
 gives exactly the same bytes contained in the original HTML document.
 .
 This package contains the C++ runtime library for CSS parsing.

Package: libcss-parser0
Description-md5: 1e932a39f1e51b7fb0cdda7409d1dd0f
Description-en: simple CSS1 parser library for C
 htmlcxx is a simple non-validating CSS1 and HTML parser for C++. Although
 there are several other html parsers available, htmlcxx has some
 characteristics that make it unique:
 .
  * STL like navigation of DOM tree, using excellent tree.hh library from
    Kasper Peeters
  * It is possible to reproduce exactly, character by character, the original
    document from the parse tree
  * Bundled CSS parser
  * Optional parsing of attributes
  * C++ code that looks like C++ (not so true anymore)
  * Offsets of tags/elements in the original document are stored in the nodes
    of the DOM tree
 .
 The parsing politics of htmlcxx were created trying to mimic Mozilla Firefox
 (http://www.mozilla.org) behavior. So you should expect parse trees similar to
 those create by Firefox. However, differently from Firefox, htmlcxx does not
 insert non-existent stuff in your html. Therefore, serializing the DOM tree
 gives exactly the same bytes contained in the original HTML document.
 .
 This package contains the C runtime library for CSS parsing.

Package: libcss-perl
Description-md5: 092bd9607065cb93808a18615b88f206
Description-en: object oriented access to Cascading Style Sheets (CSS)
 This module can be used, along with a CSS::Parse::* module, to parse
 CSS data and represent it as a tree of objects. Using a CSS::Adaptor::*
 module, the CSS data tree can then be transformed into other formats.

Package: libcss-squish-perl
Description-md5: e6d162456c2692a4842eddedcd01da1b
Description-en: module to compact many CSS files into one big file
 CSS::Squish is a Perl module that takes a list of CSS files and concatenates
 them, honouring any valid @import statements included in the files, following
 the CSS 2.1 specification. Media-specific @import statements will be honoured
 by enclosing the included file in a @media rule.
 .
 Future versions may include methods to compact whitespace and other parts of
 the CSS itself, but this functionality is not yet supported.

Package: libcss-tiny-perl
Description-md5: 0c2e5efa7678b0889afa1f3530214ca6
Description-en: Perl module to read/write .css files with as little code as possible
 CSS::Tiny is a perl class to read and write .css stylesheets with as
 little code as possible, reducing load time and memory overhead.
 CSS.pm requires about 2.6M of memory to load, which is a large amount of
 overhead if you only want to do trivial things.
 .
 This module is primarily for reading and writing simple files, and anything
 you write shouldn't need to have documentation/comments. If you need something
 with more power, move up to CSS.pm.

Package: libcssparser-java
Description-md5: 0c4c304a1240120692603288a63aeca1
Description-en: Java CSS2 Parser
 The CSS Parser is a Java library, that inputs Cascading Style Sheets Level 2
 source text and outputs a Document Object Model Level 2 Style tree.
 Alternatively, applications can use SAC: The Simple API for CSS.  Its purpose
 is to allow developers working with Java to incorporate Cascading Style Sheet
 information, primarily in conjunction with XML application developments.

Package: libcssparser-java-doc
Description-md5: 10f7ab3be2f86f38e23812216083670b
Description-en: Java CSS2 Parser (documentation)
 The CSS Parser is a Java library, that inputs Cascading Style Sheets Level 2
 source text and outputs a Document Object Model Level 2 Style tree.
 Alternatively, applications can use SAC: The Simple API for CSS.  Its purpose
 is to allow developers working with Java to incorporate Cascading Style Sheet
 information, primarily in conjunction with XML application developments.
 .
 This package provides the API documentation for libcssparser-java.

Package: libcsv-dev
Description-md5: e524b44ef62d331c3a8facd18314e525
Description-en: CSV parser and writer library - development files
 The CSV library provides a flexible, intuitive interface for parsing and
 writing CSV data.
 .
 This package contains development files for building software that uses
 libcsv.

Package: libcsv-java
Description-md5: 67ef1ab8f9fe58e1e4d373811537e31a
Description-en: CSV IO library for Java
 Java CSV is a small fast open source java library for reading and writing CSV
 and plain delimited text files. All kinds of CSV files can be handled, text
 qualified, Excel formatted, etc.

Package: libcsv-java-doc
Description-md5: 594e25c8c73f083161f72eec8162dc6b
Description-en: CSV IO library for Java (documentation)
 Java CSV is a small fast open source java library for reading and writing CSV
 and plain delimited text files. All kinds of CSV files can be handled, text
 qualified, Excel formatted, etc.
 .
 This package contains the documentation.

Package: libcsv-ocaml-dev
Description-md5: e8fedbfad48ea397d688faca7efdd3a7
Description-en: library to read and write CSV files in OCaml
 OCaml CSV is a library to read and write CSV (comma-separated values)
 files.  It also supports all extensions used by Excel - eg. quotes,
 newlines, 8 bit characters in fields, etc.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use OCaml CSV.

Package: libcsv3
Description-md5: a13b55cfd52ca635e7300676cab0b75b
Description-en: CSV parser and writer library
 The CSV library provides a flexible, intuitive interface for parsing and
 writing CSV data.
 .
 This package contains the shared library.

Package: libcsvjdbc-java
Description-md5: 0dc9bbfcc8ced61f91c24c0562bcdb1d
Description-en: read-only JDBC driver that uses CSV or DBF files as database tables
 CsvJdbc is a read-only JDBC driver that uses Comma Separated Value (CSV) files
 or DBF files as database tables. It is ideal for writing data import programs
 or analyzing log files.
 .
 The driver enables a directory or a ZIP file containing CSV or DBF files to be
 accessed as though it were a database containing tables. However, as there is
 no real database management system behind the scenes, not all JDBC
 functionality is available.

Package: libcsvjdbc-java-doc
Description-md5: 70c01f522eacbddf9b86c00727ee49a6
Description-en: Documentation for csvjdbc
 CsvJdbc is a read-only JDBC driver that uses Comma Separated Value (CSV) files
 or DBF files as database tables. It is ideal for writing data import programs
 or analyzing log files.
 .
 The driver enables a directory or a ZIP file containing CSV or DBF files to be
 accessed as though it were a database containing tables. However, as there is
 no real database management system behind the scenes, not all JDBC
 functionality is available.
 .
 This package contains the API documentation of libcsvjdbc-java.

Package: libcsxcad0
Description-md5: 902d0b751d41de286579a29513b5acaf
Description-en: Continuous Structure XML library for openEMS
 A C++ library to describe geometrical objects and their physical or
 non-physical properties.
 .
 openEMS is a simulator for electromagnetic problems using
 the FDTD algorithm.

Package: libctapimkt1
Description-md5: 33cbd7232307068b389a67e2efe68fa5
Description-en: Read German Krankenversichertenkarte and eGK
 Library and program to read the German health insurance card (KVK) and
 the German electronic health card (eGK) from a certified card reading
 device on the serial port in Linux with kernel 2.6.x.

Package: libctapimkt1-dev
Description-md5: 171f73a81a1ff13206075d46661ded46
Description-en: Read German Krankenversichertenkarte and eGK (development)
 Library and program to read the German health insurance card (KVK) and
 the German electronic health card (eGK) from a certified card reading
 device on the serial port in Linux with kernel 2.6.x.
 .
 This package contains the development library.

Package: libctemplate-dev
Description-md5: 03af86a621ca680afe368ea45f9aeac7
Description-en: Simple but powerful template language for C++ - development files
 This package contains a library implementing a simple but
 powerful template language for C++.  It emphasizes separating logic
 from presentation: it is impossible to embed application logic in this
 template language.  The devel package contains static and debug
 libraries and header files for developing applications that use the
 ctemplate package.
 This package contains the ctemplate development files.

Package: libctemplate3
Description-md5: 218b52a92cff3f2b8fb979895944594a
Description-en: Simple but powerful template language for C++
 This package contains a library implementing a simple but
 powerful template language for C++.  It emphasizes separating logic
 from presentation: it is impossible to embed application logic in this
 template language.  This limits the power of the template language
 without limiting the power of the template *system*.  Indeed, Google's
 "main" web search uses this system exclusively for formatting output.

Package: libctl-dev
Description-md5: e58b2a1d263946904a3f89a4475e851b
Description-en: library for flexible control files, development version
 libctl is a free Guile-based library implementing flexible control files for
 scientific simulations. It was written to support the MIT Photonic-Bands
 program, but will hopefully prove useful in other programs too.
 .
 This package contains the header files and static libraries.

Package: libctl-doc
Description-md5: 8a5bcef966ce3a25c0dfa82028dacabe
Description-en: library for flexible control files, documentation
 libctl is a free Guile-based library implementing flexible control files for
 scientific simulations. It was written to support the MIT Photonic-Bands
 program, but will hopefully prove useful in other programs too.
 .
 This package contains the documentation in HTML format and a simple example of
 how to use it.

Package: libctl7
Description-md5: 706d8534e71e88ea0411efb56e4ba29a
Description-en: library for flexible control files
 libctl is a free Guile-based library implementing flexible control files for
 scientific simulations. It was written to support the MIT Photonic-Bands
 program, but will hopefully prove useful in other programs too.
 .
 This package contains the runtime libraries required to run any software using
 libctl.

Package: libctpl-dev
Description-md5: 7b03535235fac1b474173b7bf890e9c8
Description-en: template engine written in C, development files
 CTPL is a C template engine library allowing fast and easy computation of
 templates with precise control over the parsing environment.
 .
 CTPL supports a wide range of input types through GIO
 like in-memory, files, FTP, HTTP and more.
 .
 This package contains the header files which are needed for developing
 CTPL applications.

Package: libctpl-doc
Description-md5: b37ee76a8368c2f3d32bad55da042f3d
Description-en: template engine written in C, documentation files
 CTPL is a C template engine library allowing fast and easy computation of
 templates with precise control over the parsing environment.
 .
 CTPL supports a wide range of input types through GIO
 like in-memory, files, FTP, HTTP and more.
 .
 This package contains the documentation for CTPL.

Package: libctpl2
Description-md5: aa97bd7f6d4ecd2dc6c7dfcc1572772a
Description-en: template engine written in C
 CTPL is a C template engine library allowing fast and easy computation of
 templates with precise control over the parsing environment.
 .
 CTPL supports a wide range of input types through GIO
 like in-memory, files, FTP, HTTP and more.

Package: libctpp2-2v5
Description-md5: 13fa38e6ea57d49a0ac1785a9c468537
Description-en: HTML template engine for C++
 CTPP is a suitable choice for projects where a programmer and an HTML
 designer are different people. The goal of CTPP development was to
 achieve maximum performance with minimal basic functionality that is
 easily expandable. In other words, any conceivable capabilities can be
 added by writing users functions.
 .
 CTPP has following advantages over other template engines:
  * Very High performance as this is written in C++
  * Flexible syntax of templates
  * Robustness
  * Portability
  * Support for implementations in the language your choice
  * Various template sources
  * Output data filters
  * User functions

Package: libctpp2-dev
Description-md5: 0e9db2a47e2d41856c5e95503b458924
Description-en: HTML template engine for C++ (development)
 CTPP is a suitable choice for projects where a programmer and an HTML
 designer are different people. The goal of CTPP development was to
 achieve maximum performance with minimal basic functionality that is
 easily expandable. In other words, any conceivable capabilities can be
 added by writing users functions.
 .
 This package provides header files for developing your applications to
 use ctpp2 template engine.
 .
 These header files allows you to utilize the library in your code to
 utilize the ctpp2 template engine.

Package: libctypes-ocaml
Description-md5: 535529b9d4f928212326378be8e7e767
Description-en: library for binding to C libraries using pure OCaml (runtime)
 The ocaml-ctypes library makes it possible to call C functions
 directly from OCaml without writing or generating C code.  The core
 of the library is a set of combinators for describing C types --
 scalars, functions, structs, unions, arrays, and pointers to values
 and functions.  Type descriptions can then be used to bind native
 functions and values.
 .
 This package contains the shared runtime stub library and plugins.

Package: libctypes-ocaml-dev
Description-md5: 3984cfb82adfc1c586fdc2dec44c3275
Description-en: library for binding to C libraries using pure OCaml (dev)
 The ocaml-ctypes library makes it possible to call C functions
 directly from OCaml without writing or generating C code.  The core
 of the library is a set of combinators for describing C types --
 scalars, functions, structs, unions, arrays, and pointers to values
 and functions.  Type descriptions can then be used to bind native
 functions and values.
 .
 This package contains all the development stuff you need to use
 ocaml-ctypes.

Package: libcubature-dev
Description-md5: d1357bf7e7840989640bea911af52ffe
Description-en: Multi-dimensional adaptive integration (cubature) in C
 This is a simple C package for adaptive multidimensional integration
 (cubature) of vector-valued integrands over hypercubes. Of course, it
 can handle scalar integrands as the special case where f is a
 one-dimensional vector: the dimensionalities of f and x are independent.
 The integrand can be evaluated for an array of points at once to enable
 easy parallelization.
 .
 This package contains the development files.

Package: libcubature0
Description-md5: 2b9230a2145379f7d32c0e37c16f5e08
Description-en: Multi-dimensional adaptive integration (cubature) in C (shared lib)
 This is a simple C package for adaptive multidimensional integration
 (cubature) of vector-valued integrands over hypercubes. Of course, it
 can handle scalar integrands as the special case where f is a
 one-dimensional vector: the dimensionalities of f and x are independent.
 The integrand can be evaluated for an array of points at once to enable
 easy parallelization.
 .
 This package contains the shared library.

Package: libcudf-dev
Description-md5: 274bfd4968597872fd1650f560b235e1
Description-en: C library to access descriptions of package upgrade problems
 libCUDF is a library to manipulate so called CUDF documents. A CUDF
 document describe an upgrade problem, as faced by package managers in
 popular package-based GNU/Linux distributions.
 .
 A CUDF document consists of a package universe and of a user request.
 The package universe describes all packages known to the package
 manager, a subset of which (the package status) denotes the package
 being currently installed on the target machine.  The user request
 denotes a change to the package status as requested by the user.
 .
 A CUDF document is naturally complemented by a solution describing
 the resulting package status (if any) implementing the user request,
 as found by a package manager.
 .
 libCUDF enables manipulation of CUDF and related documents.
 .
 This package contains a C static library binding the OCaml
 implementation of libCUDF so that it can be used from the C
 programming language.

Package: libcudf-ocaml-dev
Description-md5: b9b7de480ec197ac2a24fc2bcb573054
Description-en: OCaml library to access descriptions of package upgrade problems
 libCUDF is a library to manipulate so called CUDF documents. A CUDF
 document describe an upgrade problem, as faced by package managers in
 popular package-based GNU/Linux distributions.
 .
 A CUDF document consists of a package universe and of a user request.
 The package universe describes all packages known to the package
 manager, a subset of which (the package status) denotes the package
 being currently installed on the target machine.  The user request
 denotes a change to the package status as requested by the user.
 .
 A CUDF document is naturally complemented by a solution describing
 the resulting package status (if any) implementing the user request,
 as found by a package manager.
 .
 libCUDF enables manipulation of CUDF and related documents.
 .
 This package contains all the development stuff you need to use
 libCUDF in your OCaml programs.

Package: libcunit1
Description-md5: d0b137bee12b1343ccbd0196c105fe5e
Description-en: Unit Testing Library for C
 CUnit is a simple framework for incorporating test cases in your C
 code similar to JUnit or CppUnit. It provides C programmers a basic
 testing functionality with a flexible variety of user interfaces.  It
 uses a simple framework for building test structures, and provides a
 rich set of assertions for testing common data types.  In addition,
 several different interfaces are provided for running tests and
 reporting results.

Package: libcunit1-dev
Description-md5: bf6a12d0971ba271fa070c562b72b4d2
Description-en: Unit Testing Library for C -- development files
 CUnit is a simple framework for incorporating test cases in your C
 code similar to JUnit or CppUnit. It provides C programmers a basic
 testing functionality with a flexible variety of user interfaces.  It
 uses a simple framework for building test structures, and provides a
 rich set of assertions for testing common data types.  In addition,
 several different interfaces are provided for running tests and
 reporting results.
 .
 This package includes development files for compiling against cunit.

Package: libcunit1-doc
Description-md5: 5a9cee057a74e48e5a6f4fbb7eb853b3
Description-en: Unit Testing Library for C -- documentation
 CUnit is a simple framework for incorporating test cases in your C
 code similar to JUnit or CppUnit. It provides C programmers a basic
 testing functionality with a flexible variety of user interfaces.  It
 uses a simple framework for building test structures, and provides a
 rich set of assertions for testing common data types.  In addition,
 several different interfaces are provided for running tests and
 reporting results.
 .
 This package contains documentation.

Package: libcunit1-ncurses
Description-md5: a9826cdce132b3b9aa9b34474267b79f
Description-en: Unit Testing Library for C (ncurses)
 CUnit is a simple framework for incorporating test cases in your C
 code similar to JUnit or CppUnit. It provides C programmers a basic
 testing functionality with a flexible variety of user interfaces.  It
 uses a simple framework for building test structures, and provides a
 rich set of assertions for testing common data types.  In addition,
 several different interfaces are provided for running tests and
 reporting results.
 .
 This versions includes CUnit curses interface.

Package: libcunit1-ncurses-dev
Description-md5: 383a1e167f2c39e0e260d4f820ad9a50
Description-en: Unit Testing Library for C (ncurses) -- development files
 CUnit is a simple framework for incorporating test cases in your C
 code similar to JUnit or CppUnit. It provides C programmers a basic
 testing functionality with a flexible variety of user interfaces.  It
 uses a simple framework for building test structures, and provides a
 rich set of assertions for testing common data types.  In addition,
 several different interfaces are provided for running tests and
 reporting results.
 .
 This package includes development files for compiling against cunit (ncurses).

Package: libcupt-common
Description-md5: 80ff32b779adb93b974997ae32fd2c5b
Description-en: flexible package manager -- runtime library (support files)
 This package provides architecture-independent support parts for Cupt library.
 .
 See also description of libcupt4-2 package.

Package: libcupt4-2
Description-md5: 2b41a0ae65496b52251c4fe17a42609d
Description-en: flexible package manager -- runtime library
 This is a Cupt library implementing high-level package manager for Debian and
 derivatives. It's written with flexibility and reliability in mind and uses
 dpkg as the back-end.
 .
 Provides:
  - parsing and manipulating configuration info;
  - parsing indexes metadata info;
  - version strings comparison;
  - inspection of the binary and source package' versions;
  - parsing and querying info about installed packages;
  - parsing and working with relations and relation expressions;
  - download manager and downloaders for some schemes;
  - problem resolver;
  - worker for modifying system state.
 .
 See also description of 'cupt' package for more information.

Package: libcupt4-2-downloadmethod-curl
Description-md5: 6db8851ba7228bbd13cf7f3b7b995541
Description-en: flexible package manager -- libcurl download method
 This package provides http(s) and ftp download handlers for Cupt library
 using libcurl.
 .
 See also description of libcupt4-2 package.

Package: libcupt4-2-downloadmethod-wget
Description-md5: de0a678cb88541dceeb31d6661e51318
Description-en: flexible package manager -- wget download method
 This package provides http(s) and ftp download handlers for Cupt library
 using wget.
 .
 See also description of libcupt4-2 package.

Package: libcupt4-dev
Description-md5: 5d255830d1c5c2f153cff925dc105503
Description-en: flexible package manager -- development files
 This package provides headers for Cupt library.
 .
 See also description of libcupt4-2 package.

Package: libcupt4-doc
Description-md5: 700aa7b2062151436857d51e401a12f8
Description-en: flexible package manager -- library documentation
 This package provides documentation for Cupt library.
 .
 See also description of libcupt4-2 package.

Package: libcurator-client-java
Description-md5: 3cfefcc70b50e6b7fe7fcc44446bca34
Description-en: Apache Curator Client
 Curator is a set of Java libraries that make using Apache ZooKeeper much
 easier.
 .
 This package contains the Curator Client which is a low-level API wrapping
 the ZooKeeper's Java client. It makes client access to ZooKeeper much simpler
 and less error prone. It provides the following features:
  * Continuous connection management
  * Operation retry utilities
  * In-process, self-contained, Test ZooKeeper server

Package: libcurator-discovery-java
Description-md5: 7e6842a57c5113852c8ccbda753fe904
Description-en: Apache Curator Service Discovery
 Curator is a set of Java libraries that make using Apache ZooKeeper much
 easier.
 .
 This package contains a service discovery implementation built
 on the Curator Framework.

Package: libcurator-framework-java
Description-md5: 04752bdf525ec7b950958fec9c60b114
Description-en: Apache Curator Framework
 Curator is a set of Java libraries that make using Apache ZooKeeper much
 easier.
 .
 This package contains the Curator Framework which is a high-level API that
 greatly simplifies using ZooKeeper. It adds many features that build on
 ZooKeeper and handles the complexity of managing connections to the ZooKeeper
 cluster and retrying operations.

Package: libcurator-parent-java
Description-md5: c5b4e2e1bc3771ba634cef270953323e
Description-en: Apache Curator Parent
 Curator is a set of Java libraries that make using Apache ZooKeeper much
 easier.
 .
 This package contains the parent POM of the Curator components.

Package: libcurator-recipes-java
Description-md5: 1b10180718b9ceabbe17d2e5c5db3710
Description-en: Apache Curator Recipes
 Curator is a set of Java libraries that make using Apache ZooKeeper much
 easier.
 .
 This package contains the implementations of some of the common ZooKeeper
 "recipes" (elections, locks, barriers, counters, caches, nodes and queues).
 The implementations are built on top of the Curator Framework.

Package: libcurator-test-java
Description-md5: 2da49ebe40912bf37c6fe4747a404a3b
Description-en: Apache Curator Testing Utilities
 Curator is a set of Java libraries that make using Apache ZooKeeper much
 easier.
 .
 This package contains the testing server, the testing cluster and a few other
 tools useful for testing.

Package: libcurl-ocaml
Description-md5: f8bf1d59757cbdc7a624e0bc956f5b75
Description-en: OCaml curl bindings (Runtime Library)
 This Objective Caml library provides multi-protocol file transfer
 facilities based on the curl library.
 .
 This package contains only the shared runtime stub libraries.

Package: libcurl-ocaml-dev
Description-md5: cfa14f39c85cc80ff110c3ce9a2a6617
Description-en: OCaml libcurl bindings (Development package)
 This Objective Caml library provides multi-protocol file transfer
 facilities based on the curl library.
 .
 This package contains the development part of the OCaml curl package.

Package: libcurlpp-dev
Description-md5: 2358dbce6cf044c240711ad73f62939f
Description-en: c++ wrapper for libcurl (development files)
 curlpp provides a C++ wrapper for the libcurl library
 .
 This package provides the include files and static libraries
 needed to build programs using curlpp.

Package: libcurlpp0
Description-md5: a36146115898fff4249163e6469c6808
Description-en: c++ wrapper for libcurl
 curlpp provides a C++ wrapper for the libcurl library
 .
 This package provides the shared libraries needed to run
 programs using curlpp.

Package: libcurry-perl
Description-md5: 4146a71bcf7d83d843cd9a11136f1d51
Description-en: module to create automatic curried method call closures for any class or object
 The curry module provides a function that simplifies the creation of curried
 method closures which are functions that wrap an existing function and
 provide some of the inner functions arguments. It also contains a package
 variable which, in addition, weakens the wrapper function so it is will not
 hold a reference count for the inner function so Perl's garbage collection
 system can destroy the latter when needed.

Package: libcurses-ocaml
Description-md5: b6c61f20639da0a9eede6078149e0ef8
Description-en: OCaml bindings for the ncurses library (runtime)
 The ncurses library provides functions to create rich text-mode
 interfaces. This package contains the necessary files to use the ncurses
 library in OCaml.
 .
 This package contains only the shared runtime stub libraries.

Package: libcurses-ocaml-dev
Description-md5: 46747b66388ded68f5318f9b5c62bf17
Description-en: OCaml bindings for the ncurses library
 The ncurses library provides functions to create rich text-mode
 interfaces. This package contains the necessary files to use the ncurses
 library in OCaml.
 .
 This package contains all the development stuff you need to use ocaml-curses
 in your programs.

Package: libcurses-perl
Description-md5: 6046114de4bb1f681372efbc9d041d53
Description-en: Curses interface for Perl
 libcurses-perl (the Curses module from CPAN) will let you
 use the ncurses/curses terminal screen manipulation
 routines from Perl programs.

Package: libcurses-ui-perl
Description-md5: 4ea10036000ad4d2c974debc0d938618
Description-en: curses-based OO user interface framework for Perl
 A UI framework for Perl based on the curses library.  Curses::UI contains
 the standard set of widgets for use in constructing user interfaces, plus
 the following more advanced widgets: calendar, progress bar, text editor
 (including word wrapping and undo), and text viewer.  It supports a
 variety of dialog windows, from the standard basic and error dialogs to
 file browsers, progress bars, and a calendar.  Curses::UI also has
 internationalization support and currently has localization information
 for several languages.

Package: libcurses-widgets-perl
Description-md5: af3b64744b88514e2c461329cf4e3e94
Description-en: Curses widget interface for Perl
 This module provides a standard library of functions and
 widgets for use in creating Curses-based interfaces. Should
 work reliably with both Curses and nCurses libraries.
 .
 Current widgets include text field, list box, button sets,
 calendar, message box, input box

Package: libcurvesapi-java
Description-md5: 5d7cdddbf84128ed045dfd16156bddef
Description-en: Java implementation of mathematical curves defined over a set of control points
 Implementation of various mathematical curves that define themselves over
 a set of control points. The API is written in Java. The curves supported
 are: Bezier, B-Spline, Cardinal Spline, Catmull-Rom Spline, Lagrange, Natural
 Cubic Spline, and NURBS.

Package: libcutl-1.10
Description-md5: 1a99ea2b3cf2b99d8b5b0d7896361962
Description-en: C++ utility library
 CUTL contains a collection of generic and independent components
 such as meta-programming tests, smart pointers, containers, compiler
 building blocks, etc.

Package: libcutl-dev
Description-md5: 2c7c05ccaaee1d89c4dfea1b3e920135
Description-en: C++ utility library, development files
 CUTL contains a collection of generic and independent components
 such as meta-programming tests, smart pointers, containers, compiler
 building blocks, etc.
 .
 This package contains development files.

Package: libcv-bridge-dev
Description-md5: 759aafa3eccff17d60a7bab270cfcb75
Description-en: cv_bridge Robot OS package - development
 This package is part of Robot OS (ROS). It contains development files for
 CvBridge, which converts between ROS Image messages and OpenCV images.
 .
 This package contains the development files.

Package: libcv-bridge1d
Description-md5: 999c7ebb4db0a9b0cd19d1c98bd3ebcb
Description-en: cv_bridge Robot OS package
 This package is part of Robot OS (ROS). It contains the library for
 CvBridge, which converts between ROS Image messages and OpenCV images.
 .
 This package contains the library itself.

Package: libcvc0
Description-md5: 5e942e2b62500f1d319d8bd9df458107
Description-en: Cinnamon pulseaudio abstraction library
 This library contains code to access PulseAudio using a GObject
 based library, shared between cinnamon-control-center, cinnamon-settings-daemon
 and cinnamon. It is not API stable, and it is meant to be used
 as a submodule.

Package: libcvc4-5
Description-md5: c40fc25141139d2bcef073340835fff8
Description-en: automated theorem prover for SMT problems (runtime)
 CVC4 is an efficient automatic theorem prover for satisfiability
 modulo theories (SMT) problems. It can be used to prove the validity
 (or, dually, the satisfiability) of first-order formulas in a large
 number of built-in logical theories and their combination.
 .
 CVC4 is intended to be an open and extensible SMT engine, and it can
 be used as a stand-alone tool or as a library. It is the fourth in
 the Cooperating Validity Checker family of tools (also including CVC,
 CVC Lite and CVC3). CVC4 has been designed to increase the
 performance and reduce the memory overhead of its predecessors.
 .
 This package contains CVC4's runtime shared libraries.

Package: libcvc4-dev
Description-md5: f7eec8184f4f2011119ce7723ddf8cd5
Description-en: automated theorem prover for SMT problems (development files)
 CVC4 is an efficient automatic theorem prover for satisfiability
 modulo theories (SMT) problems. It can be used to prove the validity
 (or, dually, the satisfiability) of first-order formulas in a large
 number of built-in logical theories and their combination.
 .
 CVC4 is intended to be an open and extensible SMT engine, and it can
 be used as a stand-alone tool or as a library. It is the fourth in
 the Cooperating Validity Checker family of tools (also including CVC,
 CVC Lite and CVC3). CVC4 has been designed to increase the
 performance and reduce the memory overhead of its predecessors.
 .
 This package contains development files for CVC4. Install it if you
 want to develop applications that use CVC4's API.

Package: libcvc4parser5
Description-md5: 41ac21a6409f50acd2200935984059a6
Description-en: automated theorem prover for SMT problems (parser runtime)
 CVC4 is an efficient automatic theorem prover for satisfiability
 modulo theories (SMT) problems. It can be used to prove the validity
 (or, dually, the satisfiability) of first-order formulas in a large
 number of built-in logical theories and their combination.
 .
 CVC4 is intended to be an open and extensible SMT engine, and it can
 be used as a stand-alone tool or as a library. It is the fourth in
 the Cooperating Validity Checker family of tools (also including CVC,
 CVC Lite and CVC3). CVC4 has been designed to increase the
 performance and reduce the memory overhead of its predecessors.
 .
 This package contains runtime shared libraries for CVC4's parser.

Package: libcvector-dev
Description-md5: f077fdda7102cb95bebf8890d73950d6
Description-en: ANSI C implementation of dynamic arrays (development files)
 CVector is an ANSI C library which implements dynamic arrays
 approximating the functionality of the C++ vector class. It provides
 functions to create and manipulate an abstract vector container.
 Vector elements can be of any datatype.
 .
 This package contains files needed for compiling programs using CVector.

Package: libcvector2
Description-md5: 1874f59735fa1a90f63f7134dda94716
Description-en: ANSI C implementation of dynamic arrays
 CVector is an ANSI C library which implements dynamic arrays
 approximating the functionality of the C++ vector class. It provides
 functions to create and manipulate an abstract vector container.
 Vector elements can be of any datatype.

Package: libcvm1
Description-md5: 74676f4514cb81d8e53b349097a98433
Description-en: Credential Validation Modules (shared libraries)
 CVM is a framework for validating a set of credentials against a database
 using a filter program.  The modules act as a filter, taking a set of
 credentials as input and writing a set of facts as output if those
 credentials are valid.  Optional input is given to the module through
 environment variables.
 .
 Some of the ideas for CVM came from experience with PAM (pluggable
 authentication modules), the checkpassword interface used by qmail-pop3d,
 and the "authmod" interface used by Courier IMAP and POP3.  This framework
 places fewer restrictions on the invoking client than checkpassword does,
 and is much simpler to implement on both sides than PAM and the authmod
 framework.
 .
 See http://untroubled.org/cvm/cvm.html for more information.

Package: libcvm1-dev
Description-md5: a848fb47dae66b4e714a7574cbe6a5c6
Description-en: Credential Validation Modules (development files, documentation)
 CVM is a framework for validating a set of credentials against a database
 using a filter program.  The modules act as a filter, taking a set of
 credentials as input and writing a set of facts as output if those
 credentials are valid.  Optional input is given to the module through
 environment variables.
 .
 Some of the ideas for CVM came from experience with PAM (pluggable
 authentication modules), the checkpassword interface used by qmail-pop3d,
 and the "authmod" interface used by Courier IMAP and POP3.  This framework
 places fewer restrictions on the invoking client than checkpassword does,
 and is much simpler to implement on both sides than PAM and the authmod
 framework.
 .
 See http://untroubled.org/cvm/cvm.html for more information.

Package: libcvs-perl
Description-md5: 36b31054936c076780f966aa4cdf190d
Description-en: object oriented Perl interface to the CVS command
 The Cvs module is a wrapper around the cvs command with an object oriented
 interface.

Package: libcw6
Description-md5: e6d752c8ba1e6e54ac53ee5cf31b82ae
Description-en: Morse code tutor - shared library
 The unixcw project provides support for learning to use Morse code.
 .
 This package provides shared library files to handle Morse code
 signals and to generate sound on the console buzzer or a sound card
 through OSS, ALSA or PulseAudio.

Package: libcw6-dev
Description-md5: dc1a2a548b4110f5e2c195bd65674990
Description-en: Morse code tutor - development files
 The unixcw project provides support for learning to use Morse code.
 .
 This package provides the static library, headers, and manual page for
 development of programs that handle (i.e. send and receive) Morse code.

Package: libcwd-guard-perl
Description-md5: bcdb34b9a084466b01e1d5a885e7904a
Description-en: module for temporarily changing working directory (chdir)
 Cwd::Guard provides changing the current directory (chdir) using a limited
 scope. Return to current working directory, if this object destroyed. If
 failed to chdir, error messages stored to $Gwd::Guard::Error.

Package: libcwidget-dev
Description-md5: d5195ade0a17480093dcedac51daaa4e
Description-en: high-level terminal interface library for C++ (development files)
 libcwidget is a modern user interface library modeled on GTK+ and Qt,
 but using curses as its display layer and with widgets that are
 tailored to a terminal environment.
 .
 This package contains files that are needed to write or compile
 software that uses libcwidget.
 .
 WARNING: this is a newly created library whose development is closely
 tied to the development of aptitude.  Its API may be incomplete and
 is likely to change considerably version-over-version!  You may want
 to avoid cwidget for stable projects.

Package: libcwidget-doc
Description-md5: c6b9ff80fc177d60deb9717229781d19
Description-en: high-level terminal interface library for C++ (documentation)
 libcwidget is a modern user interface library modeled on GTK+ and Qt,
 but using curses as its display layer and with widgets that are
 tailored to a terminal environment.
 .
 This package contains documentation for libcwidget, in HTML format.

Package: libcwidget4
Description-md5: 25817f3f038c1f33b9d63aafe32c6d75
Description-en: high-level terminal interface library for C++ (runtime files)
 libcwidget is a modern user interface library modeled on GTK+ and Qt,
 but using curses as its display layer and with widgets that are
 tailored to a terminal environment.
 .
 This package contains the files that are required to run programs
 compiled against libcwidget.

Package: libcwiid-dev
Description-md5: e7b1bae11f0ce60e0e7935c4fed71474
Description-en: library to interface with the wiimote -- development files
 CWiid is a working userspace driver along with various
 applications implementing event drivers, multiple wiimote
 connectivity, gesture recognition, and other Wiimote-based
 functionality.
 .
 This package provides the development files needed for building against
 Cwiid.

Package: libcwiid1
Description-md5: c26f3525ed40f8afc7db84154445f15a
Description-en: library to interface with the wiimote -- runtime files
 CWiid is a working userspace driver along with various
 applications implementing event drivers, multiple wiimote
 connectivity, gesture recognition, and other Wiimote-based
 functionality.
 .
 This package provides the wiimote library that abstracts the interface
 to the wiimote by hiding the details of the underlying Bluetooth
 connection.

Package: libcwnn-dev
Description-md5: fff703ab6545601ccb55cebb5204054b
Description-en: Header files and static library for cWnn (FreeWnn cserver)
 FreeWnn cserver (cWnn) is an integrated Chinese input system running
 on Unix workstation. It supports a wide range of input methods,
 satisfying the needs of the Chinese users from all over the world,
 including P.R.China and Taiwan. FreeWnn cserver is capable of
 carrying out Hanzi conversion from an arbitrary Pinyin or Zhuyin
 sequence, hence improving the speed of Pinyin/Zhuyin input.
 .
 This package contains the header files and the static library for
 cWnn. Install this package if you wish to develop your own cWnn
 client programs.

Package: libcwnn0
Description-md5: 341ec5732f7cf40e083841f70951065d
Description-en: FreeWnn library for cWnn (FreeWnn cserver)
 FreeWnn cserver (cWnn) is an integrated Chinese input system running
 on Unix workstation. It supports a wide range of input methods,
 satisfying the needs of the Chinese users from all over the world,
 including P.R.China and Taiwan. FreeWnn cserver is capable of
 carrying out Hanzi conversion from an arbitrary Pinyin or Zhuyin
 sequence, hence improving the speed of Pinyin/Zhuyin input.
 .
 This package contains the dynamic libraries for cWnn.

Package: libcxx-serial-dev
Description-md5: 303bde3857a13ff3956d8d62ef459b22
Description-en: Cross-platform, Serial Port library written in C++ (devel)
 A cross-platform library for interfacing with rs-232 serial like ports
 written in C++. It provides a modern C++ interface with a workflow
 designed to look and feel like PySerial, but with the speed and control
 provided by C++.
 .
 The upstream package name is serial. The Debian package is named
 cxx-serial to avoid a name conflict.
 .
 Serial is a class that provides the basic interface common to serial
 libraries (open, close, read, write, etc..) and requires no extra
 dependencies. It also provides tight control over timeouts and control
 over handshaking lines.
 .
 This package contains the development files (headers, unversioned
 so-librarie, pkg-config .pc file) and also some developer documentation.

Package: libcxx-serial1
Description-md5: acb10d3101a2f53dc56121c2c50c125a
Description-en: Cross-platform, Serial Port library written in C++ (runtime)
 A cross-platform library for interfacing with rs-232 serial like ports
 written in C++. It provides a modern C++ interface with a workflow
 designed to look and feel like PySerial, but with the speed and control
 provided by C++.
 .
 The upstream package name is serial. The Debian package is named
 cxx-serial to avoid a name conflict.
 .
 Serial is a class that provides the basic interface common to serial
 libraries (open, close, read, write, etc..) and requires no extra
 dependencies. It also provides tight control over timeouts and control
 over handshaking lines.
 .
 This package contains the runtime library.

Package: libcxxtools-dev
Description-md5: 2e0e1990fed3d1959a85f30789bc56d1
Description-en: library of unrelated but useful C++ classes
 cxxtools contains an argument-parser, a base-64 encoder/decoder, a
 C++ interface to iconv, md5-stream for easy MD5 calculation,
 threading classes, socket classes, a dynamic exception-safe buffer, a
 wrapper for dlopen/dlsym, a pool template (e.g., for a connection
 pool in a multi-threaded application), query_params, and a class for
 easy parsing of CGI parameters (GET and POST) in a CGI program.
 .
 This package has the development headers and the static libraries.

Package: libcxxtools9v5
Description-md5: 8583a61ea0d556f25c0f73a91c0b76f6
Description-en: library of unrelated but useful C++ classes
 cxxtools contains an argument-parser, a base-64 encoder/decoder, a
 C++ interface to iconv, md5-stream for easy MD5 calculation,
 threading classes, socket classes, a dynamic exception-safe buffer, a
 wrapper for dlopen/dlsym, a pool template (e.g., for a connection
 pool in a multi-threaded application), query_params, and a class for
 easy parsing of CGI parameters (GET and POST) in a CGI program.

Package: libcypher-parser-dev
Description-md5: 44941c5154d7fece32472880b6195aff
Description-en: Development files for libcypher-parser
 Cypher is a graph query language that allows for expressive and efficient
 querying of graph data.
 .
 libcypher-parser provides efficient parsing of Cypher into an Abstract
 Syntax Tree (AST) form, using a parsing expression grammar that is equivalent
 to that used in the Neo4j graph database.
 .
 This package contains the development files (headers, static libraries).

Package: libcypher-parser-doc
Description-md5: 7746b18599134701d91977ab314ba61e
Description-en: Documentation for libcypher-parser
 Cypher is a graph query language that allows for expressive and efficient
 querying of graph data.
 .
 libcypher-parser provides efficient parsing of Cypher into an Abstract
 Syntax Tree (AST) form, using a parsing expression grammar that is equivalent
 to that used in the Neo4j graph database.
 .
 This package contains the documentation that is also available on the
 libcypher-parser website (https://github.com/cleishm/libcypher-parser).

Package: libcypher-parser8
Description-md5: d244a78391a65deb1b076c408640e2c6
Description-en: Parsing library for the Cypher query language
 Cypher is a graph query language that allows for expressive and efficient
 querying of graph data.
 .
 libcypher-parser provides efficient parsing of Cypher into an Abstract
 Syntax Tree (AST) form, using a parsing expression grammar that is equivalent
 to that used in the Neo4j graph database.
 .
 This version is compatible with Cypher as implemented in Neo4j 3.0.

Package: libcyrus-imap-perl
Description-md5: 494afce8d261b8e3f1678df0243f477f
Description-en: Interface to Cyrus imap client imclient library
 The Cyrus::IMAP module provides an interface to the Cyrus imclient
 library.  These are primarily useful for implementing cyradm operations
 within a Perl script; there are easier ways to implement general client
 operations, although they may be more limited in terms of authentication
 options when talking to a Cyrus imapd.
 .
 The modules that compose Cyrus::SIEVE are also included, but they are
 undocumented upstream.
 .
 For more information, please see the cyrus-common package.

Package: libczmq-dev
Description-md5: 8254cee0065cd400b872c41afc2d00d9
Description-en: High-level C binding for ZeroMQ (development files)
 czmq (previously known as libzapi) provides a high-level C binding for
 0MQ, a lightweight messaging library.
 .
 This library provides higher level abstractions on top of the base
 library, with features such as:
  * Work with messages as strings, individual frames, or multipart messages.
  * Automatic closure of any open sockets at context termination.
  * Automatic LINGER configuration of all sockets for context termination.
  * Portable API for creating child threads and ØMQ pipes to talk to them.
  * Simple reactor with one-off and repeated timers, and socket readers.
  * System clock functions for sleeping and calculating timers.
  * Easy API to get/set all socket options.
  * Includes generic hash and list containers.
 .
 This package provides the development files for czmq.

Package: libczmq4
Description-md5: d575218ffbedd7f6afe6212e5b109979
Description-en: High-level C binding for ZeroMQ
 czmq (previously known as libzapi) provides a high-level C binding for
 0MQ, a lightweight messaging library.
 .
 This library provides higher level abstractions on top of the base
 library, with features such as:
  * Work with messages as strings, individual frames, or multipart messages.
  * Automatic closure of any open sockets at context termination.
  * Automatic LINGER configuration of all sockets for context termination.
  * Portable API for creating child threads and ØMQ pipes to talk to them.
  * Simple reactor with one-off and repeated timers, and socket readers.
  * System clock functions for sleeping and calculating timers.
  * Easy API to get/set all socket options.
  * Includes generic hash and list containers.

Package: libdacs-dev
Description-md5: 261b323dbfd72d1ae602c588785b59ae
Description-en: Distributed Access Control System (DACS) - development files
 DACS is a light-weight single sign-on and role-based access control system
 for web servers and server-based software. It is also an authentication and
 authorization toolkit for programmers. DACS makes secure resource sharing
 and remote access via the web easier, safer, and more efficient.
 .
 This package contains development files for the DACS shared library.

Package: libdacs1
Description-md5: 7963ba6f37920b23ff6565bc16044da8
Description-en: Distributed Access Control System (DACS) - shared library
 DACS is a light-weight single sign-on and role-based access control system
 for web servers and server-based software. It is also an authentication and
 authorization toolkit for programmers. DACS makes secure resource sharing
 and remote access via the web easier, safer, and more efficient.
 .
 This package contains the DACS shared library.

Package: libdaemon-control-perl
Description-md5: e1c0d1a6d010d945aa2cd2e6c076599b
Description-en: module for creating init scripts in Perl
 Daemon::Control provides a library for creating init scripts in Perl.
 Your Perl script just needs to set the accessors for what and how you
 want something to run and the library takes care of the rest.
 .
 You can launch programs through the shell (/usr/sbin/my_program) or
 launch Perl code itself into a daemon mode. Single and double fork
 methods are supported and in double-fork mode all the things you
 would expect like reopening STDOUT/STDERR, switching UID/GID
 are supported.

Package: libdaemon-generic-perl
Description-md5: 5f0072ed6362acbe26a4c92f93391105
Description-en: framework to provide start/stop/reload for a daemon
 Daemon::Generic provides a framework for starting, stopping and reconfiguring
 daemon-like programs. The framework provides for standard commands that work
 for init.d files and as apachectl-like commands.
 .
 Programs that use Daemon::Generic subclass Daemon::Generic to override its
 behavior. Almost everything that Generic::Daemon does can be overridden as
 needed.

Package: libdancer-logger-psgi-perl
Description-md5: d0e21d0081cbc766975dec763f66bef2
Description-en: PSGI Log handler for Dancer
 Dancer is a Perl web application framework.
 .
 Dancer::Logger:PSGI is an interface between your Dancer application and
 psgix.logger. Message will be logged in whatever logger you decided to
 use in your Plack handler. If no logger is defined, nothing will be
 logged.

Package: libdancer-logger-syslog-perl
Description-md5: e0ad16e60509fff0c156b290c5b9fa87
Description-en: Dancer logger engine for Sys::Syslog
 Dancer::Logger::Syslog implements a logger engine that sends log
 messages to syslog, through the Sys::Syslog module.
 .
 It's required if you want to use "logger: syslog" inside a
 Dancer application.

Package: libdancer-perl
Description-md5: e9f72e1f35d652bc8847272e512ef87f
Description-en: effortless web application framework
 Dancer is a web application framework designed to be as effortless as
 possible for the developer, taking care of the boring bits as easily as
 possible, yet staying out of your way and letting you get on with writing
 your code.
 .
 Dancer aims to provide the simplest way for writing web applications, and
 offers the flexibility to scale between a very simple lightweight web service
 consisting of a few lines of code in a single file, all the way up to a more
 complex fully-fledged web application with session support, templates for
 views and layouts, etc.
 .
 This is the original version of Dancer, which is now in maintenance mode.
 This means that it will not receive significant new features, but will
 continue to receive bugfixes and security fixes.
 .
 However, you should consider migrating to Dancer2 instead when you can, and
 are advised to use Dancer2 for newly-started apps.

Package: libdancer-plugin-auth-extensible-perl
Description-md5: fc1e4dc34a9f5a59bc2a1ebe1df25da0
Description-en: module providing extensible authentication framework for Dancer apps
 Dancer::Plugin::Auth::Extensible makes it easy to require a user to be
 logged in to access certain routes, provides role-based access control,
 and supports various authentication  methods/sources (config file, database,
 Unix system users, etc).

Package: libdancer-plugin-database-core-perl
Description-md5: 9ff2ee79be02a856a5d18592e6527674
Description-en: shared core library for Dancer and Dancer2 database plugins
 Dancer::Plugin::Database::Core should not be used directly. It is a shared
 library for Dancer::Plugin::Database and Dancer2::Plugin::Database modules.

Package: libdancer-plugin-database-perl
Description-md5: 8701701c3b8817ff21695ef03305498e
Description-en: Dancer plugin providing easy database connections
 Dancer::Plugin::Database is a Dancer plugin that provides an easy way to
 obtain a connected DBI database handle by simply calling the "database"
 keyword within your Dancer application. It also ensures that the database
 handle is still connected and valid.
 .
 Additionally, connection credentials and other information are retrieved
 from the Dancer configuration, leaving your code nice and clean.

Package: libdancer-plugin-dbic-perl
Description-md5: d0787a5301d6f4ff61e19d0d702d99ff
Description-en: DBIx::Class interface for Dancer applications
 Dancer is a Perl web application framework.
 .
 Dancer::Plugin::DBIC provides an easy way to obtain
 DBIx::Class::ResultSet instances via the function schema(), which it
 automatically imports.  You just need to point to a dsn in your Dancer
 configuration file.  So you no longer have to write boilerplate DBIC
 setup code.

Package: libdancer-plugin-email-perl
Description-md5: 70e12779bd29278c0a0aeab34abeee74
Description-en: Simple email sending plugin for Dancer applications
 Dancer::Plugin::Email tries to make sending emails from Dancer
 applications as simple as possible. It uses Email::Sender under the
 hood. In a lot of cases, no configuration is required. For example,
 if your app is hosted on a unix-like server with sendmail installed,
 calling email() will just do the right thing.

Package: libdancer-plugin-flashmessage-perl
Description-md5: 3a264b65e90f2cd863a8319af3cd9795
Description-en: Dancer plugin to display temporary, so called "flash messages"
 Dancer::Plugin::FlashMessage helps you display temporary messages, also known
 as "flash messages". Flash messages can be used to inform the user that a
 certain operation was successful in way that doesn't take space when there
 are no messages to be shown.
 .
 The plugin provides a flash() method for setting the message and takes care
 of attaching the content to the session, propagating it to the templating
 system, and then removing it from the session.
 .
 The developer's only remaining job is to provide a place in the views or the
 layout where the message will be displayed.
 .
 Dancer is a lightweight yet powerful web application framework.

Package: libdancer-plugin-rest-perl
Description-md5: 740110c33775e99d565183369267a086
Description-en: REST plugin for Dancer
 Dancer is a Perl web application framework.
 .
 Dancer::Plugin::REST is a Dancer plugin to transform your Dancer app
 into a RESTful webservice.

Package: libdancer-session-cookie-perl
Description-md5: eab3058fa68116dbe6792efd7c0ff49a
Description-en: encrypted cookie-based session backend for Dancer
 Dancer::Session::Cookie implements a session engine for sessions stored
 entirely in cookies. Usually only session id is stored in cookies and the
 session data itself is saved in some external storage, e.g. database. This
 module allows one to avoid using external storage at all.
 .
 Since server cannot trust any data returned by client in cookies, this module
 uses cryptography to ensure integrity and also secrecy. The data your
 application stores in sessions is completely protected from both tampering
 and analysis on the client-side.

Package: libdancer-session-memcached-perl
Description-md5: 9aa540bae3b8df971de389aa764e0cdc
Description-en: Memcached-based session backend for Dancer
 Dancer::Session::Memcached implements a session engine based on the Memcached
 API. Sessions are stored as memcached objects via a list of Memcached servers.
 .
 Dancer is a lightweight yet powerful web application framework.

Package: libdancer2-perl
Description-md5: 6f010788cc530b5ad79021e4abbdff45
Description-en: lightweight yet powerful web application framework
 Dancer2 is the new generation lightweight web-framework for Perl. It's a
 complete rewrite of Dancer based on Moo. It's designed to be powerful and
 flexible, but also easy to use - getting up and running with your web app
 is trivial, and an ecosystem of adaptors for common template engines,
 session storage, logging methods and plugins to make common tasks easy
 mean you can do what you want to do, your way, easily.

Package: libdancer2-plugin-ajax-perl
Description-md5: bcf137d4cbc6b457cb7e1e5aa61e6f27
Description-en: Dancer2 plugin for adding Ajax route handlers
 The 'ajax' keyword which is exported by Dancer2::Plugin::Ajax allows you to
 define a route handler optimized for Ajax queries.
 .
 Dancer2 is the new generation lightweight web-framework for Perl.

Package: libdancer2-plugin-database-perl
Description-md5: fe83cf865c0b413d795425f3b00af673
Description-en: module for easy database connections for Dancer2 applications
 Dancer2::Plugin::Database Provides an easy way to obtain a connected DBI
 database handle by simply calling the database keyword within your Dancer2
 application
 .
 The module returns a Dancer::Plugin::Database::Core::Handle object, which is
 a subclass of DBI's `DBI::db' connection handle object, so it does everything
 you'd expect to do with DBI, but also adds a few convenience methods.
 .
 It takes care of ensuring that the database handle is still connected and
 valid. If the handle was last asked for more than
 `connection_check_threshold' seconds ago, it will check that the connection
 is still alive, using either the `$dbh->ping' method if the DBD driver
 supports it, or performing a simple no-op query against the database if not.
 If the connection has gone away, a new connection will be obtained and
 returned. This avoids any problems for a long-running script where the
 connection to the database might go away.
 .
 Care is taken that handles are not shared across processes/threads, so this
 should be thread-safe with no issues with transactions etc.

Package: libdancer2-plugin-passphrase-perl
Description-md5: c8f6e08089d3a015a7946e3f643f2f50
Description-en: passphrases and passwords as objects for Dancer2
 Dancer2::Plugin::Passphrase manages the hashing of passwords for Dancer2
 apps, allowing developers to follow cryptography best practices without
 having to become a cryptography expert.

Package: libdanga-socket-perl
Description-md5: 4258ab4cf6b5cfb8914ca9197c6ba1bc
Description-en: event loop and event-driven async socket base class
 Danga::Socket is an abstract base class for objects backed by a socket which
 provides the basic framework for event-driven asynchronous IO, designed to be
 fast. Danga::Socket is both a base class for objects, and an event loop.
 .
 Callers subclass Danga::Socket. Danga::Socket's constructor registers
 itself with the Danga::Socket event loop, and invokes callbacks on the
 object for readability, writability, errors, and other conditions.
 .
 Because Danga::Socket uses the "fields" module, your subclasses must
 too.

Package: libdansguardian-perl
Description-md5: 2f251483759de898f82ba89801ddf6a0
Description-en: Simple module for administer dansguardian's control files
 Dansguardian Perl module is small module for administer dansguardian's
 content control files. It let you add, remove and get information from files
 control.

Package: libdap-bin
Description-md5: 7b40a5fcd10f928b7c68d30bb16b9597
Description-en: Binaries for the  libdap Data Access Protocol library
 OPeNDAP provides software that allows you to access data over the internet,
 from programs that weren't originally designed for that purpose, as well
 as some that were. While OPeNDAP is the original developer of the Data Access
 protocol which its software uses, many other groups have adopted DAP
 and provide compatible clients, servers and software development kits.
 .
 This package contains the 'getdap' client binary.

Package: libdap-dev
Description-md5: 444c53dd9056a47893cd494802a1e752
Description-en: Development files (headers and static libraries) for libdap
 OPeNDAP provides software that allows you to access data over the internet,
 from programs that weren't originally designed for that purpose, as well
 as some that were. While OPeNDAP is the original developer of the Data Access
 protocol which its software uses, many other groups have adopted DAP
 and provide compatible clients, servers and software development kits.
 .
 This package contains header files, pkgconfig files and static libraries
 for DAP.

Package: libdap-doc
Description-md5: 3ddb7bd712442d5005bbbf4e80885bd0
Description-en: Documentation for the libdap Data Access Protocol library
 OPeNDAP provides software that allows you to access data over the internet,
 from programs that weren't originally designed for that purpose, as well
 as some that were. While OPeNDAP is the original developer of the Data Access
 protocol which its software uses, many other groups have adopted DAP
 and provide compatible clients, servers and software development kits.

Package: libdap25
Description-md5: cdcc8834ad351e2ef97ebd719f4887e8
Description-en: Open-source Project for a Network Data Access Protocol library
 OPeNDAP provides software that allows you to access data over the internet,
 from programs that weren't originally designed for that purpose, as well
 as some that were. While OPeNDAP is the original developer of the Data Access
 protocol which its software uses, many other groups have adopted DAP
 and provide compatible clients, servers and software development kits.
 .
 With OPeNDAP software, you access data using a URL, just like a URL you
 would use to access a web page. However, before you request any data,
 you need to know how to request it in a form your browser can handle.
 OPeNDAP data is stored in binary form, and by default, it is
 transmitted that way, too.

Package: libdapclient6v5
Description-md5: 9da00b6870a22c20af6e322be5285329
Description-en: Client library for the Network Data Access Protocol
 OPeNDAP provides software that allows you to access data over the internet,
 from programs that weren't originally designed for that purpose, as well
 as some that were. While OPeNDAP is the original developer of the Data Access
 protocol which its software uses, many other groups have adopted DAP
 and provide compatible clients, servers and software development kits.
 .
 This package provides the client library libdapclient6.

Package: libdapl-dev
Description-md5: f2bcded91991be98939d4ef77d9cd821
Description-en: development files for the DAPL libraries
 The Direct Access Programming Library (DAPL) is a transport-independent,
 platform-independent API that supports Remote Direct Memory Access (RDMA)
 devices such as Infiniband and iWARP .
 .
 This package contains the header files and shared libraries for building
 applications against libdapl.

Package: libdapl2
Description-md5: 4a6df895a815aa44aba379abd136a872
Description-en: Direct Access Programming Library (DAPL)
 The Direct Access Programming Library (DAPL) is a transport-independent,
 platform-independent API that supports Remote Direct Memory Access (RDMA)
 devices such as Infiniband and iWARP .
  .
 This package contains the libdapl shared library.

Package: libdapserver7v5
Description-md5: d0c2b59d606c885927a08d766aebb30b
Description-en: Server library for the Network Data Access Protocol
 OPeNDAP provides software that allows you to access data over the internet,
 from programs that weren't originally designed for that purpose, as well
 as some that were. While OPeNDAP is the original developer of the Data Access
 protocol which its software uses, many other groups have adopted DAP
 and provide compatible clients, servers and software development kits.
 .
 This package provides the server library libdapserver7

Package: libdaq-dev
Description-md5: 209d500283b13e14b6a866e484c387e4
Description-en: Data Acquisition library for packet I/O - development files
 DAQ is a library that introduces an abstraction layer to PCAP functions
 facilitation operation in a variety of hardware and software interfaces.
 .
 It was written for Snort but it may be useful to other packet processing
 applicatons.
 .
 This package contains the static library and the C header files.

Package: libdaq2
Description-md5: 5da3c6fd4e43716890fdc58b8ecf75f9
Description-en: Data Acquisition library for packet I/O - shared library
 DAQ is a library that introduces an abstraction layer to PCAP functions
 facilitation operation in a variety of hardware and software interfaces.
 .
 It was written for Snort but it may be useful to other packet processing
 applicatons.
 .
 This package contains the shared library.

Package: libdar-dev
Description-md5: 03a444264eb3abf7a522c5ed08ae9bee
Description-en: Disk ARchive: Development files for shared library
 Full featured archiver with support for differential backups, slices,
 compression, ATTR/ACL support. DAR also supports Pipes for remote
 operations, including with ssh.

Package: libdar64-6000
Description-md5: 73796f842cd28602f4f7860529c3266a
Description-en: Disk ARchive: Shared library
 Full featured archiver with support for differential backups, slices,
 compression, ATTR/ACL support. DAR also supports Pipes for remote
 operations, including with ssh.
 .
 This package contains the shared library for accessing archives.

Package: libdart-all-dev
Description-md5: 008ab37cc13f0d7a13b7f772a6f224df
Description-en: Dynamic Animation and Robotics Toolkit - All Development Files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package does not contain any file but install all development packages
 .
 Metapackage for all development files

Package: libdart-collision-bullet-dev
Description-md5: 425564e2f818d4dcd7999771cfd4e94c
Description-en: Dynamic Animation and Robotics Toolkit - Utils Component Development Files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.

Package: libdart-collision-ode-dev
Description-md5: ee6fe0a2f6cd169289def86e00f495fe
Description-en: Dynamic Animation and Robotics Toolkit - Utils Component Dev Files
 DART is a collaborative, cross-platform, open source library created by
 the Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library
 provides data structures and algorithms for kinematic and dynamic
 applications in robotics and computer animation. DART is distinguished
 by it's accuracy and stability due to its use of generalized coordinates
 to represent articulated rigid body systems and computation of
 Lagrange's equations derived from D.Alembert's principle to describe the
 dynamics of motion. For developers, in contrast to many popular physics
 engines which view the simulator as a black box, DART gives full access
 to internal kinematic and dynamic quantities, such as the mass matrix,
 Coriolis and centrifugal forces, transformation matrices and their
 derivatives. DART also provides efficient computation of Jacobian
 matrices for arbitrary body points and coordinate frames. Contact and
 collision are handled using an implicit time-stepping, velocity-based
 LCP (linear-complementarity problem) to guarantee non-penetration,
 directional friction, and approximated Coulomb friction cone conditions.
 For collision detection, DART uses FCL developed by Willow Garage and
 the UNC Gamma Lab. DART has applications in robotics and computer
 animation because it features a multibody dynamic simulator and tools
 for control and motion planning. Multibody dynamic simulation in DART is
 an extension of RTQL8, an open source software created by the Georgia
 Tech Graphics Lab. This package contains the collision ode headers and
 other tools for development.

Package: libdart-dev
Description-md5: 5e2abef89d1114af584e6e2f682f915e
Description-en: Kinematics Dynamics and Optimization Library - development files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains main headers and other tools for development.

Package: libdart-external-ikfast-dev
Description-md5: b377600e99f6b34dc2aa9759a23d4321
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer dev
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains ikfast headers and other useful tools for
 development.

Package: libdart-external-imgui-dev
Description-md5: 6113d080791add83e0fca42a12888744
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer dev
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains imgui headers and other useful tools for
 development.

Package: libdart-external-lodepng-dev
Description-md5: d68d9df8a8268b788143b81706de8e2b
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer dev
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains lodepng headers and other useful tools for
 development.

Package: libdart-external-odelcpsolver-dev
Description-md5: d68d9df8a8268b788143b81706de8e2b
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer dev
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains lodepng headers and other useful tools for
 development.

Package: libdart-gui-dev
Description-md5: c0f94e48632c157bb63df8c6266175eb
Description-en: Kinematics Dynamics and Optimization Library - gui dev files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains GUI headers and other useful tools for GUI development.

Package: libdart-gui-osg-dev
Description-md5: 6a728e053a6050ef21d14d424c58bb9f
Description-en: Kinematics Dynamics and Optimization Library - gui-osg dev files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains GUI OpenSceneGraph headers and other useful tools for
 GUI OpenSceneGraph development.

Package: libdart-optimizer-ipopt-dev
Description-md5: db60d02e1a332a0e927fb924330d2281
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer dev
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains IPOPT optimizer headers and other useful tools for
 development.

Package: libdart-optimizer-nlopt-dev
Description-md5: 48a73d9235cd797593cd0103c4639a3d
Description-en: Kinematics Dynamics and Optimization Library - optimizer dev files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains NLOPT optimizer headers and other useful tools for
 development.

Package: libdart-planning-dev
Description-md5: b720cfdfd1c1c00af0e5cc454c535452
Description-en: Kinematics Dynamics and Optimization Library - planning dev files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the planning headers and other tools for development.

Package: libdart-utils-dev
Description-md5: 9cdc66fc10bcc68e0f4c5aa89ad9f30b
Description-en: Kinematics Dynamics and Optimization Library - utils dev files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains headers and other useful tools for development.

Package: libdart-utils-urdf-dev
Description-md5: 59b903f0562fd1ab0fdb94bc448ff425
Description-en: Dynamic Animation and Robotics Toolkit - URDF Component Development Files
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains urdf utils headers and other useful tools for
 development.

Package: libdart6
Description-md5: 0ad333afe221699924d4185a8b91c0d5
Description-en: Kinematics Dynamics and Optimization Library - main library
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the main library of DART.

Package: libdart6-collision-bullet
Description-md5: 8599f29c08c35b16a91d5a47f85b71f3
Description-en: Dynamic Animation and Robotics Toolkit - Utils Component Shared Library
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.

Package: libdart6-collision-ode
Description-md5: 9f6e74ab2054d67e30b5471865c7faf5
Description-en: Dynamic Animation and Robotics Toolkit - Utils Component Library
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.

Package: libdart6-external-imgui
Description-md5: fad179e693341f85cc9e92a212c4cf87
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer lib
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the imgui library.

Package: libdart6-external-lodepng
Description-md5: f9e85e549ece3b4f665590dd4e65bb88
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer lib
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the lodepng library.

Package: libdart6-external-odelcpsolver
Description-md5: 22f748cef006fd4aa570f257ba6bde7b
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer lib
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the odelcpsolver library.

Package: libdart6-gui
Description-md5: 507fe5a7d472a8995fad9b790d4cb3c8
Description-en: Kinematics Dynamics and Optimization Library - gui library
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.

Package: libdart6-gui-osg
Description-md5: 7be11b0811da261c9da8f0032e7ed949
Description-en: Kinematics Dynamics and Optimization Library - gui-osg library
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the GUI OpenSceneGraph optimizer library.

Package: libdart6-optimizer-ipopt
Description-md5: 8af501cb5e7a3748dc2d77638bbda7cd
Description-en: Kinematics Dynamics and Optimization Library - ipopt optimizer lib
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the ipopt optimizer library.

Package: libdart6-optimizer-nlopt
Description-md5: 68417a1cdc521195ab556dec8137716a
Description-en: Kinematics Dynamics and Optimization Library - nlopt optimizer lib
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the NLOPT optimizer library.

Package: libdart6-planning
Description-md5: b76e79a85f28ef52322dec0e0eaa3328
Description-en: Kinematics Dynamics and Optimization Library - planning library
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the DART planning library.

Package: libdart6-utils
Description-md5: 26dfaac6938f0bb6e018c409a9f3a334
Description-en: Kinematics Dynamics and Optimization Library - utils library
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.
 This package contains the DART utils library.

Package: libdart6-utils-urdf
Description-md5: 8599f29c08c35b16a91d5a47f85b71f3
Description-en: Dynamic Animation and Robotics Toolkit - Utils Component Shared Library
 DART is a collaborative, cross-platform, open source library created by the
 Georgia Tech Graphics Lab and Humanoid Robotics Lab. The library provides data
 structures and algorithms for kinematic and dynamic applications in robotics
 and computer animation.
 DART is distinguished by it's accuracy and stability due to its use of
 generalized coordinates to represent articulated rigid body systems and
 computation of Lagrange's equations derived from D.Alembert's principle to
 describe the dynamics of motion.
 For developers, in contrast to many popular physics engines which view the
 simulator as a black box, DART gives full access to internal kinematic and
 dynamic quantities, such as the mass matrix, Coriolis and centrifugal forces,
 transformation matrices and their derivatives. DART also provides efficient
 computation of Jacobian matrices for arbitrary body points and coordinate
 frames. Contact and collision are handled using an implicit time-stepping,
 velocity-based LCP (linear-complementarity problem) to guarantee
 non-penetration, directional friction, and approximated Coulomb friction cone
 conditions. For collision detection, DART uses FCL developed by Willow Garage
 and the UNC Gamma Lab.
 DART has applications in robotics and computer animation because it features a
 multibody dynamic simulator and tools for control and motion planning.
 Multibody dynamic simulation in DART is an extension of RTQL8, an open source
 software created by the Georgia Tech Graphics Lab.

Package: libdashel-dev
Description-md5: 0b0db450e9fe01559212fae0a2701c05
Description-en: Dashel Library development files
 DASHEL provides a unified access to TCP/IP, serial port, and files
 streams. It also allows a server application to wait for any activity on
 any combination of those streams.
 .
 This package provides the files necessary for developers

Package: libdashel1
Description-md5: 72b9b7cc0a4bfb488744455adbad5a32
Description-en: Cross-platform DAta Stream Helper Encapsulation Library
 DASHEL provides a unified access to TCP/IP, serial port, and files
 streams. It also allows a server application to wait for any activity on
 any combination of those streams.

Package: libdata-alias-perl
Description-md5: 43a91f268226bf59b82df34b1c4d9c5c
Description-en: module to create aliases instead of copies
 Data::Alias is a Perl module that enables developers to apply "aliasing
 semantics" to a section of code, causing aliases to be made wherever
 Perl would normally make copies instead. You can use this to improve
 efficiency and readability, when compared to using references.

Package: libdata-amf-perl
Description-md5: 81689c133c5072f2aa35a13e92be37b5
Description-en: Perl module for serialize / deserialize AMF data
 This module is (de)serializer for Adobe's AMF (Action Message Format).
 Data::AMF is core module and it recognize only AMF data, not AMF packet.
 If you want to read/write AMF Packet, see Data::AMF::Packet instead.

Package: libdata-binary-perl
Description-md5: 4d5bae0293dc278871950b829167a3fc
Description-en: module for detection of binary versus text in strings
 The Data::Binary module provides string equivalents to the -T / -B operators.
 Since these only work on file names and file handles, this module provides
 the same functions but on strings.
 .
 Note that the actual implementation is currently different, basically because
 the -T / -B functions are in C/XS, and this module is written in pure Perl.
 For now, anyway.

Package: libdata-bitmask-perl
Description-md5: 6fe92250af7b8694d065e9d9e3fd46e4
Description-en: bitmask manipulation class
 Data::BitMask is a Perl module to create bitmask manipulator objects
 that can be used to create bitmask values based on a list of
 constants, as well as to break apart masks using those constants. The
 advantages are that you don't have to pollute namespaces to use
 constants, you can ensure that only appropriate constants are used for
 specific masks, you can easily break apart and explain masks, and in
 general it is much easier for the user to interact with masks.

Package: libdata-buffer-perl
Description-md5: aff8415b97347400d05b35271bdd6352
Description-en: Read/write buffer class for perl
 Data::Buffer implements a low-level binary buffer in which you can get
 and put integers, strings, and other data. Internally the implementation
 is based on pack and unpack, such that Data::Buffer is really a layer
 on top of those built-in functions.

Package: libdata-clone-perl
Description-md5: 921f57fd47033e11eec8bde3bcd3c2c9
Description-en: Perl module for polymorphic data cloning
 Data::Clone does data cloning, i.e. copies things recursively. This is smart
 so that it works with not only non-blessed references, but also with blessed
 references (i.e. objects). When clone() finds an object, it calls a clone
 method of the object if the object has a clone, otherwise it makes a surface
 copy of the object. That is, this module does polymorphic data cloning.
 .
 Although there are several modules on CPAN which can clone data, this module
 has a different cloning policy from almost all of them.

Package: libdata-compare-perl
Description-md5: c3a99a406affde30658cd2da4b20db78
Description-en: perl module to compare perl data structures recursively
 The Data::Compare module compares two perl data structures recursively,
 it natively handles several built-in data types - scalars, references
 to scalars, references to arrays, references to hashes, references
 to subroutines, compiled regular expressions, and globs. For objects, it tries
 to Do The Right Thing and compares the underlying data type.
 .
 However, this is not always what you want. This is especially true if you
 have complex objects which overload stringification and/or numification.
 So you can extend this module with your own plugins for special data
 structures, by using Data::Compare::Plugins (this is not an extra package, it
 is included here).

Package: libdata-dmp-perl
Description-md5: fc513f1f8300233237bfdf4036db949c
Description-en: Perl module that dumps Perl data structures as Perl code
 Data::Dmp is a Perl dumper like Data::Dumper. It's compact (only about 150
 lines of code long), starts fast and does not use other module except
 Regexp::Stringify when dumping regexes. It produces compact output (similar
 to Data::Dumper::Concise). It roughly has the same speed as Data::Dumper
 (usually a bit faster for smaller structures), but does not offer the various
 formatting options. It supports dumping objects, regexes, circular
 structures, coderefs. Its code is based on Data::Dump.

Package: libdata-dpath-perl
Description-md5: f60f8102e8fcb281cb725915e2cbb2a5
Description-en: DPath is like XPath but for Perl data structures
 With Data::DPath you can address points in a Perl data structure by
 describing a "path" to it using hash keys, array indexes or some
 wildcard-like steps.  It is inspired by XPath but differs from it.

Package: libdata-dump-oneline-perl
Description-md5: 24ba4f1b42518e245c8b33a3312e606e
Description-en: Perl module that dumps data structures as single-line strings
 Data::Dump::OneLine dumps data structures as single-line strings. It uses
 Data::Dmp.
 .
 JSON should also encode to a single-line string, but some data structures
 (cyclical, contains globs or other special Perl data) cannot be encoded out of
 the box to JSON.
 .
 Data::Dumper::OneLine strives to do the same for Data::Dumper.

Package: libdata-dump-streamer-perl
Description-md5: ec004f9426390bfb2ce87a77f645573d
Description-en: module for serializing a data structure as Perl code
 Data::Dump::Streamer is a Perl module that serializes scalars, objects or
 reference variables and provides their contents in Perl syntax. Special data
 structures including closures, self-referential structures and objects are
 output correctly. This module is very similar in concept to the core module
 Data::Dumper, except this module is designed to output to a stream instead
 of constructing its output in memory (trading speed for memory).

Package: libdata-dumper-compact-perl
Description-md5: 15338513f12c9c7e749a4be9f4a302e2
Description-en: vertically compact width-limited data formatter
 Data::Dumper::Compact provides a replacement of the Dumper() function that
 produces a more compact output.
 .
 Deep data structures are rendered highly compactly.
 .
 JSON::Dumper::Compact is a subclass of Data::Dumper::Compact that turns
 arrayrefs and hashrefs instead into JSON.

Package: libdata-dumper-concise-perl
Description-md5: fed341bb32775fc4048e440afb8b3623
Description-en: module for more shorter Data::Dumper-like output
 Data::Dumper::Concise is a Perl module designed to produce useful debugging
 output, eliding unnecessary information. It exists as a convenient way to
 reproduce a set of Dumper options useful for most applications.
 .
 A similar module, Data::Dump::Streamer (libdata-dump-streamer-perl) provides
 even shorter output but is overkill for most applications. In comparison,
 this module is Pure Perl, which means it is less likely to segfault.

Package: libdata-dumper-simple-perl
Description-md5: 9072e8a1374d05df3470579c83cbb3d2
Description-en: Easily dump variables together with their names (Data::Dumper-like)
 Data::Dumper::Simple allows the user to dump variables in a Data::Dumper
 format. Unlike the default behavior of Data::Dumper, the variables are named
 (instead of $VAR1, $VAR2, etc.)  Data::Dumper provides an extended
 interface that allows the programmer to name the variables, but this
 interface requires a lot of typing and is prone to tyops (sic).  This
 module fixes that.

Package: libdata-dumpxml-perl
Description-md5: f62a7a3de67739940f57d76c929fe592
Description-en: module to dump arbitrary Perl data structures as XML
 Data::DumpXML provides a single function called dump_xml() that takes
 a list of Perl values as its argument and produces a string as its
 result. That string is an XML document that represents any Perl data
 structures passed to the function.

Package: libdata-entropy-perl
Description-md5: bf232b9f932cc0e331c5707daf63a17a
Description-en: Perl module for entropy (randomness) management
 Data::Entropy maintains a concept of a current selection of entropy source.
 Algorithms that require entropy can use the source nominated by this
 module, avoiding the need for entropy source objects to be explicitly
 passed around.  This is convenient because usually one entropy source
 will be used for an entire program run and so an explicit entropy source
 parameter would rarely vary.  There is also a default entropy source,
 avoiding the need to explicitly configure a source at all.
 .
 If nothing is done to set a source then it defaults to the use of Rijndael
 (AES) in counter mode (see Data::Entropy::RawSource::CryptCounter
 and Crypt::Rijndael), keyed using Perl's built-in rand function.
 This gives a data stream that looks like concentrated entropy, but really
 only has at most the entropy of the rand seed.  Within a single run it
 is cryptographically difficult to detect the correlation between parts
 of the pseudo-entropy stream.  If more true entropy is required then it
 is necessary to configure a different entropy source.

Package: libdata-faker-perl
Description-md5: fb7abd42b8550c58dab776b1d17dee76
Description-en: Perl extension for generating fake data
 Data::Faker creates fake (but reasonable) data that can be used for things
 such as filling databases with fake information during development of
 database related applications.

Package: libdata-float-perl
Description-md5: ff1940aadaecd5983be020a27ac2aedb
Description-en: Perl module encapsulating the floating point data type
 Data::Float is about the native floating point numerical data type.
 A floating point number is one of the types of datum that can appear
 in the numeric part of a Perl scalar.  This module supplies constants
 describing the native floating point type, classification functions,
 and functions to manipulate floating point values at a low level.

Package: libdata-flow-perl
Description-md5: 9f2462c39c01a4395dd835e5562fc116
Description-en: Perl extension for simple-minded recipe-controlled build of data
 Data::Flow allows you to define recipes for building data. A recipe
 contains all of the rules for determining the value of the data involved
 based on a set of defaults and/or interreactions with other pieces of
 data in an automated fashion. You can define any or all of:
  - default values
  - prerequisites (other fields that must have values before the field
    in question can be determined)
  - subroutines to build the field data given all requirements

Package: libdata-format-html-perl
Description-md5: 3fd2f24a7c96d6b3ff5ee8fd221c90a8
Description-en: Perl module for dumping Perl objects to HTML
 Data::Format::HTML is a Perl module that takes a data structure as input and
 produces HTML suitable for output. It has support for most common Perl data
 types, even working with complicated nested data structures. In theory, you
 can pass in any sort of data type and get a "pretty" HTML representation of
 it. CSS rules can also extend this, but that behaviour is not yet documented.

Package: libdata-formvalidator-constraints-datetime-perl
Description-md5: 1a948937c8d813b22a1828b78bb39083
Description-en: date and time constraint plugin for Data::FormValidator
 Data::FormValidator::Constraints::DateTime provides constraint routines for
 Data::FormValidator based upon the DateTime module. It provides an easy
 mechanism for validating dates of any format (using strptime(3)) and
 transforming those dates (as long as you 'untaint' the fields) into valid
 DateTime objects, or into strings that would be properly formatted for
 various database engines.

Package: libdata-formvalidator-perl
Description-md5: c427704874f5fb864e4a26f7b64d6cb5
Description-en: module to validate user input, mainly for HTML forms
 Data::FormValidator is a Perl module that presents users template-generated
 forms that can be automatically validated later. It lets you define profiles
 which declare required an optional fields, as well as any field constraints.
 Results are provided as an object, which makes it easy to handle missing and
 invalid results, return error messages, or process valid data.

Package: libdata-guid-perl
Description-md5: bf20b66495fb58b3f8d0735a94d8cf8f
Description-en: globally unique identifiers
 Data::GUID provides a simple interface for generating and using
 globally unique identifiers.

Package: libdata-hal-perl
Description-md5: 8061c60a9280c7b34725239a737a7d0a
Description-en: module implementing the HAL data format
 The JSON Hypertext Application Language (HAL) is a standard which
 establishes conventions for expressing hypermedia controls, such as
 links, with JSON. The HAL is a format you can use in your
 hypermedia API and Data::HAL is a Perl module for usage with it.

Package: libdata-hexdump-perl
Description-md5: 36ba81b9ae5896f41e80bf451f0e646a
Description-en: hexadecimal dumper
 The Data::HexDump module dumps the content of a scalar in hexadecimal. The
 result is returned in a string. Each line of the result consists of the
 offset in the source in the leftmost column of each line, followed by one or
 more columns of data from the source in hexadecimal. The rightmost column of
 each line shows the printable characters (all others are shown as single
 dots).

Package: libdata-hexdumper-perl
Description-md5: 9252be76ed89618ce777c1ad09a8d212
Description-en: module for formatting binary data in a human-readable way
 Data::Hexdumper provides a simple way to format arbitrary binary data into a
 nice human-readable format, somewhat similar to the Unix 'hexdump' utility.
 .
 It gives the programmer a considerable degree of flexibility in how the data
 is formatted, with sensible defaults. It is envisaged that it will primarily
 be of use for those wrestling alligators in the swamp of binary file formats,
 which is why it was written in the first place.

Package: libdata-ical-perl
Description-md5: 5675c2da114a6fdf7c89647aad06134e
Description-en: Perl module for manipulating iCalendar (RFC2445) files
 Data::ICal provides an implementation of Perl objects that represent a given
 VCALENDAR object as defined in the iCalendar protocol (RFC 2445, MIME type
 "text/calendar"), as implemented in many popular calendaring programs such as
 Apple's iCal.
 .
 Each Data::ICal object is a collection of "entries", which are objects of a
 subclass of Data::ICal::Entry. The types of entries defined by iCalendar
 (which refers to them as "components") include events, to-do items, journal
 entries, free/busy time indicators and time zone descriptors, but this module
 currently only implements to-do items and events.

Package: libdata-ieee754-perl
Description-md5: 83b5a0f966ef81eeeba7d59c932376d0
Description-en: Perl module to pack and unpack big-endian IEEE754 floats and doubles
 Data::IEEE754 provides some simple convenience functions for packing and
 unpacking IEEE 754 floats and doubles.
 .
 Currently this module only implements big-endian order. Patches to add
 little-endian order subroutines are welcome.

Package: libdata-integer-perl
Description-md5: 5262a1bc4e20009ba510392ad23958f5
Description-en: Perl modules handling details of the native integer data type
 Data::Integer is about the native integer numerical data type.  A native
 integer is one of the types of datum that can appear in the numeric part
 of a Perl scalar.  This module supplies constants describing the native
 integer type.
 .
 There are actually two native integer representations: signed and
 unsigned.  Both are handled by this module.

Package: libdata-javascript-anon-perl
Description-md5: ff91431d5573e78fc2886fbf980b87aa
Description-en: Dump big dumb Perl structs to anonymous JavaScript structs
 Data::JavaScript::Anon provides the ability to dump large simple data
 structures to JavaScript. That is, things that don't need to be a class,
 or have special methods or whatever.

Package: libdata-javascript-perl
Description-md5: 04f0e44ff8bac352400869b5527d36ec
Description-en: dump perl data structures into JavaScript code
 Data::JavaScript is mainly inteded for CGI programming, when a perl script
 generates a page with client side JavaScript code that needs access to
 structures created on the server.
 .
 It works by creating one line of JavaScript code per datum. Therefore,
 structures cannot be created anonymously and need to be assigned to
 variables. However, this format enables dumping large structures.

Package: libdata-messagepack-perl
Description-md5: 54f171e3a1c8104675c6575d54376be1
Description-en: MessagePack serializing/deserializing
 Data::Messagepack converts Perl data structures to MessagePack and vice
 versa.
 .
 MessagePack is a binary-based efficient object serialization
 format. It enables to exchange structured objects between many
 languages like JSON. But unlike JSON, it is very fast and small.

Package: libdata-messagepack-stream-perl
Description-md5: b678c7d6e6de9b216cf1907a3a40dead
Description-en: streaming deserializer for MessagePack
 Data::MessagePack::Stream is an alternative to Data::MessagePack::Unpacker.
 Unlike the original unpacker, this module supports internal buffer and it's
 possible to handle streaming data correctly.

Package: libdata-methodproxy-perl
Description-md5: 98063851dc2d9493ea7ffee0e3a05614
Description-en: module to inject dynamic data into static data
 A method proxy as provided by the Data::MethodProxy module is an array ref
 describing a class method to call and the arguments to pass to it. The first
 value of the array ref is the scalar $proxy, followed by a package name, then
 a subroutine name which must callable in the package, and a list of any
 subroutine arguments.
 .
  [ '$proxy', 'Foo::Bar', 'baz', 123, 4 ]
 .
 The above is saying, do this:
 .
  Foo::Bar->baz( 123, 4 );

Package: libdata-miscellany-perl
Description-md5: 47e00ec4b0ac5547022e5a36c0eb138a
Description-en: collection of miscellaneous subroutines
 Data::Miscellany is a collection of miscellaneous subroutines useful in wide
 but varying scenarios; a catch-all module for things that don't obviously
 belong anywhere else.
 .
 Obviously, what's considered useful differs from person to person, but this
 particular collection should be useful in object-oriented frameworks, such
 as Class::Framework and Data::Conveyor.

Package: libdata-munge-perl
Description-md5: ef2843a807f3d4fa2660b4a39057a8f2
Description-en: collection of various utility functions
 Data::Munge defines a few generally useful utility functions. For example, a
 replacement for map which makes mutations of $_ more convenient, and a
 programmatic way to run arbitrary substitutions against strings.

Package: libdata-objectdriver-perl
Description-md5: 5fd596d46b0f327992ddfc037bf3cff9
Description-en: simple, transparent data interface, with caching
 Data::ObjectDriver is an object relational mapper, meaning that it maps
 object-oriented design concepts onto a relational database.
 .
 It's inspired by, and descended from, the MT::ObjectDriver classes in
 Six Apart's Movable Type and TypePad weblogging products. But it adds in
 caching and partitioning layers, allowing you to spread data across
 multiple physical databases, without your application code needing to
 know where the data is stored.

Package: libdata-page-pageset-perl
Description-md5: 0fb0c021c162fca99e58fedce92a8031
Description-en: condense long Data::Page lists into groups
 To avoid long lists of pages, this module can condense Data::Page lists
 into a form such as:
 .
 1-6 7-12 13 14 15 16 17 18 19-24 25-30 31-36 37-41
 .
 The module supports specifying pages_per_set or max_pagesets, for
 fine-tuning.

Package: libdata-page-perl
Description-md5: 4cdc2b5f1218604a77ab2f8ae9c3a6d5
Description-en: Perl module providing support for paging through result sets
 When searching through large amounts of data, it is often the case
 that a result set is returned that is larger than is desired to display
 on a single page. This results in wanting to page through multiple pages of
 data. The maths behind this is unfortunately fiddly, hence this
 Data::Page module.
 .
 After specifying the total number of entries, the desired number of entries
 per page, and the current page number, Data::Page will calculate how many pages
 of information there are, and what number the first and last entries on the
 current page really are.

Package: libdata-pageset-perl
Description-md5: 969b28af0b2de92c1653ba20858b4f4c
Description-en: Perl module for page numbering and page sets
 The object produced by Data::Pageset can be used to create page navigation,
 it inherits from Data::Page and has access to all methods from this object.
 .
 In addition it also provides methods for dealing with set of pages, so that
 if there are too many pages you can easily break them into chunks for the
 user to browse through.
 .
 You can even choose to view page numbers in your set in a 'sliding' fassion.
 .
 The object can easily be passed to a templating system such as Template
 Toolkit or be used within a script.

Package: libdata-paginator-perl
Description-md5: def439bd4b0d225e89f24ea0f11f7591
Description-en: pagination module for Moose
 Data::Paginator is yet another pagination module. It only exists because none
 of the other pager modules are written using Moose. Sometimes there is a Moose
 feature that you need. It's a pain when you can't use it with an existing
 module.
 .
 Data::Paginator aims to be completely compatible with the venerable
 Data::Page.

Package: libdata-parsebinary-perl
Description-md5: 1fb170b0a5bc7e611dc7e603ec01089b
Description-en: yet another parser for binary structures
 Data::ParseBinary is a Perl Port for PyConstructs
 <http://construct.wikispaces.com/>.
 .
 Data::ParseBinary enables writing declarations for simple and complex
 binary structures, parsing binary to hash/array data structure, and
 building binary data from hash/array data structure.

Package: libdata-password-perl
Description-md5: ed157b7c2687cffb02b2054bde9786f5
Description-en: Perl extension for assessing password quality
 Data::Password checks potential passwords for crackability. It checks
 that the password is in the appropriate length, that it has enough
 character groups, that it does not contain the same chars repeatedly or
 ascending or descending characters, or characters close to each other in
 the keyboard. It will also attempt to search the ispell word file for
 existance of whole words.

Package: libdata-peek-perl
Description-md5: 18c5cfe61cce77cae5c6749eb0ad5123
Description-en: module providing low-level manipulation of Perl data
 Data::Peek is a module that allows for low-level manipulation of Perl data
 structures, particularly for displaying the internal representation of given
 Perl variables.
 .
 In particular, it allows one to look at a scalar value to determine whether it
 contains a string (PV), integer (IV or UV) or floating point number (NV); the
 reference count of that scalar (REFCOUNT) and other flags (such as whether the
 string is UTF-8).
 .
 This module can also create triple variables, which are similar to the dual
 variables provided by Scalar::Util - they have two or three values, and the
 value which is returned depends on the context in which it is used.

Package: libdata-perl-perl
Description-md5: 6bbe11af66e5f62bccc22af913f7797e
Description-en: classes wrapping fundamental Perl data types
 Data::Perl is a collection of classes that wrap fundamental data types that
 exist in Perl. These classes and methods as they exist today are an attempt
 to mirror functionality provided by Moose's Native Traits. One important
 thing to note is all classes currently do no validation on constructor input.

Package: libdata-phrasebook-loader-yaml-perl
Description-md5: ba81281c4435c524bd30a3af2c728010
Description-en: loader class for Data::Phrasebook using YAML
 Data::Phrasebook::Loader::YAML implements phrasebook patterns using YAML.
 .
 Phrases can be contained within one or more dictionaries, with each phrase
 accessible via a unique key. Phrases may contain placeholders, please see
 Data::Phrasebook for an explanation of how to use these. Groups of phrases
 are kept in a dictionary. In this implementation a single YAML file is one
 complete dictionary.

Package: libdata-phrasebook-perl
Description-md5: bcc07769d27bcb2441fb4425a0b86ccc
Description-en: perl implementation of the phrasebook paradigm
 The phrasebook pattern is a technique to separate expressions in one language
 (e.g. SQL) from the main code that is written in another (e.g. Perl). The
 translations are kept in a separate file - the phrasebook.
 .
 Data::Phrasebook enables the framework for abstracting common or locale data
 out of your code into a datastore. The default is within a plain text file,
 but more complex datastores can be intrigrated via the use of other
 Data::Pharsebook::Loader distributions (eg YAML, XML, INI, DBI).

Package: libdata-pond-perl
Description-md5: 07fce2e9872534fb2685be8a77e1ab13
Description-en: Perl-based open notation for data module
 Data::Pond is concerned with representing data structures in a textual
 notation known as "Pond" (Perl-based open notation for data). The notation is
 a strict subset of Perl expression syntax, but is intended to have
 language-independent use. It is similar in spirit to JSON, which is based on
 JavaScript, but Pond represents fewer data types directly.
 .
 The data that can be represented in Pond consist of strings (of characters),
 arrays, and string-keyed hashes. Arrays and hashes can recursively (but not
 cyclically) contain any of these kinds of data. This does not cover the full
 range of data types that Perl or other languages can handle, but is intended
 to be a limited, fixed repertoire of data types that many languages can
 readily process. It is intended that more complex data can be represented
 using these basic types. The arrays and hashes provide structuring facilities
 (ordered and unordered collections, respectively), and strings are a
 convenient way to represent atomic data.

Package: libdata-printer-perl
Description-md5: f6caa769fabdc93e081347f965a3eb05
Description-en: colored pretty-printer of Perl data structures and objects
 Data::Printer is a tool designed to display Perl variables and objects on
 screen, properly formatted for inspection by a human being.
 .
 Many people use Data::Dumper for this task, although it was designed
 primarily to stringify data structures such that they can be eval'ed
 back in. It thus lacks all the visual clues, extra information and
 filtering possibilities that Data::Printer has to offer:
 .
   * Sane defaults
   * Highly customizable
   * Colored output by default
   * Human-friendly output, with array index and custom separators
   * Full object dumps including methods, inheritance and internals
   * Exposes extra information such as tainted data and weak references
   * Ability to easily create filters for objects and regular structures
   * Ability to load settings from a .dataprinter file

Package: libdata-priority-map-clojure
Description-md5: 1d5f24554a49412291e5948718fe7f28
Description-en: priority maps for Clojure
 data.priority-map implements priority maps in Clojure. A priority map is very
 similar to a sorted map, however its entries are sorted by value rather than
 by key.
 .
 In addition to supporting all the functions a sorted map supports, a priority
 map can also be thought of as a queue of [item priority] pairs. To support
 usage as a versatile priority queue, priority maps also support the
 conj/peek/pop operations

Package: libdata-random-perl
Description-md5: 4f71de12dab783b623c2037fc705a8a6
Description-en: Perl module to generate random data
 Data::Random is a module used to generate random data. You might find it
 helpful mostly in test programs to easily generate pseudo-realistic
 distributions of data.

Package: libdata-record-perl
Description-md5: bc6e4e5fddf761fa04f4ea812e8f323e
Description-en: "split" on steroids
 Sometimes we need data split into records and a simple split on the
 input record separator (C<$/>) or some other value fails because the
 values we're splitting on may allowed in other parts of the data.
 Perhaps they're quoted. Perhaps they're embedded in other data which
 should not be split up.
 .
 Data::Record allows you to specify what you wish to split the data on,
 but also speficy an "unless" regular expression.  If the text in
 question matches the "unless" regex, it will not be split there.  This
 allows us to do things like split on newlines unless newlines are
 embedded in quotes.

Package: libdata-report-perl
Description-md5: efd96ba8b30b821cf442b5532ae57944
Description-en: Framework for flexible reporting
 Data::Report is a flexible, plugin-driven reporting framework. It
 makes it easy to define reports that can be produced in text, HTML and
 CSV. Textual ornaments like extra empty lines, dashed lines, and cell
 lines can be added in a way similar to HTML style sheets.

Package: libdata-rmap-perl
Description-md5: afe5163035815d4727bf1d865bf93771
Description-en: Perl module implementing a recursive map, applying a block to a data structure
 Data::Rmap traverses HASH, ARRAY, SCALAR and GLOB reference types,
 evaluating a BLOCK for either only scalar values, arrays, hashes,
 references, all elements or a customizable combination of these, and
 returns a list composed of the results of such evaluations.

Package: libdata-sah-normalize-perl
Description-md5: fa5ba6a10e37bd59d4e26362ca1ac1f9
Description-en: Perl module to normalize Sah schema
 Data::Sah::Normalize often-needed functionality is split from the main
 Data::Sah to keep it in a small and minimal-dependencies package.
 .
 This module allows one to normalize clause set (hash) and Sah schema (scalar
 or array).

Package: libdata-section-perl
Description-md5: 8bfe8cc5d6583ae3a3cafe8428bbee49
Description-en: module to read chunks of data from a module's DATA section
 Data::Section is a Perl module that provides an easy way to access multiple
 named chunks of line-oriented data in your module's DATA section. It was
 written to allow modules to store their own templates, but is probably useful
 for other purposes as well.

Package: libdata-section-simple-perl
Description-md5: 78aab0d9fdb7da152bcc669160f98a8f
Description-en: Perl module for reading data from __DATA__ section of the file
 Data::Section::Simple is a simple module to extract data
 from __DATA__ section of the file.
 .
 This module does not implement caching (yet) which means
 in every get_data_section or get_data_section($name) this
 module seeks and re-reads the data section. If you want
 to avoid doing so for the better performance, you should
 implement caching in your own caller code.

Package: libdata-serializer-perl
Description-md5: 1584113e61e1f344fdad38a78c7540bd
Description-en: module that serializes data structures
 Data::Serializer provides a unified interface to the various serializing
 modules currently available. Adds the functionality of both compression
 and encryption.

Package: libdata-serializer-sereal-perl
Description-md5: e3b6e9484e412d0cae4b6bcda9339525
Description-en: bridge between Data::Serializer and Sereal
 Data::Serializer::Sereal subclasses the Data::Serializer unified serializing
 interface and overrides the serialize and deserialize methods to utilize the
 Sereal::Encoder and Sereal::Decoder modules respectively.

Package: libdata-show-perl
Description-md5: 83f13c6e9367a94174c626c8b3cfb013
Description-en: Perl module to dump data structures with name and point-of-origin
 Data::Show provides a simple wrapper around the Data::Dump module.
 .
 A call to show data-dumps its arguments, prefaced by a divider line that
 reports the arguments and the file and line from which show() was called.

Package: libdata-showtable-perl
Description-md5: ae4ca1f465f15bc6290c37125f6405b0
Description-en: Perl module to print arrays of data in a formatted listing
 Data::ShowTable is a Perl module which defines subroutines
 to print arrays of data in a nicely formatted listing, using one of
 four possible formats: simple table, boxed table, list style, and
 HTML-formatting (for World-Wide-Web output).
 In other words, showtable is a data formatting program.  Using the
 '-html' option, showtable can accept ASCII tabular data and format it
 appropriately for display through a Web-browser.

Package: libdata-sorting-perl
Description-md5: cd75f9655e01323bab050c6461db4705
Description-en: Perl module for multi-key sort using function results
 Data::Sorting provides functions to sort the contents of arrays based
 on a collection of extraction and comparison rules. Extraction rules
 are  used to identify the attributes of array elements on which the
 ordering  is based; comparison rules specify how those values should be
 ordered.
 .
 Index strings may be used to retrieve values from array elements, or
 function references may be passed in to call on each element.
 Comparison rules are provided for numeric, bytewise, and
 case-insensitive orders, as well as a 'natural' comparison that places
 numbers first, in numeric order, followed by the remaining items in
 case-insensitive textual order.

Package: libdata-stag-perl
Description-md5: 664697fca653fabe900e2a8989c71311
Description-en: module to manipulate Structured Tags datastructures
 Data::Stag is for manipulating data as hierarchical tag/value
 pairs (Structured TAGs or Simple Tree AGgreggates). These
 datastructures can be represented as nested arrays, which have the
 advantage of being native to perl. A simple example is shown below:
 .
  [ person=> [  [ family_name => $family_name ],
  [ given_name  => $given_name  ],
  [ phone_no    => $phone_no    ] ] ],
 .
 Data::Stag uses a subset of XML for import and export. This
 means the module can also be used as a general XML parser/writer (with
 certain caveats).

Package: libdata-stream-bulk-perl
Description-md5: 1342583cd5b0161adcaa25c8c3b656e5
Description-en: N at a time iteration API
 Data::Stream::Bulk is a utility for working with data streams of indefinite
 size. It tries to find a middle ground between one-at-a-time and all-at-once
 processing of data sets by providing a common interface for both.
 .
 Often, data sets from streams can fit entirely in memory, so operating on them
 with an array is simple and appropriate. However, in cases where the stream is
 not bounded, the array can grow to a very large size and quickly consume all
 available memory. This module allows developers to work with data in blocks
 which are guaranteed to fit in memory.

Package: libdata-streamdeserializer-perl
Description-md5: ee2c59a32fe1addbc1812e13ea754302
Description-en: non-blocking deserializer
 The package provides an interface to deserialize perl objects in
 non-blocking mode. It can be used with event machines.

Package: libdata-streamserializer-perl
Description-md5: 73c8c423ff8d11314e216cea697998c3
Description-en: non-blocking serializer
 The package provides an interface to serialize perl objects in non-blocking
 mode. It can be used with event machines.

Package: libdata-structure-util-perl
Description-md5: 8f8850370575186d3b634b829138eeba
Description-en: module to change nature of data within a data structure
 Data::Structure::Util is a Perl module for manipulating data within data
 structures. It can process an entire tree of data structures and perform the
 requested operation on each element as appropriate. It is fast, since it is
 written in C.
 .
 For example, it can be used to transform all strings within a data structure
 to UTF-8.

Package: libdata-swap-perl
Description-md5: 9a282619f363a60292c8872cff452b7e
Description-en: Perl module to swap type and contents of variables
 The Data::Swap module allows you to swap the contents of two referenced
 variables, even if they have different types.
 .
 The main application is to change the base type of an object after it has
 been created, for example for dynamic loading of data structures:
 .
  swap $self, bless $replacement, $newclass;
 .
 This module additionally contain the function "deref" which acts like a
 generic list-dereferencing operator.

Package: libdata-table-perl
Description-md5: 531bdeee81f733cbe025d450aa093a08
Description-en: module to manipulate data tables in perl (as in R)
 This perl package uses perl5 objects to make it easy for manipulating
 spreadsheet data among disk files, database, and Web publishing.
 .
 A table object contains a header and a two-dimensional array of scalars. Four
 class methods Data::fromFile, Data::Table::fromCSV, Data::Table::fromTSV, and
 Data::Table::fromSQL allow users to create a table object from a CSV/TSV file
 or a database SQL selection in a snap.
 .
 Table methods provide basic access, add, delete row(s) or column(s)
 operations, as well as more advanced sub-table extraction, table sorting,
 record matching via keywords or patterns, table merging, and web publishing.
 Data::Table class also provides a straightforward interface to other popular
 Perl modules such as DBI and GD::Graph.

Package: libdata-tablereader-perl
Description-md5: 5560a03380956871b6bb6aa8a44b99bd
Description-en: locate and read records from human-edited data tables
 Data::TableReader helps take un-sanitized tabular data sources
 like Excel XLS/XLSX spreadsheets or comma-separated values
 and verify that they match your expected schema,
 and then iterate the records.

Package: libdata-transformer-perl
Description-md5: 75a3600994799a7e1ac094343fa45d01
Description-en: Perl module to traverse data structures, altering them in place
 Data::Transformer can use callback subroutines to transform data or
 collect information.
 .
 It is possible to affect objects inside the data structures by specifying
 a callback keyed to the name of the class they belong to.

Package: libdata-treedumper-oo-perl
Description-md5: c47d08558e19fb778e93e0a1cfc08eb8
Description-en: Object-oriented interface to Data::TreeDumper
 The Data::TreeDumper::OO Perl module provides an object-oriented
 interface for displaying Perl data structures and objects in
 a format that is hopefully easier to read than the one produced by
 the standard Perl Data::Dumper module.

Package: libdata-treedumper-perl
Description-md5: 9afa905e3fdc40c9aed5e5ba3fd32dae
Description-en: module for dumping data structures in various formats
 Data::TreeDumper is a Perl module that provides dumps of Perl variable data
 in a tree-like fashion. It is flexible and customizable, providing various
 output formatting processors and means of filtering and sorting the output.

Package: libdata-treedumper-renderer-dhtml-perl
Description-md5: 1d117810ef8a63ced938a26d8c941ef8
Description-en: simple Perl DHTML renderer for Data::TreeDumper
 Data::TreeDumper dumps Perl variable data in a tree-like fashion and
 allows the use of various output formatting processors.
 .
 Data::TreeDumper::Renderer::DHTML is such a processor which outputs
 the data as DHTML code.

Package: libdata-treedumper-renderer-gtk-perl
Description-md5: 4fae010d5f2c56bb23019e9ed78eef10
Description-en: Gtk2::TreeView renderer for Data::TreeDumper
 The Data::TreeDumper::Renderer::GTK widget is the GUI equivalent of
 Data::TreeDumper; it will display a perl data structure in a TreeView,
 allowing you to fold and unfold child data structures and get a quick feel
 for what's where. Right-clicking anywhere in the view brings up a context
 menu, from which the user can choose to expand or collapse all items.

Package: libdata-types-perl
Description-md5: d12104bef11e950d7633f3e127eef645
Description-en: module for validating and converting data types
 Data::Types exports a number of functions that are useful for validating
 and converting data types.
 .
 It is intended for use in applications where data types
 are more important than they typically are in Perl -- e.g., database
 applications.

Package: libdata-uniqid-perl
Description-md5: fe297d6d81b0a74f63c00e40bb4e3cbe
Description-en: Perl extension for simple genrating of unique id's
 Data::Uniqid provides three simple routines for generating unique ids. These
 ids are coded with a Base62 systen to make them short and handy (e.g. to use
 it as part of a URL).

Package: libdata-url-java
Description-md5: 6741d9feeb5c95e225eb16a7ee8112cb
Description-en: Support for data URLs according to RFC 2397
 Support for data URLs as specified in RFC 2397
 (https://www.ietf.org/rfc/rfc2397.txt)

Package: libdata-util-perl
Description-md5: 9fdfa17b8e94cba86a35e162dd4c5d6b
Description-en: selection of utilities for data and data types
 Data::Util provides utility functions for data and data types, including
 functions for subroutines and symbol table hashes (stashes).
 .
 The implementation of this module is both Pure Perl and XS.

Package: libdata-uuid-libuuid-perl
Description-md5: a945f7f795c89f86a2add88b233b94d8
Description-en: uuid.h based UUID generation module
 Data::UUID::LibUUID provides bindings for libuuid. The module provides
 several methods for manipulating UUIDs.

Package: libdata-uuid-perl
Description-md5: c4e08ade898fbcbcf6cb6e469d25319a
Description-en: globally/universally unique identifiers (GUIDs/UUIDs)
 Data::UUID provides a framework for generating v3 UUIDs (Universally
 Unique Identifiers, also known as GUIDs (Globally Unique Identifiers).
 A UUID is 128 bits long, and is guaranteed to be different from all
 other UUIDs/GUIDs generated until 3400 CE.
 .
 UUIDs were originally used in the Network Computing System (NCS) and
 later in the Open Software Foundation's (OSF) Distributed Computing
 Environment.  Currently many different technologies rely on UUIDs to
 provide unique identity for various software components. Microsoft
 COM/DCOM for instance, uses GUIDs very extensively to uniquely identify
 classes, applications and components across network-connected systems.
 .
 The algorithm for UUID generation, used by this extension, is described
 in the Internet Draft "UUIDs and GUIDs" by Paul J. Leach and Rich Salz.
 (See RFC 4122.)  It provides reasonably efficient and reliable
 framework for generating UUIDs and supports fairly high allocation
 rates -- 10 million per second per machine -- and therefore is suitable
 for identifying both extremely short-lived and very persistent objects
 on a given system as well as across the network.

Package: libdata-validate-domain-perl
Description-md5: 8676c09d519b9f938a7e2ed0321542b8
Description-en: perl domain name validation functions
 Data::Validate::Domain collects domain validation routines to make input
 validation and untainting easier and more readable.

Package: libdata-validate-email-perl
Description-md5: fc6ec9875441fdc36f487b8a1cc3c46b
Description-en: validator for email addresses written in Perl
 Data::Validate::Email collects common email validation routines to make input
 validation and untainting easier and more readable.
 .
 The main purpose of this module is to check if a mail address is valid and
 compliant with RFC822.
 .
 The module will also check if the domain is a proper one using
 Data::Validate::Domain.

Package: libdata-validate-ip-perl
Description-md5: 445b4b627927111a7af32a131a00188a
Description-en: Perl module for IP validation
 Data::Validate::IP collects IP validation routines to make input validation
 and untainting easier and more readable. All functions return an untainted
 value if the test passes, and undef if it fails. This means that you should
 always check for a defined status explicitly. Don't assume the return value
 will be true.

Package: libdata-validate-perl
Description-md5: 6303649bf76bd15ed380624d03b92ea2
Description-en: module providing common data validation routines for strings/numbers
 Data::Validate collects common validation routines to make input validation,
 and untainting easier and more readable. Most of the functions are not much
 shorter than their direct perl equivalent (and are much longer in some
 cases), but their names make it clear what you're trying to test for (e.g.
 is_integer(), is_hex(), is_alphanumeric()...).
 .
 Almost all functions return an untainted value if the test passes, and undef
 if it fails. This means that you should always check for a defined status
 explicitly. Don't assume the return will be true. (e.g. is_integer(0))

Package: libdata-validate-struct-perl
Description-md5: d3b256451a7188ad4dddf7f08cc2ff99
Description-en: module to validate recursive hash structures
 Data::Validate::Struct validates a hash reference against a given hash
 structure. This is in contrast to Data::Validate in which you have to check
 each value separately.
 .
 The hash could be the result of a config parser or just any hash structure.
 E.g. the hash returned by XML::Simple could be validated using this module.
 You may also use it to validate CGI input; just fetch the input data from
 CGI, map it to a hash and validate it.

Package: libdata-validate-uri-perl
Description-md5: 8d3bec14c640b78faf59f759e8311d17
Description-en: common URI validation methods
 This module collects common URI validation routines to make input
 validation and untainting easier and more readable.

Package: libdata-visitor-perl
Description-md5: 16356aa24b777a63e62497f9ced3c6d9
Description-en: Visitor implementation for Perl data structures
 Data::Visitor is a simple visitor implementation for Perl data structures. It
 has a main dispatcher method, visit, which takes a single Perl value and then
 calls the methods appropriate for that value.

Package: libdata-walk-perl
Description-md5: c124f2ba0cc9838f55d29c1d73cbfd46
Description-en: module to traverse Perl data structures
 With Data::Walk, one can have a call back function being called for each node
 in a given structure. It works with hash references, array references and
 blessed references of arbitrary depth.
 .
 Data::Walk is similar to File::Find, but instead of directory hierarchies, it
 works with Perl data.

Package: libdata-xml-clojure
Description-md5: 612902c6a0b50339a97b4865d899bf82
Description-en: library for reading and writing XML data
 data.xml includes utilities for writing and reading XML, it can also
 create XML documents from Clojure data structures and vice versa. It
 internaly uses the Java Streaming API for XML (StAX) and features lazy
 parsing.

Package: libdata-yaml-perl
Description-md5: 5bd6880f38d80646025cd71da7f1e690
Description-en: Easy YAML serialisation of Perl data structures
 In the spirit of YAML::Tiny, Data::YAML::Reader and Data::YAML::Writer
 provide lightweight, dependency-free YAML handling. While YAML::Tiny is
 designed principally for working with configuration files Data::YAML
 concentrates on the transparent round-tripping of YAML serialized Perl data
 structures.
 .
 As an example of why this distinction matters consider that YAML::Tiny
 doesn't handle hashes with keys containing non-printable characters. This is
 fine for configuration files but likely to cause problems when handling
 arbitrary Perl data structures. Data::YAML handles exotic hash keys
 correctly.
 .
 The syntax accepted by Data::YAML is a subset of YAML. Specifically it is the
 same subset of YAML that Data::YAML::Writer produces. See Data::YAML for more
 information.

Package: libdatabase-dumptruck-perl
Description-md5: d29faa4dc1a01ce5554042b148b17ae5
Description-en: document-oriented interface to a SQLite database
 Database::DumpTruck is a simple document-oriented interface
 to a SQLite database, modelled after Scraperwiki's Python dumptruck
 module. It allows for easy (and maybe inefficient) storage and retrieval
 of structured data to and from a database without interfacing with SQL.
 .
 The module attempts to identify the type of the data you're inserting and
 uses an appropriate SQLite type.

Package: libdatapager-perl
Description-md5: 552e412517a1b87ca5e6661bfb5e19f7
Description-en: Data::Pager - flexible data pager
 This Perl-class implements the familiar pager where the current
 position is centered.

Package: libdataquay-dev
Description-md5: d999ff00287a006e187e1423d830a790
Description-en: Simple RDF for C++ and Qt applications (development files)
 Dataquay is a library that provides a friendly C++ interface
 to an RDF (Resource Description Framework) datastore
 using Qt4 classes and containers.
 Supported datastores are the popular and feature-complete Redland
 and the lightweight Sord.
 .
 It is principally aimed at Qt-based applications.
 .
 This package contains the headers used to build applications
 that use libdataquay.

Package: libdataquay0
Description-md5: b5070e49a115c4d68f6519d3290b038f
Description-en: Simple RDF for C++ and Qt applications
 Dataquay is a library that provides a friendly C++ interface
 to an RDF (Resource Description Framework) datastore
 using Qt4 classes and containers.
 Supported datastores are the popular and feature-complete Redland
 and the lightweight Sord.
 .
 It is principally aimed at Qt-based applications.

Package: libdate-calc-perl
Description-md5: c677c6d7820895e4c8b0ce69eedbcdd1
Description-en: Perl library for accessing dates
 Date::Calc is a Perl module which provides a variety of date calculations
 based on the Gregorian calendar (the one used in Western countries today),
 complying with the ISO/R 2015-1971 and DIN 1355 standards which specify
 things such as what leap years are, when they occur, how the week numbers
 are defined, what's the first day of the week, how many weeks (52 or 53) a
 given year has, and so on.
 .
 Although the Gregorian calendar was only adopted 1582 by most (not all)
 European countries (some countries continued to use the Julian calendar
 until as late as the beginning of the 20th century!), this package allows
 you to extrapolate the Gregorian calendar back until the year 1.
 .
 If Date::Calc::XS (libdate-calc-xs-perl) is available, this package will
 automatically accelerate its operation using the C/XS implementation.

Package: libdate-calc-xs-perl
Description-md5: 9f3a8e1c5cd25add8dff5eecfc806525
Description-en: Perl library for accessing dates
 Date::Calc::XS is a C/XS-based implementation of the Date::Calc Perl module.
 It interfaces with a C library to provide a wide variety of calculations
 based on the Gregorian calendar. This package is never used directly. See
 Date::Calc's documentation (libdate-calc-perl) for usage details.

Package: libdate-convert-perl
Description-md5: 393dc2449f090263c705ff78617fd5a8
Description-en: Convert Between any two Calendrical Formats
 Date::Convert is intended to allow one to convert back and forth between any
 arbitrary date formats (ie. pick any from: Gregorian, Julian, Hebrew,
 Absolute, and any others that get added on).

Package: libdate-extract-perl
Description-md5: 91dec8c5ab4630cfcd5283b6456640b0
Description-en: module to extract probable dates from strings
 Date::Extract extracts dates from arbitrary strings. It will take an
 arbitrary block of text, search it for something that looks like a date
 string, and build a DateTime object out of it.
 .
 By design it will produce few false positives. This means it will not catch
 nearly everything that looks like a date string. So if you have the string
 "do homework for class 2019" it won't return a DateTime object with the year
 set to 2019.

Package: libdate-hijri-perl
Description-md5: 3e0e2424aa0d26608a18a7c46dbabf1d
Description-en: Perl module to convert Gregorian dates to Hijri and vice versa
 The Date::Hijri module converts Gregorian dates to Islamic (Hijri) and vice
 versa.
 .
 The dates must be given as an array containing the day, month and year, and
 return the corresponding date as a list with the same elements.

Package: libdate-holidays-de-perl
Description-md5: c45cbad288f323fee7524da70813b9bd
Description-en: module to determine German holiday dates
 Date::Holidays::DE provides a function to get a list of German
 holidays for a given year. It also knows about different regulations
 throughout Germany.

Package: libdate-iso8601-perl
Description-md5: 20a3e055eb0e3b51280f009680a1007a
Description-en: Perl handling of the three ISO 8601 numerical calendars
 The international standard ISO 8601 "Data elements and interchange formats -
 Information interchange - Representation of dates and times" defines three
 distinct calendars by which days can be labelled. It also defines textual
 formats for the representation of dates in these calendars. Date::ISO8601
 provides functions to convert dates between these three calendars and
 Chronological Julian Day Numbers, which is a suitable format to do arithmetic
 with. It also supplies functions that describe the shape of these calendars,
 to assist in calendrical calculations. It also supplies functions to
 represent dates textually in the ISO 8601 formats. ISO 8601 also covers time
 of day and time periods, but this module does nothing relating to those parts
 of the standard; this is only about labelling days.
 .
 The first ISO 8601 calendar divides time up into years, months, and days. It
 corresponds exactly to the Gregorian calendar, invented by Aloysius Lilius
 and promulgated by Pope Gregory XIII in the late sixteenth century, with AD
 (CE) year numbering. This calendar is applied to all time, not just to dates
 after its invention nor just to years 1 and later. Thus for ancient dates it
 is the proleptic Gregorian calendar with astronomical year numbering.

Package: libdate-jd-perl
Description-md5: 852e487ba770a54d96f2d42ce8995309
Description-en: conversion between flavours of Julian Date
 For date and time calculations it is convenient to represent dates by a
 simple linear count of days, rather than in a particular calendar. This is
 such a good idea that it has been invented several times. If there were a
 single such linear count then it would be the obvious data interchange format
 between calendar modules. With several versions, calendar modules can use
 such sensible data formats and still have interoperability problems. Date::JD
 tackles that problem, by performing conversions between different flavours of
 day count. These day count systems are generically known as "Julian Dates",
 after the most venerable of them.
 .
 Among Julian Date systems there are also some non-trivial differences of
 concept. There are systems that count only complete days, and those that
 count fractional days also. There are some that are fixed to Universal Time
 (time on the prime meridian), and others that are interpreted according to a
 timezone. Some consider the day to start at noon and others at midnight,
 which is semantically significant for the complete-day counts. The functions
 of this module appropriately handle the semantics of all the non-trivial
 conversions.

Package: libdate-leapyear-perl
Description-md5: 5eb79a6aab1f5b35bcc8c8ff195a2694
Description-en: Perl module to determine whether or not a year is a leapyear
 Date::Leapyear is a simple, non-OO module to determine whether or not a year
 is a leap year. It exports one function, isleap, which returns 1 or 0, which
 determines whether a year is leap or not

Package: libdate-pcalc-perl
Description-md5: b9935dd22e7937d73d9aad42ada9a884
Description-en: Perl module for Gregorian calendar date calculations
 This package consists of a Perl module for all kinds of date calculations
 based on the Gregorian calendar (the one used in all western countries today),
 thereby complying with all relevant norms and standards: ISO/R 2015-1971, DIN
 1355 and, to some extent, ISO 8601 (where applicable).
 .
 Date::Pcalc is a direct translation of Steffen Beyer's excellent Date::Calc
 module to Perl.

Package: libdate-pregnancy-perl
Description-md5: 3cf662ebf236f1e1759bf575cffd9744
Description-en: Perl module to calculate birthdate and week numbers for a pregnancy
 Date::Pregnancy can be used to calculate the due date for a pregnancy.
 This module implements 3 different methods for calculating the date of
 birth based on data such as first day of last period (LMP) and average period
 cycle length (APCL).
 .
 The 3 birthdate algorithms available in the module are 266 Days (default), 40
 Weeks, and Count Back.

Package: libdate-range-perl
Description-md5: 7f60efafcb3d5514a415d59d431ae41d
Description-en: module to work with ranges of dates
 Date::Range is a module that provides functionality to query ranges of
 dates. In addition to determining whether a specified range of dates
 includes a given date, it can return the number of days in a range, return
 a list of the dates in a specified range, and determine whether two ranges
 of dates overlap/abut one another.

Package: libdate-simple-perl
Description-md5: ac7b1a2837def36f3f60ed53f0afcf72
Description-en: simple date object for Perl
 Dates are complex enough without times and timezones. Date::Simple may
 be used to create simple date objects.
 .
 It handles validation, interval arithmetic, day-of-week calculation
 and transparent date formatting.
 .
 It does not deal with hours, minutes, seconds, and time zones.

Package: libdate-tiny-perl
Description-md5: d875bb0d98bd24c0b9f5a86665ff1f9d
Description-en: date object, with as little code as possible
 Date::Tiny is a member of the DateTime::Tiny suite of time modules.
 .
 It implements an extremely lightweight object that represents a date, without
 any time data.

Package: libdatetime-calendar-discordian-perl
Description-md5: fd484feb39da5e1f5f02498b8165a8b3
Description-en: Perl extension for the Discordian Calendar
 This is a module that implements the Discordian calendar made popular
 in the "Illuminatus!" trilogy by Robert Shea and Robert Anton Wilson and
 the Church of the SubGenius.  It follows the DateTime API.

Package: libdatetime-calendar-julian-perl
Description-md5: deecd07f7426a89f37d7c7842351d455
Description-en: Julian calendar complement for DateTime
 DateTime::Calendar::Julian implements the Julian Calendar.
 DateTime::Calendar::Julian implements all methods of DateTime; see the
 DateTime(3) manpage for all methods.

Package: libdatetime-event-cron-perl
Description-md5: cd888ca1da95b79c714e260e549a80fc
Description-en: DateTime extension for generating recurrence sets from crontab lines and files
 DateTime::Event::Cron generates DateTime events and DateTime::Set objects
 based on crontab-style entries. The crontab formats are the extended V7
 standard as described in crontab(5) on Linux systems and as noted in the
 documentation for Set::Crontab.

Package: libdatetime-event-ical-perl
Description-md5: 0bb53cea59cd998e2f924cee73ac319d
Description-en: Perl DateTime extension for computing RFC2445 recurrences
 DateTime::Event::ICal is a Perl module that provides convenience methods
 for easily creating DateTime::Set objects for RFC2445 style recurrences,
 such as 'last friday of march'.

Package: libdatetime-event-recurrence-perl
Description-md5: e2ffd2aa73e666e1fdb2439cc772aaa5
Description-en: Perl module to create recurring DateTime instances
 DateTime::Event::Recurrence provides a way to create sets of DateTime objects
 that recur at specified intervals. Often, you will want DateTime::Set objects
 for dates that should occur at intervals like "once a month" or "every day."
 .
 More complex relationships can be created too, such as, "every Monday,
 Wednesday and Thursday at 10:00 AM and 2:00 PM."

Package: libdatetime-event-sunrise-perl
Description-md5: dee4df340998e9245d48aef24cc50ddc
Description-en: Perl module for calculating sunrise and sunset for a given time and place
 DateTime::Event::Sunrise will return a DateTime Object for sunrise and sunset
 for a given day. Iteration is set to either 0 or 1. If set to 0 no Iteration
 will occur. If set to 1 Iteration will occur. Default is 0.

Package: libdatetime-format-builder-perl
Description-md5: b3b7f0364f7437abf9820fd05acb16cd
Description-en: module to create DateTime parsers
 DateTime::Format::Builder creates DateTime parsers. Many string formats of
 dates and times are simple and just require a basic regular expression to
 extract the relevant information. Builder provides a simple way to do this
 without writing reams of structural code.
 .
 Builder provides a number of methods, most of which you'll never need, or
 at least rarely need. They're provided more for exposing of the module's
 innards to any subclasses, or for when you need to do something slightly
 unusual.

Package: libdatetime-format-dateparse-perl
Description-md5: a92c18b15074f14396f1eaadaaa082b2
Description-en: Perl module parsing Date::Parse compatible formats
 DateTime::Format::DateParse is a rather simplistic convenience module that
 simply wraps the Date::Parse module (see libtimedate-perl) and creates
 DateTime objects from given strings.

Package: libdatetime-format-db2-perl
Description-md5: 8c0d0b233d07205323310798ccf5b2f5
Description-en: Parse and format DB2 dates and times
 DateTime::Format::DB2 understands the formats used by DB2 for its DATE,
 TIME, and TIMESTAMP data types.  It can be used to parse
 these formats in order to create DateTime objects, and it can take a
 DateTime object and produce a string representing it in the DB2
 format.

Package: libdatetime-format-dbi-perl
Description-md5: 41acfc677066f383b6205447440aea1f
Description-en: abstraction layer for DateTime over some database vendors
 DateTime::Format::DBI finds a DateTime::Format::* class that is suitable for
 the use with a given DBI connection (and DBD::* driver).
 .
 It currently supports the following format modules: IBM DB2
 (DB2)|DateTime::Format::DB2, MySQL|DateTime::Format::MySQL,
 Oracle|DateTime::Format::Oracle, PostgreSQL (Pg)|DateTime::Format::Pg,
 SQLite|DateTime::Format::SQLite.
 .
 NOTE: This module provides a quick method to find the correct parser and
 formatter class. However, this is usually not sufficient for full database
 abstraction. You will also have to cater for differences in the syntax and
 semantics of SQL datetime functions (and other SQL commands).

Package: libdatetime-format-duration-perl
Description-md5: ac7e4754c3c041586681af4f030a70a3
Description-en: Format and parse DateTime::Durations objects in perl
 This perl module formats and parses DateTime::Duration objects
 as well other durations representations.

Package: libdatetime-format-epoch-perl
Description-md5: da8420e80c0db94cb90621f375d38696
Description-en: Perl module to convert DateTime objects to or from epoch seconds
 DateTime::Format::Epoch can convert a DateTime object (or any object that can
 be converted to a DateTime object) to the number of seconds since a given
 epoch. It can also do the reverse.

Package: libdatetime-format-flexible-perl
Description-md5: 75791a9566a6336b112352d9ed595386
Description-en: Perl module to transform strings into DateTime objects
 DateTime::Format::Flexible is designed to transform arbitrary date/time
 strings into DateTime objects. It is currently able to parse and extract date
 information from over 2500 variations of date/time strings.
 .
 Although this module is similar in nature to DateTime::Format::Natural (see
 libdatetime-format-natural-perl), it currently fails to parse more than 2000
 of the formats successfully parsed by this module.

Package: libdatetime-format-http-perl
Description-md5: 32ea2b8b0b8bc9eb3e18eb22ad17df84
Description-en: Perl module for date conversion with date formats used by the HTTP protocol
 DateTime::Format::HTTP provides functions that deal the date formats used by
 the HTTP protocol (and then some more).

Package: libdatetime-format-human-duration-perl
Description-md5: a9bcc91adfe91b10173340cf58a7b2f4
Description-en: Perl module to return a locale-specific string describing a DateTime::Duration
 DateTime::Format::Human::Duration returns a locale-specific human-readable
 string describing a DateTime::Duration object.
 .
 For example:
 .
 1 second
 .
 2 minutes and 3 seconds
 .
 3 weeks, 1 day, and 5 seconds
 .
 4 years, 1 month, 2 days, 6 minutes, 1 second, and 345000028 nanoseconds

Package: libdatetime-format-ical-perl
Description-md5: 586d1ca9d19db9736ac2cceec6e87d53
Description-en: Perl module to parse and format iCal datetime and duration strings
 DateTime::Format::ICal understands the ICal date/time and duration formats,
 as defined in RFC 2445. It can be used to parse these formats in order to
 create the appropriate objects.

Package: libdatetime-format-iso8601-perl
Description-md5: b3b5800556078f53ab641c6c0180a3af
Description-en: module to parse ISO8601 date and time formats
 DateTime::Format::ISO8601 is a Perl DateTime extension that parses almost all
 ISO8601 date and time formats. The signature feature of ISO 8601 date/time
 representations is the ordering of date and time values from the most to the
 least significant or, in plain terms, from the largest (the year) to the
 smallest (the second).
 .
  Example date: 2008-04-18
  Example separate date and time in UTC: 2008-04-18 08:47Z
  Example combined date and time in UTC: 2008-04-18T08:47Z
  Example date with week number: 2008-W16-5
 .
 ISO 8601 time intervals will be supported in a later release.

Package: libdatetime-format-mail-perl
Description-md5: 0f28e7ea757c18f2051dc60795d71d94
Description-en: module to convert between DateTime and RFC2822/822 formats
 RFCs 2822 and 822 specify date formats to be used by email. The
 DateTime::Format::Mail module parses and emits such dates.

Package: libdatetime-format-mysql-perl
Description-md5: e954decc72da8a483cd877a1d260d2ab
Description-en: module to parse and format MySQL dates and times
 DateTime::Format::MySQL understands the formats used by MySQL for its
 DATE, DATETIME, TIME, and TIMESTAMP data types.  It can be used to
 parse these formats in order to create DateTime objects, and it can
 take a DateTime object and produce a string representing it in the
 MySQL format.

Package: libdatetime-format-natural-perl
Description-md5: 299560b701e601b4c55d7090b4dc63fd
Description-en: Perl module for parsing human-readable date/time strings
 DateTime::Format::Natural parses a string with a human readable date/time
 and creates a machine readable one by applying natural parsing logic. It
 also understands timespans.
 .
 The module is currently limited to English.

Package: libdatetime-format-oracle-perl
Description-md5: d494a3353f997dbec4bf54afbfc0b224
Description-en: module for parsing and formatting Oracle dates and timestamps
 DateTime::Format::Oracle may be used to convert Oracle date and timestamp
 values into DateTime objects. It also can take a DateTime object and produce
 a date string matching the NLS_DATE_FORMAT.
 .
 Oracle has flexible date formatting via its NLS_DATE_FORMAT session variable.
 Date values will be returned from Oracle according to the current value of
 that variable. Date values going into Oracle must also match the current
 setting of NLS_DATE_FORMAT.
 .
 Timestamp values will match either the NLS_TIMESTAMP_FORMAT or
 NLS_TIMESTAMP_TZ_FORMAT session variables.
 .
 This module keeps track of these Oracle session variable values by examining
 environment variables of the same name. Each time one of Oracle's formatting
 session variables is updated, the %ENV hash must also be updated.

Package: libdatetime-format-pg-perl
Description-md5: 6c52904e2ece1587e15014c50c98e610
Description-en: module for parsing and formatting PostgreSQL dates and times
 DateTime::Format::Pg understands the formats used by PostgreSQL for its DATE,
 TIME, TIMESTAMP and INTERVAL data types. It can be used to parse these formats
 in order to create DateTime or DateTime::Duration objects, and it can take a
 DateTime or DateTime::Duration object and produce a string representing it in
 a format accepted by PostgreSQL.

Package: libdatetime-format-rfc3339-perl
Description-md5: 59d8395d939191a9c953e601cc21d12d
Description-en: module to parse and format RFC3339 datetime strings
 DateTime::Format::RFC3339 understands the RFC3339 date/time format, an ISO
 8601 profile, defined at http://tools.ietf.org/html/rfc3339.
 .
 It can be used to parse these formats in order to create the appropriate
 objects.

Package: libdatetime-format-sqlite-perl
Description-md5: 16f3865aff827dc5e72ecfe27f1c2a76
Description-en: module to parse and format SQLite dates and times
 DateTime::Format::SQLite understands the formats used by SQLite for its date,
 datetime and time functions. It can be used to parse these formats in order
 to create DateTime objects, and it can take a DateTime object and produce a
 timestring accepted by SQLite.

Package: libdatetime-format-strptime-perl
Description-md5: 5fdc55fcc6751419baaa069d930a67e9
Description-en: Perl module to parse and format strp and strf time patterns
 DateTime::Format::Strptime implements most of strptime(3), the POSIX
 function that is the reverse of strftime(3), for DateTime. While strftime
 takes a DateTime and a pattern and returns a string, strptime takes
 a string and a pattern and returns the DateTime object
 associated.

Package: libdatetime-format-w3cdtf-perl
Description-md5: 83474caed55127db75ddcf1fcf0d2168
Description-en: module to parse and format W3CDTF datetime strings
 DateTime::Format::W3CDTF is a Perl module that understands the W3CDTF date
 and time format, an ISO 8601 profile. It has a full specification online at:
 <URL:http://www.w3.org/TR/NOTE-datetime>. This is the native date format for
 RSS 1.0.

Package: libdatetime-format-xsd-perl
Description-md5: e1f97da71ee14a3a88b0167a65e68e43
Description-en: format DateTime according to xsd:dateTime
 XML Schema defines a usage profile which is a subset of the ISO8601
 profile. This profile defines that the following is the only possible
 representation for a dateTime, despite all other options ISO provides.
 .
  YYYY-MM-DD"T"HH:MI:SS(Z|[+-]zh:zm)
 .
 DateTime::Format::XSD is a subclass of DateTime::Format::ISO8601,
 therefore it will be able to parse all other ISO options, but will only
 format it in this exact spec.

Package: libdatetime-incomplete-perl
Description-md5: 778612365176c216c3b29b426057313a
Description-en: library to handle incomplete datetime like January 5
 DateTime::Incomplete is a class for representing partial dates and
 times.
 .
 These are actually encountered relatively frequently.  For example, a
 birthday is commonly given as a month and day, without a year.

Package: libdatetime-locale-perl
Description-md5: 8de47fc8419a2e0a4cc5c0d0194a351b
Description-en: Perl extension providing localization support for DateTime
 DateTime::Locale extends DateTime by providing localization support. It also
 provides some functions for getting information on available locales and is
 easily customizable through the addition of new locales.

Package: libdatetime-perl
Description-md5: abf0de5650ddf21a59440d99dbd1c161
Description-en: module for manipulating dates, times and timestamps
 DateTime is a Perl module which aims to provide a complete, correct, and easy
 to use date/time object implementation. It provides an easy way to manipulate
 dates and times, including date calculations (even addition and subtraction)
 and provides convenient methods for extracting or modifying portions of any
 date or time.
 .
 This module supports the Olson time zone database, meaning that historical
 time zone information, and more importantly, daylight saving time rules, can
 be handled transparently, simply by setting the correct time zone. This is
 done by using the DateTime::TimeZone module.

Package: libdatetime-set-perl
Description-md5: 2815b4b97fe97bb8cd62218faab546ce
Description-en: Datetime sets and set math
 DateTime::Set is a module for date/time sets.  It can be used to
 handle two different types of sets.
 .
 The first is a fixed set of predefined datetime objects.  For example,
 to create a set of dates containing the birthdays of people in a family.
 .
 The second type of set that it can handle is one based on the idea of
 a recurrence, such as "every Wednesday", or "noon on the 15th day of
 every month".  This type of set can have fixed starting and ending
 datetimes, but neither is required.  So "every Wednesday set"
 could be "every Wednesday from the beginning of time until the end of
 time", or "every Wednesday after 2003-03-05 until the end of time", or
 "every Wednesday between 2003-03-05 and 2004-01-07".

Package: libdatetime-timezone-perl
Description-md5: 49fff32146b7c0ac14d7bcca1f92bfe6
Description-en: framework exposing the Olson time zone database to Perl
 DateTime::TimeZone is a Perl module framework providing an interface to the
 Olson time zone database. It exposes the database as a set of modules, one
 for each time zone defined, allowing for various optimizations in doing time
 zone calculations.
 .
 The Olson time zone database is the best available source for worldwide time
 zone information and is available from <URL:ftp://ftp.iana.org/tz/releases/>.

Package: libdatetime-timezone-systemv-perl
Description-md5: fd17a3e9be6b7b5f846552c79e91bbd7
Description-en: System V and POSIX timezone strings
 An instance of this class represents a timezone that was specified by means
 of a System V timezone string or the POSIX extended form of the same syntax.
 These can express a plain offset from Universal Time, or a system of two
 offsets (standard and daylight saving time) switching on a yearly cycle
 according to certain types of rule.
 .
 DateTime::TimeZone::SystemV implements the DateTime::TimeZone interface, so
 that its instances can be used with DateTime objects.

Package: libdatetime-timezone-tzfile-perl
Description-md5: 5a1ab6ac20cc398ec400b86423d14827
Description-en: Perl handling of tzfile (zoneinfo) timezone files
 An instance of this class represents a timezone that was encoded in a file in
 the tzfile(5) format. These can express arbitrary patterns of offsets from
 Universal Time, changing over time. Offsets and change times are limited to a
 resolution of one second.
 .
 DateTime::Timezone::Tzfile implements the DateTime::TimeZone interface, so
 that its instances can be used with DateTime objects.

Package: libdatetime-tiny-perl
Description-md5: 3622b8e3520dc6fffae38798f3e48a48
Description-en: lightweight datetime object module
 DateTime::Tiny is a most prominent member of the DateTime::Tiny suite of time
 modules.
 .
 It implements an extremely lightweight object that represents a datetime.

Package: libdatetimex-auto-perl
Description-md5: 024cd62962718dbc4e99b3f91d02a01f
Description-en: use DateTime without needing to call constructors
 DateTime is awesome,
 but constructing `DateTime` objects can be annoying.
 You often need to use one of the formatter modules,
 or call 'DateTime->new()' with a bunch of values.
 If you've got a bunch of constant dates in your code,
 then DateTimeX::Auto makes all this a bit simpler.

Package: libdatetimex-easy-perl
Description-md5: 252065fd9562285f9a9e65a110e8ff4f
Description-en: module to parse arbitrary date/time strings
 DateTimeX::Easy is a Perl module designed to make it easy to create DateTime
 objects from arbitrary strings. It uses a variety of DateTime::Format modules
 to do the bulk of the parsing, with some tweaks to smooth out the rough edges
 (mainly concerning timezone detection and selection).

Package: libdatrie1-bin
Description-md5: c1dd0055d5cd1ea5421cc802dca9ee00
Description-en: Programs for double-array trie library
 Trie is a kind of digital search tree, an efficient indexing method in which
 search time is independent of database size. It only takes O(m) search time,
 where m is the length of the search string. Comparably as efficient as
 hashing, trie also provides flexibility on incremental matching and key
 spelling manipulation. This makes it ideal for lexical analyzers, as well as
 spelling dictionaries.
 .
 This library is an implementation of double-array structure for representing
 trie, as proposed by Junichi Aoe. The details of the implementation can be
 found at https://linux.thai.net/~thep/datrie/datrie.html
 .
 This package contains the program files which is used with the library,
 including trietool, the trie manipulation tool.

Package: libdavix0v5
Description-md5: 04ccf27243792b14138030b78354c4de
Description-en: Runtime library for davix
 Library for davix. Davix is a toolkit designed for file operations with
 http based protocols (WebDav, Amazon S3, ...).

Package: libdawgdic-dev
Description-md5: a297222ddbc5f20a232a989473a5c5f8
Description-en: C++ library for DAWG dictionaries
 dawgdic is a C++ library for building and accessing dictionaries implemented
 with directed acyclic word graphs (DAWGs).
 .
 This package contains the development files.

Package: libdazzle-tools
Description-md5: da14daa5d9b74cc51679f979739b041f
Description-en: feature-filled library for GTK+ and GObject - tools
 libdazzle provides additional features for GTK+ and GObject. A wide range of
 components from utilities for GIO, widgets for GTK+, an animation framework,
 state machines, paneling and high-performance counters are included.
 .
 This package contains tools.

Package: libdb-file-lock-perl
Description-md5: 7e2fc2b7608235d830c0a5028c35762d
Description-en: wrapper adding locking for the DB_File module
 When you need locking, simply use DB_File::Lock in place of DB_File and
 add an extra argument onto the tie command specifying if the file should
 be locked for reading or writing.

Package: libdb-java
Description-md5: 24aa6fb6308bfc784a461ce7decd3a56
Description-en: Berkeley Database Libraries for Java
 This empty package depends on the recommended package containing the
 Java interface for the Berkeley database library.

Package: libdb-je-java
Description-md5: fe6509dbb1a475193740ede0d7be8667
Description-en: Oracle Berkeley Database Java Edition
 Oracle Berkeley DB Java Edition is a open source, embeddable,
 transactional storage engine written entirely in Java. Like Oracle
 Berkeley DB, Oracle Berkeley DB Java Edition executes in the address
 space of the application, without the overhead of client/server
 communication. It stores data in the application's native format, so
 no runtime data translation is required. It provides an easy-to-use,
 programmatic interface, allowing developers to store and retrieve
 information quickly, simply and reliably.

Package: libdb1-compat
Description-md5: d001f03b619821355f101baa08503f8c
Description-en: Berkeley database routines [glibc 2.0/2.1 compatibility]
 libdb is a library for manipulating database files, developed at Berkeley.
 .
 It supports three kinds of file formats:
  * btree. A representation of a sorted, balanced tree structure.
  * hashed. An extensible, dynamic hashing scheme.
  * UNIX file oriented. A byte stream file with fixed or variable length
    records.
 .
 This library exists for compatibility with applications built against
 glibc 2.0 or 2.1. There is intentionally no corresponding development
 package. Do not link new applications against this library!

Package: libdb4o-cil-dev
Description-md5: 3ee3a84f982d7f2f33a36aaeebcbc43b
Description-en: native OODBMS for CLI - development files
 db4o is the open source object database that enables Java and .NET developers
 to store and retrieve any application object with only one line of code,
 eliminating the need to predefine or maintain a separate, rigid data model.
 .
 This is the native CLI version of db4o for Mono and Microsoft .NET Framework,
 but there is also a native Java version, check http://www.db4o.com.
 .
 This package contains development files for the db4o library, and should be
 for compilation

Package: libdb4o8.0-cil
Description-md5: bb16f6064c06413a3cfdb4d372eeca21
Description-en: native OODBMS for CLI
 db4o is the open source object database that enables Java and .NET developers
 to store and retrieve any application object with only one line of code,
 eliminating the need to predefine or maintain a separate, rigid data model.
 .
 This is the native CLI version of db4o for Mono and Microsoft .NET Framework,
 but there is also a native Java version, check http://www.db4o.com.

Package: libdb5.3-tcl
Description-md5: 36d3e8fd26bc7a1d2fe777e899288c39
Description-en: Berkeley v5.3 Database Libraries for Tcl [module]
 This package provides a Tcl module suitable for accessing Berkeley v5.3
 databases from within Tcl programs.

Package: libdballe-dev
Description-md5: 62b55365c2318bdf8394ec079be8712c
Description-en: DB-All.e C development library for weather research
 DB-All.e is a fast on-disk database where meteorological observed and
 forecast data can be stored, searched, retrieved and updated.
 .
 The Debian packaging of DB-All.e includes all the features of the libraries,
 but any subset can be used without interference from other subsets.  It is
 also possible to rebuild the library to include only those features that are
 needed.
 .
 Features provided:
 .
  * Unit conversion
  * Handling of physical variables
  * Encoding and decoding of BUFR and CREX reports from:
     * fixed land and sea stations, like synops and buoys
     * mobile stations: ships, airplanes
     * soundings: temp, pilot
     * METAR reports
     * Satellite strides (decode only)
  * Interpretation of weather reports as physical data precisely located in
    space and time, and encoding of physical data into weather reports.
  * Smart on-disk database for observed and forecast weather data based on
    physical principles, built to support operations such as quality control,
    data thinning, correlation of data from mixed sources

Package: libdballe-doc
Description-md5: 8fb8d913ed63050988621a3bf7282c03
Description-en: documentation for the DB-ALL.e C library for weather research
 DB-All.e is a fast on-disk database where meteorological observed and
 forecast data can be stored, searched, retrieved and updated.
 .
 This is the documentation for the DB_All.e development the library.

Package: libdballe8
Description-md5: f7de58a053fe1038fb9a34a26b849cd7
Description-en: DB-ALL.e C shared library for weather research
 DB-All.e is a fast on-disk database where meteorological observed and
 forecast data can be stored, searched, retrieved and updated.
 .
 This is the shared library for C programs.

Package: libdballef-dev
Description-md5: 04f5ae9f1dfbbbb6f57b81d9546c0bf0
Description-en: DB-All.e Fortran development library for weather research
 DB-All.e is a fast on-disk database where meteorological observed and
 forecast data can be stored, searched, retrieved and updated.
 .
 This is the DB-All.e Fortran API, designed to make it easy to use the DB-All.e
 database as a smart working area for meteorological software.

Package: libdballef5
Description-md5: cfa6e20cf7ca29a04d61899a642aa09b
Description-en: DB-ALL.e Fortran shared library for weather research
 DB-All.e is a fast on-disk database where meteorological observed and
 forecast data can be stored, searched, retrieved and updated.
 .
 This is the shared library for Fortran programs.

Package: libdbaudiolib0
Description-md5: ad84eec941294afb68084ff90806edc9
Description-en: Communicate to the DBMix audio system (runtime library)
 The dbaudiolib library allows a program to communicate with the DBMix sound
 daemon (dbfsd) that mixes audio streams from multiple sources and sends the
 output to a single sound card.
 .
 This package includes the libraries needed to run executables using
 libdbaudiolib.

Package: libdbaudiolib0-dev
Description-md5: a27dc538168f25f884d7d32d40aabf27
Description-en: Communicate to the DBMix audio system (development files)
 The dbaudiolib library allows a program to communicate with the DBMix sound
 daemon (dbfsd) that mixes audio streams from multiple sources and sends the
 output to a single sound card.
 .
 This package includes the libraries and header files needed to compile
 programs using libdbaudiolib.

Package: libdbd-csv-perl
Description-md5: 0e1fb9c03fe342ef9c374c13ac054aa1
Description-en: DBI driver for CSV files
 DBD::CSV is a Perl DBI Driver for providing an SQL-based interface to records
 stored in Comma-Separated Value (CSV) files. Such files are frequently used
 for exporting data from Microsoft's Access and Excel applications.

Package: libdbd-excel-perl
Description-md5: 1a5fcdc5370a7f314c39ae96561852dc
Description-en: provides an SQL interface (via DBI) for accessing Excel files
 The DBD::Excel module is a DBI driver which permits access to Excel files
 (in the 95, 97 and 2000 flavours) via SQL commands through the Perl DBI.
 .
 As of 0.06, this module is still in an ALPHA VERSION according to its author.

Package: libdbd-firebird-perl
Description-md5: 6be32b2a0797b9114d3909b9eee2558d
Description-en: Perl DBI driver for Firebird RDBMS server
 DBD::Firebird is a Perl Database Driver module which works with the generic
 DBI module, adding support for Firebird databases. It enables access to
 Firebird databases from Perl scripts.

Package: libdbd-ldap-perl
Description-md5: 2d250e26ffe5e5993bcc20357641884a
Description-en: Perl extension for LDAP access via an SQL/Perl DBI interface
 DBD::LDAP is a DBI extension module adding an SQL database interface to
 standard LDAP databases to Perl's database-independent database interface.
 You will need access to an existing LDAP database or set up your own using
 an LDAP server, ie. "OpenLDAP", see (http://www.openldap.org).
 .
 The main advantage of DBD::LDAP is the ability to query LDAP databases via
 standard SQL queries in leu of cryptic LDAP "filters".  LDAP is optimized for
 quick lookup of existing data, but DBD::LDAP does support entry inserts,
 updates, and deletes with commit/rollback via the standard SQL commands!

Package: libdbd-mariadb-perl
Description-md5: 3e9fe127877feb496366219a60241c43
Description-en: Perl5 database interface to the MariaDB/MySQL databases
 DBD::MariaDB is a Perl5 Database Interface driver for the MariaDB/MySQL
 databases. In other words: DBD::MariaDB is an interface between the Perl
 programming language and the MariaDB/MySQL programming API that comes with
 the MariaDB/MySQL relational database management systems. Most functions
 provided by this programming API are supported.
 .
 DBD::MariaDB is a fork of DBD::mysql (libdbd-mysql-perl) with inprovements in
 MariaDB compatibility, Perl Unicode support, and some security related bug
 fixes, at the cost of not being 100% backwards compatible.

Package: libdbd-mock-perl
Description-md5: b0d015475e873bc48ff3b0a8968cbfce
Description-en: Mock database driver for testing
 Testing with databases can be tricky. If you are developing a system married
 to a single database then you can make some assumptions about your
 environment and ask the user to provide relevant connection information. But
 if you need to test a framework that uses DBI, particularly a framework that
 uses different types of persistence schemes, then it may be more useful to
 simply verify what the framework is trying to do -- ensure the right SQL is
 generated and that the correct parameters are bound. DBD::Mock makes it easy
 to just modify your configuration (presumably held outside your code) and
 just use it instead of DBD::Foo (like DBD::Pg or DBD::mysql) in your
 framework.

Package: libdbd-mysql-perl
Description-md5: fa0435d9b500e1b12da8be5d1e5ed096
Description-en: Perl5 database interface to the MariaDB/MySQL database
 DBD::mysql is the Perl5 Database Interface driver for the MariaDB/MySQL
 database. In other words: DBD::mysql is an interface between the Perl
 programming language and the MySQL programming API that comes with the
 MariaDB/MySQL relational database management system. Most functions provided by
 this programming API are supported. Some rarely used functions are
 missing, mainly because no one ever requested them. However supported
 features include: compression of data between server and client; timeouts;
 SSL; prepared statement support; server administration such as creating
 and dropping databases and restarting the server; auto-reconnection;
 utf8; bind type guessing; bind comment placeholders; automated insert ids;
 transactions; multiple result sets and multithreading.

Package: libdbd-odbc-perl
Description-md5: 5833dd749816f1f1e7bc46dd9daebc27
Description-en: Perl Database Driver implementing ODBC for DBI
 DBD::ODBC allows DBI to connect to any server complying with the ODBC (Open
 DataBase Connectivity) standard. This provides access to various platforms
 which do not currently have Perl DataBase Drivers available.

Package: libdbd-pg-perl
Description-md5: 8f11ac659c969bc02ab2b29b23338305
Description-en: Perl DBI driver for the PostgreSQL database server
 DBD::Pg is a Perl DBI Database Driver module that works with the DBI module to
 provide access to PostgreSQL databases. It enables you to control a PostgreSQL
 database in a Perl script.

Package: libdbd-sqlite2-perl
Description-md5: d6f3e6a46e504eff3a169ed4f8c0d1c0
Description-en: Perl DBI driver with a self-contained RDBMS (SQLite2 version)
 The DBD::SQLite2 module embeds a small fast embedded SQL database engine
 called SQLite into a DBI driver, if you want a relational database for your
 project, but don't want to install a large RDBMS system like MySQL or
 PostgreSQL, then DBD::SQLite may be just what you need.
 .
 SQLite supports the following features:
 .
  * Implements a large subset of SQL92
    See http://www.hwaci.com/sw/sqlite/lang.html for details.
 .
  * A complete DB in a single disk file
    Everything for your database is stored in a single disk file, making it
    easier to move things around than with DBD::CSV.
 .
  * Atomic commit and rollback
 .
 The engine is very fast, but for updates/inserts/dml it does perform
 a global lock on the entire database.  This, obviously, might not be
 good for multiple user systems.  So beware.  The database also
 appears to be significantly faster if your transactions are coarse.

Package: libdbd-sqlite3-perl
Description-md5: 8a3b0ad4d51c995e128a17cddbee5420
Description-en: Perl DBI driver with a self-contained RDBMS
 DBD::SQLite is a Perl DBI driver with a self-contained relational database
 management system. It embeds a small and fast embedded SQL database engine
 called SQLite (see sqlite3) into a DBI driver. It is useful if you want a
 relational database for your project, but don't want to install a large
 RDBMS system like MySQL or PostgreSQL.
 .
 SQLite supports the following features:
 .
  * Implements a large subset of SQL92 (<URL:http://www.sqlite.org/lang.html>)
  * A complete DB in a single disk file
    Everything for your database is stored in a single disk file, making it
    easier to move things around than with DBD::CSV.
  * Atomic commit and rollback
 .
 The engine is very fast, but for updates/inserts/dml it does perform a global
 lock on the entire database. This, obviously, might not be good for multiple
 user systems. The database also appears to be significantly faster if your
 transactions are coarse.

Package: libdbd-sybase-perl
Description-md5: fd3801e0c3409462fab30118943406c4
Description-en: Sybase/MS SQL database driver for the DBI module
 DBD::Sybase is a Perl module which works with the DBI module to provide
 access to Sybase and Microsoft SQL databases.

Package: libdbd-xbase-perl
Description-md5: 9dbee5e7054685467814bfc75877a055
Description-en: Perl module to access xbase files (optionally through DBI)
 DBD::XBase allows creation, access and modification of .dbf (dBase,
 Clipper, Fox* style) database files. It is capable of handling memo
 files, but indexes only in a somewhat limited way. It has two
 interfaces: one using SQL commands (through DBI) ad the other with a
 simple OO interface.
 .
 You can use the included dbf_dump program to dump the content of a .dbf file.

Package: libdbi-test-perl
Description-md5: 46d2c176a521fea69620ba220e62eb50
Description-en: test suite for the DBI API
 DBI::Test aims to be a test suite for the DBI API and an underlying DBD
 driver, to check if the provided functionality is working and complete.
 .
 Part of this module is the ability for self-testing using DBI::Mock. This is
 not designed to be another DBI::PurePerl - it's designed to allow tests can
 be verified to work as expected in a sandbox. This is, of course, limited to
 DBI API itself and cannot load any driver nor really execute any action.

Package: libdbicx-sugar-perl
Description-md5: 4137eb8e7a8c662ee431040da6e942d6
Description-en: syntax sugar for DBIx::Class
 DBICx::Sugar provides some syntax sugar
 for your DBIx::Class applications.
 .
 DBIx::Class is an SQL to OO mapper
 with an object API inspired by Class::DBI
 and a resultset API that allows abstract encapsulation
 of database operations.

Package: libdbicx-testdatabase-perl
Description-md5: f2c15e34d12e68488cbab2f6de385725
Description-en: module for testing a DBIx::Class::Schema
 DBICx::TestDatabase is a Perl module useful for testing applications built
 with DBIx::Class (see libdbix-class-perl). It creates a temporary in-memory
 SQLite database, deploys your DBIC Schema, and then connects to it. This lets
 you easily test your schema using a fresh database for every test, ensuring
 that the ordering of tests will not affect failure.

Package: libdbix-abstract-perl
Description-md5: ba62743b01d4680799d793c182fb319e
Description-en: DBI SQL abstraction
 DBIX::Abstract provides methods for retrieving and storing data in SQL
 databases.  It provides methods for all of the more important SQL
 commands (like SELECT, INSERT, REPLACE, UPDATE, DELETE).
 .
 It endeavors to produce an interface that will be intuitive to those
 already familiar with SQL.
 .
 Notable features include:
  * data_source generation for some DBD drivers.
  * Can check to make sure the connection is not stale and reconnect if
    it is.
  * Controls statement handles for you.
  * Can delay writes.
  * Generates complex where clauses from hashes and arrays.
  * Shortcuts (convenience functions) for some common cases.
    (Like select_all_to_hashref.)

Package: libdbix-class-candy-perl
Description-md5: 03a60e63124e810e73c719af6bae55e8
Description-en: module providing syntax sugar for DBIx::Class
 DBIx::Class::Candy is a Perl module that provides syntactic sugar for result
 definitions for DBIx::Class (see libdbix-class-perl). It reduces repetitive
 boilerplate and provides more concise aliases for methods, simplifying the
 definition and maintenance of your schema.

Package: libdbix-class-cursor-cached-perl
Description-md5: ba90048f30c2863d117cb5ba4d0d7d34
Description-en: cursor object with built-in caching support
 DBIx::Class::Cursor::Cached is a Perl module providing a cursor class with
 built-in caching support. It allows for traversal of an arbitrary result set
 using "next", retrieving all results with "all" and resetting the cursor with
 "reset." Moreover, it caches your results to increase speed.

Package: libdbix-class-datetime-epoch-perl
Description-md5: 8bf744ddde5d0e863811b622b8b41c32
Description-en: extension for creating DateTime objects from columns
 DBIx::Class::DateTime::Epoch is an extension to DBIx::Class which creates
 DateTime (see libdatetime-perl) objects from column data on-the-fly. It is
 able to manipulate UNIX epoch data stored as an integer or varchar.

Package: libdbix-class-deploymenthandler-perl
Description-md5: c2b0ab4aabbd6f16b7f47a8c2903e83c
Description-en: extensible module for DBIx::Class deployment
 DBIx::Class::DeploymentHandler is a tool for deploying and upgrading
 databases with DBIx::Class. It is designed to be much more flexible
 than DBIx::Class::Schema::Versioned.

Package: libdbix-class-dynamicdefault-perl
Description-md5: 6e71d2cb0f4b40da4483242053bbe715
Description-en: dbix-class extension to automatically set and update fields
 DBIx::Class::DynamicDefault provides a callback-based interface to inject
 sufficiently complex default values into a field upon row creation and
 subsequent updates of that row.

Package: libdbix-class-encodedcolumn-perl
Description-md5: 0479ca69322ddb1e4f1654fa3f5a4b3a
Description-en: extension to encode column values automatically
 DBIx::Class::EncodedColumn is a DBIx::Class component which can automatically
 encode a column's contents whenever the value of that column is set, similar
 to DBIx::Class::DigestColumns. Any data you write is automatically converted
 on-the-fly and, in contrast to DigestColumns, any arbitrary message digest or
 encryption method can be supported through an appropriate encoding class.

Package: libdbix-class-helpers-perl
Description-md5: a1da3ba111f3beabcb2d9cd4177a9c40
Description-en: collection of helpers for DBIx::Class
 DBIx::Class::Helpers bundles many useful helpers for DBIx::Class that
 simplify the common case stuff.

Package: libdbix-class-htmlwidget-perl
Description-md5: 8fbf02f43f52fd95f81ae3d08be22d9a
Description-en: DBIx::Class::HTMLWidget perl module
 Something like Class::DBI::FromForm / Class::DBI::FromCGI but using
 HTML::Widget for form creation and validation and DBIx::Class as a ORM.
 .
 You'll need a working DBIx::Class setup and some knowledge of
 HTML::Widget and Catalyst.

Package: libdbix-class-inflatecolumn-fs-perl
Description-md5: 9d4f51ee301cf2bac2098580a3ad675f
Description-en: Inflate/deflate columns to Path::Class::File objects
 DBIx::Class::InflateColumn::FS provides DBIx::Class style column
 inflation to a Path::Class::File object allowing file system storage
 of BLOBS.
 .
 The storage path is specified with fs_column_path. Each file receives a
 unique name, so the storage for all FS columns can share the same path.
 .
 Within the path specified by fs_column_path, files are stored in
 sub-directories based on the first 2 characters of the unique file
 names.

Package: libdbix-class-inflatecolumn-ip-perl
Description-md5: 2083c685725a738d3106e9d925e61552
Description-en: extension for creating NetAddr::IP objects from columns
 DBIx::Class::InflateColumn::IP is an extension to DBIx::Class which creates
 NetAddr::IP (see libnetaddr-ip-perl) objects from column data on-the-fly. It
 supports columns stored as an integer or varchar, as well as custom address
 classes.

Package: libdbix-class-inflatecolumn-serializer-perl
Description-md5: f143fe15b8d64dd55d3e790a6b9b6c0d
Description-en: DBIx::Class inflator to serialize Perl data structures
 DBIx::Class::InflateColumn::Serializer is a DBIx::Class column inflator,
 that helps you store and retrieve serialized Perl data structures in
 your database columns.

Package: libdbix-class-introspectablem2m-perl
Description-md5: c0ecda20c2698e549a6550896f0aae37
Description-en: Introspection of many-to-many DBIx::Class relationships
 Because DBIx::Class many-to-many relationships are not actual relationships,
 they cannot be introspected with DBIx::Class.  They are actually just a
 collection of convenience  methods that bridge two actual relationships.
 .
 DBIx::Class::IntrospectableM2M can be used to store all relevant information
 about these M2M relationships so they can later be introspected and examined.

Package: libdbix-class-optimisticlocking-perl
Description-md5: 78831d07988ebc6a202ece357f662037
Description-en: Optimistic locking support for DBIx::Class
 Optimistic locking is an alternative to using exclusive locks when you
 have the possibility of concurrent, conflicting updates in your database.
 The basic principle is you allow any and all clients to issue updates and
 rather than preemptively synchronizing all data  modifications (which is
 what happens with exclusive locks) you are "optimistic" that updates won't
 interfere with one another and the updates will only fail when they do
 in fact interfere with one another.

Package: libdbix-class-perl
Description-md5: 002480230e1d7f57fc9760c9ee28f41d
Description-en: extensible and flexible object <-> relational mapper
 DBIx::Class is an SQL to OO mapper with an object API inspired by Class::DBI
 (and a compatibility layer as a springboard for porting) and a resultset API
 that allows abstract encapsulation of database operations. It aims to make
 representing queries in your code as perl-ish as possible while still
 providing access to as many of the capabilities of the database as possible,
 including retrieving related records from multiple tables in a single query,
 JOIN, LEFT JOIN, COUNT, DISTINCT, GROUP BY and HAVING support.
 .
 DBIx::Class can handle multi-column primary and foreign keys, complex queries
 and database-level paging, and does its best to only query the database in
 order to return something you've directly asked for. If a resultset is used
 as an iterator it only fetches rows off the statement handle as requested
 in order to minimise memory usage. It has auto-increment support for SQLite,
 MySQL, PostgreSQL, Oracle, SQL Server and DB2 and is known to be used in
 production on at least the first four, and is fork- and thread-safe out of
 the box (although your DBD may not be).

Package: libdbix-class-resultset-recursiveupdate-perl
Description-md5: 113604d5c79e60ec7fc83d51479e9ff3
Description-en: module for recursive updates of DBIx::Class::ResultSets
 You can feed the ->create method of DBIx::Class with a recursive
 datastructure and have the related records created. Unfortunately you cannot
 do a similar thing with update_or_create.
 .
 DBIx::Class::ResultSet::RecursiveUpdate tries to fill that void.
 .
 It is a base class for DBIx::Class::ResultSet providing the method
 recursive_update which works just like update_or_create but can recursively
 update or create result objects composed of multiple rows.

Package: libdbix-class-schema-config-perl
Description-md5: 4e8f7a5e324981e07a53697007b81be2
Description-en: Credential Management for DBIx::Class
 DBIx::Class::Schema::Config is a subclass of DBIx::Class::Schema that allows
 the loading of credentials & configuration from a file. The actual code
 itself would only need to know about the name used in the configuration file.
 This aims to make it simpler for operations teams to manage database
 credentials.

Package: libdbix-class-schema-loader-perl
Description-md5: 16d89117dc9f6bca0af6f03db31aae21
Description-en: module to automate definition of a DBIx::Class::Schema
 DBIx::Class::Schema::Loader is an extension to DBIx::Class that automates the
 definition of a DBIx::Class::Schema by scanning table schemas and setting up
 columns and primary keys appropriately. It supports MySQL, PostgreSQL, SQLite
 and DB2.
 .
 Bare table definitions are fairly straightforward, but relationship creation
 is somewhat heuristic, especially with respect to choosing relationship types
 and names, as well as join types. The relationships generated by this module
 will probably never be as well-defined as hand-generated ones.

Package: libdbix-class-timestamp-perl
Description-md5: 5a348085ff3f07a86184c1c4435b299c
Description-en: DBIx::Class extension to update and create date and time based fields
 DBIx::Class::TimeStamp works in conjunction with InflateColumn::DateTime to
 automatically set update and create date and time based fields in a table.

Package: libdbix-class-tree-nestedset-perl
Description-md5: ed194121fb288b7c0c733221cbf49806
Description-en: module to manage trees of data using the nested set model
 The module DBIx::Class::Tree::NestedSet provides methods for working
 with nested set trees.
 The nested tree model is a way of representing hierarchical information
 in a database. This takes a different approach to the Adjacency List
 implementation.

Package: libdbix-class-uuidcolumns-perl
Description-md5: 0a863601103fe8eed28f8c7a61c5a0d9
Description-en: Implicit uuid columns
 DBIx::Class provides a behaviour similar to Class::DBI::UUID. It
 impments globally unique columns values. When an object is created, the
 columns specified are given unique IDs.
 .
 When loaded, UUIDColumns will search for a suitable uuid generation module
 from the following list of supported modules:
 .
  * Data::UUID
  * APR::UUID*
  * UUID
 .
 If no supporting module can be found, an exception will be thrown.

Package: libdbix-connector-perl
Description-md5: 51710fcf629aa1118f51bfc1cdfeb5e1
Description-en: fast and safe DBI connection and transaction management
 DBIx::Connector provides a simple interface for fast and safe DBI
 connection and transaction management. Connecting to a database can be
 expensive; you don't want your application to re-connect every time you
 need to run a query. The efficient thing to do is to hang on to a
 database handle to maintain a connection to the database in order to
 minimize that overhead. DBIx::Connector lets you do that without having
 to worry about dropped or corrupted connections.

Package: libdbix-contextualfetch-perl
Description-md5: e1bf49c8dd003776b47cb2aff3544baa
Description-en: module to add context aware fetches to DBI
 The Perl database independent interface - DBI - does not take much
 advantage of Perl's context sensitivity. This is the situation where
 a Perl subroutine knows whether the caller wants a single scalar
 value or a list of them to be returned.
 .
 DBIx::ContextualFetch is a sub-class of DBI which redefines some of
 the various fetch methods to fix this oversight. It also adds a few
 new methods for convenience (though not necessarily efficiency). Much
 of this code was originally part of the IMA::DBI Perl module
 (libima-dbi-perl package).

Package: libdbix-datasource-perl
Description-md5: d0761466f8544ca061ea0c2e193bc32d
Description-en: database-independent module to create and drop functions
 DBIx::DataSource implements create_database and drop_database functions for
 databases.  It aims to provide a common interface to database creation and
 deletion regardless of the actual database being used.
 .
 Currently supported databases are MySQL and PostgreSQL.  Assistance adding
 support for other databases is welcomed and relatively simple - see
 DBIx::DataSource::Driver.

Package: libdbix-dbschema-perl
Description-md5: 37be17e548bf3d4c8e74536d381ca8b7
Description-en: Perl module to manipulate schemas independently of databases
 DBIx::DBSchema objects are collections of DBIx::DBSchema::Table objects and
 represent a database schema.
 .
 This module implements an OO-interface to database schemas.  Using this
 module, you can create a database schema with an OO Perl interface.  You can
 read the schema from an existing database.  You can save the schema to disk
 and restore it from a different process.  Most importantly, DBIx::DBSchema
 can write SQL CREATE statements  for different databases from a single source.
 .
 Currently supported databases are MySQL, PostgreSQL, Oracle, Sybase and SQLite.
 DBIx::DBSchema will attempt to use generic SQL syntax for other databases.
 Assistance adding support for other databases is welcomed.  See the
 DBIx::DBSchema::DBD manpage, "Driver Writer's Guide and Base Class".

Package: libdbix-dbstag-perl
Description-md5: ccf3f3aa2b814edc69d0b32962797549
Description-en: module providing relational database to hierarchical mapping
 The module DBIx::DBStag is for mapping from databases to Stag objects
 (Structured Tags - see the Data::Stag manpage), which can also be
  represented as XML. It has two main uses:
 .
 Querying
   This module can take the results of any SQL query and decompose the
   flattened results into a tree data structure which reflects the
   foreign keys in the underlying relational schema. It does this by
   looking at the SQL query and introspecting the database schema,
   rather than requiring metadata or an object model.
 .
   In this respect, the module works just like a regular the DBI
   manpage handle, with some extra methods provided.
 .
 Storing Data
   DBStag objects can store any tree-like datastructure (such as XML
   documents) into a database using normalized schema that reflects the
   structure of the tree being stored. This is done using little or no
   metadata.
 .
   XML can also be imported, and a relational schema automatically
   generated.

Package: libdbix-dr-perl
Description-md5: 809cb7f4e00077f006c4bd7a6ebc2c57
Description-en: easy DBI helper
 The package extends DBI and allows You:
 .
  * to use perl inside Your SQL requests;
  * to bless resultsets into Your package;
  * to place Your SQL's into dedicated directory;
  * to use usual DBI methods.

Package: libdbix-easy-perl
Description-md5: fbde08092d0ae6974eb1bf83b3fcc7ea
Description-en: Easy to Use DBI Interface
 DBIx::Easy is an easy to use DBI interface.
 Currently the Pg, mSQL, mysql, sybase and ODBC drivers are supported.
 Note that you also need one of the DBD::* drivers to use this module.
 For additional information please refer to the manpage.

Package: libdbix-fulltextsearch-perl
Description-md5: 00371a289760f15c23fad8ba600aad5c
Description-en: Indexing documents with MySQL as storage
 DBIx::FullTextSearch is a flexible solution for indexing contents of documents.
 It uses the MySQL database to store the information about words and
 documents and provides Perl interface for indexing new documents,
 making changes and searching for matches.  For DBIx::FullTextSearch, a document
 is nearly anything -- Perl scalar, file, Web document, database field.
 .
 The basic style of interface is shown above. What you need is a MySQL
 database and a DBI with DBD::mysql. Then you create a DBIx::FullTextSearch
 index  -- a set of tables that maintain all necessary information. Once created
 it can be accessed many times, either for updating the index (adding
 documents) or searching.
 .
 DBIx::FullTextSearch uses one basic table to store parameters of the index.
 Second table is used to store the actual information about documents and words,
 and depending on the type of the index (specified during index creation)
 there may be more tables to store additional information (like
 conversion from external string names (eg. URL's) to internal numeric
 form). For a user, these internal thingies and internal behaviour of the
 index are not important. The important part is the API, the methods to
 index document and ask questions about words in documents. However,
 certain understanding of how it all works may be useful when you are
 deciding if this module is for you and what type of index will best
 suit your needs.

Package: libdbix-introspector-perl
Description-md5: bd33bf154dfa77dd2ef7b66666900583
Description-en: module to detect what database code is connected to
 DBIx::Introspector is a module factored out of the DBIx::Class database
 detection code. Most code that needs to detect which database it is connected
 to assumes that there is a one-to-one mapping from database drivers to
 database engines. Unfortunately reality is rarely that simple. For instance,
 DBD::ODBC is typically used to connect to SQL Server, but ODBC can be used to
 connect to PostgreSQL, MySQL, and Oracle. Additionally, while ODBC is the
 most common way to connect to SQL Server, it is not the only option, as
 DBD::ADO can also be used.
 .
 DBIx::Introspector can correctly detect which database you are connected to,
 because it was factored out of a complex, working codebase. On top of that it
 has been written to be very extensible. So if you needed to detect which
 version of your given database you are connected to that would not be
 difficult.

Package: libdbix-oo-perl
Description-md5: 0725818c85dea2eb351a44baf263fee0
Description-en: database to Perl objects abstraction layer
 DBIx::OO has been inspired by the wonderful Class::DBI.  It is a
 database-to-Perl-Objects abstraction layer, allowing you to interact
 with a database using common Perl syntax.

Package: libdbix-password-perl
Description-md5: bce243ca78a6be9104e64ee8c4ea3183
Description-en: Perl module for creating a global password file for DB passwords
 Don't you hate keeping track of database passwords and such
 throughout your scripts? How about the problem of changing those
 passwords on a mass scale? DBIx::Password is one possible solution.
 .
 It keeps track of all your virtual users, databases, password, etc. in one
 central file.

Package: libdbix-profile-perl
Description-md5: df28d6313ab82e9ebcd9fe3fb914de9a
Description-en: DBI query profiler
 DBIx::Profile is a quick and easy, and mostly transparent, profiler
 for scripts using DBI.  It collects information on the query
 level, and keeps track of first, failed, normal, and total amounts
 (count, wall clock, CPU time) for each function on the query.
 .
 NOTE: DBIx::Profile use Time::HiRes to clock the wall time and
       the old standby times() to clock the CPU time.  The CPU time is
       pretty coarse.
 .
 DBIx::Profile can also trace the execution of queries.  It will print
 a timestamp and the query that was called.  This is optional, and
 occurs only when the environment variable DBIXPROFILETRACE is set
 to 1. (ex: (bash) export DBIXPROFILETRACE=1).

Package: libdbix-recordset-perl
Description-md5: 7d6877461430c310a9d843b8380298d6
Description-en: Perl extension for DBI recordsets
 DBIx::Recordset is a perl module for abstraction and simplification of
 database access.
 .
 The goal is to make standard database access (select/insert/update/delete)
 easier to handle and independent of the underlying DBMS. Special attention is
 made on web applications to make it possible to handle the state-less access
 and to process the posted data of form fields, but DBIx::Recordset is not
 limited to web applications.
 .
 DBIx::Recordset uses the DBI API to access the database, so it should
 work with every database for which a DBD driver is available (see
 also DBIx::Compat).
 .
 Most public functions take a hash reference as parameter, which makes
 it simple to supply various different arguments to the same
 function. The parameter hash can also be taken from a hash containing
 posted form fields like those available with CGI.pm, mod_perl,
 HTML::Embperl and others.

Package: libdbix-runsql-perl
Description-md5: d254eb0af24c99a132cc7fb3e334c94e
Description-en: module to run an SQL file via a DBI handle
 DBIx::RunSQL can load an SQL file in to a database via a database handle,
 rather than requiring a manual loading procedure invoking database
 command line clients.

Package: libdbix-safe-perl
Description-md5: 3f02a19c7e269d9d679e7e0581c217b3
Description-en: safe wrapper to DBI interface
 The purpose of the DBIx::Safe module is to give controlled, limited access to
 an application, rather than simply passing it a raw database handle through
 DBI. DBIx::Safe acts as a wrapper to the database, by only allowing through
 the commands you tell it to. It filters all things related to the database
 handle - methods and attributes.
 .
 The typical usage is for your application to create a database handle via a
 normal DBI call to new(), then pass that to DBIx::Safe->new(), which will
 return you a DBIx::Safe object. After specifying exactly what is and what is
 not allowed, you can pass the object to the untrusted application. The object
 will act very similar to a DBI database handle, and in most cases can be used
 interchangeably.
 .
 By default, nothing is allowed to run at all. There are many things you can
 control. You can specify which SQL commands are allowed, by indicating the
 first word in the SQL statement (e.g. 'SELECT'). You can specify which
 database methods are allowed to run (e.g. 'ping'). You can specify a regular
 expression that allows matching SQL statements to run (e.g. 'qr{SET
 TIMEZONE}'). You can specify a regular expression that is NOT allowed to run
 (e.g. qr(UPDATE xxx}). Finally, you can indicate which database attributes
 are allowed to be read and changed (e.g. 'PrintError'). For all of the above,
 there are matching methods to remove them as well.

Package: libdbix-searchbuilder-perl
Description-md5: 4cb592bd50ed411fe6d7f2cddf322948
Description-en: Perl implementation of a simple ORM
 DBIx::SearchBuilder is a simple implementation of an Object-Relational Mapper
 (ORM). It provides an object-oriented mechanism for retrieving and updating
 data in a DBI-accessible database. This module is similar in nature to other,
 more popular, solutions like Rose::DB::Object (see librose-db-object-perl) and
 DBIx::Class (libdbix-class-perl).

Package: libdbix-sequence-perl
Description-md5: f3c2b9e39ed64d2360350d24cc43c55c
Description-en: simple SQL92 ID generator
 DBIx::Sequence is intended to give easier portability to Perl database
 application by providing a database independent unique ID generator. This way,
 an application developer is not bound to use his database's SEQUENCE or
 auto_increment thus making his application portable on multiple database
 environnements.
 .
 This module implements a simple Spin Locker mechanism and is garanteed to
 return a unique value every time it is called, even with concurrent processes.
 It uses your database for its state storage with ANSI SQL92 compliant SQL. All
 SQL queries inside DBIx::Sequence are pre cached and very efficient especially
 under mod_perl.

Package: libdbix-simple-perl
Description-md5: 9a1e1b0f7177572f9add5df090025eb7
Description-en: Perl module implementing an simpler interface to DBI
 DBIx::Simple provides a simplified interface to DBI, Perl's powerful de-facto
 standard database interface. This module is aimed at rapid development and
 easy maintenance of your codebase. A single method prepares and executes your
 queries, and the result object (which wraps the statement handle) provides
 easy row-by-row iteration and slurping methods.
 .
 The query method returns either a result object, or a dummy object. The dummy
 object returns undef (or an empty list) for all methods and when evaluated in
 boolean context, is false. The dummy object lets you postpone (or skip) error
 checking, but it also makes immediate error checking simple.

Package: libdbix-xml-rdb-perl
Description-md5: fea82b7f271b3205f33a16268edc31a5
Description-en: perl module for creating XML from a DBI datasource
 This module is a simple creator of XML data from DBI datasources.  It
 allows you to easily extract data from a database, and manipulate
 later using XML::Parser.
 .
 Binary data is encoded using UTF-8.  This is automatically decoded
 when parsing with XML::Parser.
 .
 The examples need libxml-parser-perl.

Package: libdbix-xmlmessage-perl
Description-md5: e017b29564607d71f7a7682dc689e518
Description-en: perl module for exchanging XML messages between DBI data sources
 This module maintains simple XML templates that describe object
 structure.  The module is capable of generating SQL statements based
 on these templates and executing them against DBI data sources. After
 executing the SQL, the package formats the data results into XML
 strings.
 .
 Inbound messages can be processed according to the same kind of
 templates and the database is updated accordingly. Templates are
 capable of defining the SQL operators, plus new SAVE operation which
 is basically a combination of SELECT and either INSERT or UPDATE
 depending on whether the record was found by the compound key value
 or not.

Package: libdbm-deep-perl
Description-md5: 239b9b1c3e41ef14bc74e72629e57b91
Description-en: pure perl multi-level hash/array DBM that supports transactions
 DBM::Deep is an unique flat-file database module, written in pure perl. True
 multi-level hash/array support (unlike MLDBM, which is faked), hybrid OO /
 tie() interface, cross-platform FTPable files, ACID transactions, and is quite
 fast. Can handle millions of keys and unlimited levels without significant
 slow-down. Written from the ground-up in pure perl -- this is NOT a wrapper
 around a C-based DBM.

Package: libdbus-c++-1-0v5
Description-md5: e5b1c64b0141a3e970558211fa3293cf
Description-en: C++ API for D-Bus (runtime package)
 Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk
 and an Ecore mainloop integration. It also offers an optional own main loop.
 .
 This package includes shared object files.

Package: libdbus-c++-bin
Description-md5: 11fea8988ab523e211c9b2a393825830
Description-en: C++ API for D-Bus (utilities)
 Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk
 and an Ecore mainloop integration. It also offers an optional own main loop.
 .
 This package includes binary utilities.

Package: libdbus-c++-dbg
Description-md5: b870962dc8aad60f27dcee65a515a96f
Description-en: C++ API for D-Bus (debugging symbols)
 Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk
 and an Ecore mainloop integration. It also offers an optional own main loop.
 .
 This package includes the debugging symbols.

Package: libdbus-c++-dev
Description-md5: 28bfd5efb403f1587ce0104458bf8259
Description-en: C++ API for D-Bus (development package)
 Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk
 and an Ecore mainloop integration. It also offers an optional own main loop.
 .
 This package includes static object and header files.

Package: libdbus-c++-doc
Description-md5: eb500f187c5f0c1247ff129ddac8ec71
Description-en: C++ API for D-Bus (documentation)
 Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk
 and an Ecore mainloop integration. It also offers an optional own main loop.
 .
 This package includes the documentation files.

Package: libdbus-cpp-dev
Description-md5: d2ec7695c149eeedc0e937b6149296c9
Description-en: header-only dbus-binding leveraging C++-11 (development files)
 A header-only dbus-binding leveraging C++-11, relying on compile-time
 polymorphism to integrate with arbitrary type systems.
 .
 D-Bus is a message bus used for sending messages between applications.

Package: libdbus-cpp5
Description-md5: 62f1a1fd4dd892dd7249cd053e3981cd
Description-en: header-only dbus-binding leveraging C++-11 (runtime libraries)
 A header-only dbus-binding leveraging C++-11, relying on compile-time
 polymorphism to integrate with arbitrary type systems. Runtime portions to
 bind to different event loops.

Package: libdbus-glib1.0-cil
Description-md5: a1478555a928f30c27c6ac4c9d30877b
Description-en: CLI implementation of D-Bus (GLib mainloop integration)
 dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of
 D-Bus. It's often referred to as "managed D-Bus" to avoid confusion
 with existing bindings (which wrap libdbus).
 .
 D-Bus is a message bus, used for sending messages between
 applications.  Conceptually, it fits somewhere in between raw sockets
 and CORBA in terms of complexity.
 .
 This package provides integration into the GLib mainloop and contains
 the dbus-sharp-glib library itself.

Package: libdbus-glib1.0-cil-dev
Description-md5: 69e94ecff335e5c7423f84a8d47f33b2
Description-en: CLI implementation of D-Bus (GLib mainloop integration) - development files
 dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of
 D-Bus. It's often referred to as "managed D-Bus" to avoid confusion
 with existing bindings (which wrap libdbus).
 .
 D-Bus is a message bus, used for sending messages between
 applications.  Conceptually, it fits somewhere in between raw sockets
 and CORBA in terms of complexity.
 .
 This package contains development files for dbus-sharp-glib, and
 should be used for compilation.

Package: libdbus-glib2.0-cil
Description-md5: a1478555a928f30c27c6ac4c9d30877b
Description-en: CLI implementation of D-Bus (GLib mainloop integration)
 dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of
 D-Bus. It's often referred to as "managed D-Bus" to avoid confusion
 with existing bindings (which wrap libdbus).
 .
 D-Bus is a message bus, used for sending messages between
 applications.  Conceptually, it fits somewhere in between raw sockets
 and CORBA in terms of complexity.
 .
 This package provides integration into the GLib mainloop and contains
 the dbus-sharp-glib library itself.

Package: libdbus-glib2.0-cil-dev
Description-md5: 69e94ecff335e5c7423f84a8d47f33b2
Description-en: CLI implementation of D-Bus (GLib mainloop integration) - development files
 dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of
 D-Bus. It's often referred to as "managed D-Bus" to avoid confusion
 with existing bindings (which wrap libdbus).
 .
 D-Bus is a message bus, used for sending messages between
 applications.  Conceptually, it fits somewhere in between raw sockets
 and CORBA in terms of complexity.
 .
 This package contains development files for dbus-sharp-glib, and
 should be used for compilation.

Package: libdbus-java
Description-md5: d000cc99d2cea03dd7e07a376855eb65
Description-en: simple interprocess messaging system (Java implementation)
 D-Bus is a message bus, used for sending messages between applications.
 Conceptually, it fits somewhere in between raw sockets and CORBA in
 terms of complexity.
 .
 This package provides an implementation of D-Bus in Java (Library).
 .
 See the dbus description for more information about D-Bus in general.

Package: libdbus-ocaml
Description-md5: 29ea1204a88f7e0d06d0bb4d6bfafa2e
Description-en: OCaml bindings for the D-Bus API (runtime)
 This library provides OCaml bindings for using D-Bus.
 .
 D-Bus is a projects that permits program to communicate with each other, using
 a simple IPC protocol
 .
 This package contains shared library.

Package: libdbus-ocaml-dev
Description-md5: e36b1e2971315a40f53eba84451fdf43
Description-en: OCaml bindings for the D-Bus API (development files)
 This library provides OCaml bindings for using D-Bus.
 .
 D-Bus is a projects that permits program to communicate with each other, using
 a simple IPC protocol
 .
 This package contains header and OCaml library.

Package: libdbus1.0-cil
Description-md5: 26925b2c451972f049eb933726dec054
Description-en: CLI implementation of D-Bus
 dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of
 D-Bus. It's often referred to as "managed D-Bus" to avoid confusion
 with existing bindings (which wrap libdbus).
 .
 D-Bus is a message bus, used for sending messages between
 applications.  Conceptually, it fits somewhere in between raw sockets
 and CORBA in terms of complexity.
 .
 This package contains the dbus-sharp library itself.

Package: libdbus1.0-cil-dev
Description-md5: 66c87a5f006bca35f95875bcbd3dbcdd
Description-en: CLI implementation of D-Bus - development files
 dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of
 D-Bus. It's often referred to as "managed D-Bus" to avoid confusion
 with existing bindings (which wrap libdbus).
 .
 D-Bus is a message bus, used for sending messages between
 applications.  Conceptually, it fits somewhere in between raw sockets
 and CORBA in terms of complexity.
 .
 This package contains development files for dbus-sharp, and should be
 used for compilation.

Package: libdbus2.0-cil
Description-md5: 26925b2c451972f049eb933726dec054
Description-en: CLI implementation of D-Bus
 dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of
 D-Bus. It's often referred to as "managed D-Bus" to avoid confusion
 with existing bindings (which wrap libdbus).
 .
 D-Bus is a message bus, used for sending messages between
 applications.  Conceptually, it fits somewhere in between raw sockets
 and CORBA in terms of complexity.
 .
 This package contains the dbus-sharp library itself.

Package: libdbus2.0-cil-dev
Description-md5: 66c87a5f006bca35f95875bcbd3dbcdd
Description-en: CLI implementation of D-Bus - development files
 dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of
 D-Bus. It's often referred to as "managed D-Bus" to avoid confusion
 with existing bindings (which wrap libdbus).
 .
 D-Bus is a message bus, used for sending messages between
 applications.  Conceptually, it fits somewhere in between raw sockets
 and CORBA in terms of complexity.
 .
 This package contains development files for dbus-sharp, and should be
 used for compilation.

Package: libdbusada0.5.0
Description-md5: 76b6bead0c97d33ddc02d70b881bbf42
Description-en: Ada bindings to the D-Bus message bus system (runtime)
 The D_Bus/Ada library provides an Ada binding to the D-Bus message bus used for
 inter-process communication on Linux desktop systems.
 .
 This package contains the shared library.

Package: libdbusada5-dev
Description-md5: e534de497c9d30099021803caf28f768
Description-en: Ada bindings to the D-Bus message bus system
 The D_Bus/Ada library provides an Ada binding to the D-Bus message bus used for
 inter-process communication on Linux desktop systems.
 .
 This package contains the development files.

Package: libdbusextended-qt5-1
Description-md5: 4c9827ff0fd9f76cf7667a9c82481c26
Description-en: Extended DBus interface for Qt
 Qtdbusextended library provides several additional features to the original
 QDbusAbstractInterface class, includes:
  - Handling of PropertiesChanged signal in DBus Properties Interface
  - the GetAll method in DBus Properties Interface
  - asynchronous alternative to original synchronous QtDBus properties mechanism
  - an alternative cache mechanism for Qt DBus traffic
 .
 This package provides shared library files.

Package: libdbusextended-qt5-dev
Description-md5: 75212b4ea4a2c197386a924e472a01c0
Description-en: Extended DBus interface for Qt (development files)
 Qtdbusextended library provides several additional features to the original
 QDbusAbstractInterface class, includes:
  - Handling of PropertiesChanged signal in DBus Properties Interface
  - the GetAll method in DBus Properties Interface
  - asynchronous alternative to original synchronous QtDBus properties mechanism
  - an alternative cache mechanism for Qt DBus traffic
 .
 This package provides development files for qtdbusextended library.

Package: libdbuskit-dev
Description-md5: 8f9bc294cb1805be66a84014abec5659
Description-en: GNUstep framework that interfaces with D-Bus (development files)
 The DBusKit framework offers tight integration of D-Bus services into
 Objective-C applications.  It handles all low-level details of
 communication with D-Bus daemons and the services connected to them
 and exposes an interface similar to Distributed Objects to make it
 easy for Objective-C programmers to access D-Bus services.
 .
 Features:
  * Distributed Objects-like API for exchanging messages with D-Bus
    services.
  * A notification center class for watching signals emitted by D-Bus
    services.
  * `dk_make_interface' tool to generate Objective-C protocol
    declarations from D-Bus interface files.
 .
 This package contains the development files, API documentation,
 programming manual and the `dk_make_protocol' tool.

Package: libdbuskit0
Description-md5: 5869e325ba660772d286def5e85c79fb
Description-en: GNUstep framework that interfaces with D-Bus (runtime library)
 The DBusKit framework offers tight integration of D-Bus services into
 Objective-C applications.  It handles all low-level details of
 communication with D-Bus daemons and the services connected to them
 and exposes an interface similar to Distributed Objects to make it
 easy for Objective-C programmers to access D-Bus services.
 .
 This package contains the runtime library.

Package: libdbusmenu-gtk-dev
Description-md5: 67bb3e998dab738032b93e4b5bb82284
Description-en: library for passing menus over DBus - GTK+ version developement files
 libdbusmenu passes a menu structure across DBus so that a program can
 create a menu simply without worrying about how it is displayed on the
 other side of the bus.
 .
 This package contains files that are needed to build GTK+ applications.

Package: libdbusmenu-gtk4
Description-md5: 4ba40d4f9c3c572696eb980868211738
Description-en: library for passing menus over DBus - GTK+ version
 libdbusmenu passes a menu structure across DBus so that a program can
 create a menu simply without worrying about how it is displayed on the
 other side of the bus.
 .
 This package contains shared libraries to be used by GTK+ applications.

Package: libdbusmenu-qt5-2
Description-md5: 3663e512ae75c07d174b74d2a79715c4
Description-en: Qt implementation of the DBusMenu protocol
 This library provides a Qt implementation of the DBusMenu protocol.
 .
 The DBusMenu protocol makes it possible for applications to export
 and import their menus over D-Bus.
 .
 This package provides the libdbusmenu-qt2 shared library.

Package: libdbusmenu-qt5-dev
Description-md5: 30b15d79e0934c99b33f7ef7e2f4b0fd
Description-en: Qt implementation of the DBusMenu protocol (development)
 This library provides a Qt implementation of the DBusMenu protocol.
 .
 The DBusMenu protocol makes it possible for applications to export
 and import their menus over D-Bus.
 .
 This package provides header files and CMake configuration files for
 development.

Package: libdbusmenu-qt5-doc
Description-md5: 06927adfaeaf9f8eb79dc875f5636a60
Description-en: Qt implementation of the DBusMenu protocol (documentation)
 This library provides a Qt implementation of the DBusMenu protocol.
 .
 The DBusMenu protocol makes it possible for applications to export
 and import their menus over D-Bus.
 .
 This package provides API documentation in HTML format.

Package: libdbusmenu-tools
Description-md5: 8868484dcc04142a5081a5a8f9557c3d
Description-en: tools useful during development with libdbusmenu
 libdbusmenu passes a menu structure across DBus so that a program can
 create a menu simply without worrying about how it is displayed on the
 other side of the bus.
 .
 This package contains tools that are useful when developing and building
 applications with libdbusmenu.

Package: libdbustest1
Description-md5: b819ee72bb8252917f5d12ee31a140ab
Description-en: Runs tests under a new DBus session
 A simple little executable for running a couple of programs under a
 new DBus session.
 .
 This package contains shared libraries.

Package: libdbustest1-dev
Description-md5: c23166453f6694d3b290d878db7e207e
Description-en: Runs tests under a new DBus session
 A simple little executable for running a couple of programs under a
 new DBus session.
 .
 This package contains files that are needed to build.

Package: libdc1394-22
Description-md5: e5b9cbb31ec22bea58505a18f02e43e1
Description-en: high level programming interface for IEEE 1394 digital cameras
 libdc1394 is a library that is intended to provide a high level
 programming interface for application developers who wish to control
 IEEE 1394 based cameras that conform to the 1394-based Digital Camera
 Specification (found at http://www.1394ta.org/).
 .
 This version of libdc1394 supports both the old and new (juju) FireWire stack.
 It automatically detects which one to use at runtime.
 .
 This package contains shared libraries.

Package: libdc1394-22-dev
Description-md5: 0727d11fd6d721977140ccb5eed42191
Description-en: high level programming interface for IEEE 1394 digital cameras - development
 libdc1394 is a library that is intended to provide a high level
 programming interface for application developers who wish to control
 IEEE 1394 based cameras that conform to the 1394-based Digital Camera
 Specification (found at http://www.1394ta.org/).
 .
 This version of libdc1394 supports both the old and new (juju) FireWire stack.
 It automatically detects which one to use at runtime.
 .
 This package contains development files.

Package: libdc1394-22-doc
Description-md5: 0eaac90946349f276a6e366fa859221b
Description-en: high level programming interface for IEEE 1394 digital cameras - documentation
 libdc1394 is a library that is intended to provide a high level
 programming interface for application developers who wish to control
 IEEE 1394 based cameras that conform to the 1394-based Digital Camera
 Specification (found at http://www.1394ta.org/).
 .
 This package contains the documentation.

Package: libdc1394-25
Description-md5: e5b9cbb31ec22bea58505a18f02e43e1
Description-en: high level programming interface for IEEE 1394 digital cameras
 libdc1394 is a library that is intended to provide a high level
 programming interface for application developers who wish to control
 IEEE 1394 based cameras that conform to the 1394-based Digital Camera
 Specification (found at http://www.1394ta.org/).
 .
 This version of libdc1394 supports both the old and new (juju) FireWire stack.
 It automatically detects which one to use at runtime.
 .
 This package contains shared libraries.

Package: libdc1394-dev
Description-md5: 0727d11fd6d721977140ccb5eed42191
Description-en: high level programming interface for IEEE 1394 digital cameras - development
 libdc1394 is a library that is intended to provide a high level
 programming interface for application developers who wish to control
 IEEE 1394 based cameras that conform to the 1394-based Digital Camera
 Specification (found at http://www.1394ta.org/).
 .
 This version of libdc1394 supports both the old and new (juju) FireWire stack.
 It automatically detects which one to use at runtime.
 .
 This package contains development files.

Package: libdc1394-doc
Description-md5: 0eaac90946349f276a6e366fa859221b
Description-en: high level programming interface for IEEE 1394 digital cameras - documentation
 libdc1394 is a library that is intended to provide a high level
 programming interface for application developers who wish to control
 IEEE 1394 based cameras that conform to the 1394-based Digital Camera
 Specification (found at http://www.1394ta.org/).
 .
 This package contains the documentation.

Package: libdc1394-utils
Description-md5: 8ec9f8cd847132f038c61c56276f9630
Description-en: utilities for IEEE 1394 digital cameras
 libdc1394 is a library that is intended to provide a high level
 programming interface for application developers who wish to control
 IEEE 1394 based cameras that conform to the 1394-based Digital Camera
 Specification (found at http://www.1394ta.org/).
 .
 This version of libdc1394 supports both the old and new (juju) FireWire stack.
 It automatically detects which one to use at runtime.
 .
 This package contains an example program.

Package: libdca-dev
Description-md5: 770397f851de629d008747d5642667cf
Description-en: decoding library for DTS Coherent Acoustics streams (development)
 libdca provides a low-level interface to decode audio frames encoded using
 DTS Coherent Acoustics. DTS Coherent Acoustics streams are commonly found
 on DVDs, DTS audio CDs and some radio broadcastings. libdca also provides
 downmixing and dynamic range compression for various output configurations.
 .
 This package contains the headers and static libraries used to build
 applications that use libdca.

Package: libdca-utils
Description-md5: f53fd659c33381ef4506c158999fa4b0
Description-en: decoding library for DTS Coherent Acoustics streams (utilities)
 libdca provides a low-level interface to decode audio frames encoded using
 DTS Coherent Acoustics. DTS Coherent Acoustics streams are commonly found
 on DVDs, DTS audio CDs and some radio broadcastings. libdca also provides
 downmixing and dynamic range compression for various output configurations.
 .
 This package contains additional utilities.

Package: libdca0
Description-md5: 3cbddecdb76379cb54b631a152b6f454
Description-en: decoding library for DTS Coherent Acoustics streams
 libdca provides a low-level interface to decode audio frames encoded using
 DTS Coherent Acoustics. DTS Coherent Acoustics streams are commonly found
 on DVDs, DTS audio CDs and some radio broadcastings. libdca also provides
 downmixing and dynamic range compression for various output configurations.
 .
 This package contains the shared library.

Package: libdcap1
Description-md5: 33ea13f33f4d10961f7604639a3449d4
Description-en: Client Libraries for dCache
 dCache is a distributed mass storage system.
 This package contains the client libraries.

Package: libdcmtk-dev
Description-md5: b8c9cda90a28213d8a8f178fc769fe1b
Description-en: OFFIS DICOM toolkit development libraries and headers
 DCMTK includes a collection of libraries and applications for examining,
 constructing and converting DICOM image files, handling offline media,
 sending and receiving images over a network connection, as well as
 demonstrative image storage and worklist servers.
 .
 This package contains development libraries and headers for DCMTK. You
 only need to install this if you are developing programs that use the
 DCMTK libraries.
 .
 Note: This version was compiled with libssl support.

Package: libdcmtk14
Description-md5: 9d56449377a2c35a2b0e8bd566fdec0e
Description-en: OFFIS DICOM toolkit runtime libraries
 DCMTK includes a collection of libraries and applications for examining,
 constructing and converting DICOM image files, handling offline media,
 sending and receiving images over a network connection, as well as
 demonstrative image storage and worklist servers.
 .
 This package contains the runtime libraries for the DCMTK utility
 applications.
 .
 Note: This version was compiled with libssl support.

Package: libdcontainers-dev
Description-md5: 86d606161c94fe2ebc4377b1111340d2
Description-en: Containers backed by stdx.allocator -- development files
 This D module implements container types based on the
 std.experimental.allocator allocator design.
 .
 This package contains development files for the D programming language.

Package: libdcontainers0
Description-md5: 04d4a25c4204c354a2827565e0452cdd
Description-en: Containers backed by stdx.allocator
 This D module implements container types based on the
 std.experimental.allocator allocator design.
 .
 It includes implementations of the following container types:
  - Dynamic Array
  - Hash Map
  - Hash Set
  - Immutable hash set
  - Open-Addressed Hash Set
  - SIMD-accelerated Set
  - Singly-linked list
  - Tree Map
  - T-Tree
  - Unrolled Linked List

Package: libdd-plist-java
Description-md5: 916d4f7ed4a93f1311bec37bd318e9e3
Description-en: Java library for working with property lists
 This library enables your Java application to handle property lists of various
 formats. Property lists are files used to store user settings and serialized
 objects.They originate from the NeXSTEP programming environment and are now a
 basic part of the Cocoa framework (OS X and iOS) as well as the GNUstep
 framework.

Package: libddccontrol-dev
Description-md5: 73cb190571d55a4ec0b6822fcea3a7de
Description-en: development files for ddccontrol
 DDCcontrol is a tool used to control monitor parameters, like brightness
 and contrast, without using the OSD (On Screen Display) and the buttons
 in front of the monitor.
 .
 This package provides the development files.

Package: libddccontrol0
Description-md5: 2e12f498d270131cf78844e5eee50059
Description-en: shared library for ddccontrol
 DDCcontrol is a tool used to control monitor parameters, like brightness
 and contrast, without using the OSD (On Screen Display) and the buttons
 in front of the monitor.
 .
 This package provides the shared library.

Package: libddogleg-java
Description-md5: af2e88de61f500915bf23ab19cc75047
Description-en: library for non-linear optimization, clustering, robust model fitting and more
 DDogleg Numerics is a high performance Java library for non-linear
 optimization, clustering, robust model fitting, polynomial root finding,
 sorting, and more. The API is designed to be user to use, without excessive
 abstraction often found in other libraries. The user is provided with the
 capability to have tight control over memory and CPU usage.

Package: libdds-dev
Description-md5: 7d8a6caebe41d84eb35e1a06d7e2f7fe
Description-en: bridge double dummy solver - development
 dds is a double dummy solver for Bridge. It computes the optimal line of play
 for a deal, with all hands open (both sides dummy).
 .
 This package contains the development headers and documentation.

Package: libdds0
Description-md5: 2e4ab36b3bcae4d88795f19fdfc6fcbd
Description-en: bridge double dummy solver - shared library
 dds is a double dummy solver for Bridge. It computes the optimal line of play
 for a deal, with all hands open (both sides dummy).
 .
 This package contains the shared library.

Package: libde265-0
Description-md5: e2595c4a6d2348fa129b67fcf8e11192
Description-en: Open H.265 video codec implementation
 libde265 is an open source implementation of the H.265 video codec.
 It is written from scratch in plain C for simplicity and efficiency.
 Its simple API makes it easy to integrate it into other software.

Package: libde265-dev
Description-md5: 2e8e26c3f7d86c0ff68ebdc82e580a77
Description-en: Open H.265 video codec implementation - development files
 libde265 is an open source implementation of the H.265 video codec.
 It is written from scratch in plain C for simplicity and efficiency.
 Its simple API makes it easy to integrate it into other software.
 .
 The development headers for compiling programs that use libde265
 are provided by this package.

Package: libde265-examples
Description-md5: dc909b8ac26774d5fca6b1438e2d9dec
Description-en: Open H.265 video codec implementation - examples
 libde265 is an open source implementation of the H.265 video codec.
 It is written from scratch in plain C for simplicity and efficiency.
 Its simple API makes it easy to integrate it into other software.
 .
 Sample applications using libde265 are provided by this package.

Package: libdeal.ii-9.1.1
Description-md5: 0c8668bb5606f7acd1d570172d38f180
Description-en: Finite Element Differential Equations Analysis Library
 deal.II is a C++ program library targeted at the computational solution of
 partial differential equations using adaptive finite elements. It uses
 state-of-the-art programming techniques to offer you a modern interface to the
 complex data structures and algorithms required.
 .
 This package contains the shared libraries.

Package: libdeal.ii-dev
Description-md5: 5a369645e90c8f66b985eb03e4c7a0db
Description-en: Differential Equations Analysis Library - development files
 deal.II is a C++ program library targeted at the computational solution of
 partial differential equations using adaptive finite elements. It uses
 state-of-the-art programming techniques to offer you a modern interface to the
 complex data structures and algorithms required.
 .
 This package contains the development files.

Package: libdeal.ii-doc
Description-md5: dcf2e06380119549b7af283bba39aa78
Description-en: Differential Equations Analysis Library - html doc. and examples
 deal.II is a C++ program library targeted at the computational solution of
 partial differential equations using adaptive finite elements. It uses
 state-of-the-art programming techniques to offer you a modern interface to the
 complex data structures and algorithms required.
 .
 This package contains the documentation and examples.

Package: libdebbugs-perl
Description-md5: 41ad719ad1954d2f15ab23e72c29cb48
Description-en: modules used by the active Debian BTS
 Debian has a bug tracking system which files details of bugs reported by
 users and developers. Each bug is given a number, and is kept on file until
 it is marked as having been dealt with. The system is mainly controlled by
 e-mail, but the bug reports can be viewed using the WWW.
 .
 This package contains the perl modules used by the bug tracking
 system, some of which may be suitable for custom scripts which
 interact with local debbugs mirrors.

Package: libdebconf-kde-dev
Description-md5: fe6bdc3b07598081248c038c3584076b
Description-en: Development headers for the Debconf KDE library
 Debconf KDE is a GUI library for including Debconf frontends in Qt-based
 applications.
 Debconf is a configuration management system for Debian packages, which
 is used to ask questions when they are installed.
 This library is written in C++ and used by Apper and Muon to
 embed Debconf dialogs.
 .
 This package contains the development headers for the Debconf KDE library

Package: libdebconf-kde1
Description-md5: 6d709e53d007cf6cd8cd4f6125992e4f
Description-en: Debconf KDE GUI library
 Debconf KDE is a GUI library for including Debconf frontends in Qt-based
 applications.
 Debconf is a configuration management system for Debian packages, which
 is used to ask questions when they are installed.
 This library is written in C++ and used by Apper and Muon to
 embed Debconf dialogs.
 .
 This package contains the Debconf KDE library

Package: libdebian-copyright-perl
Description-md5: 7a9814b305e7dbb5e2133d39154d43e0
Description-en: perl module to parse, merge and write Debian copyright files
 Debian::Copyright can be used for the representation, manipulation and
 merging of Debian copyright files in an object-oriented way. It provides easy
 reading and writing of the debian/copyright file found in Debian source
 packages.

Package: libdebian-package-html-perl
Description-md5: 95f6f56f3c3821450bf7dce39396f23b
Description-en: generates HTML from a Debian source/binary package
 This module outputs a webpage using HTML::Template templates which
 resumes the information of a normal build environment for a package
 in Debian (source files, binary packages and changelogs) using
 Linda/Lintian for sanity checks. It is useful for making unified presentation
 webpages for those packages which are being sponsorized by someone in Debian.

Package: libdebian-source-perl
Description-md5: 6df2618f930cee74eb3f9463fd0e4687
Description-en: collection of Perl modules for handling Debian source packages
 This collection of Perl modules was originally developed to support
 dh-make-perl, but may also be useful for other tools that need to
 manipulate (unpacked) Debian source packages.  The current modules in
 the package are:
 .
  * Debian::Control
  * Debian::Control::Stanza
  * Debian::Control::Stanza::Binary
  * Debian::Control::Stanza::CommaSeparated
  * Debian::Control::Stanza::Source
  * Debian::Dependencies
  * Debian::Dependency
  * Debian::DpkgLists
  * Debian::Rules
  * Debian::WNPP::Bug
  * Debian::WNPP::Query

Package: libdebug-trace-perl
Description-md5: 593d3cb369c2993bf7c4e5f42b8d76fc
Description-en: module to trace Perl subroutine calls
 Debug::Trace is a Perl module that can trace information upon every
 call and return of Perl function. It does not require any changes to
 the sources.

Package: libdebug0
Description-md5: 22a1f1be516f2616cf394e03bd15f427
Description-en: Memory leak detection system and logging library
 This library contains routines needed by many of the authors
 other programs. It includes utilities to aid in debugging of
 programs.
 .
 Some of the features currently supported by this library are
 memory leak detection, hex dumping, and logging. The logging
 system is especially useful. It adds syntax highlighting for
 different log levels in debugging mode. There are different
 modes for printing to console and files, duplicate line
 detection and lots of other small things which makes life
 simpler for the programmer.
 .
 This package contains files needed by programs linked against
 this library.

Package: libdebug0-dev
Description-md5: 9701d0ad392c98d850e19fd60343394c
Description-en: Development files for the debug library
 This library contains routines needed by many of the authors
 other programs. It includes utilities to aid in debugging of
 programs.
 .
 Some of the features currently supported by this library are
 memory leak detection, hex dumping, and logging. The logging
 system is especially useful. It adds syntax highlighting for
 different log levels in debugging mode. There are different
 modes for printing to console and files, duplicate line
 detection and lots of other small things which makes life
 simpler for the programmer.
 .
 This package contains files needed if you wish to use libdebug
 in your own programs.

Package: libdecentxml-java
Description-md5: f5330c1b3dafe8c7e7e1be194540fcd9
Description-en: XML parser optimized for round-tripping and code reuse
 DecentXML is an XML parser written in Java with following features:
 .
  * Allows 100% round-tripping, even for weird whitespace between attributes in
    the start tag or in the end tag.
  * Suitable for building editors and filters which want/need to preserve the
    original file layout as much as possible.
  * Error messages have line and column information.
  * Easy to reuse individual components.
  * XML 1.1 compatible.

Package: libdecentxml-java-doc
Description-md5: 798e392cca4c38b92d83a615fe10693d
Description-en: API documentation for libdecentxml-java
 DecentXML is an XML parser written in Java with following features:
 .
  * Allows 100% round-tripping, even for weird whitespace between attributes in
    the start tag or in the end tag.
  * Suitable for building editors and filters which want/need to preserve the
    original file layout as much as possible.
  * Error messages have line and column information.
  * Easy to reuse individual components.
  * XML 1.1 compatible.
 .
 This package contains the Javadoc generated API documentation.

Package: libdeclare-constraints-simple-perl
Description-md5: fcf71b07de0ea8c86f57ee3247a720de
Description-en: module for declarative validation of data structures
 Declare::Constraints::Simple provide an easy way to build a profile to
 validate a data structure. It does this by giving you a set of
 declarative keywords in the importing namespace.

Package: libdecoration0
Description-md5: d54ec3ae170fe82084878bdc1b4f8687
Description-en: Compiz window decoration library
 The window decoration library is responsible for drawing the window borders
 and title bar of windows managed by Compiz. It is used by window decorators
 like gtk-window-decorator.

Package: libdecoration0-dev
Description-md5: 6a66c25de23fa410e973153207110423
Description-en: Compiz window decoration library - development files
 The window decoration library is responsible for drawing the window borders
 and title bar of windows managed by Compiz. It is used by window decorators
 like gtk-window-decorator.
 .
 This package contains files required for developing window decorators
 compatible with Compiz.

Package: libdee-qt5-3
Description-md5: aec6908c79bf56620b44026260c1da22
Description-en: Qt5 binding for Dee - shared library
 Simple Qt5 binding for Dee.

Package: libdee-qt5-dev
Description-md5: 40eaf02e7d38ac76fa416e7abf5abf4e
Description-en: Qt5 binding and QtQuick2 plugin for Dee - development files
 Simple Qt5 binding and QtQuick2 plugin for Dee (https://launchpad.net/dee).
 .
 This package contains the developer headers for the Qt binding for Dee

Package: libdeepboof-java
Description-md5: 61cb4abdbcb3057f156d227e3b023271
Description-en: Java library for running deep neural networks
 DeepBoof is a Java library for running deep neural networks trained using
 other projects (e.g. Torch and Caffe) with a focus on processing image data.
 Additional tools include visualization and network training. Image processing
 is done using BoofCV. While it has been designed to work with Torch and Caffe
 it does not depend either library for its core functionality.

Package: libdefhash-perl
Description-md5: c37b658a5055b58d258680935a2399bf
Description-en: module to define things according to a specification, using hashes
 DefHash is a specification for using hashes to define things. DefHash was born
 out of several other projects/specifications like Sah, Rinci, Riap,
 Module::Patch.

Package: libdeflate-dev
Description-md5: 8fb6a0505167215902404e4430565ceb
Description-en: headers for whole-buffer compression and decompression library
 The supported formats are:
  * DEFLATE (raw)
  * zlib (a.k.a. DEFLATE with a zlib wrapper)
  * gzip (a.k.a. DEFLATE with a gzip wrapper)
 .
 libdeflate is heavily optimized. It is significantly faster than the zlib
 library, both for compression and decompression, and especially on x86
 processors. In addition, libdeflate provides optional high compression modes
 that provide a better compression ratio than the zlib's "level 9".
 .
 This package provides the development headers.

Package: libdeflate-tools
Description-md5: 638ff9ba1a6c2de85e12cc1db779f062
Description-en: tools for whole-buffer compression and decompression library
 The supported formats are:
  * DEFLATE (raw)
  * zlib (a.k.a. DEFLATE with a zlib wrapper)
  * gzip (a.k.a. DEFLATE with a gzip wrapper)
 .
 libdeflate is heavily optimized. It is significantly faster than the zlib
 library, both for compression and decompression, and especially on x86
 processors. In addition, libdeflate provides optional high compression modes
 that provide a better compression ratio than the zlib's "level 9".
 .
 This package provides the sample tools.

Package: libdeflate0
Description-md5: 373b239ab8f9fcc2fc0b385bc6409d67
Description-en: fast, whole-buffer DEFLATE-based compression and decompression
 The supported formats are:
  * DEFLATE (raw)
  * zlib (a.k.a. DEFLATE with a zlib wrapper)
  * gzip (a.k.a. DEFLATE with a gzip wrapper)
 .
 libdeflate is heavily optimized. It is significantly faster than the zlib
 library, both for compression and decompression, and especially on x86
 processors. In addition, libdeflate provides optional high compression modes
 that provide a better compression ratio than the zlib's "level 9".

Package: libdelimmatch-perl
Description-md5: 33511af43ec44c8bfaed314bf45dd4b3
Description-en: Perl module to match delimited substrings
 DelimMatch allows you to match delimited substrings in a buffer. The
 delimiters can be specified with any regular expression and the start
 and end delimiters need not be the same. If the delimited text is
 properly nested, entire nested groups are returned.
 .
 In addition, you may specify quoting and escaping characters that
 contribute to the recognition of start and end delimiters.

Package: libdeltachat0
Description-md5: bb78ca86cf4a2cc1bf31184d5ff699c5
Description-en: Delta.Chat shared libraries
 Delta Chat is a modern messenger. It is like email in a new dress.
 Just better, safer and user-optimised. This package provides the
 Delta Chat shared libraries.

Package: libdeltachat0-dev
Description-md5: e410053b91deee9262f45f23721574a4
Description-en: Delta.Chat development files
 Delta Chat is a modern messenger. It is like email in a new dress.
 Just better, safer and user-optimised. This package provides the
 Delta Chat development files.

Package: libdeltachat0-doc
Description-md5: d52bbc24401f5438c6c18ea6e27afa34
Description-en: Delta.Chat API documentation
 Delta Chat is a modern messenger. It is like email in a new dress.
 Just better, safer and user-optimised. This package provides the
 Delta Chat API documentation.

Package: libdeps-perl
Description-md5: 97ae84996a08ddf03ce2a5f7f0ce0771
Description-en: Dependency Extraction and Processing System
 Toolkit to extract internal dependencies from a project, manipulate
 the dependency graph in arbitrary ways, and produce graphs to help in
 managing your project, including locating abusive #includes.
 .
 It aims to be fully generic and pluggable, but is still under
 development.  However, several aspects are already possible to
 customize.  Eg, you can provide your own graph transformations,
 styling rules, and graph renderers.

Package: libdeps-renderer-dot-perl
Description-md5: 21a6e47c00ec7eb486456f00bba1138c
Description-en: DEPS renderer plugin using GraphViz/dot
 Graphviz output support for the Dependency Extraction and Processing
 System.  Highly recommended.

Package: libderby-java
Description-md5: 67baef04351c08b0cc71042c5fa2e2d1
Description-en: Apache Derby Database Engine and Embedded JDBC Driver
 Apache Derby is an open source relational database implemented entirely
 in Java.
  * Derby has a small footprint -- about 2.6 megabytes for the base engine and
    embedded JDBC driver.
  * Derby is based on the Java, JDBC, and SQL standards.
  * Derby provides an embedded JDBC driver that lets you embed Derby in any
    Java-based solution.
  * Derby also supports the more familiar client/server mode with the Derby
    Network Client JDBC driver and Derby Network Server.
  * Derby is easy to install, deploy, and use.
 .
 This package includes the database server, the embedded JDBC driver and
 localisations.

Package: libderbyclient-java
Description-md5: 8fcbffe4916b4ee3cc0ff3336afc418b
Description-en: Apache Derby Client JDBC Driver
 The Derby network client provides network connectivity to the Derby Network
 Server. It is distributed as an additional jar file, derbyclient.jar, with an
 entirely independent code base from the embedded driver.
 .
 The Derby network client is a type 4, JDBC compliant Driver, which attempts
 to match the Derby Embedded JDBC driver as much as possible.
 .
 The Derby Network Server is provided by the derby-core package.

Package: libderiving-ocsigen-ocaml
Description-md5: 657d0a9abb6a21d89cbdbd0d5b8fe88f
Description-en: deriving functions from type declarations in OCaml (runtime)
 Camlp4 extension to OCaml for deriving functions from type declarations.
 Includes derivers for pretty-printing, type-safe marshalling with
 structure-sharing, dynamic typing, equality, and more.
 .
 This is the version maintained by the Ocsigen project.
 .
 This package contains the shared runtime libraries.

Package: libderiving-ocsigen-ocaml-dev
Description-md5: 20e3f3ab24fbb8f043f0fa794abdd9bc
Description-en: deriving functions from type declarations in OCaml (devt files)
 Camlp4 extension to OCaml for deriving functions from type declarations.
 Includes derivers for pretty-printing, type-safe marshalling with
 structure-sharing, dynamic typing, equality, and more.
 .
 This is the version maintained by the Ocsigen project.

Package: libdesktop-notify-perl
Description-md5: c67133ed218f0bc982811b7b68f3a042
Description-en: Perl module which communicates with the Desktop Notifications framework
 This module provides a Perl interface to the Desktop Notifications framework.
 .
 The framework allows applications to display pop-up notifications on an X
 desktop.  This is implemented with two components: a daemon that displays the
 notifications, and a client library used by applications to send notifications
 to the daemon.  These components communicate through the DBus message bus
 protocol.
 .
 This module serves the same purpose as libnotify, in an object-oriented Perl
 interface.  It is not, however, an interface to libnotify itself, but a
 separate implementation of the specification using Net::DBus.

Package: libdevel-argnames-perl
Description-md5: f19efed2dcc979047240e0a259c04ea3
Description-en: module providing access to subroutine variable names
 Devel::ArgNames provides an arg_names function that returns the names
 associated with @_. This makes it easy to define a more user friendly
 debugging function that prints out the values passed to a subroutine
 together with the associated variable names.

Package: libdevel-autoflush-perl
Description-md5: d9c3dfb8d5c544732a92d85c0cb5c391
Description-en: module for setting autoflush from the command line
 Devel::Autoflush is a hack to set autoflush for STDOUT and STDERR from the
 command line or from "PERL5OPT" for code that needs it but doesn't have it.
 .
 This often happens when prompting:
 .
   # guess.pl
   print "Guess a number: ";
   $n = <STDIN>;
 .
 As long as the output is going to a terminal, the prompt is flushed when STDIN
 is read.  However, if the output is being piped, the print statement will
 not automatically be flushed, no prompt will be seen and the program will
 silently appear to hang while waiting for input.

Package: libdevel-backtrace-perl
Description-md5: 1e7f966f43eaa9118929473be6bdd6c0
Description-en: Object-oriented backtrace
 Devel::Backtrace provides methods for accessing backtrace information, i.e.
 it allows one to see the "route" through a script. It returns short or detailed
 information about backtrace points as strings.
 .
 The included Devel::DollarAt module gives some additional functionality to
 the $@ scalar, i.e. it makes interpreting exceptions easier.

Package: libdevel-caller-ignorenamespaces-perl
Description-md5: 0901011502c6955129a031039986a2e2
Description-en: module for hiding namespaces from caller()
 Devel::Caller::IgnoreNamespaces is a Perl module designed to hide namespaces
 from caller(). It allows you to register namespaces that should be hidden by
 means of a replacement caller() function.

Package: libdevel-caller-perl
Description-md5: ad0dfe370ee7ed1b42895e16e40aafe8
Description-en: module providing enhanced caller() support
 Devel::Caller is a Perl module that provides several useful functions for
 introspecting your code deeper than the internal function "caller()" does. It
 allows you to access arguments passed to a function or even retrieve the code
 reference of the subroutine being invoked at the call frame indicated by the
 given level.

Package: libdevel-callparser-perl
Description-md5: df168a557ea04ff5b6ba60cfa8e5b6e3
Description-en: custom parsing attached to subroutines
 Devel::CallParser provides a C API, for XS modules, concerned with
 custom parsing.  It is centred around the function cv_set_call_parser,
 which allows XS code to attach a magical annotation to a Perl
 subroutine, resulting in resolvable calls to that subroutine having
 their arguments parsed by arbitrary C code.  (This is a more
 conveniently structured facility than the core's PL_keyword_plugin
 API.)  This module makes cv_set_call_parser and several supporting
 functions available.

Package: libdevel-callsite-perl
Description-md5: 68e737733c2801099979738cec8d0773
Description-en: Perl module to get caller return OP address and Perl interpreter context
 Devel::Callsite module provides subroutines to get the caller return OP
 address and perl interpreter context.
 .
 The callsite() function returns the OP address of the caller, a number,
 one level up from where it was called. It's useful for functions that
 need to uniquely know where they were called, such as Every::every();
 see Every. Or it can be used to pinpoint a location with finer
 granularity than a line number (see
 http://www.perlmonks.com/?node_id=987268). In conjunction with an OP
 tree disassembly you can know exactly where the caller is located in
 the Perl source.
 .
 The context() function returns the interpreter context as a number.
 This is a fairly unique number together with the call site.

Package: libdevel-calltrace-perl
Description-md5: e5cedd9c6c1d21d7d244381230408ad2
Description-en: Code tracer to follow function calls
 There are a number of perl modules in the CPAN that are designed to
 trace a program's execution as it runs. Each uses a different trick to
 do its job, fulfilling different needs. The technique Devel::Calltrace
 uses is quite simple and seems to be quite robust.

Package: libdevel-checkbin-perl
Description-md5: 705386c9808f24ce0356f0967d7586af
Description-en: module to check that a command is available
 Devel::CheckBin is a perl module that checks whether a particular command is
 available.

Package: libdevel-checkcompiler-perl
Description-md5: 22a9519358d2f02faeea2c1af2ca97c3
Description-en: module for checking compiler availability
 Devel::CheckCompiler checks the availability of a C99 compiler. It
 provides the function check_c99_or_exit which returns false if no C99
 compiler is available.

Package: libdevel-checklib-perl
Description-md5: 61c6a1d4f3332bb912da257f9a3e7642
Description-en: module for checking the availability of a library
 Devel::CheckLib is a perl module that checks whether a particular C library
 and its headers are available.
 .
 It works by trying to compile some code and linking it to the specified
 libraries. If something pops out the end which looks executable, it gets
 executed. That tiny program is built once for each specified library, and
 (without linking) once for each header file.
 .
 It is also possible to check for the presence of particular functions in a
 library, or even that those functions return particular results.

Package: libdevel-confess-perl
Description-md5: 403844de062271a26957ddca6ace605d
Description-en: module to include stack traces on all warnings and errors
 Devel::Confess is meant as a debugging aid. It can be used to make a script
 complain loudly with stack backtraces when warn()ing or die()ing. Unlike
 other similar modules (e.g. Carp::Always), it includes stack traces even when
 exception objects are thrown.
 .
 The stack traces are generated using Carp, and will work for all types of
 errors. Carp's "carp" and "confess" functions will also be made to include
 stack traces.

Package: libdevel-cover-perl
Description-md5: 14aa017ee7cc6570ae7dd3bfb556e39c
Description-en: Perl tool for determining code coverage metrics
 Devel::Cover is a Perl module that helps collect and display code coverage
 information. Code coverage information is collected using a pluggable runops
 function which counts how many times each op is executed. This data is then
 mapped back to reality using the B compiler modules. There is also a another
 statement profiler which needs a better backend to be really useful.
 .
 Statement, branch, condition, subroutine, pod and time coverage information is
 reported. Statement coverage data should be reasonable, although there may be
 some statements which are not reported. Branch and condition coverage data
 should be mostly accurate too, although not always what one might initially
 expect. Subroutine coverage should be as accurate as statement coverage. Pod
 coverage comes from Pod::Coverage. If Pod::Coverage::CountParents is available
 it will be used instead. Coverage data for path coverage are not yet
 collected.
 .
 The cover program can be used to generate coverage reports. Another included
 program, gcov2perl, can convert gcov files to Devel::Cover databases.

Package: libdevel-cycle-perl
Description-md5: ce8d683024731355a4200a498ff50253
Description-en: Perl module to detect memory cycles in Perl objects
 Devel::Cycle is a developer's tool for finding memory cycles in Perl objects.
 Since Perl provides its automatic memory management using reference counting,
 cyclical/circular references will result in memory that will never be freed.
 Optionally, PadWalker (libpadwalker-perl) module enables reporting of cycles
 in code closures as well.

Package: libdevel-declare-parser-perl
Description-md5: 2b2913dc177a8046cfbd0f2ded45acba
Description-en: higher level interface to Devel::Declare
 Devel::Declare::Parser is a higher-level API sitting on top of
 Devel::Declare. It is used by Devel::Declare::Exporter to simplify exporting
 of Devel::Declare magic. Writing custom parsers usually only requires
 subclassing this module and overriding a couple methods.

Package: libdevel-declare-perl
Description-md5: b1fb2e3a206c7c529fa629292fa647a0
Description-en: module to add new syntax to Perl
 Devel::Declare can install subroutines called declarators which locally take
 over control of Perl's parser, allowing the creation of new syntax, including
 keywords and other constructs that might not be, in themselves, valid Perl 5
 code.
 .
 This module can be used for adding new keywords, completely in Perl code. It
 allows a subroutine to be executed during the Perl code compilation, meaning
 that syntax can be transformed into equivalent code for Perl on-the-fly.

Package: libdevel-dprof-perl
Description-md5: 85f5b3959221bc9dd9e66ce64fdd83d5
Description-en: deprecated Perl code profiler
 The Devel::DProf package is a Perl code profiler. This will collect
 information on the execution time of a Perl script and of the subs in that
 script. This information can be used to determine which subroutines are using
 the most time and which subroutines are being called most often. This
 information can also be used to create an execution graph of the script,
 showing subroutine relationships.
 .
 Devel::DProf is deprecated. It is recommended that you install and use
 Devel::NYTProf (in the package libdevel-nytprof-perl) instead, as it
 offers significantly improved profiling and reporting.

Package: libdevel-dumpvar-perl
Description-md5: 0e34fa0b851d19c4f70cbf97ba671968
Description-en: Perl module to dump Perl variables
 Devel::Dumpvar is a pure object-orientated reimplementation of the same
 functionality. This makes it much more versatile version to use for dumping
 information to debug log files or other uses where you don't need to
 reassemble the data.
 .
 Devel::Dumpvar is a rewritten version of dumpvar.pl, the module used by perl's
 debugger to display variables in human-readable form, containing a lot of
 extra information without the burden of needing to allow the dump to be
 re-assembled into the original data.

Package: libdevel-findperl-perl
Description-md5: 8e9b70629d945889c38a4713758910be
Description-en: Perl module to find the path to the currently running perl
 The Devel::FindPerl module tries to find the path to the currently running
 perl. It implements a function to try (really really hard) to find the path to
 the perl running your program and another function to test if the perl in
 `$path` is the same perl as the currently running one.
 .
 SECURITY ALERT: This module by default does things that are not particularly
 secure (run programs based on external input).

Package: libdevel-gdb-perl
Description-md5: b63aeffcdcc6d2ea1c5fc607e13c7e8f
Description-en: module to open and communicate with a gdb session
 The Devel::GDB package provides an interface for communicating
 with GDB. Internally, it uses the GDB/MI interpreter
 (see http://sourceware.org/gdb/current/onlinedocs/gdb_25.html),
 which accurately informs the caller of the program state and,
 through the use of tokens, guarantees that the results returned
 actually correspond to the request sent.
 By contrast, GDB's console interpreter returns all responses on
 STDOUT, and thus there is no way to ensure that a particular response
 corresponds to a particular request.
 .
 Therefore, it is obviously preferable to use GDB/MI when programmatically
 interacting with GDB.  This can be done via the send_cmd family of functions
 (send_cmd, send_cmd_excl, and send_cmd_async).  There are, however,
 some cases when there is no GDB/MI command corresponding to a particular
 console command, or it has not yet been implemented (for example, -symbol-type,
 corresponding to the console command ptype, is not yet implemented as of GDB
 6.6).  In this case, the get function provides a workaround by capturing all
 output sent to the console stream.

Package: libdevel-globaldestruction-perl
Description-md5: 52ac232f589fd38c2f99c464fe256d6c
Description-en: module to expose the flag that marks global destruction
 Devel::GlobalDestruction is a Perl module that exposes the PL_dirty flag
 to Perl as a subroutine named in_global_destruction. It is useful when
 writing destructors, so that only non-process local resources are freed
 while in global destruction.

Package: libdevel-hide-perl
Description-md5: b458b14910825143fc5c763adbe6d55e
Description-en: module for forcing the unavailability of specified Perl modules (for testing)
 Given a list of Perl modules/filenames, Devel::Hide makes require and use
 statements fail (no matter the specified files/modules are installed or not).
 .
 They die with a message like:
 .
 Can't locate Module/ToHide.pm (hidden)
 .
 The original intent of Devel::Hide is to allow Perl developers to test for
 alternative behavior when some modules are not available. In a Perl
 installation, where many modules are already installed, there is a chance to
 screw things up because you take for granted things that may not be there in
 other machines.

Package: libdevel-leak-perl
Description-md5: de950fdc1bf9599d81c619159f15b7a9
Description-en: utility for looking for perl objects that are not reclaimed
 Devel::Leak has two functions: NoteSV and CheckSV.
 .
 NoteSV walks the perl internal table of allocated SVs (scalar values) - (which
 actually  contains arrays and hashes too), and records their addresses in a
 table. It returns a count of these "things", and stores a pointer to the
 table (which is obtained from the heap using malloc()) in its argument.
 .
 CheckSV is passed argument which holds a pointer to a table created by
 NoteSV. It re-walks the perl-internals and calls sv_dump() for any "things"
 which did not exist when NoteSV was called. It returns a count of the number
 of "things" now allocated.

Package: libdevel-lexalias-perl
Description-md5: 80abecf1b6cb0c7b68436eccabcd51ec
Description-en: Perl module that provides alias lexical variables
 Devel::LexAlias provides the ability to alias a lexical variable in a
 subroutines scope to one of your choosing.

Package: libdevel-nytprof-perl
Description-md5: 76e6e5b80610f0d1c02fff09bdc24665
Description-en: Perl statement and subroutine code profiler
 Devel::NYTProf is a powerful feature-rich perl source code profiler.
 .
  * Performs per-line statement profiling for fine detail
  * Performs per-subroutine statement profiling for overview
  * Performs per-block statement profiling (the first profiler to do so)
  * Accounts correctly for time spent after calls return
  * Performs inclusive and exclusive timing of subroutines
  * Subroutine times are per calling location (a powerful feature)
  * Can profile compile-time activity, just run-time, or just END time
  * Uses novel techniques for efficient profiling
  * Sub-microsecond (100ns) resolution on systems with clock_gettime()
  * Very fast - the fastest statement and subroutine profilers for
    perl
  * Handles applications that fork, with no performance cost
  * Immune from noise caused by profiling overheads and I/O
  * Program being profiled can stop/start the profiler
  * Generates richly annotated and cross-linked html reports
  * Trivial to use with mod_perl - add one line to httpd.conf
  * Includes an extensive test suite
  * Tested on very large codebases
 .
 NYTProf is effectively two profilers in one: a statement profiler, and a
 subroutine profiler.
 .
 NYTProf stands for 'New York Times Profiler'. Indeed, this module was
 initially developed from Devel::FastProf by The New York Times Co. to help
 their developers quickly identify bottlenecks in large Perl applications.

Package: libdevel-overloadinfo-perl
Description-md5: d09f8f4ec51fb6e8063b0560eca3c126
Description-en: module to introspect overloaded operators
 Devel::OverloadInfo returns information about overloaded operators for a
 given class (or object), including where in the inheritance hierarchy the
 overloads are declared and where the code implementing it is.

Package: libdevel-overrideglobalrequire-perl
Description-md5: a53dd9ddd17ae283e53b2491ce6dc386
Description-en: module to safely override CORE::GLOBAL::require
 Devel::OverrideGlobalRequire overrides CORE::GLOBAL::require with a code
 reference in a way that plays nice with any existing overloading and ensures
 the right calling package is in scope.

Package: libdevel-partialdump-perl
Description-md5: 54bf265531fcc2601d743c0e527fb225
Description-en: Perl module to dump subroutine parameters concisely
 Devel::PartialDump is similar in nature to Data::Dumper, however, it is
 optimized for the common case of bug reporting with complex data structures.
 Outputting a string containing a complex data structure can yield rather
 useless results, since the structures are stringified.
 .
 This module optionally overrides Carp's routines to provide a concise dump of
 a variable's contents instead of a simple stringification with warn, etc.

Package: libdevel-patchperl-perl
Description-md5: 7403de0002443a8127d63331a78540a2
Description-en: Perl module to patch perl source à la Devel::PPPort's buildperl.pl
 Devel::PatchPerl is a modularisation of the patching code contained in
 Devel::PPPort's buildperl.pl.
 .
 It does not build perls, it merely provides an interface to the source
 patching functionality.

Package: libdevel-pragma-perl
Description-md5: e636d9c7081dff09a0edfff997161100
Description-en: helper functions for developers of lexical pragmas
 Devel::Pragma provides helper functions for developers of lexical
 pragmas. These can be used both in older versions of perl (from
 5.8.1), which have limited support for lexical pragmas, and in the
 most recent versions, which have improved support.

Package: libdevel-profile-perl
Description-md5: ea6d2c60ca98f0d60dfbbfc669d53a74
Description-en: Perl code profiler
 Devel::Profile is a Perl code profiler. It collects information on the
 execution time of a Perl script and of the subs in that script. This
 information can be used to determine which subroutines are using the most
 time and which subroutines are being called most often.

Package: libdevel-ptkdb-perl
Description-md5: d1937999f45af49685f236d62cd2c926
Description-en: Perl debugger using a Tk GUI
 Devel::ptkdb is a debugger for perl that uses perlTk for a user interface.
 .
 Features include:
  * Hot Variable Inspection
  * Breakpoint Control Panel
  * Expression List
  * Subroutine Tree

Package: libdevel-refactor-perl
Description-md5: 4ce89551e141a3cce72b68bde141f2e3
Description-en: Perl module for code refactoring
 Devel::Refactor is a Perl module that assists with code refactoring. It is
 designed to be used in conjunction with the EPIC plug-in for the Eclipse
 Integrated Development Environment.

Package: libdevel-refcount-perl
Description-md5: f278a6a50586bb855fc525ad978b5621
Description-en: Perl module to obtain the reference count of a variable
 Devel::Refcount provides a single function which obtains the reference count
 of the object being pointed to by the passed reference value.
 .
 Internally, Perl maintains a count of the number of 'things' referring to each
 variable, as a means of providing automatic memory management. Every time a
 reference is made to a scalar value, for example, the reference count is
 incremented; every time that reference is lost (eg. when a lexical variable
 goes out of scope) then the reference count is decremented.

Package: libdevel-repl-perl
Description-md5: aedc902829c112a028c0dcf2d7dd6070
Description-en: module for building a modern Perl interactive shell
 Devel::REPL is a module for creating Read-Evaluate-Print-Loops (REPL) in
 Perl. The shell provides for rapid development or testing of code without the
 need to create a temporary source code file.
 .
 Through a plugin system, many features are available on demand. You can also
 tailor the environment through the use of profiles and run control files, for
 example to pre-load certain Perl modules when working on a particular
 project.

Package: libdevel-simpletrace-perl
Description-md5: acc3d1092ab637b688ddf59c03295e00
Description-en: module to see where your code warns and dies using stack traces
 Devel::SimpleTrace is a simple drop-in Perl module that can be used to easily
 spot the place where a program or a module generates errors. It modifies the
 warn() and die() functions to replace the standard messages with complete
 stack traces that indicate how and where the error occurred.

Package: libdevel-stacktrace-ashtml-perl
Description-md5: 34f7162efd7e90a55cefa208bddd6ace
Description-en: module to display a stack trace in HTML
 Devel::StackTrace::AsHTML is a Perl module that adds a method, as_html, to
 Devel::StackTrace, which displays the stack trace in beautiful HTML, with a
 code snippet providing context and displaying function parameters. If you
 call it on an instance of Devel::StackTrace::WithLexicals, you can see the
 lexical variables of each stack frame.

Package: libdevel-stacktrace-withlexicals-perl
Description-md5: 609f718a7c8a17f3096383998844c31f
Description-en: Perl module for stack traces with access to lexical variables
 Devel::StackTrace::WithLexicals extends Devel::StackTrace allowing the
 generation of stack traces where it is possible to inspect or change
 callers' lexical variables.

Package: libdevel-strictmode-perl
Description-md5: 5a8e67e08e399d0c260ee189d8479c6f
Description-en: determine whether strict (but slow) tests should be enabled
 Devel::StrictMode provides you with a constant `STRICT` which you can
 use to determine whether additional strict (but slow) runtime tests are
 executed by your code.

Package: libdevel-trace-perl
Description-md5: 7eb2132861ed79c26e225cd359cf03bd
Description-en: Perl module to aid debugging by printing out each line before execution
 Devel::Trace does for Perl what set -x does for shell scripts: Run your
 program with 'perl -d:Trace program', and it will print each line to
 standard error just before it is executed.

Package: libdevhelp-3-6
Description-md5: 21ddcea70a9cb4ee9c6d175af1786078
Description-en: Library providing documentation browser functionality
 This library provides embedable widgets from the Devhelp program to
 be integrated in tools like the Anjuta IDE for browsing API reference
 documentation.

Package: libdevhelp-dev
Description-md5: 7b49d3b0b0dd45d57e9ee7c132199c03
Description-en: Library providing documentation browser functionality (development)
 This library provides embedable widgets from the Devhelp program to
 be integrated in tools like the Anjuta IDE for browsing API reference
 documentation.
 .
 This package contains the development files.

Package: libdevice-cdio-perl
Description-md5: 9d28cb2348d00314c0be015bfbae33fd
Description-en: CD Input and control library
 Device::Cdio is an interface to the GNU CD Input and Control library (libcdio)
 and its ISO 9660 library (libiso9660) which are written in C. The library
 encapsulates CD-ROM reading and control and ISO 9660 handling. Perl programs
 wishing to be oblivious of the OS- and device-dependent properties of a
 CD-ROM can use this library.

Package: libdevice-gsm-perl
Description-md5: 04c6dc0a62efec8b811dea08c80d0094
Description-en: Perl extension to interface GSM phones / modems
 Device::Gsm class implements basic GSM functions, network registration
 and SMS sending.
 .
 This class supports also PDU mode to send SMS messages, and should be
 fairly usable.

Package: libdevice-modem-perl
Description-md5: 8dac7dbe00e1bac6d847af75d0d6d2f0
Description-en: Perl class to interface generic modems (AT-compliant)
 Device::Modem class implements basic AT (Hayes) compliant device abstraction.
 It can be inherited by sub classes (as Device::Gsm), which are based on serial
 connections.

Package: libdevice-serialport-perl
Description-md5: b924ca135794494244458a0992810dd3
Description-en: emulation of Win32::SerialPort for Linux/POSIX
 Device::SerialPort provides an object-based user interface essentially
 identical to the one provided by the Win32::SerialPort module.  This
 provides device-level access to a system's serial port.
 .
 Also included is modemtest -- a tool for examining your modem using
 Device::SerialPort.

Package: libdevice-usb-pcsensor-hidtemper-perl
Description-md5: 5ca80cdd5bdcb008276de72073690698
Description-en: Perl module to interface to the HidTEMPer thermometers
 This module is a simplified interface to the HidTEMPer thermometers created by
 PCSensor.

Package: libdevice-usb-perl
Description-md5: 12ca21679f2f17b6ae8c597c7afa1d99
Description-en: Perl module to access USB devices using libusb
 Device::USB provides a Perl interface to the C library libusb. This library
 supports a relatively full set of functionality to access a USB device. In
 addition, Device::USB provides a few convenience features that are intended
 to produce a more Perl-ish interface.
 .
 This module is deprecated and has been superseded by USB::LibUSB, which
 supports the 1.0 version of the libusb API.

Package: libdevil-dev
Description-md5: c9fd4a7519ab820246d2102717804209
Description-en: Cross-platform image loading and manipulation toolkit
 Developer's Image Library (DevIL) is a programmer's toolkit which can
 load, save and convert a wide variety of image formats.  It also offers
 basic manipulation and filtering capabilities.
 .
 DevIL presents a simple programming interface similar to OpenGL's, which is
 easy for a developer to learn and use.  Ultimate control of the images is
 left to the developer.
 .
 This package contains the development files.

Package: libdevil1c2
Description-md5: 41a3c5132afd8b03f71520b31aed62ae
Description-en: Cross-platform image loading and manipulation toolkit
 Developer's Image Library (DevIL) is a programmer's toolkit which can load,
 save and convert a wide variety of image formats. It also offers basic
 manipulation and filtering capabilities.
 .
 DevIL presents a simple programming interface similar to OpenGL's, which is
 easy for a developer to learn and use. Ultimate control of the images is
 left to the developer.

Package: libdewalls-dev
Description-md5: e932b839b7b58d165d38142b50eea02a
Description-en: Parser for Walls cave survey data - development files
 The WALLS cave survey package stores its data in .srv files. dewalls
 is a parsing library for this file format. It is implemented in C++
 and intended to be used by other cave survey software.
 .
 This package contains development files.

Package: libdewalls1
Description-md5: 1d89af0335a41731c5143dc7390e8244
Description-en: Parser library for Walls cave survey data
 The WALLS cave survey package stores its data in .srv files. dewalls
 is a parsing library for this file format. It is implemented in C++
 and intended to be used by other cave survey software.
 .
 This package is the library itself.

Package: libdframeworkdbus-dev
Description-md5: b9f8c7c443aedea29ad24c3a7d244cf5
Description-en: Qt DBus interface library for Deepin software (development files)
 Libdframeworkdbus provides Qt DBus interface for various Deepin software.
 It centralizes DBus-related code into single library for Deepin software
 written in Qt and get itself generated from handwritten XML DBus interface
 descriptions.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package provides development header files for libdframeworkdbus.

Package: libdframeworkdbus2
Description-md5: 87fd2292d3c7e6dbe335f6983d692ef3
Description-en: Qt DBus interface library for Deepin software (shared library)
 Libdframeworkdbus provides Qt DBus interface for various Deepin software.
 It centralizes DBus-related code into single library for Deepin software
 written in Qt and get itself generated from handwritten XML DBus interface
 descriptions.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: libdiagnostic-msgs-dev
Description-md5: 4142d0138045a6b36125434345edb941
Description-en: Messages relating to Robot OS diagnostic, C/C++ interface
 This package is part of Robot OS (ROS), and contains the messages
 which provide the standardized interface for the diagnostic and
 runtime monitoring systems in ROS. These messages are currently used
 by the https://wiki.ros.org/diagnostics stack, which provides
 libraries for simple ways to set and access the messages, as well as
 automated ways to process the diagnostic data.
 .
 This package contains the generated C/C++ header files.

Package: libdiagnostics-dev
Description-md5: 73ee4b69d083a311ea988765bbc46f19
Description-en: Logging, unittesting, and runtime diagnostics for C++ - development files
 Diagnostics is a unified framework for code annotation, logging,
 program monitoring, and unit-testing.
 .
 The annotations of diagnostics provide simple trace messages, tracing
 method entry and exit, checks (which potentially fail), asserts (which
 should never fail), invariance checking on method entry and exit, and
 a number of annotations for testing.
 .
 The annotations raise events which are can be observed and monitored.
 Using different loggers, the stream of logging events is used for
 logging or testing.
 .
 The package contains the needed headers and libraries for
 developing software using Diagnostics.

Package: libdiagnostics0
Description-md5: cd09205c5593b45897ba4343184323e0
Description-en: Logging, unittesting, and runtime diagnostics for C++ - library
 Diagnostics is a unified framework for code annotation, logging,
 program monitoring, and unit-testing.
 .
 The annotations of diagnostics provide simple trace messages, tracing
 method entry and exit, checks (which potentially fail), asserts (which
 should never fail), invariance checking on method entry and exit, and
 a number of annotations for testing.
 .
 The annotations raise events which are can be observed and monitored.
 Using different loggers, the stream of logging events is used for
 logging or testing.
 .
 The package contains the libraries for running software linked against
 Diagnostics.

Package: libdico2
Description-md5: eaaf55d14ffda8e0d48423b9a7314451
Description-en: RFC 2229 compliant modular dictionary server (shared library)
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package contains the shared library.

Package: libdicomscope-jni
Description-md5: 2bd83997f169e7fbee28dbeb6c330f54
Description-en: OFFIS DICOM Viewer (JNI files)
 DICOMscope is a free DICOM viewer which can display uncompressed, monochrome
 DICOM images from all modalities and which supports monitor calibration
 according to DICOM part 14 as well as presentation states.
 .
 DICOMscope offers a print client (DICOM Basic Grayscale Print Management)
 which also implements the optional Presentation LUT SOP Class.
 .
 The development of this prototype was commissioned by the "Committee for the
 Advancement of DICOM" and demonstrated at the European Congress of Radiology
 ECR 1999. An enhanced version was developed for the "DICOM Display Consistency
 Demonstration" at RSNA InfoRAD 1999.
 .
 The current release has been demonstrated at ECR 2001 and contains numerous
 extensions, including a print server, support for encrypted DICOM
 communication, digital signatures and structured reporting.
 .
 This package contains the architecture dependent JNI files.

Package: libdictzip-java
Description-md5: 1945414995e7138a8bb4fc060337fd55
Description-en: DictZip library for Java
 This package provides a Java library to access dictionary databases
 compressed with the LZ77 algorithm in a manner which is completely
 compatible with gzip(1), but using an extension that allows for random
 access to chunks of about 57kB without the overhead of decompressing
 the entire file.

Package: libdieharder-dev
Description-md5: 1c8865841b949edcc49bd1003e5c9db7
Description-en: Random-number generator test library -- development package
 libdieharder is the core library of dieharder and friends, designed to
 be "the last suite of random number testers you'll ever wear".  It can
 test any of its many prebuilt and library linked generators (basically
 all of those in the GNU Scientific Library plus a number of others from
 various sources) or a potentially random dataset in either an
 ascii-formatted or raw (presumed 32 bit uint) binary file.  It is fairly
 straightforward to wrap new software generators for testing, or to add
 hardware generators that have a software interface for testing, and the
 file input method permits pretty much any software or hardware RNG to be
 tested using libdieharder calls.
 .
 libdieharder has as a design goal the full encapsulation in an
 extensible shell of basically all the random number tests I have been
 able to find -- George Marsaglia's "diehard" battery of tests, STS
 (v1.6) from NIST FIPS, Knuth's tests, and more.  Check in the man
 page(s) or /usr/share/dieharder*/dieharder.pdf for documentation.
 .
 This package provides the header files and the pdf manual.

Package: libdieharder3
Description-md5: 80240baf718fcd133651c3e37d032680
Description-en: Random-number generator test library
 libdieharder is the core library of dieharder and friends, designed to
 be "the last suite of random number testers you'll ever wear".  It can
 test any of its many prebuilt and library linked generators (basically
 all of those in the GNU Scientific Library plus a number of others from
 various sources) or a potentially random dataset in either an
 ascii-formatted or raw (presumed 32 bit uint) binary file.  It is fairly
 straightforward to wrap new software generators for testing, or to add
 hardware generators that have a software interface for testing, and the
 file input method permits pretty much any software or hardware RNG to be
 tested using libdieharder calls.
 .
 libdieharder has as a design goal the full encapsulation in an
 extensible shell of basically all the random number tests I have been
 able to find -- George Marsaglia's "diehard" battery of tests, STS
 (v1.6) from NIST FIPS, Knuth's tests, and more.  Check in the man
 page(s) or /usr/share/dieharder*/dieharder.pdf for documentation.
 .
 This package provides the shared library.

Package: libdiet-dev
Description-md5: 333e7f49bd0ffe0e52553d9cfa00e165
Description-en: Compile-time indentation based template system -- development files
 Diet is a generic compile-time template system based on an XML-like
 structure. The syntax is heavily influenced by Pug (formerly "Jade")
 and Haml and outputting dynamic HTML is the primary goal. It supports
 pluggable transformation modules, as well as output modules, so that
 many other uses are possible.
 .
 This package contains development files for the D programming language.

Package: libdiet0
Description-md5: 58f6c6283f968f4dce32203ef6a9ab21
Description-en: Compile-time indentation based, XML structured template system
 Diet is a generic compile-time template system based on an XML-like
 structure. The syntax is heavily influenced by Pug (formerly "Jade")
 and Haml and outputting dynamic HTML is the primary goal. It supports
 pluggable transformation modules, as well as output modules, so that
 many other uses are possible.

Package: libdiffutils-java
Description-md5: 74912af5b59885b427a718d9f23438c3
Description-en: compute diffs and apply patches in Java
 Diff Utils library is a Java library for performing comparison
 operations between texts: computing diffs, applying patches,
 generating unified diffs or parsing them, generating diff output
 and so on.

Package: libdigest-bcrypt-perl
Description-md5: 0f8bc1d1fd6623add4561245ed2e78be
Description-en: Perl interface to the bcrypt digest algorithm
 Digest::Bcrypt provides an interface to the bcrypt digest algorithm.
 .
 This module subclasses Digest::base and can be used either directly
 or through the Digest meta-module. Using the latter is recommended.
 It is mostly a wrapper around Crypt::Eksblowfish::Bcrypt.

Package: libdigest-crc-perl
Description-md5: fe7d4ee5d8ea1560272c63e853d4a185
Description-en: Perl module providing generic CRC functions
 The Digest::CRC module calculates CRC sums of all sorts.
 It contains wrapper functions with the correct parameters for CRC-CCITT,
 CRC-16, CRC-32 and CRC-64. The module acts similar to libstring-crc32-perl,
 but implements the Digest interface.

Package: libdigest-elf-perl
Description-md5: 000be48d022000ad732aa57bdc90597e
Description-en: Perl extension for ElfHash
 Digest::Elf is an implementation of the ElfHash algorithm. It gives Perl
 programmers a convenient way to generate reasonably unique 32 bit ElfHash
 values as used in UNIX object files with ELF format.

Package: libdigest-jhash-perl
Description-md5: b7c82ef9c5fed78470b9ab3625df3620
Description-en: Perl extension for 32 bit Jenkins Hashing Algorithm
 The Digest::JHash module allows you to use the fast JHash hashing algorithm
 developed by Bob Jenkins from within Perl programs. The algorithm takes as
 input a message of arbitrary length and produces as output a 32-bit "message
 digest" of the input in the form of an unsigned long integer.
 .
 See http://burtleburtle.net/bob/hash/doobs.html for more information.

Package: libdigest-md2-perl
Description-md5: 0d82bd5fb16f57c984247133cdda6446
Description-en: MD2 Message Digest for Perl
 The Digest::MD2 module allows you to use the RSA Data Security Inc.
 MD2 Message Digest algorithm from within Perl programs.  The
 algorithm takes as input a message of arbitrary length and produces
 as output a 128-bit "fingerprint" or "message digest" of the input.
 .
 The Digest::MD2 programming interface is identical to the interface
 of Digest::MD5.  MD2 is described in RFC 1115.

Package: libdigest-md4-perl
Description-md5: 5b4996fc5bbdd228fba5edbd4dbe9471
Description-en: MD4 Message Digest for Perl
 The Digest::MD4 module allows you to use the RSA Data Security Inc. MD4
 Message Digest algorithm from within Perl programs.  The algorithm takes
 as imput a message of arbitrary length and produces a 128-bit "fingerprint"
 or "message digest" of the input.  MD4 is described in  RFC 1320.

Package: libdigest-md5-file-perl
Description-md5: c989bb0cd34d6a235bfa91a81e3761e9
Description-en: Perl extension for getting MD5 sums for files and urls
 Digest::MD5::File adds functionality for easy calculation of MD5 checksums of
 entire files, directories or URLs to the standard Digest::MD5 module.
 .
 Example usage:
 .
   use Digest::MD5::File;
   my $md5 = Digest::MD5->new;
   $md5->addpath('/path/to/file.txt');
   $md5->addpath(\@files);
   $md5->adddir('/home/tmbg/');
   $md5->addurl('http://www.tmbg.com/tour.html');
   my $digest = file_md5_hex($file);
   my $dir_hashref = dir_md5_hex($dir);
   my $digest = url_md5_hex($url);

Package: libdigest-perl-md5-perl
Description-md5: 0784a85a6065dfd3f0e4cb557066f728
Description-en: Perl Implementation of Rivest's MD5 algorithm
 Digest::Perl::MD5s has the same interface as the much faster Digest::MD5, but
 unlike that, it is not an interface but a Perl implementation of MD5. Because
 of this it is slow but it works without C-Code. You should use Digest::MD5
 instead of this module if it is available. This module is only useful for
 .
  - computers where you cannot install Digest::MD5 (e.g. lack of a C-Compiler)
  - encrypting only small amounts of data (less than one million bytes),
    e.g. hashing passwords
  - educational purposes

Package: libdigest-sha-perl
Description-md5: 64f8bb7b740bc814b3979ffc549b6869
Description-en: Perl extension for SHA-1/224/256/384/512, SHA-512/224 and SHA-512/256
 Digest::SHA is a complete implementation of the NIST Secure Hash Standard.
 It gives Perl programmers a convenient way to calculate SHA-1, SHA-224,
 SHA-256, SHA-384, SHA-512, SHA-512/224, and SHA-512/256 message digests.
 The module can handle all types of input, including partial-byte data.

Package: libdigest-sha3-perl
Description-md5: 500902662c033a524f265d8477180b45
Description-en: Perl extension for SHA-3
 Digest::SHA3 is a complete implementation of the NIST SHA-3
 cryptographic hash function, known originally as Keccak. It gives Perl
 programmers a convenient way to calculate SHA3-224, SHA3-256, SHA3-384,
 and SHA3-512 message digests, as well as variable-length hashes using
 SHAKE128 and SHAKE256. The module can handle all types of input,
 including partial-byte data.

Package: libdigest-ssdeep-perl
Description-md5: 2c84164c93c87ce88b1be609204a1a0b
Description-en: Pure Perl ssdeep (CTPH) fuzzy hashing
 Digest::ssdeep provides simple implementation of ssdeep fuzzy hashing
 also known as Context Triggered Piecewise Hashing (CTPH).

Package: libdigest-whirlpool-perl
Description-md5: f9354e647117b83d60884da2b46fae92
Description-en: A 512-bit, collision-resistant, one-way hash function
 Provides an interface to the WHIRLPOOL hash algorithm. This module
 subclasses Digest::base and can be used either directly or through
 the Digest meta-module. Using the latter is recommended.

Package: libdigidoc-common
Description-md5: f6555e0a4dae49ba1fd0afd1307c5279
Description-en: DigiDoc digital signature library common files
 DigiDoc is an XML file format for documents with digital signatures in use by
 the Estonian ID card infrastructure. This library allows for creation and
 reading of DigiDoc files.
 .
 This library implements a subset of the XAdES digital signature standard on
 top of Estonian-specific .ddoc container format.
 .
 This package contains common architecture-independent files for the
 applications using the DigiDoc digital signature library.

Package: libdigidoc-dev
Description-md5: 1c9db0e916a5e10894d4f94a44a06553
Description-en: DigiDoc digital signature development files
 DigiDoc is an XML file format for documents with digital signatures in use by
 the Estonian ID card infrastructure. This library allows for creation and
 reading of DigiDoc files.
 .
 This library implements a subset of the XAdES digital signature standard on
 top of Estonian-specific .ddoc container format.
 .
 This package provides the development files.

Package: libdigidoc-doc
Description-md5: 8d12dfa2226c52556166679fa3388e44
Description-en: DigiDoc digital signature library documentation
 DigiDoc is an XML file format for documents with digital signatures in use by
 the Estonian ID card infrastructure. This library allows for creation and
 reading of DigiDoc files.
 .
 This library implements a subset of the XAdES digital signature standard on
 top of Estonian-specific .ddoc container format.
 .
 This package contains documentation for developing applications with the
 DigiDoc digital signature library.

Package: libdigidoc-tools
Description-md5: 29116ac352c675a450e3911dd67fcadf
Description-en: DigiDoc digital signature library tools
 DigiDoc is an XML file format for documents with digital signatures in use by
 the Estonian ID card infrastructure. This library allows for creation and
 reading of DigiDoc files.
 .
 This library implements a subset of the XAdES digital signature standard on
 top of Estonian-specific .ddoc container format.
 .
 This package contains tools for manipulating signatures created with the
 DigiDoc digital signature library.

Package: libdigidoc2
Description-md5: 4cb042f96c50dd07b4e980b2a7b10311
Description-en: DigiDoc digital signature library
 DigiDoc is an XML file format for documents with digital signatures in use by
 the Estonian ID card infrastructure. This library allows for creation and
 reading of DigiDoc files.
 .
 This library implements a subset of the XAdES digital signature standard on
 top of Estonian-specific .ddoc container format.
 .
 This package provides the shared libraries.

Package: libdime-dev
Description-md5: 0cee8bf75f89bc011e901901c2606299
Description-en: DXF Import, Manipulation, and Export library - devel
 Dime is a C++ class library for reading, constructing, manipulating,
 and writing DXF file data.

Package: libdime-doc
Description-md5: d5c95e8a5e36eb9042e64a34cfc2bf06
Description-en: DXF Import, Manipulation, and Export library - devel
 Dime is a C++ class library for reading, constructing, manipulating,
 and writing DXF file data.
 .
 This dev package also contains doxygen generated documentation

Package: libdime-tools-perl
Description-md5: a011f4e54b7f074b946a91d9babab4ed
Description-en: modules to parse and generate DIME messages
 DIME::tools is a collection of DIME::* modules to parse and generate DIME
 encoded messages (Direct Internet Message Encapsulation). DIME::tools
 support single-record and chunked payloads for sending big attachments.

Package: libdime1
Description-md5: bfa5aa59d0267bd9157d8167dd9e1f65
Description-en: DXF Import, Manipulation, and Export library
 Dime is a C++ class library for reading, constructing, manipulating,
 and writing DXF file data.

Package: libdiodon0
Description-md5: a7613a8505e4afd12834d2faa6d9f0f6
Description-en: GTK+ Clipboard manager (main library)
 Diodon is a lightweight clipboard manager for Linux written in Vala which
 "aims to be the best integrated clipboard manager for the Gnome/Unity desktop".
 .
 Diodon features include Ubuntu indicator, clipboard sync (primary selection
 and Ctrl+C / Ctrl+V clipboard) and a zeitgeist integration for an infinite
 clipboard history.
 .
 This package contains the main library used by the Diodon. It is used both by
 the clipboard manager itself and by the plugins.
 .
 This library is only useful within Diodon. You should not have to
 manually install this package.

Package: libdir-purge-perl
Description-md5: 6224723e2f28e294ab256bb83fcc232b
Description-en: Purge directories to a given number of files
 Dir::Purge implements functions to reduce the number of files in a
 directory according to a strategy. It currently provides one strategy:
 removal of files by age.

Package: libdir-self-perl
Description-md5: 452bed0369ddb26851b523b4c702bd2d
Description-en: module providing a __DIR__ constant for the directory of the source file
 Perl has two pseudo-constants describing the current location in your source
 code, __FILE__ and __LINE__. Dir::Self adds __DIR__, which expands to the
 directory your source file is in, as an absolute pathname.
 .
 This is useful if your code wants to access files in the same directory, like
 helper modules or configuration data. This is a bit like FindBin except it's
 not limited to the main program, i.e. you can also use it in modules. And it
 actually works.

Package: libdirectfb-1.7-7
Description-md5: 4c07de1594699e35bcbfa04456bb888a
Description-en: direct frame buffer graphics (shared libraries)
 DirectFB is a graphics library which was designed with embedded systems
 in mind. It offers maximum hardware accelerated performance at a minimum
 of resource usage and overhead.
 .
 This package contains the shared libraries and drivers.

Package: libdirectfb-bin
Description-md5: 33a259e8636191712a640f418de3d116
Description-en: direct frame buffer graphics - binaries
 DirectFB is a graphics library which was designed with embedded systems
 in mind. It offers maximum hardware accelerated performance at a minimum
 of resource usage and overhead.
 .
 This package contains the DirectFB background configuration tool
 and the source generation tool.

Package: libdirectfb-dev
Description-md5: 8b640222d09c09d2e57034a9139adf8c
Description-en: direct frame buffer graphics library - development files
 DirectFB is a graphics library which was designed with embedded systems
 in mind. It offers maximum hardware accelerated performance at a minimum
 of resource usage and overhead.
 .
 This package contains the files needed to compile and link programs
 which use DirectFB.

Package: libdirectfb-extra
Description-md5: 2509b3235edf91be389585adda14eb19
Description-en: direct frame buffer graphics - extra providers
 DirectFB is a graphics library which was designed with embedded systems
 in mind. It offers maximum hardware accelerated performance at a minimum
 of resource usage and overhead.
 .
 This package contains the following providers:
 .
   * image PNG
   * image JPEG
   * font FreeType
   * system X11

Package: libdirectory-scratch-perl
Description-md5: 73e56c831431b7c0cb7f9a1789fc4862
Description-en: easy-to-use self-cleaning scratch space
 When writing test suites for modules that operate on files,
 it's often inconvenient to correctly create a platform-independent
 temporary storage space, manipulate files inside it, then clean it
 up when the test exits. The inconvenience usually results in tests
 that don't work everywhere, or worse, no tests at all.
 .
 Directory::Scratch aims to eliminate that problem by making it easy to
 do things right.

Package: libdirectory-scratch-structured-perl
Description-md5: 163a58fb1311ffaf258d6b9c0e77f02b
Description-en: module to create temporary files and directories from a structured description
 Directory::Scratch::Structured extends the Directory::Scratch module by
 adding a create_structured_tree subroutine, which can accept an Array or an
 Hash as arguments, creating files or directories, respectively.

Package: libdirgra-java
Description-md5: 9c3ac7a6cc2760a3087039bd63088379
Description-en: Java library providing a simple directed graph implementation
 A directed graph (or digraph) is a graph (that is a set of vertices
 connected by edges), where the edges have a direction associated with
 them.
 .
 This library is currently used in JRuby implementation but it's perfectly
 reusable for any other project requiring this kind of data structures.

Package: libdirgra-java-doc
Description-md5: 96044854d7ea40a3885d2088e50f83a2
Description-en: Documentation for dirgra
 A directed graph (or digraph) is a graph (that is a set of vertices
 connected by edges), where the edges have a direction associated with
 them.
 .
 This library is currently used in JRuby implementation but it's perfectly
 reusable for any other project requiring this kind of data structures.
 .
 This package contains the API documentation of libdirgra-java.

Package: libdisasm-dev
Description-md5: 0f0b6d103853173da7ee76a95313e2f4
Description-en: disassembler library for x86 code (development files)
 Libdisasm is a disassembler for Intel x86-compatible object code. It compiles
 as a shared and static library on Linux, FreeBSD, and Win32 platforms. The
 core disassembly engine is contained in files with the prefix "i386", and is
 shared with the x86 ARCH extension of the bastard disassembler.
 .
 This package contains the development files.

Package: libdisasm0
Description-md5: f6d957c73c0d6a8d56bddf725231940c
Description-en: disassembler library for x86 code
 Libdisasm is a disassembler for Intel x86-compatible object code. It compiles
 as a shared and static library on Linux, FreeBSD, and Win32 platforms. The
 core disassembly engine is contained in files with the prefix "i386", and is
 shared with the x86 ARCH extension of the bastard disassembler.

Package: libdiscid-dev
Description-md5: 7913462ea257bb6936e13f248507dc2c
Description-en: library for creating MusicBrainz DiscIDs (development files)
 libdiscid is a library for creating MusicBrainz DiscIDs from audio CDs.
 It reads a CD's table of contents (TOC) and generates an identifier which
 can be used to lookup the CD at MusicBrainz (http://musicbrainz.org).
 Additionally, it provides a submission URL for adding the DiscID to the
 database.
 .
 This is the development package which contains headers and libraries for
 libdiscid.

Package: libdiscid-doc
Description-md5: fcfa93615ae573d7d31e819bce1ae97f
Description-en: library for creating MusicBrainz DiscIDs (documentation)
 libdiscid is a library for creating MusicBrainz DiscIDs from audio CDs.
 It reads a CD's table of contents (TOC) and generates an identifier which
 can be used to lookup the CD at MusicBrainz (http://musicbrainz.org).
 Additionally, it provides a submission URL for adding the DiscID to the
 database.
 .
 This package constains the documentation for libdiscid.

Package: libdiscid0
Description-md5: 5344ed68feeead8556eb80f81eafd6f0
Description-en: library for creating MusicBrainz DiscIDs
 libdiscid is a library for creating MusicBrainz DiscIDs from audio CDs.
 It reads a CD's table of contents (TOC) and generates an identifier which
 can be used to lookup the CD at MusicBrainz (http://musicbrainz.org).
 Additionally, it provides a submission URL for adding the DiscID to the
 database.

Package: libdiscover-dev
Description-md5: 5d30d045780f63a6c98d585b41fa32e8
Description-en: hardware identification library development files
 libdiscover is a library enabling identification of various PCI,
 PCMCIA, and USB devices.
 .
 This package contains the header files and static libraries needed for
 development.

Package: libdiscover2
Description-md5: a63cd15b47aa4de06181b35cf2aa3955
Description-en: hardware identification library
 libdiscover is a library enabling identification of various PCI,
 PCMCIA, and USB devices.

Package: libdislocker0-dev
Description-md5: 9b70922e67c0196b8e37288c6f9a28ac
Description-en: read/write encrypted BitLocker volumes (development files)
 Dislocker has been designed to read BitLocker encrypted partitions under
 a Linux system. The driver used to read volumes encrypted in Windows system
 versions of the Vista to 10 and BitLocker-To-Go encrypted partitions,that's
 USB/FAT32 partitions.
 .
 The software works with driver composed of a library, with multiple binaries
 using this library. Decrypting the partition, you have to give it a mount
 point where, once keys are decrypted, a file named dislocker-file appears.
 This file is a virtual NTFS partition, so you can mount it as any NTFS
 partition and then read from or write to it. Writing to the NTFS virtual
 file will change the underlying BitLocker partition content.
 .
 This package provides the development files.

Package: libdislocker0.7
Description-md5: fc370998f2250f2980d60f100a0dd991
Description-en: read/write encrypted BitLocker volumes (shared library)
 Dislocker has been designed to read BitLocker encrypted partitions under
 a Linux system. The driver used to read volumes encrypted in Windows system
 versions of the Vista to 10 and BitLocker-To-Go encrypted partitions,that's
 USB/FAT32 partitions.
 .
 The software works with driver composed of a library, with multiple binaries
 using this library. Decrypting the partition, you have to give it a mount
 point where, once keys are decrypted, a file named dislocker-file appears.
 This file is a virtual NTFS partition, so you can mount it as any NTFS
 partition and then read from or write to it. Writing to the NTFS virtual
 file will change the underlying BitLocker partition content.
 .
 This package provides the runtime library.

Package: libdisorder-dev
Description-md5: cf4f9ed20368be3bb139ed42179f0e81
Description-en: library for entropy measurement of byte streams (devel)
 This library provides a function to calculate the Shannon index (H)
 of byte streams.
 .
 This is the development package containing the statically linked
 library and the header files.

Package: libdisorder-tools
Description-md5: 6c04beae5a70175758eac129ea491291
Description-en: entropy measurement of byte streams
 This package provides a tool to calculate the Shannon index (H)
 of the bytes in a file.
 .
 This package contains a small tool to demonstrate the functionality
 of the library.

Package: libdisorder0
Description-md5: a3605856859048e225c97c2adbec81e8
Description-en: library for entropy measurement of byte streams
 This library provides a function to calculate the Shannon index (H)
 of byte streams.
 .
 This package contains the dynamic library.

Package: libdispatch-class-perl
Description-md5: c8b74dc1873037ae5e6885d9a9cb594f
Description-en: dispatch on the type (class) of an argument
 This module offers a simple way to check the class of an object and
 handle specific cases specifically.
 .
 In other words this module provides a way to do specific tasks at run
 time depending on type of object passed, similar to run time
 polymorphism.

Package: libdispatch-dev
Description-md5: 519674ddc03e9c3c2348227ebd75ee8c
Description-en: development files for the Grand Central Dispatch API
 The libdispatch project consists of the user space implementation of
 the Grand Central Dispatch API as seen in Mac OS X version 10.6
 (Snow Leopard).
 .
 This package contains the headers, manpages, and other files needed
 to build programs that use libdispatch.

Package: libdispatch0
Description-md5: 2673612222ed201550e02b3361de1bc3
Description-en: user space implementation of the Grand Central Dispatch API
 The libdispatch project consists of the user space implementation of
 the Grand Central Dispatch API as seen in Mac OS X version 10.6
 (Snow Leopard).

Package: libdisplaymigration0
Description-md5: 3a0755c297c3660c5c345ba3a507a24c
Description-en: display migration support for GTK+ [runtime]
 This package contains runtime files for libdisplaymigration, a library
 which provides display migration support for GTK+. X properties are used to
 advertise that windows are capable of migration, and to request windows to
 migrate to a specified display.

Package: libdisplaymigration0-dev
Description-md5: 1a42944a82f1896ecd29e3d6097626d2
Description-en: display migration support for GTK+ [development]
 This package contains development files for libdisplaymigration, a library
 which provides display migration support for GTK+. X properties are used to
 advertise that windows are capable of migration, and to request windows to
 migrate to a specified display.

Package: libdisruptor-java
Description-md5: 9ca9359f56f9e05e17cb678c0daf4327
Description-en: Disruptor - High Performance Inter-Thread Messaging Library for Java
 The disruptor is a high-throughput and low-latency concurrency framework.
 It is designed to maximize the efficiency of memory allocation, and operate
 in a cache-friendly manner so that it will perform optimally on modern
 hardware.
 .
 At the heart of the disruptor mechanism sits a pre-allocated bounded data
 structure in the form of a ring-buffer. Data is added to the ring buffer
 through one or more producers and processed by one or more consumers.

Package: libdist-checkconflicts-perl
Description-md5: 3ed68cbbfaa3fbc4f2e4e4db8290e3f7
Description-en: module for declaring version conflicts for a distribution
 One shortcoming of the CPAN clients that currently exist is that they have no
 way of specifying conflicting downstream dependencies of modules.
 Dist::CheckConflicts attempts to work around this issue by allowing you to
 specify conflicting versions of modules separately, and deal with them after
 the module is done installing.
 .
 For instance, say you have a module Foo, and some other module Bar uses Foo.
 If Foo were to change its API in a non-backwards-compatible way, this would
 cause Bar to break until it is updated to use the new API. Foo can't just
 depend on the fixed version of Bar, because this will cause a circular
 dependency (because Bar is already depending on Foo), and this doesn't
 express intent properly anyway - Foo doesn't use Bar at all. The ideal
 solution would be for there to be a way to specify conflicting versions of
 modules in a way that would let CPAN clients update conflicting modules
 automatically after an existing module is upgraded, but until that happens,
 this module will allow users to do this manually.

Package: libdist-inkt-doap-perl
Description-md5: 7c7c6a1db1df782ca8e2ff5ab40a963e
Description-en: various DOAP-related roles for Dist::Inkt
 Dist::Inkt is a framework for writing your own distribution builder.
 It's a simple class that makes an empty directory, creates a MANIFEST
 file listing the contents of the directory, and then compresses it into
 a tarball.
 .
 Which seems pretty useless. However, it provides tonnes of hooks that
 can be used by roles applied to the class. Dist::Inkt::DOAP provides a
 collection of roles that help you populate the distribution's metadata
 (META.json, Changes, etc) from DOAP.

Package: libdist-inkt-perl
Description-md5: 3f49a5613acd92e969f8f26ea0695214
Description-en: yet another distribution builder
 Dist::Inkt itself does virtually nothing;
 it creates an empty directory, generates a MANIFEST file,
 and then wraps it all up into a gzipped tarball.
 But it provides various hooks along the way
 for subclasses to grab hold of.
 So the general idea is that you write a subclass of Dist::Inkt,
 which consumes various Moose::Roles to do the actual work
 of populating the distribution with files.
 .
 As such, Dist::Inkt is not so much a distribution builder,
 as it is a framework for writing your own distribution builder.
 .
 Several roles of varying utility are bundled with Dist::Inkt,
 as is Dist::Inkt::Profile::Simple,
 a subclass of Dist::Inkt which consumes most of these roles.

Package: libdist-inkt-profile-tobyink-perl
Description-md5: 9672d892b7ab9731153d3470d6f78cd7
Description-en: Dist::Inkt profile for TOBYINK
 Dist::Inkt::Profile::TOBYINK extends the perl distribution builder
 Dist::Inkt to behave distinktively as preferred by Toby Inkster.

Package: libdist-inkt-role-git-perl
Description-md5: 1820fc078079be973075da32703bfe34
Description-en: git functions for Dist::Inkt
 Dist::Inkt::Role::Git has the following functions:
 .
  * Prevents building the release if there are uncommitted changes.
  * Tags the release with the version number just before building the tarball.
 .
 Dist::Inkt is a framework for writing your own distribution builder.

Package: libdist-inkt-role-hg-perl
Description-md5: f1e107a4b9731da8fbe14e8365c8af40
Description-en: Mercurial-related behaviour for Dist::Inkt
 Dist::Inkt::Role::Hg extends the perl distribution builder Dist::Inkt
 with the following features:
  * Prevents a release from being built if there are uncommitted changes.
  * Does an << hg tag >> and `hg push` after release.

Package: libdist-inkt-role-release-perl
Description-md5: ecbb13b0d2fde5eaa146c29647a59bad
Description-en: automatically upload a distribution to the CPAN
 Dist::Inkt::Role::Release extends the perl distribution builder
 Dist::Inkt with an option to upload directly to CPAN

Package: libdist-inkt-role-test-kwalitee-perl
Description-md5: ede0e573e8a9eb0317341c188fbd1c09
Description-en: check a distribution's kwalitee at build time
 Dist::Inkt::Role::Test::Kwalitee extends Dist::Inkt with the ability to
 check the kwalitee of a distribution after building a tarball.

Package: libdist-inkt-role-test-perl
Description-md5: fd26f36379d3ac6f73fdb285302363a3
Description-en: run various tests on a distribution at build time
 Dist::Inkt::Role::Test exists to provide hooks for Dist::Inkt
 subclasses and other roles to run tests.
 .
 Bundled with this role are a few other roles that consume it in useful
 ways.

Package: libdist-metadata-perl
Description-md5: 6ce0137437e1f028c99b4cf24cb3b179
Description-en: module for getting information about a perl module distribution
 Dist::Metadata provides an easy interface for getting various metadata about
 a Perl module distribution.
 .
 This is mostly a wrapper around CPAN::Meta providing an easy interface to
 find and load the meta file from a tar.gz file. A dist can also be
 represented by a directory or merely a structure of data.
 .
 If the dist does not contain a meta file the module will attempt to determine
 some of that data from the dist.

Package: libdist-zilla-app-command-authordebs-perl
Description-md5: dba1b8ba6097e21cfc40f846f4c808e2
Description-en: List or install Dist::Zilla authors dependencies as Debian packages
 dzil authordebs uses Dist::Zilla::Util::AuthorDeps to scan the Perl modules
 required to build a Perl module using Dist::Zilla and list the corresponding
 Debian packages.
 .
 With --install option, the required packages are installed with sudo apt-get
 install, so you must have sudo configured properly.

Package: libdist-zilla-app-command-cover-perl
Description-md5: 5f362238c3ad6479dd1cf1223246c30b
Description-en: Run Devel::Cover from within Dist::Zilla
 Dist::Zilla::App::Command::cover is a command plugin for
 Dist::Zilla. It provides the dzil subcommand "cover", which generates
 code coverage metrics for your Dist:Zilla based distribution using
 Devel::Cover.
 .
 If there were any test errors, the cover command won't be run. Author
 and release tests are not run since they should not be counted
 against code coverage. Any additional command-line arguments are
 passed to Devel::Cover's cover command.

Package: libdist-zilla-config-slicer-perl
Description-md5: eed599bb45edd4582e3d623f8b2e4472
Description-en: Config::MVP::Slicer customized for Dist::Zilla
 Dist::Zilla::Config::Slicer is a subclass of Config::MVP::Slicer that
 overrides the default match_package to expand packages according to
 Dist::Zilla's rules.
 .
 It provides Dist::Zilla::Role::PluginBundle::Config::Slicer and
 Dist::Zilla::PluginBundle::ConfigSlicer, which enables config slicing
 into any Dist::Zilla plugin bundle.

Package: libdist-zilla-localetextdomain-perl
Description-md5: 5f28d26996f40a7e5e3c62ed8040440b
Description-en: Dist::Zilla plugin that adds support for managing l10n and i18n in Perl modules
 Dist::Zilla::LocaleTextDomain provides set of commands for managing
 internationalization and localization for Perl modules by using the
 Locale::TextDomain. You can just use this plugin and get all the tools you
 need to scan your Perl libraries for localizable strings, create a language
 template, and initialize translation files and keep them up-to-date.

Package: libdist-zilla-perl
Description-md5: 5947b5cbb1b8ee8b66eac64f1a12c47e
Description-en: Perl distribution builder
 Dist::Zilla builds distributions of code to be uploaded to the CPAN. In this
 respect, it is like ExtUtils::MakeMaker, Module::Build, or Module::Install.
 Unlike those tools, however, it is not also a system for installing code that
 has been downloaded from the CPAN. Since it's only run by authors, and is
 meant to be run on a repository checkout rather than on published, released
 code, it can do much more than those tools, and is free to make much more
 ludicrous demands in terms of prerequisites.
 .
 It's aim is to generate every file which is needed by a CPAN
 distribution, but usually generated and hence commonly not checked in
 into a VCS repository. For that it reads a file called dist.ini and
 gathers data from other files as instructured in that file.

Package: libdist-zilla-plugin-autometaresources-perl
Description-md5: 269f8525685c056e23180ce6512fd2d0
Description-en: Dist::Zilla plugin to ease filling "resources" metadata
 Dist::Zilla::Plugin::AutoMetaResources is a Dist::Zilla MetaProvider
 plugin that simplifies the addition of bugtracker and repository
 "resources" to the distribution metadata.

Package: libdist-zilla-plugin-bootstrap-lib-perl
Description-md5: bc06e3bcfe910cc4bd307a4873fb5679
Description-en: minimal boot-strapping for Dist::Zilla plugins
 Dist::Zilla::Plugin::Bootstrap::lib exists for loading either /lib or
 /Dist-Name-$VERSION/lib into your @INC early on.
 .
 This is mostly useful for writing Dist::Zilla plug-ins, so that you
 may build and release a plug-in using itself.

Package: libdist-zilla-plugin-bugtracker-perl
Description-md5: fefbc827b98deb907c7f22998758d0b5
Description-en: Dist::Zilla plugin to automatically sets the bugtracker URL and mailto
 Dist::Zilla::Plugin::Bugtracker is a Dist::Zilla plugin to automatically
 sets the distribution's bugtracker URL and possibly the email
 address as metadata.

Package: libdist-zilla-plugin-changelogfromgit-perl
Description-md5: ff13f389d77a13a7f98500749593fd83
Description-en: Dist::Zilla plugin to build a Changes file from a project's git log
 Dist::Zilla::Plugin::ChangelogFromGit is a Dist::Zilla plugin that writes a
 CHANGES file that contains formatted commit information from recent git
 logs.

Package: libdist-zilla-plugin-checkbin-perl
Description-md5: 2c2a32e472cf8850b556ff55c4d85561
Description-en: Dist::Zilla plugin for checking presence of command at build time
 Dist::Zilla::Plugin::CheckBin is a Dist::Zilla plugin that modifies
 the Makefile.PL or Build.PL in a distribution to contain a
 Devel::CheckBin call which asserts that a particular command is
 available.  If it is not available, the program exits with a status
 of zero, which on a CPAN Testers machine will result in a NA result.
 .
 This provides an alternative to Dist::Zilla::Plugin::RequiresExternal
 which instead creates a unit test for the presence of a command and
 so is interpreted as a package failure or bug instead of incorrectly
 installed.

Package: libdist-zilla-plugin-checkextratests-perl
Description-md5: 69c4c2ebf5b45c61b76b5094b4c6eafd
Description-en: dzil command to check xt tests before release
 This package provides a plugin command for Dist::Zilla build system for
 Perl libraries.
 .
 Once this package is installed, you can type "dzil xtest" to run the
 tests stored in xt directory.

Package: libdist-zilla-plugin-config-git-perl
Description-md5: 387bf7644b0b47cf92f5fdaed2b7061d
Description-en: Dist::Zilla Git plugin configuration settings module
 Dist::Zilla::Plugin::Config::Git is a configuration plugin for Git
 repo/branch information. A configuration plugin is sort of like a Stash, but
 is better suited for intra-plugin data sharing, using distro (not user) data.
 .
 Why use this? To provide a standard set of information to other Git plugins
 easily, especially if the repo data is non-standard, or if you need more than
 one set of data.

Package: libdist-zilla-plugin-emailnotify-perl
Description-md5: 3643a7437ec5d72110a36372ca203f5a
Description-en: dzil plugin to send an email on dist release
 Dist::Zilla::Plugin::EmailNotify module is a Dist::Zilla plugin that
 sends an email when releasing a Perl distribution. This email will
 contain the main release changes, authors and web pages.

Package: libdist-zilla-plugin-git-perl
Description-md5: bad2af52de25ed9682e7b9fe3b76cee7
Description-en: Dist::Zilla plugins to update your git repository after release
 Set of plugins for Dist::Zilla intended to help module authors that use
 git to track their work.
 .
 The following plugins are provided in this distribution:
  * Dist::Zilla::Plugin::Git::Check - check git repository before releasing
  * Dist::Zilla::Plugin::Git::Commit - commit dirty files
  * Dist::Zilla::Plugin::Git::CommitBuild - check build results on separate
    branch
  * Dist::Zilla::Plugin::Git::GatherDir - gather all tracked files in a Git
    working directory
  * Dist::Zilla::Plugin::Git::Init - initialize git repository on dzil new
  * Dist::Zilla::Plugin::Git::NextVersion - provide a version number by bumping
    the last git release tag
  * Dist::Zilla::Plugin::Git::Push - push current branch
  * Dist::Zilla::Plugin::Git::Tag - tag the new version

Package: libdist-zilla-plugin-githubmeta-perl
Description-md5: 2d95eb225079d66a9ff79d348745a207
Description-en: Automatically include GitHub meta information in META.yml
 Dist::Zilla::Plugin::GithubMeta is a Dist::Zilla plugin to include GitHub
 meta information in META.yml.
 .
 It automatically detects if the distribution directory is under git version
 control and whether the origin is a GitHub repository and will set the
 repository and homepage meta in META.yml to the appropriate URLs for GitHub.

Package: libdist-zilla-plugin-installguide-perl
Description-md5: e643144fd99a98e320814b923fbf0043
Description-en: Dist::Zilla plugin to generate installation instructions
 Dist::Zilla::Plugin::InstallGuide adds a very simple INSTALL file to a CPAN
 distribution, telling the user how to install it.
 .
 This plugin can be used in a Dist::Zilla configuration after
 [MakeMaker] or [ModuleBuild] so that it can determine what kind of
 distribution one is building, and which installation instructions
 are appropriate.

Package: libdist-zilla-plugin-localemsgfmt-perl
Description-md5: fad3890b4785cd946c89b3dfbe7bed17
Description-en: Dist::Zilla plugin to compile PO files with Locale::Msgfmt
 Dist::Zilla::Plugin::LocaleMsgfmt is a Dist::Zilla plugin that compiles
 PO files, found in a configurable location, to the binary (.mo) message
 catalog file format.
 .
 The resulting .mo files can then be included in the dist tarball generated
 by dzil build.

Package: libdist-zilla-plugin-makemaker-awesome-perl
Description-md5: 6932af06e8a158f2df345d987f98b0da
Description-en: Dist::Zilla plugin with more options than [MakeMaker]
 Dist::Zilla::Plugin::MakeMaker::Awesome is a Dist::Zilla plugin that
 generates a Makefile.PL making use of ExtUtils::MakeMaker.  It is
 similar to the Dist::Zilla::Plugin::MakeMaker --- it is actually a
 subclass of it --- but provides several extra options to run custom
 code on the generated Makefile.PL.

Package: libdist-zilla-plugin-makemaker-fallback-perl
Description-md5: 6acd7637244a307d2c9ab1d6131a3b42
Description-en: Dist::Zilla plugin that generates a Makefile.PL with deprecation warnings
 Dist::Zilla::Plugin::MakeMaker::Fallback is a Dist::Zilla plugin
 that will generate a Makefile.PL as fallback to a Build.PL.  The
 Makefile.PL will issue a warning about using a legacy toolchain,
 since modern tools will default to Build.PL.

Package: libdist-zilla-plugin-metaprovides-package-perl
Description-md5: 768523149d6709cca6506974cfba7d4d
Description-en: Dist::Zilla plugin to extract provides from traditional packages
 Dist::Zilla::Plugin::MetaProvides::Package is a Dist::Zilla plugin that
 populates the provides property of META.json and META.yml by absorbing
 it from your shipped modules, in a manner similar to how PAUSE itself
 does it.
 .
 This allows you to easily create an authoritative index of what module
 provides what version in advance of PAUSE indexing it, which PAUSE in
 turn will take verbatim.

Package: libdist-zilla-plugin-metaprovides-perl
Description-md5: 1cd40c50b381a8ec056082018e34168f
Description-en: Dist::Zilla plugin generating and populating "provides" in your META.yml
 Dist::Zilla::Plugin::MetaProvides is a Dist::Zilla plugin which
 generates and populates the "provides" field in the META.yml of your
 Dist::Zilla using Perl distribution.

Package: libdist-zilla-plugin-modulebuildtiny-fallback-perl
Description-md5: 8aab9729cc2232763fb1b45f03ec6f6a
Description-en: Dist::Zilla plugin that generates a Build.PL with fallback on Module::Build
 Dist::Zilla::Plugin::ModuleBuildTiny::Fallback is a Dist::Zilla
 plugin that provides a Build.PL in your distribution that attempts to
 use Module::Build::Tiny when available, falling back to Module::Build
 when it is missing and printing a warning about it.
 .
 This is useful when your distribution is installing on an older perl
 (before approximately 5.10.1) with a toolchain that has not been
 updated, where configure_requires metadata is not understood and
 respected -- or where Build.PL is being run manually without the user
 having read and understood the contents of META.yml or META.json.

Package: libdist-zilla-plugin-modulebuildtiny-perl
Description-md5: bf87b1e68a6e1b0678b151af141d3076
Description-en: Dist::Zilla plugin to create a Build.PL that uses Module::Build::Tiny
 Dist::Zilla::Plugin::ModuleBuildTiny is a Dist::Zilla plugin to
 create a Build.PL file that makes use of Module::Build::Tiny.
 .
 This provides an alternative to Dist::Zilla::Plugin::ModuleBuild
 which would create a Build.PL files that makes use of Module::Build
 as the underlying build system.

Package: libdist-zilla-plugin-mojibaketests-perl
Description-md5: 4544c6e4f4cf038456c86e68689f02cb
Description-en: Dist::Zilla plugin that provides author tests for source encoding
 Dist::Zilla::Plugin::MojibakeTests is a Dist::Zilla plugin that
 provides a standard Test::Mojibake author test which checks for
 inconsistencies in source and documentation encoding.

Package: libdist-zilla-plugin-ourpkgversion-perl
Description-md5: 4964c655aab9562cec1d643db586c2a8
Description-en: alternative to DZP::PkgVersion with "our" and no line insertion
 Dist::Zilla::Plugin::OurPkgVersion was created as an alternative to
 Dist::Zilla::Plugin::PkgVersion and uses some code from that module.
 .
 This module is designed to use the more readable format "our $VERSION
 = $version;" as well as to not change then number of lines of code in
 your files, which will keep your repository more in sync with your
 CPAN release. It also allows you slightly more freedom in how you
 specify your version, e.g. better adhere to Perl Best Practices (no
 code before strictures are enabled, etc.)

Package: libdist-zilla-plugin-podspellingtests-perl
Description-md5: c9c5cdf83ed5b188b18f702ac9bce16a
Description-en: Backward-compatibility wrapper around Dist::Zilla::Plugin::Test::PodSpelling
 Dist::Zilla::Plugin::PodSpellingTests is the old name of
 Dist::Zilla::Plugin::Test::PodSpelling.
 .
 This package contains the wrapper plugin
 Dist::Zilla::Plugin::PodSpellingTests which extends
 Dist::Zilla::Test::PodSpelling and adds nothing.
 .
 You can safely remove this transitional package if none of your
 Dist::Zilla based distributions uses "[PodSpellingTests]" anymore.

Package: libdist-zilla-plugin-podweaver-perl
Description-md5: 60d724d135ce440fe31dbcc3b90c95c2
Description-en: Dist::Zilla plugin to use Pod::Weaver to generate Pod documentation
 Dist::Zilla::Plugin::PodWeaver is the bridge between Dist::Zilla and
 Pod::Weaver. It rips apart your kinda-Pod and reconstructs it as boring old
 real Pod.

Package: libdist-zilla-plugin-prepender-perl
Description-md5: 355273b778ed3b0b22b93fa4c4113d42
Description-en: Dist::Zilla plugin to prepend lines at the top of your perl files
 Dist::Zilla::Plugin::Prepender will prepend lines specified in
 dist.ini to each Perl module or program within your Perl
 distribution. For scripts having a shebang line, lines will be
 inserted just after it.
 .
 This module is useful to enforce a set of pragmas to your files (since
 pragmas are lexical, they will be active for the whole file), or to
 add some copyright comments, as recommended by the Free Software
 Foundation.

Package: libdist-zilla-plugin-readmefrompod-perl
Description-md5: 8d0a3adce760255fe08a9fe561faf22b
Description-en: Dist::Zilla plugin to generate a README from Pod
 Dist::Zilla::Plugin::ReadmeFromPod is a Dist::Zilla plugin that
 generates a README from a specific module using Pod::Readme.  It
 supports the conversion to "html", "pod", "markdown" and "rtf"
 formats.

Package: libdist-zilla-plugin-repository-perl
Description-md5: 001f305bcc33d0285a58078798e71924
Description-en: Dist::Zilla plugin to discovery repository URL from svn/svk/Git checkout
 Dist::Zilla::Plugin::Repository is a Dist::Zilla plugin to automatically
 figure out repository URL and set it on the distribution metadata that will be
 stored in META.yml or META.json.

Package: libdist-zilla-plugin-requiresexternal-perl
Description-md5: 878e3ba2076193341366aa6dea129242
Description-en: Dist::Zilla plugin to declare dependency on command-line tools
 Dist::Zilla::Plugin::RequiresExternal creates a unit test in your distribution
 to check for the existence of executable command-line tools you require.
 Command-line tools can be declared as either an absolute path to an executable
 or the name of a command in the user's PATH environment.

Package: libdist-zilla-plugin-run-perl
Description-md5: ebf3ca7ebf545fb8718766a23e0acf98
Description-en: Dist::Zilla plugin to execute external commands
 Dist::Zilla::Plugin::Run uses specific hooks of Dist::Zilla to execute
 external command when running dzil. This module is useful to ship generated
 code in a Perl module distribution.

Package: libdist-zilla-plugin-templatefiles-perl
Description-md5: b69ce13f39f82fdecb8d413258b01c2d
Description-en: plugin that enables the use of templates in a Dist::Zilla distribution
 Dist::Zilla::Plugin::TemplateFiles is a Dist::Zilla plugin that utilize
 Text::Template to turn certain files into templates. Each template has
 available to it the `$dist` variable that is the instance of Dist::Zilla
 currently running.

Package: libdist-zilla-plugin-test-compile-perl
Description-md5: 1e761083bafdf19e6ce5ee1acdbde439
Description-en: common tests to check syntax of your modules, using only core modules
 Dist::Zilla::Plugin::Test::Compile is a Dist::Zilla plugin that runs
 at the gather files (Dist::Zilla::Role::FileGatherer) stage, and
 creates a test for correct perl file compilation only dependent on
 core modules.
 .
 This test finds all modules and scripts in your distribution and try
 to compile them one by one. This means it's a bit slower than loading
 them all at once but it will catch more errors.

Package: libdist-zilla-plugin-test-eol-perl
Description-md5: 03f57ac384e0ec5a7ece882b604734ec
Description-en: Dist::Zilla plugin to make sure that correct line endings are used
 Dist::Zilla::Plugin::Test::EOL adds xt/author/eol.t, a standard Test::EOL test.
 It is an extension of Dist::Zilla::Plugin::InlineFiles.
 .
 This plugin allows one to configure e.g. the FileFinder used for finding files
 to check.

Package: libdist-zilla-plugin-test-kwalitee-perl
Description-md5: 2a8e7d0d58fb86429f3c0f0ecb5b617f
Description-en: Dist::Zilla plugin providing a release-time Kwalitee test
 Dist::Zilla::Plugin::Test::Kwalitee is a Dist::Zilla plugin providing
 a release-time test which check your module's Kwalitee score.
 .
 It is an extension of Dist::Zilla::Plugin::InlineFiles, providing the
 following file:
 .
 xt/release/kwalitee.t - a standard Test::Kwalitee test

Package: libdist-zilla-plugin-test-notabs-perl
Description-md5: 7305c8136546d8c7075eb05c70cd8338
Description-en: Dist::Zilla plugin to make sure hard tabs are not used
 The Dist::Zilla::Plugin::Test::NoTabs plugin runs at the gather files
 stage. It provides the file xt/release/no-tabs.t, a standard
 Test::NoTabs test.
 .
 If needed, custom module and file finders may be provided by
 the user.

Package: libdist-zilla-plugin-test-perl-critic-perl
Description-md5: 8a520cf59a109f1566c87d5716bcf822
Description-en: Dist::Zilla plugin to check your code with perlcritic
 The Dist::Zilla::Plugin::Test::Perl::Critic plugin adds
 a t/author/critic.t test file. It checks your code against best
 practices, using perlcritic.
 .
 A custom perlcritic.rc may be provided.

Package: libdist-zilla-plugin-test-podspelling-perl
Description-md5: 6dc328ff50b8b5c50d234b38c2b46474
Description-en: Author tests for POD spelling
 The Dist::Zilla plugin Dist::Zilla::Plugin::Test::PodSpelling adds
 author tests to spell-check all POD in a distribution.
 .
 It's the successor of Dist::Zilla::Plugin::PodSpellingTests.

Package: libdist-zilla-plugin-test-reportprereqs-perl
Description-md5: 2a7ef5651458d3c06e29f994093ad37d
Description-en: module to report on prerequisite versions during automated testing
 The Dist::Zilla::Plugin::Test::ReportPrereqs plugin adds a
 t/00-report-prereqs.t test file. It reports the version of all modules listed
 in the distribution metadata prerequisites (including 'recommends',
 'suggests', etc.). However, any 'develop' prereqs are not reported (unless
 they show up in another category).
 .
 If a MYMETA.json file exists and CPAN::Meta is installed on the testing
 machine, MYMETA.json will be examined for prerequisites in addition, as it
 would include any dynamic prerequisites not set in the distribution metadata.
 .
 Versions are reported based on the result of parse_version from
 ExtUtils::MakeMaker, which means prerequisite modules are not actually loaded
 (which avoids various edge cases with certain modules). Parse errors are
 reported as "undef". If a module is not installed, "missing" is reported
 instead of a version string.

Package: libdist-zilla-plugin-twitter-perl
Description-md5: 657549f2669ed0a775b3279203da3367
Description-en: Twitter when you release with Dist::Zilla
 Dist::Zilla::Plugin::Twitter module will use Net::Twitter to send a
 release notice to Twitter. By default, it will include a short link to
 the release page of your module on http://metacpan.org.

Package: libdist-zilla-plugins-cjm-perl
Description-md5: 17c15c2129d81520f47d0d77a32815e5
Description-en: collection of CJM's plugins for Dist::Zilla
 Collection of Dist::Zilla plugins. This package features the
 following Perl modules:
 .
   * Dist::Zilla::Plugin::ArchiveRelease
   * Dist::Zilla::Plugin::GitVersionCheckCJM
   * Dist::Zilla::Plugin::MakeMaker::Custom
   * Dist::Zilla::Plugin::Metadata
   * Dist::Zilla::Plugin::ModuleBuild::Custom
   * Dist::Zilla::Plugin::RecommendedPrereqs
   * Dist::Zilla::Plugin::TemplateCJM
   * Dist::Zilla::Plugin::Test::PrereqsFromMeta
   * Dist::Zilla::Plugin::VersionFromModule
   * Dist::Zilla::Role::HashDumper
   * Dist::Zilla::Role::ModuleInfo

Package: libdist-zilla-role-bootstrap-perl
Description-md5: f8ccbe9c7a1eb9ba37554d3b2ce21f43
Description-en: Shared logic for Dist::Zilla-related bootstrap things
 Dist::Zilla::Role::Bootstrap is a Dist::Zilla role that aims to be
 consumed by plugins that want to perform some very early bootstrap
 operation that may affect the loading environment of successive
 plugins, especially with regards to plugins that may wish to build
 with themselves, either by consuming the source tree itself, or by
 consuming a previous built iteration.

Package: libdist-zilla-role-modulemetadata-perl
Description-md5: 7b7a062a86ad24bafc5fb41f4223e87f
Description-en: role for plugins that use Module::Metadata
 Dist::Zilla::Role::ModuleMetadata provides some common utilities for
 Dist::Zilla plugins which use Module::Metadata and the information
 that it provides.

Package: libdist-zilla-role-pluginbundle-pluginremover-perl
Description-md5: ce1fc5fff73e6d6ba0a668c412d50d4a
Description-en: Dist::Zilla plugin to add '-remove' functionality to a bundle
 Dist::Zilla::Role::PluginBundle::PluginRemover enables Dist::Zilla
 plugin bundles to automatically remove any plugins specified by the
 -remove attribute.  This is similar to the @Filter plugin but with
 less typing.

Package: libdist-zilla-util-configdumper-perl
Description-md5: d1fa933b29e68f4974ea8d26062fbfed
Description-en: simplify extraction of plugin settings for Dist::Zilla plugin authors
 Dist::Zilla::Util::ConfigDumper contains a utility function for use
 within the Dist::Zilla plugin ecosystem, to simplify extraction of
 plugin settings for plugin authors, in order for plugins like
 Dist::Zilla::Plugin::MetaConfig to expose those values to consumers.
 .
 Primarily, it specializes in:
 .
   * Making propagating configuration from the plugins inheritance
     hierarchy nearly foolproof.
   * Providing simple interfaces to extract values of lists of named
     methods or accessors
   * Providing a way to intelligently and easily probe the value of lazy
     attributes without triggering their vivification.

Package: libdist-zilla-util-test-kentnl-perl
Description-md5: 9d3fb5a831df56f49e600663b069cef9
Description-en: Module to write tests for Dist::Zilla plugins
 Dist::Zilla::Util::Test::KENTNL is a helper kit by KENTNL to write
 tests for Dist::Zilla plugins.
 .
 Upstream seems to no more recommend using this module for new
 projects as he writes: "Most of [his] modules should be moving to
 using the `dztest` model instead which is more flexible source side."

Package: libdistorm3-3
Description-md5: b7b1e674d940fd196a569f054ef67d14
Description-en: powerful disassembler library for x86/AMD64 binary streams
 diStorm3 is a binary stream disassembler library project.
 .
 With diStorm3, no more parsing strings is needed. diStorm3 is really a
 decomposer, which means it takes an instruction and returns a binary
 structure which describes it rather than static text. This is great for
 advanced binary code analysis.
 .
 This package provides the shared library.

Package: libdistorm3-dev
Description-md5: 63370da20cc5d7d6e0f6c293cd934326
Description-en: powerful disassembler library for x86/AMD64 binary streams (development files)
 diStorm3 is a binary stream disassembler library project.
 .
 With diStorm3, no more parsing strings is needed. diStorm3 is really a
 decomposer, which means it takes an instruction and returns a binary
 structure which describes it rather than static text. This is great for
 advanced binary code analysis.
 .
 This package provides the development files.

Package: libdivide-dev
Description-md5: 86d16c3a1a3ec13a8224324d301c5e24
Description-en: compile-time library for optimizing integer division
 libdivide allows you to replace expensive integer divides with comparatively
 cheap multiplication and bitshifts. Compilers usually do this, but only when
 the divisor is known at compile time. libdivide allows you to take advantage
 of it at runtime. The result is that integer division can become a lot faster.

Package: libdivsufsort-dev
Description-md5: f4673ecc2fd16a5f652b290957d05e59
Description-en: libdivsufsort header files
 The libdivsufsort project provides a fast, lightweight, and robust
 C API library to construct the suffix array and the Burrows-Wheeler
 transformed string for any input string of a constant-size alphabet.
 .
 This package installs files needed for development.

Package: libdivsufsort3
Description-md5: b08577aaa0c9b3ad46ab0d0de45b6957
Description-en: fast suffix array construction
 The libdivsufsort project provides a fast, lightweight, and robust
 C API library to construct the suffix array and the Burrows-Wheeler
 transformed string for any input string of a constant-size alphabet.
 .
 This package installs shared library files.

Package: libdjconsole-data
Description-md5: 4cc27f1eaac668b72ccc3aa0f76aa807
Description-en: Hercules DJ Console access library - data files
 The DJ Console library is a C++ library that facilitates access to the
 Hercules DJ Console MK I and MK II via libusb. It supports all non-audio
 functions such as LEDs, buttons and controllers.
 .
 This package contains the data files.

Package: libdjconsole-dev
Description-md5: a00ec0d8395fdc9720093682706fa316
Description-en: Hercules DJ Console access library - development headers
 The DJ Console library is a C++ library that facilitates access to the
 Hercules DJ Console MK I and MK II via libusb. It supports all non-audio
 functions such as LEDs, buttons and controllers.
 .
 This package contains the development headers.

Package: libdjconsole0
Description-md5: fccc94a68e3f6c3cb75fa9e66d191202
Description-en: Hercules DJ Console access library
 The DJ Console library is a C++ library that facilitates access to the
 Hercules DJ Console MK I and MK II via libusb. It supports all non-audio
 functions such as LEDs, buttons and controllers.

Package: libdkim-dev
Description-md5: 98155e971b2ed24ac4b205a9d61e838b
Description-en: cryptographically identify the sender of email
 DomainKeys Identified Mail (DKIM) defines a domain-level
 authentication framework for email using public-key cryptography and
 key server technology to permit verification of the source and
 contents of messages by either Mail Transport Agents (MTAs) or Mail
 User Agents (MUAs).
 .
 libdkim is a library, developed by Alt-N Technologies, that allows
 incorporating DKIM into an existing MTA or other application. It
 provides full support for signing, verifying, and SSP. See the
 changelogs for information on compliance with specifications.
 .
 This package contains the development files needed to compile and link
 programs with libdkim.

Package: libdkim1d
Description-md5: a702a02ea8e50e8d4994f12e90240300
Description-en: cryptographically identify the sender of email
 DomainKeys Identified Mail (DKIM) defines a domain-level
 authentication framework for email using public-key cryptography and
 key server technology to permit verification of the source and
 contents of messages by either Mail Transport Agents (MTAs) or Mail
 User Agents (MUAs).
 .
 libdkim is a library, developed by Alt-N Technologies, that allows
 incorporating DKIM into an existing MTA or other application. It
 provides full support for signing, verifying, and SSP. See the
 changelogs for information on compliance with specifications.

Package: libdkim1d-dbg
Description-md5: 4838654226e3a7995b0ddbf96f63a1a0
Description-en: DomainKeys Identified Mail (DKIM) library - debug symbols
 This package contains the debug symbols for libdkim1d, a library for
 server-level signing of email using the DKIM standard, and
 verification of the signatures. See the description of that package
 for more information.

Package: libdleyna-connector-dbus-1.0-1
Description-md5: c3dcbbc66160a5e1acd1f883142a6dce
Description-en: DBus connector module for the dLeyna services
 dleyna-connector-dbus is the loadable module providing DBus connectivity to
 the dLeyna services.
 .
 dLeyna is an umbrella project hosting a number of middleware components
 designed to make it easy for developers to integrate DLNA functionality into
 their applications.

Package: libdleyna-core-1.0-5
Description-md5: 0fc6f09ed841aaf0a8db98b485dd8181
Description-en: Utility functions for higher level dLeyna components
 dleyna-core is a library of utility functions that are used by the higher
 level dLeyna libraries that communicate with DLNA devices, e.g.,
 dleyna-server. In brief, it provides APIs for logging, error, settings and
 task management and an IPC abstraction API.
 .
 dLeyna is an umbrella project hosting a number of middleware components
 designed to make it easy for developers to integrate DLNA functionality into
 their applications.

Package: libdleyna-core-1.0-dev
Description-md5: 9807af0385045a5238b50424f0acce03
Description-en: Utility functions for higher level dLeyna components (development files)
 dleyna-core is a library of utility functions that are used by the higher
 level dLeyna libraries that communicate with DLNA devices, e.g.,
 dleyna-server. In brief, it provides APIs for logging, error, settings and
 task management and an IPC abstraction API.
 .
 dLeyna is an umbrella project hosting a number of middleware components
 designed to make it easy for developers to integrate DLNA functionality into
 their applications.
 .
 This package contains the development files.

Package: libdlib-data
Description-md5: d935692602eb81c14d3befe0cc5f20f2
Description-en: C++ toolkit for machine learning and computer vision - models
 Dlib is a general purpose cross-platform open source software library written
 in the C++ programming language. It now contains software components for
 dealing with networking, threads, graphical interfaces, complex data
 structures, linear algebra, statistical machine learning, image processing,
 data mining, XML and text parsing, numerical optimization, Bayesian networks,
 and numerous other tasks.
 .
 This package contains the trained models provided with dlib.

Package: libdlib-dev
Description-md5: 06d0e459404c359168d453d5f3cf7969
Description-en: C++ toolkit for machine learning and computer vision - development
 Dlib is a general purpose cross-platform open source software library written
 in the C++ programming language. It now contains software components for
 dealing with networking, threads, graphical interfaces, complex data
 structures, linear algebra, statistical machine learning, image processing,
 data mining, XML and text parsing, numerical optimization, Bayesian networks,
 and numerous other tasks.
 .
 This package contains the development headers.

Package: libdlib19
Description-md5: 59022a5ad4e3cd98a3a9c36b3ccc65a5
Description-en: C++ toolkit for machine learning and computer vision - library
 Dlib is a general purpose cross-platform open source software library written
 in the C++ programming language. It now contains software components for
 dealing with networking, threads, graphical interfaces, complex data
 structures, linear algebra, statistical machine learning, image processing,
 data mining, XML and text parsing, numerical optimization, Bayesian networks,
 and numerous other tasks.

Package: libdlna-dev
Description-md5: 9c441631077b578472d9b417ae722f4f
Description-en: development files for libdlna
 The reference open-source implementation of DLNA (Digital Living Network
 Alliance) standards. The primary goal is to provide DLNA support to uShare,
 an embedded UPnP A/V Media Server, but will be used to build both DLNA
 servers and players.
 .
 libdlna relies on FFMPEG libraries (libavformat and libavcodec)
 to handle and demux A/V streams.
 .
 The libdlna-dev package contains the header files, and
 debug versions of library.

Package: libdlna0
Description-md5: 7922e13eea1fe57d74af26595f65fbaa
Description-en: DLNA codec library
 The reference open-source implementation of DLNA (Digital Living Network
 Alliance) standards. The primary goal is to provide DLNA support to uShare,
 an embedded UPnP A/V Media Server, but will be used to build both DLNA
 servers and players.
 .
 libdlna relies on FFMPEG libraries (libavformat and libavcodec)
 to handle and demux A/V streams.
 .
 The libdlna0 package contains the runtime libraries for uPnP.

Package: libdlrestrictions-dev
Description-md5: fb7334f5c092bc11ad2eb318b1465d65
Description-en: development files for the DLRestrictions library
 This package provides development files needed to build both shared libraries,
 which specify their compatibility restrictions, and applications, which check
 whether those restrictions are satisfied before using those libraries. The
 package also contains a CMake module which simplifies building DLRestricted
 shared libraries with CMake.

Package: libdlrestrictions1
Description-md5: 28164c3828b6557ee21d394cbcb4648a
Description-en: library that implements library compatibility checks for dlopen()
 This package provides a DLRestrictions library that can be used to check
 compatibility of the external shared library (or plugin) against other
 libraries currently loaded in the global symbol object. It might help to avoid
 symbol clashes and provide means for graceful failure detection in advance
 before the first dlsym() call. Otherwise, usage of incompatible library might
 result in crashes or other unpredictable failures of the application.
 .
 A shared library that is protected by DLRestrictions provides a special symbol
 which defines conditions under which the library may be safely used. At the
 moment, the only restriction that may be imposed controls whether multiple
 SONAMEs of the same library can safely co-exist in the same symbol space.
 Shared library dependencies are also checked for compatibility.

Package: libdlt-dev
Description-md5: 54f1eb91b4cdeca1ba3fc402bcfbbe30
Description-en: Diagnostic Log and Trace (DLT) library (development)
 This component provides a log and trace interface, based on the standardised
 protocol specified in the AUTOSAR standard 4.0 DLT. This software can be used
 by GENIVI components and other applications as logging facility.
 .
 DLT library is used by apps that need to log to a DLT daemon directly and by
 apps that want to read logs from DLT daemon. This package provides development
 files.

Package: libdlt-examples
Description-md5: 4484f9762e15a7da08ef4ebafeac8188
Description-en: Diagnostic Log and Trace (DLT) (documentation)
 This component provides a log and trace interface, based on the standardised
 protocol specified in the AUTOSAR standard 4.0 DLT. This software can be used
 by GENIVI components and other applications as logging facility.
 .
 This package includes example apps and test tools for DLT server.

Package: libdlt2
Description-md5: d6814024c8e5df424baa7093cec4ba20
Description-en: Diagnostic Log and Trace (DLT) library
 This component provides a log and trace interface, based on the standardised
 protocol specified in the AUTOSAR standard 4.0 DLT. This software can be used
 by GENIVI components and other applications as logging facility.
 .
 DLT library is used by apps that need to log to a DLT daemon directly and by
 apps that want to read logs from DLT daemon.

Package: libdmalloc-dev
Description-md5: 5e717a45e60ff0a6bbcf05dbbe79349a
Description-en: debug memory allocation library (development files and doc)
 Drop in replacement for the system's `malloc', `realloc', `calloc', `free' and
 other memory management routines while providing powerful debugging facilities
 configurable at runtime.
 .
 These facilities include such things as memory-leak tracking, fence-post write
 detection, file/line number reporting, and general logging of statistics.
 .
 This package contains the static libraries and documentation.

Package: libdmalloc5
Description-md5: ead0076894bcd7b4631df8eb654dc9c6
Description-en: debug memory allocation library
 Drop in replacement for the system's `malloc', `realloc', `calloc', `free' and
 other memory management routines while providing powerful debugging facilities
 configurable at runtime.
 .
 These facilities include such things as memory-leak tracking, fence-post write
 detection, file/line number reporting, and general logging of statistics.
 .
 This package contains only the shared libraries, the development files and
 documentation is in the libdmalloc-dev package.

Package: libdmr-dev
Description-md5: 1e51654244aa61c328d13fd7d3ed3297
Description-en: Deepin movie player - widget library (development files)
 Deepin Movie provides an intuitive easy to use operation interface and rich
 complete shortcuts. You can complete all play operations by keyboard, which
 will make you thoroughly get rid of the constraint of mouse click. Video files
 in various formats can be played through Deepin Movie, and you can use the
 streaming function to easily enjoy online video resources.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains the header files for the widget library.

Package: libdmr0.1
Description-md5: 35ee971a9070e4f2351927007354b6e2
Description-en: Deepin movie player - widget library
 Deepin Movie provides an intuitive easy to use operation interface and rich
 complete shortcuts. You can complete all play operations by keyboard, which
 will make you thoroughly get rid of the constraint of mouse click. Video files
 in various formats can be played through Deepin Movie, and you can use the
 streaming function to easily enjoy online video resources.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains the widget library.

Package: libdmtx-dev
Description-md5: 9ecdb01c9723adb0bac14acd76b0b5ab
Description-en: Data Matrix barcodes (development files and static libraries)
 Libdmtx is a library for reading and writing Data Matrix 2D barcodes,
 two-dimensional symbols that hold a dense pattern of data with built-in error
 correction.
 .
 This package contains the static libraries and C header files needed for
 development of applications with Libdmtx.

Package: libdmtx0b
Description-md5: 9fbaebda0908eba2717eb1060664e2e5
Description-en: Data Matrix barcodes (runtime library)
 Libdmtx is a library for reading and writing Data Matrix 2D barcodes,
 two-dimensional symbols that hold a dense pattern of data with built-in error
 correction.
 .
 This package provides the Libdmtx runtime library.

Package: libdnet
Description-md5: 44520c0b17ec0bca27fe63ef3411b5d1
Description-en: DECnet Libraries
 This package contains the libraries necessary for a functioning DECnet
 system. Most DECnet programs require these libraries to be present on
 the system. The libraries are:
 libdnet        - the basic DECnet API as featured on Ultrix(R) systems
 libdnet_daemon - useful calls for writing DECnet daemons
 libdap         - DAP (Data Access Protocol) C++ classes
 librms         - High level library for programmatic access VMS files
                  from Linux.
 .
 To do useful work with DECnet you will also need the dnet-common
 package.
 .
 If you're looking for libdnet, the "dumb" networking library from
 libdnet.sf.net by Dug Song then you should install libdumbnet instead.
 Sorry for any confusion caused!

Package: libdnet-dev
Description-md5: 5c6c028b8c5b08b3f93ec2d269e14b4d
Description-en: DECnet development libraries & Headers
 Theses are the development libraries for Linux DECnet. This package contains
 the static libraries, header files and man pages for DECnet development
 on Linux.

Package: libdnlib-cil-dev
Description-md5: f0c436eab70c65d903cf5ef1fdf4a768
Description-en: .NET module/assembly reader/writer library for Mono - devel package
 dnlib was written as a robust .NET assembly library that can handle
 all types of obfuscated assemblies, to be used with de4dot.
 .
 This package contains development files for dnlib and will always
 pull in the current default version - and should be used for compilation.

Package: libdnlib2.1-cil
Description-md5: 8c4bd8d2504030ea17e73a232f8e13c5
Description-en: .NET module/assembly reader/writer library for Mono
 dnlib was written as a robust .NET assembly library that can handle
 all types of obfuscated assemblies, to be used with de4dot.

Package: libdns-zoneparse-perl
Description-md5: b6a8afcecfc0b4b9bb107c2b0371d03b
Description-en: Perl extension for parsing and manipulating DNS Zone Files
 DNS::ZoneParse will parse a Zone File and put all the Resource Records (RRs)
 into an anonymous hash structure. At the moment, the following types of RRs
 are supported: SOA, NS, MX, A, CNAME, TXT, PTR. It could be useful for
 maintaining DNS zones, or for transferring DNS zones to other servers. If you
 want to generate an XML-friendly version of your zone files, it is easy to
 use XML::Simple with this module once you have parsed the zonefile.
 .
 DNS::ZoneParse scans the DNS zonefile - removes comments and seperates
 the file into its constituent records. It then parses each record and
 stores the records internally.

Package: libdnsjava-java
Description-md5: 6206408d24447cc871b17e9142e4de31
Description-en: Implementation of DNS in Java
 dnsjava is an implementation of DNS in Java. It can be used for queries,
 zone transfers, and dynamic updates. It includes a cache which can be
 used by clients, and a minimal implementation of a server.

Package: libdnssec6
Description-md5: 9852d9f3239a2e68ca33e00ef75db1f3
Description-en: DNSSEC shared library from Knot
 Knot DNS is a fast, authoritative only, high performance, feature
 full and open source name server.
 .
 Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ
 registry and hence is well suited to run anything from the root
 zone, the top-level domain, to many smaller standard domain names.
 .
 This package provides common DNSSEC shared library used by Knot DNS
 and Knot Resolver.

Package: libdnssecjava-java
Description-md5: 4732d4db25767240f8899de75b126f3e
Description-en: DNSSEC validating stub resolver for Java
 dnssecjava is an implementation of a DNSSEC validating stub
 resolver in Java. It is meant to be used as a resolver when
 using the dnsjava library.

Package: libdnssecjava-java-doc
Description-md5: 0962197eb74867735c6622a47f182234
Description-en: DNSSEC validating stub resolver for Java (documentation)
 dnssecjava is an implementation of a DNSSEC validating stub
 resolver in Java. It is meant to be used as a resolver when
 using the dnsjava library.
 .
 This package contains the API documentation of libdnssecjava-java.

Package: libdockapp-dev
Description-md5: 47f1f867cba430d28f7c51d72cc33cda
Description-en: Window Maker Dock App support (development files)
 Simple library that eases the creation of Window Maker dock apps. It
 provides functions that set up a dock app in such a way that they can be
 properly docked. It also provides some event handlers and makes it very
 simple to write dockapps.
 .
 This package contains the static library and the required headers. Use it
 to compile programs which use libdockapp.

Package: libdockapp3
Description-md5: 8092f3bf6d00111c646e2f7c45fe495e
Description-en: Window Maker Dock App support (shared library)
 Simple library that eases the creation of Window Maker dock apps. It
 provides functions that set up a dock app in such a way that they can be
 properly docked. It also provides some event handlers and makes it very
 simple to write dockapps.
 .
 This package contains the shared library. Install this for programs that
 use libdockapp.

Package: libdocopt-dev
Description-md5: 3b951919bd25cd6fa2c3424528691856
Description-en: development files for docopt.cpp
 With docopt, the option parser is generated based on the program's docstring.
 docopt parses the usage pattern ("Usage: ...") and option descriptions (lines
 starting with dash "-") and ensures that the program invocation matches the
 usage pattern. It parses options, arguments and commands based on that. The
 basic idea is that a good help message has all necessary information in it to
 make a parser.
 .
 This is a port of the docopt Python module to C++ with a focus on maintaining
 full feature parity (and code structure) as the original. The differences from
 the Python port are:
 .
   - The addition of a docopt_parse function, which does not terminate the
     program on error a docopt::value type to hold the various value types that
     can be parsed.
 .
   - Because C++ is statically-typed and Python is not, some changes were made
     to the interfaces of the internal parse tree types.
 .
   - Because std::regex does not have an equivalent to Python's regex.split,
     some of the regex's had to be restructured and additional loops used.
 .
 This package provides the development files.

Package: libdocopt0
Description-md5: e6a4e1ab2151609fc5b21223b78da9a0
Description-en: C++11 port of docopt
 With docopt, the option parser is generated based on the program's docstring.
 docopt parses the usage pattern ("Usage: ...") and option descriptions (lines
 starting with dash "-") and ensures that the program invocation matches the
 usage pattern. It parses options, arguments and commands based on that. The
 basic idea is that a good help message has all necessary information in it to
 make a parser.
 .
 This is a port of the docopt Python module to C++ with a focus on maintaining
 full feature parity (and code structure) as the original. The differences from
 the Python port are:
 .
   - The addition of a docopt_parse function, which does not terminate the
     program on error a docopt::value type to hold the various value types that
     can be parsed.
 .
   - Because C++ is statically-typed and Python is not, some changes were made
     to the interfaces of the internal parse tree types.
 .
   - Because std::regex does not have an equivalent to Python's regex.split,
     some of the regex's had to be restructured and additional loops used.
 .
 This package provides the shared libraries.

Package: libdogleg-dev
Description-md5: 1be800fdfe9996453e20c86839aed87c
Description-en: Powell's dog-leg nonlinear least squares solver for sparse matrices
 Solves unconstrained nonlinear least squares problems using Powell's dog-leg
 method. The user specifies a callback C function that returns the value and
 gradients of the model function at a particular operating point. This library
 takes a series of dog-leg steps to find a local minimum of the residual
 surface.
 .
 This library works with sparse matrices, which makes it suitable for
 efficiently solving very large problems.
 .
 Development files

Package: libdogleg-doc
Description-md5: ea7352bc0bb27a70151a272954bb8641
Description-en: Powell's dog-leg nonlinear least squares solver for sparse matrices
 Solves unconstrained nonlinear least squares problems using Powell's dog-leg
 method. The user specifies a callback C function that returns the value and
 gradients of the model function at a particular operating point. This library
 takes a series of dog-leg steps to find a local minimum of the residual
 surface.
 .
 This library works with sparse matrices, which makes it suitable for
 efficiently solving very large problems.
 .
 This package contains the documentation

Package: libdogleg2
Description-md5: 37febc8dd44f0e9d04ee3cbf30f325c0
Description-en: Powell's dog-leg nonlinear least squares solver for sparse matrices
 Solves unconstrained nonlinear least squares problems using Powell's dog-leg
 method. The user specifies a callback C function that returns the value and
 gradients of the model function at a particular operating point. This library
 takes a series of dog-leg steps to find a local minimum of the residual
 surface.
 .
 This library works with sparse matrices, which makes it suitable for
 efficiently solving very large problems.

Package: libdokujclient-java
Description-md5: 10fef0f3a36527cccca688286c9b5e5c
Description-en: Client library for Dokuwiki's xmlrpc interface
 Java library which can be used in other programs, to interact
 (read, write, ...) with an instance of Dokuwiki.
 Nearly all the xmlrpc interface is mirrored, and all recent versions
 of Dokuwiki are supported.

Package: libdokujclient-java-doc
Description-md5: 0a5aae0780a884e7a3c74574015825e2
Description-en: Client for Dokuwiki's xmlrpc interface -- documentation
 Java library which can be used in other programs, to interact
 (read, write, ...) with an instance of Dokuwiki.
 Nearly all the xmlrpc interface is mirrored, and all recent versions
 of Dokuwiki are supported.
 .
 This package contains the API documentation of libdokujclient-java.

Package: libdolfin-dev
Description-md5: 08a78e58d5d7e1a91019b0754c56fa90
Description-en: Shared links and header files for DOLFIN
 DOLFIN is the Python and C++ interface of the FEniCS project for the
 automated solution of differential equations, providing a consistent
 PSE (Problem Solving Environment) for solving ordinary and partial
 differential equations. Key features include a simple, consistent and
 intuitive object-oriented API; automatic and efficient evaluation of
 variational forms; automatic and efficient assembly of linear
 systems; and support for general families of finite elements.
 .
 This package contains the development files.

Package: libdolfin2019.1
Description-md5: 36b945ea393f2c4a8fe500c27fbbb1a7
Description-en: Shared libraries for DOLFIN
 DOLFIN is the Python and C++ interface of the FEniCS project for the
 automated solution of differential equations, providing a consistent
 PSE (Problem Solving Environment) for solving ordinary and partial
 differential equations. Key features include a simple, consistent and
 intuitive object-oriented API; automatic and efficient evaluation of
 variational forms; automatic and efficient assembly of linear
 systems; and support for general families of finite elements.
 .
 This package contains the shared libraries.

Package: libdolphinvcs-dev
Description-md5: a95616605b7e3694875d0f847b735384
Description-en: library to show version control in Dolphin - development files
 This library enables developers to expand Dolphin with the ability to show
 version control status in directories that are version controlled.

Package: libdolphinvcs5
Description-md5: a730b4d639f97130ae8a4b0244a1c33c
Description-en: library to show version control in Dolphin
 This library enables developers to expand Dolphin with the ability to show
 version control status in directories that are version controlled.

Package: libdom4j-java
Description-md5: 48c920e9db0616965000e7b154772ddb
Description-en: Flexible XML framework for Java
 dom4j is a library for working with XML, XPath and XSLT on the Java
 platform using the Java Collections Framework and with full support
 for DOM, SAX and JAXP.

Package: libdom4j-java-doc
Description-md5: 9a08723ba285871f9bf878fd856a5c1e
Description-en: Flexible XML framework for Java (documentation)
 dom4j is a library for working with XML, XPath and XSLT on the Java
 platform using the Java Collections Framework and with full support
 for DOM, SAX and JAXP.
 .
 This package contains the documentation for dom4j, including the API
 Javadoc.

Package: libdomain-name-ocaml
Description-md5: 9c5037889209840478ff8bcec0ffe55f
Description-en: RFC 1035 Internet domain names (runtime)
 This module provides an abstract type for domain names, as defined by
 RFC 1035. It provides useful functions that operate on domain names
 while guaranteeing invariants such as the length limit.
 .
 This package contains the shared runtime libraries.

Package: libdomain-name-ocaml-dev
Description-md5: 3aba80fe901abcb3a494429d3376c2c1
Description-en: RFC 1035 Internet domain names (dev)
 This module provides an abstract type for domain names, as defined by
 RFC 1035. It provides useful functions that operate on domain names
 while guaranteeing invariants such as the length limit.
 .
 This package contains the development files needed for programming
 with the library.

Package: libdomain-publicsuffix-perl
Description-md5: 339b863039f0ba6f47c5feb97eb95f47
Description-en: module for parsing a domain to determine the public suffix
 Domain::PublicSuffix utilizes the "effective_tld_names.dat" provided by
 Mozilla as a way to effectively reduce a fully qualified domain name down to
 the absolute root. The Mozilla PublicSuffix file is an open source, fully
 documented format that shows absolute root TLDs, primarily for Mozilla's
 browser products to be able to determine how far a cookie's security
 boundaries go.
 .
 This module will attempt to search etc directories in
 /usr/share/publicsuffix, /usr, /usr/local, and /opt/local for the
 effective_tld_names.dat file. If a file is not found, a default file
 is loaded from Domain::PublicSuffix::Default, which is current at the
 time of the module's release.

Package: libdontdie0
Description-md5: 05ad6e91751a879dd082b4995395da53
Description-en: library that sets the TCP keep-alive flag when applications call socket(2)
 libdontdie is a shared library that can be injected into  any  application
 with the LD_PRELOAD mechanism.
 It is completely transparent to the application and therefore works equally
 well with closed-source programs and with languages like Java that do not
 natively allow changing the TCP keep-alive parameters.

Package: libdoodle-dev
Description-md5: b77add10d9d77b2b1d88142fcbfdec6b
Description-en: Desktop Search Engine (development)
 It searches your hard drive for files using pattern matching on meta-data. It
 extracts file-format specific meta-data using libextractor and builds a suffix
 tree to index the files. The index can then be searched rapidly. It is similar
 to locate, but can take advantage of information such as ID3 tags. It is
 possible to do full-text indexing using the appropriate libextractor plugins.
 It also supports using FAM to keep the database up-to-date.
 .
 This is the library to access the doodle database from other applications
 (development files).

Package: libdoodle1
Description-md5: fbadc847f7795bccd296a03c3718d865
Description-en: Desktop Search Engine (library)
 It searches your hard drive for files using pattern matching on meta-data. It
 extracts file-format specific meta-data using libextractor and builds a suffix
 tree to index the files. The index can then be searched rapidly. It is similar
 to locate, but can take advantage of information such as ID3 tags. It is
 possible to do full-text indexing using the appropriate libextractor plugins.
 It also supports using FAM to keep the database up-to-date.
 .
 This is the library to access the doodle database from other applications.

Package: libdose3-ocaml
Description-md5: 783d0f8b9134a04ccf90a2e3abf2b6d4
Description-en: OCaml libraries for package dependencies (runtime files)
 Dose3 is a framework consisting of several OCaml libraries for analysing
 the metadata of software packages (like Packages files of Debian suites).
 .
 Though not tied to any particular distribution, Dose3 constitutes a
 pool of libraries which enable analyzing packages coming from various
 distributions.
 .
 Besides basic functionalities for querying and setting package
 properties, dose3 also implements algorithms for solving more complex
 problems (monitoring package evolutions, correct and complete
 dependency resolution, repository-wide uninstallability checks).
 .
 This package contains only the shared runtime stub libraries.

Package: libdose3-ocaml-dev
Description-md5: 51505242f01986878ed08b98befde9b2
Description-en: OCaml libraries for package dependencies (development files)
 Dose3 is a framework consisting of several OCaml libraries for analysing
 the metadata of software packages (like Packages files of Debian suites).
 .
 Though not tied to any particular distribution, Dose3 constitutes a
 pool of libraries which enable analyzing packages coming from various
 distributions.
 .
 Besides basic functionalities for querying and setting package
 properties, dose3 also implements algorithms for solving more complex
 problems (monitoring package evolutions, correct and complete
 dependency resolution, repository-wide uninstallability checks).
 .
 This package contains the development stuff you need to use dose3 for
 the development of programs.

Package: libdouble-conversion-dev
Description-md5: cd3f7b674deba7a3f95084787c0ee3f8
Description-en: routines to convert IEEE floats to and from strings (development files)
 This library provides routines to convert IEEE single and double floats to and
 from string representations. It offers at lot of flexibility with respect to
 the conversion format: shortest, fixed, precision or exponential
 representation; decimal, octal or hexadecimal basis; control over number of
 digits, leading/trailing zeros and spaces.
 .
 The library consists of efficient conversion routines that have been
 extracted from the V8 JavaScript engine. The code has been refactored
 and improved so that it can be used more easily in other projects.
 .
 This package contains a static version of the library and development headers.

Package: libdouble-conversion3
Description-md5: d76bc610d27922197310df91f331561e
Description-en: routines to convert IEEE floats to and from strings
 This library provides routines to convert IEEE single and double floats to and
 from string representations. It offers at lot of flexibility with respect to
 the conversion format: shortest, fixed, precision or exponential
 representation; decimal, octal or hexadecimal basis; control over number of
 digits, leading/trailing zeros and spaces.
 .
 The library consists of efficient conversion routines that have been
 extracted from the V8 JavaScript engine. The code has been refactored
 and improved so that it can be used more easily in other projects.
 .
 This package contains a shared version of the library.

Package: libdoxia-core-java
Description-md5: 6a0eed4e4f542626802bc1ed2009480c
Description-en: Doxia content generation framework (core)
 Doxia is a content generation framework which aims to provide its users
 with powerful techniques for generating static and dynamic content.
 .
 Doxia can be used to generate static sites in addition to being
 incorporated into dynamic content generation systems like blogs, wikis
 and content management systems.
 .
 Doxia is used extensively by Maven and it powers the entire
 documentation system of Maven. It gives Maven the ability to take any
 document that Doxia supports and output it any format.
 .
 This package contains the core modules (doxia-core, doxia-sink-api and
 doxia-logging-api).

Package: libdoxia-java
Description-md5: 21e07153ed84faa457f1a4367bfd97fc
Description-en: Doxia content generation framework (modules)
 Doxia is a content generation framework which aims to provide its users
 with powerful techniques for generating static and dynamic content.
 .
 Doxia can be used to generate static sites in addition to being
 incorporated into dynamic content generation systems like blogs, wikis
 and content management systems.
 .
 Doxia is used extensively by Maven and it powers the entire
 documentation system of Maven. It gives Maven the ability to take any
 document that Doxia supports and output it any format.

Package: libdoxia-java-doc
Description-md5: 001791e333ad55ac025e74897e1b4bde
Description-en: Documentation for libdoxia-java
 Doxia is a content generation framework which aims to provide its users
 with powerful techniques for generating static and dynamic content.
 .
 Doxia can be used to generate static sites in addition to being
 incorporated into dynamic content generation systems like blogs, wikis
 and content management systems.
 .
 Doxia is used extensively by Maven and it powers the entire
 documentation system of Maven. It gives Maven the ability to take any
 document that Doxia supports and output it any format.
 .
 This package provides the API documentation for Doxia.

Package: libdoxia-sitetools-java
Description-md5: 45dfe56eb75f41c670b7821912a76fa1
Description-en: Extension package of the content generation framework Doxia
 Doxia Sitetools generates sites, consisting of static and dynamic content that
 was generated by Doxia. Doxia is a content generation framework which aims to
 provide its users with powerful techniques for generating static and dynamic
 content.
 .
 Doxia can be used to generate static sites in addition to being
 incorporated into dynamic content generation systems like blogs, wikis
 and content management systems.
 .
 Doxia is used exensively by Maven and it powers the entire
 documentation system of Maven. It gives Maven the ability to take any
 document that Doxia supports and output it any format.

Package: libdoxia-sitetools-java-doc
Description-md5: 46a0df4185885614cfb6404d152cd7e1
Description-en: Documentation for Doxia Sitetools
 Doxia Sitetools generates sites, consisting of static and dynamic content that
 was generated by Doxia. Doxia is a content generation framework which aims to
 provide its users with powerful techniques for generating static and dynamic
 content.
 .
 Doxia can be used to generate static sites in addition to being
 incorporated into dynamic content generation systems like blogs, wikis
 and content management systems.
 .
 Doxia is used exensively by Maven and it powers the entire
 documentation system of Maven. It gives Maven the ability to take any
 document that Doxia supports and output it any format.
 .
 This package contains the API documentation of libdoxia-sitetools-java.

Package: libdoxygen-filter-perl
Description-md5: 5cf3026dbdacff2006c32134acb9a774
Description-en: Methods for pre-filtering Perl code for Doxygen
 This is a Perl code pre-filter for Doxygen, to be used to generate
 source Doxygen documentation, starting from Perl code and its plain
 POD documentation.

Package: libdpdk-dev
Description-md5: 655a14a388b41ca32e9c10080c63a0ee
Description-en: Data Plane Development Kit (basic development files)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the basic headers and library files required to
 build external applications which will also require at least SSE3 support
 when running.
 .
 libdpdk.pc: note that CFLAGS might contain an -march flag higher than typical
 baseline.
 This is required for a number of static inline functions in the public headers.

Package: libdpkg-parse-perl
Description-md5: c496d94b40b3bbe130a46a7a6fd56169
Description-en: module to parse various dpkg files into Perl Objects
 DPKG::Parse contains utilities to parse the various files created by dpkg and
 turn them into helpful Perl objects. Current files understood by various
 DPKG::Parse modules:
 .
 /var/lib/dpkg/status - DPKG::Parse::Status
 .
 /var/lib/dpkg/available - DPKG::Parse::Available
 .
 Packages.gz - DPKG::Parse::Packages
 .
 See each module's documentation for particulars - You should not be calling
 DPKG::Parse directly.

Package: libdr-sundown-perl
Description-md5: 1bc71c26c17e6787577303da25f59c6f
Description-en: perl bindings for sundown
 SunDown is a fast lightweight library for converting markdown
 text to html.
 .
 The package provides perl bindings for the library.

Package: libdr-tarantool-perl
Description-md5: 63ebe34744e78080f832318ab0258542
Description-en: perl driver for Tarantool
 The package contains sync and async drivers for tarantool database.

Package: libdri2-1
Description-md5: d15f97be78fe3cf4674ed12b6d2b3eef
Description-en: library for the DRI2 extension to the X Window System -- runtime
 This package provides the runtime environment for libdri2.

Package: libdri2-dbg
Description-md5: dc8a094c09f6de24336ceae76a96c943
Description-en: library for the DRI2 extension to the X Window System -- debugging symbols
 This package provides the debugging symbols for the libdri2 package.

Package: libdri2-dev
Description-md5: 298e10f5edd3486dcbcb6228ba89625b
Description-en: library for the DRI2 extension to the X Window System -- development files
 This package provides the development environment for libdri2.

Package: libdrilbo-common
Description-md5: 95ce162a491ff610c518d1bdb1866c02
Description-en: Provides localization data for libdrilbo
 This package is required by all packages which are utilizing libdrilbo, it
 contains libdrilbo's localization data. Usually there's no need to install
 this package manually.

Package: libdrilbo-dev
Description-md5: be9c4244104e729e5bdd9334c6a8e16a
Description-en: Imaging support library for the fizmo Z-Machine interpreter
 This library provides mg1, jpeg, png and X11-support for fizmo. It is usually
 used by fizmo-related frontends or interfaces to support Z-Machine
 related image processing.

Package: libdrm-tests
Description-md5: ba61d5f4dd4e9099796e4c98b94245c4
Description-en: Testing tools from the libdrm project
 This library implements the userspace interface to the kernel DRM
 services.  DRM stands for "Direct Rendering Manager", which is the
 kernelspace portion of the "Direct Rendering Infrastructure" (DRI).
 The DRI is currently used on Linux to provide hardware-accelerated
 OpenGL drivers.
 .
 This package contains a variety of tools for testing from the libdrm project.

Package: libdrmaa1.0-java
Description-md5: 4b8598ff514ba3e463662e5e08e265ab
Description-en: Distributed resource management Application API library - Java bindings
 DRMAA (Distributed Resource Management Application API) is a specification
 developed by a working group in the Global Grid Forum (GGF).  The intention
 is to provide an API specification for the submission and control of jobs
 to one or more Distributed Resource Management (DRM) systems.
 .
 This package contains the Java bindings for the Grid Engine implementation of
 the DRMAA specification.

Package: libdrmaa1.0-java-doc
Description-md5: 6997a4fc2dbef63315273e9da7486211
Description-en: Distributed resource management Application API library - Java bindings docs
 DRMAA (Distributed Resource Management Application API) is a specification
 developed by a working group in the Global Grid Forum (GGF).  The intention
 is to provide an API specification for the submission and control of jobs
 to one or more Distributed Resource Management (DRM) systems.
 .
 This package contains Javadocs for the Grid Engine Java binding.

Package: libdrmaa1.0-ruby
Description-md5: 08b901136656dd01b7f6ec87a240f528
Description-en: Distributed resource management Application API library - Ruby bindings
 DRMAA (Distributed Resource Management Application API) is a specification
 developed by a working group in the Global Grid Forum (GGF).  The intention
 is to provide an API specification for the submission and control of jobs
 to one or more Distributed Resource Management (DRM) systems.
 .
 This package contains the Ruby bindings for the Grid Engine implementation of
 the DRMAA specification.

Package: libdropwizard-metrics-java
Description-md5: e50a4204f70e8681583ee0f01b452d40
Description-en: capture JVM- and application-level metrics for Java applications
 Metrics provides a powerful toolkit of ways to measure the behavior
 of critical components in your Java production environment.  It
 includes modules for common libraries like Jetty, Logack, Log4j,
 Apache HttpClient, Ehcache, JDBI, Jersey and reporting backends
 like Ganglia and Graphite.  Metrics provides you with full-stack
 visibility into your application.

Package: libdrumstick-alsa1
Description-md5: 5d4715ff9e35f7d2d66d8451e893586d
Description-en: Qt5/C++ wrapper for ALSA Sequencer (shared library)
 This library is a C++ wrapper around the ALSA library sequencer interface,
 using Qt5 objects, idioms and style. ALSA sequencer provides software support
 for MIDI technology on Linux. Complementary classes for OVE and WRK file
 processing are also included.
 .
 This package provides the shared library.

Package: libdrumstick-dev
Description-md5: 8359e669ca104905f4648dc3e3ac2be3
Description-en: Qt5/C++ wrapper for ALSA Sequencer (development files)
 This library is a C++ wrapper around the ALSA library sequencer interface,
 using Qt5 objects, idioms and style. ALSA sequencer provides software support
 for MIDI technology on Linux. Complementary classes for OVE and WRK file
 processing are also included.
 .
 This package provides the development files.

Package: libdrumstick-file1
Description-md5: f62cb41bdf9029812c25b46097e0e0a0
Description-en: File format support library for drumstick (shared library)
 This library is a C++ wrapper around the ALSA library sequencer interface,
 using Qt5 objects, idioms and style. ALSA sequencer provides software support
 for MIDI technology on Linux. Complementary classes for OVE and WRK file
 processing are also included.
 .
 This package contains the shared library for support of MIDI, Cakewalk and
 Overture file formats.

Package: libdrumstick-rt-backends
Description-md5: ec3b0cb267028ec3aeae7e761063f210
Description-en: Realtime MIDI I/O backends
 This library is a C++ wrapper around the ALSA library sequencer interface,
 using Qt5 objects, idioms and style. ALSA sequencer provides software support
 for MIDI technology on Linux. Complementary classes for OVE and WRK file
 processing are also included.
 .
 This package contains the bakcends for realtime MIDI I/O.

Package: libdrumstick-rt1
Description-md5: 5d7f70c59ae4117626b62a3ab864b4a9
Description-en: Realtime MIDI I/O with pluggable backends (shared library)
 This library is a C++ wrapper around the ALSA library sequencer interface,
 using Qt5 objects, idioms and style. ALSA sequencer provides software support
 for MIDI technology on Linux. Complementary classes for OVE and WRK file
 processing are also included.
 .
 This package contains the shared library for realtime MIDI I/O with plugin
 support.

Package: libdsdp-5.8gf
Description-md5: 0747ea6e16d480b70ce0d68d68364dd2
Description-en: Software for Semidefinite Programming
 The DSDP software is a free open source implementation of an interior-point
 method for semidefinite programming. It provides primal and dual solutions,
 exploits low-rank structure and sparsity in the data, and has relatively
 low memory requirements for an interior-point method. It allows feasible
 and infeasible starting points and provides approximate certificates of
 infeasibility when no feasible solution exists. The dual-scaling
 algorithm implemented in this package has a convergence proof and
 worst-case polynomial complexity under mild assumptions on the
 data. Furthermore, the solver offers scalable parallel performance for
 large problems and a well documented interface. Some of the most popular
 applications of semidefinite programming and linear matrix inequalities
 (LMI) are model control, truss topology design, and semidefinite
 relaxations of combinatorial and global optimization problems.
 .
 This package contains the library files.

Package: libdsdp-dev
Description-md5: c4ae5d8646ec667afe57aff2c90533ff
Description-en: Software for Semidefinite Programming
 The DSDP software is a free open source implementation of an interior-point
 method for semidefinite programming. It provides primal and dual solutions,
 exploits low-rank structure and sparsity in the data, and has relatively
 low memory requirements for an interior-point method. It allows feasible
 and infeasible starting points and provides approximate certificates of
 infeasibility when no feasible solution exists. The dual-scaling
 algorithm implemented in this package has a convergence proof and
 worst-case polynomial complexity under mild assumptions on the
 data. Furthermore, the solver offers scalable parallel performance for
 large problems and a well documented interface. Some of the most popular
 applications of semidefinite programming and linear matrix inequalities
 (LMI) are model control, truss topology design, and semidefinite
 relaxations of combinatorial and global optimization problems.
 .
 This package contains the header files for developers.

Package: libdsfmt-11213-1
Description-md5: 2ddb82041a44929d9113e7d6b1084730
Description-en: dSFMT-11213 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^11213-1.

Package: libdsfmt-1279-1
Description-md5: 5c0c117018baeebdc103f30f2fd4c232
Description-en: dSFMT-1279 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^1279-1.

Package: libdsfmt-132049-1
Description-md5: 85e1140d796ae5577968d20b7e429212
Description-en: dSFMT-132049 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^132049-1.

Package: libdsfmt-19937-1
Description-md5: d3f79af84b4485fdbabe8e815610ec1a
Description-en: dSFMT-19937 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^19937-1.

Package: libdsfmt-216091-1
Description-md5: be3d6b040a4803e10e55e759d73a8e54
Description-en: dSFMT-216091 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^216091-1.

Package: libdsfmt-2203-1
Description-md5: 2d63096d592399c231ed93ad23927610
Description-en: dSFMT-2203 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^2203-1.

Package: libdsfmt-4253-1
Description-md5: b2a997df2304b0ca9ec0490ee9d05dc0
Description-en: dSFMT-4253 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^4253-1.

Package: libdsfmt-44497-1
Description-md5: 2942a95cd349a673c7d8d23c5ce8a157
Description-en: dSFMT-44497 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^44497-1.

Package: libdsfmt-521-1
Description-md5: 653636f5b2858289dcf6ff5d5bc3927c
Description-en: dSFMT-521 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^521-1.

Package: libdsfmt-86243-1
Description-md5: 58b9017ad13f597e9775d93fd6180fcd
Description-en: dSFMT-86243 pseudorandom number generator (shared library)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains a shared version of the library with period 2^86243-1.

Package: libdsfmt-dev
Description-md5: c0fb452b958c652b3a90fc754c38bae0
Description-en: dSFMT pseudorandom number generator (development files)
 The double-precision SIMD-oriented Fast Mersenne Twister (dSFMT) is a variant
 of the Mersenne Twister pseudorandom number generator designed for modern CPUs
 with multi-stage pipelining and SIMD instructions. dSFMT directly generates
 IEEE 754 format double-precision floating-point pseudorandom numbers in the
 ranges [1, 2), [0, 1), (0, 1] and (0, 1), and supports various periods from
 2^521-1 to 2^216091-1.
 .
 This package contains the development files needed to compile software using
 dSFMT.

Package: libdshconfig1
Description-md5: 3bb13b528e0afaddbed0d8ab3e6f98b3
Description-en: configuration file parser library for dsh - runtime
 Runtime library for configuration file parser,
 required for running programs with dsh config file support.
 .
 dsh uses this library to parse configuration files.

Package: libdshconfig1-dev
Description-md5: f623a7cfd6bb4c927d194b455de6a0b3
Description-en: configuration file parser library for dsh - development
 Development library for a simple configuration file parser.
 .
 Includes the necessary files for compiling programs with
 libdshconfig.
 .
 This library supports a very simple line-based configuration file
 format.

Package: libdsk-utils
Description-md5: d37bc1a6163f3bc9a6488940959d8124
Description-en: library for accessing discs and disc image file (utilities)
 LibDsk contains libraries and tools for handling disc images, for example
 in emulators or to access images of vintage computers and consoles.
 .
 It has support for the following disc types:
 .
  - Raw files (including /dev/fdn).
  - Raw files, rearranged into logical filesystem order.
  - .DSK files, as used in CPCEMU, JOYCE and other Sinclair/Amstrad emulators.
  - MYZ80 hard drive image files.
  - NanoWasp floppy image files.
  - .CFI (Compressed Floppy Image) files, as created by FDCOPY.COM under DOS.
  - CopyQM files
  - TeleDisk files
  - Compaq QRST files
  - APRIDISK image files
  - The LDBS format
  - The SAP format
 .
 This package contains stand-alone utilities to handle disk images on the
 command line.

Package: libdsk4
Description-md5: 09b26c794f3adaa9ca9a8dff13db4b75
Description-en: library for accessing discs and disc image file
 LibDsk contains libraries and tools for handling disc images, for example
 in emulators or to access images of vintage computers and consoles.
 .
 It has support for the following disc types:
 .
  - Raw files (including /dev/fdn).
  - Raw files, rearranged into logical filesystem order.
  - .DSK files, as used in CPCEMU, JOYCE and other Sinclair/Amstrad emulators.
  - MYZ80 hard drive image files.
  - NanoWasp floppy image files.
  - .CFI (Compressed Floppy Image) files, as created by FDCOPY.COM under DOS.
  - CopyQM files
  - TeleDisk files
  - Compaq QRST files
  - APRIDISK image files
  - The LDBS format
  - The SAP format
 .
 This package contains the library itself.

Package: libdsk4-dev
Description-md5: eeb22d9877672a8f5ce7e4f3bf10dc66
Description-en: library for accessing discs and disc image file (development headers)
 LibDsk contains libraries and tools for handling disc images, for example
 in emulators or to access images of vintage computers and consoles.
 .
 It has support for the following disc types:
 .
  - Raw files (including /dev/fdn).
  - Raw files, rearranged into logical filesystem order.
  - .DSK files, as used in CPCEMU, JOYCE and other Sinclair/Amstrad emulators.
  - MYZ80 hard drive image files.
  - NanoWasp floppy image files.
  - .CFI (Compressed Floppy Image) files, as created by FDCOPY.COM under DOS.
  - CopyQM files
  - TeleDisk files
  - Compaq QRST files
  - APRIDISK image files
  - The LDBS format
  - The SAP format
 .
 This package contains the development headers and documentation.

Package: libdsocksd0
Description-md5: d1115b2ae9e797f60805929af09e766b
Description-en: SOCKS library preloaded in user applications
 Dante is a circuit-level firewall/proxy that can be used to provide
 convenient and secure network connectivity to a wide range of hosts
 while requiring only the server Dante runs on to have external network
 connectivity.
 .
 This package provides the Dante library that will be preloaded by
 the socksify tool.

Package: libdssi-ocaml
Description-md5: bae2c73d816fb26139128ed2a999ce67
Description-en: OCaml interface to DSSI plugins -- runtime files
 This package provides an interface to the DSSI plugins for
 OCaml programmers.
 .
 DSSI is an API for audio plugins, with particular application for software
 synthesis plugins with native user interfaces.
 .
 This package contains only the shared runtime stub libraries.

Package: libdssi-ocaml-dev
Description-md5: 30b37a58a7f1c3967c11f2ae97962d59
Description-en: OCaml interface to DSSI plugins -- developpement files
 This package provides an interface to DSSI plugins for
 OCaml programmers.
 .
 DSSI is an API for audio plugins, with particular application for software
 synthesis plugins with native user interfaces.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-dssi.

Package: libdssialsacompat-dev
Description-md5: 63e7ef55df157e128da533b9e742e192
Description-en: DSSI ALSA compatibility library (development files)
 libdssialsacompat is simply an extraction from and repackaging of
 the code from alsa-lib, necessary to support DSSI on non-ALSA
 systems.
 .
 libdssialsacompat is intended to provide the snd_seq_event_t
 declarations and handling code necessary to compile and use DSSI on
 non-ALSA systems. It aims to allows compiling DSSI code with as
 little change as possible, while not presenting itself in such a way
 as to fool other autoconf-enabled code into thinking a system has
 ALSA.
 .
 This library does NOT provide any sort of emulation of the ALSA
 audio, MIDI, or sequencer devices. The only part of ALSA that is
 required by the DSSI specification is the snd_seq_event_t definition
 and handling, and that is all libdssialsacompat is intended to
 replace. Other ALSA code should be ported to native facilities.
 .
 This package provides the development files.

Package: libdssialsacompat0
Description-md5: ecd0e1de3eb74af8a6beb62f8172bb87
Description-en: DSSI ALSA compatibility library for non-Linux platforms
 libdssialsacompat is simply an extraction from and repackaging of
 the code from alsa-lib, necessary to support DSSI on non-ALSA
 systems.
 .
 libdssialsacompat is intended to provide the snd_seq_event_t
 declarations and handling code necessary to compile and use DSSI on
 non-ALSA systems. It aims to allows compiling DSSI code with as
 little change as possible, while not presenting itself in such a way
 as to fool other autoconf-enabled code into thinking a system has
 ALSA.
 .
 This library does NOT provide any sort of emulation of the ALSA
 audio, MIDI, or sequencer devices. The only part of ALSA that is
 required by the DSSI specification is the snd_seq_event_t definition
 and handling, and that is all libdssialsacompat is intended to
 replace. Other ALSA code should be ported to native facilities.
 .
 This package provides the shared library.

Package: libdtd-parser-java
Description-md5: 5128f62a19a516cfd678e9a715a09c4b
Description-en: Java library for parsing XML DTDs
 SAX-like API for parsing XML Document Type Definition (DTD) streams, generating
 appropriate events based on them.

Package: libdtd-parser-java-doc
Description-md5: b9aeda188e3cbe7c81a1738c69b81760
Description-en: Java library for parsing XML DTDs -- documentation
 SAX-like API for parsing XML Document Type Definition (DTD) streams, generating
 appropriate events based on them.
 .
 This package contains the API documentation of libdtd-parser-java.

Package: libdtdinst-java
Description-md5: 1fd105ce9b9df241275dff8c3a5f8f46
Description-en: XML DTD to XML instance format converter - Java library
 dtdinst converts XML DTDs into XML instance format. The XML instance can be in
 either a format specific to DTDinst or RELAX NG format.
 .
 This package contains the Java library

Package: libdtkcore-bin
Description-md5: b352d5da70e89a0d6c6022e44e737a1c
Description-en: Deepin Tool Kit Core library (utilities)
 DtkCore is a base devel library for Deepin Qt/C++ applications.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains various command-line utilities used by Deepin Tool Kit
 and other Deepin software. Some tools were originally provided by DtkSettings.

Package: libdtkcore-dev
Description-md5: d42691c2630431f59fe1fddfceb9665f
Description-en: Deepin Tool Kit Core library (development files)
 DtkCore is a base library for Deepin Qt/C++ applications.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains the header files and static libraries of DtkCore.

Package: libdtkcore2
Description-md5: 2d19e9c4f645cbb2110c8ebcef0fcfdd
Description-en: Deepin Tool Kit Core library
 DtkCore is a base library of Deepin Qt/C++ applications.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains the shared libraries.

Package: libdtkwidget-dev
Description-md5: bc42ec1614329895d363e14d1e0317e3
Description-en: Deepin Tool Kit Widget library (development files)
 DtkWidget is the Deepin graphical user interface library for deepin
 desktop development.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains the header files and static libraries which are
 needed for developing Deepin application.

Package: libdtkwidget2
Description-md5: 1645e3b1fbb7ee00d6ba6a76f4c1c93d
Description-en: Deepin Tool Kit Widget library
 DtkWidget is the Deepin graphical user interface library for deepin
 desktop development.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains the shared libraries.

Package: libdtkwm-dev
Description-md5: a61c73c6a5524e879769541103f96f68
Description-en: Deepin graphical user interface library (development files)
 DtkWm library is used by deepin applications to handle double screen scenario
 in Deepin desktop environment.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains the header development files for libdtkwm.

Package: libdtkwm2
Description-md5: f5d0de0f9921f1f82be9beeda602afb5
Description-en: Deepin graphical user interface library
 DtkWm library is used by deepin applications to handle double screen scenario
 in Deepin desktop environment.
 .
 This package is part of DDE (Deepin Desktop Environment).
 .
 This package contains the shared libraries of libdtkwm.

Package: libdtl-dev
Description-md5: 74105f25b36ae495129eaf537b4bebb9
Description-en: diff template library written in C++
 dtl is a C++ template library which provides functions for comparing
 two sequences of arbitrary types. The sequences to be compared must
 support a random-access iterator.
 .
 The algorithm dtl uses is based on the paper "An O(NP) Sequence
 Comparison Algorithm" (1989) by Sun Wu, Udi Manber and Gene Myers. The
 computational complexity of Wu's Algorithm is O(N+PD) on average,
 and O(NP) in the worst case.

Package: libdtools-ocaml-dev
Description-md5: 06fcf1a1aeab09e1a552de720b5f67f1
Description-en: library for writing daemons in OCaml
 dtools is a set of useful functions for writing daemon services in OCaml.
 .
 It includes support for:
  * configuration options
  * logging
  * detaching and writing PID file
  * start-up and shutdown task manager

Package: libdts-dev
Description-md5: c84abfa956707a53d7f1f604d007eec9
Description-en: backward compatibility place-holder for libdca
 libdca provides a low-level interface to decode audio frames encoded using
 DTS Coherent Acoustics. DTS Coherent Acoustics streams are commonly found
 on DVDs, DTS audio CDs and some radio broadcastings. libdca also provides
 downmixing and dynamic range compression for various output configurations.
 .
 This package contains compatibility headers used to build applications
 that still use libdts.

Package: libdublincore-record-perl
Description-md5: a895f2101f30183e8ea19863be29b052
Description-en: Container for Dublin Core metadata elements
 DublinCore::Record methods such as element(), elements(), title(), etc return
 DublinCore::Element objects as their result. These can be queried further to
 extract an elements content, qualifier, language, and schema. For a definition
 of these attributes please see RFC 2731 and http://www.dublincore.org/.

Package: libdublintraceroute-dev
Description-md5: 072c9825f6f335a073ef0575b4b29134
Description-en: NAT-aware multipath tracerouting tool (dev)
 Dublin Traceroute uses the techniques invented by the authors of
 Paris-traceroute to enumerate the paths of ECMP flow-based load balancing,
 but also introduces a new technique for NAT detection. It forges the IP ID
 in the probe packet and analyzes the responses in order to detect all the
 encountered NATs.
 This package contains development files.

Package: libdublintraceroute0
Description-md5: c94e5a1e91a77170bcbda6a76fb186e0
Description-en: NAT-aware multipath tracerouting tool (library)
 Dublin Traceroute uses the techniques invented by the authors of
 Paris-traceroute to enumerate the paths of ECMP flow-based load balancing,
 but also introduces a new technique for NAT detection. It forges the IP ID
 in the probe packet and analyzes the responses in order to detect all the
 encountered NATs.
 This package contains a dynamic library.

Package: libdujour-version-check-clojure
Description-md5: e63cd40055fefdf35099bbe1a064b63d
Description-en: up-to-date version checking for Clojure
 dujour-version-check provides a simple way to perform version checks against
 an update server URL.

Package: libduktape205
Description-md5: 171438f1ab577fbb495aafc00b195702
Description-en: embeddable Javascript engine, library
 Duktape is an embeddable Javascript engine, with a focus on portability
 and compact footprint.
 .
 Duktape is easy to integrate into a C/C++ project: add duktape.c, duktape.h,
 and duk_config.h to your build, and use the Duktape API to call Ecmascript
 functions from C code and vice versa.
 .
 This package contains the shared library.

Package: libdumb1
Description-md5: b6d9ac0791d349f41569323e614c9ab2
Description-en: dynamic universal music bibliotheque
 DUMB is a tracker library with support for IT, XM, S3M and MOD files. It
 targets maximum accuracy to the original formats, with low-pass resonant
 filters for the IT files, accurate timing and pitching, and three resampling
 quality settings (aliasing, linear interpolation and cubic interpolation).
 .
 This package contains the libdumb1 runtime library, a standalone library
 for module playback. If you plan to use the Allegro library with your
 project, please consider the libaldmb1 library.

Package: libdumb1-dev
Description-md5: e5ac9b87f2ed6b20f5a4165e9e874123
Description-en: development files for libdumb1
 DUMB is a tracker library with support for IT, XM, S3M and MOD files. It
 targets maximum accuracy to the original formats, with low-pass resonant
 filters for the IT files, accurate timing and pitching, and three resampling
 quality settings (aliasing, linear interpolation and cubic interpolation).
 .
 This package contains the header files and static library needed to
 compile applications that use libdumb1.

Package: libdumbnet-dev
Description-md5: 7a81cdaa91bc3707612b382a29a678d9
Description-en: dumb, portable networking library -- development files
 libdumbnet provides a simplified, portable interface to several low-level
 networking routines, including
   * network address manipulation
   * kernel arp(4) cache and route(4) table lookup and manipulation
   * network firewalling (Ip filter, ipfw, ipchains, pdf, ...)
   * network interface lookup and manipulation
   * raw IP packet and Ethernet frame transmission
 .
 libdumbnet is known as libdnet in other distributions, but was renamed
 in Debian in favour of the older DECnet library 'libdnet'.
 .
 This package contains the static library and the C header files.

Package: libdumbnet1
Description-md5: b3ac5f084c1bd2cb76497a853b2bcde4
Description-en: dumb, portable networking library -- shared library
 libdumbnet provides a simplified, portable interface to several low-level
 networking routines, including
   * network address manipulation
   * kernel arp(4) cache and route(4) table lookup and manipulation
   * network firewalling (Ip filter, ipfw, ipchains, pdf, ...)
   * network interface lookup and manipulation
   * raw IP packet and Ethernet frame transmission
 .
 libdumbnet is known as libdnet in other distributions, but was renamed
 in Debian in favour of the older DECnet library 'libdnet'.
 .
 This package contains the shared library.

Package: libdumbster-java
Description-md5: 15aa8c502c025e45842f4b221e6987b6
Description-en: very simple fake SMTP Server for testing purposes
 The Dumbster is a very simple fake SMTP server designed for unit and
 system testing applications that send email messages. It responds to all
 standard SMTP commands but does not deliver messages to the user. The
 messages are stored within the Dumbster for later extraction and
 verification.
 .
 The Dumbster slots itself very easily into your testing strategy. As long
 as your application talks to an email server using SMTP then the Dumbster
 can be used to test the application with no code changes

Package: libdune-common-dev
Description-md5: 6b7febfda4fb6035c9e5ebe00c5dfc58
Description-en: toolbox for solving PDEs -- basic classes (development files)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the development files for the basic classes.

Package: libdune-common-doc
Description-md5: 75634d17d9c0ff91984e531f1b00a182
Description-en: toolbox for solving PDEs -- basic classes (documentation)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the documentation for the basic classes.

Package: libdune-functions-dev
Description-md5: 3deacdcb722cbfc9d21f7fbb4d727070
Description-en: toolbox for solving PDEs -- interface for functions (development files)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 dune-functions provides an interface for global finite element functions.
 .
 This package contains the development files.

Package: libdune-functions-doc
Description-md5: 2bcbbf027fac592936f5e5b56b221361
Description-en: toolbox for solving PDEs -- interface for functions (documentation)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 dune-functions provides an interface for global finite element functions.
 .
 This package contains the documentation.

Package: libdune-geometry-dev
Description-md5: 3b40a15aad9393d2093a770e27cc7a0d
Description-en: toolbox for solving PDEs -- geometry classes (development files)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the development files for the geometry classes.

Package: libdune-geometry-doc
Description-md5: 771ce72d6c781fc1ade450e064adff74
Description-en: toolbox for solving PDEs -- geometry classes (documentation)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the documentation for the geometry classes.

Package: libdune-grid-dev
Description-md5: 85d4d4fceff920f104f6c3693a7607e8
Description-en: toolbox for solving PDEs -- grid interface (development files)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the development files for the grid interface.

Package: libdune-grid-doc
Description-md5: 94753bf5a89b60673de5b4b8aa1266bd
Description-en: toolbox for solving PDEs -- grid interface (documentation)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the documentation for the grid interface.

Package: libdune-grid-glue-dev
Description-md5: 5a74c74d9339484f14f7ee1862b40ef4
Description-en: toolbox for solving PDEs -- compute couplings between grids (development files)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 dune-grid-glue provides infrastructure for the coupling of two
 unrelated DUNE grids. The coupling may be overlapping or
 nonoverlapping, conforming or nonconforming. The two grids are not
 required to be of the same type, and they may even be of different
 dimensions.
 .
 This package contains the development files.

Package: libdune-grid-glue-doc
Description-md5: 2ec97ab870bf2d892011e93dbc0f90d9
Description-en: toolbox for solving PDEs -- compute couplings between grids (documentation)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 dune-grid-glue provides infrastructure for the coupling of two
 unrelated DUNE grids. The coupling may be overlapping or
 nonoverlapping, conforming or nonconforming. The two grids are not
 required to be of the same type, and they may even be of different
 dimensions.
 .
 This package contains the documentation.

Package: libdune-istl-dev
Description-md5: 861f28a73f032fa881df43c7dbe3ac88
Description-en: toolbox for solving PDEs -- iterative solvers (development files)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the development files for the iterative solver template
 library.

Package: libdune-istl-doc
Description-md5: 290d1b252e098c4592acc54dbb97ea43
Description-en: toolbox for solving PDEs -- iterative solvers (documentation)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the documentation for the iterative solver template
 library.

Package: libdune-localfunctions-dev
Description-md5: f5bcf298319321edca2b6cbf46308b62
Description-en: toolbox for solving PDEs -- local basis (development files)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the development files for the interface for the local
 basis and layout of the degrees of freedom.

Package: libdune-localfunctions-doc
Description-md5: 9951dbc75ae1c115815e697de4ad371c
Description-en: toolbox for solving PDEs -- local basis (documentation)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the documentation for the interface for the local
 basis and layout of the degrees of freedom.

Package: libdune-ocaml-dev
Description-md5: e31a2098d3c097a0103308ab6420fb1a
Description-en: composable build system for OCaml projects (libraries)
 Dune is a build system designed for OCaml/Reason projects
 only. It focuses on providing the user with a consistent experience
 and takes care of most of the low-level details of OCaml
 compilation. All you have to do is provide a description of your
 project and Dune will do the rest.
 .
 This package contains the libraries dune-private-libs and
 dune-configurator.

Package: libdune-pdelab-dev
Description-md5: 31667bc1cb405196c01daecbfc0b90b6
Description-en: toolbox for solving PDEs -- discretization module (development files)
 DUNE-PDELab is a new generalized discretization module for a wide range of
 discretization methods. It allows rapid prototyping for implementing
 discretizations and solvers for systems of PDEs based on DUNE.
 .
 This package contains the development files.

Package: libdune-pdelab-doc
Description-md5: a3a2d4ce0ca865579887697665a53ae0
Description-en: toolbox for solving PDEs -- discretization module (documentation)
 DUNE-PDELab is a new generalized discretization module for a wide range of
 discretization methods. It allows rapid prototyping for implementing
 discretizations and solvers for systems of PDEs based on DUNE.
 .
 This package contains the documentation.

Package: libdune-typetree-dev
Description-md5: b2b5a6fa7b9d141a4c528edf703a2d1e
Description-en: toolbox for solving PDEs -- typed tree template library (development files)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the development files for the typed tree template
 library.

Package: libdune-typetree-doc
Description-md5: 1541e608eac2816a3810ba82a155cb21
Description-en: toolbox for solving PDEs -- typed tree template library (documentation)
 DUNE, the Distributed and Unified Numerics Environment is a modular toolbox
 for solving partial differential equations (PDEs) with grid-based methods.
 It supports the easy implementation of methods like Finite Elements (FE),
 Finite Volumes (FV), and also Finite Differences (FD).
 .
 This package contains the documentation for the typed tree template
 library.

Package: libdune-uggrid-dev
Description-md5: 4cc3595f160bf1d2aba339076078ab0d
Description-en: software framework for finite element methods (development files)
 UG is a flexible software tool for the numerical solution of partial
 differential equations on unstructured meshes, with a focus on
 multigrid methods. It has a very powerful grid manager, which supports
 two- and three-dimensional grids with mixed element types. The grids
 can be adaptively refinement using either classic
 red/green-refinement, or pure red refinement with hanging nodes. All
 this is fully parallelized and can run on large distributed machines.
 .
 The version in this package has been built with the necessary flags for use
 with the Dune software system.
 .
 This package contains the development files.

Package: libduo-dev
Description-md5: 8a3a3a451b1c2676f654e54b1770e943
Description-en: Duo Security development libraries and header files
 This package provides the development libraries and header files needed to
 link against the Duo Security library functions. Also includes the manpages
 for library functions.

Package: libduo3
Description-md5: 87191bfc39dda4e35950c86a9c35f22c
Description-en: Duo Security library
 This package provides the shared library used for making use of the
 Duo Security two-factor authentication functionality. Also includes
 manpage.

Package: libduppy-ocaml
Description-md5: 7ca87182108638381eb3100822a6749e
Description-en: Advanced scheduler for OCaml (Runtime library)
 Duppy is an event scheduler written for OCaml. It allows the user
 to execute tasks according to some events on unix sockets, or
 a given delay.
 .
 Several threaded queues can proceed tasks in parallel. Tasks are
 processed according to an abstract notion of priority.
 .
 This package contains only the shared runtime stub libraries.

Package: libduppy-ocaml-dev
Description-md5: 6acd193ab98544720006c42c1e442100
Description-en: Advanced scheduler for OCaml (Development package)
 Duppy is an event scheduler written for OCaml. It allows the user
 to execute tasks according to some events on unix sockets, or
 a given delay.
 .
 Several threaded queues can proceed tasks in parallel. Tasks are
 processed according to an abstract notion of priority.
 .
 This package contains all the development stuff you need to use ocaml-duppy
 in your programs.

Package: libdv-bin
Description-md5: 03474f3be1c60e852f2027456adf28bf
Description-en: software library for DV format digital video (sample apps)
 The Quasar DV Codec (libdv) is a software decoder for DV format video, as
 defined by the IEC 61834 and SMPTE 314M standards. DV is the encoding format
 used by consumer-grade digital camcorders.
 .
 This package contains sample programs for viewing (playdv), encoding
 (encodedv), transferring DV data (dvconnect) and insert audio into a DV
 stream (dubdv).

Package: libdvbcsa-dev
Description-md5: d10aad4d0b3aaa26431dd6344b11a909
Description-en: free implementation of the DVB/CSA (development files)
 libdvbcsa is a free implementation of the DVB Common Scrambling
 Algorithm with encryption and decryption capabilities.
 .
 It comes in two flavors: a classical single packet implementation
 and a faster parallel bitslice implementation.
 .
 This package provides the development files for libdvbcsa.

Package: libdvbcsa1
Description-md5: e18fcd230c545c76f37d5a2bfc2743ac
Description-en: free implementation of the DVB/CSA
 libdvbcsa is a free implementation of the DVB Common Scrambling
 Algorithm with encryption and decryption capabilities.
 .
 It comes in two flavors: a classical single packet implementation
 and a faster parallel bitslice implementation.
 .
 This package provides the shared library.

Package: libdvbpsi-dev
Description-md5: a901efd8eeb07580d5c6fae6c2e924b3
Description-en: development files for libdvbpsi
 libdvbpsi is a simple library designed for MPEG TS and DVB PSI tables
 decoding and generating.
 .
 This package contains the header files and static library needed to
 compile applications that use libdvbpsi.

Package: libdvbpsi10
Description-md5: 9ef5d63a2d20c2f593ac0005a623ba9a
Description-en: library for MPEG TS and DVB PSI tables decoding and generating
 libdvbpsi is a simple library designed for MPEG TS and DVB PSI tables
 decoding and generating.
 .
 This package contains the libdvbpsi runtime library.

Package: libdvdnav-dev
Description-md5: b7551787c11bf7c45f395189a08987ad
Description-en: DVD navigation library (development)
 libdvdnav is a DVD navigation library, which provides an interface to the
 advanced features of DVDs, like menus and navigation. It contains the VM and
 other parts useful for writing DVD players. It's based on Ogle, but was
 modified to be used by xine and mplayer.
 .
 This package contains the development files.

Package: libdvdnav-doc
Description-md5: c101f86c8f68b0678e46d71d37f3ff55
Description-en: DVD navigation library (documentation)
 libdvdnav is a DVD navigation library, which provides an interface to the
 advanced features of DVDs, like menus and navigation. It contains the VM and
 other parts useful for writing DVD players. It's based on Ogle, but was
 modified to be used by xine and mplayer.
 .
 This package contains the documentation.

Package: libdvdnav4
Description-md5: 425e99cd0268a6168cd3663fd114674c
Description-en: DVD navigation library
 libdvdnav is a DVD navigation library, which provides an interface to the
 advanced features of DVDs, like menus and navigation. It contains the VM and
 other parts useful for writing DVD players. It's based on Ogle, but was
 modified to be used by xine and mplayer.

Package: libdvdread-dev
Description-md5: b03b977078718f41f1e29f7c3710abc5
Description-en: library for reading DVDs (development)
 libdvdread provides the functionality that is required to access many DVDs. It
 parses IFO files, reads NAV-blocks, and performs CSS authentication and
 descrambling.
 .
 This package contains the development files.

Package: libdvdread7
Description-md5: 9dcce76d11ca332adfb624eadba77370
Description-en: library for reading DVDs
 libdvdread provides the functionality that is required to access many DVDs. It
 parses IFO files, reads NAV-blocks, and performs CSS authentication and
 descrambling.
 .
 libdvdread probes for libdvdcss at runtime and if found, will use it to
 decrypt sections of the DVD as necessary. libdvdcss needs to be installed from
 third-party repositories (see README.css), it's not included in Debian.

Package: libdwarf++0
Description-md5: b8540677a1dc9d46d35cc3c54725b438
Description-en: C++11 DWARF parser
 Libelfin is a from-scratch C++11 library for reading ELF binaries and DWARFv4
 debug information.
 .
 Libelfin implements a syntactic layer for DWARF and ELF, but not a semantic
 layer. Interpreting the information stored in DWARF DIE trees still requires a
 great deal of understanding of DWARF, but libelfin will make sense of the bytes
 for you.
 .
 This package contains the library to parse DWARFv4 debug information.

Package: libdwarf-dev
Description-md5: 499e71c1964d18a86f440ee7f37bea48
Description-en: library to consume and produce DWARF debug information
 The libdwarf package provides a library which allows
 reading/consuming and writing/producing of DWARF debugging
 information from object files, shared libraries, and executables.
 .
 This package contains developer resources for libdwarf. Install it if
 you want to develop software that uses the libdwarf API.
 .
 This library is part of dwarfutils.

Package: libdwarf-freebsd-3
Description-md5: eb3487b1591fce766f514a446ce431f1
Description-en: library to consume and produce DWARF debug information (FreeBSD version)
 The libdwarf package provides a shared library which allows reading/consumer
 and writing/producer of DWARF debugging information from object files, shared
 libraries, and executables.

Package: libdwarf-freebsd-dev
Description-md5: 93d2356b6da50e4470cade0be4104ab7
Description-en: Development files for libdwarf (FreeBSD version)
 This package contains the header files and static library needed to
 compile applications that use libdwarf.

Package: libdwarf1
Description-md5: e36362d506f45fbff29af19b81a8505c
Description-en: library to consume and produce DWARF debug information (runtime)
 The libdwarf package provides a library which allows
 reading/consuming and writing/producing of DWARF debugging
 information from object files, shared libraries, and executables.
 .
 This package contains the runtime library. You should not have to
 install it manually.

Package: libdx4
Description-md5: af6ffc188ee38b114cf2b8aaab183db7
Description-en: OpenDX (IBM Visualization Data Explorer) - shared libraries
 Data Explorer is a system of tools and user interfaces for visualizing data.
 In general terms the visualization of data can be considered a 3-stage
 process:
    1. Describing and importing data
    2. Processing the data through a visualization program
    3. Presenting the resulting image.
 This is the package containing the shared libraries. Programs that use
 routines from the OpenDX classes usually depend on it.

Package: libdx4-dev
Description-md5: d5492203bb0369bf2b0f8aa8e70b1d2b
Description-en: OpenDX (IBM Visualization Data Explorer) - development files
 Data Explorer is a system of tools and user interfaces for visualizing data.
 In general terms the visualization of data can be considered a 3-stage
 process:
    1. Describing and importing data
    2. Processing the data through a visualization program
    3. Presenting the resulting image.
 This is the development package. It contains the build rules, library
 routines and header files necessary for creating custom software that uses
 the OpenDX classes.

Package: libdxflib-dev
Description-md5: 1bc6c6b19af65761ecd178b516666362
Description-en: Development files for the dxflib library
 dxflib is a C++ library for reading and writing DXF files. When reading DXF
 files, dxflib parses the file and calls functions that you define in your
 own C++ class for adding entities, layers, etc.
 .
 This package contains the development files (headers and documentation) for
 libdxflib.

Package: libdxflib3
Description-md5: a95fdd42e722ef18db1fc6551f3ceade
Description-en: Library for reading and writing DXF files
 dxflib is a C++ library for reading and writing DXF files. When reading DXF
 files, dxflib parses the file and calls functions that you define in your
 own C++ class for adding entities, layers, etc.

Package: libdynalang-java
Description-md5: ad816788710f968b1aff5ae2d7a46953
Description-en: JVM Dynamic Languages Metaobject Protocol
 This library aims to make it possible for objects created by a runtime for one
 dynamic language to be passed to and manipulated by a different dynamic
 language, running within the same JVM. More generally, it aims to provide a
 facility where an arbitrary system (usually a language runtime) can intuitively
 manipulate any arbitrary object model built atop of Java objects (usually the
 native object model of some other language).
 .
 Basically, it provides a unified mechanism that all dynamic languages on the
 JVM can use to inter-operably manipulate their own objects as well as objects
 from any other language that also uses this mechanism.

Package: libdynamic-reconfigure-config-init-mutex-dev
Description-md5: 8e61c5eaae1a1c265937ec3e507f6d9f
Description-en: Robot OS dynamic-reconfigure library - development files
 This package is part of Robot OS (ROS), and contains the
 dynamic_reconfigure package which provides a means to change node
 parameters at any time without having to restart the node.
 .
 This package contains the development files.

Package: libdynamic-reconfigure-config-init-mutex0d
Description-md5: 3c3ecdcbbe3a28a75321038e10d58260
Description-en: Robot OS dynamic-reconfigure library
 This package is part of Robot OS (ROS), and contains the
 dynamic_reconfigure package which provides a means to change node
 parameters at any time without having to restart the node.
 .
 This package contains the library itself.

Package: libdynamicedt3d-dev
Description-md5: a11648384bed58f50f1632840114493d
Description-en: dynamicEDT3D library development files
 The dynamicEDT3D library implements an incrementally updatable Euclidean
 distance transform (EDT) in 3D. It comes with a wrapper to use the OctoMap
 3D representation and hooks into the change detection of the OctoMap library
 to propagate changes to the EDT. This package contains the development
 files.

Package: libdynamicedt3d1.9
Description-md5: f86693b5990dc7d63983fde5020d4360
Description-en: Incrementally updatable Euclidean distance transform library
 The dynamicEDT3D library implements an incrementally updatable Euclidean
 distance transform (EDT) in 3D. It comes with a wrapper to use the OctoMap
 3D representation and hooks into the change detection of the OctoMap library
 to propagate changes to the EDT.

Package: libdynamite-dev
Description-md5: 41732a3a270b9af92f733e3cd5de3dd6
Description-en: PKWARE Data Compression decompressor library - development files
 The dynamite library is needed by tools to be capable of squeezing
 out juicy .cab files from self-extracting installation programs created by
 the Setup Factory installation program.
 .
 This package contains development files for libdynamite.

Package: libdynamite0
Description-md5: c9abde970e48dc2ec5e4c276d6454c9e
Description-en: PKWARE Data Compression decompressor library
 The dynamite library is needed by tools to be capable of squeezing
 out juicy .cab files from self-extracting installation programs created by
 the Setup Factory installation program.

Package: libdynapath-clojure
Description-md5: 6f6a521a7856396e20a20d7610c3d789
Description-en: Clojure protocol and util functions for class loaders
 dynapath provides a protocol and util functions for class loaders that make
 their effective classpaths readable and/or modifiable.

Package: libeantic-dev
Description-md5: 65119d54581876afbafc646a83a64be1
Description-en: real Embedded Algebraic Number Theory In C/C++ - libdev
 E-ANTIC is a C/C++ mathematical library to deal with real embedded
 number fields built on top of ANTIC; ANTIC is an Algebraic Number
 Theory library In C <https://github.com/wbhart/antic>. The aim of
 the E_ANTIC libray is to have as fast as possible exact arithmetic
 operations and comparisons. E-ANTIC provides ANTIC.
 .
 This package contains static libraries and symbolic links that developers
 using the E-ANTIC library will need.

Package: libeantic0
Description-md5: e773eaaf30de4ab84b5818ddf90a1980
Description-en: real Embedded Algebraic Number Theory In C/C++ - libs
 E-ANTIC is a C/C++ mathematical library to deal with real embedded
 number fields built on top of ANTIC; ANTIC is an Algebraic Number
 Theory library In C <https://github.com/wbhart/antic>. The aim of
 the E_ANTIC libray is to have as fast as possible exact arithmetic
 operations and comparisons. E-ANTIC provides ANTIC.
 .
 This package provides the shared libraries required to run programs compiled
 against the E-ANTIC library. To compile your own programs you also need to
 install the libeantic-dev package.

Package: libear
Description-md5: af135fcdba59a3222ffef6dec154da24
Description-en: generate compilation database for Clang tooling (wrapper library)
 Bear records the flags passed to the compiler for each translation unit and
 stores them in a JSON file. This file can be used by Clang's tooling interface
 and programs like clang-check to process a translation unit.
 .
 cmake supports the generation of JSON compilation databases out of the box.
 For any other build system that does not support this, Bear can be used
 instead to intercept the invocation of the compiler.
 .
 This is the required library for wrapping system calls.

Package: libeasy-format-ocaml
Description-md5: e1e4c3e58d169d35bb1a9d8220385063
Description-en: easy(ier) pretty printing for OCaml - plugins
 This module offers a simplified interface to the Format module of the
 OCaml standard library. Input data must be converted into a tree
 using 3 kinds of nodes: atoms, lists and labelled nodes. Each node is
 bound to its own formatting parameters and a single function call
 produces the formatted output.
 .
 This package contains the shared runtime libraries.

Package: libeasy-format-ocaml-dev
Description-md5: 365508ef5a38fca158e4697dab0f3ebb
Description-en: easy(ier) pretty printing for OCaml - development files
 This module offers a simplified interface to the Format module of the
 OCaml standard library. Input data must be converted into a tree
 using 3 kinds of nodes: atoms, lists and labelled nodes. Each node is
 bound to its own formatting parameters and a single function call
 produces the formatted output.
 .
 This package contains the development files for programming with the
 library.

Package: libeasybind-java
Description-md5: 1704f6e1c396e158537205c6675dce48
Description-en: helper library for custom JavaFX bindings using lamdas
 EasyBind leverages lambdas to reduce boilerplate
 when creating custom bindings, provides a type-safe alternative to
 Bindings.select* methods (inspired by Anton Nashatyrev's feature
 request, planned for JavaFX 9) and adds monadic operations to
 ObservableValue.

Package: libeasyconf-java
Description-md5: 4bbc7072aac3c3c9215bc8190166485b
Description-en: library to access configuration of software components
 EasyConf is a library to access configuration of software components
 and applications.
 .
 EasyConf main features:
   * XML files, typed property files (including lists, numbers, classes, etc),
     or a combination of both
   * multiple environments (development, integration, preproduction, production)
   * aggregate several components: for example for portlets and portals
   * support for ASP applications: each company/organization serviced may have
     its own configuration
   * provides access to the configuration using JMX
 .
 This package contains EasyConf java library (JAR).

Package: libeasyconf-java-doc
Description-md5: 96cd9dc8a753d63e797b7e8fbd00931d
Description-en: library to access configuration of software components - Javadoc
 EasyConf is a library to access configuration of software components
 and applications.
 .
 EasyConf main features:
   * XML files, typed property files (including lists, numbers, classes, etc),
     or a combination of both
   * multiple environments (development, integration, preproduction, production)
   * aggregate several components: for example for portlets and portals
   * support for ASP applications: each company/organization serviced may have
     its own configuration
   * provides access to the configuration using JMX
 .
 This package contains EasyConf API Javadoc documentation.

Package: libeasyloggingpp-dev
Description-md5: dc029a1a3e421686a7ad2846c0a48240
Description-en: single-header logging library for C++ applications
 Easylogging++ is a light-weight, high performance logging library for
 software written in C++11 and higher. It is highly configurable and
 extensible, supports both severity- and verbosity-based logging,
 provides crash handling, STL logging, integration with syslog, log
 rotation, performance-specific logging for profiling, pointcut-style
 extensions of third-party code...

Package: libeasymock-java
Description-md5: b0b68622b79ad7db0856797be855ce25
Description-en: Java library to generate Mock Objects for given interfaces
 EasyMock is a library that provides an easy way to use Mock Objects for
 given interfaces. Mock Objects simulate parts of the behavior of domain code,
 and are able to check whether they are used as defined. Domain classes can be
 tested in isolation by simulating their collaborators with Mock Objects.

Package: libeasymock-java-doc
Description-md5: 04199c5441f8c47aba860cb4c0570727
Description-en: Java library to generate Mock Objects for given interfaces (documentation)
 EasyMock is a library that provides an easy way to use Mock Objects for
 given interfaces. Mock Objects simulate parts of the behavior of domain code,
 and are able to check whether they are used as defined. Domain classes can be
 tested in isolation by simulating their collaborators with Mock Objects.
 .
 This package contains the documentation for the Mock Objects library.

Package: libeb16
Description-md5: ef3d59afc86c5ba1446132c71a86882a
Description-en: C library for accessing electronic books (runtime files)
 EB Library is a C library for accessing CD-ROM books.
 .
 EB Library supports to access CD-ROM books of EB, EBG, EBXA, EBXA-C,
 S-EBXA and EPWING formats.  CD-ROM books of those formats are popular
 in Japan.  Since CD-ROM books themseves are stands on the ISO 9660
 format, you can mount the discs by the same way as other ISO 9660 discs.
 .
 This package provides shared libraries of EB Library.

Package: libeb16-dev
Description-md5: 0b7a72f0327cd3a17a1b1da059f944c6
Description-en: C library for accessing electronic books (development files)
 EB Library is a C library for accessing CD-ROM books.
 .
 EB Library supports to access CD-ROM books of EB, EBG, EBXA, EBXA-C,
 S-EBXA and EPWING formats.  CD-ROM books of those formats are popular
 in Japan.  Since CD-ROM books themseves are stands on the ISO 9660
 format, you can mount the discs by the same way as other ISO 9660 discs.
 .
 This package provides development libraries and headers of EB Library.

Package: libebml-dev
Description-md5: 405ef7b45eb7ea5fa99095b16269eaad
Description-en: access library for the EBML format (development files)
 The libebml library allows one to read and write files using EBML (the
 Extensible Binary Meta Language), a binary pendant to XML. Using libebml
 makes it easier to extend a file format without breaking support in
 older parsers.
 .
 This package contains the header files and static libraries needed to
 compile applications that use libebml.

Package: libebml4v5
Description-md5: b9c09704910519655e23515220ccd2aa
Description-en: access library for the EBML format (shared library)
 The libebml library allows one to read and write files using EBML (the
 Extensible Binary Meta Language), a binary pendant to XML. Using libebml
 makes it easier to extend a file format without breaking support in
 older parsers.
 .
 This package contains the shared library needed to run applications that
 use libebml.

Package: libebook-tools-perl
Description-md5: 745142c8e6185d249a5770b0bdd1d814
Description-en: E-Book manipulation tool and Perl libraries
 EBook-Tools contains a library and a command-line tool for unpacking,
 creating, correcting, and repacking electronic books.
 .
 Current native unpacking support is limited to PalmDoc, EReader, IMP,
 and Mobipocket without DRM protection, though MS Reader (lit.) and
 Mobipocket DRM is supported by automatic use of ConvertLIT and
 MobiDeDRM if available.  The metadata correction tools are quite
 extensive, however.  For more details, see the POD information on
 EBook::Tools and EBook::Tools::Unpack.

Package: libebur128-1
Description-md5: 6e3a4a5f7dace48f5ca9ea19e9a28f5e
Description-en: implementation of the EBU R128 loudness standard
 The European Broadcasting Union Loudness Recommendation (EBU R128) informs
 broadcasters how they can analyze and normalize audio so that each piece of
 audio sounds roughly the same volume to the human ear.
 .
 This C library provides an API which analyzes audio and outputs perceived
 loudness. The results can then be used to normalize volume during playback.
 This is an alternative to ReplayGain.
 .
 Features:
  * Implements M, S and I modes
  * Implements loudness range measurement (EBU - TECH 3342)
  * True peak scanning
  * Supports all samplerates by recalculation of the filter coefficients
 .
 This package contains the shared library.

Package: libebur128-dev
Description-md5: c10becc3937fbaf6a351e2058b0f60b0
Description-en: implementation of the EBU R128 loudness standard (development files)
 The European Broadcasting Union Loudness Recommendation (EBU R128) informs
 broadcasters how they can analyze and normalize audio so that each piece of
 audio sounds roughly the same volume to the human ear.
 .
 This C library provides an API which analyzes audio and outputs perceived
 loudness. The results can then be used to normalize volume during playback.
 This is an alternative to ReplayGain.
 .
 Features:
  * Implements M, S and I modes
  * Implements loudness range measurement (EBU - TECH 3342)
  * True peak scanning
  * Supports all samplerates by recalculation of the filter coefficients
 .
 This package contains the development files.

Package: libec-dev
Description-md5: 8f18a559e7677b64537acd6ee68e3ef0
Description-en: Library for modular symbols and elliptic curves over Q (development)
 This library implements 2-descent on elliptic curves over Q and computations
 with modular symbols.
 .
 This package contains the development files for the library.

Package: libec5
Description-md5: ef0e5b8927c7d668542172bb1c11ebbd
Description-en: Library for modular symbols and elliptic curves over Q
 This library implements 2-descent on elliptic curves over Q and computations
 with modular symbols.
 .
 It is the library which powers the eclib-tools, which are used for the
 elliptic curve database.

Package: libecasoundc-dev
Description-md5: dec20be3d8b724857c2d53f974214439
Description-en: multitrack-capable audio recorder and effect processor (C dev library)
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides ecasound's C library development files.

Package: libecasoundc1v5
Description-md5: a8cf58ded81f5dd9d5ea84eaf8210ed2
Description-en: multitrack-capable audio recorder and effect processor (C library)
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides ecasound's C library.

Package: libecc0
Description-md5: 76ca25ffeeff042273bb93fc6423e384
Description-en: eC Compiler Library
 This package provides the eC compiler.
 It is used by the Ecere IDE, as well
 as the eC compiling tools (ecp, ecc, ecs).
 It could also be used by applications
 wanting to parse or compile eC code.

Package: libeccodes-data
Description-md5: fde093c3ee4734383246dc0da303ec27
Description-en: GRIB and BUFR enecoding/encoding software library - data
 ecCodes is the ECMWF encoding/decoding software for GRIB and BUFR.

Package: libeccodes-dev
Description-md5: 38cacbaa96d02cec8bf386e2e0422d74
Description-en: GRIB and BUFR decoding/encoding software library (development)
 ecCodes is the ECMWF encoding/decoding software for GRIB and BUFR.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libeccodes-doc
Description-md5: 9d0729c96ad82038484dcfe4e15c6340
Description-en: GRIB decoding/encoding software (documentation)
 ecCodes is the ECMWF encoding/decoding software for GRIB and BUFR.
 .
 This provides the documentation for the library.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libeccodes-tools
Description-md5: 02762b26118e4cbfdffe178d74a22ef7
Description-en: GRIB decoding/encoding software (utilities)
 ecCodes is the ECMWF encoding/decoding software for GRIB and BUFR.
 .
 This is a useful set of command line tools to give quick access to grib
 messages.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libeccodes0
Description-md5: 4406561334d15db3243b72dccd518cf0
Description-en: GRIB and BUFR enecoding/encoding software library
 ecCodes is the ECMWF encoding/decoding software for GRIB and BUFR.
 .
 It is a replacement for the grib-api and emoslib libraries.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libecere0
Description-md5: 8a649590a18bd68e7abdd238bb876fab
Description-en: Ecere Runtime Library
 This package provides the Linux version
 of Ecere's cross-platform runtime library
 for running applications built using the
 Ecere SDK. It includes a GUI toolkit,
 2D/3D graphics engine, networking engine
 as well as system functionality.

Package: libecereaudio0
Description-md5: 7b86d86a889f0ce01b41bd18597d5b53
Description-en: Ecere Audio
 This package provides an audio playback and mixer API
 as well as support for basic .wav sound files.
 It makes use of ALSA.

Package: libecerecom0
Description-md5: 0122438b383a59e24aba411b67e27dca
Description-en: eC Core Runtime Library
 This package provides the bare minimum
 runtime library for applications
 written in eC.

Package: libecflow-view0d
Description-md5: b28934ee1315abc869bfe53f4bbd6336
Description-en: Shared library for ecFlow worklow tools
 ecFlow is a meteorological work flow package that enables
 users to run a large number of programs ( with dependencies
 on each other and on time) in a controlled environment.
 .
 This package contains a shared library used by ecflow tools.

Package: libecholib-dev
Description-md5: 7900eb0290decaa310b2be8923e91e99
Description-en: EchoLib library for SvxLink (development files)
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol.  This package contains the static
 version and the header files for the EchoLib library.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libecholib1.3
Description-md5: 77b44d33bfac03b1e51069269055ac83
Description-en: EchoLib library for SvxLink
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol.  This package contains EchoLib, a
 library for EchoLink communications.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: libecj-java
Description-md5: f303385070161d0c9a899a3559ea5617
Description-en: Eclipse Java compiler (library)
 This package provides a standalone version of the Eclipse JDT compiler library
 which is distributed as part of Eclipse. It passes the JCK (Java Compatibility
 Kit) and is compatible with Java 1.3, 1.4, 5, 6, 7, 8 and 9.

Package: libeckit-dev
Description-md5: 10e695bcc9686cd92584d7bff379ddbc
Description-en: C++ toolkit for ECMWF tools and applications
 ecKit is a cross-platform c++ toolkit that supports development of tools and
 applications at ECMWF. It is based on code developed over the last 20 years
 within the MARS software and was re-factored out to be reused by other
 applications. The main focus is UNIX/POSIX systems, and it has been thoroughly
 tested on AIX, Linux and Mac OSX. Historically, the code base pre-dates and in
 some way can be seen as a leaner substitute for some 'Boost' libraries.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libeckit-utils
Description-md5: 74553e3d8a8ef58d97b5f0140444ed11
Description-en: C++ toolkit for ECMWF tools and applications - development files
 ecKit is a cross-platform c++ toolkit that supports development of tools and
 applications at ECMWF. It is based on code developed over the last 20 years
 within the MARS software and was re-factored out to be reused by other
 applications. The main focus is UNIX/POSIX systems, and it has been thoroughly
 tested on AIX, Linux and Mac OSX. Historically, the code base pre-dates and in
 some way can be seen as a leaner substitute for some 'Boost' libraries.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libeckit0d
Description-md5: 10e695bcc9686cd92584d7bff379ddbc
Description-en: C++ toolkit for ECMWF tools and applications
 ecKit is a cross-platform c++ toolkit that supports development of tools and
 applications at ECMWF. It is based on code developed over the last 20 years
 within the MARS software and was re-factored out to be reused by other
 applications. The main focus is UNIX/POSIX systems, and it has been thoroughly
 tested on AIX, Linux and Mac OSX. Historically, the code base pre-dates and in
 some way can be seen as a leaner substitute for some 'Boost' libraries.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: libeclipse-compare-core-java
Description-md5: e7eb1930dd03df379473ba8f6468e2e0
Description-en: Eclipse Core Compare Support
 The Team component is responsible for providing repository tooling integration
 into Eclipse and a universal compare facility for use by repository tooling
 and others.
 .
 This package contains the org.eclipse.compare.core bundle.

Package: libeclipse-compare-java
Description-md5: c6e03c46a8600c27b322f02fc974b41f
Description-en: Eclipse Compare Support
 The Team component is responsible for providing repository tooling integration
 into Eclipse and a universal compare facility for use by repository tooling
 and others.
 .
 This package contains the org.eclipse.compare bundle.

Package: libeclipse-core-commands-java
Description-md5: d9291f09f31ba391d80427b09d2b610f
Description-en: Eclipse Commands
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.core.commands bundle.

Package: libeclipse-core-contenttype-java
Description-md5: d6b0475967111ecd2aa6baee2f890623
Description-en: Eclipse Content Mechanism
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.core.contenttype bundle.

Package: libeclipse-core-databinding-beans-java
Description-md5: 7f3d7522aae6390ca1c74bef59f63f45
Description-en: Eclipse JFace Data Binding for JavaBeans
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.core.databinding.beans bundle.

Package: libeclipse-core-databinding-java
Description-md5: de965601c307d45ddbaf72b3ac951c0c
Description-en: Eclipse JFace Data Binding
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.core.databinding bundle.

Package: libeclipse-core-databinding-observable-java
Description-md5: 4a05a2ba317ff051ccb3be1cf1c1027b
Description-en: Eclipse JFace Data Binding Observables
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.core.databinding.observable bundle.

Package: libeclipse-core-databinding-property-java
Description-md5: 7d4d49bdd6240331fe390122dc63e079
Description-en: Eclipse JFace Data Binding Properties
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.core.property bundle.

Package: libeclipse-core-expressions-java
Description-md5: 486968a6fd5f4d7a444f19a42f4ab6fc
Description-en: Eclipse Expression Language
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.core.expressions bundle.

Package: libeclipse-core-externaltools-java
Description-md5: d43b1a34fc5571d610d4b6d777ea43b6
Description-en: Eclipse External Tools Headless Support
 The Debug component of the Eclipse platform defines language independent
 facilities and mechanisms for:
  * Launching programs
  * Source lookup
  * Defining and registering breakpoints
  * Event notification from programs being debugged
  * A language independent debug model
  * A language independent debug UI
 .
 The Debug component does not provide an implementation of a debugger, it
 is the duty of other plug-ins to provide language specific implementations
 of debuggers.
 .
 This package contains the org.eclipse.core.externaltools bundle.

Package: libeclipse-core-filebuffers-java
Description-md5: 955bc4dfa05b09bdf583221e03d4d257
Description-en: Eclipse File Buffers
 Eclipse Platform Text is part of the Platform UI project and provides
 the basic building blocks for text and text editors within Eclipse and
 contributes the Eclipse default text editor.
 .
 This package contains the org.eclipse.core.filebuffers bundle.

Package: libeclipse-core-filesystem-java
Description-md5: 2e05ec7163165fb09bf6eefcc9d22148
Description-en: Eclipse Core File Systems
 The Eclipse Platform Resources component provides the fundamental model
 underlying the IDE portion of the Eclipse Platform. This includes the
 central concepts of resources (projects, folders, and files), builders,
 natures, resource change listeners, etc.
 .
 This package contains the org.eclipse.core.filesystem bundle which provides
 an abstract, generic file system API, including an implementation of this
 API for the local file system. This is the API through which the resources
 plugin accesses an underlying file system.

Package: libeclipse-core-jobs-java
Description-md5: 572c4c9bf96f90ec6463270ec3cc8be7
Description-en: Eclipse Core Jobs
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.core.jobs bundle.

Package: libeclipse-core-net-java
Description-md5: 6e2b6e2cf36f74fd5dc7a3a009259953
Description-en: Eclipse Internet Connection Management
 The Team component is responsible for providing repository tooling integration
 into Eclipse and a universal compare facility for use by repository tooling
 and others.
 .
 This package contains the org.eclipse.core.net bundle.

Package: libeclipse-core-resources-java
Description-md5: b977aa146c454d904f3026d21de32b0d
Description-en: Eclipse Core Resource Management
 The Eclipse Platform Resources component provides the fundamental model
 underlying the IDE portion of the Eclipse Platform. This includes the
 central concepts of resources (projects, folders, and files), builders,
 natures, resource change listeners, etc.
 .
 This package contains the org.eclipse.core.resources bundle.

Package: libeclipse-core-runtime-java
Description-md5: bfb85463ada4a263df796ac2e084a576
Description-en: Eclipse Core Runtime
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.core.runtime bundle.

Package: libeclipse-core-variables-java
Description-md5: b8fb8f9e0ae96cc38a3ebd9245f2c446
Description-en: Eclipse Core Variables
 The Debug component of the Eclipse platform defines language independent
 facilities and mechanisms for:
  * Launching programs
  * Source lookup
  * Defining and registering breakpoints
  * Event notification from programs being debugged
  * A language independent debug model
  * A language independent debug UI
 .
 The Debug component does not provide an implementation of a debugger, it
 is the duty of other plug-ins to provide language specific implementations
 of debuggers.
 .
 This package contains the org.eclipse.core.variables bundle.

Package: libeclipse-debug-core-java
Description-md5: 505462db58447728a64b922ac7d21756
Description-en: Eclipse Debug Core
 The Debug component of the Eclipse platform defines language independent
 facilities and mechanisms for:
  * Launching programs
  * Source lookup
  * Defining and registering breakpoints
  * Event notification from programs being debugged
  * A language independent debug model
  * A language independent debug UI
 .
 The Debug component does not provide an implementation of a debugger, it
 is the duty of other plug-ins to provide language specific implementations
 of debuggers.
 .
 This package contains the org.eclipse.debug.core bundle.

Package: libeclipse-debug-ui-java
Description-md5: df7a530086d6f876c2dccf22c60b9bb3
Description-en: Eclipse Debug UI
 The Debug component of the Eclipse platform defines language independent
 facilities and mechanisms for:
  * Launching programs
  * Source lookup
  * Defining and registering breakpoints
  * Event notification from programs being debugged
  * A language independent debug model
  * A language independent debug UI
 .
 The Debug component does not provide an implementation of a debugger, it
 is the duty of other plug-ins to provide language specific implementations
 of debuggers.
 .
 This package contains the org.eclipse.debug.ui bundle.

Package: libeclipse-e4-core-commands-java
Description-md5: 1e22bc2dbec6abc5895d8c6097126716
Description-en: Eclipse e4 core commands
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.core.commands bundle.

Package: libeclipse-e4-core-contexts-java
Description-md5: 7918272c1a35c3748c30c05651c93137
Description-en: Eclipse Contexts
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.e4.core.contexts bundle.

Package: libeclipse-e4-core-di-annotations-java
Description-md5: 26ada04531f7672a82b19cdb6d07abab
Description-en: Eclipse Dependency Injection Annotations
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.e4.core.di.annotations bundle.

Package: libeclipse-e4-core-di-extensions-java
Description-md5: dcee35d0ea2b5f1856fc04fef0473b38
Description-en: Eclipse Dependency Injection Extensions
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.e4.core.di.extensions bundle.

Package: libeclipse-e4-core-di-extensions-supplier-java
Description-md5: c578ddd45174624a927708b45b9e0814
Description-en: Eclipse Dependency Injection Extensions Supplier
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.e4.core.di.extensions.supplier bundle.

Package: libeclipse-e4-core-di-java
Description-md5: 0c63856cf4c9c1c1d8b379cfebfc3960
Description-en: Eclipse Dependency Injection
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.e4.core.di bundle.

Package: libeclipse-e4-core-services-java
Description-md5: 9b3280e2ad355dc29b05eaf5b4a64772
Description-en: Eclipse Application Services
 Eclipse Platform defines the set of frameworks and common services that
 collectively make up infrastructure required to support the use of Eclipse
 as a component model, as a Rich Client Platform (RCP) and as a comprehensive
 tool integration platform. The core component provides basic platform
 infrastructure that does not involve any UI.
 .
 This package contains the org.eclipse.e4.core.services bundle.

Package: libeclipse-e4-emf-xpath-java
Description-md5: 07058aa8c5efea5f25c34318dd12e2e0
Description-en: Eclipse EMF XPath
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.emf.xpath bundle.

Package: libeclipse-e4-ui-bindings-java
Description-md5: c4fe5caaeef59d8da6dedb3caeca4028
Description-en: Eclipse Bindings Support
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.bindings bundle.

Package: libeclipse-e4-ui-css-core-java
Description-md5: cc4963893327128bc61bc5d72790ccd6
Description-en: Eclipse CSS Core Support
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.css.core bundle.

Package: libeclipse-e4-ui-css-swt-java
Description-md5: 330b2e0d8353e524e8a3c1d4d250314b
Description-en: Eclipse CSS SWT Support
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.css.swt bundle.

Package: libeclipse-e4-ui-css-swt-theme-java
Description-md5: d6ee7da878636d05c746a94e8f6982bf
Description-en: Eclipse CSS SWT Theme Support
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.css.swt.theme bundle.

Package: libeclipse-e4-ui-di-java
Description-md5: 1aaf589073a62d0df86212be0db52a37
Description-en: Eclipse UI Dependency Injection
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.di bundle.

Package: libeclipse-e4-ui-dialogs-java
Description-md5: 2f1fe559ca112b92e1c93cc8f1e53f6e
Description-en: Eclipse e4 dialogs
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.dialogs bundle.

Package: libeclipse-e4-ui-model-workbench-java
Description-md5: 22ec8d8b90dd3eed41c081a1b02b2827
Description-en: Eclipse Workbench Model
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.model.workbench bundle.

Package: libeclipse-e4-ui-progress-java
Description-md5: ffb4c4bc3f976c696286a9c684b414cc
Description-en: Eclipse e4 Progress View
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.progress bundle.

Package: libeclipse-e4-ui-services-java
Description-md5: af374f584f4165ae77c1b56db36d4fa8
Description-en: Eclipse UI Application Services
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.services bundle.

Package: libeclipse-e4-ui-swt-gtk-java
Description-md5: 61e8b04f6936f0dadf16ce0dc73e10e1
Description-en: Eclipse UI GTK Enhancements
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.swt.gtk bundle.

Package: libeclipse-e4-ui-widgets-java
Description-md5: ea8aec609a1c6603d93ac7819976944b
Description-en: Eclipse UI Custom widgets
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.widgets bundle.

Package: libeclipse-e4-ui-workbench-addons-swt-java
Description-md5: e8bdeea087bb33d728eea89e76ed5829
Description-en: Eclipse e4 Workbench Add-ons
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.workbench.addons.swt bundle.

Package: libeclipse-e4-ui-workbench-java
Description-md5: ae1028c11b726d46c387aeb1b3ca628b
Description-en: Eclipse e4 Workbench
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.workbench bundle.

Package: libeclipse-e4-ui-workbench-renderers-swt-java
Description-md5: 4dd7d1b3dec1279a754d183b64ff7ff2
Description-en: Eclipse e4 Workbench SWT Renderer
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.workbench.renderers.swt bundle.

Package: libeclipse-e4-ui-workbench-swt-java
Description-md5: 92a35c18e67cea3b0c5bab6ac796dc00
Description-en: Eclipse e4 Workbench SWT
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.workbench.swt bundle.

Package: libeclipse-e4-ui-workbench3-java
Description-md5: 494fa0bff5403988bdf61e253049c8ad
Description-en: Bundle for Workbench APIs available in e4
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.e4.ui.workbench3 bundle.

Package: libeclipse-emf-common-java
Description-md5: ab14f135aea8d768eb2111559a87735a
Description-en: Eclipse Modeling Framework (EMF) Common
 The Eclipse Modeling Framework (EMF) allows developers to build tools and
 other applications based on a structured data model. From a model
 specification described in XMI, EMF provides tools and runtime support to
 produce a set of Java classes for the model, along with a set of adapter
 classes that enable viewing and command-based editing of the model, and a
 basic editor.
 .
 This package contains the org.eclipse.emf.common bundle.

Package: libeclipse-emf-ecore-java
Description-md5: ab76567f35d5ceccec2c261148a6b7ac
Description-en: Eclipse Modeling Framework (EMF) Ecore
 The Eclipse Modeling Framework (EMF) allows developers to build tools and
 other applications based on a structured data model. From a model
 specification described in XMI, EMF provides tools and runtime support to
 produce a set of Java classes for the model, along with a set of adapter
 classes that enable viewing and command-based editing of the model, and a
 basic editor.
 .
 This package contains the org.eclipse.emf.ecore bundle.

Package: libeclipse-emf-ecore-xmi-java
Description-md5: d0606cc18876bb686f0a78e2666e0484
Description-en: Eclipse Modeling Framework (EMF) XML/XMI Persistence
 The Eclipse Modeling Framework (EMF) allows developers to build tools and
 other applications based on a structured data model. From a model
 specification described in XMI, EMF provides tools and runtime support to
 produce a set of Java classes for the model, along with a set of adapter
 classes that enable viewing and command-based editing of the model, and a
 basic editor.
 .
 This package contains the org.eclipse.emf.ecore.xmi bundle.

Package: libeclipse-help-java
Description-md5: 0cce2e07aa34add66416b9f0002aa255
Description-en: Eclipse Help System Core
 The Platform User Assistance component provides the mechanisms for making the
 Eclipse applications easier to use. These mechanisms are made available
 throughout usage life cycle and include initial user experience (welcome),
 online documentation, dynamic help, search, cheat sheets, automation and
 samples.
 .
 The goal of this component is to make it easier for users to accomplish their
 tasks in Eclipse applications. While it includes the traditional book-based
 help system, increasing effort is spent towards bringing assistance closer to
 the user. Welcome, dynamic help view and cheat sheets are examples of user
 assistance vehicles that can be used that way.
 .
 This package contains the org.eclipse.help bundle.

Package: libeclipse-jdt-annotation-java
Description-md5: 4edeb285ebc5e9ef1304d67b4df8b08a
Description-en: Eclipse JDT Annotations for Enhanced Null Analysis
 Eclipse JDT Core is the Java infrastructure of the Eclipse Java IDE.
 It includes:
  * An incremental Java compiler. Implemented as an Eclipse builder, it is based
    on technology evolved from VisualAge for Java compiler. In particular, it
    allows one to run and debug code which still contains unresolved errors.
  * A Java Model that provides API for navigating the Java element tree.
    The Java element tree defines a Java centric view of a project. It surfaces
    elements like package fragments, compilation units, binary classes, types,
    methods, fields.
  * A Java Document Model providing API for manipulating a structured Java
    source document.
  * Code assist and code select support.
  * An indexed based search infrastructure that is used for searching, code
    assist, type hierarchy computation, and refactoring. The Java search engine
    can accurately find precise matches either in sources or binaries.
  * Evaluation support either in a scrapbook page or a debugger context.
  * Source code formatter
 .
 This package contains the org.eclipse.jdt.annotation bundle.

Package: libeclipse-jdt-apt-core-java
Description-md5: 8f788dbe56fe770b5c0562ab0bd8658f
Description-en: Eclipse Java Annotation Processing Core
 Eclipse JDT Core is the Java infrastructure of the Eclipse Java IDE.
 It includes:
  * An incremental Java compiler. Implemented as an Eclipse builder, it is based
    on technology evolved from VisualAge for Java compiler. In particular, it
    allows one to run and debug code which still contains unresolved errors.
  * A Java Model that provides API for navigating the Java element tree.
    The Java element tree defines a Java centric view of a project. It surfaces
    elements like package fragments, compilation units, binary classes, types,
    methods, fields.
  * A Java Document Model providing API for manipulating a structured Java
    source document.
  * Code assist and code select support.
  * An indexed based search infrastructure that is used for searching, code
    assist, type hierarchy computation, and refactoring. The Java search engine
    can accurately find precise matches either in sources or binaries.
  * Evaluation support either in a scrapbook page or a debugger context.
  * Source code formatter
 .
 This package contains the org.eclipse.jdt.apt.core bundle.

Package: libeclipse-jdt-apt-pluggable-core-java
Description-md5: 3c339457dbebd2f308178fee971c1f2d
Description-en: Eclipse Java Compiler Apt IDE
 Eclipse JDT Core is the Java infrastructure of the Eclipse Java IDE.
 It includes:
  * An incremental Java compiler. Implemented as an Eclipse builder, it is based
    on technology evolved from VisualAge for Java compiler. In particular, it
    allows one to run and debug code which still contains unresolved errors.
  * A Java Model that provides API for navigating the Java element tree.
    The Java element tree defines a Java centric view of a project. It surfaces
    elements like package fragments, compilation units, binary classes, types,
    methods, fields.
  * A Java Document Model providing API for manipulating a structured Java
    source document.
  * Code assist and code select support.
  * An indexed based search infrastructure that is used for searching, code
    assist, type hierarchy computation, and refactoring. The Java search engine
    can accurately find precise matches either in sources or binaries.
  * Evaluation support either in a scrapbook page or a debugger context.
  * Source code formatter
 .
 This package contains the org.eclipse.jdt.apt.pluggable.core bundle.

Package: libeclipse-jdt-astview-java
Description-md5: 1c0db2375cac2a253168ea9335aa2995
Description-en: Eclipse AST View Plug-in
 Eclipse JDT UI implements the user interface for the Java IDE. It provides
 several workbench contributions for viewing and manipulating Java code:
  * Package Explorer View - shows the Java element tree as defined
    by a project's build class path
  * Type Hierarchy View - shows the sub- and supertype hierarchies
  * Java Outline View - shows the structure of a Java compilation unit
    or class file
  * Java Browsing Perspecitve - allows navigating the Java model using
    separate views for projects, packages, types and members.
  * Wizards for creating Java elements - Java project, package, class,
    interface
  * Java Editor - syntax coloring, content specific code assist, code
    resolve, method level edit, import assistance, quick fix and quick
    assist
 .
 In addition to these workbench elements the following functions are provided:
  * Refactoring support - Extract Method, Safe Rename and Moving with
    reference updates, Self Encapsulate Field etc.
  * Java searching - find declarations of and/or references to packages,
    types, methods, and fields, scoped to the workspace, a working set,
    or the current selection.
  * Java aware structured compare - determine and present changes to
    individual Java methods. It supports to replace individual Java elements
    with a version of an element from the local history.
 .
 This package contains the org.eclipse.jdt.astview bundle.

Package: libeclipse-jdt-compiler-apt-java
Description-md5: efe41d5412152180c4aa222b09936f8c
Description-en: Eclipse Java Compiler Apt
 Eclipse JDT Core is the Java infrastructure of the Eclipse Java IDE.
 It includes:
  * An incremental Java compiler. Implemented as an Eclipse builder, it is based
    on technology evolved from VisualAge for Java compiler. In particular, it
    allows one to run and debug code which still contains unresolved errors.
  * A Java Model that provides API for navigating the Java element tree.
    The Java element tree defines a Java centric view of a project. It surfaces
    elements like package fragments, compilation units, binary classes, types,
    methods, fields.
  * A Java Document Model providing API for manipulating a structured Java
    source document.
  * Code assist and code select support.
  * An indexed based search infrastructure that is used for searching, code
    assist, type hierarchy computation, and refactoring. The Java search engine
    can accurately find precise matches either in sources or binaries.
  * Evaluation support either in a scrapbook page or a debugger context.
  * Source code formatter
 .
 This package contains the org.eclipse.jdt.compiler.apt bundle.

Package: libeclipse-jdt-compiler-tool-java
Description-md5: 633d9c84f959857a482ed88013f9642d
Description-en: Eclipse Java Compiler Tool Support
 Eclipse JDT Core is the Java infrastructure of the Eclipse Java IDE.
 It includes:
  * An incremental Java compiler. Implemented as an Eclipse builder, it is based
    on technology evolved from VisualAge for Java compiler. In particular, it
    allows one to run and debug code which still contains unresolved errors.
  * A Java Model that provides API for navigating the Java element tree.
    The Java element tree defines a Java centric view of a project. It surfaces
    elements like package fragments, compilation units, binary classes, types,
    methods, fields.
  * A Java Document Model providing API for manipulating a structured Java
    source document.
  * Code assist and code select support.
  * An indexed based search infrastructure that is used for searching, code
    assist, type hierarchy computation, and refactoring. The Java search engine
    can accurately find precise matches either in sources or binaries.
  * Evaluation support either in a scrapbook page or a debugger context.
  * Source code formatter
 .
 This package contains the org.eclipse.jdt.compiler.tool bundle.

Package: libeclipse-jdt-core-java
Description-md5: 6fcc840619481910d26d008490f0f617
Description-en: Eclipse Java Development Tools Core
 Eclipse JDT Core is the Java infrastructure of the Eclipse Java IDE.
 It includes:
  * An incremental Java compiler. Implemented as an Eclipse builder, it is based
    on technology evolved from VisualAge for Java compiler. In particular, it
    allows one to run and debug code which still contains unresolved errors.
  * A Java Model that provides API for navigating the Java element tree.
    The Java element tree defines a Java centric view of a project. It surfaces
    elements like package fragments, compilation units, binary classes, types,
    methods, fields.
  * A Java Document Model providing API for manipulating a structured Java
    source document.
  * Code assist and code select support.
  * An indexed based search infrastructure that is used for searching, code
    assist, type hierarchy computation, and refactoring. The Java search engine
    can accurately find precise matches either in sources or binaries.
  * Evaluation support either in a scrapbook page or a debugger context.
  * Source code formatter
 .
 This package contains the org.eclipse.jdt.core bundle.

Package: libeclipse-jdt-core-manipulation-java
Description-md5: dd664c9ab928f7bc984ed18b961d3b75
Description-en: Eclipse Java Code Manipulation Functionality
 Eclipse JDT UI implements the user interface for the Java IDE. It provides
 several workbench contributions for viewing and manipulating Java code:
  * Package Explorer View - shows the Java element tree as defined
    by a project's build class path
  * Type Hierarchy View - shows the sub- and supertype hierarchies
  * Java Outline View - shows the structure of a Java compilation unit
    or class file
  * Java Browsing Perspecitve - allows navigating the Java model using
    separate views for projects, packages, types and members.
  * Wizards for creating Java elements - Java project, package, class,
    interface
  * Java Editor - syntax coloring, content specific code assist, code
    resolve, method level edit, import assistance, quick fix and quick
    assist
 .
 In addition to these workbench elements the following functions are provided:
  * Refactoring support - Extract Method, Safe Rename and Moving with
    reference updates, Self Encapsulate Field etc.
  * Java searching - find declarations of and/or references to packages,
    types, methods, and fields, scoped to the workspace, a working set,
    or the current selection.
  * Java aware structured compare - determine and present changes to
    individual Java methods. It supports to replace individual Java elements
    with a version of an element from the local history.
 .
 This package contains the org.eclipse.jdt.core.manipulation bundle.

Package: libeclipse-jdt-debug-java
Description-md5: df6dbdc3fc9b5636c3816c00d007b4ad
Description-en: Eclipse JDI Debug Model
 Eclipse JDT Debug implements Java debugging support and works with any
 JDPA-compliant target Java VM. It is implemented on top of the language
 independent "debug model" provided by the platform debugger.
 .
 This package contains the org.eclipse.jdt.debug bundle.

Package: libeclipse-jdt-debug-ui-java
Description-md5: 4a0faa292447bc96d82b60b51d8fec86
Description-en: Eclipse JDI Debug UI
 Eclipse JDT Debug implements Java debugging support and works with any
 JDPA-compliant target Java VM. It is implemented on top of the language
 independent "debug model" provided by the platform debugger.
 .
 This package contains the org.eclipse.jdt.debug.ui bundle.

Package: libeclipse-jdt-junit-core-java
Description-md5: 21684dffab1fb3d6b38412f1d97b8b34
Description-en: Eclipse Java Development Tools JUnit core support
 Eclipse JDT UI implements the user interface for the Java IDE. It provides
 several workbench contributions for viewing and manipulating Java code:
  * Package Explorer View - shows the Java element tree as defined
    by a project's build class path
  * Type Hierarchy View - shows the sub- and supertype hierarchies
  * Java Outline View - shows the structure of a Java compilation unit
    or class file
  * Java Browsing Perspecitve - allows navigating the Java model using
    separate views for projects, packages, types and members.
  * Wizards for creating Java elements - Java project, package, class,
    interface
  * Java Editor - syntax coloring, content specific code assist, code
    resolve, method level edit, import assistance, quick fix and quick
    assist
 .
 In addition to these workbench elements the following functions are provided:
  * Refactoring support - Extract Method, Safe Rename and Moving with
    reference updates, Self Encapsulate Field etc.
  * Java searching - find declarations of and/or references to packages,
    types, methods, and fields, scoped to the workspace, a working set,
    or the current selection.
  * Java aware structured compare - determine and present changes to
    individual Java methods. It supports to replace individual Java elements
    with a version of an element from the local history.
 .
 This package contains the org.eclipse.jdt.junit.core bundle.

Package: libeclipse-jdt-junit-runtime-java
Description-md5: 2e84ed4856ce210cb42b7fef06c3b82e
Description-en: Eclipse Java Development Tools JUnit Runtime Support
 Eclipse JDT UI implements the user interface for the Java IDE. It provides
 several workbench contributions for viewing and manipulating Java code:
  * Package Explorer View - shows the Java element tree as defined
    by a project's build class path
  * Type Hierarchy View - shows the sub- and supertype hierarchies
  * Java Outline View - shows the structure of a Java compilation unit
    or class file
  * Java Browsing Perspecitve - allows navigating the Java model using
    separate views for projects, packages, types and members.
  * Wizards for creating Java elements - Java project, package, class,
    interface
  * Java Editor - syntax coloring, content specific code assist, code
    resolve, method level edit, import assistance, quick fix and quick
    assist
 .
 In addition to these workbench elements the following functions are provided:
  * Refactoring support - Extract Method, Safe Rename and Moving with
    reference updates, Self Encapsulate Field etc.
  * Java searching - find declarations of and/or references to packages,
    types, methods, and fields, scoped to the workspace, a working set,
    or the current selection.
  * Java aware structured compare - determine and present changes to
    individual Java methods. It supports to replace individual Java elements
    with a version of an element from the local history.
 .
 This package contains the org.eclipse.jdt.junit.runtime bundle.

Package: libeclipse-jdt-junit4-runtime-java
Description-md5: 06034ca0fd7d153d26c047741d78afaa
Description-en: Eclipse Java Development Tools JUnit4 Runtime Support
 Eclipse JDT UI implements the user interface for the Java IDE. It provides
 several workbench contributions for viewing and manipulating Java code:
  * Package Explorer View - shows the Java element tree as defined
    by a project's build class path
  * Type Hierarchy View - shows the sub- and supertype hierarchies
  * Java Outline View - shows the structure of a Java compilation unit
    or class file
  * Java Browsing Perspecitve - allows navigating the Java model using
    separate views for projects, packages, types and members.
  * Wizards for creating Java elements - Java project, package, class,
    interface
  * Java Editor - syntax coloring, content specific code assist, code
    resolve, method level edit, import assistance, quick fix and quick
    assist
 .
 In addition to these workbench elements the following functions are provided:
  * Refactoring support - Extract Method, Safe Rename and Moving with
    reference updates, Self Encapsulate Field etc.
  * Java searching - find declarations of and/or references to packages,
    types, methods, and fields, scoped to the workspace, a working set,
    or the current selection.
  * Java aware structured compare - determine and present changes to
    individual Java methods. It supports to replace individual Java elements
    with a version of an element from the local history.
 .
 This package contains the org.eclipse.jdt.junit4.runtime bundle.

Package: libeclipse-jdt-launching-java
Description-md5: 55c6275cd6c3de25aea46f8a5bfb1e57
Description-en: Eclipse Java Development Tools Launching Support
 Eclipse JDT Debug implements Java debugging support and works with any
 JDPA-compliant target Java VM. It is implemented on top of the language
 independent "debug model" provided by the platform debugger.
 .
 This package contains the org.eclipse.jdt.launching bundle.

Package: libeclipse-jdt-ui-java
Description-md5: 32b02edb1625fca0a7f837cc54831887
Description-en: Eclipse Java Development Tools UI
 Eclipse JDT UI implements the user interface for the Java IDE. It provides
 several workbench contributions for viewing and manipulating Java code:
  * Package Explorer View - shows the Java element tree as defined
    by a project's build class path
  * Type Hierarchy View - shows the sub- and supertype hierarchies
  * Java Outline View - shows the structure of a Java compilation unit
    or class file
  * Java Browsing Perspecitve - allows navigating the Java model using
    separate views for projects, packages, types and members.
  * Wizards for creating Java elements - Java project, package, class,
    interface
  * Java Editor - syntax coloring, content specific code assist, code
    resolve, method level edit, import assistance, quick fix and quick
    assist
 .
 In addition to these workbench elements the following functions are provided:
  * Refactoring support - Extract Method, Safe Rename and Moving with
    reference updates, Self Encapsulate Field etc.
  * Java searching - find declarations of and/or references to packages,
    types, methods, and fields, scoped to the workspace, a working set,
    or the current selection.
  * Java aware structured compare - determine and present changes to
    individual Java methods. It supports to replace individual Java elements
    with a version of an element from the local history.
 .
 This package contains the org.eclipse.jdt.ui bundle.

Package: libeclipse-jface-databinding-java
Description-md5: 1e9aa718a9d82019b835ae3efcaae193
Description-en: Eclipse JFace Data Binding for SWT and JFace
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.jface.databinding bundle.

Package: libeclipse-jface-java
Description-md5: 1b175d5ab552a4194ef51cce3c20f8d9
Description-en: Eclipse JFace
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.jface bundle.

Package: libeclipse-jface-text-java
Description-md5: dcf3e4489dc53ba89de645f8a9310ef0
Description-en: Eclipse JFace Text
 Eclipse Platform Text is part of the Platform UI project and provides
 the basic building blocks for text and text editors within Eclipse and
 contributes the Eclipse default text editor.
 .
 This package contains the org.eclipse.jface.text bundle.

Package: libeclipse-jsch-core-java
Description-md5: 7c96f8f0767fd0450c9410f0a8d291a3
Description-en: Eclipse JSch Core
 The Team component is responsible for providing repository tooling integration
 into Eclipse and a universal compare facility for use by repository tooling
 and others.
 .
 This package contains the org.eclipse.jsch.core bundle.

Package: libeclipse-ltk-core-refactoring-java
Description-md5: 06cc7b07b1299cd1c4a3db52a4f38ff0
Description-en: Eclipse Refactoring Core
 Eclipse JDT UI implements the user interface for the Java IDE. It provides
 several workbench contributions for viewing and manipulating Java code:
  * Package Explorer View - shows the Java element tree as defined
    by a project's build class path
  * Type Hierarchy View - shows the sub- and supertype hierarchies
  * Java Outline View - shows the structure of a Java compilation unit
    or class file
  * Java Browsing Perspecitve - allows navigating the Java model using
    separate views for projects, packages, types and members.
  * Wizards for creating Java elements - Java project, package, class,
    interface
  * Java Editor - syntax coloring, content specific code assist, code
    resolve, method level edit, import assistance, quick fix and quick
    assist
 .
 In addition to these workbench elements the following functions are provided:
  * Refactoring support - Extract Method, Safe Rename and Moving with
    reference updates, Self Encapsulate Field etc.
  * Java searching - find declarations of and/or references to packages,
    types, methods, and fields, scoped to the workspace, a working set,
    or the current selection.
  * Java aware structured compare - determine and present changes to
    individual Java methods. It supports to replace individual Java elements
    with a version of an element from the local history.
 .
 This package contains the org.eclipse.ltk.core.refactoring bundle.

Package: libeclipse-ltk-ui-refactoring-java
Description-md5: c892b0089f867c00c89a085643ce7069
Description-en: Eclipse Refactoring UI
 Eclipse JDT UI implements the user interface for the Java IDE. It provides
 several workbench contributions for viewing and manipulating Java code:
  * Package Explorer View - shows the Java element tree as defined
    by a project's build class path
  * Type Hierarchy View - shows the sub- and supertype hierarchies
  * Java Outline View - shows the structure of a Java compilation unit
    or class file
  * Java Browsing Perspecitve - allows navigating the Java model using
    separate views for projects, packages, types and members.
  * Wizards for creating Java elements - Java project, package, class,
    interface
  * Java Editor - syntax coloring, content specific code assist, code
    resolve, method level edit, import assistance, quick fix and quick
    assist
 .
 In addition to these workbench elements the following functions are provided:
  * Refactoring support - Extract Method, Safe Rename and Moving with
    reference updates, Self Encapsulate Field etc.
  * Java searching - find declarations of and/or references to packages,
    types, methods, and fields, scoped to the workspace, a working set,
    or the current selection.
  * Java aware structured compare - determine and present changes to
    individual Java methods. It supports to replace individual Java elements
    with a version of an element from the local history.
 .
 This package contains the org.eclipse.ltk.ui.refactoring bundle.

Package: libeclipse-osgi-compatibility-state-java
Description-md5: ee7212c7e361a1d6ce910c82a0ba7988
Description-en: Equinox State and Resolver Compatibility Fragment
 The Equinox Framework component is tasked with being a full implementation
 to the OSGi Core Framework R4 specification. In addition, the Framework
 component produces launchers, bootstrap infrastructure and application models
 that facilitate the use of Equinox OSGi in end-user product scenarios.
 .
 This package contains the org.eclipse.osgi.compatibility.state bundle.

Package: libeclipse-osgi-java
Description-md5: d7fa22f3b3237ce1d59f6a2bdf498012
Description-en: Eclipse OSGi System Bundle
 The Equinox Framework component is tasked with being a full implementation
 to the OSGi Core Framework R4 specification. In addition, the Framework
 component produces launchers, bootstrap infrastructure and application models
 that facilitate the use of Equinox OSGi in end-user product scenarios.
 .
 This package contains the org.eclipse.osgi bundle.

Package: libeclipse-osgi-services-java
Description-md5: 9a24921eff82bfff72370ccc8db60c08
Description-en: Eclipse OSGi Service Interfaces and Classes
 The Equinox Framework component is tasked with being a full implementation
 to the OSGi Core Framework R4 specification. In addition, the Framework
 component produces launchers, bootstrap infrastructure and application models
 that facilitate the use of Equinox OSGi in end-user product scenarios.
 .
 This package contains the org.eclipse.osgi.services bundle.

Package: libeclipse-osgi-util-java
Description-md5: 43fede6c426a8a8a31951c2bf79b6fb0
Description-en: Eclipse OSGi Utility Classes
 The Equinox Framework component is tasked with being a full implementation
 to the OSGi Core Framework R4 specification. In addition, the Framework
 component produces launchers, bootstrap infrastructure and application models
 that facilitate the use of Equinox OSGi in end-user product scenarios.
 .
 This package contains the org.eclipse.osgi.util bundle.

Package: libeclipse-search-java
Description-md5: 86f8e6adc8cfe45b027d6eddb0a3c4f4
Description-en: Eclipse Search Support
 Eclipse Platform Text is part of the Platform UI project and provides
 the basic building blocks for text and text editors within Eclipse and
 contributes the Eclipse default text editor.
 .
 This package contains the org.eclipse.search bundle.

Package: libeclipse-team-core-java
Description-md5: a5d0fcd861a5812f8a2cdf2d5ce1e4b8
Description-en: Eclipse Team Support Core
 The Team component is responsible for providing repository tooling integration
 into Eclipse and a universal compare facility for use by repository tooling
 and others.
 .
 This package contains the org.eclipse.team.core bundle.

Package: libeclipse-team-genericeditor-diff-extension-java
Description-md5: f67b5250405486986ff9fea4cfef96ff
Description-en: Eclipse Diff Extension for Generic Editor
 The Team component is responsible for providing repository tooling integration
 into Eclipse and a universal compare facility for use by repository tooling
 and others.
 .
 This package contains the org.eclipse.team.genericeditor.diff.extension bundle.

Package: libeclipse-team-ui-java
Description-md5: 1f82fff727909fdcdb86ab1466e89666
Description-en: Eclipse Team Support UI
 The Team component is responsible for providing repository tooling integration
 into Eclipse and a universal compare facility for use by repository tooling
 and others.
 .
 This package contains the org.eclipse.team.ui bundle.

Package: libeclipse-text-java
Description-md5: 39d73c4bf9a94a39a354a222c18671a0
Description-en: Eclipse Text
 Eclipse Platform Text is part of the Platform UI project and provides
 the basic building blocks for text and text editors within Eclipse and
 contributes the Eclipse default text editor.
 .
 This package contains the org.eclipse.text bundle.

Package: libeclipse-ui-browser-java
Description-md5: d7d6552005e1f419dfa43242984f32ee
Description-en: Eclipse Browser Support
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.browser bundle.

Package: libeclipse-ui-console-java
Description-md5: 9cf22555abcc0aa4c1a10ea76fa7b451
Description-en: Eclipse Console
 The Debug component of the Eclipse platform defines language independent
 facilities and mechanisms for:
  * Launching programs
  * Source lookup
  * Defining and registering breakpoints
  * Event notification from programs being debugged
  * A language independent debug model
  * A language independent debug UI
 .
 The Debug component does not provide an implementation of a debugger, it
 is the duty of other plug-ins to provide language specific implementations
 of debuggers.
 .
 This package contains the org.eclipse.ui.console bundle.

Package: libeclipse-ui-editors-java
Description-md5: 8ddf421d386c97529a891f0693106183
Description-en: Eclipse Default Text Editor
 Eclipse Platform Text is part of the Platform UI project and provides
 the basic building blocks for text and text editors within Eclipse and
 contributes the Eclipse default text editor.
 .
 This package contains the org.eclipse.ui.editors bundle.

Package: libeclipse-ui-externaltools-java
Description-md5: b09b2dee46a4b537596fbd992cb2c28e
Description-en: Eclipse External Tools
 The Debug component of the Eclipse platform defines language independent
 facilities and mechanisms for:
  * Launching programs
  * Source lookup
  * Defining and registering breakpoints
  * Event notification from programs being debugged
  * A language independent debug model
  * A language independent debug UI
 .
 The Debug component does not provide an implementation of a debugger, it
 is the duty of other plug-ins to provide language specific implementations
 of debuggers.
 .
 This package contains the org.eclipse.ui.externaltools bundle.

Package: libeclipse-ui-forms-java
Description-md5: b20f440057959415c10cdc3a2e2c7421
Description-en: Eclipse UI forms
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.forms bundle.

Package: libeclipse-ui-genericeditor-java
Description-md5: 100654d2591cebabd97a4752aa66aa7a
Description-en: EEclipse Generic and Extensible Text Editor
 Eclipse Platform Text is part of the Platform UI project and provides
 the basic building blocks for text and text editors within Eclipse and
 contributes the Eclipse default text editor.
 .
 This package contains the org.eclipse.ui.genericeditor bundle.

Package: libeclipse-ui-ide-application-java
Description-md5: 2cc8664fa899e76eb0e26e19ebd614b3
Description-en: Eclipse IDE UI Application
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.ide.application bundle.

Package: libeclipse-ui-ide-java
Description-md5: c04a56fa273df93a007412137a960627
Description-en: Eclipse IDE UI
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.ide bundle.

Package: libeclipse-ui-java
Description-md5: ff75371251caac13333add74fe58d454
Description-en: Eclipse UI
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui bundle.

Package: libeclipse-ui-monitoring-java
Description-md5: 57576815f6b9b878c03a324cb6b26612
Description-en: Eclipse UI Responsiveness Monitoring
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.monitoring bundle.

Package: libeclipse-ui-navigator-java
Description-md5: 2b686ce4c1bc3338ec5063937147344a
Description-en: Eclipse Common Navigator View
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.navigator bundle.

Package: libeclipse-ui-views-java
Description-md5: ec6e7d4ac3631d5d24e4763a55c37b17
Description-en: Eclipse Views
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.views bundle.

Package: libeclipse-ui-views-properties-tabbed-java
Description-md5: 613f3110d61aee6400c46140205a990f
Description-en: Eclipse Tabbed Properties View
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.views.properties.tabbed bundle.

Package: libeclipse-ui-workbench-java
Description-md5: 3801fe2d71979b795c22442b052f1183
Description-en: Eclipse Workbench
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.ui.workbench bundle.

Package: libeclipse-ui-workbench-texteditor-java
Description-md5: fe8ec2315ba2d0d0a002dcea7a7bc874
Description-en: Eclipse Text Editor Framework
 Eclipse Platform Text is part of the Platform UI project and provides
 the basic building blocks for text and text editors within Eclipse and
 contributes the Eclipse default text editor.
 .
 This package contains the org.eclipse.ui.workbench.texteditor bundle.

Package: libeclipse-urischeme-java
Description-md5: 5fa358e9cbf85ac7877538e9323c65a4
Description-en: Eclipse URI Scheme Handling
 The Eclipse Platform UI project is responsible for the runtime, user interface
 and help components of Eclipse. Platform UI provides the basic building blocks
 to create the Eclipse IDE and other Eclipse based applications called Rich
 Client Platform (RCP).
 .
 This package contains the org.eclipse.urischeme bundle.

Package: libeclipselink-java
Description-md5: b9354a583928ce33900e3d0cf61e9603
Description-en: Eclipse Persistence Services Project
 Eclipse Persistence Services Project, more commonly known as EclipseLink,
 is a Java comprehensive persistence framework delivering a set of persistence
 services based around standards. This lets you rapidly build applications
 that combine the best aspects of object technology and the specific data
 source.
 .
 EclipseLink was started by a donation of the full source code and
 test suites of Oracle's TopLink product.
 .
 EclipseLink's services currently include object-relational with JPA,
 object-XML binding in MOXy (with support for JAXB), a Service Data Objects
 (SDO) implementation and support for another technologies like: Database Web
 Services (DWS), XML-Relational (XRM) and Non-Relational (EIS via JCA).

Package: libeclipselink-java-doc
Description-md5: 19c292c038ea1777e94e8fcaa172e8f5
Description-en: Documentation for libeclipselink-java
 Documentation for Eclipse Persistence Services Project, more commonly known
 as EclipseLink, that is a Java comprehensive persistence framework delivering
 a set of persistence services based around standards. This lets you rapidly
 build applications that combine the best aspects of object technology and
 the specific data source.
 .
 EclipseLink was started by a donation of the full source code and
 test suites of Oracle's TopLink product.
 .
 EclipseLink's services currently include object-relational with JPA,
 object-XML binding in MOXy (with support for JAXB), a Service Data Objects
 (SDO) implementation and support for another technologies like: Database Web
 Services (DWS), XML-Relational (XRM) and Non-Relational (EIS via JCA).

Package: libecm-dev
Description-md5: cf312260d0dbb6a7bd874c5701b21981
Description-en: dummy package
 This is a dummy package.

Package: libecm1
Description-md5: a5c837165ebf3d6200113254ea62f3af
Description-en: factor integers using the Elliptic Curve Method -- lib
 gmp-ecm is a free implementation of the Elliptic Curve Method (ECM)
 for integer factorization.
 .
 The original purpose of the ECMNET project was to make Richard Brent's
 prediction true, i.e. to find a factor of 50 digits or more by
 ECM. This goal was attained on September 14, 1998, when Conrad Curry
 found a 53-digit factor of 2^677-1 c150 using George Woltman's mprime
 program. The new goal of ECMNET is now to find other large factors by
 ecm, mainly by contributing to the Cunningham project, most likely the
 longest, ongoing computational project in history according to Bob
 Silverman. A new record was set by Nik Lygeros and Michel Mizony, who
 found in December 1999 a prime factor of 54 digits using GMP-ECM.
 .
 See http://www.loria.fr/~zimmerma/records/ecmnet.html for more
 information about ecmnet.
 .
 This package provides the shared library.

Package: libecm1-dev
Description-md5: beb8d89ac8956188f513800bd8eb5fec
Description-en: factor integers using the Elliptic Curve Method -- libdev
 gmp-ecm is a free implementation of the Elliptic Curve Method (ECM)
 for integer factorization.
 .
 The original purpose of the ECMNET project was to make Richard Brent's
 prediction true, i.e. to find a factor of 50 digits or more by
 ECM. This goal was attained on September 14, 1998, when Conrad Curry
 found a 53-digit factor of 2^677-1 c150 using George Woltman's mprime
 program. The new goal of ECMNET is now to find other large factors by
 ecm, mainly by contributing to the Cunningham project, most likely the
 longest, ongoing computational project in history according to Bob
 Silverman. A new record was set by Nik Lygeros and Michel Mizony, who
 found in December 1999 a prime factor of 54 digits using GMP-ECM.
 .
 See http://www.loria.fr/~zimmerma/records/ecmnet.html for more
 information about ecmnet.
 .
 This package provides the static library and symbolic links needed
 for development.

Package: libecm1-dev-common
Description-md5: 15d19f4e1f8d45fad2a62bac9d080cf6
Description-en: factor integers using the Elliptic Curve Method -- header
 gmp-ecm is a free implementation of the Elliptic Curve Method (ECM)
 for integer factorization.
 .
 The original purpose of the ECMNET project was to make Richard Brent's
 prediction true, i.e. to find a factor of 50 digits or more by
 ECM. This goal was attained on September 14, 1998, when Conrad Curry
 found a 53-digit factor of 2^677-1 c150 using George Woltman's mprime
 program. The new goal of ECMNET is now to find other large factors by
 ecm, mainly by contributing to the Cunningham project, most likely the
 longest, ongoing computational project in history according to Bob
 Silverman. A new record was set by Nik Lygeros and Michel Mizony, who
 found in December 1999 a prime factor of 54 digits using GMP-ECM.
 .
 See http://www.loria.fr/~zimmerma/records/ecmnet.html for more
 information about ecmnet.
 .
 This package provides the header needed by developers.

Package: libecore-audio1
Description-md5: 9e9dccefe74caa343a10601cfc231c12
Description-en: Ecore functions for audio playback and recording
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This package contains the Ecore wrapper and convenience functions for
 audio playback and recording.

Package: libecore-avahi1
Description-md5: b6e8ba7f8d7fb1dbc55e6095d02a28fb
Description-en: Ecore functions for Avahi mDNS/DNS-SD
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore wrapper and convenience functions for using
 Avahi mDNS/DNS-SD.

Package: libecore-bin
Description-md5: 370d5fb210860878c9161a1d126bc256
Description-en: EFL core abstraction layer - utilities
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains code generation utilities used in building EFL
 apps and a libproxy abstraction binary used by ecore_con.

Package: libecore-con1
Description-md5: d3af8b7c0021a3cfba9496c91898957f
Description-en: Ecore functions for network connections
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore Connection Library, which provides
 simple mechanisms for communications between programs using reliable
 sockets.

Package: libecore-drm2-1
Description-md5: 729b658620167dfebd8a9e655476cf87
Description-en: Ecore functions for DRM
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore wrapper and convenience functions for using
 drm, virtual terminals.

Package: libecore-evas1
Description-md5: 5a16859f77d58b31bd09892fa364bf91
Description-en: Ecore functions for the Evas wrapper
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore Evas wrapper functions.

Package: libecore-fb1
Description-md5: 1bc1df7df441f6e045348194536700e1
Description-en: Ecore functions for frame buffer displays
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore frame buffer system functions.

Package: libecore-file1
Description-md5: 72e0997e3c9b32fe89e00fe133fbc8e6
Description-en: Ecore functions for files and directories
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore File Library.

Package: libecore-imf1
Description-md5: 0a75ba6140471dbb53664c88d7cd41f0
Description-en: Ecore functions for Input Method Framework
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore Input Method Framework module, and
 the Evas helper functions for it.

Package: libecore-input1
Description-md5: 83ba61740f7537c1ad72b4745a245c60
Description-en: Ecore functions for input devices
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore input device module.

Package: libecore-ipc1
Description-md5: f05ea4a8320e6801a5b3a7c688c649f2
Description-en: Ecore functions for inter-process communication
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore inter-process communication functions.

Package: libecore-wl2-1
Description-md5: 34333197aa1baf5aa8c40629c8afd45b
Description-en: Ecore functions for Wayland displays
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This package contains the Ecore wrapper and convenience functions for
 using Wayland.

Package: libecore-x1
Description-md5: 53205594e3dfbae0ec61b63307da0b9c
Description-en: Ecore functions for X Window System displays
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Ecore wrapper and convenience functions for using
 the X Window System.

Package: libecore1
Description-md5: 2a65c94f56cbc731c82e4b38c684c5c2
Description-en: EFL core abstraction layer
 Ecore is a library of convenience functions. It provides abstractions
 for handling timers selections, Xdnd, event loops, and much
 more. Much of Ecore's functionality is implemented in external
 modules.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libecryptfs-dev
Description-md5: 4c5880400c7ab1144565f4ece5848c65
Description-en: ecryptfs cryptographic filesystem (development)
 eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem
 for Linux.
 .
 This package contains the development files.

Package: libecryptfs1
Description-md5: 958faad97f1c3055b5fe02dce3e30b37
Description-en: ecryptfs cryptographic filesystem (library)
 eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem
 for Linux.
 .
 This package contains the library.

Package: libector1
Description-md5: 2c7b0032134b62dd34370797c13f98e9
Description-en: EFL vector graphics capabilities
 Ector provides a new retained rendering library that is used by Evas
 to provide Evas_Object_VG. This is a new Evas_Object that provides a
 vector graphics scene graph following the SVG specification. It will
 be considered a bug if some behaviour does not follow the SVG
 standard. Evas_Object_VG provides 3 kind of objects for now: shape,
 as well as linear and radial gradients.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package provides the libector1 library.

Package: libeda0
Description-md5: 26b44e5ff6c7bb29e78aecb41d4a0ae9
Description-en: Ecere Data Access
 This package provides the Ecere
 Data Access RDBMS abstraction layer.
 The Ecere Database (EDB) engine is built-in.
 For SQLite support, the SQLite driver
 (libedasqlite0) is also required.

Package: libedac-dev
Description-md5: a96955c754f124290ca5cd678c6ac917
Description-en: report kernel-detected PCI and ECC RAM errors
 This package contains the user-space utilities for use with the EDAC
 kernel subsystem.  EDAC (Error Detection and Correction) is a set of
 Linux kernel modules for handling hardware-related errors.  Currently
 its major focus is ECC memory error handling. However it also detects
 and reports PCI bus parity errors.
 .
 PCI parity errors are supported on all architectures (and are a
 mandatory part of the PCI specification).
 .
 Main memory ECC drivers are memory controller specific.  At the time
 of writing, drivers exist for many x86-specific chipsets and CPUs,
 and some PowerPC, and MIPS systems.
 .
 This package contains development files for the library

Package: libedac1
Description-md5: f9d52b2f01fa75b88438493e367ea1e3
Description-en: report kernel-detected PCI and ECC RAM errors
 This package contains the user-space utilities for use with the EDAC
 kernel subsystem.  EDAC (Error Detection and Correction) is a set of
 Linux kernel modules for handling hardware-related errors.  Currently
 its major focus is ECC memory error handling. However it also detects
 and reports PCI bus parity errors.
 .
 PCI parity errors are supported on all architectures (and are a
 mandatory part of the PCI specification).
 .
 Main memory ECC drivers are memory controller specific.  At the time
 of writing, drivers exist for many x86-specific chipsets and CPUs,
 and some PowerPC, and MIPS systems.
 .
 This package includes shared library

Package: libedac1-dbg
Description-md5: cbca172e9af1cda05bee415586a24daf
Description-en: report kernel-detected PCI and ECC RAM errors
 This package contains the user-space utilities for use with the EDAC
 kernel subsystem.  EDAC (Error Detection and Correction) is a set of
 Linux kernel modules for handling hardware-related errors.  Currently
 its major focus is ECC memory error handling. However it also detects
 and reports PCI bus parity errors.
 .
 PCI parity errors are supported on all architectures (and are a
 mandatory part of the PCI specification).
 .
 Main memory ECC drivers are memory controller specific.  At the time
 of writing, drivers exist for many x86-specific chipsets and CPUs,
 and some PowerPC, and MIPS systems.
 .
 This package includes shared library with debugging symbols
 not stripped

Package: libedasqlite0
Description-md5: 9d7a904a656143dc818c0dcdad46b470
Description-en: EDA SQLite Driver
 This package provides SQLite driver
 for building database applications
 with the Ecere Database Access layer.

Package: libedf-dev
Description-md5: 4582c06b12bd0564af72cb3df9c75cfc
Description-en: European Data Format library - devel
 EDFlib is a programming library for C/C++ to read/write EDF+/BDF+
 files. (It also reads old-type EDF/BDF files.)
 EDF means European Data Format. BDF is the 24-bits version of EDF.
 .
 Headers and shared libraries for edflib.

Package: libedf1
Description-md5: 11c7bc5dc9fb1ef6aeacae9f6ed701eb
Description-en: European Data Format library - libs
 EDFlib is a programming library for C/C++ to read/write EDF+/BDF+
 files. (It also reads old-type EDF/BDF files.)
 EDF means European Data Format. BDF is the 24-bits version of EDF.
 .
 This package contains the libraries needed to run EDF+/BDF+ applications.

Package: libeditline-dev
Description-md5: 1e1565f1fe1031e0a2453c22ed5651a7
Description-en: development files for libeditline
 This is a line-editing library. It can be linked into almost any program
 to provide command-line editing and recall. It is call-compatible with a
 subset of the FSF readline library, but it is a fraction of the size (and
 offers fewer features).
 .
 This package contains the developer files: static libraries, headers,
 manpages.

Package: libeditline0
Description-md5: e28c5d2becad50045c00c543a92be81c
Description-en: line editing library similar to readline
 This is a line-editing library. It can be linked into almost any program
 to provide command-line editing and recall. It is call-compatible with a
 subset of the FSF readline library, but it is a fraction of the size (and
 offers fewer features).
 .
 This package contains the runtime library only.

Package: libeditorconfig-dev
Description-md5: f32b222f712887d4800e926ae670767e
Description-en: coding style indenter across editors - development files
 EditorConfig helps developers define and maintain consistent coding
 styles between different editors and IDEs. The EditorConfig project
 consists of a file format for defining coding styles and a collection
 of text editor plugins that enable editors to read the file format
 and adhere to defined styles.
 .
 EditorConfig files are easily readable and they work nicely
 with version control systems.
 .
 This package provides development headers for editorconfig-core library.

Package: libeditorconfig0
Description-md5: 13ca149f3c8a8f51bb444a3f57db0702
Description-en: coding style indenter across editors - library
 EditorConfig helps developers define and maintain consistent coding
 styles between different editors and IDEs. The EditorConfig project
 consists of a file format for defining coding styles and a collection
 of text editor plugins that enable editors to read the file format
 and adhere to defined styles.
 .
 EditorConfig files are easily readable and they work nicely
 with version control systems.
 .
 This package provides the core library of editorconfig.

Package: libeditorconfig0-dbg
Description-md5: 3fbecb21b49eb21ee8460db589015bb5
Description-en: coding style indenter across editors - debugging symbols
 EditorConfig helps developers define and maintain consistent coding
 styles between different editors and IDEs. The EditorConfig project
 consists of a file format for defining coding styles and a collection
 of text editor plugins that enable editors to read the file format
 and adhere to defined styles.
 .
 EditorConfig files are easily readable and they work nicely
 with version control systems.
 .
 This package provides debugging symbols for editorconfig-core
 library.

Package: libedje-bin
Description-md5: 37de2c95b2661bfc4e08ca806e88b60f
Description-en: EFL layout and animation tools - utilities
 Edje is a graphical layout and animation library for animated resizable,
 compressed and scalable themes. It is the theming engine behind
 Enlightenment.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains binaries for the creation & debugging of edje
 themes.

Package: libedje1
Description-md5: eb220768ed3398ff1093831aae1143ff
Description-en: EFL layout and animation tools
 Edje is a graphical layout and animation library for animated resizable,
 compressed and scalable themes. It is the theming engine behind
 Enlightenment.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libedlib-dev
Description-md5: c27a8555f6ade9a2b16d3573f80ce761
Description-en: library for sequence alignment using edit distance (devel)
 A lightweight and super fast C/C++ library for sequence alignment using
 edit distance.
 .
 Calculating edit distance of two strings is as simple as:
 .
  edlibAlign("hello", 5, "world!", 6,
             edlibDefaultAlignConfig()).editDistance;
 Features
 .
  * Calculates edit distance (Levehnstein distance).
  * It can find optimal alignment path (instructions how to transform
    first sequence into the second sequence).
  * It can find just the start and/or end locations of alignment path -
    can be useful when speed is more important than having exact
    alignment path.
  * Supports multiple alignment methods: global(NW), prefix(SHW) and
    infix(HW), each of them useful for different scenarios.
  * You can extend character equality definition, enabling you to e.g.
    have wildcard characters, to have case insensitive alignment or to
    work with degenerate nucleotides.
  * It can easily handle small or very large sequences, even when finding
    alignment path, while consuming very little memory.
  * Super fast thanks to Myers's bit-vector algorithm.
 .
 This package contains the static library and the header files.

Package: libedlib0
Description-md5: ec1245b7696c3a8fa39b95d367f54fc7
Description-en: library for sequence alignment using edit distance
 A lightweight and super fast C/C++ library for sequence alignment using
 edit distance.
 .
 Calculating edit distance of two strings is as simple as:
 .
  edlibAlign("hello", 5, "world!", 6,
             edlibDefaultAlignConfig()).editDistance;
 Features
 .
  * Calculates edit distance (Levehnstein distance).
  * It can find optimal alignment path (instructions how to transform
    first sequence into the second sequence).
  * It can find just the start and/or end locations of alignment path -
    can be useful when speed is more important than having exact
    alignment path.
  * Supports multiple alignment methods: global(NW), prefix(SHW) and
    infix(HW), each of them useful for different scenarios.
  * You can extend character equality definition, enabling you to e.g.
    have wildcard characters, to have case insensitive alignment or to
    work with degenerate nucleotides.
  * It can easily handle small or very large sequences, even when finding
    alignment path, while consuming very little memory.
  * Super fast thanks to Myers's bit-vector algorithm.
 .
 This package contains the shared library.

Package: libee-dev
Description-md5: b8877d87aca7e27e85c6fa37e7ed4fa0
Description-en: Event expression library inspired by CEE
 Libee is an event expression library which is inspired by the upcoming
 CEE standard. It provides capabilities to generate and emit messages in
 a set of standard format and read a set of different input formats.
 .
 This package contains the development files.

Package: libee0
Description-md5: 6b8e7c622d835e6d4cce9b1d9562ad40
Description-en: Event expression library inspired by CEE
 Libee is an event expression library which is inspired by the upcoming
 CEE standard. It provides capabilities to generate and emit messages in
 a set of standard format and read a set of different input formats.
 .
 This package contains the shared library.

Package: libeegdev-dev
Description-md5: bdb88e073c554506cbb23dcb93729710
Description-en: Biosignal acquisition device library (Development files)
 eegdev is a library that provides a unified interface for accessing various
 EEG (and other biosignals) acquisition systems. This interface has been
 designed to be both flexible and efficient. The device specific part is
 implemented by the means of plugins which makes adding new device backend
 fairly easy even if the library does not support them yet officially.
 .
 The core library not only provides to users a unified and consistent
 interface to the acquisition device but it also provides many
 functionalities to the device backends (plugins) ranging from configuration
 to data casting and scaling making writing new device backend an easy task.
 .
 This library is particularly useful to handle the acquisition part of a
 Brain Computer Interface (BCI) or any realtime multi-electrode acquisition
 in neurophysiological research.
 .
 This package contains the files needed to compile and link programs which
 use eegdev. It provides also the headers needed to develop new device
 plugins. The manpages and examples are shipped in this package.

Package: libeegdev0
Description-md5: 3560cfc67ee946f070b1dfcc5adc7178
Description-en: Biosignal acquisition device library
 eegdev is a library that provides a unified interface for accessing various
 EEG (and other biosignals) acquisition systems. This interface has been
 designed to be both flexible and efficient. The device specific part is
 implemented by the mean of plugins which makes adding new device backend
 fairly easy even if the library does not support them yet officially.
 .
 The core library not only provides to users a unified and consistent
 interfaces to the acquisition device but it also provides many
 functionalities to the device backends (plugins) ranging from configuration
 to data casting and scaling making writing new device backend an easy task.
 .
 This library is particularly useful to handle the acquisition part of a
 Brain Computer Interface (BCI) or any realtime multi-electrode acquisition
 in neurophysiological research.
 .
 This package contains the core library

Package: libeet-bin
Description-md5: bd7a3b43d3e5cda07d9794d91a4f88c3
Description-en: EFL file chunk reading/writing - utilities
 Eet is a tiny library designed to write an arbitrary set of chunks of data to a
 file and optionally compress each chunk (very much like a zip file) and allows
 fast random-access reading of the file later on. It does not do zip as zip
 itself has more complexity than needed, and it was much simpler to implement
 this once here.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains eet, an utility that allows you to extract, insert,
 encode and decode config blobs created with libeet.

Package: libeet1
Description-md5: 4e824840dd3b6f2a2edf30d2f1501258
Description-en: EFL file chunk reading/writing
 Eet is a tiny library designed to write an arbitrary set of chunks of data to a
 file and optionally compress each chunk (very much like a zip file) and allows
 fast random-access reading of the file later on. It does not do zip as zip
 itself has more complexity than needed, and it was much simpler to implement
 this once here.
 .
 It's small, fast, and does a job. It's heavily commented and fully documented.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libeeze-bin
Description-md5: a7824f722a944c50c1a120237456d560
Description-en: EFL udev device manipulation - utilities
 Eeze is a library for manipulating devices through udev with a simple
 and fast api. It interfaces directly with libudev, avoiding such
 middleman daemons as udisks/upower or hal, to immediately gather
 device information the instant it becomes known to the system.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains command-line utilities that allow mounting,
 scanning, and unmounting devices with eeze.

Package: libeeze1
Description-md5: 0d2fea558fe220295f118a98c2a1a9f8
Description-en: EFL udev device manipulation
 Eeze is a library for manipulating devices through udev with a simple
 and fast api. It interfaces directly with libudev, avoiding such
 middleman daemons as udisks/upower or hal, to immediately gather
 device information the instant it becomes known to the system.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libefl-all-dev
Description-md5: 1dd56f47a534c242a3bf6f5ef5081200
Description-en: Enlightenment Foundation Libraries development files
 The Enlightenment Foundation Libraries (EFL) is a stack of libraries
 providing a wide degree of functionality.  Originally written to
 support development of the Enlightenment window manager, the
 libraries have increasingly been used in embedded systems.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package provides the development files for all of EFL.

Package: libefreet-bin
Description-md5: c14ef8dfb3309ddaa8f583e82a2f6675
Description-en: EFL helper program to create efreet data caches
 Efreet is a library designed to help apps work several of the
 Freedesktop.org standards regarding Icons, Desktop files and
 Menus. To that end it implements the following specifications:
  - XDG Base Directory Specification
  - Icon Theme Specification
  - Desktop Entry Specification
  - Desktop Menu Specification
  - FDO URI Specification
  - Shared Mime Info Specification
  - Trash Specification
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package provides the D-Bus activated helper binary and the
 associated service file that are internally used by libefreet.

Package: libefreet1a
Description-md5: 0b22298880ef17eeb7c43d25af621b12
Description-en: EFL freedesktop.org spec implementations
 Efreet is a library designed to help apps work several of the
 Freedesktop.org standards regarding Icons, Desktop files and
 Menus. To that end it implements the following specifications:
  - XDG Base Directory Specification
  - Icon Theme Specification
  - Desktop Entry Specification
  - Desktop Menu Specification
  - FDO URI Specification
  - Shared Mime Info Specification
  - Trash Specification
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libegl1-mesa
Description-md5: 635a93bcd1440d16621693fe064c2aa9
Description-en: transitional dummy package
 This is a transitional dummy package, it can be safely removed.

Package: libehcache-java
Description-md5: 86cf5423a34a0fad5404dac01282c202
Description-en: distributed cache library
 Ehcache is a distributed cache for general purpose caching in Java,
 J2EE and light-weight containers. It features memory and disk stores,
 replicate by copy and invalidate, listeners, a gzip caching servlet
 filter and much more.

Package: libeigen-stl-containers-dev
Description-md5: 68bda6b9a3e9a4578e6162831440be2a
Description-en: Robot OS wrapper for eigen
 This package is part of Robot OS (ROS), and provides a set of
 typedef's that allow using Eigen datatypes in STL containers

Package: libeigen3-dev
Description-md5: 71025bd67be9e83075fd5a0e7ab822a2
Description-en: lightweight C++ template library for linear algebra
 Eigen 3 is a lightweight C++ template library for vector and matrix math,
 a.k.a. linear algebra.
 .
 Unlike most other linear algebra libraries, Eigen 3 focuses on the simple
 mathematical needs of applications: games and other OpenGL apps, spreadsheets
 and other office apps, etc. Eigen 3 is dedicated to providing optimal speed
 with GCC. A lot of improvements since 2-nd version of Eigen.

Package: libeigen3-doc
Description-md5: c2bdef560c564aea3a0219e41bda5ab6
Description-en: eigen3 API documentation
 Eigen 3 is a lightweight C++ template library for vector and matrix math,
 a.k.a. linear algebra.
 .
 This package provides the complete eigen3 API documentation in HTML format.

Package: libeigenbase-farrago-java
Description-md5: c92cad6bfa8a77bd8bd7ae7d84bda31d
Description-en: Java framework for constructing RDBMS services
 Farrago is an extensible platform for constructing
 enterprise-class RDBMS services
 .
 Farrago is not an end-user product like MySQL or PostgreSQL.
 It provides a standards-based pluggable architecture, component library, and
 toolset for developers of other projects which specialize it.
 .
 This package only ship eigenbase-properties part of Farrago.

Package: libeigenbase-resgen-java
Description-md5: add4cd9422cea59659f620b4e5e87503
Description-en: Java i18n code generator from XML files
 Eigenbase Resource Generator helps you build and maintain internationalized
 applications in Java. From a simple XML file, it generates classes to access
 those resources in a type-safe manner.
 .
 It is tightly integrated with Ant, to make the development process painless;
 and it supports a variety of schemes to determine the current locale.

Package: libeigenbase-resgen-java-doc
Description-md5: f07034c95e8390373152f40d3b1eb57b
Description-en: Java i18n code generator from XML files - documentation
 Eigenbase Resource Generator helps you build and maintain internationalized
 applications in Java. From a simple XML file, it generates classes to access
 those resources in a type-safe manner.
 .
 It is tightly integrated with Ant, to make the development process painless;
 and it supports a variety of schemes to determine the current locale.
 .
 This package contains Javadoc API documentation of eigenbase-resgen.

Package: libeina-bin
Description-md5: 9988317f3740f5dc5eac45919451b59a
Description-en: EFL optimized data types - extra tools
 The Eina library is a library which implements an API for data types
 in an efficient way. It also provides some useful tools like opening
 shared libraries, error management, type conversion, time accounting
 and memory pools.
 .
 Please refer to the documentation for a list of included data types
 and tools.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains some additional tools that may be useful for
 debugging eina issues.

Package: libeina1a
Description-md5: 93341767886cf5f38739ae42f1f2cdb1
Description-en: EFL optimized data types
 The Eina library is a library which implements an API for data types
 in an efficient way. It also provides some useful tools like opening
 shared libraries, error management, type conversion, time accounting
 and memory pools.
 .
 Please refer to the documentation for a list of included data types
 and tools.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libeio1
Description-md5: bdbcddbfd31cee03b4018d048fdce18e
Description-en: EFL non-blocking, threaded I/O
 Eio library is a library that implements an API for asynchronous
 input/output.  It provides non-blocking IO by using thread for all
 operations that may block. It should integrate all the
 features/functions of Ecore_File that could block.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libeiskaltdcpp-dev
Description-md5: c64a5256c1f9e28d8968ea3f510f853f
Description-en: core library for EiskaltDC++ (development files)
 This package contains development files for EiskaltDC++ core library.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: libeiskaltdcpp2.4
Description-md5: ffff7ecd0fadceea61d738edfcd95f73
Description-en: core library for EiskaltDC++
 This package contains core library which is used by all EiskaltDC++ programs.
 .
 EiskaltDC++ is a cross-platform program that uses the Direct Connect and
 Advanced Direct Connect protocols. It is compatible with DC++, AirDC++,
 FlylinkDC++ and other DC clients. EiskaltDC++ also interoperates with all
 common DC hub software.

Package: libej-dev
Description-md5: cc7a6f0a3d83375b6db66c8864321f3e
Description-en: hardware & software framework for developing science experiments
 This package provides headers and links to develop software in C language
 addressing an expEYES box.
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration.

Package: libej0
Description-md5: ca9ac978bed0da4ec518f6c51e21e7ef
Description-en: hardware & software framework for developing science experiments
 This package provides a library usable to drive an expEYES box, and is
 necessary to run clib-based software which addresses this box.
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration.

Package: libejml-java
Description-md5: f96d9c7fae98531addd08eaba8b8abd3
Description-en: Efficient Java Matrix Library
 Efficient Java Matrix Library (EJML) is a linear algebra library for
 manipulating dense matrices. Its design goals are;
  1) to be as computationally and memory efficient as possible for both
     small and large matrices, and
  2) to be accessible to both novices and experts.
 These goals are accomplished by dynamically selecting the best
 algorithms to use at runtime, clean API, and multiple interfaces.
 .
 EJML has three distinct ways to interact with it:
  1) procedural,
  2) SimpleMatrix, and
  3) Equations.
 Procedure provides all capabilities of EJML and almost complete control
 over memory creation, speed, and specific algorithms. SimpleMatrix
 provides a simplified subset of the core capabilities in an easy to use
 flow styled object-oriented API, inspired by Jama. Equations is a
 symbolic interface, similar in spirit to Matlab and other CAS, that
 provides a compact way of writing equations.

Package: libel-api-java
Description-md5: 495320accc019c2fb801d456720ab891
Description-en: Expression Language API
 EL is a simple language designed to meet the needs of the presentation
 layer in Java web applications.
 .
 It features:
  * A simple syntax restricted to the evaluation of expressions
  * Variables and nested properties
  * Relational, logical, arithmetic, conditional, and empty operators
  * Functions implemented as static methods on Java classes
  * Lenient semantics where appropriate default values and type conversions
    are provided to minimize exposing errors to end users

Package: libelemental-dev
Description-md5: 3a8b975004531eb09d3e41ad91717afe
Description-en: Periodic Table viewer (development files)
 gElemental is a GTK+ periodic table viewer that provides detailed information
 about chemical elements.
 .
 It features a table view which allows the elements to be coloured thematically
 by several properties, a sortable list view and an element properties
 dialog, displaying a variety of information, including historical,
 thermodynamic, electrochemical, and crystallographic properties.
 .
 This package contains the development libraries and headers.

Package: libelemental-doc
Description-md5: dac32fe97d203a74a396a2a407c48124
Description-en: Periodic Table viewer (API documentation)
 gElemental is a GTK+ periodic table viewer that provides detailed information
 about chemical elements.
 .
 It features a table view which allows the elements to be coloured thematically
 by several properties, a sortable list view and an element properties
 dialog, displaying a variety of information, including historical,
 thermodynamic, electrochemical, and crystallographic properties.
 .
 This package contains the API documentation.

Package: libelemental0
Description-md5: 6c096a121ce242d690e031f3054d6f89
Description-en: Periodic Table viewer (data and shared library)
 gElemental is a GTK+ periodic table viewer that provides detailed information
 about chemical elements.
 .
 It features a table view which allows the elements to be coloured thematically
 by several properties, a sortable list view and an element properties
 dialog, displaying a variety of information, including historical,
 thermodynamic, electrochemical, and crystallographic properties.
 .
 This package contains the shared library and the data set.

Package: libelementary-bin
Description-md5: 9340833b96d199068235829f69bf6169
Description-en: EFL widget set - helper programs
 Elementary is a widget set based on the Enlightenment Foundation
 Libraries, primarily aimed at creating graphical user interfaces for
 mobile and embedded devices.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the elementary_config program and tools to
 assist in the development & debugging of elementary programs.

Package: libelementary-data
Description-md5: 5c134575bc05d35d5949781d12e135f8
Description-en: EFL widget set - data files
 Elementary is a widget set based on the Enlightenment Foundation
 Libraries, primarily aimed at creating graphical user interfaces for
 mobile and embedded devices.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains graphics and styles needed by applications
 using elementary.

Package: libelementary1
Description-md5: 447055704f24d8db895866f2ee1ed532
Description-en: EFL widget set
 Elementary is a widget set based on the Enlightenment Foundation
 Libraries, primarily aimed at creating graphical user interfaces for
 mobile and embedded devices.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libelf++0
Description-md5: cf21bd95b9bbe555a9343d15afcb5fc1
Description-en: C++11 ELF parser
 Libelfin is a from-scratch C++11 library for reading ELF binaries and DWARFv4
 debug information.
 .
 Libelfin implements a syntactic layer for DWARF and ELF, but not a semantic
 layer. Interpreting the information stored in DWARF DIE trees still requires a
 great deal of understanding of DWARF, but libelfin will make sense of the bytes
 for you.
 .
 This package contains the library to parse ELF binaries.

Package: libelf-freebsd-1
Description-md5: 15ab057e4ca78ecb88e8923e89984995
Description-en: library to read and write ELF files
 The libelf package provides a shared library which allows reading and
 writing ELF files on a high level.

Package: libelf-freebsd-dev
Description-md5: b815e0fe93859d5c90a478e0c9dbfd3d
Description-en: Development files for libelf (FreeBSD version)
 This package contains the header files and static library needed to
 compile applications that use libelf.

Package: libelfin-dev
Description-md5: fac8235f7dd274b5f714b8bbfec4fdd6
Description-en: C++11 ELF/DWARF parser (development files)
 Libelfin is a from-scratch C++11 library for reading ELF binaries and DWARFv4
 debug information.
 .
 Libelfin implements a syntactic layer for DWARF and ELF, but not a semantic
 layer. Interpreting the information stored in DWARF DIE trees still requires a
 great deal of understanding of DWARF, but libelfin will make sense of the bytes
 for you.
 .
 This package contains development libraries and header files for libelfin.

Package: libelixirfm-perl
Description-md5: deefb3b703035033144674aceed5b2a8
Description-en: perl implementation for Functional Arabic Morphology
 The ElixirFM module reimplements some of the functionality of the ElixirFM
 system written in Haskell, which implements Functional Arabic Morphology.
 .
 ElixirFM can process words of Modern Written Arabic using four different modes.
 .
 You can find documentation for this module at
 http://elixir-fm.wiki.sourceforge.net/

Package: libelk0
Description-md5: 1372bb46376720d295e2ad2cf0784e5b
Description-en: implementation of Scheme (the Extension Language Kit)
 Elk is an implementation of the Scheme programming language, designed
 specifically as an embeddable, reusable extension language subsystem for
 applications written in C or C++.
 .
 This package contains the libelk0 runtime library.

Package: libelk0-dev
Description-md5: a4e9540c790fbcd5e6ef5ac76925c2af
Description-en: development files for libelk0
 Elk is an implementation of the Scheme programming language, designed
 specifically as an embeddable, reusable extension language subsystem for
 applications written in C or C++.
 .
 This package contains the header files and static library needed to compile
 applications that use libelk0.

Package: libell-dev
Description-md5: 080f0c37453a3b22c876271a3ad8103d
Description-en: development files for the Embedded Linux library
 The Embedded Linux library (aka. ell) provides table, queues,
 ring buffers, cryptographic, and some functions for Embedded
 Devices.
 .
 This package contains what you need for compiling sources that
 use this library in your own code.

Package: libell0
Description-md5: 1d9b6da4dd847b23bf425624d4a32d3d
Description-en: Embedded Linux library
 The Embedded Linux library (aka. ell) provides table, queues,
 ring buffers, cryptographic, and some functions for Embedded
 Devices.
 .
 This package contains what you need to run programs that use this
 library.

Package: libelocation1
Description-md5: 4e7403d3ac5e7541b03fa800c545c0cf
Description-en: EFL geospatial information management
 Elocation is meant as a convenience library to ease application
 developers the usage of geo information in their apps. Adding a geo
 tag to a picture or translating an address to a GPS position and show
 it on a map widget are just some of the use cases.
 .
 Currently it offer the following functionality:
  - Request current address in textual form
  - Request current position in GPS format
  - Translate a position into and address or an address in a position
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libelpa-dev
Description-md5: 7ffdeab5160ec2322fb59ebe3467baf8
Description-en: Eigenvalue SoLvers for Petaflop-Applications (Development version)
 ELPA is Eigenvalue SoLvers for Petaflop-Applications.
 .
 This version contains the Fortran90 modules and the static library.

Package: libelpa4
Description-md5: 69c0f58271a13746b95dee845105f22d
Description-en: Eigenvalue SoLvers for Petaflop-Applications
 ELPA is Eigenvalue SoLvers for Petaflop-Applications.

Package: libelput1
Description-md5: b75ea1c97ff63426857a93fea7ca9ccd
Description-en: EFL abstraction for libinput
 Elput abstraction for the libinput library.  It can be used by
 various other subsystems (ecore_fb, ecore_drm, etc) to handle
 interfacing with libinput without having to duplicate the code in
 each subsystem.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libelua-bin
Description-md5: f8d2a11e691e649717a9c24d659ceeaa
Description-en: EFL Lua wrapper and convenience layer - binaries
 The Elua library provides all necessary infrastructure required to
 set up a fully functional Lua state able of running Elua
 scripts. This is provided as a library in order to encourage reuse
 from different libraries and apps.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains elua, the main entry point for all EFL/LuaJIT
 powered applications.

Package: libelua1
Description-md5: 6b906d36be030d16af132ed3c98b5a0e
Description-en: EFL Lua wrapper and convenience layer
 The Elua library provides all necessary infrastructure required to
 set up a fully functional Lua state able of running Elua
 scripts. This is provided as a library in order to encourage reuse
 from different libraries and apps.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libemail-abstract-perl
Description-md5: 33a4cc86cdf90347ef4cf6e7b8403f29
Description-en: unified interface to mail representations
 "Email::Abstract" provides module writers with the ability to write
 representation-independent mail handling code. Where previously one
 would either have to specify the mail class required, or to build a new
 object from scratch, "Email::Abstract" can be used to perform certain
 simple operations on an object regardless of its underlying representation.

Package: libemail-address-list-perl
Description-md5: 724818d6a7f7a64699690c249f63b051
Description-en: RFC close address list parsing
 Email::Address::List provides a parser for From, To, Cc, Bcc, Reply-To,
 Sender and previous prefixed with Resent- (eg Resent-From) e-mail headers.

Package: libemail-address-perl
Description-md5: 1e8970fa9c7abc7402d943397bdc2c75
Description-en: Perl module for RFC 2822 address parsing and creation
 Email::Address implements a complete RFC 2822 parser that locates email
 addresses in strings and returns a list of Email::Address objects
 found. Alternatively you may construct objects manually. The goal
 of this software is to be correct, and very very fast.

Package: libemail-address-xs-perl
Description-md5: d895e5cc1dab4e5879d66f7215b0b334
Description-en: Perl library for RFC 5322 address/group parsing and formatting
 Email::Address::XS implements RFC 5322 parser and formatter of email
 addresses and groups. It parses an input string from email headers which
 contain a list of email addresses or a groups of email addresses (like From,
 To, Cc, Bcc, Reply-To, Sender, ...). Also it can generate a string value for
 those headers from a list of email addresses objects.
 .
 Parser and formatter functionality is implemented in XS and uses shared code
 from Dovecot IMAP server.
 .
 It is a drop-in replacement for the Email::Address module.

Package: libemail-date-perl
Description-md5: f8d5315fa028c1ba076b690bead24e86
Description-en: Perl module for correct formatting of dates in emails
 RFC 2822 defines the Date: header. It declares the header a required
 part of an email message. The syntax for date headers is clearly laid
 out. Still, even a perfectly planned world has storms. The truth is, many
 programs get it wrong. Very wrong. Or, they don't include a Date: header
 at all. This often forces you to look elsewhere for the date, and hoping
 to find something.
 .
 For this reason, the tedious process of looking for a valid date has been
 encapsulated in this software. Further, the process of creating RFC
 compliant date strings is also found in this software.

Package: libemail-filter-perl
Description-md5: f234470517e3b50b1f68679bba828ce4
Description-en: library for creating easy email filters
 Email::Filter is another module produced by the "Perl Email Project",
 a reaction against the complexity and increasing bugginess of the
 "Mail::*" modules. It replaces Mail::Audit, and allows you to write
 programs describing how your mail should be filtered.

Package: libemail-find-perl
Description-md5: fa0ff30d5b3073c414f737448fcefa43
Description-en: module to find RFC 822 email addresses in plain text
 Email::Find is a module for finding a subset of RFC 822 email
 addresses in arbitrary text.  The addresses it
 finds are not guaranteed to exist or even actually be email addresses
 at all, but they will be valid RFC 822 syntax.
 .
 Email::Find will perform some heuristics to avoid some of the more
 obvious red herrings and false addresses, but there's only so much
 which can be done without a human.

Package: libemail-folder-perl
Description-md5: ec16bc936a5a75021da56d61df36ee64
Description-en: Perl module to read mail from a folder
 Email::Folder is a Perl module which reads all messages from a folder into
 Email::Simple objects (see libemail-simple-perl for more), making them easy
 to manipulate from Perl. It becomes fairly trivial to extract information
 from headers in your mail, or change them to different values. As a result,
 this provides a general purpose mail handling facility.

Package: libemail-foldertype-perl
Description-md5: 5ec0a84c83d2b61fcf8beafed7fb5a12
Description-en: determine the type of a mail folder
 Provides a utility function for detecting the type of a given mail folder.
 Available types are subject to the types that are registered.

Package: libemail-localdelivery-perl
Description-md5: 800a766d918cc577e30dbe04f6e5dc85
Description-en: module to deliver a piece of email - simply
 Email::LocalDelivery delivers an email to a list of mailboxes.
 .
 Achtung! You might be better off looking at Email::Sender, and at
 Email::Sender::Transport::Maildir and Email::Sender::Transport::Mbox.
 They are heavily used and more carefully monitored.

Package: libemail-messageid-perl
Description-md5: eb36308b05396bfeb7f66cf9a9addbb4
Description-en: Perl library for unique mail Message-ID generation
 Message-IDs are optional, but highly recommended headers that identify a
 message uniquely. The Email::MessageID module generates unique Message-IDs.

Package: libemail-mime-attachment-stripper-perl
Description-md5: 541084130efe294072da22a2a08066c2
Description-en: module to strip attachments from an email
 Given a Email::MIME object, Email::MIME::Attachment::Stripper detaches all
 attachments from the message and makes them available separately.
 .
 The message you're left with might still be multipart, but it should only be
 multipart/alternative or multipart/related.

Package: libemail-mime-contenttype-perl
Description-md5: d8ae41e2ef2139d7faf66c9966d235ba
Description-en: Perl module to parse a MIME Content-Type header
 Email::MIME::ContentType provides a method for parsing email Content-
 Type headers according to section 5.1 of RFC 2045. The method returns
 a hash having entries for the discrete type, the composite type, and a
 hash of attributes.

Package: libemail-mime-createhtml-perl
Description-md5: b03c1eb10ba46136f4eab12e32febc81
Description-en: multipart HTML email builder
 Email::MIME::CreateHTML allows you to build HTML emails, optionally with a
 text-only alternative and embedded media objects.
 .
 For example, an HTML email with an alternative version in plain text and with
 all the required images contained in the mail.
 .
 Note that this module does not send any mail, it merely does the work of
 building the appropriate MIME message.  The message can be sent with
 Email::Send or any other mailer that can be fed a string representation of an
 email message.

Package: libemail-mime-encodings-perl
Description-md5: ef72da9eacd1ec445ef9f50fbace3561
Description-en: unified interface to MIME encoding and decoding
 Email::MIME::Encodings simply wraps MIME::Base64 and MIME::QuotedPrint
 so that you can throw the contents of a Content-Transfer-Encoding
 header at some text and have the right thing happen.

Package: libemail-mime-kit-perl
Description-md5: 2564d5eeb6f9a5c7e0ff2fefe6435544
Description-en: module to build complete email messages from templates
 Email::MIME::Kit is a templating system for email messages. Instead of trying
 to be yet another templating system for chunks of text, it makes it easy to
 build complete email messages.
 .
 It handles the construction of multipart messages, text and HTML
 alternatives, attachments, interpart linking, string encoding, and parameter
 validation.
 .
 Although nearly every part of Email::MIME::Kit is a replaceable component,
 the stock configuration is probably enough for most use. A message kit will
 be stored as a directory that might look like this:
 .
 sample.mkit/
 .
 manifest.json
 .
 body.txt
 .
 body.html
 .
 logo.jpg

Package: libemail-mime-perl
Description-md5: 368964b872c7b0a8df08e17f7c95c057
Description-en: module for simple MIME message parsing
 Email::MIME is an extension of the Email::Simple module for easily handling
 MIME-encoded messages. It takes a message as a string, splits it up into its
 constituent parts, and allows you access to various parts of the message.
 Headers are decoded from MIME encoding.

Package: libemail-outlook-message-perl
Description-md5: 08fb5aa2587d9d819ffc2480defc062d
Description-en: module for reading Outlook .msg files
 Email::Outlook::Message reads e-mail messages stored as .msg files (such as
 generated by Microsoft Outlook), and converts them to Email::MIME objects.
 .
 Not all data in the .msg file is converted.  The meaning of some parts hasn't
 been worked out, while other parts do not make sense outside of Outlook and
 Exchange.
 .
 Currently GPG signed mail is not processed correctly and neither are
 attachments of type 'appledoublefile'.
 .
 The package also contains the tool "msgconvert" (known from
 http://www.matijs.net/software/msgconv/) to convert Microsoft Outlook
 ".msg" files directly on the commandline without having to know how
 to program in Perl.

Package: libemail-received-perl
Description-md5: 30e3812b7ae10ac221357fa09f341dbb
Description-en: Perl module to parse an email Received: header
 Email::Received is a Perl Email Project rewrite of SpamAssassin's email
 header parser. This was done so that the great work they did in analysing
 pretty much every possible Received header format could be used in
 applications other than SpamAssassin itself.
 .
 The module provides one function, parse_received, which takes a single
 Received line. It then produces either nothing, if the line is unparsable,
 or a hash reference if it parsed the message.

Package: libemail-reply-perl
Description-md5: bd3c694f42a908848e732eb268320571
Description-en: module to reply to an email message
 The Email::Reply module takes the hard out of generating replies to email
 messages.
 .
 The exported reply() function accepts a number of named parameters and
 returns an email message object of type Email::MIME or Email::Simple,
 depending on the parameters passed.

Package: libemail-sender-perl
Description-md5: a34d982409c60bdb44cceae970751eba
Description-en: Perl module for sending email
 Email::Sender is a Perl module provides an interface to send email via many
 backends, including sendmail, SMTP, delivering directly to mbox file, etc.
 .
 Email::Sender replaces the old and sometimes problematic Email::Send library
 (see libemail-send-perl), which did a decent job at handling very simple mail
 sending tasks, but was not suitable for serious use for a variety of reasons.

Package: libemail-simple-perl
Description-md5: fe241b7005c0f4b33562d9a2c4f9237b
Description-en: module to parse RFC2822 headers and message format
 Email::Simple is the first deliverable of the Perl Email Project, a reaction
 against the complexity and increasing bugginess of the Mail::* modules. In
 contrast, Email::* modules are meant to be simple to use and to maintain,
 pared to the bone, fast, minimal in their external dependencies, and correct.

Package: libemail-stuffer-perl
Description-md5: 18dcc04c1a2b598697782de57b4cc3e9
Description-en: casual approach to creating and sending Email:: emails
 Email::Stuffer, as its name suggests, is a fairly casual module used to stuff
 things into an email and send them. It is a high-level module designed for
 ease of use when doing a very specific common task, but implemented on top of
 the light and tolerable Email:: modules.
 .
 Email::Stuffer is typically used to build emails and send them in a single
 statement, as seen in the synopsis. And it is certain only for use when
 creating and sending emails. As such, it contains no email parsing
 capability, and little to no modification support.
 .
 To re-iterate, this is very much a module for those "slap it together and
 fire it off" situations, but that still has enough grunt behind the scenes to
 do things properly.
 .
 The basics should all work, but this module is still subject to name and/or
 API changes

Package: libemail-thread-perl
Description-md5: ab1bf8a4fdbe7c61078374a5659fe7c4
Description-en: library providing threading for Email::Simple objects
 Email::Thread is a wrapper for Mail::Thread that allows it to work with
 Email::Simple objects.  The combination of the two libraries allows
 collections of Email::Simple objects to be organized into threads
 by References and In-Reply-To headers.

Package: libemail-valid-loose-perl
Description-md5: a1c245e0578c9cdfea3d3f64bdba98fc
Description-en: Email::Valid which allows dot immediately before at mark
 Email::Valid::Loose is a subclass of Email::Valid, which allows dot (.)
 immediately before at-mark (@). It is invalid in RFC822, but is commonly
 used in some of mobile phone addresses in Japan (like docomo.ne.jp or
 jp-t.ne.jp).

Package: libemboss-acd-perl
Description-md5: 2745459356a11f81344867667a92825c
Description-en: perl module to parse EMBOSS ACD files
 EMBOSS::ACD parses EMBOSS Ajax Command Definition files
 and provides object-oriented access to the data contained
 therein.
 .
 For a complete specification of the ACD format, see
 http://emboss.sourceforge.net/developers/acd

Package: libembperl-perl
Description-md5: 1e3498cac506d2de72a30567c715b530
Description-en: system for building dynamic websites with Perl
 Embperl gives you the power to embed Perl code in your HTML/XML
 documents and the ability to build your Web site out of small
 reusable objects in an object-oriented style. You can also take
 advantage of all the usual Perl modules, (including DBI for database
 access) use their functionality and easily include their output in
 your web pages.
 .
 Embperl has several features which are especially useful for creating
 Websites, including dynamic tables, form field processing, URL
 escaping/unescaping, session handling, caching, XSLT transformation
 and more. See http://www.embperl.org/embperl/ for more information
 about Embperl.
 .
 This package contains Apache2, FastCGI, CGI and offline versions of
 Embperl, although you may need to install some additional packages to
 use some of those modes. Please note that when running under mod_perl,
 Embperl requires Apache's prefork mpm module and will not work with the
 more recent worker mpm.

Package: libembree-dev
Description-md5: 59894418ac98e2727574d0426adfc11a
Description-en: High Performance Ray Tracing Kernels - development
 Intel(R) Embree is a collection of high-performance ray tracing kernels,
 developed at Intel. The target users of Intel(R) Embree are
 graphics application engineers who want to improve the performance of
 their photo-realistic rendering application by leveraging Embree's
 performance-optimized ray tracing kernels. The kernels are optimized for
 the latest Intel(R) processors with support for SSE, AVX, AVX2, and
 AVX-512 instructions. Intel(R) Embree supports runtime code selection
 to choose the traversal and build algorithms that best matches
 the instruction set of your CPU.
 .
 This package contains the headers library for building programs that
 use Embree.

Package: libembree-doc
Description-md5: 55a4c180282b3fe1efc80e23f2cc0243
Description-en: High Performance Ray Tracing Kernels - documentation
 Intel(R) Embree is a collection of high-performance ray tracing kernels,
 developed at Intel. The target users of Intel(R) Embree are
 graphics application engineers who want to improve the performance of
 their photo-realistic rendering application by leveraging Embree's
 performance-optimized ray tracing kernels. The kernels are optimized for
 the latest Intel(R) processors with support for SSE, AVX, AVX2, and
 AVX-512 instructions. Intel(R) Embree supports runtime code selection
 to choose the traversal and build algorithms that best matches
 the instruction set of your CPU.
 .
 This package provides the official documentation.

Package: libembree3-3
Description-md5: 261163cdd6e1d8493053d60b79eb7bfb
Description-en: High Performance Ray Tracing Kernels - runtime
 Intel(R) Embree is a collection of high-performance ray tracing kernels,
 developed at Intel. The target users of Intel(R) Embree are
 graphics application engineers who want to improve the performance of
 their photo-realistic rendering application by leveraging Embree's
 performance-optimized ray tracing kernels. The kernels are optimized for
 the latest Intel(R) processors with support for SSE, AVX, AVX2, and
 AVX-512 instructions. Intel(R) Embree supports runtime code selection
 to choose the traversal and build algorithms that best matches
 the instruction set of your CPU.
 .
 This package contains the runtime library for building programs that
 use Embree.

Package: libembryo-bin
Description-md5: d23b47b04d7371600fc677ac2a18c2c3
Description-en: EFL SMALL-based abstract machine (AMX) compiler
 Embryo is a tiny library designed to interpret limited Small programs
 compiled by the included compiler, embryo_cc. It is mostly a cleaned
 up and smaller version of the original Small abstract machine. The
 compiler is mostly untouched.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the embryo compiler: embryo_cc.

Package: libembryo1
Description-md5: 080f248277ffc54b7dabb7ec800998cb
Description-en: EFL SMALL-based abstract machine (AMX) bytecode interpreter
 Embryo is a tiny library designed to interpret limited Small programs
 compiled by the included compiler, embryo_cc. It is mostly a cleaned
 up and smaller version of the original Small abstract machine. The
 compiler is mostly untouched.
 .
 Refer to the EFL documentation for an introduction to SMALL.  See
 https://www.compuphase.com/pawn/pawn.htm for details on PAWN, the
 upstream successor to SMALL.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libemeraldengine-dev
Description-md5: b17ad0b2f86f2142e9b722bcd618d32e
Description-en: Development files for emerald engines
 Emerald is a window decorator for the Compiz window manager, using a custom
 theme format (*.emerald). It is highly customizable and supports different
 theme engines, with transparency and precise placement of borders and window
 title elements.
 .
 This package contains the headers and development files needed to
 build engines for emerald.

Package: libemeraldengine0
Description-md5: 1d3b88b9ece7c491ea5684f2c3e47616
Description-en: Decoration engines for compiz
 Emerald is a window decorator for the Compiz window manager, using a custom
 theme format (*.emerald). It is highly customizable and supports different
 theme engines, with transparency and precise placement of borders and window
 title elements.
 .
 This package provides some engines for drawing decoration on compiz.

Package: libemf-dev
Description-md5: 0f9bc2c83b83b6848c9b13f4c559c618
Description-en: Enhanced Metafile library (development)
 libEMF is a C/C++ library which provides a drawing toolkit based on
 ECMA-234.  The general purpose of this library is to create vector
 graphics files on POSIX systems which can be imported into
 OpenOffice.org or LibreOffice. Times have changed, though.  These
 days, you can import SVGs, or even PDFs, into OpenOffice or
 LibreOffice.  Those are much better choices than EMF files.
 .
 This package contains the static libraries and headers for developing
 applications that use the libEMF library API.

Package: libemf-doc
Description-md5: 3cd6dd9017827107b5103865cb215287
Description-en: Enhanced Metafile library (documentation)
 libEMF is a C/C++ library which provides a drawing toolkit based on
 ECMA-234.  The general purpose of this library is to create vector
 graphics files on POSIX systems which can be imported into
 OpenOffice.org or LibreOffice. Times have changed, though.  These
 days, you can import SVGs, or even PDFs, into OpenOffice or
 LibreOffice.  Those are much better choices than EMF files.
 .
 This package contains documentation for the libEMF library.

Package: libemf1
Description-md5: 295102ba1131570b726cca232bab697f
Description-en: Enhanced Metafile library (run-time)
 libEMF is a C/C++ library which provides a drawing toolkit based on
 ECMA-234.  The general purpose of this library is to create vector
 graphics files on POSIX systems which can be imported into
 OpenOffice.org or LibreOffice. Times have changed, though.  These
 days, you can import SVGs, or even PDFs, into OpenOffice or
 LibreOffice.  Those are much better choices than EMF files.
 .
 This package contains the files necessary for running applications
 that use the libEMF library.

Package: libemile1
Description-md5: f6fc00585755dabf44708589ec6dc0e8
Description-en: EFL compression and encryption
 Emile provides a library to bring together serialization, compression
 and ciphering. It is a low-level library and can be used by anything
 above Eina.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libemos-bin
Description-md5: 8afd12c10712f2dabd84c097e8ab4326
Description-en: ECMWF Interpolation Library - binaries
 The Interpolation library (EMOSLIB) includes Interpolation software and GRIB,
 BUFR, CREX encoding/decoding routines. It is used by the ECMWF meteorological
 archival and retrieval system (MARS) and also by the ECMWF graphics
 package MetView.
 .
 This package provide bufr-* and other tools

Package: libemos-data
Description-md5: 671334224ad9067ffaa8692d7eed8f3e
Description-en: Data files for the ECMWF Interpolation library
 The Interpolation library (EMOSLIB) includes Interpolation software and GRIB,
 BUFR, CREX encoding/decoding routines. It is used by the ECMWF meteorological
 archival and retrieval system (MARS) and also by the ECMWF graphics
 package MetView.
 .
 This package contains the data files needed by EMOSLIB.

Package: libemos-dev
Description-md5: 8bb8fed2ceb63c0afa95a4a8a82bbe8c
Description-en: ECMWF Interpolation Library - development
 The Interpolation library (EMOSLIB) includes Interpolation software and GRIB,
 BUFR, CREX encoding/decoding routines. It is used by the ECMWF meteorological
 archival and retrieval system (MARS) and also by the ECMWF graphics
 package MetView.
 .
 This package contains the static libraries and headers needed to build
 applications against libemos.

Package: libemos0d
Description-md5: 5b00e7c7a479e223033f3133de7e054e
Description-en: ECMWF Interpolation Library
 The Interpolation library (EMOSLIB) includes Interpolation software and GRIB,
 BUFR, CREX encoding/decoding routines. It is used by the ECMWF meteorological
 archival and retrieval system (MARS) and also by the ECMWF graphics
 package MetView.

Package: libemotion-players
Description-md5: faddc32b18987630c0a612981d897b14
Description-en: EFL additional loaders for Emotion
 This package contains programs that allow Emotion to use libvlc to
 access additional formats for which it has no built-in support.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libemotion1
Description-md5: 798df187873fc25b76ad256845229c3c
Description-en: EFL media object functionality
 Emotion is a library that allows playing audio and video files, using
 one of its backends (GStreamer, xine or generic shm player).
 .
 It is integrated into Ecore through its mainloop, and is transparent
 to the user of the library how the decoding of audio and video is
 being done. Once the objects are created, the user can set callbacks
 to the specific events and set options to this object, all in the
 main loop.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libenca-dbg
Description-md5: 5624e260bd409c48cd7e759ff3c5d8ce
Description-en: Extremely Naive Charset Analyser - debug files
 Enca is an Extremely Naive Charset Analyser. It detects the character set and
 the encoding of text files and can also convert them to other encodings.
 .
 This package contains debugger symbols useful for debugging problems with
 this library.

Package: libenca-dev
Description-md5: 6073f954b54db14120258aca7bdd4e19
Description-en: Extremely Naive Charset Analyser - development files
 Enca is an Extremely Naive Charset Analyser. It detects the character set and
 the encoding of text files and can also convert them to other encodings.
 .
 This package contains header files needed for development with libenca.
 It also contains API documentation.

Package: libenca0
Description-md5: da9031e69c0ee3eed757fb4c01f3036d
Description-en: Extremely Naive Charset Analyser - shared library files
 Enca is an Extremely Naive Charset Analyser. It detects the character set and
 the encoding of text files and can also convert them to other encodings.
 .
 This package contains the shared library needed to run applications compiled
 against libenca.

Package: libenchant-dev
Description-md5: b2620cb0d943a1db9ccdedf63e68ee00
Description-en: Wrapper library for various spell checker engines (development)
 Enchant is a generic spell checking library which uses existing
 spell checker engines such as ispell, aspell and myspell as its backends.
 .
 Enchant steps in to provide uniformity and conformity on top of these
 libraries, and implement certain features that may be lacking in any
 individual provider library.
 .
 This package contains files needed for development with Enchant.

Package: libenchant-voikko
Description-md5: 6ba31c01c5eaf63c081ad274d913fe07
Description-en: Voikko spell-checker libenchant plugin
 Voikko is a Finnish spell-checker and hyphenator based on Malaga and
 Suomi-Malaga.
 .
 This package contains a libenchant provider plugin that allows using Voikko in
 any application that uses enchant library for spell-checking, such as
 Abiword.

Package: libenchant1c2a
Description-md5: 376c35f65a2fde728d882fde534aa99e
Description-en: Wrapper library for various spell checker engines (runtime libs)
 Enchant is a generic spell checking library which uses existing
 spell checker engines such as ispell, aspell and myspell as its backends.
 .
 Enchant steps in to provide uniformity and conformity on top of these
 libraries, and implement certain features that may be lacking in any
 individual provider library.
 .
 This package contains the shared library and non-Voikko enchant plugins.

Package: libencode-arabic-perl
Description-md5: 453c6a1dd741de78892fb41ae88be21c
Description-en: perl implementation for Arabic encodings
 Encode::Arabic is a wrapper for various implementations of the encoding
 systems used for the Arabic language and covering even some non-Arabic
 extensions to the Arabic script. The included modules fit in the philosophy of
 Encode::Encoding and can be used directly with the Encode module.

Package: libencode-base58-perl
Description-md5: 3c1489944d7a315e2e26efc9d39292d4
Description-en: module to shorten numbers using a base58 conversion
 Encode::Base58 permits one to encode and decode numbers using a base58
 conversion. It differs from a base64 conversion by having confusing
 (0, O, l and I) and non alpha-numeric ( + and /) characters removed.
 .
 It is used by Flickr for its URL shortener and in Bitcoin addresses.

Package: libencode-detect-perl
Description-md5: 429861b1c82e8993a60f8a3a4c3371f1
Description-en: Encode::Encoding subclass that detects the encoding of data
 Encode::Detect provides an interface to Mozilla's universal charset
 detector, which detects the charset used to encode data.

Package: libencode-eucjpms-perl
Description-md5: 17a999519d38f1d67338681e8955a3f5
Description-en: Perl library for Microsoft Compatible Japanese Encodings
 This module implements Microsoft compatible encodings for Japanese.
 Encodings supported are as follows.
 .
 Canonical     Alias                                      Description
 --------------------------------------------------------------------
 eucJP-ms      qr/\beuc-?jp-?ms$/i                           eucJP-ms
               qr/\beuc-?jp-?win$/i
 cp51932       qr/\bcp51932$/i                 Windows Codepage 51932
 cp50220                                       Windows Codepage 50220
 cp50221                                       Windows Codepage 50221
 --------------------------------------------------------------------
 .
 To find out how to use this module in detail, see Encode.

Package: libencode-hanextra-perl
Description-md5: c9657081f76f7811fdc359b8cf30270d
Description-en: perl module providing extra sets of Chinese character encodings
 Perl 5.7.3 and later ships with an adequate set of Chinese encodings,
 including the commonly used CP950, CP936 (also known as GBK),
 Big5 (alias for Big5-Eten), Big5-HKSCS, EUC-CN, HZ, and
 ISO-IR-165. However, the numbers of Chinese encodings are staggering,
 and a complete coverage will easily increase the size of perl distribution
 by several megabytes; hence, this module tries to provide the rest of them.
 If you are using Perl 5.8 or later, Encode::CN and Encode::TW will
 automatically load the extra encodings for you, so there's no need to
 explicitly write "use Encode::HanExtra" if you are using one of them
 already.

Package: libencode-imaputf7-perl
Description-md5: 9722e5d1b0ea3dcf129cfa72d2ccc430
Description-en: modification of UTF-7 encoding for IMAP
 IMAP mailbox names are encoded in a modified UTF-7 when names contains
 international characters outside of the printable ASCII range. The
 modified UTF-7 encoding is defined in RFC2060 (section 5.1.3).

Package: libencode-jis2k-perl
Description-md5: f1f696a4aa66f6d03f35fd440bcc90bf
Description-en: perl module providing JIS X 0212 (aka JIS 2000) Encodings
 Encode::JIS2K is an extension to the Encode perl module (which provides an
 interface between perl strings and other character encodings) provides
 specific coverage for the JIS X 0213 character set.

Package: libencode-perl
Description-md5: 6cc0080ebdddd83919780a1a5e291480
Description-en: module providing interfaces between Perl's strings and the system
 The Encode module provides the interfaces between Perl's strings and the
 rest of the system.
 .
 When Perl is processing "binary data", the programmer wants Perl to process
 "sequences of bytes". This is not a problem for Perl - as a byte has 256
 possible values, it easily fits in Perl's much larger "logical character".
 .
 Encodes a string from Perl's internal form into ENCODING and returns a
 sequence of octets.

Package: libencode-zapcp1252-perl
Description-md5: 4cc2a524577ae185f4c0ab22713ccbfc
Description-en: zap Windows Western gremlin characters
 CP1252 (Windows Western) is a superset of ISO8859-1 (Latin-1).
 Accidentally mixing CP1252 with ISO8859-1 is common, causing "gremlins"
 - broken misfit characters.
 .
 Encode::ZapCP1252 detects freak characters and either transliterates
 them into ASCII counterparts or translates them to UTF-8 equivalents.
 .
 Processed strings are assumed to contain a mixture of ASCII, ISO8859-1
 and CP1252, but no actual UTF-8 characters (even if encoded as such).
 For string soups including UTF-8 characters, Encoding::FixLatin may be
 better suitable.

Package: libencoding-fixlatin-perl
Description-md5: c876db7f9b7bf7b1b6552de27262614b
Description-en: takes mixed encoding input and produces UTF-8 output
 Most encoding conversion tools take input in one encoding and produce
 output in another encoding.  Encoding::FixLatin takes input which may
 contain characters in more than one encoding and makes a best effort to
 convert them all to UTF-8 output.
 .
 For string soups containing only ASCII, ISO8859-1 and CP1252 but no
 actual UTF-8 characters (even if encoded as such), Encode::ZapCP1252
 may be better suitable.
 .
 Includes command-line tool fix_latin.

Package: libencoding-fixlatin-xs-perl
Description-md5: 12812e0a82fcb00cbcc721da121bb8e6
Description-en: XS implementation layer for Encoding::FixLatin
 Most encoding conversion tools take input in one encoding and produce
 output in another encoding.  Encoding::FixLatin takes input which may
 contain characters in more than one encoding and makes a best effort to
 convert them all to UTF-8 output.
 .
 Encoding::FixLatin::XS provides a C implementation of the 'fix_latin'
 algorithm.  It is not meant to be called directly.  Instead, simply
 install this module and use Encoding::FixLatin as normal.
 Encoding::FixLatin will use this module if it's found and will fall
 back to the pure-Perl implementation otherwise.

Package: libend-perl
Description-md5: f95c853e9f7bdaf81378350143100d3d
Description-en: Perl interface to execute code at end of scope
 End is a Perl module that exports a single subroutine, end, which enables
 execution of code whenever the current block is exited, regardless of whether
 it is due to a return, next, last, redo, exit, die, goto or just reaching the
 end of the current block.

Package: libendless-0-0
Description-md5: 0fa4e7885c11c5a0f44b36c61d1cf801
Description-en: Endless SDK runtime libraries
 Endless OS is a Linux-based operating system which provides a simplified
 and streamlined user experience using a customised desktop environment
 based on GNOME. Endless OS uses a read-only root file system managed
 by OSTree with application bundles overlaid on top.
 .
 Endless SDK provides libraries and development tools for building
 applications for Endless OS.
 .
 This package provides runtime libraries part of Endless SDK.

Package: libendless-0-common
Description-md5: 20019ffb6490c32631c51cc1cd618cda
Description-en: common files for the Endless SDK runtime libraries
 Endless OS is a Linux-based operating system which provides a simplified
 and streamlined user experience using a customised desktop environment
 based on GNOME. Endless OS uses a read-only root file system managed
 by OSTree with application bundles overlaid on top.
 .
 Endless SDK provides libraries and development tools for building
 applications for Endless OS.
 .
 This package provides locale files for Endless SDK.

Package: libendless-bin
Description-md5: 62173daeac97f699733dd11c120cac2f
Description-en: development tools for the Endless SDK
 Endless OS is a Linux-based operating system which provides a simplified
 and streamlined user experience using a customised desktop environment
 based on GNOME. Endless OS uses a read-only root file system managed
 by OSTree with application bundles overlaid on top.
 .
 Endless SDK provides libraries and development tools for building
 applications for Endless OS.
 .
 This package provides development tools for Endless SDK.

Package: libendless-dev
Description-md5: 9079d81253e642d5dfe6c726a3af4bd4
Description-en: development files for the Endless SDK
 Endless OS is a Linux-based operating system which provides a simplified
 and streamlined user experience using a customised desktop environment
 based on GNOME. Endless OS uses a read-only root file system managed
 by OSTree with application bundles overlaid on top.
 .
 Endless SDK provides libraries and development tools for building
 applications for Endless OS.
 .
 This package provides development files for Endless SDK.

Package: libendless-doc
Description-md5: 7595563374160ea50a39c31a33d22acc
Description-en: documentation files for the Endless SDK
 Endless OS is a Linux-based operating system which provides a simplified
 and streamlined user experience using a customised desktop environment
 based on GNOME. Endless OS uses a read-only root file system managed
 by OSTree with application bundles overlaid on top.
 .
 Endless SDK provides libraries and development tools for building
 applications for Endless OS.
 .
 This package provides documentation for Endless SDK.

Package: libenet-dev
Description-md5: d58eb807f5e56adb8a00725b091853af
Description-en: thin network communication layer on top of UDP - headers
 ENet's purpose is to provide a relatively thin, simple and robust network
 communication layer on top of UDP (User Datagram Protocol). The primary
 feature it provides is optional reliable, in-order delivery of packets.
 .
 ENet is NOT intended to be a general purpose high level networking library
 that handles authentication, lobbying, server discovery, compression,
 encryption and other high level, often application level or dependent tasks.
 .
 This package contains the development files.

Package: libenet-doc
Description-md5: e365c82a0b64fcf3e070ea77eba47d68
Description-en: thin network communication layer on top of UDP - documentation
 ENet's purpose is to provide a relatively thin, simple and robust network
 communication layer on top of UDP (User Datagram Protocol). The primary
 feature it provides is optional reliable, in-order delivery of packets.
 .
 ENet is NOT intended to be a general purpose high level networking library
 that handles authentication, lobbying, server discovery, compression,
 encryption and other high level, often application level or dependent tasks.
 .
 This package includes the documentation.

Package: libenet7
Description-md5: 7fb7b457f210e32cd1630195ec002c54
Description-en: thin network communication layer on top of UDP
 ENet's purpose is to provide a relatively thin, simple and robust network
 communication layer on top of UDP (User Datagram Protocol). The primary
 feature it provides is optional reliable, in-order delivery of packets.
 .
 ENet is NOT intended to be a general purpose high level networking library
 that handles authentication, lobbying, server discovery, compression,
 encryption and other high level, often application level or dependent tasks.

Package: libengine-gost-openssl1.1
Description-md5: 25563cc0d698ea014991cf843f4aee37
Description-en: Loadable module for openssl implementing GOST algorithms
 This package contains loadable module for openssl library, which
 implements (in software) Russian national standard (GOST) cryptography
 algorithms.

Package: libengine-pkcs11-openssl
Description-md5: fde800d6a1b419988579d61d56f3ca4d
Description-en: OpenSSL engine for PKCS#11 modules
 With this engine for OpenSSL you can use OpenSSL library
 and command line tools with any PKCS#11 implementation as
 backend for the crypto operations.
 .
 Engine_pkcs11 was developed for smart cards, and mostly
 for the OpenSC PKCS#11 module, but it should work fine with
 any PKCS#11 implementation.
 .
 Engine_pkcs11 is a spin off from OpenSC and replaced
 libopensc-openssl.

Package: libengine-pkcs11-openssl1.1
Description-md5: e135a37c7a26852657189dbe0f028c1f
Description-en: dummy package for upgrades from libengine-pkcs11-openssl1.1
 Can be safely removed.

Package: libensmallen-dev
Description-md5: 53786bc3db68afada07edf24cfdd11fb
Description-en: C++ header-only library for mathematical optimization
 Ensmallen provides a simple set of abstractions for writing an objective
 function to optimize. It also provides a large set of standard and cutting-edge
 optimizers that can be used for virtually any mathematical optimization task.
 These include full-batch gradient descent techniques, small-batch techniques,
 gradient-free optimizers, and constrained optimization.

Package: libenum-perl
Description-md5: 80e2829c3f030b988536f9add96d0dec
Description-en: perl module for sets of ordered constants like enums in C
 The enum module defines a set of symbolic constants with ordered numeric
 values ala C enum types.
 .
 It is now capable of creating ordered bitmask constants as well. See the
 BITMASKS section in the documentation for details.
 .
 What are they good for? Typical uses would be for giving mnemonic names to
 indexes of arrays. Such arrays might be a list of months, days, or a return
 value index from a function such as localtime().

Package: libenv-path-perl
Description-md5: 28e14fabd99d0865d7eade8fda1f8edf
Description-en: Perl module implementing advanced operations on path variables
 Env::Path presents an object-oriented interface to path variables, defined
 as that subclass of environment variables which name an ordered list of
 filesystem elements separated by a platform-standard separator.
 .
 Env::Path is for cases where you need to insert or remove interior path
 entries, strip redundancies, operate on a path variable without having to
 know whether the current platform uses ":" or ";", operate on a path variable
 which may have a different name on different platforms, etc.

Package: libenv-ps1-perl
Description-md5: 4af8ea6abe19c334a7d36fb42bbd0945
Description-en: prompt string formatter
 Env::PS1 supplies variables that are "tied" to environment
 variables like 'PS1' and 'PS2', if read it takes the contents of the
 variable as a format string like the ones bash(1) uses to format the
 prompt.
 .
 It is intended to be used in combination with the various ReadLine
 packages.

Package: libenv-sanctify-perl
Description-md5: 4b12f0de92ecdbf9c37109c35537956c
Description-en: Perl module providing lexically scoped environment (%ENV)
 Env::Sanctify is a module that provides lexically scoped manipulation and
 sanctification of %ENV. With this module, one can add or alter environment
 variables, later restoring the environment back either manually or
 automatically once the object falls out of scope.
 .
 This is useful for manipulating the environment that forked processes and
 sub-processes will inherit.

Package: libeolian-bin
Description-md5: 553d6a02ab6e54bb17328ec3e626a0a6
Description-en: EFL object parser and C code generator - C code generator
 Eolian parses Enlightenment's meta-data (.eo) files and can then
 generate C code along with header files.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the C code generator for Eo, eolian_gen.

Package: libeolian1
Description-md5: f2a238250c880d7de4e8379c6c9e7191
Description-en: EFL object parser and C code generator
 Eolian parses Enlightenment's meta-data (.eo) files and can then
 generate C code along with header files.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libepc-1.0-3
Description-md5: f79f5cabee930646e4217d6b1eb28941
Description-en: Easy Publish and Consume library - shared libraries
 The Easy Publish and Consume library provides an easy method to publish
 data per HTTPS announce that information via DNS-SD, find that information
 and finally consume it.
 .
 You can use this library as key/value store published to the network,
 using encryption, authentication and service discovery.
 .
 This package contains the shared libraries.

Package: libepc-common
Description-md5: bfe5705e93a99c0a8f6fb62e33cf3d2c
Description-en: Easy Publish and Consume library - data files
 The Easy Publish and Consume library provides an easy method to publish
 data per HTTPS announce that information via DNS-SD, find that information
 and finally consume it.
 .
 You can use this library as key/value store published to the network,
 using encryption, authentication and service discovery.
 .
 This package contains the data files for the shared libraries

Package: libepc-dev
Description-md5: 71ea00e0b1b2bea6900ca8110666adda
Description-en: Easy Publish and Consume library - development files
 The Easy Publish and Consume library provides an easy method to publish
 data per HTTPS announce that information via DNS-SD, find that information
 and finally consume it.
 .
 You can use this library as key/value store published to the network,
 using encryption, authentication and service discovery.
 .
 This package contains the headers and development libraries needed to
 build applications using the Easy Publish and Consume library

Package: libepc-doc
Description-md5: 95594a6062f1ef1bf009284541c2eb98
Description-en: Easy Publish and Consume library - documentation
 The Easy Publish and Consume library provides an easy method to publish
 data per HTTPS announce that information via DNS-SD, find that information
 and finally consume it.
 .
 You can use this library as key/value store published to the network,
 using encryption, authentication and service discovery.
 .
 This package contains the HTML documentation for the Easy Publish and
 Consume library

Package: libepc-ui-1.0-3
Description-md5: d5187792a5d5a7ba70cd8ef373efd5a7
Description-en: Easy Publish and Consume library - shared widget libraries
 The Easy Publish and Consume library provides an easy method to publish
 data per HTTPS announce that information via DNS-SD, find that information
 and finally consume it.
 .
 You can use this library as key/value store published to the network,
 using encryption, authentication and service discovery.
 .
 This package contains the shared widget libraries.

Package: libepc-ui-dev
Description-md5: b09274d6af4e2c9e148eab36693e6f5d
Description-en: Easy Publish and Consume library - widget development files
 The Easy Publish and Consume library provides an easy method to publish
 data per HTTPS announce that information via DNS-SD, find that information
 and finally consume it.
 .
 You can use this library as key/value store published to the network,
 using encryption, authentication and service discovery.
 .
 This package contains the headers and development libraries needed to
 build applications using the Easy Publish and Consume widget library

Package: libephysics1
Description-md5: cd5262eaa794cc34889e70d10c9aea63
Description-en: EFL physics functionality for Evas and Edje
 EPhysics is a library that makes it easy to use Ecore, Evas and
 Bullet Physics together. It's a kind of wrapper, a glue, between
 these libraries. It's not intended to be a physics library (we
 already have many out there).
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libepr-api-dev
Description-md5: 99064df5a182a6c48d902b29d886a4cb
Description-en: ENVISAT Product Reader API for C - Development files
 The ENVISAT Product Reader API is a set of C-source code files
 supporting developers who want to use MERIS, AATSR, and ASAR data
 products of the ESA ENVISAT satellite in their software.
 .
 The main use case for the C API is the ingestion of ENVISAT data into
   * new scientific algorithms developed for the MERIS, AATSR or ASAR
     sensors or even all of them,
   * existing scientific software packages written in C or C++, or COTS
     software systems which allow for extension using a C or C++
     interface.
 .
 This package contains development files (headers and static libraries).

Package: libepr-api2
Description-md5: 2cb455d47cbc946547896ab2ec7ae354
Description-en: ENVISAT Product Reader API for C
 The ENVISAT Product Reader API is a set of C-source code files
 supporting developers who want to use MERIS, AATSR, and ASAR data
 products of the ESA ENVISAT satellite in their software.
 .
 The main use case for the C API is the ingestion of ENVISAT data into
   * new scientific algorithms developed for the MERIS, AATSR or ASAR
     sensors or even all of them,
   * existing scientific software packages written in C or C++, or COTS
     software systems which allow for extension using a C or C++
     interface.

Package: libepsilon-dev
Description-md5: 6e3f3b570c6b3b5fc8a7cb78fd74325f
Description-en: Library for wavelet image compression - development files
 Epsilon is C library for wavelet based lossy image compression.
 Wavelet-driven compressors are know to be much more effective than
 traditional DCT-based ones (like JPEG).
 .
 At the moment, the program supports about 30 different wavelet filters,
 runs in parallel in multi-threaded and MPI environments, can process
 huge images and much more.
 .
 This package contains development stuff.

Package: libepsilon1
Description-md5: e9c4ee14adbc477f60714dbbbd433724
Description-en: Library for wavelet image compression
 Epsilon is C library for Wavelet based lossy image compression.
 Wavelet-driven compressors are know to be much more effective than
 traditional DCT-based ones (like JPEG).
 .
 At the moment, the program supports about 30 different wavelet filters,
 runs in parallel in multi-threaded and MPI environments, can process
 huge images and much more.
 .
 This package contains files required to build C/C++ programs which use
 the Epsilon library.

Package: libept-dev
Description-md5: db1ebb07da1715a36bf605f6d6b727d2
Description-en: High-level library for managing Debian package information
 The library defines a very minimal framework in which many sources of data
 about Debian packages can be implemented and queried together.
 .
 The library includes four data sources:
 .
  * APT: access the APT database
  * Debtags: access the Debtags tag information
  * Popcon: access Popcon package scores
  * The Xapian index built by apt-xapian-index
 .
 This is the development library.

Package: libept1.6.0
Description-md5: dc36b06ff413539afcd133bd1698dd39
Description-en: High-level library for managing Debian package information
 The library defines a very minimal framework in which many sources of data
 about Debian packages can be implemented and queried together.
 .
 The library includes four data sources:
 .
  * APT: access the APT database
  * Debtags: access the Debtags tag information
  * Popcon: access Popcon package scores
  * The Xapian index built by apt-xapian-index
 .
 This is the shared library.

Package: libepub-dev
Description-md5: aafd414092cb3fa0bb06d1b933504f84
Description-en: library to work with the EPUB file format - development files
 The ebook-tools provide ways for accessing and converting different
 ebook file formats.
 .
 This C library is free and portable. It includes methods to parse
 EPUB files and extract their contents.
 .
 This package provides development headers and libraries for libepub.

Package: libepub0
Description-md5: 150958d6971f617213b1f58a7ce1f244
Description-en: library to work with the EPUB file format - runtime library
 The ebook-tools provide ways for accessing and converting different
 ebook file formats.
 .
 This C library is free and portable. It includes methods to parse
 EPUB files and extract their contents.
 .
 This package provides the main epub library.

Package: libequinox-app-java
Description-md5: 53c35e2293eb2b57513fceef9206bacd
Description-en: Eclipse Equinox Application Container
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.app bundle.

Package: libequinox-bidi-java
Description-md5: 0036b98196129cc3ac58112dcaeb8515
Description-en: Eclipse Equinox Bidirectional Text Support
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.bidi bundle.

Package: libequinox-cm-java
Description-md5: 4bd7c240dc67cbf9de95ddef6986f276
Description-en: Eclipse Equinox Configuration Admin
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.cm bundle.

Package: libequinox-common-java
Description-md5: 7eee21fbae56db5bac05330aa124a10e
Description-en: Eclipse Equinox Common Utility Bundle
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.common bundle.

Package: libequinox-concurrent-java
Description-md5: 72f3a9de5c9501bc660976a8299d96e7
Description-en: Eclipse Equinox Concurrent API
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.concurrent bundle.

Package: libequinox-console-java
Description-md5: 29b320bcc2918c2cff95c733df0097a7
Description-en: Eclipse Equinox Console plug-in
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.console bundle.

Package: libequinox-coordinator-java
Description-md5: b61d3b145ad069123f8195ef0c0658ea
Description-en: Eclipse Equinox Coordinator
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.coordinator bundle.

Package: libequinox-device-java
Description-md5: e7bdb5d8e5fb9d1acf1fbff764d727ed
Description-en: Eclipse Equinox Device Access Service
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.device bundle.

Package: libequinox-ds-java
Description-md5: 5e979565da5f4290a37cdae97c8741ae
Description-en: Eclipse Equinox Declarative Services
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.ds bundle.

Package: libequinox-event-java
Description-md5: 1cbf73011cd61cfb82077d2e40667e34
Description-en: Eclipse Equinox Event Admin
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.event bundle.

Package: libequinox-frameworkadmin-equinox-java
Description-md5: 6a2880fdeb8728e050cf072a6c126f5a
Description-en: Equinox Framework Admin for Equinox
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.frameworkadmin.equinox bundle.

Package: libequinox-frameworkadmin-java
Description-md5: fa72483d0b35a08b8abf6df37290ac15
Description-en: Equinox Framework Admin
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.frameworkadmin bundle.

Package: libequinox-http-jetty-java
Description-md5: 7515827db0ceb3721f8025c0515d6711
Description-en: Eclipse Equinox Jetty HTTP Service
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.http.jetty bundle.

Package: libequinox-http-jetty-starter-java
Description-md5: ac12932acd4cfd1fef527a288f44ca19
Description-en: Eclipse Equinox Jetty HTTP Service Starter
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.http.jetty.starter bundle.

Package: libequinox-http-registry-java
Description-md5: f66ea3826bbcbe6cf26b4b967e1b50a1
Description-en: Eclipse Equinox HTTP Registry
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.http.registry bundle.

Package: libequinox-http-servlet-java
Description-md5: c4421a42d40d2b8bfe18ec1503c04b7b
Description-en: Eclipse Equinox HTTP Services Servlet
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.http.servlet bundle.

Package: libequinox-http-servletbridge-java
Description-md5: d65e77cc0e1063d4cbfe9b14d0c3fe35
Description-en: Eclipse Equinox Servletbridge HTTP Service
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.http.servletbridge bundle.

Package: libequinox-io-java
Description-md5: c5bf51a7f1bb2b388d5fae1658248654
Description-en: Eclipse Equinox IO Connector Service
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.io bundle.

Package: libequinox-ip-java
Description-md5: 4db916f753d88974ed8737a37bf8d91d
Description-en: Eclipse Equinox Initial Provisioning
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.ip bundle.

Package: libequinox-jsp-jasper-java
Description-md5: 6bb78197a1e525720d19434fc9cf025c
Description-en: Eclipse Equinox Jasper Jsp Support Bundle
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.jsp.jasper bundle.

Package: libequinox-jsp-jasper-registry-java
Description-md5: 757300ae9aad8de2c56a3927e67b7d92
Description-en: Eclipse Equinox Jasper Jsp Registry Support Plug-in
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.jsp.jasper.registry bundle.

Package: libequinox-launcher-java
Description-md5: 4519b31a4be8485d7c00b249fc988368
Description-en: Eclipse Equinox Launcher
 The Equinox Framework component is tasked with being a full implementation
 to the OSGi Core Framework R4 specification. In addition, the Framework
 component produces launchers, bootstrap infrastructure and application models
 that facilitate the use of Equinox OSGi in end-user product scenarios.
 .
 This package contains the org.eclipse.equinox.launcher bundle.

Package: libequinox-metatype-java
Description-md5: a07ac6d5d61a8accab549449281975f8
Description-en: Eclipse Equinox Meta Type
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.metatype bundle.

Package: libequinox-osgi-java
Description-md5: e79e3887f77b1b0685c7387d3224f564
Description-en: Equinox OSGi framework (Transitional)
 This package includes the Eclipse Equinox OSGi framework, which can be used
 outside Eclipse. Equinox is an implementation of the OSGi core framework
 specification, a set of bundles that implement various optional OSGi services
 and other infrastructure for running OSGi-based systems. The Equinox OSGi core
 framework implementation is used as the reference implementation and as such
 it implements all the required features of the latest OSGi core framework
 specification.
 .
 More generally, the goal of the Equinox project is to be a first class OSGi
 community and foster the vision of Eclipse as a landscape of bundles. As part
 of this, it is responsible for developing and delivering the OSGi framework
 implementation used for all of Eclipse.

Package: libequinox-p2-artifact-optimizers-java
Description-md5: 64daedf527b2d7d904f16c1a983f7bc0
Description-en: Equinox p2 Artifact Optimizers
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.artifact.optimizers bundle.

Package: libequinox-p2-artifact-processors-java
Description-md5: 9332cac47f1d921c03f646a3231b5e16
Description-en: Equinox p2 Artifact Processing Support
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.artifact.processors bundle.

Package: libequinox-p2-artifact-repository-java
Description-md5: 48ba9b825a1d44c0a276d556fede256f
Description-en: Equinox Provisioning Artifact Repository Support
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.artifact.repository bundle.

Package: libequinox-p2-console-java
Description-md5: 5e3253db4d7d982b2fb5f90f7d56f6f1
Description-en: Equinox Provisioning Console
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.console bundle.

Package: libequinox-p2-core-java
Description-md5: 87887b71f9ef7322d79c70f7079a3fb1
Description-en: Equinox p2 Core
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.core bundle.

Package: libequinox-p2-director-app-java
Description-md5: ab2c107bbfef193403d8a5dbf8eca35a
Description-en: Equinox Provisioning Director Application
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.director.app bundle.

Package: libequinox-p2-director-java
Description-md5: db9ceb65e72211e2f8c258067cb50c8e
Description-en: Equinox Provisioning Director
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.director bundle.

Package: libequinox-p2-directorywatcher-java
Description-md5: 50be00d41510abd513f6c0c646d3b4b2
Description-en: Equinox Provisioning Directory Watcher
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.directorywatcher bundle.

Package: libequinox-p2-discovery-java
Description-md5: acc5c2330e1f11d7461fb182309e1d97
Description-en: Equinox Provisioning Discovery
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.discovery bundle.

Package: libequinox-p2-engine-java
Description-md5: 7170a4be9eedb91b67b74b5562651f62
Description-en: Equinox Provisioning Engine
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.engine bundle.

Package: libequinox-p2-extensionlocation-java
Description-md5: 5fe8f041d2c8fb38d6dff7d7f4d779a8
Description-en: Equinox Provisioning Extension Location Repository Support
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.extensionlocation bundle.

Package: libequinox-p2-garbagecollector-java
Description-md5: 9dda31eeec3bed764947d3a29bfb0604
Description-en: Equinox Provisioning Garbage Collector
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.garbagecollector bundle.

Package: libequinox-p2-jarprocessor-java
Description-md5: 2b1d4c2394e8e9f9a6e4336349d54be4
Description-en: Equinox Provisioning JAR Processor
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.jarprocessor bundle.

Package: libequinox-p2-metadata-java
Description-md5: db38c9ca592d20bd10454f9e86a32a87
Description-en: Equinox Provisioning Metadata
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.metadata bundle.

Package: libequinox-p2-metadata-repository-java
Description-md5: fea7627ba4738c24a36f03f5c90cdf67
Description-en: Equinox Provisioning Metadata Repository
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.metadata.repository bundle.

Package: libequinox-p2-operations-java
Description-md5: 4a4b81406beb6a37292dae8adc946173
Description-en: Equinox Provisioning Operations API
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.operations bundle.

Package: libequinox-p2-publisher-eclipse-java
Description-md5: 058b74d6f261fcfe7e5a47f9820b2420
Description-en: Equinox Provisioning Publisher for Eclipse
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.publisher.eclipse bundle.

Package: libequinox-p2-publisher-java
Description-md5: 0722b64c29cd4bc139da8192cdbdac34
Description-en: Equinox Provisioning Publisher Infrastructure
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.publisher bundle.

Package: libequinox-p2-reconciler-dropins-java
Description-md5: 0b9e4982432be23b2c979408071f918f
Description-en: Equinox Provisioning Drop-in
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.reconciler.dropins bundle.

Package: libequinox-p2-repository-java
Description-md5: aeb82ecb7457cba93447e608b796f2d2
Description-en: Equinox Provisioning Repository
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.repository bundle.

Package: libequinox-p2-repository-tools-java
Description-md5: 709d166cecbbd6970ecdc9e0518aaf31
Description-en: Equinox Provisioning Repository Tools
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.repository.tools bundle.

Package: libequinox-p2-sar-java
Description-md5: f68af03d2b063340afe36888fc2de050
Description-en: Equinox Sar Plug-in
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.sar bundle.

Package: libequinox-p2-touchpoint-eclipse-java
Description-md5: e2b214c2ac7b6191267dcf5d0fd4af7b
Description-en: Equinox Provisioning Eclipse Touchpoint
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.touchpoint.eclipse bundle.

Package: libequinox-p2-touchpoint-natives-java
Description-md5: 59ff9db7f64f17aad8e473f85921fae7
Description-en: Equinox Provisioning Native Touchpoint
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.touchpoint.natives bundle.

Package: libequinox-p2-updatechecker-java
Description-md5: fa3c0c1b9b3942b3bb16d0b4583699d2
Description-en: Equinox Provisioning Update Checker
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.updatechecker bundle.

Package: libequinox-p2-updatesite-java
Description-md5: 77c7766a562868b69e9e1358ab9b480d
Description-en: Equinox Provisioning Legacy Update Site Support
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.p2.updatesite bundle.

Package: libequinox-preferences-java
Description-md5: 3d19d960537756f838c86fb4541db10d
Description-en: Eclipse Preferences Mechanism
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.preferences bundle.

Package: libequinox-region-java
Description-md5: 098e1d50452924d21b13511ebaeddde0
Description-en: Eclipse Equinox Region
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.region bundle.

Package: libequinox-registry-java
Description-md5: 0dcad7cd125f0cd5fdeaa80cd565356e
Description-en: Eclipse Extension Registry Support
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.registry bundle.

Package: libequinox-security-java
Description-md5: 60feb49512d8a14898ca248221516670
Description-en: Eclipse Equinox Java Authentication and Authorization Service (JAAS)
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.security bundle.

Package: libequinox-servletbridge-java
Description-md5: 31db84622ff621d9845b577125c13939
Description-en: Eclipse Equinox Servletbridge
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.servletbridge bundle.

Package: libequinox-simpleconfigurator-java
Description-md5: 502b9d2bac99086ec2220bc1d48c2962
Description-en: Equinox Simple Configurator
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.simpleconfigurator bundle.

Package: libequinox-simpleconfigurator-manipulator-java
Description-md5: 643eac663fd5248c66dac3358ba2708e
Description-en: Equinox Simple Configurator Manipulator
 The Equinox p2 project focuses on provisioning technology for OSGi-based
 applications. Although p2 has specific support for installing Eclipse and
 Equinox-based applications, it includes a general-purpose provisioning
 infrastructure that can be used as the basis for provisioning solutions
 for a wide variety of software applications.
 .
 This package contains the org.eclipse.equinox.simpleconfigurator.manipulator
 bundle.

Package: libequinox-transforms-hook-java
Description-md5: ac76645b3a36ea175183ee9599539707
Description-en: Eclipse Equinox Transformer Hook Framework Extension
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.transforms.hook bundle.

Package: libequinox-transforms-xslt-java
Description-md5: 5be6df8aefab3862083ce7e5e00118ed
Description-en: Eclipse Equinox Transforms XSLT
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.transforms.xslt bundle.

Package: libequinox-useradmin-java
Description-md5: 72c90021ad3b7a7de4ef3087aa599667
Description-en: Eclipse Equinox User Admin Service
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.useradmin bundle.

Package: libequinox-util-java
Description-md5: ce883b21f71d6477231251536372ed37
Description-en: Eclipse Equinox Util
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.util bundle.

Package: libequinox-weaving-caching-java
Description-md5: 9e5373029b5d74ea16f22e4c6e001789
Description-en: Eclipse Equinox Weaving Caching
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.weaving.caching bundle.

Package: libequinox-weaving-hook-java
Description-md5: 88ad057f5066abbffa10792d87199883
Description-en: Eclipse Equinox Weaving Hook
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.weaving.hook bundle.

Package: libequinox-wireadmin-java
Description-md5: 548de3938868e3b3178d23fe84b9ef0f
Description-en: Eclipse Equinox Wire Admin Service
 The Equinox Bundles project is tasked with implementing all add-on services
 detailed in the OSGi specifications including the output of the various OSGi
 Expert groups. In addition, the bundles component team defines and produces
 bundles and services that are of general utility to OSGi systems and
 programmers.
 .
 This package contains the org.eclipse.equinox.wireadmin bundle.

Package: liberfa-dev
Description-md5: 341993e42fba63754bc640d0052d6db9
Description-en: Essential Routines for Fundamental Astronomy (development files)
 ERFA is a C library containing key algorithms for astronomy, and is
 based on the SOFA library published by the International Astronomical
 Union (IAU).
 .
 It is intended to replicate the functionality of SOFA (aside from
 possible bugfixes in ERFA that have not yet been included in SOFA),
 but is licensed under a three-clause BSD license to enable its
 compatibility with a wide range of open source licenses. Permission
 for this release has been obtained from the SOFA board.
 .
 This package contains the header files and the static library.

Package: liberfa1
Description-md5: 801be880791437c69626051e4b0c175e
Description-en: Essential Routines for Fundamental Astronomy
 ERFA is a C library containing key algorithms for astronomy, and is
 based on the SOFA library published by the International Astronomical
 Union (IAU).
 .
 It is intended to replicate the functionality of SOFA (aside from
 possible bugfixes in ERFA that have not yet been included in SOFA),
 but is licensed under a three-clause BSD license to enable its
 compatibility with a wide range of open source licenses. Permission
 for this release has been obtained from the SOFA board.
 .
 This package contains the shared library.

Package: liberis-1.3-21
Description-md5: 6bd165b0fccd944e205265da3c26ee77
Description-en: WorldForge client entity library
 Eris is designed to simplify client development (and avoid repeating the
 same work several times), by providing a common system to deal with the
 back end tasks. Notably, Eris encapsulates most of the work in getting
 Atlas entities available on your client, logging into a server, and
 managing updates from the server. Thus it can be considered as a session
 layer above Atlas, providing persistent (for the session) objects as
 opposed to Atlas ones (which are transient). It handles the client-side
 implementation of the meta-server protocol, and querying game servers;
 out-of-game (OOG) operations (via the Lobby and Rooms), and most
 important in-game (IG) operations such as entity creation, movement and
 updates.
 .
 Eris provides a generic 'Entity' class, which you are free to sub-class
 and provide to the system (by registering a factory with the World);
 thus you are free to create different classes to handle characters,
 walls, vehicles, etc as your client dictates. An alternative approach is
 to simply create peer classes, and connect them to Eris via
 callbacks. Eris makes extensive use of libSigC++, which must be
 correctly installed and functioning on your system. Familiarity with
 signal/slot programming concepts is essential for using Eris; the
 libSigC++ home-page has some examples. Gtk+ or QT signal systems also
 provide a good introduction.

Package: liberis-1.3-dev
Description-md5: 549d7ba761f0b7b34ae409ce458cba99
Description-en: WorldForge client entity library - development files
 Eris is designed to simplify client development (and avoid repeating the
 same work several times), by providing a common system to deal with the
 back end tasks. Notably, Eris encapsulates most of the work in getting
 Atlas entities available on your client, logging into a server, and
 managing updates from the server. Thus it can be considered as a session
 layer above Atlas, providing persistent (for the session) objects as
 opposed to Atlas ones (which are transient). It handles the client-side
 implementation of the meta-server protocol, and querying game servers;
 out-of-game (OOG) operations (via the Lobby and Rooms), and most
 important in-game (IG) operations such as entity creation, movement and
 updates.
 .
 Eris provides a generic 'Entity' class, which you are free to sub-class
 and provide to the system (by registering a factory with the World);
 thus you are free to create different classes to handle characters,
 walls, vehicles, etc as your client dictates. An alternative approach is
 to simply create peer classes, and connect them to Eris via
 callbacks. Eris makes extensive use of libSigC++, which must be
 correctly installed and functioning on your system. Familiarity with
 signal/slot programming concepts is essential for using Eris; the
 libSigC++ home-page has some examples. Gtk+ or QT signal systems also
 provide a good introduction.
 .
 This package contains the development files for compiling software
 depending on Eris.

Package: liberis-doc
Description-md5: d667fccae37ac30b0cf3805120b77eac
Description-en: WorldForge client entity library - API documentation
 Eris is designed to simplify client development (and avoid repeating the
 same work several times), by providing a common system to deal with the
 back end tasks. Notably, Eris encapsulates most of the work in getting
 Atlas entities available on your client, logging into a server, and
 managing updates from the server. Thus it can be considered as a session
 layer above Atlas, providing persistent (for the session) objects as
 opposed to Atlas ones (which are transient). It handles the client-side
 implementation of the meta-server protocol, and querying game servers;
 out-of-game (OOG) operations (via the Lobby and Rooms), and most
 important in-game (IG) operations such as entity creation, movement and
 updates.
 .
 Eris provides a generic 'Entity' class, which you are free to sub-class
 and provide to the system (by registering a factory with the World);
 thus you are free to create different classes to handle characters,
 walls, vehicles, etc as your client dictates. An alternative approach is
 to simply create peer classes, and connect them to Eris via
 callbacks. Eris makes extensive use of libSigC++, which must be
 correctly installed and functioning on your system. Familiarity with
 signal/slot programming concepts is essential for using Eris; the
 libSigC++ home-page has some examples. Gtk+ or QT signal systems also
 provide a good introduction.
 .
 This package contains the API documentation in HTML format.

Package: libesd-java
Description-md5: 11cc27973498096c20bde508b323de8d
Description-en: Implementation of EsounD in pure Java
 JEsd includes an audio server, which corresponds to 'esd' and classes for
 communicating with 'esd', which corresponds to 'libesd'.  This client side
 code will enable us to hack audio apps in pure Java.

Package: libesedb-dev
Description-md5: 482427b24af9b3657a409fa070c6cef7
Description-en: Extensible Storage Engine DB access library -- development files
 libesedb is a library to access the Extensible Storage Engine (ESE)
 Database File (EDB) format. The ESE database format is used in pmay
 different applications like Windows Search, Windows Mail, Exchange,
 Active Directory, etc..
 .
 This package includes the development support files.

Package: libesedb-utils
Description-md5: bb82303780dae79e67c6c3dbc11bd877
Description-en: Extensible Storage Engine DB access library -- Utilities
 libesedb is a library to access the Extensible Storage Engine (ESE)
 Database File (EDB) format. The ESE database format is used in may
 different applications like Windows Search, Windows Mail, Exchange,
 Active Directory, etc..
 .
 This package contains tools to access data stored in EDB files:
 esedbexport, esedbinfo.

Package: libesedb1
Description-md5: d8bb55d63029328c253f8eae8ea33cca
Description-en: Extensible Storage Engine DB access library
 libesedb is a library to access the Extensible Storage Engine (ESE)
 Database File (EDB) format. The ESE database format is used in may
 different applications like Windows Search, Windows Mail, Exchange,
 Active Directory, etc..
 .
 This package contains the shared library.

Package: libesmtp-dev
Description-md5: cf1fb8d938e90e8c50ba8b952e0b55d4
Description-en: LibESMTP SMTP client library (development files)
 LibESMTP is a library to manage posting (or submission of) electronic
 mail using SMTP to a preconfigured Mail Transport Agent (MTA) such as
 Exim.  It may be used as part of a Mail User Agent (MUA) or another
 program that must be able to post electronic mail but where mail
 functionality is not the program's primary purpose.
 .
 This package contains the header files and the static version
 of the library.

Package: libesmtp6
Description-md5: 1355416562036733fdccb1164963edfe
Description-en: LibESMTP SMTP client library
 LibESMTP is a library to manage posting (or submission of) electronic
 mail using SMTP to a preconfigured Mail Transport Agent (MTA) such as
 Exim.  It may be used as part of a Mail User Agent (MUA) or another
 program that must be able to post electronic mail but where mail
 functionality is not the program's primary purpose.

Package: libesnacc-dev
Description-md5: fb8a899a3140b066e5f4f4a64a906602
Description-en: ASN.1 to C or C++ or IDL compiler, development files
 esnacc is short for "Enhanced Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.
 .
 This package contains the static libraries and C/C++ header files for
 snacc development.

Package: libesnacc180
Description-md5: fc9a588d82c7f16fb683de935142fc8f
Description-en: ASN.1 to C or C++ or IDL compiler, shared libraries
 esnacc is short for "Enhanced Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.
 .
 These are the shared libraries for programs developed using snacc.

Package: libespeak-dev
Description-md5: 16f8bdcde457d8c2150cb171d904d7ca
Description-en: Multi-lingual software speech synthesizer: development files
 eSpeak is a software speech synthesizer for English, and some other
 languages.
 .
 This package contains the eSpeak development files needed to
 build against the espeak shared library.

Package: libespeak-ng-libespeak1
Description-md5: 18a86c6171610f66d47437bca8ab1c8b
Description-en: Multi-lingual software speech synthesizer: shared library
 eSpeak NG is a software speech synthesizer for English, and some other
 languages.
 .
 This package contains compatibility links that makes it a drop-in replacement
 for the libespeak1 package.  Installing this package thus allows one to make
 applications linked against libespeak1 use eSpeak NG without any modification
 or rebuild.

Package: libespeak1
Description-md5: 979c4445019f29a7a752783878e7fe06
Description-en: Multi-lingual software speech synthesizer: shared library
 eSpeak is a software speech synthesizer for English, and some other
 languages.
 .
 This package contains the espeak program in a shared library.

Package: libestools-dev
Description-md5: 7c688489cdc9ec52a96e79fd264de880
Description-en: Edinburgh Speech Tools Library - developer's libraries
 The Edinburgh Speech Tools Library is a collection of C++ class, functions and
 related programs for manipulating the sorts of objects used in speech
 processing.
 .
 This package contains the header files and static libraries.

Package: libestools2.5
Description-md5: a497575e6bd37f8599ee3ca1b9a00775
Description-en: Edinburgh Speech Tools Library
 The Edinburgh Speech Tools Library is a collection of C++ class, functions and
 related programs for manipulating the sorts of objects used in speech
 processing.

Package: libethumb-client-bin
Description-md5: ddc54cb6ebf6f7a565b412b2b6c54125
Description-en: EFL ethumb helper binary
 Ethumb uses Evas to generate thumbnail images of given files. The API allows
 great customization of the generated files and also helps complying to
 FreeDesktop.Org Thumbnail Specification.
 .
 It also provide a D-Bus server to reduce the performance costs of
 thumbnail generation and management. Ethumb-Client creates thumbnails
 by communicating with a thumbnail generation server using standard
 D-Bus protocol.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package provides the ethumbd helper binary and the associated D-Bus
 service file that are internally used by libethumb-client.

Package: libethumb-client1
Description-md5: 0637edb7629224c74360fcefd4b90f50
Description-en: EFL client for ethumb
 Ethumb uses Evas to generate thumbnail images of given files. The API allows
 great customization of the generated files and also helps complying to
 FreeDesktop.Org Thumbnail Specification.
 .
 It also provide a D-Bus server to reduce the performance costs of
 thumbnail generation and management. Ethumb-Client creates thumbnails
 by communicating with a thumbnail generation server using standard
 D-Bus protocol.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the D-Bus client library.

Package: libethumb1
Description-md5: 7c0d57ae60045a4ddf068dc63a573917
Description-en: EFL for thumbnail image creation
 Ethumb uses Evas to generate thumbnail images of given files. The API allows
 great customization of the generated files and also helps complying to
 FreeDesktop.Org Thumbnail Specification.
 .
 It also provide a D-Bus server to reduce the performance costs of
 thumbnail generation and management. Ethumb-Client creates thumbnails
 by communicating with a thumbnail generation server using standard
 D-Bus protocol.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the D-Bus server library.

Package: libetonyek-tools
Description-md5: 46f6ca91212eca38774f9c48f0b72f29
Description-en: library for reading and converting Apple Keynote presentations -- tools
 libetonyek is a library and a set of tools for reading and converting
 Apple Keynote presentations. The library tries to extract the most
 important parts of version 2 format of Keynote (version 1 is not
 supported yet, but it should be in the future).
 .
 This package contains key2text, key2raw and key2xhtml for "converting"
 Keynote presentations in  those respective formats.

Package: libetpan-dev
Description-md5: c81bfd7d79260b0be60d87d2ddb4192c
Description-en: mail handling library - development files
 libEtPan! is a mail library. It may be used for low-level mail handling:
 network protocols (IMAP/NNTP/POP3/SMTP over TCP/IP with or without SSL),
 local storage (mbox/MH/maildir) and message/MIME parsing.
 .
 This package provides development files for the library.

Package: libetpan-doc
Description-md5: 34b84bae7bb327dd4bee62e15de9ae44
Description-en: mail handling library - API documentation
 libEtPan! is a mail library. It may be used for low-level mail handling:
 network protocols (IMAP/NNTP/POP3/SMTP over TCP/IP with or without SSL),
 local storage (mbox/MH/maildir) and message/MIME parsing.
 .
 This package provides library API documentation and test sources.

Package: libetpan20
Description-md5: b03b6fa64e81c920ac0446c1bccccb53
Description-en: mail handling library
 libEtPan! is a mail library. It may be used for low-level mail handling:
 network protocols (IMAP/NNTP/POP3/SMTP over TCP/IP with or without SSL),
 local storage (mbox/MH/maildir) and message/MIME parsing.
 .
 This package provides shared library files.

Package: libetsf-io-dev
Description-md5: 81de173a0e52e01612f2928a89f58e48
Description-en: Static libraries and Fortran module files of ETSF_IO
 ETSF_IO is a library of F90 routines to read/write the ETSF file format.
 .
 This package contains the static libraries provided by ETSF_IO to let
 electronic structure codes read and write ETSF files. It also contains
 the module file used by the Fortran compiler.

Package: libetsf-io-doc
Description-md5: 7b9327d4cf49436d595df4a0ecf5d3bc
Description-en: Developer documentation API and tutorials for ETSF_IO
 ETSF_IO is a library of F90 routines to read/write the ETSF file format.
 .
 This Package contains the HTML documentation of the API and some tutorials
 on how to use the library in electronic structure codes.

Package: libeuclid-java
Description-md5: 84aea4bcfb61e5cb5fac2e3f1ed6c4c8
Description-en: Java library for 2D and 3D geometric calculations
 Euclid is a library of numeric, geometric and XML routines.

Package: libeurodec1-dev
Description-md5: 3066b87f7898ec4bea008a37d54be3fc
Description-en: [Physics] Monte Carlo library for quark / heavy lepton decays
 Eurodec is a general purpose Monte Carlo library for the fragmentation and
 decay of quarks, di-quarks, heavy leptons, etc.  It can be used with the
 included event generator EUROJET, or with your own event generator.
 .
 This is the static version of the library.  FORTRAN header files are also
 included.

Package: libeurodec1-gfortran
Description-md5: cf299ec736e634440f188e0f849d39c2
Description-en: [Physics] Monte Carlo library for quark and heavy lepton decays
 Eurodec is a general purpose Monte Carlo library for the fragmentation and
 decay of quarks, di-quarks, heavy leptons, etc.  It can be used with the
 included event generator EUROJET, or with your own event generator.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libeurodec1-dev package.

Package: libev-dev
Description-md5: be18afbfe75a9ce5fed45871acebfdc7
Description-en: static library, header files, and docs for libev
 Static library, header files, and documentation for libev.
 .
 libev provides a full-featured and high-performance event loop that is
 loosely modelled after libevent. It includes relative timers, absolute
 timers with customized rescheduling, synchronous signals, process status
 change events, event watchers dealing with the event loop itself, file
 watchers, and even limited support for fork events. It uses a priority
 queue to manage timers and uses arrays as fundamental data structure. It
 has no artificial limitations on the number of watchers waiting for the
 same event.
 .
 libev supports select, poll, epoll, kqueue, and inotify.

Package: libev-libevent-dev
Description-md5: bc41b1d90d9eaded8c6e06ccc20cc460
Description-en: libevent event loop compatibility wrapper for libev
 Compatibility wrapper "event.h" to enable programs which use the
 libevent event loop to link against libev.
 .
 libev provides a full-featured and high-performance event loop that is
 loosely modelled after libevent. It includes relative timers, absolute
 timers with customized rescheduling, synchronous signals, process status
 change events, event watchers dealing with the event loop itself, file
 watchers, and even limited support for fork events. It uses a priority
 queue to manage timers and uses arrays as fundamental data structure. It
 has no artificial limitations on the number of watchers waiting for the
 same event.
 .
 libev supports select, poll, epoll, kqueue, and inotify.

Package: libev-perl
Description-md5: ed63c1be9d3e49cdb79105bf8be70931
Description-en: Perl interface to libev, the high performance event loop
 EV provides a Perl interface to libev, a high performance and full-featured
 event loop that is loosely modelled after libevent.
 .
 It includes relative timers, absolute timers with customized rescheduling,
 synchronous signals, process status change events, event watchers dealing
 with the event loop itself, file watchers, and even limited support for
 fork events.
 .
 It uses a priority queue to manage timers and uses arrays as fundamental
 data structure. It has no artificial limitations on the number of watchers
 waiting for the same event.

Package: libev4
Description-md5: a7062053aaf2a9a3df451ce156e0d8ab
Description-en: high-performance event loop library modelled after libevent
 libev provides a full-featured and high-performance event loop that is
 loosely modelled after libevent. It includes relative timers, absolute
 timers with customized rescheduling, synchronous signals, process status
 change events, event watchers dealing with the event loop itself, file
 watchers, and even limited support for fork events. It uses a priority
 queue to manage timers and uses arrays as fundamental data structure. It
 has no artificial limitations on the number of watchers waiting for the
 same event.
 .
 libev supports select, poll, epoll, kqueue, and inotify.

Package: libeval-closure-perl
Description-md5: e89014767dce167c131f30955893fe75
Description-en: Perl module to safely and cleanly create closures via string eval
 String eval is often used for dynamic code generation. For instance, Moose
 uses it heavily, to generate inlined versions of accessors and constructors,
 which speeds code up at runtime by a significant amount. String eval is not
 without its issues however - it's difficult to control the scope it's used in
 (which determines which variables are in scope inside the eval), and it can
 be quite slow, especially if doing a large number of evals.
 .
 Eval::Closure attempts to solve both of those problems. It provides an
 eval_closure function, which evals a string in a clean environment, other
 than a fixed list of specified variables. It also caches the result of the
 eval, so that doing repeated evals of the same source, even with a different
 environment, will be much faster (but note that the description is part of
 the string to be evaled, so it must also be the same (or non-existent) if
 caching is to work properly).

Package: libeval-context-perl
Description-md5: b203138a6760417dac0c69a0bc7cf866
Description-en: evalute perl code in context wrapper
 Eval::Context defines a subroutine that lets you evaluate Perl code in a
 specific context. The code can be passed directly as a string or as a file
 name to read from. It also provides some subroutines to let you define and
 optionally share variables and subroutines between your code and the code you
 wish to evaluate. Finally there is some support for running your code in a
 safe compartment.

Package: libeval-linenumbers-perl
Description-md5: 9b9a75f831652f0f7a3d407f433bb129
Description-en: module to add line numbers to eval'ed heredoc blocks
 Eval::LineNumbers adds a '#line "this-file" 392' comment to heredoc/hereis
 text that is going to be eval'ed so that error messages will point back to
 the right place.
 .
 Please note: when you embed \n in your code, it gets expanded in double-quote
 hereis documents so it will mess up your line numbering. Use \\n instead when
 you can.

Package: libevas-loaders
Description-md5: 7ef4dc2a8eb7bd990540da8a20012575
Description-en: EFL additional loaders for Evas
 This package contains programs that allow Evas to load many image and
 document formats for which it has no built-in support, including:
  - XCF
  - SVG
  - PS
  - RAW
  - LibreOffice
 .
 This is part of the Enlightenment Foundation Libraries (EFL).

Package: libevas1
Description-md5: acc39c72c897423f4db066d0b95f88c0
Description-en: EFL advanced canvas library
 Evas is a clean display canvas API for several target display systems
 that can draw anti-aliased text, smooth super- and sub-sampled scaled
 images, alpha-blend objects and more.
 .
 It abstracts the graphics drawing characteristics of the display
 system by implementing a canvas where graphical objects can be
 created, manipulated, and modified. It then handles the rendering
 pipeline in an optimal way for the underlying device in order to
 minimize redraws, via a programmatically efficient API.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the core library and a set of image loaders
 and/or savers for various formats: eet, gif, jpeg, png, svg, tiff and
 xpm

Package: libevas1-engines-drm
Description-md5: fc9dec5fd57aef92b05dcc8ef97f61ff
Description-en: Evas module providing the DRM engine
 Evas is a clean display canvas API for several target display systems
 that can draw anti-aliased text, smooth super- and sub-sampled scaled
 images, alpha-blend objects and more.
 .
 It abstracts the graphics drawing characteristics of the display
 system by implementing a canvas where graphical objects can be
 created, manipulated, and modified. It then handles the rendering
 pipeline in an optimal way for the underlying device in order to
 minimize redraws, via a programmatically efficient API.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the DRM-related Evas engine modules.

Package: libevas1-engines-fb
Description-md5: 0573e3525d9d67a2fd4b61c3cdd31aef
Description-en: Evas module providing the Framebuffer engine
 Evas is a clean display canvas API for several target display systems
 that can draw anti-aliased text, smooth super- and sub-sampled scaled
 images, alpha-blend objects and more.
 .
 It abstracts the graphics drawing characteristics of the display
 system by implementing a canvas where graphical objects can be
 created, manipulated, and modified. It then handles the rendering
 pipeline in an optimal way for the underlying device in order to
 minimize redraws, via a programmatically efficient API.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the framebuffer Evas engine module.

Package: libevas1-engines-wayland
Description-md5: 60966f89e6f9966b0db80cfbe9154307
Description-en: Evas module providing the Wayland engine
 Evas is a clean display canvas API for several target display systems
 that can draw anti-aliased text, smooth super- and sub-sampled scaled
 images, alpha-blend objects and more.
 .
 It abstracts the graphics drawing characteristics of the display
 system by implementing a canvas where graphical objects can be
 created, manipulated, and modified. It then handles the rendering
 pipeline in an optimal way for the underlying device in order to
 minimize redraws, via a programmatically efficient API.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the Wayland-related Evas engine modules.

Package: libevas1-engines-x
Description-md5: 81e634cc76207669c8eb8e147fd21e75
Description-en: Evas module providing the X11 engines
 Evas is a clean display canvas API for several target display systems
 that can draw anti-aliased text, smooth super- and sub-sampled scaled
 images, alpha-blend objects and more.
 .
 It abstracts the graphics drawing characteristics of the display
 system by implementing a canvas where graphical objects can be
 created, manipulated, and modified. It then handles the rendering
 pipeline in an optimal way for the underlying device in order to
 minimize redraws, via a programmatically efficient API.
 .
 This is part of the Enlightenment Foundation Libraries (EFL).
 .
 This package contains the X11-related Evas engine modules:
  - GL/X11
  - Software/X11 (Xlib/XCB)

Package: libevd-0.2-0
Description-md5: 27a44130bd5dc46ddf67aa07ab79d5c5
Description-en: Peer-to-peer inter-process communication library - Shared libraries
 EventDance is an open source library for interconnecting
 heterogeneous applications in a simple, secure and scalable
 fashion. It provides a nice API to send and receive data among
 distributed applications over different types of transports. This and
 other features like cryptography, make EventDance a perfect choice
 for peer-to-peer application development.
 .
 This package contains the shared libraries.

Package: libevd-0.2-dev
Description-md5: a577ad15374685ee2f57d023e15e4ee1
Description-en: Peer-to-peer inter-process communication library - Development files
 EventDance is an open source library for interconnecting
 heterogeneous applications in a simple, secure and scalable
 fashion. It provides a nice API to send and receive data among
 distributed applications over different types of transports. This and
 other features like cryptography, make EventDance a perfect choice
 for peer-to-peer application
 .
 This package contains the development files.

Package: libevdev-doc
Description-md5: efa3ccc9b437f6f65f246babf2160a1a
Description-en: wrapper library for evdev devices - development docs
 libevdev is a wrapper library for evdev devices. It provides
 functions covering the common tasks when dealing with evdev devices,
 thus avoiding erroneous ioctls and other errors.
 .
 This package contains the documentation for developers wishing to
 build software using libevdev.

Package: libevdev-tools
Description-md5: 8215286e33efa9da0bec00b270659e56
Description-en: wrapper library for evdev devices - tools
 libevdev is a wrapper library for evdev devices. It provides
 functions covering the common tasks when dealing with evdev devices,
 thus avoiding erroneous ioctls and other errors.
 .
 This package contains touchpad-edge-detector.

Package: libevdi0
Description-md5: 17fd9a2a03f034a7400304fc083fdb77
Description-en: Extensible Virtual Display Interface driver client library
 The Extensible Virtual Display Interface (EVDI) is a Linux kernel
 module that enables management of multiple screens, allowing user-space
 programs to take control over what happens with the image. It is
 essentially a virtual display you can add, remove and receive
 screen updates for, in an application that uses the libevdi library.
 .
 The module and library is part of the DisplayLink Ubuntu development
 which enables support for DisplayLink USB 3.0 devices on Ubuntu.
 .
 Please note that this is NOT a complete driver for DisplayLink devices.
 .
 This package installs the client library used for interfacing with
 the evdi kernel module.

Package: libevdi0-dev
Description-md5: caf4f2a631866157464a03cfb0e30d9a
Description-en: EVDI driver client library dev package
 The Extensible Virtual Display Interface (EVDI) is a Linux kernel
 module that enables management of multiple screens, allowing user-space
 programs to take control over what happens with the image. It is
 essentially a virtual display you can add, remove and receive
 screen updates for, in an application that uses the libevdi library.
 .
 The module and library is part of the DisplayLink Ubuntu development
 which enables support for DisplayLink USB 3.0 devices on Ubuntu.
 .
 Please note that this is NOT a complete driver for DisplayLink devices.
 .
 This is the development package for evdi clients. It is only needed
 for compiling evdi client software. End-users do not need this package.

Package: libevemu-dev
Description-md5: 6ade1105967cde62b798a6535af45255
Description-en: Linux Input Event Device Emulation Library - development files
 The evemu library and tools are used to describe devices, record data,
 create emulation devices and replay data from kernel evdev (input event)
 devices.
 .
 This package contains files that are needed to build applications.

Package: libevemu3
Description-md5: 4d213d88bce394ec6513cc2991601ddf
Description-en: Linux Input Event Device Emulation Library
 The evemu library and tools are used to describe devices, record data,
 create emulation devices and replay data from kernel evdev (input event)
 devices.

Package: libevent-distributor-perl
Description-md5: b79c2b91ffcb71ca3316bc4035b6dd66
Description-en: simple in-process pub/sub mechanism
 Instances of this class provide a simple publish/subscribe mechanism within a
 single process, for either synchronous or Future-based asynchronous use.
 .
 A given instance has a set of named events. Subscribers are CODE references
 attached to a named event. Publishers can declare the existence of a named
 event, and then later invoke it by passing in arguments, which are
 distributed to all of the subscribers of that named event.
 .
 It is specifically not an error to request to subscribe an event that has not
 yet been declared, in order to allow multiple modules of code to be loaded
 and subscribe events the others publish, without introducing loading order
 dependencies. An event only needs to be declared by the time it is fired.
 .
 Natively all of the events provided by the distributor are fully-asynchronous
 in nature. Each subscriber is expected to return a Future instance which will
 indicate its completion; the results of these are merged into a single future
 returned by the fire method itself. However, to support synchronous or
 semi-synchronous programs using it, both the observe and invoke methods also
 have a synchronous variant. Note however, that this module does not provide
 any kind of asynchronous detachment of synchronous functions; using the
 /subscribe_sync method to subscribe a long-running blocking function will
 cause the fire_* methods to block until that method returns. To achieve a
 truely-asynchronous experience the attached code will need to use some kind
 of asynchronous event system.
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libevent-execflow-perl
Description-md5: c525bf24af52d184845a208b22404fd7
Description-en: High level API for event-based execution flow control
 Event::ExecFlow provides a ligh level API for defining complex flow
 controls with asynchronous execution of external programs.

Package: libevent-perl
Description-md5: 4db4fa05485a3e8f8916af4fa884d5dc
Description-en: generic Perl event loop module
 The Event module provides a simple and optimized event loop for a rather
 broad number of applications. It allows Perl programs to register interest in
 events that concern it, and will receive those events.

Package: libevent-rpc-perl
Description-md5: e00da4e93e9925a16e061f18494cbe08
Description-en: Event based transparent Client/Server RPC framework
 Event::RPC supports you in developing Event based networking client/server
 applications with transparent object/method access from the client to the
 server. Network communication is optionally encrypted using IO::Socket::SSL.
 Several event loop managers are supported due to an extensible API. Currently
 Event, Glib and AnyEvent are implemented.
 .
 Event::RPC consists of a server and a client library. The server exports a
 list of classes and methods, which are allowed to be called over the network.
 More specific it acts as a proxy for objects created on the server side (on
 demand of the connected clients) which handles client side methods calls with
 transport of method arguments and return values.

Package: libevhtp-dev
Description-md5: f9446aa7e4603b63940a28c1f6655377
Description-en: Libevent based HTTP API - development files
 Libevent's http interface was created as a JIT server, never meant to
 be a full-fledged HTTP service.  This library attempts to improve on
 that.
 .
 This package contains the development library.

Package: libevhtp-doc
Description-md5: c48b70281a7de1c915524fb06a9184a1
Description-en: Libevent based HTTP API - documentation
 Libevent's http interface was created as a JIT server, never meant to
 be a full-fledged HTTP service.  This library attempts to improve on
 that.
 .
 This package contains the documentation and examples.

Package: libevhtp0
Description-md5: 773845cdcfca85a28f16868f2c680ffa
Description-en: Libevent based HTTP API
 Libevent's http interface was created as a JIT server, never meant to
 be a full-fledged HTTP service.  This library attempts to improve on
 that with the following features:
 .
  + design as a fully functional HTTP server
  + HTTP parser able to process data with a low memory footprint
  + use of regular expressions for routing
  + out-of-the box HTTPS server
 .
 This package contains the runtime library.

Package: libevolution
Description-md5: dddb88bf2627f20726827c1d29351350
Description-en: evolution libraries
 This package contains libraries used by Evolution.

Package: libevt-dev
Description-md5: 15f77470aba2698fc6a9de3969885a9f
Description-en: Windows Event Log (EVT) format access library -- development files
 libevt is a library to access the Windows Event Log (EVT) format.
 .
 This package includes the development support files.

Package: libevt-utils
Description-md5: 8a5d6904b153532331a44955475b1b40
Description-en: Windows Event Log (EVT) format access library -- Utilities
 libevt is a library to access the Windows Event Log (EVT) format.
 .
 This package contains tools to access data stored in EVT log files:
 evtexport, evtinfo.

Package: libevt1
Description-md5: cc122b748e37e5ff0ae4970e42bc426f
Description-en: Windows Event Log (EVT) format access library
 libevt is a library to access the Windows Event Log (EVT) format.
 .
 This package contains the shared library.

Package: libevtx-dev
Description-md5: 12c900483a7bcd496d0b5ce80bd3b2b5
Description-en: Windows XML Event Log format access library -- development files
 libevtx is a library to access the Windows XML Event Log (EVTX) format.
 .
 This package includes the development support files.

Package: libevtx-utils
Description-md5: 5354ab40fbd8abaadf93d67b0acbb6d1
Description-en: Windows XML Event Log format access library -- Utilities
 libevtx is a library to access the Windows XML Event Log (EVTX) format.
 .
 This package contains tools to access data stored in EVT log files:
 evtxexport, evtxinfo.

Package: libevtx1
Description-md5: 9a63fa5ede078195dbbfb5a15696ef03
Description-en: Windows XML Event Log format access library
 libevtx is a library to access the Windows XML Event Log (EVTX) format.
 .
 This package contains the shared library.

Package: libewf-dev
Description-md5: 449544984548f9f0e22b92d318dcc0eb
Description-en: support for Expert Witness Compression format (development)
 Libewf is a library with support for reading and writing the Expert Witness
 Compression Format (EWF).
 This library allows you to read media information of EWF files in the SMART
 (EWF-S01) format and the EnCase (EWF-E01) format. It supports files created
 by EnCase 1 to 6, linen and FTK Imager. The libewf is useful for forensics
 investigations.
 .
 This package contains the development files.

Package: libewf2
Description-md5: 01def9237408b4b0f381ee9877b93378
Description-en: library with support for Expert Witness Compression Format
 Libewf is a library with support for reading and writing the Expert Witness
 Compression Format (EWF).
 This library allows you to read media information of EWF files in the SMART
 (EWF-S01) format and the EnCase (EWF-E01) format. It supports files created
 by EnCase 1 to 6, linen and FTK Imager. The libewf is useful for forensics
 investigations.

Package: libex-monkeypatched-perl
Description-md5: 39a55802e9c706f315d9dd585ce51c07
Description-en: experimental API for safe monkey-patching
 The term "monkey patching" describes injecting additional methods into
 a class whose implementation you don't control.  If done without care,
 this is dangerous; the problematic case arises when:
  * You add a method to a class;
  * A newer version of the monkey-patched class adds another method of
    the same name
  * And uses that new method in some other part of its own
    implementation.
 .
 ex::monkeypatched lets you do this sort of monkey-patching safely:
 before it injects a method into the target class, it checks whether the
 class already has a method of the same name.  If it finds such a
 method, it throws an exception (at compile-time with respect to the
 code that does the injection).
 .
 See <http://aaroncrane.co.uk/talks/monkey_patching_subclassing/> for
 more details.

Package: libexactimage-perl
Description-md5: 93eafba0d4643325efa77fb195bb9b44
Description-en: fast image manipulation library (Perl bindings)
 ExactImage is a fast C++ image processing library. Unlike many other library
 frameworks it allows operation in several color spaces and bit depths
 natively, resulting in low memory and computational requirements.
 .
 This package contains the Perl bindings.

Package: libexadrums-dev
Description-md5: a9328b88f4c1e0c852afe652bba59c8b
Description-en: Software drum module (development)
 ExaDrums is a virtual drum module that allows drummers play with
 custom-made drum kits.
 .
 It is user-friendly and combines high quality stereo sound with low
 latency.
 .
 Each drum kit provides individual sliders in order to control the
 volume of its drum pads.
 .
 A built-in metronome can be combined with a rhythm coach to make
 practice sessions easier and efficient.
 .
 The drum triggers can be adjusted so that their response feels as
 natural as possible, and different sensor interfaces include a
 virtual (on-screen) multi pad and external sensors.
 .
 Although eXaDrums is usable as a drum module, it is still an
 experimental project. As such, some features are not yet implemented,
 and the error management is not complete.
 .
 This package provides the C++ headers.

Package: libexadrums0
Description-md5: ab30fbb103395c1e07da4ddc8c59a6c6
Description-en: Software drum module (library)
 ExaDrums is a virtual drum module that allows drummers play with
 custom-made drum kits.
 .
 It is user-friendly and combines high quality stereo sound with low
 latency.
 .
 Each drum kit provides individual sliders in order to control the
 volume of its drum pads.
 .
 A built-in metronome can be combined with a rhythm coach to make
 practice sessions easier and efficient.
 .
 The drum triggers can be adjusted so that their response feels as
 natural as possible, and different sensor interfaces include a
 virtual (on-screen) multi pad and external sensors.
 .
 Although eXaDrums is usable as a drum module, it is still an
 experimental project. As such, some features are not yet implemented,
 and the error management is not complete.
 .
 This package provides the runtime shared library.

Package: libexcalibur-logger-java
Description-md5: 6e33598042d3e3ab2f4e41a4c61ffcc1
Description-en: Excalibur project's log management system
 Excalibur-Logger integrates neatly into the Avalon ECM and Excalibur-Fortress.
 The main goal is to be able to define the log categories on a component basis
 by specifying a 'logger' attribute which denotes the log category to use for
 a particular component (given the component is LogEnabled or Loggable).

Package: libexcalibur-logkit-java
Description-md5: 08162c5b39eb983f2aa76430dfd6d01b
Description-en: Lightweight and fast designed logging toolkit for Java
 Excalibur Logkit (previously avalon logkit) is a lightweight, fast, securely
 designed logging toolkit. It is designed to integrate into existing
 applications. Logkit is more lightweight than Log4j.
 .
 This package contains the library jar.

Package: libexcalibur-logkit-java-doc
Description-md5: 36f1596f4e096b21f4dfd712caf3cc39
Description-en: Lightweight and fast designed logging toolkit for Java (API docs)
 Excalibur Logkit (previously avalon logkit) is a lightweight, fast, securely
 designed logging toolkit. It is designed to integrate into existing
 applications. Logkit is more lightweight than Log4j.
 .
 This package contains the API documentation.

Package: libexcel-template-perl
Description-md5: b408b5bd2896e1989271a20ea1dd1212
Description-en: Perl module for templating Excel files
 Excel::Template is a layout system that uses the data structures from
 HTML::Template to create an Excel spreadsheet file from an XML template
 file that describes it.  Note that all limitations stated in the module
 Spreadsheet::WriteExcel are in force, as that is the module used for
 rendering.

Package: libexcel-template-plus-perl
Description-md5: d935a0dbf619731042d2ee80dc6fabfc
Description-en: module for testing and comparing Excel files
 Excel::Template::Plus attempts to provide a means of testing and comparing
 dynamically generated excel files. Currently it only supports comparing two
 excel files for some approximation of structural (values within cells) and
 visual (formatting of said cells) equivalence.

Package: libexcel-writer-xlsx-perl
Description-md5: b63b972790413cd6e3688da76af1c5d0
Description-en: module to create Excel spreadsheets in xlsx format
 The Excel::Writer::XLSX module is used to create an Excel file
 in the 2007+ XLSX format. The XLSX format is the Office Open XML (OOXML)
 format used by Excel 2007 and later.
 .
 This module cannot, as yet, write to an existing Excel XLSX file. The
 module uses the same interface as the Spreadsheet::WriteExcel
 module (which creates binary XLS Excel files).

Package: libexception-class-dbi-perl
Description-md5: 601487ac4e3fbabdd0ea398bee2886c3
Description-en: exception objects customized for DBI
 The exception objects from Exception::Class::DBI fit into the
 Exception::Class hierarchy but are thrown in certain DBI specific contexts.
 So be setting a handler during the database connection call database error
 handling can be simplified.

Package: libexception-class-trycatch-perl
Description-md5: 9d142d32c661bcb6d5bd0de0ea73eef2
Description-en: syntactic try/catch sugar for use with Exception::Class
 Exception::Class::TryCatch provides syntactic sugar for use with
 Exception::Class using the familiar keywords try and catch.  Its
 primary objective is to allow users to avoid dealing directly with $@ by
 ensuring that any exceptions caught in an eval are captured as
 Exception::Class objects, whether they were thrown objects to begin with or
 whether the error resulted from die.  This means that users may immediately
 use isa and various Exception::Class methods to process the exception.
 .
 In addition, this module provides for a method to push errors onto a hidden
 error stack immediately after an eval so that cleanup code or other error
 handling may also call eval without the original error in $@ being lost.

Package: libexception-handler-perl
Description-md5: 1bb8fcd58501b6e996a9d9072147c8a3
Description-en: perl module Exception::Handler
 This Perl module reports exceptions with formatted text call-stack.

Package: libexec-maven-plugin-java
Description-md5: 389733f83c4cb8cff712da3b27ea1ed4
Description-en: Exec Maven Plugin
 Maven plugin to allow execution of system and Java programs.
 It provides two goals to help execute system and Java programs:
  * exec:exec, execute programs and Java programs in a separate process
  * exec:java, execute Java programs in the same VM.

Package: libexecline-dev
Description-md5: b98044720748457c928fad94c112d988
Description-en: small and non-interactive scripting language (development files)
 Execline is a (non-interactive) scripting language, like sh; but its
 syntax is quite different from a traditional shell syntax. The execlineb
 program is meant to be used as an interpreter for a text file; the other
 commands are essentially useful inside an execlineb script.
 .
 Execline is as powerful as a shell: it features conditional loops,
 getopt-style option handling, filename globbing, and more. Meanwhile, its
 syntax is far more logic and predictable than the shell's syntax, and has
 no security issues.
 .
 This package contains static and header files.

Package: libexecline2.5
Description-md5: 32477948d3b372fb6969284e8dfaebde
Description-en: small and non-interactive scripting language (shared library)
 Execline is a (non-interactive) scripting language, like sh; but its
 syntax is quite different from a traditional shell syntax. The execlineb
 program is meant to be used as an interpreter for a text file; the other
 commands are essentially useful inside an execlineb script.
 .
 Execline is as powerful as a shell: it features conditional loops,
 getopt-style option handling, filename globbing, and more. Meanwhile, its
 syntax is far more logic and predictable than the shell's syntax, and has
 no security issues.
 .
 This package contains shared libraries.

Package: libexecs-dev
Description-md5: c91960b7512bd9834f355470cbc20022
Description-en: C library for commands execution - development files
 s2argv converts a command string into an argv array for execv, execvp,
 execvpe. execs is like execv taking a string instead of an argv.
 Similarly execsp and execspe are the counterpart of execvp and execvpe,
 respectively, using command strings.
 coprocv, coprocvp, coprocvpe, coprocs, coprocsp execute a command in
 coprocessing mode.
 The following functions all work without using a shell:
 esystem, system_eexecsp execute a command with its arguments from a string.
 popen_nosh, popen_execsp and pclose_nosh pipe stream to or from a process.
 system_nosh executes a command with its arguments from a string.
 .
 This package contains the necessary headers and shared object files needed to
 develop applications using libexecs.

Package: libexecs-embedded0
Description-md5: 3fd8ee6a5f74854b0430dbccab0c357a
Description-en: C library for commands execution
 s2argv converts a command string into an argv array for execv, execvp,
 execvpe. execs is like execv taking a string instead of an argv.
 Similarly execsp and execspe are the counterpart of execvp and execvpe,
 respectively, using command strings.
 esystem, system_eexecsp execute a command with its arguments from a string
 without using a shell.
 .
 This is the reduced memory footprint version of libexecs for embedded systems,
 it contains a minimal subset of the functionalities available in the
 main package, in particular the coproc* family of functions,
 system_nosh and popen_nosh are excluded (see the man pages for more info).

Package: libexecs0
Description-md5: f55551a0bbdbfbad270c78c8f1d8d508
Description-en: C library for commands execution
 s2argv converts a command string into an argv array for execv, execvp,
 execvpe. execs is like execv taking a string instead of an argv.
 Similarly execsp and execspe are the counterpart of execvp and execvpe,
 respectively, using command strings.
 coprocv, coprocvp, coprocvpe, coprocs, coprocsp execute a command in
 coprocessing mode.
 The following functions all work without using a shell:
 esystem, system_eexecsp execute a command with its arguments from a string.
 popen_nosh, popen_execsp and pclose_nosh pipe stream to or from a process.
 system_nosh executes a command with its arguments from a string.

Package: libexene-smlnj
Description-md5: 071197dbf7076c023db70bf76da47930
Description-en: Concurrent ML library for the X Window System
 A multi-threaded X Window System toolkit written in Concurrent
 ML. Many other windowing toolkits, such as Xlib, register separate
 functions that are called by a centralized event processing loop. In
 contrast, eXene maintains each window and graphical object in a
 separate thread that has the ability to update itself.

Package: libexif-gtk-dev
Description-md5: bc9409c3bda163ada9a32766e0bdd482
Description-en: Library providing GTK+ widgets to display/edit EXIF tags (development files)
 Most digital cameras produce EXIF files, which are JPEG files with
 extra tags that contain information about the image. The EXIF library
 allows you to parse an EXIF file and read the data from those tags.
 .
 This package contains the development files.

Package: libexif-gtk5
Description-md5: 284c567ceb6130c7e21751d69de899d2
Description-en: Library providing GTK+ widgets to display/edit EXIF tags
 Most digital cameras produce EXIF files, which are JPEG files with
 extra tags that contain information about the image. The EXIF library
 allows you to parse an EXIF file and read the data from those tags.
 .
 This library provides GTK+ widgets to display/edit EXIF tags.

Package: libexo-1-0
Description-md5: 0f4a28069a6e30a5429549712320998f
Description-en: Library with extensions for Xfce (GTK-2 version)
 libexo is a library for Xfce that contains a bunch of additional widgets and
 a framework for editable toolbars (an improved version of the framework
 present in GNOME), light-weight session management support, functions to
 automatically synchronize object properties (based on GObject Binding
 Properties) and several miscellaneous utility and helper functions for
 application developers.
 .
 While Xfce ships with quite a few libraries that are primarily targeted at
 desktop development, libexo is targeted at application development, with a
 focus on applications for Xfce.
 .
 This package provides GTK-2 version.

Package: libexo-1-dev
Description-md5: 4d4c7597975a6e60f9ef8783172b284d
Description-en: Development files for libexo (GTK-2 version)
 libexo is a library for Xfce that contains a bunch of additional widgets and
 a framework for editable toolbars (an improved version of the framework
 present in GNOME), light-weight session management support, functions to
 automatically synchronize object properties (based on GObject Binding
 Properties) and several miscellaneous utility and helper functions for
 application developers.
 .
 This package contains the headers and the static library
 for libexo-1-0.

Package: libexo-2-0
Description-md5: 916d430dd1c3c7c067d4519ef1b4504b
Description-en: Library with extensions for Xfce (GTK-3 version)
 libexo is a library for Xfce that contains a bunch of additional widgets and
 a framework for editable toolbars (an improved version of the framework
 present in GNOME), light-weight session management support, functions to
 automatically synchronize object properties (based on GObject Binding
 Properties) and several miscellaneous utility and helper functions for
 application developers.
 .
 While Xfce ships with quite a few libraries that are primarily targeted at
 desktop development, libexo is targeted at application development, with a
 focus on applications for Xfce.
 .
 This package provides GTK-3 version.

Package: libexo-2-dev
Description-md5: 3a1c0578453de53b3c5e2247383f66da
Description-en: Development files for libexo (GTK-3 version)
 libexo is a library for Xfce that contains a bunch of additional widgets and
 a framework for editable toolbars (an improved version of the framework
 present in GNOME), light-weight session management support, functions to
 automatically synchronize object properties (based on GObject Binding
 Properties) and several miscellaneous utility and helper functions for
 application developers.
 .
 This package contains the headers and the static library
 for libexo-2-0.

Package: libexo-common
Description-md5: 820e9f19c07fa1bebbcd4a373298fef6
Description-en: libexo common files
 libexo is a library for Xfce that contains a bunch of additional widgets and
 a framework for editable toolbars (an improved version of the framework
 present in GNOME), light-weight session management support, functions to
 automatically synchronize object properties (based on GObject Binding
 Properties) and several miscellaneous utility and helper functions for
 application developers.
 .
 This package contains the common files in use by exo library. It ships
 desktop files and documentation.

Package: libexo-helpers
Description-md5: 0517374b8858d3bee5b1d3c6167b5678
Description-en: helpers for the exo library
 libexo is a library for Xfce that contains a bunch of additional widgets and
 a framework for editable toolbars (an improved version of the framework
 present in GNOME), light-weight session management support, functions to
 automatically synchronize object properties (based on GObject Binding
 Properties) and several miscellaneous utility and helper functions for
 application developers.
 .
 This package contains the helper files in use by exo library for its
 preferred applications framework.

Package: libexodusii-dev
Description-md5: be2d39fc0ff14925103297e755aab893
Description-en: exodusII datamodel for finite element analysis
 EXODUS II is a model developed to store and retrieve transient data for
 finite element analyses. It is used for preprocessing, postprocessing,
 as well as code to code data transfer. ExodusII is based on netcdf.
 It includes the nemesis parallel extension.

Package: libexodusii5
Description-md5: d56c44b3e05c17aaaad2c1e2cd4b71ba
Description-en: exodusII datamodel for finite element analysis
 EXODUS II is a model developed to store and retrieve transient data for
 finite element analyses. It is used for preprocessing, postprocessing,
 as well as code to code data transfer. ExodusII is based on netcdf.

Package: libexpat-gst
Description-md5: c62d5ec045856be26ef8db98a22f80c8
Description-en: Expat bindings for GNU Smalltalk
 This package contains the Expat bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libexpat-ocaml
Description-md5: e29c4495593bde6622390d68e50ea46a
Description-en: OCaml expat bindings
 This Objective Caml library provides XML parsing facilities based on the expat
 library.
 .
 This package contains only the shared runtime stub libraries.

Package: libexpat-ocaml-dev
Description-md5: 6123c7631d2b6b29eae9275827e42313
Description-en: OCaml expat bindings
 This Objective Caml library provides XML parsing facilities based on the expat
 library.
 .
 This package contains the developpment part of the OCaml expat package.

Package: libexpect-perl
Description-md5: 0912cb4a16b7e201974d64d083952fae
Description-en: Expect.pm - Perl Expect interface
 The Expect module is a successor of Comm.pl and a descendent of Chat.pl. It
 more closely resembles the Tcl Expect language than its predecessors. It
 does not contain any of the networking code found in Comm.pl. I suspect this
 would be obsolete anyway given the advent of IO::Socket and external tools
 such as netcat.
 .
 Expect.pm is an attempt to have more of a switch() & case: feel to make
 decision processing more fluid. three separate types of debugging have
 been implemented to make code production easier.
 .
 It is now possible to interconnect multiple file handles (and processes) much
 like Tcl's expect. An attempt was made to enable all the features of Tcl's
 expect without forcing Tcl on the victim programmer :-) .

Package: libexpect-simple-perl
Description-md5: 076b4710ea457a09fb7c26b6a4785a81
Description-en: wrapper around the Expect module
 Expect::Simple is a wrapper around the Expect module which
 should suffice for simple applications.  It hides most of the
 Expect machinery; the Expect object is available for tweaking if
 need be.

Package: libexpected-dev
Description-md5: 5820a323bb808f3dc45ee476eaf7e2f4
Description-en: C++11/14/17 std::expected with functional-style extensions
 Single header implementation of std::expected with functional-style extensions.
 .
 std::expected is proposed as the preferred way to represent object which will
 either have an expected value, or an unexpected value giving information about
 why something failed. Unfortunately, chaining together many computations which
 may fail can be verbose, as error-checking code will be mixed in with the
 actual programming logic. This implementation provides a number of utilities to
 make coding with expected cleaner.

Package: libexperimental-perl
Description-md5: 416f0e144977ffd80e0a611ccc06d28b
Description-en: pragma for making experimental features easy
 The experimental pragma provides an easy and convenient way to enable or
 disable experimental features.
 .
 Experimental features were introduced in Perl 5.18, together with a warnings
 category "exmperimental". When such features are used, the respective
 warnings have to be turned off additionally.
 .
 Cf. https://metacpan.org/module/RJBS/perl-5.18.0/pod/perldelta.pod#New-mechanism-for-experimental-features

Package: libexplain-dev
Description-md5: e02d622f2b3b87e1c645a1235c59dfad
Description-en: library of system-call-specific strerror repl - development files
 This package provides a library which may be used to explain Unix and Linux
 system call errors.  The library is not quite a drop-in replacement for
 strerror, but it comes close, with each system call having a dedicated
 libexplain function.
 .
 This package contains the development files.

Package: libexplain-doc
Description-md5: da6e0dd006a74d8024c543733e320b81
Description-en: library of system-call-specific strerror repl - documentation
 This package provides a library which may be used to explain Unix and Linux
 system call errors.  The library is not quite a drop-in replacement for
 strerror, but it comes close, with each system call having a dedicated
 libexplain function.

Package: libexplain51
Description-md5: 2a514dae1ed63d32877288f5dbed11ee
Description-en: library of system-call-specific strerror repl
 library of syscall-specific strerror replacements

Package: libexport-attrs-perl
Description-md5: e342921fc202e05a24e88479b5a73aaf
Description-en: Perl 6 'is export(...)' trait as a Perl 5 attribute
 Export::Attrs is a fork of Perl6::Export::Attrs created to restore
 compatibility with Perl6::Export::Attrs version 0.0.3.
 .
 Implements a Perl 5 native version of what the Perl 6 symbol export mechanism
 will look like (with some unavoidable restrictions).

Package: libexporter-autoclean-perl
Description-md5: 3a13052074dc664b9acbf6121f93ee43
Description-en: module that exports functions only available at compile time
 Exporter::AutoClean is a simple wrapper around B::Hooks::EndOfScope that allows you to
 export functions that are only available at compile time.

Package: libexporter-cluster-perl
Description-md5: 6d76d7d60d465117b7adc7405fa7e605
Description-en: Extension for easy multiple module imports
 Exporter::Cluster is designed to allow the user to develop a binding
 package that allows multiple packages to be imported into the
 symbol table with single 'use' command.  This module was created from
 the observation of the general trend of Perl packages growing in
 complexity as new technology is developed and Perl interfaces
 are designed to interact with this technology.  This is not a
 general use module!  It has been designed mainly for use by developers
 who are trying to implement a sane interface to their work, but
 still attempt to use good coding practices such as code seperation
 and modular design.

Package: libexporter-declare-perl
Description-md5: 0a483ef5e95fbb3b53504a1415c812cb
Description-en: perl module for meta-driven exporting
 Exporter::Declare is a meta-driven exporting tool. Exporter::Declare tries to
 adopt all the good features of other exporting tools, while throwing away
 horrible interfaces. Exporter::Declare also provides hooks that allow you to
 add options and arguments for import. Finally, Exporter::Declare's
 meta-driven system allows for top-notch introspection.

Package: libexporter-easy-perl
Description-md5: 862f7c37ecf02817d7ae097e8790c2a2
Description-en: perl module to take the drudgery out of Exporting symbols
 Exporter::Easy makes using Exporter easy. In it's simplest case it allows you
 to drop the boilerplate code that comes with using Exporter. In more
 complicated situations where you use tags to build lists and more tags, it
 will set @EXPORT, @EXPORT_OK, @EXPORT_FAIL and %EXPORT_TAGS in the current
 package, add Exporter to that package's @ISA and do a use vars on all the
 variables mentioned. The rest is handled as normal by Exporter.

Package: libexporter-lite-perl
Description-md5: 8e26c84d5a11a8382ee75c9541b4eb50
Description-en: lightweight subset of Exporter
 Exporter::Lite is an alternative to Exporter, intended to provide a
 lightweight subset of the most commonly-used functionality. It supports
 import(), @EXPORT and @EXPORT_OK and not a whole lot else.
 .
 Unlike Exporter, it is not necessary to inherit from Exporter::Lite (ie. no
 @ISA = qw(Exporter::Lite) mantra). Exporter::Lite simply exports its import()
 function into your namespace. This might be called a "mix-in" or a "role".
 .
 Setting up a module to export its variables and functions is simple:
 .
  package My::Module;
  use Exporter::Lite;
 .
  @EXPORT = qw($Foo bar);
 .
 Functions and variables listed in the @EXPORT package variable are
 automatically exported if you use the module and don't explicitly list any
 imports. Now, when you use My::Module, $Foo and bar() will show up.

Package: libexporter-renaming-perl
Description-md5: bcc13ebd907fc53be516a65cd0d601a1
Description-en: facility to rename symbols when imported
 After 'use Exporter::Renaming;' you can import symbols from exporting modules
 not only under their original names, but also under names of your choosing.
 Exporter continues to behave normally for normal imports while renaming
 behaviour is switched on. Only the presence of the keyword 'Renaming' followed
 by an array reference after a 'use' statement triggers renaming.

Package: libexporter-tidy-perl
Description-md5: 139299abbb3cceec822a6c73e185da93
Description-en: another way of exporting symbols
 Exporter::Tidy serves as an easy, clean alternative to
 Exporter. Unlike Exporter, it is not subclassed, but it simply
 exports a custom import() into your namespace.
 .
 With Exporter::Tidy, you don't need to use any package global
 in your module. Even the subs you export can be lexically scoped.

Package: libexternalsortinginjava-java
Description-md5: e987d1823700b1f6c84d3008467daf06
Description-en: External-Memory Sorting in Java
 This Java class enables sorting large file without first loading them
 into memory. It is using External Sorting by dividing the files into
 small blocks, sort each block in RAM, and then merge the result.  Many
 database engines and the Unix sort command support external sorting.
 It is possible to sort in a non-lexicographic order.

Package: libexternalsortinginjava-java-doc
Description-md5: b51664d2f747edaad59f3d8885c617cb
Description-en: External-Memory Sorting in Java (documentation)
 This Java class enables sorting large file without first loading them
 into memory. It is using External Sorting by dividing the files into
 small blocks, sort each block in RAM, and then merge the result.  Many
 database engines and the Unix sort command support external sorting.
 It is possible to sort in a non-lexicographic order.
 .
 This package contains the API documentation of
 libexternalsortinginjava-java.

Package: libextlib-ocaml
Description-md5: f13925b336b1ad39e31617464cba6eac
Description-en: extended standard library for OCaml (plugins)
 ExtLib is a project aiming at providing a complete - yet small -
 standard library for the OCaml programming language.
 .
 This package contains plugins needed by plugins using ExtLib.

Package: libextlib-ocaml-dev
Description-md5: b168787367ca462e4f772fbc1038cf66
Description-en: extended standard library for OCaml
 ExtLib is a project aiming at providing a complete - yet small -
 standard library for the OCaml programming language.
 .
 The purpose of this library is to add new functions to OCaml
 Standard Library modules, to modify some functions in order to
 get better performances or more safety (tail-recursive) but also
 to provide new modules which should be useful for the average
 OCaml programmer.
 .
 ExtLib contains modules implementing: enumeration over abstract
 collection of elements, efficient bit sets, dynamic arrays,
 references on lists, Unicode characters and UTF-8 encoded
 strings, additional and improved functions for hashtables,
 strings, lists and option types.

Package: libextractor-dev
Description-md5: e1a21ba194bb73e3905cd5fbfce88fb4
Description-en: extracts meta-data from files of arbitrary type (development)
 GNU libextractor provides developers of file-sharing networks, file managers,
 and WWW-indexing bots with a universal library to obtain meta-data about files.
 .
 This package contains the development files.

Package: libextractor-java-dev
Description-md5: eefec4f390192c84372c888478af7a67
Description-en: Java bindings for GNU libextractor (development)
 GNU libextractor provides developers of file-sharing networks, file managers,
 and WWW-indexing bots with a universal library to obtain meta-data about files.
 .
 This package contains the development files of the Java bindings.

Package: libextractor-java0
Description-md5: 1ffb5a0c0163e5401cbc36d53510f489
Description-en: Java bindings for GNU libextractor
 GNU libextractor provides developers of file-sharing networks, file managers,
 and WWW-indexing bots with a universal library to obtain meta-data about files.
 .
 This package contains the Java bindings.

Package: libextractor3
Description-md5: 8101e70af2d7d841aa6864e525f281a9
Description-en: extracts meta-data from files of arbitrary type (library)
 GNU libextractor provides developers of file-sharing networks, file managers,
 and WWW-indexing bots with a universal library to obtain meta-data about files.
 .
 Currently, libextractor supports the following formats: HTML, MAN, PS, DVI,
 OLE2 (DOC, XLS, PPT), OpenOffice (sxw), StarOffice (sdw), FLAC,
 MP3 (ID3v1 and ID3v2), OGG, WAV, S3M (Scream Tracker 3), XM (eXtended Module),
 IT (Impulse Tracker), NSF(E) (NES music), SID (C64 music), EXIV2, JPEG, GIF,
 PNG, TIFF, DEB, RPM, TAR(.GZ), LZH, LHA, RAR, ZIP, CAB, 7-ZIP, AR, MTREE, PAX,
 CPIO, ISO9660, SHAR, RAW, XAR FLV, REAL, RIFF (AVI), MPEG, QT and ASF.
 .
 Also, various additional MIME types are detected. It can also be used to
 compute hash functions (SHA-1, MD5, ripemd160).
 .
 This package contains the library and all plugins.

Package: libextunix-ocaml
Description-md5: 0a9354421e14f91c09bb7918d2e652c3
Description-en: Extended functions for OCaml Unix module (runtime package)
 Thin bindings to various low-level system APIs (often non-portable)
 which are not covered by Unix module.
 .
 Example functions:
  * uname
  * statvfs
  * fsync
  * fadvise
  * fallocate
  * atfile
  * dirfd
  * eventfd
  * signalfd
  * ...
 .
 This package contains the shared runtime libraries.

Package: libextunix-ocaml-dev
Description-md5: edc40b2a4c9632bda24b06013d71b6de
Description-en: Extended functions for OCaml Unix module (development package)
 Thin bindings to various low-level system APIs (often non-portable)
 which are not covered by Unix module.
 .
 Example functions:
  * uname
  * statvfs
  * fsync
  * fadvise
  * fallocate
  * atfile
  * dirfd
  * eventfd
  * signalfd
  * ...
 .
 This package contains the compiled modules for programming with the
 library.

Package: libextutils-autoinstall-perl
Description-md5: b1552d1b1c2a348c24828f98ceb63d25
Description-en: module to automatically install dependencies via CPAN
 ExtUtils::AutoInstall lets module writers specify a more
 sophisticated form of dependency information than the PREREQ_PM
 option offered by ExtUtils::MakeMaker.

Package: libextutils-cbuilder-perl
Description-md5: f50b600a4b3cfbb9c2958a69393b5a5f
Description-en: module to compile and link C code for Perl modules
 ExtUtils::CBuilder is a utility to portably build C code by invoking the
 appropriate compilers and linkers. It provides a cross-platform way to build
 Perl XS modules, which contain C code that needs to be built and dynamically
 linked to Perl.
 .
 Though motivated by the Module::Build project, it may be useful for other
 purposes as well. However, this module is not intended as a general interface
 for all C building needs.

Package: libextutils-cchecker-perl
Description-md5: e388c56be46da9c443110a472afb9b0c
Description-en: helper module for handling C headers and libraries
 ExtUtils::CChecker is a Perl module designed to check for the presence of
 libraries and C headers required for building the remainder of your package.
 It is particularly useful for packages containing Perl bindings to existing C
 libraries.

Package: libextutils-config-perl
Description-md5: c68ba39b663c184db9fc28691fba10bc
Description-en: wrapper around Perl's configuration hash
 ExtUtils::Config is an abstraction around the %Config hash. By itself it is
 not a particularly interesting module by any measure, however it ties
 together a family of modern toolchain modules.

Package: libextutils-cppguess-perl
Description-md5: 66fd30270abdda916b9a43d5bdc32343
Description-en: Perl build helper to guess C++ compiler and flags
 ExtUtils::CppGuess attempts to guess the system's C++ compiler that is
 compatible with the C compiler that your perl was built with.  It can
 generate the necessary options to the Module::Build constructor or to
 ExtUtils::MakeMaker's WriteMakefile function.

Package: libextutils-f77-perl
Description-md5: e09fa6ff26db28b41e280f7f957c18fd
Description-en: module to compile and link FORTRAN 77 code for Perl modules
 ExtUtils::F77 is a utility to portably build FORTRAN 77 code and link it with
 C programs. It provides a cross-platform way to determine the correct Fortran
 runtime libraries required for a given operating system and compiler.

Package: libextutils-hascompiler-perl
Description-md5: 3a371769e978325b370d42048f03f10c
Description-en: Perl Module checking the presence of a compiler
 ExtUtils::HasCompiler tries to check if the current system is capable of
 compiling, linking and loading an XS module.
 .
 This module is mainly packaged to avoid patching the build system of
 modules using it at build time.
 .
 Notice: this is an early release, interface stability isn't guaranteed yet.

Package: libextutils-helpers-perl
Description-md5: f503768c460faf962e5783f9d0d72d03
Description-en: various portability utilities for module builders
 ExtUtils::Helpers provides various portable helper functions for module
 building modules.

Package: libextutils-installpaths-perl
Description-md5: 05a1ecf4b53ddd0c54ea0336dfd5257d
Description-en: module to make Build.PL install path logic easy
 ExtUtils::InstallPaths tries to make install path resolution as easy as
 possible.
 .
 When you want to install a module, it needs to figure out where to install
 things. The nutshell version of how this works is that default installation
 locations are determined from ExtUtils::Config, and they may be individually
 overridden by using the install_path attribute. An install_base attribute
 lets you specify an alternative installation root like /home/foo and prefix
 does something similar in a rather different (and more complicated) way.
 destdir lets you specify a temporary installation directory like /tmp/install
 in case you want to create bundled-up installable packages.

Package: libextutils-libbuilder-perl
Description-md5: 1a071d64782d4e0e7171cc68bbab257a
Description-en: Perl module to compile standard, self-contained C libraries
 Some Perl modules need to ship C libraries together with their Perl
 code. Although there are mechanisms to compile and link (or glue) C
 code in your Perl programs, there isn't a clear method to compile
 standard, self-contained C libraries.
 .
 ExtUtils::LibBuilder's main goal is to help in that task.

Package: libextutils-makemaker-cpanfile-perl
Description-md5: 1956d773b403edc629ccc9fff10c38a1
Description-en: Perl module adding cpanfile support to ExtUtils-MakeMaker
 ExtUtils::MakeMaker::CPANfile loads cpanfile in your distribution and
 modifies parameters for WriteMakefile in your Makefile.PL. Just use it
 instead of ExtUtils::MakeMaker (which should be loaded internally), and
 prepare cpanfile.

Package: libextutils-makemaker-dist-zilla-develop-perl
Description-md5: 0375a869fe2b8eb2a4511b288451c7d3
Description-en: Perl module creating bare-bones Makefile.PL files for use with dzil
 Dist::Zilla makes developing modules much easier by generating all kinds of
 boilerplate files, saving authors from having to write them by hand, but in
 some cases this can make developing more inconvenient. The most prominent
 example of this is with Makefile.PL files - although the majority of
 distributions can be hacked on just by editing the files in a source control
 checkout and using prove for testing, for some this isn't sufficient. In
 particular, distributions which use an auto-generated test suite and
 distributions which use XS both need special handling at build time before
 they will function, and with Dist::Zilla, this means running dzil build and
 rebuilding after every change. This is tedious!
 .
 ExtUtils::MakeMaker::Dist::Zilla::Develop provides an alternative. Create a
 minimal Makefile.PL in source control which handles just enough functionality
 for basic development (it can be as minimal as just what is in the /SYNOPSIS,
 but can also contain commands to generate your test suite, for example), and
 tell Dist::Zilla to replace it with a real Makefile.PL when you're actually
 ready to build a real distribution. To do this, make sure you're still using
 the MakeMaker|Dist::Zilla::Plugin::MakeMaker plugin, either directly or
 through a pluginbundle like @Basic|Dist::Zilla::PluginBundle::Basic, and add
 the exclude_filename = Makefile.PL option to your dist.ini where you use
 [GatherDir].

Package: libextutils-modulemaker-perl
Description-md5: c6b7bdad8e3d129d61cfe9bb6578e4f6
Description-en: Perl extension to build module from scratch
 ExtUtils::ModuleMaker is a replacement for the most typical use of the
 h2xs utility bundled with all Perl distributions: the creation of the
 directories and files required for a pure-Perl module to be installable
 with make and distributable on the Comprehensive Perl Archive Network.

Package: libextutils-typemap-perl
Description-md5: c87423dec2b4a6197b95ad23104d3efa
Description-en: ExtUtils::Typemap - Read/Write/Modify Perl/XS typemap files
 ExtUtils::Typemap exists merely as a compatibility wrapper
 around ExtUtils::Typemaps. In a nutshell, ExtUtils::Typemap was renamed to
 ExtUtils::Typemaps because the Typemap directory in lib/ could collide with the
 typemap file on case-insensitive file systems.

Package: libextutils-typemaps-default-perl
Description-md5: 1e787735dd04b3fe2d7fca72775a9b91
Description-en: Perl module providing a set of useful typemaps
 ExtUtils::Typemaps::Default is an ExtUtils::Typemaps subclass that
 provides a set of default mappings (in addition to what perl itself
 provides). These default mappings are currently defined as the
 combination of the mappings provided by the following typemap classes
 which are provided in this distribution:
 .
   * ExtUtils::Typemaps::ObjectMap
   * ExtUtils::Typemaps::STL
   * ExtUtils::Typemaps::Basic

Package: libextutils-xsbuilder-perl
Description-md5: 73617672b75b693607921582c1c25ab5
Description-en: Automatic XS glue code generation
 ExtUtils::XSBuilder is a set of modules to parse C header files and
 create XS glue code and documentation from it.
 .
 Ideally this allows you to "write" an interface to a C library
 without coding a line.  Although this module automates much of the
 process, you must still be familiar with C and XS programming since no
 C API is ideal and usually some adjuments are necessary.  When the C
 API changes, most of the time you only have to rerun XSBuilder to get
 your new Perl API.

Package: libextutils-xspp-perl
Description-md5: 40bb9708fefad4f98eeb7b1f2b72f716
Description-en: module for using Perl XS with C++ code
 ExtUtils::XSpp is a Perl module that implements XS++, a thin layer on top of
 plain XS designed to support C++ code. It allows developers to easily write
 Perl bindings to C++ code by compiling code using the XS++ preprocessor
 `xspp' instead of the normal XS preprocessor `xsubpp'.

Package: libez-vcard-java
Description-md5: 580a52c3b786e6d210bb0c6cfea171b2
Description-en: vCard library
 ez-vcard is a vCard library written in Java. It can read and write
 vCards in many different formats. The "ez" stands for "easy"
 because the goal is to create a library that's easy to use.

Package: libezmorph-java
Description-md5: 002ef5da33a25b2e81d4d417843b9455
Description-en: Java library for transforming an Object to another Object
 EZMorph's key strenghts are:
 .
  - Supports transformations for primitives and Objects
  - Supports transformations for multidimensional arrays
  - Supports transformations with DynaBeans
  - Small memory footprint (~76K)

Package: libeztrace-dev
Description-md5: fa869525a5354c44bf2db0d4c3a520cc
Description-en: Automatic execution trace generation for HPC - development files
 EZTrace is a tool that aims at generating automatically execution traces
 from HPC (High Performance Computing) programs. It generates execution
 trace files that can be interpreted by visualization tools such as
 ViTE. It uses LD_PRELOAD and dlsym() to intercept calls to the usual HPC
 primitives, to be observed.
 .
 This package contains the development files.

Package: libeztrace0
Description-md5: fa869525a5354c44bf2db0d4c3a520cc
Description-en: Automatic execution trace generation for HPC - development files
 EZTrace is a tool that aims at generating automatically execution traces
 from HPC (High Performance Computing) programs. It generates execution
 trace files that can be interpreted by visualization tools such as
 ViTE. It uses LD_PRELOAD and dlsym() to intercept calls to the usual HPC
 primitives, to be observed.
 .
 This package contains the development files.

Package: libf2c2
Description-md5: 0eb2762e141ce8b7dce2c65804cd0805
Description-en: Shared libraries for use with FORTRAN applications
 These are the shared libraries required for running
 applications containing a component written in FORTRAN
 which was converted to C using the FORTRAN to C translator
 f2c.

Package: libf2c2-dev
Description-md5: c1cf2ab2688c831415d86a230f3bb987
Description-en: Development libraries for use with f2c
 These are the necessary static libraries and header
 files for an implementation of the fortran intrinsic
 functions and IO functions.
 .
 They are required when linking applications built from the
 C output from the FORTRAN to C translator f2c.

Package: libf2fs-dev
Description-md5: 9090684e8963064f08604faa481804ba
Description-en: Core library for Flash-Friendly File System - Development files
 F2FS is a new filesystem for Linux aimed at NAND flash memory-based storage
 devices, such as SSD, eMMC, and SD cards. It is based on Log-structured File
 System (LFS).
 .
 This package contains the development files.

Package: libf2fs-format-dev
Description-md5: 9090684e8963064f08604faa481804ba
Description-en: Core library for Flash-Friendly File System - Development files
 F2FS is a new filesystem for Linux aimed at NAND flash memory-based storage
 devices, such as SSD, eMMC, and SD cards. It is based on Log-structured File
 System (LFS).
 .
 This package contains the development files.

Package: libf2fs-format4
Description-md5: 057dbaadc80b64b33338981cd2e122b7
Description-en: Format library for Flash-Friendly File System
 F2FS is a new filesystem for Linux aimed at NAND flash memory-based storage
 devices, such as SSD, eMMC, and SD cards. It is based on Log-structured File
 System (LFS).
 .
 This package contains the format f2fs library.

Package: libf2fs5
Description-md5: 253e6f7b4db11095b46512587d3bdb98
Description-en: Core library for Flash-Friendly File System
 F2FS is a new filesystem for Linux aimed at NAND flash memory-based storage
 devices, such as SSD, eMMC, and SD cards. It is based on Log-structured File
 System (LFS).
 .
 This package contains the core f2fs library.

Package: libf95getdata7
Description-md5: e739ad8efc2b3c41cde9c42b7f60c268
Description-en: library to read/write dirfile data - Fortran 95 bindings
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: libfaad-dev
Description-md5: ae9f883b2a80e31f09c287adf3baae2d
Description-en: freeware Advanced Audio Decoder - development files
 FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly
 decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC
 files.
 .
 This package contains development files.

Package: libfaad-ocaml
Description-md5: d8506b869d581eb8c625b2326f5a710b
Description-en: OCaml interface to the faad library -- runtime files
 This package provides an interface to the faad library for
 OCaml programmers.
 .
 FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly
 decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC
 files.
 .
 This package contains only the shared runtime stub libraries.

Package: libfaad-ocaml-dev
Description-md5: 97ec8aeadbd45aa572a1ea4acfc1606f
Description-en: OCaml interface to the faad library -- development files
 This package provides an interface to the faad library for
 OCaml programmers.
 .
 FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly
 decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC
 files.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-faad.

Package: libfaad2
Description-md5: 9307be517a3f30e946fbc168e7e3f958
Description-en: freeware Advanced Audio Decoder - runtime files
 FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly
 decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC
 files.
 .
 This package contains the shared library and an extra
 library with support for Digital Radio Mondiale (DRM).

Package: libfabric-bin
Description-md5: 48478daa4ff17d333fa3ce2b380603fe
Description-en: Diagnosis programs for the libfabric communication library
 Libfabric is a communication library that exports interfaces for
 fabric services to applications.  Libfabric is the core component
 of the Open Fabrics Interfaces (OFI) framework.
 .
 This package contains a few programs to check the status of the fabric.

Package: libfabric-dev
Description-md5: 581f437ed44039a7cbaa9cd510300bce
Description-en: Development files for libfabric1
 Libfabric is a communication library that exports interfaces for
 fabric services to applications.  Libfabric is the core component
 of the Open Fabrics Interfaces (OFI) framework.
 .
 This package is needed to compile programs against libfabric1.
 It contains the header files and links needed for compiling.

Package: libfabric1
Description-md5: 23efe94450e753061e8395ccfd5a60a0
Description-en: libfabric communication library
 Libfabric is a communication library that exports interfaces for
 fabric services to applications.  Libfabric is the core component
 of the Open Fabrics Interfaces (OFI) framework.
 .
 This package contains the shared libraries.

Package: libfacile-ocaml-dev
Description-md5: 499d25a77d0452e106bbebbd974877d0
Description-en: functional constraint library implemented in Objective Caml
 FaCiLe is a constraint programming library over integer finite domain
 written in Objective Caml. It offers all usual facilities to create
 and manipulate finite domain variables, arithmetic expressions and
 constraints (possibly non-linear), built-in global constraints and
 search goals. FaCiLe allows as well to build easily user-defined
 constraints and goals (including recursive ones), making pervasive use
 of OCaml higher-order functionals to provide a simple and flexible
 interface for the user. As FaCiLe is an OCaml library and not "yet
 another language", the user benefits from type inference and strong
 typing discipline, high level of abstraction, modules and objects
 system, as well as native code compilation efficiency, garbage
 collection and replay debugger, all features of OCaml (among many
 others) that allow to prototype and experiment quickly: modeling, data
 processing and interface are implemented with the same powerful and
 efficient language.

Package: libfacter3.11.0
Description-md5: 37c4a82050496b73117ba7d35f08f318
Description-en: collect and display facts about the system -- shared library
 Facter is Puppet’s cross-platform system profiling library. It discovers and
 reports per-node facts, which are collected by the Puppet agent and are made
 available in Puppet manifests as variables. Facter comes with a number of
 built-in facts providing information about the operating system, hardware, SSH
 keys, etc., but is also easily extensible through the following interfaces:
 .
  * Through custom facts using Facter's Ruby API.
  * Through `external' facts which can be either executables, or static data
    files.
 .
 This package contains the core shared library implementing most of Facter's
 functionality.

Package: libfaifa-dev
Description-md5: f40c170de739b3347f8e8b6bb72c106f
Description-en: manage HomePlug 1.0/AV devices via ethernet frames - devel library
 Faifa is a network tool to remotely manage HomePlug 1.0 and HomePlug AV
 devices.
 .
 HomePlug 1.0 and HomePlug AV are specifications of Power Line Communication
 (PLC). PLC is a system for carrying data - network packets - over power line.
 <http://www.homeplug.org/>
 .
 This tool can configure, flash and collect statistics on thoses devices
 using private and public Ethernet frames.
 .
 This package contains Faifa library headers.

Package: libfaifa0
Description-md5: 095336e076a62d6bb99c6e8c3c12c53a
Description-en: manage HomePlug 1.0/AV devices via ethernet frames - library
 Faifa is a network tool to remotely manage HomePlug 1.0 and HomePlug AV
 devices.
 .
 HomePlug 1.0 and HomePlug AV are specifications of Power Line Communication
 (PLC). PLC is a system for carrying data - network packets - over power line.
 <http://www.homeplug.org/>
 .
 This tool can configure, flash and collect statistics on thoses devices
 using private and public Ethernet frames.
 .
 This package contains Faifa shared library.

Package: libfailures-perl
Description-md5: 6c87e18e3305bca1cd0da11c930fd0fa
Description-en: minimalist exception hierarchy generator
 The failures module lets you define an exception hierarchy quickly and simply.
 .
 The design goals were:
 .
  • minimalist interface
  • 80% of features in 20% of lines of code
  • depend only on core modules (nearly achieved)
  • support hierarchical error types
  • identify errors types by name (class) not by parsing strings
  • leave (possibly expensive) trace decisions to the thrower

Package: libfakechroot
Description-md5: b0c4684ba5fd1dd31a0a93d761269fb4
Description-en: gives a fake chroot environment - runtime
 This package provides a library which overrides libc functions, so
 it is possible to use root-specific tools without root privileges.
 .
 In fake chroot you can install i.e. Debian bootstrap, create developer's
 environment and build packages inside chroot'ed system using standard
 non-root user account.

Package: libfakekey-dev
Description-md5: 1c1e39d24c37648b131431b67e08056b
Description-en: library for converting characters to X key-presses [development]
 libfakekey is a simple library for converting UTF-8 characters into 'fake' X
 key-presses.
 .
 This package contains the libfakekey development files.

Package: libfakekey-doc
Description-md5: f83754ef6a20b941bf81d47b92006e19
Description-en: library for converting characters to X key-presses [documentation]
 libfakekey is a simple library for converting UTF-8 characters into 'fake' X
 key-presses.
 .
 This package contains the libfakekey documentation.

Package: libfakekey0
Description-md5: 12ce7404981ed1cdb304ccea1997fe72
Description-en: library for converting characters to X key-presses [runtime]
 libfakekey is a simple library for converting UTF-8 characters into 'fake' X
 key-presses.
 .
 This package contains the libfakekey runtime library.

Package: libfaketime
Description-md5: 9717213973a84a29283491178debf275
Description-en: Report faked system time to programs (preload library)
 The Fake Time Preload Library (FTPL, a.k.a. libfaketime) intercepts
 various system calls which programs use to retrieve the current date
 and time. It can then report faked dates and times (as specified by
 you, the user) to these programs. This means you can modify the
 system time a program sees without having to change the time
 system-wide. FTPL allows you to specify both absolute dates (e.g.,
 2004-01-01) and relative dates (e.g., 10 days ago).
 .
 This package contains the LD_PRELOAD library for faketime.

Package: libfam-dev
Description-md5: 57b480ded1e51997ced1c4d9dfb9c141
Description-en: Client library to control the FAM daemon - development files
 FAM monitors files and directories, notifying interested applications
 of changes.
 .
 This package provides header files and static libraries to allow the
 development of programs that interface FAM for file monitoring.

Package: libfam0
Description-md5: b45771051edb5328016ac2409d495e6e
Description-en: Client library to control the FAM daemon
 FAM monitors files and directories, notifying interested applications
 of changes.
 .
 This package provides a shared library to allow programs to connect to
 the FAM daemon and ask for files to be monitored.

Package: libfam0c102
Description-md5: 0f7079f82a307937b95a9e1bcb5cac7d
Description-en: Dummy package for libfam0
 FAM monitors files and directories, notifying interested applications
 of changes.
 .
 This is a dummy package that installs libfam0; see README.Debian for
 libfam0 for details.  You can safely remove this package once libfam0
 is installed.

Package: libfann-dev
Description-md5: e0af5ca2177bf19d0ddca59f5074944e
Description-en: Development libraries and header files for FANN
 Fast Artificial Neural Network Library is a free open
 source neural network library, which implements multilayer artificial
 neural networks in C with support for both fully connected and
 sparsely connected networks. Cross-platform execution in both fixed
 and floating point are supported. It includes a framework for easy
 handling of training data sets. It is easy to use, versatile, well
 documented, and fast.
 .
 This package contains the header files and static libraries which are
 needed for developing libfann applications.

Package: libfann-doc
Description-md5: 5d510503dca8572a264924a75ac6164e
Description-en: API documentation for FANN
 Fast Artificial Neural Network Library is a free open
 source neural network library, which implements multilayer artificial
 neural networks in C with support for both fully connected and
 sparsely connected networks. Cross-platform execution in both fixed
 and floating point are supported. It includes a framework for easy
 handling of training data sets. It is easy to use, versatile, well
 documented, and fast.
 .
 This package contains the API documentation in HTML form and some
 introductory material in text form.

Package: libfann2
Description-md5: ab1aecd841f644a4cda85a0d90671d9b
Description-en: Fast Artificial Neural Network Library
 Fast Artificial Neural Network Library is a free open
 source neural network library, which implements multilayer artificial
 neural networks in C with support for both fully connected and
 sparsely connected networks. Cross-platform execution in both fixed
 and floating point are supported. It includes a framework for easy
 handling of training data sets. It is easy to use, versatile, well
 documented, and fast.
 .
 This package contains the shared libraries.

Package: libfannj-java
Description-md5: 7c0709ea01f8f787af4738c3a5adffd0
Description-en: FannJ a Java binding to the Fast Artificial Neural Network (FANN) C library
 Use FannJ if you have an existing ANN from the FANN project (libfann2) that you
 would like to access from Java. There are several GUI tools that will
 help you create and train an ANN.

Package: libfannj-java-doc
Description-md5: 0664462264fcbaaef6cfa783706a5c7e
Description-en: FannJ - Documentation
 Use FannJ if you have an existing ANN from the FANN project (libfann2) that you
 would like to access from Java. There are several GUI tools that will
 help you create and train an ANN.
 This is the Documentation package for FannJ

Package: libfap-dev
Description-md5: 5f00ce27a9bc77018e82e02e1c89b4e0
Description-en: APRS Parser - Development Files
 libfap is a C port of the Ham::APRS::FAP Finnish APRS Parser (Fabulous APRS
 Parser) Perl module. As the original Perl code, libfap parses normal, mic-e and
 compressed location packets, NMEA location packets, objects, items, messages,
 telemetry and most weather packets. For more description, see the Perl module.
 .
 This package contains the development files for libfap.

Package: libfap6
Description-md5: 63d1ca7ad0c26f0c08528bd6f5e61e95
Description-en: APRS Parser
 libfap is a C port of the Ham::APRS::FAP Finnish APRS Parser (Fabulous APRS
 Parser) Perl module. As the original Perl code, libfap parses normal, mic-e and
 compressed location packets, NMEA location packets, objects, items, messages,
 telemetry and most weather packets. For more description, see the Perl module.

Package: libfarmhash-dev
Description-md5: 8ac64c0cec0c7e41087c85db99bcc66b
Description-en: FarmHash, a family of hash functions (development files, docs)
 FarmHash provides hash functions for strings and other data.  The functions
 mix the input bits thoroughly but are not suitable for cryptography.
 .
 This package contains development files and document.

Package: libfarmhash0
Description-md5: 81f990ecca152408561cae38110178e5
Description-en: FarmHash, a family of hash functions (shared library)
 FarmHash provides hash functions for strings and other data.  The functions
 mix the input bits thoroughly but are not suitable for cryptography.
 .
 This package contains the shared library.

Package: libfarstream-0.2-5
Description-md5: f7d95180a5bfbf33d770c2d677e3d23a
Description-en: Audio/Video communications framework: core library
 The Farstream project is an effort to create a framework to deal with all
 known audio/video conferencing protocols. On one side it offers a generic
 API that makes it possible to write plugins for different streaming
 protocols, on the other side it offers an API for clients to use those
 plugins.
 .
 This package provides the core Farstream library.

Package: libfarstream-0.2-dev
Description-md5: 3738ffa6e8fc2324f1230a8c8a058ecd
Description-en: Audio/Video communications framework: development files
 The Farstream project is an effort to create a framework to deal with all
 known audio/video conferencing protocols. On one side it offers a generic
 API that makes it possible to write plugins for different streaming
 protocols, on the other side it offers an API for clients to use those
 plugins.
 .
 This package provides development files for Farstream.

Package: libfarstream-0.2-doc
Description-md5: 37859e01ed610b45e8284b5a141d0595
Description-en: Audio/Video communications framework: documentation
 The Farstream project is an effort to create a framework to deal with all
 known audio/video conferencing protocols. On one side it offers a generic
 API that makes it possible to write plugins for different streaming
 protocols, on the other side it offers an API for clients to use those
 plugins.
 .
 This package provides documentation for Farstream.

Package: libfast-zip-clojure
Description-md5: 93ed3de2b40066315856d1e1ce534fff
Description-en: modification of clojure.zip that uses protocols and records
 fast-zip is a fast, drop-in replacement of clojure.zip. Internally it uses
 protocols and records, making it significantly faster than clojure.zip.

Package: libfast-zip-visit-clojure
Description-md5: 84a9b83e9ace42507256b0b1c76014e8
Description-en: Clojure zipper-based visitor library (fast-zip version)
 A Clojure library implementing functional visitors over zippers. This library
 was inspired partly by the j-treevisit library and the need for walking
 and modifying tree data structures in Clojure.

Package: libfast5-dev
Description-md5: d4bbcfc228087168e05149e89c443049
Description-en: library for reading Oxford Nanopore Fast5 files -- headers
 A lightweight C++11 library to read raw signal data from Oxford
 Nanopore's FAST5 files.
 .
 This package provides the header files for development with fast5.

Package: libfastahack-dev
Description-md5: 77a3accb56330ce9c15f85d0bf3a7d0e
Description-en: library for indexing and sequence extraction from FASTA files (devel)
 fastahack is a small application for indexing and extracting sequences and
 subsequences from FASTA files.  The included Fasta.cpp library provides a FASTA
 reader and indexer that can be embedded into applications which would benefit
 from directly reading subsequences from FASTA files.  The library automatically
 handles index file generation and use.
 .
 Features:
  * FASTA index (.fai) generation for FASTA files
  * Sequence extraction
  * Subsequence extraction
  * Sequence statistics (currently only entropy is provided)
 .
 Sequence and subsequence extraction use fseek64 to provide fastest-possible
 extraction without RAM-intensive file loading operations.  This makes fastahack
 a useful tool for bioinformaticists who need to quickly extract many
 subsequences from a reference FASTA sequence.
 .
 This is the development package containing the statically linked
 library and the header files.

Package: libfastahack0
Description-md5: a2772a02e6ae78c3f9152bf6ef9d91b5
Description-en: library for indexing and sequence extraction from FASTA files (lib)
 fastahack is a small application for indexing and extracting sequences and
 subsequences from FASTA files.  The included Fasta.cpp library provides a FASTA
 reader and indexer that can be embedded into applications which would benefit
 from directly reading subsequences from FASTA files.  The library automatically
 handles index file generation and use.
 .
 Features:
  * FASTA index (.fai) generation for FASTA files
  * Sequence extraction
  * Subsequence extraction
  * Sequence statistics (currently only entropy is provided)
 .
 Sequence and subsequence extraction use fseek64 to provide fastest-possible
 extraction without RAM-intensive file loading operations.  This makes fastahack
 a useful tool for bioinformaticists who need to quickly extract many
 subsequences from a reference FASTA sequence.
 .
 This package contains the dynamic library.

Package: libfastinfoset-java
Description-md5: ceedbc5c683f3cdc1a4ac97cf8953d75
Description-en: Java library implementing the Fast Infoset standard
 Fast Infoset specifies a standardized binary encoding for the XML Information
 Set. An XML infoset (such as a DOM tree, StAX events or SAX events in
 programmatic representations) may be serialized to an XML 1.x document or, as
 specified by the Fast Infoset standard, may be serialized to a fast infoset
 document.
 .
 Fast infoset documents are generally smaller in size and faster to
 parse and serialize than equivalent XML documents.

Package: libfastjet-dev
Description-md5: ea2b14298d637c391994d53c5b6081d5
Description-en: Development files of FastJet
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides development files of FastJet.

Package: libfastjet-fortran-dev
Description-md5: 874cbeed286cdf382030c14b3ccd5ffd
Description-en: Fortran bindings of FastJet - development files
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides development files for Fortran bindings of FastJet.

Package: libfastjet-fortran0
Description-md5: eff53c8b5b1f84738050cba21f5ca9ae
Description-en: Fortran bindings of FastJet
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides Fortran bindings of FastJet.

Package: libfastjet0v5
Description-md5: 5f84acd0dea25fe4a68b53ec988d90a6
Description-en: Fast C++ code for the kt and other jet algorithms
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides run-time library of FastJet.

Package: libfastjetplugins-dev
Description-md5: dbfbaededd6ecdeab89c753ab9be5461
Description-en: FastJet plugins - development files
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides development files for plugins of FastJet. Note that
 ATLASCone and CDFCones plugins are removed due to license issue.

Package: libfastjetplugins0
Description-md5: 8d61b7ee80f85e4ed58fb88ab60fc3fa
Description-en: FastJet plugins
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides plugins of FastJet. Note that ATLASCone and CDFCones
 plugins are removed due to license issue.

Package: libfastjettools-dev
Description-md5: 455fe0e6976d12589cf3702fc17610aa
Description-en: Tools for FastJet - development files
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides development files of FastJet Tools.

Package: libfastjettools0
Description-md5: 61614424308c7a9fc24fdadd94dc0191
Description-en: Tools for FastJet
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides various tools related to jet areas and subtraction. They
 can be used with any infrared safe jet algorithm, both native and plugin.

Package: libfastutil-java
Description-md5: 9f404e9fb0e64677c8ab1ef8e3ea5ee5
Description-en: Java API providing type-specific maps, sets, lists and queues
 Fastutil extends the Java™ Collections Framework by providing
 type-specific maps, sets, lists and queues with a small memory footprint
 and fast access and insertion; provides also big (64-bit) arrays, sets
 and lists, and fast, practical I/O classes for binary and text files.
 .
 The classes implement their standard counterpart interface (e.g., Map
 for maps) and can be plugged into existing code. Moreover, they provide
 additional features (such as bidirectional iterators) that are not
 available in the standard classes.
 .
 Besides objects and primitive types, fastutil classes provide support
 for references, that is, objects that are compared using the equality
 operator rather than the equals() method.

Package: libfastutil-java-doc
Description-md5: 3cdfddd47346c97ea755709317d10203
Description-en: API documentation for libfastutil-java
 Fastutil extends the Java™ Collections Framework by providing
 type-specific maps, sets, lists and queues with a small memory footprint
 and fast access and insertion; provides also big (64-bit) arrays, sets
 and lists, and fast, practical I/O classes for binary and text files.
 .
 The classes implement their standard counterpart interface (e.g., Map
 for maps) and can be plugged into existing code. Moreover, they provide
 additional features (such as bidirectional iterators) that are not
 available in the standard classes.
 .
 Besides objects and primitive types, fastutil classes provide support
 for references, that is, objects that are compared using the equality
 operator rather than the equals() method.
 .
 This package contains the javadoc documentation files.

Package: libfathom-dev
Description-md5: 912e7bc5291036286d44a1a1a2116a0f
Description-en: Library for probing Syzygy tablebases (development files)
 Fathom is a stand-alone Syzygy tablebase probing tool.
 .
 The aims of Fathom are:
  - To make it easy to integrate the Syzygy tablebases into existing
 chess engines.
  - To make it easy to create stand-alone applications that use the
 Syzygy tablebases.
 .
 This package contains the development files.

Package: libfathom1
Description-md5: 9bd1b65501e44830631245daa9e38a87
Description-en: Library for probing Syzygy tablebases
 Fathom is a stand-alone Syzygy tablebase probing tool.
 .
 The aims of Fathom are:
  - To make it easy to integrate the Syzygy tablebases into existing
 chess engines.
  - To make it easy to create stand-alone applications that use the
 Syzygy tablebases.

Package: libfaudio-dev
Description-md5: e1aa8fad3c5d4085884bbe480265a0c1
Description-en: XAudio sound processing reimplementation - development files
 FAudio is a sound processing library that aims to support fully accurate
 DirectX audio capabilities including XAudio2, X3DAudio, XAPO, and XACT3.
 .
 This package provides the development files.

Package: libfaudio0
Description-md5: 592ef25c1c988717339347b84d047a2b
Description-en: XAudio sound processing reimplementation - library
 FAudio is a sound processing library that aims to support fully accurate
 DirectX audio capabilities including XAudio2, X3DAudio, XAPO, and XACT3.
 .
 This package provides the library itself.

Package: libfaust2
Description-md5: f8a406170f7be3054ebe979f1df0a9f5
Description-en: functional programming language for realtime audio applications - libraries
 Faust is a functional programming language specifically designed for realtime
 audio applications and plugins. The syntax of the language is block diagram
 oriented. The faust compiler translate signal processing specifications into
 optimized C++ code for signal processing applications.
 .
 The generated code can be wrapped into an 'architecture file' in order to
 create for example a standalone jack/gtk application. Several architecture
 file are provided and additional ones are fairly easy to add.
 .
 This package contains the libraries for applications built with faust

Package: libfax-hylafax-client-perl
Description-md5: 57fe5b0f03c4cf09e0fe8e54e332e564
Description-en: simple Perl client for HylaFAX fax server
 Fax::Hylafax::Client is a simple Perl client for HylaFAX fax server
 (www.hylafax.org).  It communicates with the server directly through FTP
 protocol and thus does not require any HylaFAX software components to be
 installed on the client machine.

Package: libfbclient2
Description-md5: 68d7ceba679ca0cfdf52551ea46b7a52
Description-en: Firebird client library
 Client library for connecting to the Firebird server. It can also be used for
 direct (embedded) database access.
 .
 See firebird3.0-server package for more information about Firebird.

Package: libfccp-dev
Description-md5: 764477253e420f66398afe1eca2b3143
Description-en: Fast C++ CSV Parser
 fast-cpp-cvs-parser is a small, easy-to-use and fast header-only
 library for reading comma separated value (CSV) files. The library
 is completely contained inside a single header file. The library
 can used with a standard conformant C++11 compiler.
 .
 Feature list:
  * Automatically rearranges columns by parsing the header line.
  * Disk I/O and CSV-parsing are overlapped using threads for efficiency.
  * Parsing features such as escaped strings can be enabled and disabled
    at compile time using templates.
  * Can read multiple GB files in reasonable time.
  * Support for custom columns separators (i.e. Tab separated value files
    are supported), quote escaped strings, automatic space trimming.
  * Works with *nix and Windows newlines and automatically ignores UTF-8 BOMs.
  * Exception classes with enough context to format useful error messages.
  * what() returns error messages ready to be shown to a user.

Package: libfcgi-async-perl
Description-md5: 9fbe903d155edb532fadbb7d8c339417
Description-en: FastCGI engine based on IO::Async
 FCGI::Async is a subclass of Net::Async::FastCGI. It provides a slightly
 different API where it can take an argument containing the IO::Async::Loop
 object, rather than be added as Notifier object within one. It is provided
 mostly as a backward-compatibility wrapper for older code using this
 interface.

Package: libfcgi-bin
Description-md5: 5c862c120fcc08699e2aeabe759d1e17
Description-en: FastCGI bridge from CGI
 FastCGI is a language independent, scalable, open extension
 to CGI that provides high performance without the limitations
 of server specific APIs.
 .
 This package contains the cgi-fcgi utility to bridge between CGI
 and FastCGI.

Package: libfcgi-client-perl
Description-md5: 593ff8ba7ec1e6e84e052791cffe0fcb
Description-en: Perl client library for FastCGI protocol
 FCGI::Client provides a Perl library usable to dial with a FastCGI servers.
 It can be used easily to test FastCGI server availability or be used with
 Test::More to implement full tests for Perl FastCGI servers releases.

Package: libfcgi-dev
Description-md5: ff65d8279313a75952f9f404692a452a
Description-en: header files of FastCGI
 FastCGI is a language independent, scalable, open extension
 to CGI that provides high performance without the limitations
 of server specific APIs.
 .
 This package contains the header files, symlinks and static libraries which
 are needed to develop applications based on libfcgi.

Package: libfcgi-engine-perl
Description-md5: 8b35a49616d1ba69751baa4ff3b97817
Description-en: flexible engine for running FCGI-based applications
 FCGI::Engine helps manage FCGI based web applications by providing a wrapper
 which handles most of the low-level FCGI details for you. It can run FCGI
 programs as simple scripts or as full standalone socket based servers who are
 managed by FCGI::Engine::ProcManager.

Package: libfcgi-ev-perl
Description-md5: 5b520233e240be91b6e06963230786aa
Description-en: module to support FastCGI protocol in EV-based applications
 FCGI::EV implements FastCGI protocol for use in EV-based applications.
 That means that applications have to run EV::loop.
 .
 It provides methods to receive and parse data from web server, pack and send
 data to web server.

Package: libfcgi-procmanager-maxrequests-perl
Description-md5: c25d1b360ebecca9a0559568ab9bd807
Description-en: restrict max number of requests by each child
 This module is an extension to FCGI::ProcManager that allows
 restriction of the requests per FCGI child.

Package: libfcgi-procmanager-perl
Description-md5: dc59ea20403239cca2d01ea9e94dd54f
Description-en: Perl module to help manage FastCGI applications
 FCGI::ProcManager serves as a FastCGI process manager, allowing developers to
 more finely tune performance in their web applications and take advantage of
 copy-on-write semantics prevalent in the UNIX kernel process system. It can
 manage a number of FastCGI servers and handles signals on their behalf.

Package: libfcgi0ldbl
Description-md5: 83e2f540578ce9b2f10a1eff6015c34a
Description-en: shared library of FastCGI
 FastCGI is a language independent, scalable, open extension
 to CGI that provides high performance without the limitations
 of server specific APIs.
 .
 This package contains the shared libraries.

Package: libfcitx-config4
Description-md5: 0a4b114022ffe046c788437d689c47c3
Description-en: Flexible Input Method Framework - configuration support library
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package contains the library file:
  * libfcitx-config - support library for Fcitx configurations

Package: libfcitx-core0
Description-md5: 1afaaa03a94706b170310e3cc48354ec
Description-en: Flexible Input Method Framework - library of core functions
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package contains the library file:
  * libfcitx-core - core functions of Fcitx

Package: libfcitx-gclient1
Description-md5: 5d584a59edec950b0aa4a94d8501fdef
Description-en: Flexible Input Method Framework - D-Bus client library for Glib
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package contains the following library:
  * libfcitx-gclient - D-Bus client library for Glib

Package: libfcitx-qt5-1
Description-md5: ebfd86e9ba887136d73a90a0b8298488
Description-en: Free Chinese Input Toy of X - D-Bus client libraries for Qt5
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables.
 It is highly modularized and extensible,
 with GTK+ 2/3 and Qt 4/5 IM Modules, support for UIs based on Fbterm,
 pure Xlib, GTK+, or KDE, and a developer-friendly API.
 .
 This package contains the following libraries:
  * libFcitxQt5DBusAddons - D-Bus Addons library for Qt5
  * libFcitxQt5WidgetsAddons - Widgets Addons library for Qt5

Package: libfcitx-qt5-data
Description-md5: f19b0e574cb6d04f7e3cb8b0b3d4c8e5
Description-en: Free Chinese Input Toy of X - data files for Qt5 integration
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables.
 It is highly modularized and extensible,
 with GTK+ 2/3 and Qt 4/5 IM Modules, support for UIs based on Fbterm,
 pure Xlib, GTK+, or KDE, and a developer-friendly API.
 .
 This package contains data files for fcitx Qt5 integration library and
 Qt5 frontend.

Package: libfcitx-qt5-dev
Description-md5: 92e28240b785597369b277d49b86b236
Description-en: Free Chinese Input Toy of X - Devel files for libfcitx-qt5
 Fcitx is the Free Chinese Input Toy of X, which was initially designed
 for Chinese users, and used XIM protocol. Now it has already evolved
 into a highly modularized, feature rich input method framework for
 Unix-like platforms supporting a considerable amount of frontends,
 backends and modules.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables.
 It is highly modularized and extensible,
 with GTK+ 2/3 and Qt 4/5 IM Modules, support for UIs based on Fbterm,
 pure Xlib, GTK+, or KDE, and a developer-friendly API.
 .
 This package provides the development files of fcitx-qt5.

Package: libfcitx-utils0
Description-md5: f45a01311888d64d6001e800ada58eef
Description-en: Flexible Input Method Framework - utility support library
 Fcitx is a input method framework with extension support, which provides
 an interface for entering characters of different scripts in applications
 using a variety of mapping systems.
 .
 It offers a pleasant and modern experience, with intuitive graphical
 configuration tools and customizable skins and mapping tables. It is
 highly modularized and extensible, with GTK+ 2/3 and Qt5 IM Modules, support
 for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly
 API.
 .
 This package contains the library file:
  * libfcitx-utils - utility support library for Fcitx

Package: libfcitx5-qt-data
Description-md5: f298ca6bb3def81630f33d105dd1cb6b
Description-en: Qt library and IM module for fcitx5 (data files)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the shared data files for fcitx5-qt.

Package: libfcitx5-qt-dev
Description-md5: ec612e333a337b40813fb51ae287148d
Description-en: Qt library and IM module for fcitx5 (development files)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the development files for fcitx5-qt.

Package: libfcitx5-qt1
Description-md5: 6ffabf8b28be661ae1fc4aa86cb1d313
Description-en: Qt library and IM module for fcitx5
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the Qt5 support for fcitx5.

Package: libfcitx5config-dev
Description-md5: 07e4808140f1028c583e4cc681617e06
Description-en: Fcitx Input Method Framework v5 (config library dev files)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides development files for fcitx5 config libraries.

Package: libfcitx5config5
Description-md5: 1cdf1fd2fa8c7f59cd2dccfe7a28c62b
Description-en: Fcitx Input Method Framework v5 (config library)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides fcitx5 config libraries.

Package: libfcitx5core-dev
Description-md5: 4a019df60097d0091266e4143472acfc
Description-en: Fcitx Input Method Framework v5 (core library dev files)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides development files for fcitx5 core libraries.

Package: libfcitx5core5
Description-md5: c92d6250cc7d6d76ecfa857f8a71988a
Description-en: Fcitx Input Method Framework v5 (core library)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides fcitx5 core libraries.

Package: libfcitx5gclient-dev
Description-md5: 8894459e73faa2e770ba3150ddf2fe69
Description-en: GLib-based D-Bus client library for fcitx5 (development files)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the development files for GLib-based D-Bus client
 library of fcitx5.

Package: libfcitx5gclient1
Description-md5: cacc68d9d5941867d42d554d095d15b9
Description-en: GLib-based D-Bus client library for fcitx5 (library)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides the GLib-based D-Bus client library of fcitx5.

Package: libfcitx5utils-dev
Description-md5: f04b72a24ca8f091de741c86356b9347
Description-en: Fcitx Input Method Framework v5 (utils library dev files)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides development files for fcitx5 utils libraries.

Package: libfcitx5utils1
Description-md5: 146914bd464b48db1a646addb1baeda6
Description-en: Fcitx Input Method Framework v5 (utils library)
 Fcitx5 is the next generation of fcitx input method framework. It
 provides plasant and modern input experience with intuitive graphical
 configuration tools. The framework is highly extensible with support
 for GTK+ and Qt toolkits, DBus interfaces, a large variety of desktop
 environments and a developer-friendly API.
 .
 Some of its new features include support of both Wayland and Xorg
 and the ability to mimic IBus Input Method Framework in order to
 provide better compatibility across different Linux distributions
 and desktop environments.
 .
 This package provides fcitx5 utils libraries.

Package: libfcl-dev
Description-md5: 5b49482c1701cad610191f14bd31452c
Description-en: Flexible Collision Library - development files
 FCL is a collision and proximity library that integrates several techniques for
 fast and accurate collision checking and proximity computation. Tje library is
 based on hierarchical representations and designed to perform multiple
 proximity queries on different model representations. The set of queries
 includes discrete collision detection, continuous collision detection,
 separation distance computation and penetration depth estimation. The input
 models may correspond to triangulated rigid or deformable models and
 articulated models. This package contains the development files.

Package: libfcl0.5
Description-md5: b3ebf05443dd8127b1b961ad751df15c
Description-en: Flexible Collision Library - shared library
 FCL is a collision and proximity library that integrates several techniques for
 fast and accurate collision checking and proximity computation. Tje library is
 based on hierarchical representations and designed to perform multiple
 proximity queries on different model representations. The set of queries
 includes discrete collision detection, continuous collision detection,
 separation distance computation and penetration depth estimation. The input
 models may correspond to triangulated rigid or deformable models and
 articulated models. This package contains the library.

Package: libfclib-dev
Description-md5: 018a9cd2007d220c994280e0999c8472
Description-en: read and write problems from the Friction Contact Library (headers)
 fclib is an open source collection of Frictional Contact (FC)
 problems stored in a specific HDF5 format, and an open source light
 implementation of Input/Output functions in C Language to read and
 write problems.
 .
 The goal of this work is to set up a collection of 2D and 3D
 Frictional Contact (FC) problems in order to set up a list of
 benchmarks; provide a standard framework for testing available and
 new algorithms; and share common formulations of problems in order to
 exchange data.
 .
 Fclib is an open-source scientific software primarily targeted at
 modeling and simulating nonsmooth dynamical systems
 .
 This package includes the libfclib development headers.

Package: libfclib0
Description-md5: c3a16943b6050e3627250a8cc1090839
Description-en: read and write problems from the Friction Contact Library (library)
 fclib is an open source collection of Frictional Contact (FC)
 problems stored in a specific HDF5 format, and an open source light
 implementation of Input/Output functions in C Language to read and
 write problems.
 .
 The goal of this work is to set up a collection of 2D and 3D
 Frictional Contact (FC) problems in order to set up a list of
 benchmarks; provide a standard framework for testing available and
 new algorithms; and share common formulations of problems in order to
 exchange data.
 .
 Fclib is an open-source scientific software primarily targeted at
 modeling and simulating nonsmooth dynamical systems
 .
 This package includes the libfclib libraries.

Package: libfcml-dev
Description-md5: 9a9cf9a570ce63fa7f179743b91f794a
Description-en: machine code manipulation library - development files
 FCML, the Free Code Manipulation Library, is a general-purpose
 machine code manipulation library for i386 and amd64 architectures.
 It includes an assembler and disassembler, instruction renderers and
 parsers, and supports Intel and AT&T (gas) syntax.
 .
 It supports most recent instruction set extensions, including MMX,
 3D-Now!, SSE including 4.2 and 4A, AVX and AVX2, AES-NI, TBM, BMI1
 and BMI2, HLE, ADX, CLMUL, RDRAND, RDSEED, FMA, FMA4, LWP, SVM, XOP,
 VMX and SMX.
 .
 This package contains the header files and library stubs required to
 develop with FCML.

Package: libfcml-doc
Description-md5: 09c1799b7e320b4f18e5df530dfabf4c
Description-en: machine code manipulation library - documentation
 FCML, the Free Code Manipulation Library, is a general-purpose
 machine code manipulation library for i386 and amd64 architectures.
 It includes an assembler and disassembler, instruction renderers and
 parsers, and supports Intel and AT&T (gas) syntax.
 .
 It supports most recent instruction set extensions, including MMX,
 3D-Now!, SSE including 4.2 and 4A, AVX and AVX2, AES-NI, TBM, BMI1
 and BMI2, HLE, ADX, CLMUL, RDRAND, RDSEED, FMA, FMA4, LWP, SVM, XOP,
 VMX and SMX.
 .
 This package contains the documentation.

Package: libfcml0
Description-md5: 4dead02b2434c37c94f39b5311eb2b0d
Description-en: machine code manipulation library
 FCML, the Free Code Manipulation Library, is a general-purpose
 machine code manipulation library for i386 and amd64 architectures.
 It includes an assembler and disassembler, instruction renderers and
 parsers, and supports Intel and AT&T (gas) syntax.
 .
 It supports most recent instruction set extensions, including MMX,
 3D-Now!, SSE including 4.2 and 4A, AVX and AVX2, AES-NI, TBM, BMI1
 and BMI2, HLE, ADX, CLMUL, RDRAND, RDSEED, FMA, FMA4, LWP, SVM, XOP,
 VMX and SMX.
 .
 This package contains the runtime library.

Package: libfdcore6
Description-md5: bf448b979c5634e92a3c976dc8eb6383
Description-en: FreeDiameter - library for the Diameter protocol
 Diameter is a protocol designed to carry Authentication, Authorization and
 Accounting (AAA) payload. It is an evolution of the RADIUS protocol (as the
 name suggests).
 .
 freeDiameter is an implementation of the Diameter protocol.
 .
 This shared library contains the core of the framework. It establishes the
 network connections with other Diameter peers and performs the tasks described
 in Diameter Base Protocol, such as watchdogs, basic routing, ... It also
 handles the loading of extensions (see below)

Package: libfdproto6
Description-md5: c437d7d2ab93a5bdb5fd69289474ec9e
Description-en: Library for manipulating Diameter messages and dictionary
 Diameter is a protocol designed to carry Authentication, Authorization and
 Accounting (AAA) payload. It is an evolution of the RADIUS protocol (as the
 name suggests).
 .
 freeDiameter is an implementation of the Diameter protocol
 .
 The shared library libfdproto provides the functions to manipulate Diameter
 messages and dictionary. This library is meant to be re-used by other projects
 that would require parsing or manipulating Diameter messages.

Package: libfec-dev
Description-md5: 62c3a023f3452e4a04dff8d5789a5bcd
Description-en: forward error correction (FEC) algorithms - header files
 This package provides a set of functions that implement several popular
 forward error correction (FEC) algorithms and several low-level routines
 useful in modems implemented with digital signal processing (DSP).
 .
 The following routines are provided:
  1. Viterbi decoders for the following convolutional codes:
   r=1/2 k=7 ("Voyager" code, now a widely used industry standard)
   r=1/2 k=9 (Used on the IS-95 CDMA forward link)
   r=1/6 k=15 ("Cassini" code, used by several NASA/JPL deep space missions)
  2. Reed-Solomon encoders and decoders for any user-specified code.
  3. Optimized encoder and decoder for the CCSDS-standard (255,223)
   Reed-Solomon code, with and without the CCSDS-standard "dual basis" symbol
   representation.
  4. Compute dot product between a 16-bit buffer and a set of 16-bit
   coefficients. This is the basic DSP primitive for digital filtering and
   correlation.
  4. Compute sum of squares of a buffer of 16-bit signed integers. This is
   useful in DSP for finding the total energy in a signal.
  5. Find peak value in a buffer of 16-bit signed integers, useful for
   scaling a signal to prevent overflow.
 .
 This package automatically makes use of various SIMD (Single Instruction
 stream, Multiple Data stream) instruction sets, when available: MMX, SSE and
 SSE2 on the IA-32 (Intel) architecture, and Altivec on the PowerPC G4 and G5
 used by Power Macintoshes.

Package: libfec0
Description-md5: f310b4d76ead24ea0abfe3d24e1963fc
Description-en: forward error correction (FEC) algorithms
 This package provides a set of functions that implement several popular
 forward error correction (FEC) algorithms and several low-level routines
 useful in modems implemented with digital signal processing (DSP).
 .
 The following routines are provided:
  1. Viterbi decoders for the following convolutional codes:
   r=1/2 k=7 ("Voyager" code, now a widely used industry standard)
   r=1/2 k=9 (Used on the IS-95 CDMA forward link)
   r=1/6 k=15 ("Cassini" code, used by several NASA/JPL deep space missions)
  2. Reed-Solomon encoders and decoders for any user-specified code.
  3. Optimized encoder and decoder for the CCSDS-standard (255,223)
   Reed-Solomon code, with and without the CCSDS-standard "dual basis" symbol
   representation.
  4. Compute dot product between a 16-bit buffer and a set of 16-bit
   coefficients. This is the basic DSP primitive for digital filtering and
   correlation.
  4. Compute sum of squares of a buffer of 16-bit signed integers. This is
   useful in DSP for finding the total energy in a signal.
  5. Find peak value in a buffer of 16-bit signed integers, useful for
   scaling a signal to prevent overflow.
 .
 This package automatically makes use of various SIMD (Single Instruction
 stream, Multiple Data stream) instruction sets, when available: MMX, SSE and
 SSE2 on the IA-32 (Intel) architecture, and Altivec on the PowerPC G4 and G5
 used by Power Macintoshes.

Package: libfeed-find-perl
Description-md5: 61c78ea94f3241ecd745c2570d2d374f
Description-en: Syndication feed auto-discovery
 Feed::Find implements feed auto-discovery for finding syndication
 feeds, given a URI. It (currently) passes all of the auto-discovery
 tests at http://diveintomark.org/tests/client/autodiscovery/.
 .
 Feed::Find will discover the following feed formats:
  * RSS 0.91
  * RSS 1.0
  * RSS 2.0
  * Atom

Package: libfelix-bundlerepository-java
Description-md5: 010857c39391fe45cd901aded78efcf1
Description-en: Felix OSGi bundle repository service
 The Felix project is an implementation
 of the OSGi core framework specification.
 .
 OSGi framework is a module system and service platform
 for the Java programming language that implements
 a complete and dynamic component model.
 .
 This subproject provide implementation of repository containing
 "bundles".

Package: libfelix-bundlerepository-java-doc
Description-md5: 9f6bda692b2acc7c9aa712e7e944d0cb
Description-en: Documentation for Felix OSGi bundle repository service
 The Felix project is an implementation
 of the OSGi core framework specification.
 .
 OSGi framework is a module system and service platform
 for the Java programming language that implements
 a complete and dynamic component model.
 .
 This subproject provide implementation of repository containing
 "bundles".
 .
 This package contains the API documentation of libfelix-bundlerepository-java.

Package: libfelix-framework-java
Description-md5: e20e2fc01fb54ecd9c29fbe2d4964cbb
Description-en: Felix Framework subproject
 The Felix Framework subproject is an implementation
 of the OSGi R4.2 core framework specification.

Package: libfelix-framework-java-doc
Description-md5: 200677704d4aec44b2549189712c884d
Description-en: Javadoc API documentation for the Felix Framework subproject
 The Felix Framework subproject is an implementation
 of the OSGi R4.2 core framework specification.
 This package contains Javadoc API documentation.

Package: libfelix-gogo-command-java
Description-md5: 743e6a14b28a480b98e738d40a9814ac
Description-en: Apache Felix Gogo Command bundle
 Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi
 RFC 147, which describes a standard shell for OSGi-based environments.
 .
 Command bundle of Gogo subproject implements a set of basic commands.

Package: libfelix-gogo-command-java-doc
Description-md5: 0bfc0b59f1298e489f80d49774bb4e9c
Description-en: Documentation for Apache Felix Gogo Command bundle
 Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi
 RFC 147, which describes a standard shell for OSGi-based environments.
 .
 Command bundle of Gogo subproject implements a set of basic commands.
 .
 This package contains the API documentation of libfelix-gogo-command-java.

Package: libfelix-gogo-runtime-java
Description-md5: b3dabfad590a41f69acc0f834248137e
Description-en: Apache Felix Gogo Runtime bundle
 Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi
 RFC 147, which describes a standard shell for OSGi-based environments.
 .
 Runtime bundle of Gogo subproject implements the core command processing
 functionality.

Package: libfelix-gogo-runtime-java-doc
Description-md5: 9bb3f34e44d022eccd8b5d214fdcf51b
Description-en: Documentation for Apache Felix Gogo Runtime bundle
 Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi
 RFC 147, which describes a standard shell for OSGi-based environments.
 .
 Runtime bundle of Gogo subproject implements the core command processing
 functionality.
 .
 This package contains the API documentation of libfelix-gogo-runtime-java.

Package: libfelix-gogo-shell-java
Description-md5: afe14b2a54a9132cdf072eaa15ba5986
Description-en: Apache Felix Gogo Shell bundle
 Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi
 RFC 147, which describes a standard shell for OSGi-based environments.
 .
 Shell bundle of Gogo subproject provides a simple textual user interface
 to interact with the command processor.

Package: libfelix-gogo-shell-java-doc
Description-md5: 4f99c7ea3c80110b92605ed7c0a14c33
Description-en: Documentation for Apache Felix Gogo Shell bundle
 Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi
 RFC 147, which describes a standard shell for OSGi-based environments.
 .
 Shell bundle of Gogo subproject provides a simple textual user interface
 to interact with the command processor.
 .
 This package contains the API documentation of libfelix-gogo-shell-java.

Package: libfelix-main-java
Description-md5: 30b6fc6b37c2a1051a0627e46b0e8dbf
Description-en: Libraries to instantiate and execute the Felix Framework
 The Felix Framework subproject is an implementation
 of the OSGi R4.2 core framework specification.

Package: libfelix-main-java-doc
Description-md5: b239a83c37240cd0a858345fe12383ce
Description-en: Libraries to instantiate and execute OSGi Felix Framework - doc
 The Felix Framework subproject is an implementation
 of the OSGi R4.2 core framework specification.
 .
 This package contains Javadoc API documentation.

Package: libfelix-osgi-obr-java
Description-md5: 6109d61a016b9b5a1e62a5cf8495f48c
Description-en: OSGi OBR Service API
 The goal of the Apache Felix OSGi Bundle Repository (OBR) is two-fold:
 1. To simplify deploying and using available bundles with Felix.
 2. To encourage independent bundle development so that communities of
 interest can grow.

Package: libfelix-osgi-obr-java-doc
Description-md5: a1748a4097d25c42073e43d78ff88b36
Description-en: Javadoc API for OSGi OBR Service API
 The goal of the Apache Felix OSGi Bundle Repository (OBR) is two-fold:
 1. To simplify deploying and using available bundles with Felix.
 2. To encourage independent bundle development so that communities of
 interest can grow.
 This package contains Javadoc API documentation.

Package: libfelix-resolver-java
Description-md5: 4e088098684a28bdf43b1a79bd9601cf
Description-en: OSGi Resolver implementation by Apache Felix
 Apache Felix is a community effort to implement the OSGi Framework and Service
 platform and other interesting OSGi-related technologies under the Apache
 license. The OSGi specifications originally targeted embedded devices and home
 services gateways, but they are ideally suited for any project interested in
 the principles of modularity, component-orientation, and/or
 service-orientation. OSGi technology combines aspects of these aforementioned
 principles to define a dynamic service deployment framework that is amenable
 to remote management.
 .
 This package provides the Resolver subproject.

Package: libfelix-shell-java
Description-md5: 23c5d14a6020488a75696b9756a4da84
Description-en: Felix OSGi shell to issue commands to the framework
 The Felix project is an implementation
 of the OSGi R4.2 core framework specification.
 .
 OSGi framework is a module system and service platform
 for the Java programming language that implements
 a complete and dynamic component model.
 .
 This subproject defines a shell service for creating and
 executing arbitrary commands into Apache Felix.

Package: libfelix-shell-java-doc
Description-md5: 67746cb7a09cf617b10a337f6cac43bd
Description-en: Felix OSGi shell - documentation
 The Felix project is an implementation
 of the OSGi R4.2 core framework specification.
 .
 OSGi framework is a module system and service platform
 for the Java programming language that implements
 a complete and dynamic component model.
 .
 This package contains Javadoc API documentation for libfelix-shell-java.

Package: libfelix-shell-tui-java
Description-md5: caebb33ca15ae02a0091032c36b0dd5f
Description-en: Apache Felix Shell TUI
 The Felix project is an implementation
 of the OSGi R4.2 core framework specification.
 .
 OSGi framework is a module system and service platform
 for the Java programming language that implements
 a complete and dynamic component model.
 .
 This subproject provide a simple command line interface
 to act as a shell for Felix.

Package: libfelix-shell-tui-java-doc
Description-md5: 1486b0b5dba7e43c9308a8c73a642033
Description-en: Documentation for Apache Felix Shell TUI
 The Felix project is an implementation
 of the OSGi R4.2 core framework specification.
 .
 OSGi framework is a module system and service platform
 for the Java programming language that implements
 a complete and dynamic component model.
 .
 This subproject provide a simple command line interface
 to act as a shell for Felix.
 .
 This package contains the API documentation of libfelix-shell-tui-java.

Package: libfelix-utils-java
Description-md5: 904e2c57239872b12ee7865949891f89
Description-en: collection of utility classes for Apache Felix
 The Felix project is an implementation
 of the OSGi R4.2 core framework specification.
 .
 OSGi framework is a module system and service platform
 for the Java programming language that implements
 a complete and dynamic component model.
 .
 This subproject provides a collection of utility classes used
 by others components of Apache Felix.

Package: libfelix-utils-java-doc
Description-md5: 83911d44c222d1ae49a0ad37b0f6978b
Description-en: collection of utility classes for Apache Felix - documentation
 The Felix project is an implementation
 of the OSGi R4.2 core framework specification.
 .
 OSGi framework is a module system and service platform
 for the Java programming language that implements
 a complete and dynamic component model.
 .
 This package contains Javadoc API documentation.

Package: libfennec-lite-perl
Description-md5: 16454b70c177c691791897ab4f7d237c
Description-en: minimalist Fennec implementation
 Fennec, a Perl test helper providing RSPEC, Workflows, Parallelization, and
 Encapsulation, does a ton, but it may be hard to adopt it all at once. It
 also is a large project, and has not yet been fully split into component
 projects. Fennec::Lite takes a minimalist approach to do for Fennec what
 Mouse does for Moose.
 .
 Fennec::Lite is a single module file with no non-core dependencies. It does
 not cover any of the more advanced features such as result capturing or SPEC
 workflows. This module only covers test grouping and group randomization. You
 can also use the FENNEC_ITEM variable with a group name or line number to run
 a specific test group only. Test::Builder is used under the hood for TAP
 output.

Package: libfennec-perl
Description-md5: 42aceb92314dea47f603076f371a024f
Description-en: Perl module providing RSPEC, Workflows, Parallelization, and Encapsulation
 Fennec has been deprecated in favor of Test2::Suite, specificaly
 Test2::Tools::Spec and Test2::Bundle::SpecDeclare.
 .
 Fennec started as a project to improve the state of testing in Perl. Fennec
 looks to existing solutions for most problems, so long as the existing
 solutions help meet the features listed below:
  * You can fork, and run assertions (tests) in both processes,
  * Encapsulated test groups can be run individually, without running the
    entire file,
  * Tests groups can be sorted, randomized, or sorted via a custom method,
  * Fennec is compatible with Test::Builder based tools,
  * Test::Builder2 supportis in-place, but experimental,
  * Fennec is configurable to work on alternatives to Test::Builder,
  * You do not need to put anything such as done_testing() at the end of your
    test file,
  * You do not need to worry about test counts,
  * Diagnostic messages are grouped with the failed test.

Package: libfest-assert-java
Description-md5: 7c6c1787d672a5c8ec60564e2e23decd
Description-en: Java library that provides a fluent interface for writing assertions
 FEST Assertions is a Java library that provides a fluent interface
 for writing assertions. It's main goal is to improve test code
 readability and make maintenance of tests easier.  FEST Assertions can
 be used with either JUnit or TestNG.
 .
 This package contains common utilities used in all FEST packages.

Package: libfest-assert-java-doc
Description-md5: e28706b47617dd65eb0716601b8c99b8
Description-en: Documentation for libfest-assert-java
 FEST Assertions is a Java library that provides a fluent interface
 for writing assertions. It's main goal is to improve test code
 readability and make maintenance of tests easier.  FEST Assertions can
 be used with either JUnit or TestNG.
 .
 This package contains the API documentation of libfest-assert.

Package: libfest-reflect-java
Description-md5: 949f2303c6b68d09af5b264346f45a80
Description-en: Java library for fluent reflection
 FEST-Reflect provides an intuitive, compact and type-safe fluent API
 that makes Java reflection tremendously easy to use: no more casting,
 checked exceptions, PriviledgedActions or calls to setAccessible. FEST’s
 reflection module can even overcome the limitations of generics and type
 erasure.

Package: libfest-reflect-java-doc
Description-md5: 88ff614eaf35b204bdaa57a2ab0a8876
Description-en: Documentation for libfest-reflect-java
 FEST-Reflect provides an intuitive, compact and type-safe fluent API
 that makes Java reflection tremendously easy to use: no more casting,
 checked exceptions, PriviledgedActions or calls to setAccessible. FEST’s
 reflection module can even overcome the limitations of generics and type
 erasure.
 .
 This package contains the API documentation of libfest-reflect.

Package: libfest-test-java
Description-md5: f9233be9c0939ec7657dbe60c6ce8bd9
Description-en: common testing code for FEST (Fixtures for Easy Software Testing) packages
 FEST Assertions is a Java library that provides a fluent interface
 for writing assertions. It's main goal is to improve test code
 readability and make maintenance of tests easier. FEST Assertions can
 be used with either JUnit or TestNG.
 .
 This package contains common test utilities used for building other
 packages in the FEST framework.

Package: libfest-test-java-doc
Description-md5: f37c9ae2aee793c4f74ffb332bd57f85
Description-en: Documentation for libfest-test-java
 FEST Assertions is a Java library that provides a fluent interface
 for writing assertions. It's main goal is to improve test code
 readability and make maintenance of tests easier. FEST Assertions can
 be used with either JUnit or TestNG.
 .
 This package contains the API documentation of libfest-test.

Package: libfest-util-java
Description-md5: fac1100e799e994727525491d5776498
Description-en: common utilities for FEST (Fixtures for Easy Software Testing) packages
 FEST Assertions is a Java library that provides a fluent interface
 for writing assertions. It's main goal is to improve test code
 readability and make maintenance of tests easier.  FEST Assertions can
 be used with either JUnit or TestNG.
 .
 This package contains common utilities used in all FEST packages.

Package: libfest-util-java-doc
Description-md5: d7079d3dcbad18a9ec5093820a95aa33
Description-en: Documentation for libfest-util-java
 FEST Assertions is a Java library that provides a fluent interface
 for writing assertions. It's main goal is to improve test code
 readability and make maintenance of tests easier.  FEST Assertions can
 be used with either JUnit or TestNG.
 .
 This package contains the API documentation of libfest-common.

Package: libffado-dev
Description-md5: 9d3a45b6227b7fe7aebb546d9d19f3a2
Description-en: FFADO API - development files
 FFADO is a Linux driver for FireWire (IEEE1394) audio devices.
 .
 The FFADO library permits discovering and configuring such
 devices and provides an API for streaming clients.
 .
 This package holds the development files.

Package: libffado2
Description-md5: 4c331f90e31074719b77f3d0b71de841
Description-en: FFADO API
 FFADO is a Linux driver for FireWire (IEEE1394) audio devices.
 .
 The FFADO library permits discovering and configuring such
 devices and provides an API for streaming clients.
 .
 This package holds the shared library.

Package: libffcall-dev
Description-md5: 93dd4300d6786d7df863cf8516fa9909
Description-en: foreign function call libraries - development files
 ffcall is a collection of libraries which can be used to build
 foreign function call interfaces in embedded interpreters.
 .
 The main libffcall library consists of two parts:
 .
    avcall - calling C functions with variable arguments
 .
    callback - closures with variable arguments as first-class C functions
 .
 The avcall and callback modules are also provided as separate
 libraries, but those are deprecated and are installed only for backward
 compatibility.
 .
 Two other libraries are provided:
 .
    vacall - C functions accepting variable argument prototypes
    (non-reentrant variant of part of 'callback')
 .
    trampoline - closures as first-class C functions
    (non-reentrant variant of part of 'callback')
 .
 This package also includes documentation, in HTML format and as manual pages.

Package: libffcall1-dev
Description-md5: d9ca2c827b68f90f5c8e20a2985b7be7
Description-en: foreign function call libraries - transitional package
 This transitional package can be safely removed once libffcall-dev has been
 installed.

Package: libffcall1b
Description-md5: 02ebadc04d7e7e0f6e63e052ae71705d
Description-en: foreign function call libraries - main shared library
 ffcall is a collection of libraries which can be used to build
 foreign function call interfaces in embedded interpreters.
 .
 This package installs a shared version of the main libffcall library,
 which consists of two parts:
 .
    avcall - calling C functions with variable arguments
 .
    callback - closures with variable arguments as first-class C functions

Package: libffi-checklib-perl
Description-md5: 2c87242878d282ef833c8517ffb6e155
Description-en: module to check availability of a library for FFI
 FFI::CheckLib checks whether a particular dynamic library is available for
 FFI to use. It is modeled heavily on Devel::CheckLib, but will find dynamic
 libraries even when development packages are not installed. It also provides
 a find_lib function that will return the full path to the found dynamic
 library, which can be feed directly into FFI::Platypus or FFI::Raw.
 .
 Although intended mainly for FFI modules via FFI::Platypus and similar, this
 module does not actually use any FFI to do its detection and probing.

Package: libffi-platypus-perl
Description-md5: 914cd720b2e383491c57062ff36dcc99
Description-en: module to create Perl bindings to non-Perl libraries with FFI
 FFI::Platypus is a library for creating interfaces to machine code libraries
 written in languages like C, C++, Fortran, Rust, Pascal. Essentially anything
 that gets compiled into machine code. This implementation uses libffi to
 accomplish this task. libffi is battle tested by a number of other scripting
 and virtual machine languages, such as Python and Ruby to serve a similar
 role.

Package: libffindex0
Description-md5: 8e51c2b4dcfee1f9473492981967e475
Description-en: library for simple index/database for huge amounts of small files
 FFindex is a very simple index/database for huge amounts of small files. The
 files are stored concatenated in one big data file, separated by '\0'. A
 second file contains a plain text index, giving name, offset and length of
 the small files. The lookup is currently done with a binary search on an
 array made from the index file.
 .
 This package provides the shared library.

Package: libffindex0-dev
Description-md5: 2e1a5431a7e2882cda8dd362295e7ead
Description-en: library for simple index/database for huge amounts of small files (development)
 FFindex is a very simple index/database for huge amounts of small files. The
 files are stored concatenated in one big data file, separated by '\0'. A
 second file contains a plain text index, giving name, offset and length of
 the small files. The lookup is currently done with a binary search on an
 array made from the index file.
 .
 This package contains the header files and documentation needed to develop
 applications with libffindex.

Package: libffmpeg-nvenc-dev
Description-md5: 925b635fc9231bd621864dac44bcbf0a
Description-en: FFmpeg headers for interfacing with NVIDIA's codec APIs

Package: libffmpeg-ocaml
Description-md5: 658b882e2e2dc8d0e3842d2f76cb30e0
Description-en: OCaml interface for FFmpeg -- runtime files
 The modules currently available are:
 Av: the module containing demuxers and muxers for reading and
 writing multimedia container formats.
 Avcodec: the module containing decoders and encoders for audio,
 video and subtitle codecs.
 Swresample: the module performing audio resampling, rematrixing
 and sample format conversion operations.
 Swscale: the module performing image scaling and color
 space/pixel format conversion operations.
 Avdevice: the module containing input and output devices for
 grabbing from and rendering to many common multimedia
 input/output software frameworks.
 .
 This package contains only the shared runtime stub libraries

Package: libffmpeg-ocaml-dev
Description-md5: 9421cec7b3f92d69494cd1ff31c38e1e
Description-en: OCaml interface for FFmpeg -- development files
 The modules currently available are:
 Av: the module containing demuxers and muxers for reading and
 writing multimedia container formats.
 Avcodec: the module containing decoders and encoders for audio,
 video and subtitle codecs.
 Swresample: the module performing audio resampling, rematrixing
 and sample format conversion operations.
 Swscale: the module performing image scaling and color
 space/pixel format conversion operations.
 Avdevice: the module containing input and output devices for
 grabbing from and rendering to many common multimedia
 input/output software frameworks.
 .
 This package contains all the development stuff you need to develop
 OCaml programs whcich use ocaml-ffmpeg.

Package: libffmpegthumbnailer-dev
Description-md5: 9ef8cb4c6ff2307cf16b3fa32390586b
Description-en: development files for ffmpegthumbnailer
 FFmpegthumbnailer is a lightweight video thumbnailer that can be used by file
 managers to create thumbnails for your video files. The thumbnailer uses ffmpeg
 to decode frames from the video files, so supported videoformats depend on the
 configuration flags of ffmpeg.
 .
 This package contains the development files distributed with ffmpegthumbnailer.

Package: libffmpegthumbnailer4v5
Description-md5: 756d9a238963548499e08c59d36f8749
Description-en: shared library for ffmpegthumbnailer
 FFmpegthumbnailer is a lightweight video thumbnailer that can be used by file
 managers to create thumbnails for your video files. The thumbnailer uses ffmpeg
 to decode frames from the video files, so supported videoformats depend on the
 configuration flags of ffmpeg.
 .
 This package contains the shared library for ffmpegthumbnailer framework.

Package: libffms2-4
Description-md5: a1cca7fd835f34d23388f27a912df979
Description-en: Cross platform ffmpeg wrapper library
 A cross platform ffmpeg wrapper library, and some additional content for
 things ffmpeg doesn't handle well. A more friendly API and an easy way to
 say "open and decompress this, I don't care how".

Package: libffms2-dev
Description-md5: 44f41716b4c49809a59c24b888b4d800
Description-en: Development files for libffms2
 A cross platform ffmpeg wrapper library, and some additional content for
 things ffmpeg doesn't handle well. A more friendly API and an easy way to
 say "open and decompress this, I don't care how".
 .
 This package contain headers and other files needed to compile and link
 against libffms2.

Package: libfftw3-3
Description-md5: 9b4a1db0c2c723860096cb991f8d929b
Description-en: Library for computing Fast Fourier Transforms
 The FFTW library computes Fast Fourier Transforms (FFT) in one or more
 dimensions. It is extremely fast.
 This package is a transitional package depending on the packages containing
 the single and double precision libraries.
 .
 To get the static library and the header files, you need to install
 libfftw3-dev. For documentation, see libfftw3-doc.

Package: libfftw3-mpi-dev
Description-md5: 949ad157dc374d96bd9538fdde4f5944
Description-en: MPI Library for computing Fast Fourier Transforms - development
 The FFTW library computes Fast Fourier Transforms (FFT) in one or more
 dimensions. It is extremely fast. This package contains the statically linked
 library, header files and test programs.
 .
 This package contains the header files and static libraries for the
 mpi libraries. For documentation, see libfftw3-doc.

Package: libfftw3-mpi3
Description-md5: c5bacbd678deaa87a976b8848ae7546a
Description-en: MPI Library for computing Fast Fourier Transforms
 The FFTW library computes Fast Fourier Transforms (FFT) in one or more
 dimensions. It is extremely fast. This package contains the shared library
 versions of the fftw libraries in single, double and long double precisions.
 Note that on some architectures double is the same as long double in which
 case there is no long double library.
 .
 To get the static library and the header files, you need to install
 libfftw3-mpi-dev. For documentation, see libfftw3-doc.

Package: libfgetdata6
Description-md5: 5c43839330d52f7d65d59a1253049f35
Description-en: library to read/write dirfile data - Fortran 77 bindings
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: libfield3d-dev
Description-md5: 56d4ad5ba11815908e113f831d546428
Description-en: development files for Field3D
 Field3D is an open source library for storing voxel data.
 .
 It provides C++ classes that handle in-memory storage and a file format
 based on HDF5 that allows the C++ objects to be written to and read from
 disk.
 .
 This package contains the development files.

Package: libfield3d1.7
Description-md5: e97de019bb689caa4919f436eb9be0d2
Description-en: library for storing voxel data on disk and in memory
 Field3D is an open source library for storing voxel data.
 .
 It provides C++ classes that handle in-memory storage and a file format
 based on HDF5 that allows the C++ objects to be written to and read from
 disk.
 .
 This package contains the shared libraries.

Package: libfieldslib-ocaml
Description-md5: f2b8d659643799fc2e905c5517e3dc48
Description-en: OCaml syntax extension that enables folding over record fields (runtime files)
 fieldslib is an OCaml syntax extension that can be used to define
 first class values representing record fields. On top of those
 values additional routines can then be automatically defined to:
 .
  - get and set record fields
  - iterate and fold over fields
  - create new record values
 .
 This package contains runtime files.

Package: libfieldslib-ocaml-dev
Description-md5: 023ce94755dc430246b7979c595f9bee
Description-en: OCaml syntax extension that enables folding over record fields (dev files)
 fieldslib is an OCaml syntax extension that can be used to define
 first class values representing record fields. On top of those
 values additional routines can then be automatically defined to:
 .
  - get and set record fields
  - iterate and fold over fields
  - create new record values
 .
 This package contains development files.

Package: libfifechan-dev
Description-md5: 30cdba71a375ed62564bca70bd4814b9
Description-en: development files for the GUI library Fifechan
 Fifechan is a lightweight cross platform GUI library written in C++
 specifically designed for games. It has a small yet powerful built in set of
 extendable GUI Widgets allowing users to create virtually unlimited types of
 widgets.
 .
 Fifechan supports rendering in SDL, OpenGL, or Allegro out of the box or it
 can be adapted to use any rendering engine the user requires. Events are
 pushed to Fifechan which allows users to use any input library they wish or
 they could use the built in input handling through either SDL input or Allegro
 input.
 .
 The primary goal for Fifechan is to keep it extendable, lightweight and still
 be powerful enough to use in all types of games out of the box.
 .
 This package contains development files for Fifechan.

Package: libfifechan0.1.5
Description-md5: 7246d281878045c231bb92b39e6c76a0
Description-en: lightweight cross platform GUI library written in C++
 Fifechan is a lightweight cross platform GUI library written in C++
 specifically designed for games. It has a small yet powerful built in set of
 extendable GUI Widgets allowing users to create virtually unlimited types of
 widgets.
 .
 This package contains the runtime libraries for Fifechan.

Package: libfile-bom-perl
Description-md5: 4149036e21ff2487e768d9644e54b573
Description-en: Perl module for handling Byte Order Marks
 File::BOM provides functions for handling Unicode Byte Order Marks, which are
 sometimes found at the beginning of some files and streams. It allows programs
 to automatically determine the original byte ordering a file was written with;
 in other words, whether the program was written in little-endian or big-endian
 byte order.
 .
 This module provides a simple way to open a file, determine its byte ordering
 and return the appropriate encoding style. Byte Order Marks are usually found
 at the beginning of a file, but in the case of a stream where this is not the
 case, this module also provides a utility to scan any filehandle for it.

Package: libfile-cache-perl
Description-md5: 10e5e8c26b733a239a554fc9fd79018c
Description-en: File::Cache, a filesystem-based object store
 File::Cache implements an object store which shares data across process
 boundaries via the filesystem. It was written to complement IPC::Cache, but
 is suitable for larger numbers of more complex objects than that module.
 .
 This module will eventually be superseded by the newer Cache::Cache.

Package: libfile-changenotify-perl
Description-md5: 9e4786f35ca97bf0e9935c4d1ffccbd5
Description-en: module to monitor files for changes
 File::ChangeNotify is a Perl module that provides a way to monitor files for
 changes in an efficient and cross-platform manner. Developers can override
 the default mechanism by subclassing the included File::ChangeNotify::Watcher
 module, allowing for platform-specific optimizations.

Package: libfile-checktree-perl
Description-md5: edb0df0c2742bbb5e8a1ba9c52143829
Description-en: module for running many filetest checks on a tree
 File::CheckTree provides a convenient way to provide a recipe of tests
 on filesystem trees in a hierachical way, using the single validate()
 function.

Package: libfile-chmod-perl
Description-md5: 6f10022198381526a7c4b494ccc5ae4e
Description-en: chmod() override with symbolic and ls-style modes
 File::chmod is a utility that allows one to bypass system calls or bit
 processing of a file's permissions. It overloads the chmod() function with
 its own that gets an octal mode, a symbolic mode, or an "ls" mode. If you
 wish not to overload chmod(), you can export symchmod() and lschmod(),
 which take, respectively, a symbolic mode and an "ls" mode.

Package: libfile-configdir-perl
Description-md5: b27a6cc3b9ea9f013bb2834279a91c0e
Description-en: get directories of configuration files
 File::ConfigDir is a helper
 for installing, reading and finding configuration file locations.
 It's intended to work in every supported Perl5 environment
 and will always try to Do The Right Thing(tm).

Package: libfile-copy-link-perl
Description-md5: 952f2ad2c490c0149163831b69bfcf95
Description-en: Perl extension for replacing a link by a copy of the linked file
 The distribution File-Copy-Link includes the modules
 File::Spec::Link and File::Copy::Link and the script
 copylink. They include routines to read and copy links.

Package: libfile-copy-recursive-perl
Description-md5: a107442d1b00e794c7a17b73e1706430
Description-en: Perl extension for recursively copying files and directories
 File::Copy::Recursive module copies and moves directories recursively
 (or single files, well... singley) to an optional depth and attempts
 to preserve each file or directory's mode.

Package: libfile-copy-recursive-reduced-perl
Description-md5: 1422945860c20aa3200f15ea9a1b84b5
Description-en: module for recursive copying of files and directories
 File::Copy::Recursive::Reduced is a Perl library which provides subroutines
 exported on request which are intended to serve as drop-in replacements for
 certain subroutines found in CPAN distribution File-Copy-Recursive.
 .
 It exports three functions on demand which are substantially equivalent to
 their File::Copy::Recursive equivalents:
 .
  *   "fcopy()"
  *   "dircopy()"
  *   "rcopy()"
 .
 These functions are quite appropriate in situations such as test suites where
 the user has full knowledge of the files, directories and symlinks to be
 recursively copied and does not need to manipulate the environment by setting
 localized versions of File::Copy::Recursive's package global variables.

Package: libfile-counterfile-perl
Description-md5: 79338e3931dc89f93e008cdbd2592643
Description-en: persistent counter class for Perl
 File::CounterFile implements a persistent counter class. Each counter is
 represented by a separate file in the file system. File locking is applied,
 so multiple processes might try to access the same counters at the same time
 without risk of counter destruction.

Package: libfile-countlines-perl
Description-md5: f826290121113f00736787200f215126
Description-en: module for efficiently counting the number of lines in a file
 perlfaq5 answers the question on how to count the number of lines in a file.
 File::CountLines is a convenient wrapper around that method, with additional
 options.
 .
 More specifically, it counts the number of line breaks rather than lines. On
 Unix systems nearlly all text files end with a newline (by convention), so
 usually the number of lines and number of line breaks is equal.

Package: libfile-data-perl
Description-md5: 7b0b5991a95a8b4577fc2fbfb964de24
Description-en: interface to file data
 File::Data wraps all the accessing of a file into a convenient set of
 calls for reading and writing data, including a simple regex interface.

Package: libfile-dircompare-perl
Description-md5: 8debe42ed79d347c4a4873ea3c539002
Description-en: Perl module to compare two directories
 File::DirCompare is a perl module to compare two directories using a
 callback, invoked for all files that are 'different' between the two
 directories, and for any files that exist only in one or other directory
 ('unique' files).

Package: libfile-dropbox-perl
Description-md5: 5185b7c5d58d6805dd85b41744a7fccb
Description-en: convenient and fast Dropbox API abstraction
 File::Dropbox provides high-level Dropbox API abstraction based on
 Tie::Handle. Code required to get access_token and access_secret for
 signed OAuth 1.0 requests or access_token for OAuth 2.0 requests is
 not included in this module. To get app_key and app_secret you need
 to register your application with Dropbox.
 .
 At this moment Dropbox API is not fully supported, File::Dropbox
 covers file read/write and directory listing methods. If you need full
 API support take look at WebService::Dropbox. File::Dropbox main
 purpose is not 100% API coverage, but simple and high-performance
 file operations.

Package: libfile-extattr-perl
Description-md5: b4c5182a3415534e4590bcc76c6dd999
Description-en: Perl interface to file system extended attributes
 File::ExtAttr provides access to file system extended attributes, as
 described in attr(5), setfattr(1) and getfattr(1).

Package: libfile-find-object-perl
Description-md5: 5ed4111aec40fabb9cb207b1d91ebca6
Description-en: object oriented File::Find replacement
 File::Find::Object does same job as File::Find but works like an object and
 with an iterator. As File::Find is not object oriented, one can't perform
 multiple searches in the same application. The second problem of File::Find
 is its file processing: after starting its main loop, one can't easily wait
 for another event and so get the next result.

Package: libfile-find-object-rule-perl
Description-md5: 777de5178af31e7afa40a8da1783c7c6
Description-en: alternative interface to File::Find::Object
 File::Find::Object::Rule is a friendlier interface to
 File::Find::Object. It allows you to build rules which specify the
 desired files and directories.

Package: libfile-find-rule-filesys-virtual-perl
Description-md5: e38427385fc834d57ab6381eb7a8b5f5
Description-en: File::Find::Rule adapted to Filesys::Virtual
 File::Find::Rule::Filesys::Virtual allows one to use the File::Find::Rule
 file finding semantics on Filesys::Virtual derived filesystems, such as
 Filesys::Virtual::Plain or Filesys::Virtual::SSH.

Package: libfile-find-rule-perl-perl
Description-md5: 2c67e603b83c64a2eba394ccb9ca2109
Description-en: Perl module for searching Perl things
 File::Find::Rule::Perl provides methods for finding various Perl distribution
 files easily, which allows one to replicate search queries usually run on CPAN
 Search or related web sites.
 .
 This module makes it easy to find out information like which Perl modules are
 included in a distribution, names of test scripts, the type of installation
 mechanism (Makefile.PL or Build.PL) and names of any other miscellaneous Perl
 scripts that are distributed. It can also be used to locate files that contain
 Perl code.

Package: libfile-find-rule-vcs-perl
Description-md5: ffc2c17457cacc5429b3e63b31fc29bd
Description-en: Perl module to exclude files/directories for Version Control Systems
 Many tools need to be equally useful both on ordinary files, and on
 code that has been checked out from revision control systems.
 .
 File::Find::Rule::VCS provides quick and convenient methods to
 File::Find::Rule for exclusion of the version control directories of
 several major Version Control Systems (currently CVS, Subversion,
 Bazaar, RCS, Git and Mercurial).

Package: libfile-find-wanted-perl
Description-md5: 567e42bb26bb4acab81017e93ae50b65
Description-en: more obvious wrapper around File::Find
 File::Find::Wanted provides a find_wanted() function, which does what
 File::Find's find() does but in a more obvious way: To get a list of all
 files ending in .jpg, simply call
 .
    @files = find_wanted( sub { -f && /\.jpg$/ }, $dir );

Package: libfile-finder-perl
Description-md5: 5e84be0bdf3c351b1eeb3f4f15a48a75
Description-en: wrapper for File::Find à la find(1)
 File::Find is great, but constructing the wanted routine can sometimes be a
 pain. File::Finder provides a wanted-writer, using syntax that is directly
 mappable to the find command's syntax.
 .
 A File::Finder object contains a hash of File::Find options, and a series of
 steps that mimic find's predicates. Initially, a File::Finder object has no
 steps. Each step method clones the previous object's options and steps, and
 then adds the new step, returning the new object. In this manner, an object
 can be grown, step by step, by chaining method calls. Furthermore, a partial
 sequence can be created and held, and used as the head of many different
 sequences.

Package: libfile-flat-perl
Description-md5: 29677637091a716629f519ecebb08747
Description-en: flat filesystem module for perl
 File::Flat implements a flat filesystem. A flat filesystem is a filesystem
 in which directories do not exist. It provides an abstraction over any normal
 filesystem which makes it appear as if directories do not exist.
 .
 In effect, it will automatically create directories as needed. This is create
 for things like install scripts and such, as you never need to worry about the
 existence of directories, just write to a file, no matter where it is.

Package: libfile-flock-perl
Description-md5: b55c387a092a9924bb0ddf089ec9ca90
Description-en: file locking with flock
 Lock files using the flock() call. Locks can be created by new'ing a
 File::Flock object and are automatically removed when the object goes
 out of scope.

Package: libfile-flock-retry-perl
Description-md5: 17d612d5353978e80dca41031b7aa359
Description-en: lightweight OO-only flock perl module with autoretry
 File::Flock::Retry is yet another flock module. It is a more
 lightweight alternative to File::Flock with some other differences:
 .
   * OO interface only
   * Autoretry (by default for 60s) when trying to acquire lock

Package: libfile-fnmatch-perl
Description-md5: d18286ebe589f44dafaac406221fb98e
Description-en: Perl module that provides simple filename and pathname matching
 File::FnMatch::fnmatch() provides simple, shell-like pattern matching.
 .
 Though considerably less powerful than regular expressions, shell patterns
 are nonetheless useful and familiar to a large audience of end-users.

Package: libfile-fu-perl
Description-md5: bb515d110144609c4209ccf124bd085d
Description-en: module for manipulating files and directories as objects
 File::Fu is a Perl module that provides an method of manipulating directories
 and files as objects, making use of operator overloading to allow for precise
 composition fo paths and support for many built-in methods. It also provides
 a way to create temporary directories and files.
 .
 The interface and style provided by this module are quite different than Perl
 built-in functions or File::Spec. The syntax is concise and errors are checked
 using exceptions, so you never need to check a return code.

Package: libfile-grep-perl
Description-md5: decb7adf8134c4d3f29ba881fa7d53e3
Description-en: module for pattern matching in a series of files
 File::Grep provides similar functionality as perl's builtin grep, map,
 and foreach commands, but iterating over a passed filelist instead of
 arrays.  While trivial, this module can provide a quick dropin when
 such functionality is needed.

Package: libfile-inplace-perl
Description-md5: 63812d1b04045528ec0375439bff7877
Description-en: Perl module to ease editing a file in-place
 File::Inplace is a perl module intended to ease the common task of editing a
 file in-place. Inspired by variations of perl's -i option, this module is
 intended for somewhat more structured and reusable editing than command line
 perl typically allows. File::Inplace endeavors to guarantee file integrity;
 that is, either all of the changes made will be saved to the file, or none
 will. It also offers functionality such as backup creation, automatic field
 splitting per-line, automatic chomping/unchomping, and aborting edits
 partially through without affecting the original file.

Package: libfile-keepass-perl
Description-md5: 2bcf961b926e5499af4bd0f978d7ee64
Description-en: interface to KeePass V1 and V2 database files
 Perl interface to KeePass (KeePassX) V1 and V2 database files. File::KeePass
 provides methods to create/write/update KeePass files.
 .
 For more information about KeePassX please have a look at the keepassx package
 in Debian.

Package: libfile-lchown-perl
Description-md5: 97e295f0d87ad9be357d97b236bb77b2
Description-en: module to modify attributes of symlinks without dereferencing them
 The regular chown system call will dereference a symlink and apply ownership
 changes to the file at which it points. Some OSes provide system calls that
 do not dereference a symlink but instead apply their changes directly to the
 named path, even if that path is a symlink (in much the same way that lstat
 will return attributes of a symlink rather than the file at which it points).
 .
 File::lchown provides a wrapper around those system calls.

Package: libfile-libmagic-perl
Description-md5: da8e17fe637b6c3dd436be9fbf734ef1
Description-en: Perl interface to libmagic for determining file type
 The File::LibMagic module is a simple perl interface to libmagic from the
 file-4.x or file-5.x package from Christos Zoulas
 (ftp://ftp.astron.com/pub/file/).
 .
 Libmagic is a library for detecting file type, and works like file(1).

Package: libfile-localizenewlines-perl
Description-md5: 185a004b9a802b0f5ff8eeaab14cd79c
Description-en: Perl module to localize the newlines for one or more files
 File::LocalizeNewlines is a module that allows users to easily fix conflicting
 newline formats (particularly Unix- and Win32-style line endings). It changes
 every end-of-line character to those of the local platform, and even corrects
 instances where multiple different newline formats are used in the same file.

Package: libfile-map-perl
Description-md5: 37e0252b7f43cd1b6f024e60f5fc5119
Description-en: Perl module providing simple and safe memory mapping
 File::Map maps files or anonymous memory into perl variables that can be read
 just like any other variable. Files are unmapped when the scalar variable is
 destroyed, even in multithreaded programs.
 .
 Mapped memory is shared between threads and forked processes, and can be
 returned to the system when no longer needed. File::Map has built-in support
 for thread synchronisation, and is safe yet fast.

Package: libfile-mmagic-perl
Description-md5: 2b4d428196c07ddb42d69fe4a85bf2d2
Description-en: Perl module to guess file type
 File-MMagic is a Perl module to guess file type from its contents like file(1)
 command.
 It has built-in magic entry exported from mod_mime_magic Apache module.

Package: libfile-mmagic-xs-perl
Description-md5: a2aecb0acdc7ee2815bd40745bdfc704
Description-en: Perl module to guess file type (à la mod_mime_magic)
 File::MMagic::XS is a port of Apache2 mod_mime_magic.c in Perl, written in
 XS with the aim of being efficient and fast, especially for applications
 that need to be run for an extended amount of time.

Package: libfile-modified-perl
Description-md5: b10b9d9e8cfaf21b1a7c52d04600df41
Description-en: module to check if files have changed
 File::Modified module is intended as a simple method for programs to detect
 whether configuration files (or modules they rely on) have changed. There are
 currently two methods of change detection implemented, mtime and MD5.
 The MD5 method will fall back to use timestamps if the Digest::MD5 module
 cannot be loaded.
 .
 There is another module, File::Signature, which has many similar features,
 so if this module doesn't do what you need, maybe File::Signature does. There
 also is quite some overlap between the two modules, code wise.

Package: libfile-monitor-lite-perl
Description-md5: ca49f525b0f1910ec71b7d62b3d98472
Description-en: module for monitoring file creation, deletion, and modification
 File::Monitor::Lite is an alternative implementation of File::Monitor. While
 File::Monitor cannot detect file creation (unless you declare file name
 first), it uses File::Find::Rule to rescan files every time when
 $monitor->check() is executed.

Package: libfile-monitor-perl
Description-md5: b0e397b78a854098dfd5868c845c7409
Description-en: module to monitor file and directory changes
 File::Monitor provides a simple interface for monitoring one or more files or
 directories and reporting any changes that are made to them.
 .
 It can:
   * Monitor existing files for changes to any of the attributes returned
     by the stat function
   * Monitor files that don't yet exist and notify you if they are created
   * Notify when a monitored file is deleted Notify when files are added or
     removed from a directory

Package: libfile-ncopy-perl
Description-md5: 51004b3e24d217b91a8e22a0e78970f0
Description-en: module for file copying like cp
 The File::NCopy module provides a function that copies files to
 directories, or a single file to another file.  It can also use a
 reference to a file handle.  The functionality is very similar to
 the cp program.

Package: libfile-next-perl
Description-md5: 29c85862c80c30cb17eecb1b106541e1
Description-en: file-finding iterator
 File::Next is an iterator-based module for finding files.  It's
 lightweight, has no dependencies, runs under taint mode, and puts your
 program more directly in control of file selection.
 .
 It's taken heavily from Mark Jason Dominus' excellent book "Higher
 Order Perl".  http://hop.perl.plover.com/

Package: libfile-nfslock-perl
Description-md5: 8edb3c71ba3daf5aa7e801ab5b4b20b9
Description-en: perl module to do NFS (or not) locking
 Program based on the concept of hard linking of files being atomic across
 NFS. This concept was mentioned in Mail::Box::Locker. Some ideas are
 taken from there -- particularly the idea of creating a random local file,
 hard linking a common file to the local file, and then checking the nlink
 status.
 .
 File::NFSLock was written to be light, generic, and fast.

Package: libfile-path-expand-perl
Description-md5: 3cd3f24556f7a772cc97707f960a67a8
Description-en: expand user directories in filenames
 File::Path::Expand expands user directories in filenames.  For the
 simple case it's no more complex than s{^~/}{$HOME/}, but for other
 cases it consults getpwent and does the right thing.

Package: libfile-path-tiny-perl
Description-md5: 71fc690d35d015bffc9c8898de5b8127
Description-en: recursive version of mkdir() and rmdir()
 The goal of File::Path::Tiny is simply to provide recursive versions of mkdir()
 and rmdir() with as little code and overhead as possible.
 .
 File::Path::Tiny is in no way meant to derogate File::Path and is in no way
 an endorsement to go out and replace all use of File::Path with
 File::Path::Tiny.

Package: libfile-pid-perl
Description-md5: 7c62bd8ef0f98f6420433292f12dbac7
Description-en: Perl module for pid file manipulation
 File::Pid manages pid files. It creates a pid file, queries the process
 within to discover if it's still running, and removes the pid file.

Package: libfile-policy-perl
Description-md5: 120a2276e5f4a2d2523383dee408dd33
Description-en: simple policy for file I/O functions
 File::Policy defines the policy for file I/O with modules such as
 File::Slurp::WithinPolicy. The purpose is to allow systems administrators to
 define locations and restrictions for applications' file I/O and give app
 developers a policy to follow. Note that the module doesn't ENFORCE the policy
 - application developers can choose to ignore it (and systems administrators
 can choose not to install their applications if they do!).
 .
 You may control which policy gets applied by creating a File::Policy::Config
 module with an IMPLEMENTATION constant. You may write your own policy as a
 module within the File::Policy:: namespace.
 .
 By default (if no File::Policy::Config is present), the File::Policy::Default
 policy gets applied which doesn't impose any restrictions and provides
 reasonable default locations for temporary and log files.
 .
 The motivation behind this module was a standard, flexible approach to allow
 a site wide file policy to be defined. This will be most useful in large
 environments where a few sysadmins are responsible for code written by many
 other people. Simply ensuring that submitted code calls check_safe() ensures
 file access is sane, reducing the amount of effort required to do a security
 audit.

Package: libfile-pushd-perl
Description-md5: dae9b74de8b1b4f233bfcf474329a0f9
Description-en: module for changing directory temporarily for a limited scope
 File::pushd does a temporary chdir that is easily and automatically reverted,
 similar to pushd in some Unix command shells. It works by creating an object
 that caches the original working directory. When the object is destroyed, the
 destructor calls chdir to revert to the original working directory. By
 storing the object in a lexical variable with a limited scope, this happens
 automatically at the end of the scope.
 .
 This is very handy when working with temporary directories for tasks like
 testing; a function is provided to streamline getting a temporary directory
 from File::Temp.

Package: libfile-queue-perl
Description-md5: b01a46858b1c32b5eb1c748747cac7a4
Description-en: Perl module providing a persistent FIFO Queue using a file
 File::Queue allows for the creation of persistent First In, First Out (FIFO)
 queue objects, saving data in a file. Queue elements can only be scalars; if
 you want to work with references or other complex data structures, you have
 to serialize them first. This module was written with speed in mind, and is
 indeed very fast, but one should take care to understand its limitations.

Package: libfile-read-perl
Description-md5: 09f49ed7e8a117ea8cce9346f3646a3b
Description-en: interface for reading one or more files
 File::Read mainly proposes functions for reading one or more files, with
 different options.
 .
 This module was created to address a quite specific need: reading many files,
 some as a normal user and others as root, and eventually do a little more
 processing, all while being at the same time compatible with Perl 5.004.
 File::Slurp addresses the first point, but not the others, hence the creation
 of File::Read. If you don't need reading files as root or the post-processing
 features, then it's faster to directly use File::Slurp.

Package: libfile-readbackwards-perl
Description-md5: cf2cdb67f1ca5aafbb6e77da1f7e8be6
Description-en: Perl module for reading a file backwards by lines
 File::ReadBackwards reads a file backwards line by line. It is simple to use,
 memory efficient and fast. It supports both an object and a tied handle
 interface.
 .
 It is intended for processing log and other similar text files which
 typically have their newest entries appended to them. By default files
 are assumed to be plain text and have a line ending appropriate to the
 OS. But you can set the input record separator string on a per file
 basis.

Package: libfile-remove-perl
Description-md5: f2d0713273291555ac69f343cc9c92e9
Description-en: Perl module to remove files or directories
 File::Remove::remove removes files and directories.  It acts like
 /bin/rm, for the most part.  Although unlink can be given a list
 of files, it will not remove directories; this module remedies that.
 It also accepts wildcards, * and ?, as arguments for filenames.

Package: libfile-rsync-perl
Description-md5: c3b0cb6266f1cbd602af6250ccdaf079
Description-en: Perl module interface to rsync
 File::Rsync provides a convenience wrapper for the rsync program. Options
 may be passed in the form of a hash and are the same as the long options
 in rsync with the leading double-dash removed. Any options passed at
 creation are stored in the object as defaults for all future exec calls on
 that object.

Package: libfile-save-home-perl
Description-md5: 9513ff56bf5d31aa3f02fbefe430d2a6
Description-en: Perl extension to place file safely under user home directory
 File::Save::Home provides several functions which try to determine
 whether you can, indeed, safely create directories and files underneath
 a user's home directory. Among other things, if you are placing a file
 in such a location only temporarily -- say, for testing purposes -- you
 can temporarily hide any already existing file with the same name and
 restore it to its original name and timestamps when you are done.

Package: libfile-searchpath-perl
Description-md5: 137d4f9ed2d3a0d0b2574bd20e8dffbd
Description-en: Perl module for searching for a file in a PATH-like variable
 File::SearchPath provides the ability to search a path-like environment
 variable such as $PATH, $LD_LIBRARY_PATH (or any environment variable)
 for a file (that does not necessarily have to be an executable).

Package: libfile-share-perl
Description-md5: 72c88305822c5e9fbb3c81e786e52213
Description-en: replacement for File::ShareDir to deal with a development environment
 File::Share is a drop-in replacement for File::ShareDir. It supports the
 dist_dir and dist_file functions, except these functions have been enhanced
 to understand when the developer's local ./share/ directory should be used.

Package: libfile-sharedir-install-perl
Description-md5: d6511539f0ade5fb7280a04a2728e6aa
Description-en: module for installing read-only data files
 File::ShareDir::Install is a Perl module that allows you to install read-only
 data files from a distribution and easily locate them with File::ShareDir. It
 is similar in nature to Module::Install::Share.

Package: libfile-sharedir-par-perl
Description-md5: 935dced4b659231b04a85a4ead8404b9
Description-en: module for handling shared data in PAR archives
 File::ShareDir::PAR is a Perl module that provides a mechanism for locating
 shared data in PAR packages. By using this module's functions, the currently
 loaded .par files will be scanned before @INC, which is the same order you
 get for loading modules when PAR is in effect. If a matching path is found
 in a PAR archive, the full archive is extracted and the path returned will
 point to the extracted copy on disk.

Package: libfile-sharedir-perl
Description-md5: f89049a15c9c1ec82bcd4c1549cb4200
Description-en: module to locate non-code files during run-time
 The intent of File::ShareDir is to provide a companion to Class::Inspector and
 File::HomeDir, modules that take a process that is well-known by advanced Perl
 developers but gets a little tricky, and make it more available to the larger
 Perl community.

Package: libfile-sharedir-projectdistdir-perl
Description-md5: e63f0eb84dc590f61f2b4cd78da2a28a
Description-en: simple set-and-forget use of a share directory in the project root
 File::ShareDir::ProjectDistDir provides a simple way to make use of a
 'share' directory. During development, the directory will be
 $projectroot/share, but once installed, it will be wherever
 File::Sharedir thinks it is. Use of a directory name other than 'share'
 is also possible.

Package: libfile-slurp-perl
Description-md5: a5d3680247ec670449656cbec8684e1a
Description-en: single call read & write file routines
 File::Slurp provides some quickie routines that read from,
 append to or overwrite a file - with a single subroutine call. Their main
 intention is to save a couple of lines of code over and over again,
 but they do not do anything fancy.

Package: libfile-slurp-tiny-perl
Description-md5: 8c0dea7bae4e5e2febb369d49c067c6b
Description-en: simple, sane and efficient file slurper
 File::Slurp::Tiny provides functions for fast and correct slurping and
 spewing. All functions are optionally exported.

Package: libfile-slurp-unicode-perl
Description-md5: d215b3aa2f3aa3cc7608897cd28fb65b
Description-en: Perl module to add Unicode support for the File::Slurp package
 The File::Slurp::Unicode module wraps the standard File::Slurp
 package and adds character encoding support (defaults to UTF-8).
 .
 It exports the same functions as File::Slurp and they take all the
 same parameters plus some more for the encoding handling.

Package: libfile-slurper-perl
Description-md5: 2d54738712a35b05d7290ae2d2b92fa1
Description-en: simple, sane and efficient module to slurp a file
 File::Slurper provides functions for fast and correct slurping and spewing.
 .
 This module tries to make it as easy as possible to read and write files
 correctly and fast, hiding away all complications behind an easy intuitive
 interface.

Package: libfile-spec-native-perl
Description-md5: 9f44bd3b171bfa014a011fb4c1511e3c
Description-en: module for using native OS implementation of File::Spec from a subclass
 File::Spec::Native is a stupid hack to make the default File::Spec behavior
 available from a subclass. This can be useful when using another module that
 expects a subclass of File::Spec but you want to use the current, native OS
 format (automatically detected by File::Spec).
 .
 For example: Path::Class/as_foreign (as of version 0.24) allows you to
 translate a Path::Class object from one OS format to another. However, there
 is no way to specify that you want to translate the path into the current,
 native OS format without guessing at what that format is (which may include
 peeking into @File::Spec::ISA).
 .
 This module @ISA File::Spec.

Package: libfile-sync-perl
Description-md5: faba6cf84499e36f86c0185a9c274112
Description-en: Perl interface to sync() and fsync()
 File::Sync provides Perl interfaces to the Unix sync(2) and POSIX.1b fsync(2)
 system calls. The fsync() call is needed for putting messages into qmail
 maildirs, and sync() is included for completeness.

Package: libfile-tail-perl
Description-md5: eb699d3a500e8fdf99d89338fd66d6ea
Description-en: File::Tail perl module
 The File::Tail module is designed for reading files which
 are continuously appended to (the name comes from the tail -f
 directive). Usually such files are logfiles of some description.
 .
 The module tries hard not to busy wait on the file, dynamicaly
 calculating how long it should wait before it pays to try reading the
 file again.
 .
 The module should handle normal log truncations ("close; move; open" or
 "cat /dev/null >file") transparently, without losing any input.

Package: libfile-tee-perl
Description-md5: 78fcace079537ccc846c06e36125348a
Description-en: Perl module to duplicate streams like the tee unix command
 File::Tee is able to replicate data written to a Perl stream into another
 streams. It is the Perl equivalent of the shell utility tee(1).
 .
 It is implemented around fork, creating a new process for every tee'ed stream.
 That way, there are no problems handling the output generated by external
 programs run with system|perlfunc/system or by XS modules that don't go
 through perlio.

Package: libfile-touch-perl
Description-md5: 61d638744533365f1c01e47168729388
Description-en: Perl interface to touch-like functions
 File::Touch lets you update access and modification timestamps, creating
 nonexistent files where necessary. It also allows you to only modify one of
 the stamps, choose to not create nonexistent files, and use timestamps from
 a different file.

Package: libfile-type-perl
Description-md5: 1b85baa05ef1209851cf258e07c265da
Description-en: module to determine file type using magic structure
 File::Type uses magic numbers (typically at the start of a file) to
 determine the MIME type of that file.
 .
 File::Type can use either a filename, or file contents, to determine the
 type of a file.

Package: libfile-type-webimages-perl
Description-md5: cec32b6e594f16e73cbf5f6311686ea7
Description-en: tool for determining web image file types using magic
 File::Type::WebImages determines the file type of web images using magic.
 mime_type() can use either a filename, or file contents, to determine the
 type of a file. The process involves looking the data at the beginning of the
 file, sometimes called "magic numbers".

Package: libfile-userconfig-perl
Description-md5: 84ccb24bd87a750a0c865c671ee6c859
Description-en: provides configuration directory for applications
 Many modules or applications maintain a user-spec configuration data
 directory. And the implementation pattern is generally the same.
 .
 A directory like /home/myuser/.application is created and populating by a set
 of default files the first time an application runs, and from there on, the
 files in that directory are modified.
 .
 File::UserConfig provides standard, light and sub-classable default
 implementation of this concept that Does The Right Thing with the directory
 names.

Package: libfile-util-perl
Description-md5: 55c65feb5a65d7786f88f4fe2e5c967b
Description-en: Perl extension to handle files easily
 File::Util provides a comprehensive toolbox of utilities to automate all
 kinds of common tasks on files / directories.  Its purpose is to do so
 in the most portable manner possible so that users of this module won't
 have to worry about whether their programs will work on other OSes
 and machines.

Package: libfile-wildcard-perl
Description-md5: f3c403bf7513ffb1feb0ea001a0ba0fb
Description-en: Enhanced glob processing
 When looking at how various operating systems do filename wildcard expansion
 (globbing), VMS has a nice syntax which allows expansion and searching of
 whole directory trees. It would be nice if other operating systems had
 something like this built in. The best Unix can manage is through the utility
 program find.
 .
 File::Wildcard provides this facility to Perl. Whereas native VMS syntax uses
 the ellipsis "...", this will not fit in with POSIX filenames, as ... is a
 valid (though somewhat strange) filename. Instead, the construct "///" is
 used as this cannot syntactically be part of a filename, as you do not get
 three concurrent filename separators with nothing between (three slashes are
 used to avoid confusion with //node/path/name syntax).
 .
 You don't have to use this syntax, as you can do the splitting yourself and
 pass in an arrayref as your path.

Package: libfile-write-rotate-perl
Description-md5: 9a295e6216fd2cc99d7303f3d577a170
Description-en: module to deal with files that archive/rotate themselves
 File::Write::Rotate can be used to write to file, usually for logging, that
 can rotate itself. File will be opened in append mode. Locking will be done
 to avoid conflict when there are multiple writers. Rotation can be done by
 size (after a certain size is reached), by time (daily/monthly/yearly), or
 both.

Package: libfile-zglob-perl
Description-md5: 3b1ca131ef27e863f18b0c346f74bc02
Description-en: extended globs
 File::Zglob provides a traditional Unix glob(3) functionality; returns
 a list of pathnames that matches the given pattern.

Package: libfileclasses1
Description-md5: 81b75bf4237bab20049e3d98f9921ee7
Description-en: GDAL add-on tools to perform useful raster processing - libfileClasses
 Pktools is a collection of programs to perform operations, mostly on
 raster geolocated  images. It  heavily relies  on the  Geospatial Data
 Abstraction Library (GDAL) and OGR.  The programs are similar to the
 GDAL tools (gdalinfo, gdal_translate,  gdal_merge, ...) and some of the
 functionalities provided in pktools already exist in the GDAL tools.
 .
 All utilities in pktools use command line options and have a built
 in help, and include more than thirty binaries to edit, change, crop,
 classify, compare, dump, fill, enhance images and many other
 common operations useful in the remote sensing field of image
 analysis.
 .
 This package contains the libfileClasses shared library.

Package: libfilehandle-fmode-perl
Description-md5: 0ccf2c0dc7d718e35447bf8445764d98
Description-en: Perl module for checking filehandle status
 FileHandle::Fmode provides methods to test if a filehandle is readable,
 writable, both, or open for appending.
 .
 FileHandle::Fmode is used by other packages like DBM::Deep.

Package: libfilehandle-unget-perl
Description-md5: e6d83b10a14ce3e4ef9a5b3f6118151c
Description-en: Perl module that allows ungetting of multiple bytes
 FileHandle::Unget is a Perl Module that is a drop-in replacement for the
 standard FileHandle module. It allows more than one byte to be placed back
 on the input. It also provides ungets to unget a string, which is useful if
 the filehandle refers to a stream for which you can't just seek() backwards.

Package: libfilesys-diskspace-perl
Description-md5: 06e4d0bbf670e327a0d2a4b6a3298e4f
Description-en: fetch filesystem size and usage information from Perl
 This Perl module allows you to fetch filesystem size and usage information
 (such as the df command displays) from Perl.

Package: libfilesys-notify-simple-perl
Description-md5: 5bfb5f7955f5de00f007cf944bc2cb57
Description-en: simple file system monitor
 Filesys::Notify::Simple is a Perl module that provides a simple and unified
 interface to get notifications of changes for a given filesystem path. It can
 use inotify2 on Linux or fsevents on Mac OS X, kqueue on FreeBSD,
 FindFirstChangeNotification on Windows and otherwise falls back to a full
 directory scan.

Package: libfilesys-smbclient-perl
Description-md5: 1e2e5a4020b7f7ffd6a04e7c2366154a
Description-en: perl interface to access Samba filesystem
 This package provides the Filesys::SmbClient module. It provides
 interface to the functions in libsmbclient.so. It has functions to
 read/write/add/modify files/directories on Samba shares.

Package: libfilesys-statvfs-perl
Description-md5: 2f303cb6c9ae078a18ef05e7067fd2ab
Description-en: Perl module for the statvfs system call
 Filesys::Statvfs provides a Perl interface to the statvfs() system call.
 This function returns information about a mounted file system. The package
 also provides an interface to the very similar fstatvfs() system call.

Package: libfilesys-virtual-perl
Description-md5: 56ad6cd3ebff2389ba453662ea004d56
Description-en: Perl framework for a virtual filesystem
 Filesys::Virtual is a base class for implementations such as
 Filesys::Virtual::Plain, Filesys::Virtual::SSH or
 Filesys::Virtual::DAAP.

Package: libfilesys-virtual-plain-perl
Description-md5: 95f84e82853e4a92a9d30f50f4fcea6d
Description-en: plain virtual filesystem
 Filesys::Virtual::Plain is the most basic implementation of the virtual
 filesystem framework laid out by Filesys::Virtual. It is primarily
 meant to be used by other modules to provide a pluggable filesystem.

Package: libfilesystem-ruby
Description-md5: 8a699fcbc6b12fa8fafbc80e66f07231
Description-en: Transitional package for ruby-filesystem
 This is a transitional package to ease upgrades to the ruby-filesystem
 package. It can safely be removed.

Package: libfilesystem-ruby1.8
Description-md5: 8a699fcbc6b12fa8fafbc80e66f07231
Description-en: Transitional package for ruby-filesystem
 This is a transitional package to ease upgrades to the ruby-filesystem
 package. It can safely be removed.

Package: libfilesystem-ruby1.9
Description-md5: 8a699fcbc6b12fa8fafbc80e66f07231
Description-en: Transitional package for ruby-filesystem
 This is a transitional package to ease upgrades to the ruby-filesystem
 package. It can safely be removed.

Package: libfileutils-ocaml-dev
Description-md5: fcafb7044971fc8ee34c640199bdd751
Description-en: File manipulation for OCaml
 This library provides some functions which operate on the file system
 for the Objective Caml (OCaml) programming language. The aim is to enhance
 the basic functionality provided by the OCaml standard Filename module.
 .
 It provides functions, written in pure OCaml, for manipulating files (mv, cp,
 mkdir et al) and abstract filename (make_relative, make_absolute et al).

Package: libfilezilla-dev
Description-md5: d6ad60e7bd87f0142523c6bc4d9966bd
Description-en: build high-performing platform-independent programs (development)
 Free, open source C++ library, offering some basic functionality to build
 high-performing, platform-independent programs. Some of the highlights include:
 .
  - A typesafe, multi-threaded event system that's very simple to use yet
    extremely efficient
  - Timers for periodic events
  - A datetime class that not only tracks timestamp but also their accuracy,
    which simplifies dealing with timestamps originating from different sources
  - Simple process handling for spawning child processes with redirected I/O
 .
 This package contains the development files for the library.

Package: libfilezilla0
Description-md5: 1fdecc8e602cd137efabe2d0a8469850
Description-en: build high-performing platform-independent programs (runtime lib)
 Free, open source C++ library, offering some basic functionality to build
 high-performing, platform-independent programs. Some of the highlights include:
 .
  - A typesafe, multi-threaded event system that's very simple to use yet
    extremely efficient
  - Timers for periodic events
  - A datetime class that not only tracks timestamp but also their accuracy,
    which simplifies dealing with timestamps originating from different sources
  - Simple process handling for spawning child processes with redirected I/O
 .
 This package contains the shared library.

Package: libfilter-eof-perl
Description-md5: aaab85636abdd6c58544aa7ff316d8e9
Description-en: module to run a callback after a file has been compiled
 Filter::EOF utilises Perl's source filters to provide you with a mechanism to
 run some code after a file using your module has been processed.
 .
 It could also be used for appending Perl code to that file's source.

Package: libfilter-perl
Description-md5: 38caf6fcb80a9bbde6027cdd774d0222
Description-en: Perl source filters
 Source filters alter the program text of a module before Perl sees it, much
 as a C preprocessor alters the source text of a C program before the
 compiler sees it.
 .
 This package contains a number of source filters, including:
 .
   exec and sh: pipe the source file through an external command
   cpp: pipe the source file through the C preprocessor
   decrypt: example of simple (though weak!) source obfuscation
   tee: copy filtered source to a file (debugging aid)
 .
 A substantial amount of documentation and examples is also included.

Package: libfilter-template-perl
Description-md5: c9d7acdc1078d19d3e9e539901902b28
Description-en: source filter for inline code templates (macros)
 Filter::Template is a source filter for Perl that provides a mechanism for
 defining inline source code templates. Templates can be much faster than
 subroutines, but can cause debugging to become significantly more difficult.
 Read the documentation to understand the limitations and choose wisely.

Package: libfinance-bank-ie-permanenttsb-perl
Description-md5: f9be41aea3881b6193421afa7dec5905
Description-en: perl interface to the PermanentTSB Open24 homebanking
 Finance::Bank::IE::PermanentTSB provides a set of functions which can be
 used in perl programs to interact with the Open24 homebanking (www.open24.ie)
 .
 The module will allow the user to check the account balance and to display
 an account statement for a particular time range.
 .
 This package also includes a CLI tool (ptsb), which will provide the same
 functionalities of the module and will also use gnuplot to draw graphs
 about the status of a bank account.

Package: libfinance-qif-perl
Description-md5: 6b2f160e0c5220806b190d35f6b3a1cd
Description-en: Parse and create Quicken Interchange Format files
 Finance::QIF is a module for working with QIF (Quicken Interchange Format)
 files in Perl. Finance::QIF reads QIF data records from a file passing each
 successive record to the caller for processing. This module also has the
 capability of writing QIF records to a file.
 .
 The QIF file format typically consists of a header containing a record or
 transaction type, followed by associated data records. Within a file there
 may be multiple headers. Headers are usually followed by data records,
 however data is not required to always follow a header.
 .
 A hash reference is returned for each record read from a file. The hash will
 have a "header" value which contains the header type that was read along with
 all supported values found for that record. If a value is not specified in
 the data file, the value will not exist in this hash.

Package: libfinance-quote-perl
Description-md5: fa2441c347cfb317f2ca880723e74fa1
Description-en: Perl module for retrieving stock quotes from a variety of sources
 Finance::Quote gets stock quotes from various internet sources, including
 Yahoo! Finance, Fidelity Investments, and the Australian Stock Exchange.
 There are two methods of using this module -- a functional interface
 that is depreciated, and an object-orientated method that provides
 greater flexibility and stability.

Package: libfinance-quotehist-perl
Description-md5: 5d8512aaa2b90bcb641bd5d7c0414ea2
Description-en: Perl modules for fetching historical stock quotes from the web
 The Finance::QuoteHist bundle contains several modules designed to fetch
 historical stock quotes from the web.  This includes modules to retrieve
 information from Yahoo, Yahoo Australia, Google, and DailyFinance.

Package: libfinance-streamer-perl
Description-md5: b2bd7c19d960c5bf8d3d3a9e9b939548
Description-en: Perl5 module with interface to Datek Streamer
 Finance::Streamer provides an interface that can be used to access data
 provided by the Datek Streamer data feed.
 .
 It works with the new Streamer (version 3) as opposed to the older
 (version 2).

Package: libfinance-yahooquote-perl
Description-md5: eb18a07628733536142cbafb30073597
Description-en: Perl module for retrieving stock quotes from Yahoo! Finance
 This is a Perl module to retrieve one or more quotes for stocks, mutual
 funds, exchange rates, options, ... from Yahoo! Finance, covering most
 (if not all) of the global Yahoo! Finance sites.

Package: libfind-lib-perl
Description-md5: bb132fd9b5178e77284a411169f9415b
Description-en: Perl module to intelligently find libraries
 Find::Lib is a module aimed at finding and loading libraries in paths relative
 to the currently running script. It is particularly useful for test scripts,
 when there are test helper modules included in the distribution that are needed
 for testing but should not be installed on the system.
 .
 It simplifies the finding and loading these special libraries, which can be a
 tedious and error-prone process, especially when new modules are updated. This
 module is generally used to locate and load a bundled "bootstrapping" module
 which then loads the required dependencies.

Package: libfindbin-libs-perl
Description-md5: 402962d0bbc14c442efadc5c04a5c09b
Description-en: Perl module to use a relative path for lib directories
 FindBin::libs is a Perl module to locate and 'use lib' or export
 directories based on $FindBin::Bin.
 .
 This allows using libraries stored in paths relative to the program,
 which is a useful feature for developers.

Package: libfindbugs-annotations-java
Description-md5: 07efa562526e9bf0395ebbf963f666bf
Description-en: Static java code analyzer to find bugs (Annotations)
 FindBugs is a program which uses static analysis to look for bugs in Java
 code. It can analyze programs compiled for any version of Java, from 1.0
 to 1.8.
 .
 This package contains the FindBugs annotations.

Package: libfindbugs-ant-java
Description-md5: 3b6978d2d5e820811e5d3e4b110f62bd
Description-en: Static java code analyzer to find bugs (Ant tasks)
 FindBugs is a program which uses static analysis to look for bugs in Java
 code. It can analyze programs compiled for any version of Java, from 1.0
 to 1.8.
 .
 This package contains the FindBugs Ant tasks.

Package: libfindbugs-java
Description-md5: 7461bf64c06e6fd437d4b79a648faf24
Description-en: Static java code analyzer to find bugs (Library)
 FindBugs is a program which uses static analysis to look for bugs in Java
 code. It can analyze programs compiled for any version of Java, from 1.0
 to 1.8.
 .
 This package contains the FindBugs library.

Package: libfindlib-ocaml
Description-md5: 01a205b5d1b557788906974aab0a0eb1
Description-en: library for managing OCaml libraries (runtime)
 The "findlib" OCaml library provides a scheme to manage reusable
 software components (packages), and includes tools that support this
 scheme. Packages are collections of OCaml modules for which
 metainformation can be stored. The packages are kept in the
 filesystem hierarchy, but with strict directory structure. The
 library contains functions to look the directory up that stores a
 package, to query metainformation about a package, and to retrieve
 dependency information about multiple packages.
 .
 This package contains files that are or may be needed at runtime by
 programs using findlib (META files and dynamically-loadable files).

Package: libfindlib-ocaml-dev
Description-md5: caf97965ee3f3c53c2568ee3fc1f5f9f
Description-en: library for managing OCaml libraries (development files)
 The "findlib" OCaml library provides a scheme to manage reusable
 software components (packages), and includes tools that support this
 scheme. Packages are collections of OCaml modules for which
 metainformation can be stored. The packages are kept in the
 filesystem hierarchy, but with strict directory structure. The
 library contains functions to look the directory up that stores a
 package, to query metainformation about a package, and to retrieve
 dependency information about multiple packages.
 .
 This package contains development files needed to compile programs
 using findlib.

Package: libfishsound1
Description-md5: 545bf44599c6a8b3ebdb61d8430482e8
Description-en: simple API that wraps Xiph.Org audio codecs
 libfishsound is a wrapper around the existing codec libraries and
 provides a consistent, higher-level programming interface. It has been
 designed for use in a wide variety of applications; it has no direct
 dependencies on Annodex or Ogg encapsulation, though it is most commonly
 used in conjunction with liboggz to decode or encode Ogg encapsulated
 Vorbis or Speex files.

Package: libfishsound1-dev
Description-md5: a65df897d4ff974a579d51aac4f374da
Description-en: simple API that wraps Xiph.Org audio codecs (development files)
 libfishsound is a wrapper around the existing codec libraries and
 provides a consistent, higher-level programming interface. It has been
 designed for use in a wide variety of applications; it has no direct
 dependencies on Annodex or Ogg encapsulation, though it is most commonly
 used in conjunction with liboggz to decode or encode Ogg encapsulated
 Vorbis or Speex files.
 .
 This package contains the header files and static libraries required for
 developing applications that use libfishsound.

Package: libfits-java
Description-md5: 5953648b4bdc2ec79c22d5db07c0325c
Description-en: Java library for the I/O handling of FITS files
 FITS (Flexible Image Transport System) is the standard
 data format in astronomy used for the transport, analysis,
 and archival storage of scientific data sets.
 .
 This library provides efficient I/O for FITS images and
 binary tables. All basic FITS formats and gzip compressed
 files are supported.

Package: libfits-java-doc
Description-md5: cfadf348edc4cdf0e08e7d11d9fbd6d3
Description-en: Java library for the I/O handling of FITS files (javadoc)
 FITS (Flexible Image Transport System) is the standard
 data format in astronomy used for the transport, analysis,
 and archival storage of scientific data sets.
 .
 This library provides efficient I/O for FITS images and
 binary tables. All basic FITS formats and gzip compressed
 files are supported.
 .
 This package contains the JavaDoc documentation of the package.

Package: libfiu-dev
Description-md5: d22f90ef46dd1ecedffc8eb56b6592d5
Description-en: userspace fault injection framework (development libraries)
 libfiu is a C library for fault injection. It provides functions to mark
 "points of failure" inside your code (the "core API"), and functions to
 enable/disable the failure of those points (the "control API").
 .
 This package contains the development libraries and documentation in HTML and
 reStructuredText formats.

Package: libfiu0
Description-md5: a56ef3514f911250aae641ee5fe2eb7c
Description-en: userspace fault injection framework
 libfiu is a C library for fault injection. It provides functions to mark
 "points of failure" inside your code (the "core API"), and functions to
 enable/disable the failure of those points (the "control API").
 .
 This package contains the libfiu shared library. To perform fault injection,
 you will require the "libfiu-dev" package.

Package: libfixbuf-dev
Description-md5: 48f7b7fe283798033bd81d2433e066c0
Description-en: Implementation of the IPFIX protocol - development headers
 libfixbuf is a compliant implementation of the IPFIX Protocol, as defined in
 RFC 5101. It supports the information model defined in RFC 5102, extended as
 proposed by RFC 5103 to support information elements for representing biflows.
 libfixbuf supports UDP, TCP, SCTP, TLS over TCP, and Spread as transport
 protocols. It also supports operation as an IPFIX File Writer or IPFIX File
 Reader.
 .
 These are the development headers.

Package: libfixbuf-doc
Description-md5: d00b5d834ddb72a5fdc0eac38fc7cbe0
Description-en: Implementation of the IPFIX protocol - documentation
 libfixbuf is a compliant implementation of the IPFIX Protocol, as defined in
 RFC 5101. It supports the information model defined in RFC 5102, extended as
 proposed by RFC 5103 to support information elements for representing biflows.
 libfixbuf supports UDP, TCP, SCTP, TLS over TCP, and Spread as transport
 protocols. It also supports operation as an IPFIX File Writer or IPFIX File
 Reader.
 .
 This is the documentation package.

Package: libfixbuf-tools
Description-md5: b2bc2faa3dac2c4e9a7062f5992ca04a
Description-en: Implementation of the IPFIX protocol - tools
 libfixbuf is a compliant implementation of the IPFIX Protocol, as defined in
 RFC 5101. It supports the information model defined in RFC 5102, extended as
 proposed by RFC 5103 to support information elements for representing biflows.
 libfixbuf supports UDP, TCP, SCTP, TLS over TCP, and Spread as transport
 protocols. It also supports operation as an IPFIX File Writer or IPFIX File
 Reader.
 .
 ipfixDump is a command line tool for printing the contents of an IPFIX
 file as text.

Package: libfixbuf9
Description-md5: b733ff152f23840a902b31ad42c910d3
Description-en: Implementation of the IPFIX protocol - shared library
 libfixbuf is a compliant implementation of the IPFIX Protocol, as defined in
 RFC 5101. It supports the information model defined in RFC 5102, extended as
 proposed by RFC 5103 to support information elements for representing biflows.
 libfixbuf supports UDP, TCP, SCTP, TLS over TCP, and Spread as transport
 protocols. It also supports operation as an IPFIX File Writer or IPFIX File
 Reader.
 .
 This is the shared library.

Package: libfixposix-dev
Description-md5: cc99bd5cc5a02019c189845e935503d8
Description-en: Replacement for inconsistent parts of POSIX (development)
 The purpose of libfixposix is to offer replacements for parts of
 POSIX whose behaviour is inconsistent across *NIX flavours.
 .
 This package contains the headers and static library files.

Package: libfixposix3
Description-md5: 7afa76517aff6ad9069d6df3756787f7
Description-en: Replacement for inconsistent parts of POSIX (runtime)
 The purpose of libfixposix is to offer replacements for parts of
 POSIX whose behaviour is inconsistent across *NIX flavours.
 .
 This package contains the shared library.

Package: libfizmo-common
Description-md5: 62f66825dc77cf42d4cde91f347fe179
Description-en: Provides localization data for libfizmo
 This package is required by all packages which are using libfizmo. It contains
 the localization data and hyphenation patterns. Usually there's no need to
 install this package manually.

Package: libfizmo-dev
Description-md5: b17cde9fbcd6e0cdde874e8cdb35e6df
Description-en: Z-Machine interpreter developer library
 Will handle the entire execution of Z-Machine programs and allows linked
 programs to capture and evaluate all output events. This package will allow
 you to execute Z-Machine code as specified in the Z-Machine specification
 at http://www.frobnitz.co.uk/zmachine/1.0/.

Package: libfko-doc
Description-md5: 6714bdb2b06533d6d777041d00de42f6
Description-en: FireWall KNock OPerator - documentation
 The FireWall KNock OPerator implements an authorization scheme called
 Single Packet Authorization (SPA), based on Netfilter and libpcap.
 .
 Its main application is to protect services such as OpenSSH with
 an additional layer of security in order to make the exploitation of
 vulnerabilities (both 0-day and unpatched code) much more difficult.
 .
 The authorization server passively listens for authorization packets via
 libpcap, so there is no service listening for network connections on the
 traditional port. Access to a protected service is only granted after a
 valid encrypted and non-replayed packet is detected.
 .
 This package provides the library documentation.

Package: libfko-perl
Description-md5: 73086a3cfe0bee1daad942bd040b396e
Description-en: FireWall KNock OPerator - Perl module
 The FireWall KNock OPerator implements an authorization scheme called
 Single Packet Authorization (SPA), based on Netfilter and libpcap.
 .
 Its main application is to protect services such as OpenSSH with
 an additional layer of security in order to make the exploitation of
 vulnerabilities (both 0-day and unpatched code) much more difficult.
 .
 The authorization server passively listens for authorization packets via
 libpcap, so there is no service listening for network connections on the
 traditional port. Access to a protected service is only granted after a
 valid encrypted and non-replayed packet is detected.
 .
 This package provides the FKO module as a Perl interface.

Package: libfko3
Description-md5: d7677983ff3ab8b9d2cf206fa9f0dadc
Description-en: FireWall KNock OPerator - shared library
 The FireWall KNock OPerator implements an authorization scheme called
 Single Packet Authorization (SPA), based on Netfilter and libpcap.
 .
 Its main application is to protect services such as OpenSSH with
 an additional layer of security in order to make the exploitation of
 vulnerabilities (both 0-day and unpatched code) much more difficult.
 .
 The authorization server passively listens for authorization packets via
 libpcap, so there is no service listening for network connections on the
 traditional port. Access to a protected service is only granted after a
 valid encrypted and non-replayed packet is detected.
 .
 This package provides the runtime library for fwknop (written inC).

Package: libfko3-dev
Description-md5: 2e9853a5e055f63ab61cb0e0f5f08f23
Description-en: FireWall KNock OPerator - development library
 The FireWall KNock OPerator implements an authorization scheme called
 Single Packet Authorization (SPA), based on Netfilter and libpcap.
 .
 Its main application is to protect services such as OpenSSH with
 an additional layer of security in order to make the exploitation of
 vulnerabilities (both 0-day and unpatched code) much more difficult.
 .
 The authorization server passively listens for authorization packets via
 libpcap, so there is no service listening for network connections on the
 traditional port. Access to a protected service is only granted after a
 valid encrypted and non-replayed packet is detected.
 .
 This package provides the development library and its headers.

Package: libflac-ocaml
Description-md5: 8c7dbac0b18d32b9a64682a390efff36
Description-en: OCaml interface to the flac library -- runtime files
 This package provides an interface to the flac library for
 OCaml programmers.
 .
 FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is
 similar to MP3, but lossless.
 .
 This package contains only the shared runtime stub libraries.

Package: libflac-ocaml-dev
Description-md5: 018445dd43b221047e9239ef5c3c13b1
Description-en: OCaml interface to the flac library -- development files
 This package provides an interface to the flac library for
 OCaml programmers.
 .
 FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is
 similar to MP3, but lossless.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-flac.

Package: libflake-dev
Description-md5: 78c751a4ccb41ac620bea7bbce565fde
Description-en: Alternative encoder for the Free Lossless Audio Codec -- Development files
 The purpose of Flake is to be an alternative to the
 FLAC reference encoder with the goal of increasing
 encoding speed and implementing experimental features.
 .
 Flake encodes WAV audio files into lossless FLAC files.
 .
 This package contains the static library and header files.

Package: libflamingo-java
Description-md5: 87c368b95e3d2b53e69097bf8c3f51cc
Description-en: Provides a swing ribbon container for Java applications
 The goal of this project is to provide a Swing implementation of the
 ribbon container and related components. The components have
 consistent visuals under the existing core and third-party
 look-and-feels, respect the DPI settings of the user desktop and
 follow the core Swing guidelines in the external APIs and the internal
 implementation details.

Package: libflamingo-java-doc
Description-md5: 402daedb68aed8540d41b18500e85cc6
Description-en: Provides a swing ribbon container for Java applications (documentation)
 The goal of this project is to provide a Swing implementation of the
 ribbon container and related components. The components have
 consistent visuals under the existing core and third-party
 look-and-feels, respect the DPI settings of the user desktop and
 follow the core Swing guidelines in the external APIs and the internal
 implementation details.
 .
 This package contains API documentation (Javadoc) for libflamingo-java.

Package: libflang-dev
Description-md5: cb5074ca55d2413937b90e06b78634f4
Description-en: flang library - Development package.
 Flang is a Fortran compiler for the LLVM toolchain.
 .
 This provides the static libraries and headers for Extension writing.

Package: libflang0d-7
Description-md5: 2955240fa3c79de76ce16aac67287f24
Description-en: Runtime libraries for the flang Fortran compiler
 Flang is a Fortran compiler for the LLVM toolchain.
 .
 This provides the flang runtime libraries.

Package: libflann-dev
Description-md5: 2a6a8eeedbaa07d628e2ab011cf84527
Description-en: Fast Library for Approximate Nearest Neighbors - development
 FLANN is a library for performing fast approximate nearest neighbor searches
 in high dimensional spaces. It contains a collection of algorithms found
 to work best for nearest neighbor search and a system for automatically
 choosing the best algorithm and optimum parameters depending on the dataset.
 .
 This package contains development files needed to build FLANN applications.

Package: libflann1.9
Description-md5: 1a91b96b6236ca31b36234e8f049f413
Description-en: Fast Library for Approximate Nearest Neighbors - runtime
 FLANN is a library for performing fast approximate nearest neighbor searches
 in high dimensional spaces. It contains a collection of algorithms found
 to work best for nearest neighbor search and a system for automatically
 choosing the best algorithm and optimum parameters depending on the dataset.
 .
 This package contains the libraries needed to run FLANN applications.

Package: libflashrom-dev
Description-md5: f20385d286ce85e2188bc09ccf245dd9
Description-en: BIOS/ROM/flash chips - development files
 flashrom is a tool for identifying, reading, writing, verifying and erasing
 flash chips. It's often used to flash BIOS/EFI/coreboot/firmware/optionROM
 images in-system using a supported mainboard, but it also supports flashing of
 network cards (NICs), SATA controller cards, and other external devices which
 can program flash chips.
 .
 It supports a wide range of DIP32, PLCC32, DIP8, SO8/SOIC8, TSOP32/40/48,
 and BGA chips, which use various protocols such as LPC, FWH, parallel
 flash, or SPI.
 .
 The tool can be used to flash BIOS/firmware images for example -- be it
 proprietary BIOS images or coreboot (previously known as LinuxBIOS) images.
 .
 It can also be used to read the current existing BIOS/firmware from a
 flash chip.
 .
 This package provides flashrom header development files.

Package: libflashrom1
Description-md5: abbadc85affc76008bc28875111987e8
Description-en: Identify, read, write, erase, and verify BIOS/ROM/flash chips - library
 flashrom is a tool for identifying, reading, writing, verifying and erasing
 flash chips. It's often used to flash BIOS/EFI/coreboot/firmware/optionROM
 images in-system using a supported mainboard, but it also supports flashing of
 network cards (NICs), SATA controller cards, and other external devices which
 can program flash chips.
 .
 It supports a wide range of DIP32, PLCC32, DIP8, SO8/SOIC8, TSOP32/40/48,
 and BGA chips, which use various protocols such as LPC, FWH, parallel
 flash, or SPI.
 .
 The tool can be used to flash BIOS/firmware images for example -- be it
 proprietary BIOS images or coreboot (previously known as LinuxBIOS) images.
 .
 It can also be used to read the current existing BIOS/firmware from a
 flash chip.
 .
 This package provides flashrom library development files.

Package: libflatbuffers-dev
Description-md5: 17428d99c2925903b9b2449d73353eec
Description-en: efficient cross platform serialization library - development files
 FlatBuffers is an efficient cross platform serialization library for C++, C#,
 C, Go, Java, JavaScript, TypeScript, PHP, and Python. It was originally
 created at Google for game development and other performance-critical
 applications.
 .
 This package contains the development headers and static libraries needed for
 writing C++ applications.

Package: libflatbuffers1
Description-md5: 0cdf8644148ce7dd2ed83b91317562e8
Description-en: efficient cross platform serialization library - shared library
 FlatBuffers is an efficient cross platform serialization library for C++, C#,
 C, Go, Java, JavaScript, TypeScript, PHP, and Python. It was originally
 created at Google for game development and other performance-critical
 applications.
 .
 This package contains the runtime library needed for C++ applications.

Package: libflatpak-dev
Description-md5: f9135201eda156c70603b021dde6c396
Description-en: Application deployment framework for desktop apps (development)
 Flatpak installs, manages and runs sandboxed desktop application bundles.
 See the flatpak package for a more comprehensive description.
 .
 This package contains development headers and pkg-config metadata
 for libflatpak0.

Package: libflatpak-doc
Description-md5: c7d59a541c5d9998f886d74daf4ac8d6
Description-en: Application deployment framework for desktop apps (documentation)
 Flatpak installs, manages and runs sandboxed desktop application bundles.
 See the flatpak package for a more comprehensive description.
 .
 This package contains reference documentation for libflatpak0.

Package: libflatpak0
Description-md5: 0e4073ffd5dff85f7c3cfe70f89906ed
Description-en: Application deployment framework for desktop apps (library)
 Flatpak installs, manages and runs sandboxed desktop application bundles.
 See the flatpak package for a more comprehensive description.
 .
 This package contains the flatpak shared library, used by higher level
 package management tools like gnome-software.

Package: libflatzebra-0.1-2v5
Description-md5: e208a42ec5d5aaf499449e25820422cf
Description-en: Generic Game Engine library
 flatzebra is a simple generic C++ game engine library supporting 2D
 double-buffering.
 .
 You should install this package if you need to run packages which use
 flatzebra.

Package: libflatzebra-dev
Description-md5: 002bbc7aa5b0644ed8d3e82d4ca8eaea
Description-en: Generic Game Engine library development files
 flatzebra is a simple, generic C++ game engine library supporting 2D
 double-buffering.
 .
 Install this package if you wish to compile programs which use
 libflatzebra.

Package: libflexdock-java
Description-md5: 8ddd1bb2e946aa1538af82ffbe971fe4
Description-en: Swing Java docking framework
 FlexDock is a Java docking framework for use in cross-platform Swing
 applications. It offers features you'd expect in any desktop docking
 framework such as:
  * Tabbed and Split Layouts
  * Drag-n-Drop capability
  * Floating windows
  * Collapsible Containers to Save Real Estate
  * Layout Persistence
  * Multi-plaform (fully testing on Microsoft Windows, many WM of GNU/Linux,
    Mac OS X, OpenSolaris, etc)
  * No JNI

Package: libflexdock-java-demo
Description-md5: 0869305033c1bbb3ae125c3cc3a377a7
Description-en: Swing Java docking framework - demos and examples
 FlexDock is a Java docking framework for use in cross-platform
 Swing applications. It offers features you'd expect in any desktop
 docking framework such as:
  * Tabbed and Split Layouts
  * Drag-n-Drop capability
  * Floating windows
  * Collapsible Containers to Save Real Estate
  * Layout Persistence
  * Multi-plaform (fully testing on Microsoft Windows, many WM of GNU/Linux,
    Mac OS X, OpenSolaris, etc)
  * No JNI
 .
 This package contains the examples and demonstration files.

Package: libflexdock-java-doc
Description-md5: 8dc626725c93ffafb5921bdc38229233
Description-en: Swing Java docking framework - demos and examples
 FlexDock is a Java docking framework for use in cross-platform
 Swing applications. It offers features you'd expect in any desktop
 docking framework such as:
  * Tabbed and Split Layouts
  * Drag-n-Drop capability
  * Floating windows
  * Collapsible Containers to Save Real Estate
  * Layout Persistence
  * Multi-plaform (fully testing on Microsoft Windows, many WM of GNU/Linux,
    Mac OS X, OpenSolaris, etc)
  * No JNI
 .
 This package contains documentation files.

Package: libflext-pd0
Description-md5: eb73b52a01279e7d637c620b7149a6fa
Description-en: Flext C++ external layer for Pd
 Flext is a C++ layer for programming externals for Pure Data (Pd)
 as well as for the proprietary Max/MSP.
 It provides an object oriented abstraction layer to writing Pd objects.

Package: libfli-dev
Description-md5: df98cd407b266373333026435d13df92
Description-en: Finger Lakes Instrument library
 Finger Lakes Instrument library is used by applications to control
 FLI CCDs and Filter wheels.
 .
 This contains development headers for the Finger Lakes Instruments
 library.

Package: libfli1
Description-md5: 330a03f1831f8ef77dff5a90350915ed
Description-en: Finger Lakes Instrument library
 Finger Lakes Instrument library is used by applications to control
 FLI CCDs and Filter wheels.

Package: libflickcurl-dev
Description-md5: 756c764007bfa18eb4ded6951cc66890
Description-en: C library for accessing the Flickr API - development files
 Flickcurl is a C library for the Flickr API, handling creating the
 requests, signing, token management, calling the API, marshalling
 request parameters and decoding responses. The library now supports
 100% of the 2008-01-11 version of the API, including the functions
 for photo uploading, browsing, searching, adding and editing
 comments, groups, notes, photosets, categories, activity, blogs,
 favorites, places, tags and photo metadata. It also includes a
 program flickrdf to turn photo metadata, tags and machine tags into
 RDF descriptions of photos and tags.
 .
 This package contains the development libraries and headers for
 flickcurl.

Package: libflickcurl0
Description-md5: dd393aeff819c116b05e551b0d4dec2d
Description-en: C library for accessing the Flickr API
 Flickcurl is a C library for the Flickr API, handling creating the
 requests, signing, token management, calling the API, marshalling
 request parameters and decoding responses. The library now supports
 100% of the 2008-01-11 version of the API, including the functions
 for photo uploading, browsing, searching, adding and editing
 comments, groups, notes, photosets, categories, activity, blogs,
 favorites, places, tags and photo metadata. It also includes a
 program flickrdf to turn photo metadata, tags and machine tags into
 RDF descriptions of photos and tags.

Package: libflickcurl0-dbg
Description-md5: 0932adb9ccffd6a03416865121042902
Description-en: C library for accessing the Flickr API - debugging symbols
 Flickcurl is a C library for the Flickr API, handling creating the
 requests, signing, token management, calling the API, marshalling
 request parameters and decoding responses. The library now supports
 100% of the 2008-01-11 version of the API, including the functions
 for photo uploading, browsing, searching, adding and editing
 comments, groups, notes, photosets, categories, activity, blogs,
 favorites, places, tags and photo metadata. It also includes a
 program flickrdf to turn photo metadata, tags and machine tags into
 RDF descriptions of photos and tags.
 .
 This package contains the debugging symbols for debugging
 applications which use libflickurl0.

Package: libflickr-api-perl
Description-md5: 0b9f0e66a8d6b5d5a28b40b519e5d204
Description-en: Perl interface to the Flickr API
 Flickr::API is a simple interface for using the Flickr API in Perl. It is a
 subclass of LWP::UserAgent, so all of the various proxy, request limit, cache
 and other options are available.

Package: libflickr-upload-perl
Description-md5: d350a815844ceb128a486fcee1605a3e
Description-en: module for uploading images to flickr.com
 flickr_upload is a completely functional batch uploading tool which
 uses Perl module Flickr::Upload as its back-end. It is flickr.com
 image uploading made easy and quick from the command line; it also
 helps you tagging, choosing access control, defining content type,
 setting safety level, etc., while uploading your images.
 .
 flickr_upload may also be useful for generating authentication tokens
 against other API keys/secrets (i.e. for embedding in scripts).

Package: libflightcrew-dev
Description-md5: 10924909d3d2f70ba19f3cfbf6590961
Description-en: C++ library development filesfor epub validation
 FlightCrew is an epub validator written in C++. It is used by sigil
 to validate epub files, and may be generally useful for other epub
 creation utilities.
 .
 This package contains the development headers.

Package: libflightcrew0v5
Description-md5: 4c1c6d0d20e71f9048e88c6709b09a4d
Description-en: C++ library for epub validation
 FlightCrew is an epub validator written in C++. It is used by sigil
 to validate epub files, and may be generally useful for other epub
 creation utilities.
 .
 This package contains the shared library.

Package: libflint-2.5.2
Description-md5: 494e2b575ec4ae2aa82f16c5680e9d13
Description-en: C library for number theory, shared library
 The Fast Library for Integer Number Theory is a C library which supports
 polynomial arithmetic over the integers, fast integer arithmetic and factoring
 (including a highly optimized quadratic sieve).
 .
 FLINT has functionality similar to that of the Number Theory Library (NTL).
 However, unlike NTL, which is designed primarily for asymptotic performance,
 FLINT is designed for good performance in small cases as well.
 .
 This package contains the FLINT shared library.

Package: libflint-arb-dev
Description-md5: d3c127065a7f73740f0fb44d91e58bd2
Description-en: C library for arbitrary-precision ball arithmetic, development files
 FLINT-ARB is a C library for high-performance arbitrary-precision
 floating-point ball (mid-rad interval) arithmetic. It supports complex
 numbers, polynomials, matrices, and evaluation of special functions, all
 with rigorous error bounding.
 .
 This package contains the development files.

Package: libflint-arb-doc
Description-md5: a16d7c9a4fdfe438874bc40cbcc43a99
Description-en: C library for arbitrary-precision ball arithmetic, documentation
 FLINT-ARB is a C library for high-performance arbitrary-precision
 floating-point ball (mid-rad interval) arithmetic. It supports complex
 numbers, polynomials, matrices, and evaluation of special functions, all
 with rigorous error bounding.
 .
 This package contains the documentation.

Package: libflint-arb2
Description-md5: 8dfede997835ebdec6612a19274e4f31
Description-en: C library for arbitrary-precision ball arithmetic, shared library
 FLINT-ARB is a C library for high-performance arbitrary-precision
 floating-point ball (mid-rad interval) arithmetic. It supports complex
 numbers, polynomials, matrices, and evaluation of special functions, all
 with rigorous error bounding.
 .
 This package contains the shared library.

Package: libflint-dev
Description-md5: 2954190a7db17f499ab9ac195dd614bc
Description-en: C library for number theory, development files
 The Fast Library for Integer Number Theory is a C library which supports
 polynomial arithmetic over the integers, fast integer arithmetic and factoring
 (including a highly optimized quadratic sieve).
 .
 FLINT has functionality similar to that of the Number Theory Library (NTL).
 However, unlike NTL, which is designed primarily for asymptotic performance,
 FLINT is designed for good performance in small cases as well.
 .
 This package contains the FLINT development files.

Package: libflint-doc
Description-md5: cfc1d1394ce4da588ed4c2ec2c8b1ab0
Description-en: Documentation for the FLINT library
 The Fast Library for Integer Number Theory is a C library which supports
 polynomial arithmetic over the integers, fast integer arithmetic and factoring
 (including a highly optimized quadratic sieve).
 .
 FLINT has functionality similar to that of the Number Theory Library (NTL).
 However, unlike NTL, which is designed primarily for asymptotic performance,
 FLINT is designed for good performance in small cases as well.
 .
 This package contains the FLINT documentation

Package: libflite1
Description-md5: f5b781eb0e174fa4560e27783c44da62
Description-en: Small run-time speech synthesis engine - shared libraries
 Flite is a small fast run-time speech synthesis engine.  It is the
 latest addition to the suite of free software synthesis tools
 including University of Edinburgh's Festival Speech Synthesis System
 and Carnegie Mellon University's FestVox project, tools, scripts and
 documentation for building synthetic voices.  However, flite itself
 does not require either of these systems to run.
 .
 It currently only supports the English and Indic languages.
 .
 This package contains the shared library objects of Festival Lite

Package: libfloat-coq
Description-md5: f850275d0bfd31acbb9a4ed726a7b44d
Description-en: Coq library on floating-point arithmetic
 This package provides PFF (Preuves Formelles sur les Flottants =
 Formal Proofs about Floats), a library for reasoning about
 floating-point arithmetic in Coq. It contains both definitions and
 proofs of basic facts, old & new properties and algorithms.

Package: libflorence-1.0-1
Description-md5: 1893675c5e75937bd94cb7b24123d56a
Description-en: extensible and scalable virtual keyboard for X
 Florence is an extensible scalable virtual keyboard for X.
 .
 libflorence is a library to control the virtual keyboard.
 .
 This package contains the shared library.

Package: libflorence-1.0-dev
Description-md5: bbc6a7d5b784c91902d49754268290ed
Description-en: extensible and scalable virtual keyboard for X
 Florence is an extensible scalable virtual keyboard for X.
 .
 libflorence is a library to control the virtual keyboard.
 .
 This package contains the development files.

Package: libflorist2019
Description-md5: 56c94236616c9f65599e68c122a838dd
Description-en: POSIX.5 Ada interface to operating system services: shared library
 FLORIST is an implementation of the IEEE Standards 1003.5: 1992, IEEE
 STD 1003.5b: 1996, and parts of IEEE STD 1003.5c: 1998, also known as
 the POSIX Ada Bindings.  Using this library, you can call operating
 system services from within Ada programs.
 .
 No one seems to maintain this library anymore.
 For new packages, please consider other solutions.
 .
 This package contains the run-time shared library.

Package: libflorist2019-dev
Description-md5: c0c3aa6c16195827380cd62d6bf92615
Description-en: POSIX.5 Ada interface to operating system services: development
 FLORIST is an implementation of the IEEE Standards 1003.5: 1992, IEEE
 STD 1003.5b: 1996, and parts of IEEE STD 1003.5c: 1998, also known as
 the POSIX Ada Bindings.  Using this library, you can call operating
 system services from within Ada programs.
 .
 No one seems to maintain this library anymore.
 For new packages, please consider other solutions.
 .
 This package contains the development files and unstripped static
 library.

Package: libfltk-cairo1.3
Description-md5: 4fb265d78750234ee13bd9cbe8450fe5
Description-en: Fast Light Toolkit - Cairo rendering layer support
 This package contains the files necessary for running programs
 dynamically linked with FLTK's Cairo rendering layer bindings.
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: libfltk-forms1.3
Description-md5: 2c9768749255d3d60088e44ddeb02783
Description-en: Fast Light Toolkit - Forms compatibility layer support
 This package contains the files necessary for running programs
 dynamically linked with FLTK's Forms compatibility layer.
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: libfltk-gl1.3
Description-md5: dd64ae0a189056fb7105fb0eeeae386a
Description-en: Fast Light Toolkit - OpenGL rendering support
 This package contains the files necessary for running programs
 dynamically linked with FLTK's OpenGL rendering bindings.
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: libfltk-images1.3
Description-md5: fe6a9e69410db289d31bc88a304d0c74
Description-en: Fast Light Toolkit - image loading support
 This package contains the files necessary for running programs
 dynamically linked with FLTK's bindings to image-loading libraries.
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: libfltk1.1
Description-md5: 1258b55a967eac77a8cf269244ce33f2
Description-en: Fast Light Toolkit - shared libraries
 This package contains the files necessary for running programs
 dynamically linked with FLTK.
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: libfltk1.1-dev
Description-md5: fd19f539170e49b3078fef85e7a556c0
Description-en: Fast Light Toolkit - development files
 This package provides the files necessary for compiling programs
 that depend on FLTK.
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: libfltk1.3
Description-md5: 3c9ba51c98a0ee205612744cef409f54
Description-en: Fast Light Toolkit - main shared library
 This package contains the files necessary for running programs
 dynamically linked with FLTK.
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: libfltk1.3-compat-headers
Description-md5: fa94b320a39737e9ee0afbc46e00e010
Description-en: Fast Light Toolkit - compatibility header symlinks
 This package provides header symlinks with .h rather than .H extensions for
 compatibility with some older code that relies on the lowercase-h names.

Package: libfltk1.3-dev
Description-md5: fd19f539170e49b3078fef85e7a556c0
Description-en: Fast Light Toolkit - development files
 This package provides the files necessary for compiling programs
 that depend on FLTK.
 .
 The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface
 toolkit originally based on libForms.

Package: libfluidsynth-dev
Description-md5: de826b558da2db2978953232479abd0f
Description-en: Real-time MIDI software synthesizer (development files)
 Fluidsynth is a real-time midi synthesizer based on the soundfont (sf2 and sf3)
 specifications. It can be used to render MIDI input or MIDI files to audio.
 The MIDI events are read from a MIDI device. The sound is rendered in
 real-time to the sound output device.
 .
 This package contains the development files.

Package: libfluidsynth2
Description-md5: 14e0cd0618b8e41b072bf1535bde2e64
Description-en: Real-time MIDI software synthesizer (runtime library)
 Fluidsynth is a real-time midi synthesizer based on the soundfont (sf2 and sf3)
 specifications. It can be used to render MIDI input or MIDI files to audio.
 The MIDI events are read from a MIDI device. The sound is rendered in
 real-time to the sound output device.
 .
 This package contains the runtime library.

Package: libflute-java
Description-md5: 8fb2639cc7c8fbf985fd587191c8b1d8
Description-en: Java CSS parser using SAC (JFree version)
 Flute is a CSS2 parser written in Java that implements SAC.
 SAC is a standard event-based API for CSS parsers, closely
 modelled on the SAX API for XML parsers.
 .
 This package contains the library.

Package: libflute-java-doc
Description-md5: 1eef1941d4f949c7cb2fc314ec5f3210
Description-en: Java CSS parser using SAC (JFree version) -- documentation
 Flute is a CSS2 parser written in Java that implements SAC.
 SAC is a standard event-based API for CSS parsers, closely
 modelled on the SAX API for XML parsers.
 .
 This package contains the javadoc documentation.

Package: libflxmlrpc-dev
Description-md5: 5e4dfd8f8c7ad71b20e1747077152843
Description-en: fldigi suite XmlRpc library - Development files
 This package contains libflxmlrpc -- an customized implementation of the
 XmlRpc protocol -- used by fldigi, flrig, flnet, flmsg, flarq, flamp, fllog;
 a suite of programs written for amateur radio emergency communications.

Package: libflxmlrpc1
Description-md5: f2fc7be2e054590829ed4f222e5e759e
Description-en: fldigi suite XmlRpc library
 This package contains libflxmlrpc -- an customized implementation of the
 XmlRpc protocol -- used by fldigi, flrig, flnet, flmsg, flarq, flamp, fllog;
 a suite of programs written for amateur radio emergency communications.

Package: libfm-data
Description-md5: b06249e439eae5ef0506c244cb25003f
Description-en: file management support (common data)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the common data of the core library.

Package: libfm-dbg
Description-md5: c42de5d56327e9ae8d7a57a83e8e0c46
Description-en: file management support (libfm debug)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the debugging symbols for GUI independent library.

Package: libfm-dev
Description-md5: 75488852ab7ec38683a32ff37f37963a
Description-en: file management support (core development files)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the development files for GUI-independent build.

Package: libfm-doc
Description-md5: 7938076b0ea5a3c6d795f5f506fa27df
Description-en: file management support (development documentation)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the development HTML documentation.

Package: libfm-extra-dev
Description-md5: 8f2b8fbb95dcd552ccd86509763442a3
Description-en: file management support (libfm-extra development files)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the development files for libfm-extra library.

Package: libfm-extra4
Description-md5: 8c79543a5f26ade86c30a98c2b6306a8
Description-en: file management support (extra library)
 LibFM provides file management functions built on top of Glib/GIO
 giving a convenient higher-level API.
 .
 This package contains standalone library which extends libfm.

Package: libfm-gtk-data
Description-md5: 264dbbfbd5080fc520e27c05ae458939
Description-en: file management support (GTK+ library common data)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the common data of the GTK+ library.

Package: libfm-gtk-dbg
Description-md5: 86e71f9e4234169c5e92eca8f2beb3dc
Description-en: file management support (libfm-gtk debug)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the debugging symbols for GTK+ library.

Package: libfm-gtk-dev
Description-md5: 726ead770a8653169cf1ffa80dc955da
Description-en: file management support (GTK+ GUI development files)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the development files for builds using GTK+ library.

Package: libfm-gtk4
Description-md5: dda7d66405310e47d775426a99f99ffc
Description-en: file management support (GTK+ 2.0 GUI library)
 LibFM provides file management functions built on top of Glib/GIO
 giving a convenient higher-level API.
 .
 This package contains the GTK+ version 2.0 GUI.

Package: libfm-modules
Description-md5: 1198e23e5d9f4f99e4bb94b85ff85c8f
Description-en: file management support (loadable modules for library)
 LibFM provides file management functions built on top of Glib/GIO
 giving a convenient higher-level API.
 .
 This package contains loadable modules used by libfm* libraries.

Package: libfm-modules-dbg
Description-md5: bb713f5ee744bce1a5f214db5a392cd8
Description-en: file management support (libfm-modules debug)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 This package contains the debugging symbols for libfm modules.

Package: libfm-qt-dev
Description-md5: 9825287101af11c80dc82b90c8dd13a9
Description-en: file management support library for pcmanfm-qt (development files)
 Libfm-Qt is a companion library providing components to build desktop file
 managers.
 .
 This package contains development files for helper library libfm-qt.

Package: libfm-qt-l10n
Description-md5: 41cdcf6af263b6fe12346a9c4adb4d79
Description-en: Language package for libfm-qt
 Libfm-Qt is a companion library providing components to build desktop file
 managers.
 .
 This package contains the l10n files needed by the libfm-qt.

Package: libfm-qt6
Description-md5: ad90c053e2e1ce8c61007d4d460f7b68
Description-en: file management support for pcmanfm-qt
 Libfm-Qt is a companion library providing components to build desktop file
 managers.
 .
 This package contains helper library libfm-qt for pcmanfm-qt.

Package: libfm-tools
Description-md5: 4aa420ccad92ac9ab33b8bf09945f364
Description-en: file management support (utilities)
 LibFM provides file management functions built on top of Glib/GIO
 giving a convenient higher-level API.
 .
 This package contains applications libfm-pref-apps and lxshortcut.

Package: libfm4
Description-md5: 1edf1e2cdf154e4352d46f3ed8288d75
Description-en: file management support (core library)
 LibFM provides file management functions built on top of Glib/GIO,
 giving a convenient higher-level API.
 .
 Some features:
  * Desktop-independent, following FreeDesktop standards;
  * Fast, light on memory usage, and responsive - well suited to
    less powerful hardware such as netbooks and thin clients;
  * Uses GIO/GVFS (like Nautilus) for Trash support and access to
    remote file systems (FTP, SFTP, WebDAV, Windows shares, etc.);
  * Clipboard operations are compatible with GTK+/GNOME and Qt/KDE;
  * Supports both Drag-and-Drop, and the X Direct Save protocol;
  * Reusable design with the core functions separated out to
    simplify porting to other GUIs.
 .
 This package contains the core library.

Package: libfml-dev
Description-md5: 9a2dfb5d8d19368e61630a017b3c26a0
Description-en: development headers for libfml
 Fermi-lite is a standalone C library tool for assembling Illumina short
 reads in regions from 100bp to 10 million bp in size.
 .
 This package contains the C library headers for using libfml in custom tools,
 along with a static library.

Package: libfml0
Description-md5: bd8f6debdcca15ed5b4dec432a12b158
Description-en: library for assembling Illumina short reads in small regions
 Fermi-lite is a standalone C library tool for assembling Illumina short
 reads in regions from 100bp to 10 million bp in size.
 .
 This package contains a shared library offering the fermi-lite API to custom C
 programs.

Package: libfmt-dev
Description-md5: 7963a4ca548a609af43ee9bd78ee3acd
Description-en: fast type-safe C++ formatting library -- development files
 This library provides fast, type-safe, small, C++11-aware replacement of
 (s)printf and related machinery. In some cases it's noticeably faster
 than boost::format, boost::lexical_cast and even sprintf itself.
 .
 This package contains the development files, include the static library.

Package: libfmt-doc
Description-md5: 12a6951caa7ccaad9a47a377aca918c0
Description-en: fast type-safe C++ formatting library -- documentation
 This library provides fast, type-safe, small, C++11-aware replacement of
 (s)printf and related machinery. In some cases it's noticeably faster
 than boost::format, boost::lexical_cast and even sprintf itself.
 .
 This package contains the documentation files.

Package: libfmt-ocaml
Description-md5: 86c8f958a7597faed72cd6862b25d61a
Description-en: OCaml Format pretty-printer combinators (runtime)
 Fmt exposes combinators to devise Format pretty-printing functions.
 .
 Fmt depends only on the OCaml standard library. The optional Fmt_tty
 library that allows one to setup formatters for terminal color output
 depends on the Unix library.
 .
 This package contains runtime files.

Package: libfmt-ocaml-dev
Description-md5: 89835cb9abc95659cb4cf5ee166836de
Description-en: OCaml Format pretty-printer combinators (development)
 Fmt exposes combinators to devise Format pretty-printing functions.
 .
 Fmt depends only on the OCaml standard library. The optional Fmt_tty
 library that allows one to setup formatters for terminal color output
 depends on the Unix library.
 .
 This package contains development files.

Package: libfolia-dev
Description-md5: 63520e7e69abbbc48064540e3ffc62aa
Description-en: Implementation of the FoLiA document format (C++ headers)
 FoLiA is an XML-based format for Linguistic Annotation suitable for
 representing written language resources such as corpora.
 Its goal is to unify a variety of linguistic annotations in one single rich
 format, without committing to any particular standard annotation set.
 Instead, it seeks to accommodate any desired system or tagset, and so offer
 maximum flexibility. This makes FoLiA language independent.
 see https://proycon.github.io/folia for more information.
 .
 libfolia is a product of the Centre of Language and Speech Technology, Radboud
 University Nijmegen (The Netherlands), it was previously developed at the ILK
 Research Group, Tilburg University. Work on libfolia is funded by NWO, the
 Netherlands Organisation for Scientific Research.
 .
 This package provides the FoLiA header files required to compile C++ programs
 that use libfolia and implements FoLiA v1.5.

Package: libfolia9
Description-md5: 8aa114eff4d32609620f6331cd1e3b27
Description-en: Implementation of the FoLiA document format
 FoLiA is an XML-based format for Linguistic Annotation suitable for
 representing written language resources such as corpora.
 Its goal is to unify a variety of linguistic annotations in one single rich
 format, without committing to any particular standard annotation set.
 Instead, it seeks to accommodate any desired system or tagset, and so offer
 maximum flexibility. This makes FoLiA language independent.
 see https://proycon.github.io/folia for more information.
 .
 libfolia is a product of the Centre of Language and Speech Technology, Radboud
 University Nijmegen (The Netherlands), it was previously developed at the ILK
 Research Group, Tilburg University. Work on libfolia is funded by NWO, the
 Netherlands Organisation for Scientific Research.
 .
 This package provides the runtime files required to run programs that use
 libfolia and implements FoLiA v1.5.

Package: libfolks-dev
Description-md5: 9299190f6ecb951322db84a9c8470e8d
Description-en: library to aggregates people into metacontact - development files
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains the development files for libfolks library.

Package: libfolks-dummy-dev
Description-md5: aae59f31d74f6b4387b33c649030752c
Description-en: Dummy backend for libfolks - development files
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains the development files for libfolks dummy backend.

Package: libfolks-dummy25
Description-md5: 21bb48a35cff9ec111433ec42d82bc55
Description-en: Dummy backend for libfolks
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains the dummy backend for libfolks

Package: libfolks-eds-dev
Description-md5: 32e240aaa6d2e3b4945053fff6a3156c
Description-en: Evolution-data-server backend for libfolks - development files
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains the development files for libfolks EDS backend.

Package: libfolks-eds25
Description-md5: 1b5e53fef2dc5f59dafced129d0380dd
Description-en: Evolution-data-server backend for libfolks
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains the EDS backend for libfolks

Package: libfolks-telepathy-dev
Description-md5: 567a965724d2307678b47d578c88cb87
Description-en: Telepathy backend for libfolks - development files
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains the development files for libfolks telepathy backend.

Package: libfolks-telepathy25
Description-md5: fa12a105274bcb2f4d39c88598931432
Description-en: Telepathy backend for libfolks
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.
 .
 This package contains the Telepathy backend for libfolks

Package: libfolks25
Description-md5: 6cf733f30836cfa6b9d6cd795bc30e6d
Description-en: library to aggregates people into metacontacts
 libfolks is a library that aggregates people from multiple sources
 (eg, Telepathy connection managers and eventually evolution data server,
 Facebook, etc.) to create metacontacts.

Package: libfoma-dev
Description-md5: 90dab2b762e89af2f081c0114a104697
Description-en: Transitional package for libfoma0-dev
 This is dummy transitional package for libfoma0-dev. It can
 be safely remove.

Package: libfoma0
Description-md5: 1ff21ea8a1debc39569cd4cb1be26913
Description-en: Foma runtime libraries
 Compiler, programming language, and C library for
 constructing finite-state automata and transducers for
 various uses.
 .
 This package provides runtime libraries for foma.

Package: libfoma0-dev
Description-md5: 75f2861a8a8237eb5d7a7bf7fce7dad5
Description-en: Foma development files
 Compiler, programming language, and C library for
 constructing finite-state automata and transducers for
 various uses.
 .
 This package provides development headers and libraries
 for foma.

Package: libfont-freetype-perl
Description-md5: 2bd34a1478efb137fd56e945e2b6183b
Description-en: module to read font files and render glyphs from Perl using FreeType2
 Font::FreeType allows Perl programs to conveniently read information from
 font files.  All the font access is done through the FreeType2 library,
 which supports many formats.  It can render images of characters with
 high-quality hinting and antialiasing, extract metrics information, and
 extract the outlines of characters in scalable formats like TrueType.

Package: libfontawesomefx-java
Description-md5: 44c35df8640ac44a804326bb4445bbd9
Description-en: Font Awesome perfectly integrated into JavaFX
 This package allows Java developers to easily integrate different icon fonts
 like Font Awesome, WeatherIcons, MaterialStackIcons, Material Design Font,
 Octicons and 525Icons into their JavaFX applications. This is possible because
 all icons are scalable vector graphics and each icon can be styled with CSS.

Package: libfontbox-java
Description-md5: 3cd3ec1efa6b1b5821425455ac283be8
Description-en: Java font library
 The Apache FontBox library is an open source Java tool to obtain low level
 information from font files.
 .
 This package contains the library itself.

Package: libfontbox-java-doc
Description-md5: c7c66289c846c279d4d14b3122e05ce2
Description-en: Java font library (Documentation)
 The Apache FontBox library is an open source Java tool to obtain low level
 information from font files.
 .
 This package contains the documentation for the library.

Package: libfontbox2-java
Description-md5: 1ac9b26e4e8b96b1f1df47024c6df1d4
Description-en: Java font library
 The Apache FontBox library is an open source Java tool to obtain low level
 information from font files.
 .
 This package contains version 2 of the library.

Package: libfontbox2-java-doc
Description-md5: b0ae46979c66811b11c82672ffd673c4
Description-en: Java font library (Documentation)
 The Apache FontBox library is an open source Java tool to obtain low level
 information from font files.
 .
 This package contains the documentation for version 2 of the library.

Package: libfontchooser-java
Description-md5: 3ebb92e0d9fea8b5838e9ea8357df3ee
Description-en: Java control to allow font selection
 Provides a pane of controls designed to allow a user to
 select a font.

Package: libfontforge-dev
Description-md5: a7281391b26af05746acc4cb4b6cba6f
Description-en: font editor - runtime library (development files)
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package contains the runtime library's development files.

Package: libfontforge3
Description-md5: 7fc0a418741746f51de0b0dac55807fe
Description-en: font editor - runtime library
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package contains the runtime library.

Package: libfonts-java
Description-md5: 5e351ede0877d165ada413a9f3ba9207
Description-en: Java fonts layouting library
 LibFonts is a library developed to support advanced layouting and allows to
 read TrueType-Font files to extract layouting specific informations.

Package: libfonts-java-doc
Description-md5: ee5ced0ef6dc4b2daf983eb099b45bc2
Description-en: Java fonts layouting library -- documentation
 LibFonts is a library developed to support advanced layouting and allows to
 read TrueType-Font files to extract layouting specific informations.
 .
 This package contains the Javadoc.

Package: libfop-java
Description-md5: 70e4e000939ced56013963fccb01b8b4
Description-en: XML formatter driven by XSL Formatting Objects (XSL-FO.) - libs
 FOP is a Java application that reads a formatting object tree and then
 turns it into a wide variety of output presentations (including AFP,
 PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays
 the result on-screen.
 .
 The formatting object tree can be in the form of an XML document
 (output by an XSLT engine like xalan) or can be passed in memory as a
 DOM Document or (in the case of xalan) SAX events.
 .
 This package contains the fop jar file

Package: libforest-perl
Description-md5: 2cbf25be82e299d6b3543895d8c81e56
Description-en: collection of N-ary tree related modules
 Forest is a collection of Perl modules implementing a generalized N-ary tree
 data structure. It also includes several modules useful for manipulating this
 data, including loading data from file, indexing it in memory, and writing it
 back out to files (in various formats).

Package: libforge-dev
Description-md5: b659e0105266543402b63eb5e1a5c88b
Description-en: development files for forge
 A prototype of the OpenGL interop library that can be used with ArrayFire. The
 goal of Forge is to provide high performance OpenGL visualizations for C/C++
 applications that use CUDA/OpenCL.
 .
 This package provides the development files.

Package: libforge1
Description-md5: bf017dbafa38a81ef8661a6689a9fda3
Description-en: high-performance OpenGL visualization
 A prototype of the OpenGL interop library that can be used with ArrayFire. The
 goal of Forge is to provide high performance OpenGL visualizations for C/C++
 applications that use CUDA/OpenCL.
 .
 This package provides the shared libraries.

Package: libforks-perl
Description-md5: 43f2df333a24cdaf61681840291a21a3
Description-en: Perl module to emulate threads with fork
 The forks.pm module is a drop-in replacement for threads.pm.  It has the
 same syntax as the threads.pm module (it even takes over its namespace) but
 has some significant differences:
 .
  - you do _not_ need a special (threaded) version of Perl
  - it is _much_ more economic with memory usage on OS's that support COW
  - it is more efficient in the startup of threads
  - it is slightly less efficient in the stopping of threads
  - it is less efficient in inter-thread communication
 .
 If nothing else, it allows you to use the Perl threading model in
 non-threaded Perl builds and in older versions of Perl (5.6.0 and
 higher are supported).

Package: libformat-human-bytes-perl
Description-md5: 7341936f7055dbee53f3ed7150768386
Description-en: Format a bytecount and make it human readable
 Format::Human::Bytes is used to convert bytecount values to human-readable
 strings. It will return you a printable string which is more readable by
 humans than a simple bytecount.
 .
 For example:
    use Format::Human::Bytes;
    $readable = Format::Human::Bytes::base2($bytecount[,$decimals]);
 It will convert in base 2 format.
 .
    $readable = Format::Human::Bytes::base10($bytecount[,$decimals]);
 It will convert in base 10 format.
 .
 Another way is to create constructor for example:
 .
    $fhb = Format::Human::Bytes->new();
    $readable = $fhb->base2($bytecount[,$decimals]);
    $readable = $fhb->base10($bytecount[,$decimals]);

Package: libforms-bin
Description-md5: 3b093a7d13d0525c9205f3ff4c01e9a2
Description-en: Support binaries for the XForms widget library
 This package includes the 'fdesign' XForms GUI designer and the
 'fd2ps' XForms to PostScript programs.
 .
 This package can be handy if you're an XForms developer.

Package: libforms-dev
Description-md5: b95388d661ee7171b90ab97398cbf269
Description-en: Header files and static libraries for the XForms widget library
 This package contains the header files and static libraries for the
 XForms library.
 .
 Install this package if you want to develop programs using the XForms
 library.

Package: libforms2
Description-md5: 3c45f7c7878ea656d61816bc51a2a62f
Description-en: The XForms graphical interface widget library
 This package contains the XForms shared library.
 .
 XForms is a GUI toolkit based on Xlib for X Window Systems. It features a
 rich set of objects, such as buttons, scrollbars, and menus etc.
 integrated into an easy and efficient object/event callback execution
 model that allows fast and easy construction of X-applications. In
 addition, the library is extensible and new objects can easily be created
 and added to the library.

Package: libformsgl-dev
Description-md5: 6a7cd911bb68aee51e4a25ac6c426533
Description-en: Header files and static libraries for the OpenGL XForms library
 This package contains the header files and static libraries for the
 XForms library.
 .
 Install this package if you want to develop programs using the XForms
 library under OpenGL.

Package: libformsgl2
Description-md5: 07ee87cceeea59988c0809e11015ceff
Description-en: The OpenGL XForms graphical interface widget library
 This package contains the OpenGL bindings of the XForms shared library.
 .
 XForms is a GUI toolkit based on Xlib for X Window Systems. It features a
 rich set of objects, such as buttons, scrollbars, and menus etc.
 integrated into an easy and efficient object/event callback execution
 model that allows fast and easy construction of X-applications. In
 addition, the library is extensible and new objects can easily be created
 and added to the library.

Package: libformula-java
Description-md5: dde0a6f777a9525337cca1e10e4aad75
Description-en: Excel(tm) style formula expressions library
 LibFormula provides Excel-Style-Expressions. The implementation provided
 here is very generic and can be used in any application that needs to
 compute formulas.
 .
 The syntax is based on the OpenFormula-specifications, but with carefully
 pruning away all the spreadsheet specific parts (like references to
 cell-ranges).

Package: libformula-java-doc
Description-md5: 2fb81cedfc2e9a048c5f7d63803a358b
Description-en: Excel(tm) style formula expressions library
 LibFormula provides Excel-Style-Expressions. The implementation provided
 here is very generic and can be used in any application that needs to
 compute formulas.
 .
 The syntax is based on the OpenFormula-specifications, but with carefully
 pruning away all the spreadsheet specific parts (like references to
 cell-ranges).
 .
 This package contains the Javadoc.

Package: libformvalidator-simple-perl
Description-md5: af5bd563ca196afc53022f9c7b8abbfd
Description-en: module for validating forms with simple chains of constraints
 FormValidator::Simple provides you a sweet way of form data validation with
 simple constraints chains. You can write constraints on single line for each
 input data.
 .
 This idea is based on Sledge::Plugin::Validator, and most of validation code
 is borrowed from this plugin.

Package: libfortran-format-perl
Description-md5: f7e53d65568d4a01e7646ccec4026a51
Description-en: Package to parse Fortran formats string descriptors in Perl
 This is a Perl implementation of the Fortran 77 formatted input/output
 facility. One possible use is for producing input files for old Fortran
 programs, making sure that their column-oriented records are rigorously
 correct. Fortran formats may also have some advantages over printf in some
 cases: it is very easy to output an array, reusing the format as needed; and
 the syntax for repeated columns is more concise. Unlike printf, for good or
 ill, Fortran-formatted fields never exceed their desired width.
 .
 This implementation was written in pure Perl, with portability and
 correctness in mind. It implements the full ANSI standard for Fortran 77
 Formats (or at least it should). It was not written with speed in mind, so if
 you need to process millions of records it may not be what you need.

Package: libfortune-perl
Description-md5: 3c9f586b4f30da13ed8e118167f1e460
Description-en: Perl module to read fortune (strfile) databases
 The fortune program is a small but important part of the
 Unix culture, and this module aims to provide support for
 its "fortune cookie" databases to Perl programmes.
 .
 For efficiency, all versions of fortune rely on a binary
 header consisting mainly of offsets into the fortune file
 proper.
 .
 Modern versions of fortune keep this header in a
 separate file, and this is the style adopted by the
 Fortune module; the older style of munging the header and
 data into one large "compiled" file is not (currently)
 supported.

Package: libfosfat0
Description-md5: 8e3f1596ceb9909fc93f66753effe610
Description-en: API for the Smaky file system
 Fosfat is a C library for providing read-only access to a Smaky
 formatted disk. Currently, only a tool and a FUSE extension that
 use this library can be used for reading a directory and copying
 a file.
 .
 The Smaky is a line of mostly 8-bit personal computers and
 accompanying operating system developed at the EPFL (École
 Polytechnique Federale de Lausanne), in Switzerland, from 1974.
 .
 This package contains the libfosfat0, which provides the API for the
 Smaky file system.

Package: libfosgra0
Description-md5: 6cc4e5946a17d9b3ac4b4fdfcc9b3899
Description-en: library to decode Smaky .IMAGE files
 Fosfat is a C library for providing read-only access to a Smaky
 formatted disk. Currently, only a tool and a FUSE extension that
 use this library can be used for reading a directory and copying
 a file.
 .
 The Smaky is a line of mostly 8-bit personal computers and
 accompanying operating system developed at the EPFL (École
 Polytechnique Federale de Lausanne), in Switzerland, from 1974.
 .
 This package contains the libfosgra0, used to decode the Smaky .IMAGE
 picture file.

Package: libfox-1.6-0
Description-md5: fbb265de0c10fbb8b891774baebd85e6
Description-en: FOX C++ GUI Toolkit - runtime library
 FOX is a C++ based Toolkit for developing Graphical User Interfaces
 easily and effectively. It offers a wide collection of Controls and
 provides state of the art facilities such as drag and drop, selection,
 as well as OpenGL widgets for 3D graphical manipulation. FOX also
 implements icons, images, and user-convenience features such as status
 line help, and tooltips. Tooltips may even be used for 3D objects!

Package: libfox-1.6-dev
Description-md5: bad45112a1544f7c6672ebf6fd70ee6a
Description-en: FOX C++ GUI Toolkit - development headers
 FOX is a C++ based Toolkit for developing Graphical User Interfaces
 easily and effectively. It offers a wide collection of controls and
 provides state of the art facilities.
 .
 This package contains the development header files of the FOX Toolkit.

Package: libfox-1.6-doc
Description-md5: b31f14280104bd42412a3ed79bed8895
Description-en: FOX C++ GUI Toolkit - documentation
 FOX is a C++ based Toolkit for developing Graphical User Interfaces
 easily and effectively. It offers a wide collection of controls and
 provides state of the art facilities.
 .
 This package contains documentation for development of applications
 using the FOX Toolkit.

Package: libfparser-dev
Description-md5: 4b0f0bd9b2546b44f7f04dca9602a903
Description-en: Function Parser for C++ - development files
 This C++ library offers a class which can be used to parse and evaluate a
 mathematical function from a string (which might be eg. requested from the
 user).
 .
 The syntax of the function string is similar to mathematical expressions
 written in C/C++ (the exact syntax is specified in the documentation below).
 The function can then be evaluated with different values of variables.
 .
 This package contains the development files for this library.

Package: libfparser4
Description-md5: 65c858eb9dc6f2e648ea199fe28a69d9
Description-en: Function Parser for C++
 This C++ library offers a class which can be used to parse and evaluate a
 mathematical function from a string (which might be eg. requested from the
 user).
 .
 The syntax of the function string is similar to mathematical expressions
 written in C/C++ (the exact syntax is specified in the documentation below).
 The function can then be evaluated with different values of variables.

Package: libfpga-dev
Description-md5: 462945090765967f42630695200fc420
Description-en: development files for fpgatools
 fpgatools is a toolchain to program field-programmable gate arrays
 (FPGA).  The only supported chip at this time is the xc6slx9, a cheap
 but powerful 45nm-generation chip with about 2400 LUTs, block ram and
 multiply-accumulate devices.
 .
 This package contains headers and libraries for developing bits file
 that makes use of libfpga.

Package: libfpga0
Description-md5: 8338afc24aca323f6b287f1ee399c49f
Description-en: libraries of fpgatools
 fpgatools is a toolchain to program field-programmable gate arrays
 (FPGA).  The only supported chip at this time is the xc6slx9, a cheap
 but powerful 45nm-generation chip with about 2400 LUTs, block ram and
 multiply-accumulate devices.
 .
 This package provides a shared library for small independent
 command line utilities contributing to the fpgatools.
  * plain C, no C++
  * simple Makefiles
  * text-based file formats
  * automatic test suite

Package: libfplll-dev
Description-md5: 7c6b6494f67be7e811da87580d0909d8
Description-en: Library for LLL-reduction of Euclidean lattices, development
 fpLLL is a library for computing reduced (nearly orthogonal) bases
 for Euclidean lattices using the floating-point LLL algorithm.
 .
 fpLLL contains multiple different implementations of the
 floating-point LLL reduction algorithm, offering multiple different
 speed/guarantees ratios.
 .
 It contains a 'wrapper' that chooses the estimated best sequence of
 variants in order to provide a guaranteed output as fast as possible.
 In the case of the wrapper, the succession of variants is oblivious
 to the user.
 .
 This package contains development files for libfplll.

Package: libfplll6
Description-md5: a19ba1e75bb351663979361c906d0db4
Description-en: Library for LLL-reduction of Euclidean lattices, runtime
 fpLLL is a library for computing reduced (nearly orthogonal) bases
 for Euclidean lattices using the LLL algorithm.
 .
 fpLLL contains multiple different implementations of the
 floating-point LLL reduction algorithm, offering multiple different
 speed/guarantees ratios.
 .
 It contains a 'wrapper' that chooses the estimated best sequence of
 variants in order to provide a guaranteed output as fast as possible.
 In the case of the wrapper, the succession of variants is oblivious
 to the user.
 .
 This package contains the shared library libfplll.

Package: libfpm-helper0
Description-md5: d583d5b9ca57c0b4b33f1c87a303d21f
Description-en: ASP.NET backend for FastCGI Process Manager - helper lib
 mono-fpm-server contains the backend for FPM. It lets you run ASP.NET
 web applications via HTTP servers such as Lighttpd or Apache.
 The FastCGI webserver shouldbe configured to run fastcgi-mono-server to
 parse and compile the aspx code.
 .
 This package contains a helper library needed by mono-fpm-server.

Package: libframe-dev
Description-md5: 6c95e02fcb8bce93e6276c04dbe79524
Description-en: Touch Frame Library - dev files
 This library handles the buildup and synchronization of a set of
 simultaneous touches. The library is input agnostic, with bindings
 for mtdev, frame and XI2.1.
 .
 This package contains files that are needed to build applications.

Package: libframe6
Description-md5: e7b15dda3833200cc3273f72cc4aef44
Description-en: Touch Frame Library
 This library handles the buildup and synchronization of a set of
 simultaneous touches. The library is input agnostic, with bindings
 for mtdev, frame and XI2.1.

Package: libfreebsd-glue-0
Description-md5: 1c3d6666479ab222b07a7a52eb74734f
Description-en: FreeBSD glue environment (shared objects)
 Shared objects for the FreeBSD glue environment.

Package: libfreecad-python3-0.18
Description-md5: d9972f2fd051b0c0593087ce1613296b
Description-en: Extensible Open Source CAx program - Python 3 library files
 FreeCAD is an Open Source CAx RAD based on OpenCasCade, Qt and Python.
 It features some key concepts like macro recording, workbenches, ability
 to run as a server and dynamically loadable application extensions and
 it is designed to be platform independent.
 .
 Currently, FreeCAD can import and display CAD models in IGES, STEP, and
 BRep formats and meshes in STL, BMS, AST and Wavefront OBJ formats.
 Editing and modeling features are currently somewhat limited.
 .
 This package contains shared library files for FreeCAD built against Python 3.

Package: libfreecell-solver-dev
Description-md5: 3ad7db2fbdf2ac5a576aa6d1947a3da5
Description-en: Library for solving Freecell games (Development files)
 Freecell Solver is a library for automatically solving boards of Freecell and
 similar variants of card Solitaire. This package contains the header files and
 static libraries necessary for developing programs using Freecell Solver.
 .
 This package contains the files necessary to produce binaries which are
 linked against libfreecell-solver.

Package: libfreecell-solver0
Description-md5: 84aebd09752ade5ee9572689b1754b38
Description-en: Library for solving Freecell games
 Freecell Solver is a library for automatically solving boards of Freecell and
 similar variants of card Solitaire. This package contains the header files and
 static libraries necessary for developing programs using Freecell Solver.

Package: libfreecontact-dev
Description-md5: a38aac9af5c6b0a3e4c186780c9d30fe
Description-en: fast protein contact predictor library - development files
 FreeContact is a protein residue contact predictor optimized for speed.
 Its input is a multiple sequence alignment. FreeContact can function as an
 accelerated drop-in for the published contact predictors
 EVfold-mfDCA of DS. Marks (2011) and
 PSICOV of D. Jones (2011).
 .
 FreeContact is accelerated by a combination of vector instructions, multiple
 threads, and faster implementation of key parts.
 Depending on the alignment, 8-fold or higher speedups are possible.
 .
 A sufficiently large alignment is required for meaningful results.
 As a minimum, an alignment with an effective (after-weighting) sequence count
 bigger than the length of the query sequence should be used. Alignments with
 tens of thousands of (effective) sequences are considered good input.
 .
 jackhmmer(1) from the hmmer package, or hhblits(1) from hhsuite
 can be used to generate the alignments, for example.
 .
 This package contains files necessary for developing applications with
 libfreecontact.

Package: libfreecontact-doc
Description-md5: c367cb17b66338125219c1e51814aef1
Description-en: documentation for libfreecontact
 FreeContact is a protein residue contact predictor optimized for speed.
 Its input is a multiple sequence alignment. FreeContact can function as an
 accelerated drop-in for the published contact predictors
 EVfold-mfDCA of DS. Marks (2011) and
 PSICOV of D. Jones (2011).
 .
 FreeContact is accelerated by a combination of vector instructions, multiple
 threads, and faster implementation of key parts.
 Depending on the alignment, 8-fold or higher speedups are possible.
 .
 A sufficiently large alignment is required for meaningful results.
 As a minimum, an alignment with an effective (after-weighting) sequence count
 bigger than the length of the query sequence should be used. Alignments with
 tens of thousands of (effective) sequences are considered good input.
 .
 jackhmmer(1) from the hmmer package, or hhblits(1) from hhsuite
 can be used to generate the alignments, for example.
 .
 This package contains HTML documentation for libfreecontact.

Package: libfreecontact-perl
Description-md5: 76746f39e71bf0567588b3a19cf36071
Description-en: fast protein contact predictor - binding for Perl
 FreeContact is a protein residue contact predictor optimized for speed.
 Its input is a multiple sequence alignment. FreeContact can function as an
 accelerated drop-in for the published contact predictors
 EVfold-mfDCA of DS. Marks (2011) and
 PSICOV of D. Jones (2011).
 .
 FreeContact is accelerated by a combination of vector instructions, multiple
 threads, and faster implementation of key parts.
 Depending on the alignment, 8-fold or higher speedups are possible.
 .
 A sufficiently large alignment is required for meaningful results.
 As a minimum, an alignment with an effective (after-weighting) sequence count
 bigger than the length of the query sequence should be used. Alignments with
 tens of thousands of (effective) sequences are considered good input.
 .
 jackhmmer(1) from the hmmer package, or hhblits(1) from hhsuite
 can be used to generate the alignments, for example.
 .
 This package contains the Perl binding.

Package: libfreecontact0v5
Description-md5: 721f2a8d8be3d901ffe188295e29cf54
Description-en: fast protein contact predictor library
 FreeContact is a protein residue contact predictor optimized for speed.
 Its input is a multiple sequence alignment. FreeContact can function as an
 accelerated drop-in for the published contact predictors
 EVfold-mfDCA of DS. Marks (2011) and
 PSICOV of D. Jones (2011).
 .
 FreeContact is accelerated by a combination of vector instructions, multiple
 threads, and faster implementation of key parts.
 Depending on the alignment, 8-fold or higher speedups are possible.
 .
 A sufficiently large alignment is required for meaningful results.
 As a minimum, an alignment with an effective (after-weighting) sequence count
 bigger than the length of the query sequence should be used. Alignments with
 tens of thousands of (effective) sequences are considered good input.
 .
 jackhmmer(1) from the hmmer package, or hhblits(1) from hhsuite
 can be used to generate the alignments, for example.
 .
 This package contains the shared library implementing freecontact.

Package: libfreediameter-dev
Description-md5: 2f1f1f8ba526bd0e334354cfcab12263
Description-en: Library for the Diameter protocol (development files)
 Diameter is a protocol designed to carry Authentication, Authorization and
 Accounting (AAA) payload. It is an evolution of the RADIUS protocol (as the
 name suggests).
 .
 freeDiameter is an implementation of the Diameter protocol.
 .
 This shared library contains the development files for libfdcoreX and
 libfdprotoX

Package: libfreefare-bin
Description-md5: 35bbf979e3822d7bd9abd46d6171f57e
Description-en: MIFARE card manipulations binaries
 The libfreefare project aims to provide a convenient API for MIFARE
 card manipulations.
 .
 This package includes some binaries that are useful for development purposes.

Package: libfreefare-dev
Description-md5: 7f325ba0e81d5e2cd2042c22ff1c1c5c
Description-en: MIFARE card manipulations library (development files)
 The libfreefare project aims to provide a convenient API for MIFARE
 card manipulations.
 .
 This package contains development files.

Package: libfreefare-doc
Description-md5: 50bdf84fce90b6907229ada687083ed6
Description-en: documentation for libfreefare
 The libfreefare project aims to provide a convenient API for MIFARE
 card manipulations.
 .
 This package contains document files.

Package: libfreefare0
Description-md5: 1564253622dd6321eb076146221d629a
Description-en: MIFARE card manipulations library
 The libfreefare project aims to provide a convenient API for MIFARE
 card manipulations.
 .
 This package contains the libfreefare library.

Package: libfreefem++
Description-md5: 766a4cb396240bc656d8f0d4096d8ef2
Description-en: Provides the shared libraries of the FreeFem++ FE suite
 FreeFem++ is an implementation of a language dedicated to the finite
 element method. It enables you to solve Partial Differential
 Equations (PDE) easily.
 .
 Problems involving PDE from several branches of physics such as
 fluid-structure interactions require interpolations of data on
 several meshes and their manipulation within one program. FreeFem++
 includes a fast quadtree-based interpolation algorithm and a language
 for the manipulation of these data on multiple meshes. It contains
 also a powerful mesh generation and adaption tool integrated
 seamlessly in FreeFem++ called bamg.
 .
 FreeFem++ is written in C++ and the FreeFem++ language is a C++ idiom
 allowing for a smooth learning curve.
 .
 This package contains the shared libraries of FreeFem++.

Package: libfreefem++-dev
Description-md5: 76d0663ed221ff171f62c5d2df88a0f7
Description-en: Provides the development files of the FreeFem++ FE suite
 FreeFem++ is an implementation of a language dedicated to the finite
 element method. It enables you to solve Partial Differential
 Equations (PDE) easily.
 .
 Problems involving PDE from several branches of physics such as
 fluid-structure interactions require interpolations of data on
 several meshes and their manipulation within one program. FreeFem++
 includes a fast quadtree-based interpolation algorithm and a language
 for the manipulation of these data on multiple meshes. It contains
 also a powerful mesh generation and adaption tool integrated
 seamlessly in FreeFem++ called bamg.
 .
 FreeFem++ is written in C++ and the FreeFem++ language is a C++ idiom
 allowing for a smooth learning curve.
 .
 This package contains the development files of FreeFem++.

Package: libfreefem-dev
Description-md5: da28b0c009352467fcdfcfcc368ba2ac
Description-en: Development library, header files and manpages
 FreeFEM is a language adapted to Partial Differential equation. The
 underlying method used  is the Finite Element Method.
 This tool has been successfully used as a teaching tool and even as a
 research tool.

Package: libfreefem-doc
Description-md5: 9283c5ee94a1c0a0c92764bc54bb5362
Description-en: Documentation for FreeFEM development
 FreeFEM is a language adapted to Partial Differential equation. The
 underlying method used  is the Finite Element Method.
 This tool has been successfully used as a teaching tool and even as a
 research tool.

Package: libfreefem0
Description-md5: d626bb3ff8cd1ae1883ca38dd8635fc4
Description-en: Shared libraries for FreeFEM
 FreeFEM is a language adapted to Partial Differential equation. The
 underlying method used  is the Finite Element Method.
 This tool has been successfully used as a teaching tool and even as a
 research tool.

Package: libfreehdl0
Description-md5: b5b07b132dd813847962d475a2318b6b
Description-en: VHDL simulator for Linux (shared libraries)
 This is a free VHDL simulator with these features:
  * Has a graphical waveform viewer.
  * Has a source level debugger.
  * Is VHDL-93 compliant.

Package: libfreehdl0-dev
Description-md5: a86b539e6d20b9412e9377f163219c6a
Description-en: VHDL simulator for Linux (development headers)
 This is a free VHDL simulator with these features:
  * Has a graphical waveform viewer.
  * Has a source level debugger.
  * Is VHDL-93 compliant.
 .
 The development headers are needed to compile simulations, not only
 to build freehdl based applications.

Package: libfreehep-chartableconverter-plugin-java
Description-md5: 095c866be1b44f1b390d7755663621ab
Description-en: FreeHEP Character Table Converter
 This maven plugin converts 16 bit Unicode text files into lookup tables.
 These tables are used by the VectorGraphics package (part of the FreeHEP
 project) to include and embed fonts in the output formats.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-export-java
Description-md5: f29a9509aab2ec6db7ae77651e52c90a
Description-en: FreeHEP Export and Save As Library
 Library to register filetypes (which can be loaded as plugin modules).
 This library is used by VectorGraphics libraries to implement a
 modular import/export system for image files: importers
 or exporters for different formats can be deloped or loaded
 independently.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphics2d-java
Description-md5: f11b0871a6495b5f496fe4ca33d799f5
Description-en: FreeHEP 2D Graphics Library
 This library extends the common AWT Graphics2D class, providing a standard
 and well known interface for writing image files the same way AWT and
 Swing components are drawn. The actual file writing is done by the
 GraphicsIO libraries.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphicsio-emf-java
Description-md5: 872e6a7d894eef40223751b4d063a562
Description-en: FreeHEP Enhanced Metafile Format Driver
 The GraphicsIO library offers a base interface for image exporters in many
 vector or bitmap image formats. It features direct support for GIF, PNG, PPM
 and RAW formats, as well as the ability to manage TrueType fonts. Support
 for other file types can be added with plugins.
 .
 This package contains a GraphicsIO plugin to export to Enhanced Metafile
 Format.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphicsio-java
Description-md5: 31b69ad3148238b2b15f44cb5b610edc
Description-en: FreeHEP GraphicsIO Base Library
 The GraphicsIO library offers a base interface for image exporters in many
 vector or bitmap image formats. It features direct support for GIF, PNG, PPM
 and RAW formats, as well as the ability to manage TrueType fonts. Support
 for other file types con be added with plugins.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphicsio-java-java
Description-md5: 4b5aac25e7a12e6140c62b361bc9a3cb
Description-en: FreeHEP Java Driver
 The GraphicsIO library offers a base interface for image exporters in many
 vector or bitmap image formats. It features direct support for GIF, PNG, PPM
 and RAW formats, as well as the ability to manage TrueType fonts. Support
 for other file types can be added with plugins.
 .
 This package contains a GraphicsIO plugin to export the java calls made to
 Graphics2D as source code, with the associated class, field and method
 definitions, resulting in a class which, when run will produce the same
 display. Generating such source code may be helpful in setting up test cases
 without a lot of machinery around it and in debugging problems for different
 formats.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphicsio-pdf-java
Description-md5: b0f72331e114ba4826b8551247d0b73d
Description-en: FreeHEP Portable Document Format Driver
 The GraphicsIO library offers a base interface for image exporters in many
 vector or bitmap image formats. It features direct support for GIF, PNG, PPM
 and RAW formats, as well as the ability to manage TrueType fonts. Support
 for other file types can be added with plugins.
 .
 This package contains a GraphicsIO plugin to export to Portable Document
 Format.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphicsio-ps-java
Description-md5: 494176e9408b1a1c4ee986449f8ec70f
Description-en: FreeHEP (Encapsulated) PostScript Driver
 The GraphicsIO library offers a base interface for image exporters in many
 vector or bitmap image formats. It features direct support for GIF, PNG, PPM
 and RAW formats, as well as the ability to manage TrueType fonts. Support
 for other file types can be added with plugins.
 .
 This package contains a GraphicsIO plugin to export to PostScript format.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphicsio-svg-java
Description-md5: 48663bd30563c418f488209fc3ecb2d4
Description-en: FreeHEP Scalable Vector Graphics Driver
 The GraphicsIO library offers a base interface for image exporters in many
 vector or bitmap image formats. It features direct support for GIF, PNG, PPM
 and RAW formats, as well as the ability to manage TrueType fonts. Support
 for other file types can be added with plugins.
 .
 This package contains a GraphicsIO plugin to export to SVG format.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphicsio-swf-java
Description-md5: 90d83737c4f6c12e93d43114cb76371b
Description-en: FreeHEP SWF (Flash) Driver
 The GraphicsIO library offers a base interface for image exporters in many
 vector or bitmap image formats. It features direct support for GIF, PNG, PPM
 and RAW formats, as well as the ability to manage TrueType fonts. Support
 for other file types can be added with plugins.
 .
 This package contains a GraphicsIO plugin to export to SWF (Flash) format.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-graphicsio-tests-java
Description-md5: 6699efe5b4ec37703662abc5ad58ea2e
Description-en: FreeHEP GraphicsIO Test Library
 The GraphicsIO library offers a base interface for image exporters in many
 vector or bitmap image formats. It features direct support for GIF, PNG, PPM
 and RAW formats, as well as the ability to manage TrueType fonts. Support
 for other file types con be added with plugins.
 .
 This package contains a series of tests used within GraphicsIO or its
 plugins.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-io-java
Description-md5: 841a1ee3887194899fb3057236c30196
Description-en: FreeHEP I/O library
 This library offers a collection of utility subclasses of standard
 IO Java classes (such as InputStrem, OutputStream, Reader and Writer),
 which help in dealing with encodings, byte order, tagged streams or
 other common IO-related issues. It is mainly used by other libraries
 within the FreeHEP project.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-swing-java
Description-md5: a85fce97be62b9cf153b6fe624c21fc2
Description-en: FreeHEP swing extensions
 This library contains a collection of utility classes built on top
 of the Java Swing framework. It contains new Swing elements, extensions
 of other elements already in Swing and other wrapper classes used to
 facilitate the use of the Swing library. It is mainly used by other
 libraries within the FreeHEP project.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-util-java
Description-md5: 180bcbf13081716c3204f388a6dfde00
Description-en: FreeHEP utility library
 This library contains miscellaneous utility classes used in other
 FreeHEP components, such as classes dealing with physical errors and
 scientific notation, assertions, hash tables, option parsers and
 others.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreehep-xml-java
Description-md5: 3a532a040e53805b515e651d4d9f22dc
Description-en: FreeHEP XML Library
 This library provides tools to read and write XML and XHTML files. You can
 write XML and XHTML files using a Writer-like interface, with additional
 methods to add tags and attributes, or save and restore on object
 configuration with XML.
 .
 FreeHEP is a collection of Java libraries used in High Energy Physics.

Package: libfreeimage-dev
Description-md5: 4129e76eb9e52c897ce2fb9f8fd275f0
Description-en: Support library for graphics image formats (development files)
 FreeImage is an Open Source C/C++ library project for developers who would
 like to support popular graphics image formats like PNG, BMP, JPEG, TIFF
 and others as needed by today's multimedia applications. FreeImage is
 easy to use, fast, multithreading safe, and cross-platform (works both
 with Linux, 32 bit Windows and Mac OS X).
 .
 This package contains the headers and static libraries needed to develop
 programs using FreeImage.

Package: libfreeimage3
Description-md5: 2f539de70cf9d55bc83670220e485935
Description-en: Support library for graphics image formats (library)
 FreeImage is an Open Source C/C++ library project for developers who would
 like to support popular graphics image formats like PNG, BMP, JPEG, TIFF
 and others as needed by today's multimedia applications. FreeImage is
 easy to use, fast, multithreading safe, and cross-platform (works both
 with Linux, 32 bit Windows and Mac OS X).
 .
 This package contains the FreeImage library.

Package: libfreeimageplus-dev
Description-md5: a2d8ef35cb3e1db7404b59417b2fa2ea
Description-en: C++ wrappers for FreeImage (development files)
 FreeImage is an Open Source C/C++ library project for developers who would
 like to support popular graphics image formats like PNG, BMP, JPEG, TIFF
 and others as needed by today's multimedia applications. FreeImage is
 easy to use, fast, multithreading safe, and cross-platform (works both
 with Linux, 32 bit Windows and Mac OS X).
 .
 This package contains the headers and static libraries needed to develop
 programs using FreeImagePlus.

Package: libfreeimageplus-doc
Description-md5: 423cbb5aa34be3a4af0dcf1e28a74993
Description-en: C++ wrappers for FreeImage (documentation)
 FreeImage is an Open Source C/C++ library project for developers who would
 like to support popular graphics image formats like PNG, BMP, JPEG, TIFF
 and others as needed by today's multimedia applications. FreeImage is
 easy to use, fast, multithreading safe, and cross-platform (works both
 with Linux, 32 bit Windows and Mac OS X).
 .
 This package contains the documentation for FreeImagePlus.

Package: libfreeimageplus3
Description-md5: bae4fb27f6e46fa967704c6d473a0f63
Description-en: C++ wrappers for freeimage (library)
 FreeImage is an Open Source C/C++ library project for developers who would
 like to support popular graphics image formats like PNG, BMP, JPEG, TIFF
 and others as needed by today's multimedia applications. FreeImage is
 easy to use, fast, multithreading safe, and cross-platform (works both
 with Linux, 32 bit Windows and Mac OS X).
 .
 This package contains the FreeImagePlus library.

Package: libfreemarker-java
Description-md5: 460c6f42330babc07393a395e5a616c5
Description-en: template engine written in Java
 FreeMarker is a "template engine"; a generic tool to generate text output
 (anything from HTML to autogenerated source code) based on templates. It's a
 Java package, a class library for Java programmers. It's not an application
 for end-users in itself, but something that programmers can embed into their
 products.
 .
 FreeMarker is designed to be practical for the generation of HTML Web pages,
 particularly by servlet-based applications following the MVC (Model View
 Controller) pattern. The idea behind using the MVC pattern for dynamic Web
 pages is that you separate the designers (HTML authors) from the programmers.
 Everybody works on what they are good at. Designers can change the appearance
 of a page without programmers having to change or recompile code, because the
 application logic (Java programs) and page design (FreeMarker templates) are
 separated. Templates do not become polluted with complex program fragments.
 This separation is useful even for projects where the programmer and the HMTL
 page author is the same person, since it helps to keep the application clear
 and easily maintainable.

Package: libfreemarker-java-doc
Description-md5: e90bcef471d610d6f2baf132c6499dc9
Description-en: template engine written in Java (documentation)
 FreeMarker is a "template engine"; a generic tool to generate text output
 (anything from HTML to autogenerated source code) based on templates. It's a
 Java package, a class library for Java programmers. It's not an application
 for end-users in itself, but something that programmers can embed into their
 products.
 .
 FreeMarker is designed to be practical for the generation of HTML Web pages,
 particularly by servlet-based applications following the MVC (Model View
 Controller) pattern. The idea behind using the MVC pattern for dynamic Web
 pages is that you separate the designers (HTML authors) from the programmers.
 Everybody works on what they are good at. Designers can change the appearance
 of a page without programmers having to change or recompile code, because the
 application logic (Java programs) and page design (FreeMarker templates) are
 separated. Templates do not become polluted with complex program fragments.
 This separation is useful even for projects where the programmer and the HMTL
 page author is the same person, since it helps to keep the application clear
 and easily maintainable.
 .
 This package contains the documentation of libfreemarker-java.

Package: libfreenect-bin
Description-md5: e81a66d8b382be4900bdf465af32bd63
Description-en: library for accessing Kinect device -- utilities and samples
 libfreenect is a cross-platform library that provides the necessary interfaces
 to activate, initialize, and communicate data with the Kinect hardware.
 Currently, the library supports access to RGB and depth video streams, motors,
 accelerometer and LED and provide binding in different languages (C++,
 Python...)
 .
 This library is the low level component of the OpenKinect project which is an
 open community of people interested in making use of the Xbox Kinect hardware
 with PCs and other devices.
 .
 This package includes utilities and sample programs for kinect.

Package: libfreenect-demos
Description-md5: 10a506958333227305288b718fcc3938
Description-en: library for accessing Kinect device -- dummy package
 libfreenect is a cross-platform library that provides the necessary interfaces
 to activate, initialize, and communicate data with the Kinect hardware.
 Currently, the library supports access to RGB and depth video streams, motors,
 accelerometer and LED and provide binding in different languages (C++,
 Python...)
 .
 This library is the low level component of the OpenKinect project which is an
 open community of people interested in making use of the Xbox Kinect hardware
 with PCs and other devices.
 .
 This package is a metapackage to do the transition from libfreenect-demos
 to libfreenect-bin. This package can be removed after installation.

Package: libfreenect-dev
Description-md5: dd953020efd84e4416daf6430ac2a8a4
Description-en: library for accessing Kinect device -- development files
 libfreenect is a cross-platform library that provides the necessary interfaces
 to activate, initialize, and communicate data with the Kinect hardware.
 Currently, the library supports access to RGB and depth video streams, motors,
 accelerometer and LED and provide binding in different languages (C++,
 Python...)
 .
 This library is the low level component of the OpenKinect project which is an
 open community of people interested in making use of the Xbox Kinect hardware
 with PCs and other devices.
 .
 This is the development package containing the libraries and header for
 software development with libfreenect.

Package: libfreenect-doc
Description-md5: 2af4b27e70645102c361f9d657281603
Description-en: library for accessing Kinect device -- documentation
 libfreenect is a cross-platform library that provides the necessary interfaces
 to activate, initialize, and communicate data with the Kinect hardware.
 Currently, the library supports access to RGB and depth video streams, motors,
 accelerometer and LED and provide binding in different languages (C++,
 Python...)
 .
 This library is the low level component of the OpenKinect project which is an
 open community of people interested in making use of the Xbox Kinect hardware
 with PCs and other devices.
 .
 This package contains the documentation of the API of libfreenect.

Package: libfreenect0.5
Description-md5: db274c8805191031a24d8c23ffa01f68
Description-en: library for accessing Kinect device
 libfreenect is a cross-platform library that provides the necessary interfaces
 to activate, initialize, and communicate data with the Kinect hardware.
 Currently, the library supports access to RGB and depth video streams, motors,
 accelerometer and LED and provide binding in different languages (C++,
 Python...)
 .
 This library is the low level component of the OpenKinect project which is an
 open community of people interested in making use of the Xbox Kinect hardware
 with PCs and other devices.
 .
 This package contains the shared library of libfreenect.

Package: libfreesrp-dev
Description-md5: c01df82811d62c060365c138edbd37f9
Description-en: Software defined radio support for FreeSRP hardware (development files)
 The FreeSRP has a tuning range from 70 MHz to 6 GHz, uses a 12-bit
 ADC with a sampling rate of up to 61.44 MSPS, and has a maximum
 analog filter bandwidth of 56 MHz. It is a full-duplex radio (can
 transmit & receive at the same time). The main chip in the unit is
 the fairly expensive (~$150 USD) AD9364 integrated RF transceiver
 chip and it also comes with a Xilinx Artix 7 FPGA. Furthermore the
 hardware and code is entirely open source.
 .
 This package is the software that provides control of the USB hardware
 and an API to pass data to software defined radio applications on the host.
 .
 This package contains development files along with a set of command
 line utilities.

Package: libfreesrp0
Description-md5: 96e93ec8ca9e86d7b8c2a0ef7374ef8e
Description-en: Software defined radio support for FreeSRP hardware (library)
 The FreeSRP has a tuning range from 70 MHz to 6 GHz, uses a 12-bit
 ADC with a sampling rate of up to 61.44 MSPS, and has a maximum
 analog filter bandwidth of 56 MHz. It is a full-duplex radio (can
 transmit & receive at the same time). The main chip in the unit is
 the fairly expensive (~$150 USD) AD9364 integrated RF transceiver
 chip and it also comes with a Xilinx Artix 7 FPGA. Furthermore the
 hardware and code is entirely open source.
 .
 This package is the software that provides control of the USB hardware
 and an API to pass data to software defined radio applications on the host.
 .
 This package contains the shared library.

Package: libfreexl-dev
Description-md5: 0dc3aff4b3764e14e79af1b36a4bdd86
Description-en: library for direct reading of Microsoft Excel spreadsheets - devel
 FreeXL is a C library implementing direct reading of Microsoft Excel
 spreadsheets, up to the BIFF8 file format specification (i.e. .xls, Microsoft
 Excel XP/2003 and older).
 The XML SS file format specification (.xlsx, Microsoft Excel 2007 and newer) is
 not supported.
 .
 This package contains the development headers.

Package: libfreexl1
Description-md5: 933db35fb093e1c49a0667dc2454586f
Description-en: library for direct reading of Microsoft Excel spreadsheets
 FreeXL is a C library implementing direct reading of Microsoft Excel
 spreadsheets, up to the BIFF8 file format specification (i.e. .xls, Microsoft
 Excel XP/2003 and older).
 The XML SS file format specification (.xlsx, Microsoft Excel 2007 and newer) is
 not supported.
 .
 This package contains the shared library.

Package: libfreezethaw-perl
Description-md5: 775faa08b3c598405ce6836673741a18
Description-en: module to serialize and deserialize Perl data structures
 FreezeThaw is a Perl module designed to convert data to and from a serialized
 string format, appropriate for saving to and reading from permanent storage.
 It is capable of dealing with objects, circular lists and repeated appearance
 of the same reference.

Package: libfrei0r-ocaml
Description-md5: 2f05691f7f9f65bc07286e6d4cf768e8
Description-en: OCaml interface to the frei0r API -- runtime files
 This package provides an interface to the frei0r API for
 OCaml programmers.
 .
 frei0r is a minimalistic plugin API for video sources and filters. The
 behavior of the effects can be controlled from the host by simple
 parameters. The intent is to solve the recurring reimplementation or
 adaptation issue of standard effects. It is not meant as a generic API for
 all kinds of video applications.
 .
 This package contains only the shared runtime stub libraries.

Package: libfrei0r-ocaml-dev
Description-md5: c3cda4da54b9078a78b0561de19c4413
Description-en: OCaml interface to the frei0r API -- developpement files
 This package provides an interface to the frei0r API for
 OCaml programmers.
 .
 frei0r is a minimalistic plugin API for video sources and filters. The
 behavior of the effects can be controlled from the host by simple
 parameters. The intent is to solve the recurring reimplementation or
 adaptation issue of standard effects. It is not meant as a generic API for
 all kinds of video applications.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-frei0r.

Package: libfribidi-bin
Description-md5: 5bd2ed1fd36acd62b51191a5c088558f
Description-en: Free Implementation of the Unicode BiDi algorithm (utility)
 FriBiDi is a BiDi algorithm implementation for Hebrew and/or Arabic
 languages.
 This package contains a command line interface for the fribidi library.

Package: libfrobby-dev
Description-md5: 715a4e932293e1fa2cd84ee0f622bbe0
Description-en: Computations with monomial ideals (development tools)
 Frobby is a software system and project for computations with monomial ideals.
 Frobby is free software and it is intended as a vehicle for computational and
 mathematical research on monomial ideals.
 .
 The current functionality includes Euler characteristic, Hilbert series,
 maximal standard monomials, combinatorial optimization on monomial ideals,
 primary decomposition, irreducible decomposition, Alexander dual, associated
 primes, minimization and intersection of monomial ideals as well as the
 computation of Frobenius problems (using 4ti2) with very large numbers. Frobby
 is also able to translate between formats that can be used with several
 different computer systems, such as Macaulay 2, Monos, 4ti2, CoCoA4 and
 Singular. Thus Frobby can be used with any of those systems.
 .
 This package contains the development tools.

Package: libfrobby-doc
Description-md5: bfe53acf846afb9d2c9488f9f12bf4f6
Description-en: Computations with monomial ideals (library documentation)
 Frobby is a software system and project for computations with monomial ideals.
 Frobby is free software and it is intended as a vehicle for computational and
 mathematical research on monomial ideals.
 .
 The current functionality includes Euler characteristic, Hilbert series,
 maximal standard monomials, combinatorial optimization on monomial ideals,
 primary decomposition, irreducible decomposition, Alexander dual, associated
 primes, minimization and intersection of monomial ideals as well as the
 computation of Frobenius problems (using 4ti2) with very large numbers. Frobby
 is also able to translate between formats that can be used with several
 different computer systems, such as Macaulay 2, Monos, 4ti2, CoCoA4 and
 Singular. Thus Frobby can be used with any of those systems.
 .
 This package contains the library documentation.

Package: libfrobby0
Description-md5: 3ca9e69ea7c6890936644cb0722bb3aa
Description-en: Computations with monomial ideals (shared library)
 Frobby is a software system and project for computations with monomial ideals.
 Frobby is free software and it is intended as a vehicle for computational and
 mathematical research on monomial ideals.
 .
 The current functionality includes Euler characteristic, Hilbert series,
 maximal standard monomials, combinatorial optimization on monomial ideals,
 primary decomposition, irreducible decomposition, Alexander dual, associated
 primes, minimization and intersection of monomial ideals as well as the
 computation of Frobenius problems (using 4ti2) with very large numbers. Frobby
 is also able to translate between formats that can be used with several
 different computer systems, such as Macaulay 2, Monos, 4ti2, CoCoA4 and
 Singular. Thus Frobby can be used with any of those systems.
 .
 This package contains the shared library.

Package: libfrog-dev
Description-md5: 305516ca92cc50bc56d19a47c88f30dc
Description-en: tagger and parser for natural languages (headers)
 Memory-Based Learning (MBL) is a machine-learning method applicable to a wide
 range of tasks in Natural Language Processing (NLP).
 .
 Frog is a modular system integrating a morphosyntactic tagger, lemmatizer,
 morphological analyzer, and dependency parser for natural languages.  It is
 based upon it's predecessor TADPOLE (TAgger, Dependency Parser, and
 mOrphoLogical analyzEr).  Using Memory-Based Learning techniques, frog
 tokenizes, tags, lemmatizes, and morphologically segments word tokens in
 incoming UTF-8 text files, and assigns a dependency graph to each sentence.
 Frog is particularly targeted at the increasing need for fast, automatic NLP
 systems applicable to very large (multi-million to billion word) document
 collections that are becoming available due to the progressive digitization of
 both new and old textual data.  Up to now, frog has only been tested and used
 using corpora of Dutch natural language (see the frogdata package for samples).
 .
 Frog is a product of the Centre of Language and Speech Technology at
 Radboud University Nijmegen, it subsumes previous work by the
 ILK Research Group (Tilburg University, The Netherlands) and
 the CLiPS Research Centre (University of Antwerp, Belgium).
 .
 This package containers the headers needed to compile against Frog.

Package: libfrog1
Description-md5: 9e425cfed1d1c179ac1fbec9e578ca68
Description-en: tagger and parser for Dutch language (library)
 Memory-Based Learning (MBL) is a machine-learning method applicable to a wide
 range of tasks in Natural Language Processing (NLP).
 .
 Frog is a modular system integrating a morphosyntactic tagger, lemmatizer,
 morphological analyzer, and dependency parser for natural languages.  Using
 Memory-Based Learning techniques, Frog analyses word tokens in incoming
 UTF-8 text files, and assigns a dependency graph to each sentence.
 .
 Frog is a product of the Centre of Language and Speech Technology at
 Radboud University Nijmegen, it subsumes previous work by the
 ILK Research Group (Tilburg University, The Netherlands) and
 the CLiPS Research Centre (University of Antwerp, Belgium).
 .
 This package provides the runtime files required to run programs that use
 Frog.

Package: libfrontier-rpc-perl
Description-md5: 07c31a1059c4cd6f6a3f83eb222266ea
Description-en: Perl module to implement RPC calls using XML requests
 Frontier::RPC implements UserLand Software's XML RPC (Remote
 Procedure Calls using Extensible Markup Language).  Frontier::RPC
 includes both a client module for making requests to a server and
 a daemon module for implementing servers.  Frontier::RPC uses RPC2
 format messages.

Package: libfsapfs-dev
Description-md5: e76695f7d8f1a33ba8a48cda36b0e0de
Description-en: APFS access library -- development files
 libfsapfs is a library to access the Apple File System (APFS).
 .
 This package includes the development support files.

Package: libfsapfs-utils
Description-md5: 5b040a8e792360bdde3327596961debd
Description-en: APFS access library -- Utilities
 libfsapfs is a library to access the Apple File System (APFS).
 .
 This package contains fsapfsinfo, an APFS information retrieval
 utility, and fpafsmount, a FUSE-based APFS filesystem implementation.

Package: libfsapfs1
Description-md5: b1d8ec52a173a8a14a50d3fcd4c6752b
Description-en: APFS access library
 libfsapfs is a library to access the Apple File System (APFS).
 .
 This package contains the shared library.

Package: libfsharp-core4.3-cil
Description-md5: 39383acc015f6ddec9c0e78381cd9f0f
Description-en: functional-first programming language - core runtime library
 F# is a multi-paradigm programming language, supporting functional,
 imperative, and object-oriented structures.
 .
 This package contains the core runtime library for F# binaries.

Package: libfsharp-data-typeproviders4.4-cil
Description-md5: 5d325698e4fa291549029304d66a6da6
Description-en: functional-first programming language - data integration library
 F# is a multi-paradigm programming language, supporting functional,
 imperative, and object-oriented structures.
 .
 This package contains a runtime library that automatically binds remote data
 sources such as OData, SQL, or WSDL into strongly-typed objects.

Package: libfsntfs-dev
Description-md5: 693f185ca962fd1765784ed6f11d9310
Description-en: NTFS access library -- development files
 NTFS is the primary file system for Microsoft Windows versions that
 are based on Windows NT. libfsntfs provides a library and tools to
 access NTFS volumes.
 .
 This package includes the development support files.

Package: libfsntfs-utils
Description-md5: 9e256fa429919857b62ab413b2edfc77
Description-en: NTFS access library -- Utilities
 NTFS is the primary file system for Microsoft Windows versions that
 are based on Windows NT. libfsntfs provides a library and tools to
 access NTFS volumes.
 .
 This package contains fsntfsinfo, a utility to determine information
 about a Windows NT File System volume.

Package: libfsntfs1
Description-md5: a03d823cd13c0f81ddbc134c6112e6a5
Description-en: NTFS access library
 NTFS is the primary file system for Microsoft Windows versions that
 are based on Windows NT. libfsntfs provides a library and tools to
 access NTFS volumes.
 .
 This package contains the shared library.

Package: libfsplib-dev
Description-md5: 8a4bf13a5076805e5c0aa2d37153e948
Description-en: FSP v2 protocol stack library - development files
 This is C library which support talking with FSP server using FSP v2 protocol
 and provides posix-like file manipulation interface.
 .
 For more information about FSP protocol see http://fsp.sourceforge.net/
 For library and API info see http://fsp.sourceforge.net/fsplib.html

Package: libfsplib0
Description-md5: a1de2b37826d289690e07f04c615c0af
Description-en: FSP v2 protocol stack library - shared library
 This is C library which support talking with FSP server using FSP v2 protocol
 and provides posix-like file manipulation interface.
 .
 FSP is a protocol, a bit like FTP, for moving files around. It's designed for
 anonymous archives, and has protection against server and network overloading.
 It doesn't use connections, so it can survive things falling over. It's also
 designed to prevent network congestion, so it can be very nice for large
 transfers over slow links.
 .
 For more information about FSP protocol see http://fsp.sourceforge.net/
 For library and API info see http://fsp.sourceforge.net/fsplib.html

Package: libfst-dev
Description-md5: 7567976b7e5e064afc6d0b854fd88d6b
Description-en: weighted finite-state transducers library (development)
 OpenFst is a library for constructing, combining, optimizing, and searching
 weighted finite-state transducers (FSTs). Weighted finite-state transducers
 are automata where each transition has an input label, an output label, and a
 weight. The more familiar finite-state acceptor is represented as a transducer
 with each transition's input and output label equal. Finite-state acceptors
 are used to represent sets of strings (specifically, regular or rational
 sets); finite-state transducers are used to represent binary relations between
 pairs of strings (specifically, rational transductions). The weights can be
 used to represent the cost of taking a particular transition.
 .
 This package contains the development support files.

Package: libfst-tools
Description-md5: 3cbcbc15a3b32374698118e984b9c232
Description-en: weighted finite-state transducers library (tools)
 OpenFst is a library for constructing, combining, optimizing, and searching
 weighted finite-state transducers (FSTs). Weighted finite-state transducers
 are automata where each transition has an input label, an output label, and a
 weight. The more familiar finite-state acceptor is represented as a transducer
 with each transition's input and output label equal. Finite-state acceptors
 are used to represent sets of strings (specifically, regular or rational
 sets); finite-state transducers are used to represent binary relations between
 pairs of strings (specifically, rational transductions). The weights can be
 used to represent the cost of taking a particular transition.
 .
 This package provides the command line tools.

Package: libfst8
Description-md5: 95663026d740dd22e28f2a1692cffcec
Description-en: weighted finite-state transducers library (runtime)
 OpenFst is a library for constructing, combining, optimizing, and searching
 weighted finite-state transducers (FSTs). Weighted finite-state transducers
 are automata where each transition has an input label, an output label, and a
 weight. The more familiar finite-state acceptor is represented as a transducer
 with each transition's input and output label equal. Finite-state acceptors
 are used to represent sets of strings (specifically, regular or rational
 sets); finite-state transducers are used to represent binary relations between
 pairs of strings (specifically, rational transductions). The weights can be
 used to represent the cost of taking a particular transition.
 .
 This package includes the shared library.

Package: libfst8-plugins-base
Description-md5: a08cb6fc73c6cc7ebaee6289739b2ccc
Description-en: weighted finite-state transducers library (base plugins)
 OpenFst is a library for constructing, combining, optimizing, and searching
 weighted finite-state transducers (FSTs).
 .
 This package contains base extensions that will be dynamically loaded
 into any binary compiled with OpenFst if the LD_LIBRARY_PATH (or
 equivalent) includes /usr/lib/fst.

Package: libfstrcmp-dev
Description-md5: 92b8e6120f553d3dd22f3b8a49c225de
Description-en: fuzzy string compare library - development files
 This package provides a library which may be used to make a variety fuzzy
 comparisons, on strings and arrays of bytes, including wide character strings
 and multi-byte character strings.

Package: libfstrcmp0
Description-md5: cae46f838accda9a9b08df3ddca1dde2
Description-en: fuzzy string compare library - runtime library
 library of fuzzy string comparison functions

Package: libfstrcmp0-dbg
Description-md5: 9122e7d7dd0f169668f359353dbbeb1a
Description-en: fuzzy string compare library - debugging symbols
 library of fuzzy string comparison functions

Package: libfstrm-dev
Description-md5: 612512e52e1f2202c318663779db8064
Description-en: Frame Streams (fstrm) library (development files)
 Frame Streams is a light weight, binary clean protocol that allows for the
 transport of arbitrarily encoded data payload sequences with minimal framing
 overhead -- just four bytes per data frame. Frame Streams does not specify an
 encoding format for data frames and can be used with any data serialization
 format that produces byte sequences, such as Protocol Buffers, XML, JSON,
 MessagePack, YAML, etc. Frame Streams can be used as both a streaming
 transport over a reliable byte stream socket (TCP sockets, TLS connections,
 AF_UNIX sockets, etc.) for data in motion as well as a file format for data
 at rest. A "Content Type" header identifies the type of payload being carried
 over an individual Frame Stream and allows cooperating programs to determine
 how to interpret a given sequence of data payloads.
 .
 This is the "fstrm" implementation of Frame Streams in C.
 .
 This package contains the static library and development headers.

Package: libfstrm0
Description-md5: 0b881b64d42a12542cf87b29496674e4
Description-en: Frame Streams (fstrm) library
 Frame Streams is a light weight, binary clean protocol that allows for the
 transport of arbitrarily encoded data payload sequences with minimal framing
 overhead -- just four bytes per data frame. Frame Streams does not specify an
 encoding format for data frames and can be used with any data serialization
 format that produces byte sequences, such as Protocol Buffers, XML, JSON,
 MessagePack, YAML, etc. Frame Streams can be used as both a streaming
 transport over a reliable byte stream socket (TCP sockets, TLS connections,
 AF_UNIX sockets, etc.) for data in motion as well as a file format for data
 at rest. A "Content Type" header identifies the type of payload being carried
 over an individual Frame Stream and allows cooperating programs to determine
 how to interpret a given sequence of data payloads.
 .
 This is the "fstrm" implementation of Frame Streams in C.
 .
 This package contains the shared library.

Package: libftdi-dev
Description-md5: 5d69cf46af3e5eb6b47a8652070827e2
Description-en: Development files for libftdi
 This package contains the header files and static library needed to
 compile applications that use libftdi.

Package: libftdi1
Description-md5: e2f74d64618af8aead3354b7bdfc1891
Description-en: Library to control and program the FTDI USB controller
 This library could talk to FTDI's FT232 and FT245 type USB chips from
 userspace. It uses libusb to communicate with the chips.
 .
 Functionalities include the possibility to use the chips in standard
 mode, in bitbang mode, and to read or write the serial EEPROM.
 .
 This is the C version of the library.

Package: libftdi1-2
Description-md5: ec9ebee7e2c0c23662682b02db765647
Description-en: Library to control and program the FTDI USB controllers
 This library could talk to FTDI's FT232BM, FT245BM, FT2232C, FT2232D, FT245R,
 FT232H and FT230X type USB chips from userspace. It uses libusb 1.0 to
 communicate with the chips.
 .
 Functionalities include the possibility to use the chips in standard
 mode, in bitbang mode, and to read or write the serial EEPROM.
 .
 This is the C version of the library.

Package: libftdi1-dbg
Description-md5: 649a167bf6227c1dc1d870e1b30c6f7b
Description-en: Debugging symbols for libftdi1
 This package contains unstripped shared libraries. it is provided
 primarily to provide a backtrace with names in a debugger, this makes
 it somewhat easier to interpret core dumps. The libraries are installed
 in /usr/lib/debug and can be used by placing that directory in
 LD_LIBRARY_PATH.

Package: libftdi1-dev
Description-md5: 305baa3bc2f025f5c1a0d8a45f3a0433
Description-en: Development files for libftdi1
 This package contains the header files and static library needed to
 compile applications that use libftdi1.

Package: libftdi1-doc
Description-md5: ea5d005ec798d58740b91e7c2a719e59
Description-en: Documentation for libftdi1
 This package contains the documentation of the libftdi1 library.

Package: libftdipp1-3
Description-md5: eba71c6faa9201c0003fc816c16828d3
Description-en: Library to control and program the FTDI USB controllers
 This library could talk to FTDI's FT232BM, FT245BM, FT2232C, FT2232D, FT245R,
 FT232H and FT230X type USB chips from userspace. It uses libusb 1.0 to
 communicate with the chips.
 .
 Functionalities include the possibility to use the chips in standard
 mode, in bitbang mode, and to read or write the serial EEPROM.
 .
 This is the C++ version of the library.

Package: libftdipp1-dev
Description-md5: 14636f5eff63f17445f41e78d3960f22
Description-en: Development files for libftdipp1
 This package contains the header files and static library needed to
 compile applications that use libftdipp1.

Package: libftgl-dev
Description-md5: baa9360ca50029ce868fe5bf260bad7b
Description-en: development files for libftgl
 FTGL binds OpenGL and FreeType together in order to offer and easy to use
 and flexible text rendering library.  It offers several rendering modes:
 as polygons, outlines, bitmaps and textures.
 .
 This package contains the header files and static libraries needed to
 compile applications or shared objects that use libftgl.

Package: libftgl2
Description-md5: 4b16059b5fb88fa250845852331ee581
Description-en: library to render text in OpenGL using FreeType
 FTGL binds OpenGL and FreeType together in order to offer and easy to use
 and flexible text rendering library.  It offers several rendering modes:
 as polygons, outlines, bitmaps and textures.
 .
 This package contains the shared library for libftgl.

Package: libftp-dev
Description-md5: d84ab7eac50b75d619595b72584b5bd9
Description-en: Library of callable ftp routines (development)
 Ftplib makes it easier for C programmers to use file transfer in their
 programs.  This package is required to compile and link programs that
 use ftplib.  It includes an example command line utility for
 transferring files via ftp (RFC959).

Package: libftp4
Description-md5: e0f92fea385afc392d3b7ec07babf2f5
Description-en: Library of callable ftp routines
 Ftplib presents a convenient C interface for the standard File
 Transfer Protocol (FTP).  It makes it easier for programmers to
 use file transfer in their programs.

Package: libfunction-fallback-coreorpp-perl
Description-md5: 45699a3be6a03f9e60f4d3b5f0837014
Description-en: set of functions using non-core XS modules with pure-Perl/core fallback
 Function::Fallback::CoreOrPP provides functions that use non-core XS modules
 (for best speed, reliability, feature, etc) but falls back to those that use
 core XS or pure-Perl modules when the non-core XS module is not available.
 .
 This module helps when you want to bootstrap your Perl application with a
 portable, dependency-free Perl script. In a vanilla Perl installation (having
 only core modules), you can use App::FatPacker to include non-core pure-Perl
 dependencies to your script.

Package: libfunction-parameters-perl
Description-md5: a2d37005d20015d16bce8a5ebd5c590f
Description-en: module for subroutine definitions with parameter lists
 Function::Parameters extends Perl with keywords that let you define functions
 with parameter lists. It uses Perl's keyword plugin API, so it works reliably
 and doesn't require a source filter.

Package: libfuntools-dev
Description-md5: 8cc6e961a2de57b5fdbadce52575690d
Description-en: Minimal buy-in FITS library (development files)
 Funtools, is a "minimal buy-in" FITS library and utility package developed at
 the High Energy Astrophysics Division of SAO. The Funtools library
 provides simplified access to a wide array of file types: standard
 astronomical FITS images and binary tables, raw arrays and binary event
 lists, and even tables of ASCII column data. A sophisticated region filtering
 library (compatible with ds9) filters images and tables using boolean
 operations between geometric shapes, support world coordinates, etc. Funtools
 also supports advanced capabilities such as optimized data searching using
 index files.
 .
 This package contains the development files.

Package: libfuntools1
Description-md5: 719e4443e3909986a8880dbba8f5a951
Description-en: Minimal buy-in FITS library
 Funtools, is a "minimal buy-in" FITS library and utility package developed at
 the High Energy Astrophysics Division of SAO. The Funtools library
 provides simplified access to a wide array of file types: standard
 astronomical FITS images and binary tables, raw arrays and binary event
 lists, and even tables of ASCII column data. A sophisticated region filtering
 library (compatible with ds9) filters images and tables using boolean
 operations between geometric shapes, support world coordinates, etc. Funtools
 also supports advanced capabilities such as optimized data searching using
 index files.
 .
 This library contains the shared library.

Package: libfurl-perl
Description-md5: 7e1963f6ccce00e29ca6258155d1ffaf
Description-en: lightning-fast URL fetcher
 Furl is yet another HTTP client library.  LWP is the de facto standard
 HTTP client for Perl 5, but it is too slow for some critical jobs, and
 too complex for weekend hacking.  Furl resolves these issues.  Enjoy
 it!

Package: libfuse-perl
Description-md5: 0558ff208dbc510da167eea43911c8b6
Description-en: Perl bindings for FUSE (Filesystems in USErland
 This module lets you implement filesystems in perl, through the FUSE
 interface
 .
 FUSE (Filesystem in USErspace) is a simple interface for userspace
 programs to export a virtual filesystem to the kernel. FUSE
 also aims to provide a secure method for non privileged users to
 create and mount their own filesystem implementations.

Package: libfuse3-3
Description-md5: dfe0db50976b6a26fcd7e2a21f618724
Description-en: Filesystem in Userspace (library) (3.x version)
 Filesystem in Userspace (FUSE) is a simple interface for userspace programs to
 export a virtual filesystem to the Linux kernel. It also aims to provide a
 secure method for non privileged users to create and mount their own filesystem
 implementations.
 .
 This package contains the shared library.

Package: libfuse3-dev
Description-md5: ca31f04cc7402a2f8f8d4cd5a604e60e
Description-en: Filesystem in Userspace (development) (3.x version)
 Filesystem in Userspace (FUSE) is a simple interface for userspace programs to
 export a virtual filesystem to the Linux kernel. It also aims to provide a
 secure method for non privileged users to create and mount their own filesystem
 implementations.
 .
 This package contains the development files.

Package: libfuture-asyncawait-perl
Description-md5: a6db7f6e1583616bb9ec51c606be8137
Description-en: deferred subroutine syntax for futures
 Future::AsyncAwait provides syntax for deferring and resuming subroutines
 while waiting for Futures to complete. This syntax aims to make code that
 performs asynchronous operations using futures look neater and more
 expressive than simply using then chaining and other techniques on the
 futures themselves. It is also a similar syntax used by a number of other
 languages; notably C# 5, EcmaScript 6, Python 3, and lately even Rust is
 considering adding it.
 .
 The new syntax takes the form of two new keywords, async and await.
 .
 STABILITY WARNING: This module is still relatively new and under active
 development. While it now seems relatively stable enough for most use-cases,
 there may still be a number of memory leaks left in it, especially if
 still-pending futures are abandoned.

Package: libfuzzer-10-dev
Description-md5: bc55cd127b8dab148b78e61e5e475b8e
Description-en: Library for coverage-guided fuzz testing
 LibFuzzer is a library for in-process, coverage-guided, evolutionary fuzzing
 of other libraries.
 .
 LibFuzzer is similar in concept to American Fuzzy Lop (AFL), but it performs
 all of its fuzzing inside a single process. This in-process fuzzing can be
 more restrictive and fragile, but is potentially much faster as there is no
 overhead for process start-up.
 .
 The fuzzer is linked with the library under test, and feeds fuzzed inputs to
 the library via a specific fuzzing entrypoint (aka 'target function'); the
 fuzzer then tracks which areas of the code are reached, and generates mutations
 on the corpus of input data in order to maximize the code coverage. The code
 coverage information for libFuzzer is provided by LLVM's SanitizerCoverage
 instrumentation.

Package: libfuzzer-6.0-dev
Description-md5: bc55cd127b8dab148b78e61e5e475b8e
Description-en: Library for coverage-guided fuzz testing
 LibFuzzer is a library for in-process, coverage-guided, evolutionary fuzzing
 of other libraries.
 .
 LibFuzzer is similar in concept to American Fuzzy Lop (AFL), but it performs
 all of its fuzzing inside a single process. This in-process fuzzing can be
 more restrictive and fragile, but is potentially much faster as there is no
 overhead for process start-up.
 .
 The fuzzer is linked with the library under test, and feeds fuzzed inputs to
 the library via a specific fuzzing entrypoint (aka 'target function'); the
 fuzzer then tracks which areas of the code are reached, and generates mutations
 on the corpus of input data in order to maximize the code coverage. The code
 coverage information for libFuzzer is provided by LLVM's SanitizerCoverage
 instrumentation.

Package: libfuzzer-7-dev
Description-md5: bc55cd127b8dab148b78e61e5e475b8e
Description-en: Library for coverage-guided fuzz testing
 LibFuzzer is a library for in-process, coverage-guided, evolutionary fuzzing
 of other libraries.
 .
 LibFuzzer is similar in concept to American Fuzzy Lop (AFL), but it performs
 all of its fuzzing inside a single process. This in-process fuzzing can be
 more restrictive and fragile, but is potentially much faster as there is no
 overhead for process start-up.
 .
 The fuzzer is linked with the library under test, and feeds fuzzed inputs to
 the library via a specific fuzzing entrypoint (aka 'target function'); the
 fuzzer then tracks which areas of the code are reached, and generates mutations
 on the corpus of input data in order to maximize the code coverage. The code
 coverage information for libFuzzer is provided by LLVM's SanitizerCoverage
 instrumentation.

Package: libfuzzer-8-dev
Description-md5: bc55cd127b8dab148b78e61e5e475b8e
Description-en: Library for coverage-guided fuzz testing
 LibFuzzer is a library for in-process, coverage-guided, evolutionary fuzzing
 of other libraries.
 .
 LibFuzzer is similar in concept to American Fuzzy Lop (AFL), but it performs
 all of its fuzzing inside a single process. This in-process fuzzing can be
 more restrictive and fragile, but is potentially much faster as there is no
 overhead for process start-up.
 .
 The fuzzer is linked with the library under test, and feeds fuzzed inputs to
 the library via a specific fuzzing entrypoint (aka 'target function'); the
 fuzzer then tracks which areas of the code are reached, and generates mutations
 on the corpus of input data in order to maximize the code coverage. The code
 coverage information for libFuzzer is provided by LLVM's SanitizerCoverage
 instrumentation.

Package: libfuzzer-9-dev
Description-md5: bc55cd127b8dab148b78e61e5e475b8e
Description-en: Library for coverage-guided fuzz testing
 LibFuzzer is a library for in-process, coverage-guided, evolutionary fuzzing
 of other libraries.
 .
 LibFuzzer is similar in concept to American Fuzzy Lop (AFL), but it performs
 all of its fuzzing inside a single process. This in-process fuzzing can be
 more restrictive and fragile, but is potentially much faster as there is no
 overhead for process start-up.
 .
 The fuzzer is linked with the library under test, and feeds fuzzed inputs to
 the library via a specific fuzzing entrypoint (aka 'target function'); the
 fuzzer then tracks which areas of the code are reached, and generates mutations
 on the corpus of input data in order to maximize the code coverage. The code
 coverage information for libFuzzer is provided by LLVM's SanitizerCoverage
 instrumentation.

Package: libfuzzy-dev
Description-md5: 4e79abe23b818f97e7e0b2626a18511f
Description-en: recursive piecewise hashing tool (development headers)
 ssdeep is a tool for recursive computing and matching of Context Triggered
 Piecewise Hashing (aka Fuzzy Hashing).
 .
 Fuzzy hashing is a method for comparing similar but not identical files.
 This tool can be used to compare files like regular hashing does (like
 md5sum or sha1sum) but it will find similar files with little differences.
 .
 For example, it can be used to identify modified versions of known files
 even if data has been inserted, modified, or deleted in the new files.
 .
 This package contains the developments files.

Package: libfuzzy2
Description-md5: b240ff04827332ed170f0c2c291a339e
Description-en: recursive piecewise hashing tool (library)
 ssdeep is a tool for recursive computing and matching of Context Triggered
 Piecewise Hashing (aka Fuzzy Hashing).
 .
 Fuzzy hashing is a method for comparing similar but not identical files.
 This tool can be used to compare files like regular hashing does (like
 md5sum or sha1sum) but it will find similar files with little differences.
 .
 For example, it can be used to identify modified versions of known files
 even if data has been inserted, modified, or deleted in the new files.
 .
 This package contains the library.

Package: libfuzzylite-dev
Description-md5: bd42374821a6b730aaa83d0081b6ea27
Description-en: fuzzy logic control development headers
 fuzzylite is a fuzzy logic control library which allows one to easily
 create fuzzy logic controllers in a few steps utilizing object-oriented
 programming. It supports five controller types (Mamdani, Takagi-Sugeno,
 Larsen, Tsukamoto, Inverse Tsukamoto), 20 linguistic terms, five
 integral and two weighted defuzzifiers, six hedge types, three import
 types (FuzzyLite Language, Fuzzy Inference System and Fuzzy Control
 Language) and six export types (C++, Java, FuzzyLite Language, FuzzyLite
 Dataset, Fuzzy Inference System, Fuzzy Control Language). It comes
 bundled with more than thirty examples for Mamdani, Takagi-Sugeno and
 Tsukamoto controllers from fuzzylite, octave and matlab, each in all
 supported export formats.
 .
 This package contains the development headers

Package: libfuzzylite6.0
Description-md5: 1a04d99fe6c9e3680ed1f4f8752f2ab4
Description-en: fuzzy logic control shared library
 fuzzylite is a fuzzy logic control library which allows one to easily
 create fuzzy logic controllers in a few steps utilizing object-oriented
 programming. It supports five controller types (Mamdani, Takagi-Sugeno,
 Larsen, Tsukamoto, Inverse Tsukamoto), 20 linguistic terms, five
 integral and two weighted defuzzifiers, six hedge types, three import
 types (FuzzyLite Language, Fuzzy Inference System and Fuzzy Control
 Language) and six export types (C++, Java, FuzzyLite Language, FuzzyLite
 Dataset, Fuzzy Inference System, Fuzzy Control Language). It comes
 bundled with more than thirty examples for Mamdani, Takagi-Sugeno and
 Tsukamoto controllers from fuzzylite, octave and matlab, each in all
 supported export formats.
 .
 This package contains the shared library

Package: libfvde-dev
Description-md5: 3fdf798f81af0f4cf5df967551cd4684
Description-en: FileVault Drive Encryption access library -- development files
 The FVDE format is used by Mac OS X, as of Lion, to encrypt data on a
 storage media volume.
 .
 This package includes the development support files.

Package: libfvde-utils
Description-md5: 9ae61c2ed24398c43510e2b073936f34
Description-en: FileVault Drive Encryption access library -- Utilities
 The FVDE format is used by Mac OS X, as of Lion, to encrypt data on a
 storage media volume.
 .
 This package contains tools to access data stored in FVDE containers:
 fvdeinfo, fvdemount, fvdewipekey.

Package: libfvde1
Description-md5: 2c2bf3b2bfd9b538cc93095c86012d12
Description-en: FileVault Drive Encryption access library
 The FVDE format is used by Mac OS X, as of Lion, to encrypt data on a
 storage media volume.
 .
 This package contains the shared library.

Package: libfwnt-dev
Description-md5: 23f3a1a26d2c1f938a5d99012a2442e8
Description-en: Windows NT data type library -- development files
 libfwnt is a library for Windows NT data types.
 .
 This package includes the development support files.

Package: libfwnt1
Description-md5: b791047c9edd966d6d0a3c9626e8756f
Description-en: Windows NT data type library
 libfwnt is a library for Windows NT data types.
 .
 This package contains the shared library.

Package: libfwsi-dev
Description-md5: 01b6f23314f6c7422f432d19d0d22247
Description-en: Windows Shell Item format access library -- development files
 libfwsi is a library to access the Windows Shell Item format.
 .
 This package includes the development support files.

Package: libfwsi1
Description-md5: 96bd0b8127a8afdf2614493b558879a1
Description-en: Windows Shell Item format access library
 libfwsi is a library to access the Windows Shell Item format.
 .
 This package contains the shared library.

Package: libfwts1
Description-md5: 52ff91e0d92988cc3e64dca37e83ded3
Description-en: FirmWare Test Suite core support library
 This is the fwts core library. It contains a top level test framework
 and a rich range of firmware specific testing support code for the
 various fwts tests.

Package: libfwtsacpica1
Description-md5: a5000d353030a31da5111f9694fad744
Description-en: FirmWare Test Suite ACPICA core built for acpiexec functionality
 The firmware test suite requires the ACPICA core engine to be built in
 execution mode (with ACPI_EXEC_APP enabled) to support run time execution
 of ACPI Machine Language (AML) in the ACPI DSDT and SSDTs. This library
 contains this code with a thin fwts specific API to interface with fwts.

Package: libfwtsiasl1
Description-md5: 0fdb8bb43f21085e686e7b8bb3529034
Description-en: FirmWare Test Suite ACPICA core built for iasl functionality
 The firmware test suite requires the ACPICA core engine to be built in
 compiler mode (with ACPI_ASL_COMPILER enabled) to support assembly and
 disassembly of ACPI Machine Language (AML) in the ACPI DSDT and SSDTs.
 This library contains this code with a thin fwts specific API to
 interface with fwts.

Package: libfxscintilla-dev
Description-md5: 70a7a74b0b68cdf704dfdacebded917a
Description-en: a development implementation of Scintilla for the FOX GUI Library
 FXScintilla is an implementation of Scintilla for the FOX GUI Library
 For more information about Scintilla, see http://www.scintilla.org.
 For more information about FOX, see http://fox-toolkit.org.

Package: libfxscintilla19
Description-md5: 1648118727b0ccac9966c185ae904a6c
Description-en: an implementation of Scintilla for the FOX GUI Library
 FXScintilla is an implementation of Scintilla for the FOX GUI Library
 For more information about Scintilla, see http://www.scintilla.org.
 For more information about FOX, see http://fox-toolkit.org.

Package: libfxt-dev
Description-md5: 365cd3d4fc1eb26a86c7edbe02cba123
Description-en: Multithreaded tracing library
 FxT is a library and associated tools that can be used to analyze the
 performance of multithreaded programs which can potentially use a
 hybrid thread scheduler (i.e. a user-level scheduler on top of a
 kernel-level one). The Marcel thread library can take full profit from
 this library.
 .
 FxT is based on the offline analysis of traces (sequence of events recorded at
 run time).
 .
 This package contains static libraries and development headers.

Package: libfxt1
Description-md5: 588d577eee5bdffbe8853a530eb00e88
Description-en: Multithreaded tracing library
 FxT is a library and associated tools that can be used to analyze the
 performance of multithreaded programs which can potentially use a
 hybrid thread scheduler (i.e. a user-level scheduler on top of a
 kernel-level one). The Marcel thread library can take full profit from
 this library.
 .
 FxT is based on the offline analysis of traces (sequence of events recorded at
 run time).
 .
 This package contains shared libraries.

Package: libfyba-dev
Description-md5: 55d73f1e58083dca3fe013989b7efcf5
Description-en: Header files for FYBA library
 This is the development package for developing applications that depends on
 libfyba. It includes header files, static library files and HTML
 documentation.

Package: libfyba0
Description-md5: 83dd1e9381879c795c2b017d0a202b8a
Description-en: FYBA library to read and write Norwegian geodata standard format SOSI
 OpenFYBA is the source code release of the FYBA library, distributed by the
 National Mapping Authority of Norway (Statens kartverk) to read and write
 files in the National geodata standard format SOSI.

Package: libg15-1
Description-md5: 4a7ccd1ca3784fc16a488b2c0dd9248a
Description-en: Library for interfacing with the Logitech G15 keyboards
 libg15 provides an API for low level control of the LCD display and
 the extra keys on some Logitech keyboards (G11, G15)
 .
 This package contains the shared library and the runtime data.

Package: libg15-dev
Description-md5: 3f104cb19c1a54a267e08c31f3268dae
Description-en: Library for interfacing with the Logitech G15 keyboards
 libg15 provides an API for low level control of the LCD display and
 the extra keys on some Logitech keyboards (G11, G15).
 .
 This package contains the header files and the static library.

Package: libg15daemon-client-dev
Description-md5: 2b362bd900c6ec627ac3a29dae137c9d
Description-en: Development files for libg15daemon-client
 The g15daemon client library allows applications to use LCD of the Logitech G15
 keyboard or Z10 speakers under control of g15daemon.
 .
 This package provides the development files and static libraries.

Package: libg15daemon-client1
Description-md5: 9c1125f18a67ab80234efcc77b4998d7
Description-en: Client library for communicating with g15daemon
 The g15daemon client library allows applications to use LCD of the Logitech G15
 keyboard or Z10 speakers under control of g15daemon.
 .
 This package provides the runtime files.

Package: libg15render-dev
Description-md5: 82ad7767303723a59f96464d41601bcf
Description-en: Library for interfacing with the Logitech G15 keyboards
 libg15 provides an API for low level control of the LCD display and
 the extra keys on some Logitech keyboards (G11, G15)
 .
 This package contains the header files and the static library of
 libg15render.

Package: libg15render1
Description-md5: 77fa811b4ecedff10cd1b01d3fc08c21
Description-en: Library for interfacing with the Logitech G15 keyboards
 libg15 provides an API for low level control of the LCD display and
 the extra keys on some Logitech keyboards (G11, G15)
 .
 This package contains the shared library for graphics primitives and
 text services for the display of the G15 keyboard.

Package: libg2-dev
Description-md5: d3a9fc916b8108c1696de821c7e8fab4
Description-en: g2 2D graphics library (development files)
 g2 is an easy to use, portable and powerful 2D graphics library. It provides a
 comprehensive set of functions for simultaneous generation of graphical output
 to X11 and graphic formats PNG, JPEG and PostScript. g2 is written in ANSI C
 and provides Fortran and Perl interfaces.
 .
 This package provides static library and header files for g2.

Package: libg20
Description-md5: 5cc4d72d0df4f199b87ac5a67938bc31
Description-en: g2 2D graphics library
 g2 is an easy to use, portable and powerful 2D graphics library. It provides a
 comprehensive set of functions for simultaneous generation of graphical output
 to X11 and graphic formats PNG, JPEG and PostScript. g2 is written in ANSI C
 and provides Fortran and Perl interfaces.

Package: libg20-perl
Description-md5: bfa60bb0c4d320db11e6a2ad44589f29
Description-en: g2 2D graphics library (Perl module)
 g2 is an easy to use, portable and powerful 2D graphics library. It provides a
 comprehensive set of functions for simultaneous generation of graphical output
 to X11 and graphic formats PNG, JPEG and PostScript. g2 is written in ANSI C
 and provides Fortran and Perl interfaces.
 .
 This package provides the g2 perl module.

Package: libg3d-dev
Description-md5: c7181f2fb1e24f5ebefb53c1996eca0f
Description-en: LibG3D development package
 LibG3D is a framework for loading 3d model files from files or memory. It can
 also load associated data like textures.
 .
 This package contains everything which is needed to link against libg3d0.

Package: libg3d-doc
Description-md5: 553fee9c3a50e8cd1fc92f1985f19387
Description-en: LibG3D API documentation in HTML format
 LibG3D is a framework for loading 3d model files from files or memory. It can
 also load associated data like textures.
 .
 This package contains the API documentation of the LibG3D library in HTML
 format.

Package: libg3d-plugin-gdkpixbuf
Description-md5: c7b2dc1955fd245117a6fcff77041275
Description-en: plugin for the LibG3D library
 LibG3D is a framework for loading 3d model files from files or memory. It can
 also load associated data like textures.
 .
 This package contains a plugin for the LibG3D library so that LibG3D can load
 every image that libgdk-pixbuf2 is able to work with.

Package: libg3d-plugins
Description-md5: c93af61a2468a62faadb02bca3bc6dfa
Description-en: plugins for the LibG3D library
 LibG3D is a framework for loading 3d model files from files or memory. It can
 also load associated data like textures.
 .
 This package contains plugins for the LibG3D library, so that files can be
 loaded.
 It can handle:
    * 3D Studio (.3ds, .prj)
    * LightWave (.lw, .lwb, .lwo)
    * Alias Wavefront (.obj)
    * Impulse TurboSilver / Imagine (.iob)
    * AutoCAD (.dxf)
    * Quake II Models (.md2)
    * Quake III Models (.md3)
    * Neutral File Format (.nff)
    * 3D Metafile (.3dmf, .3mf, .b3d)
    * Caligari TrueSpace Objects (.cob)
    * Quick3D Objects & Scenes (.q3o, q3s)
    * VRML 1.0 files (.wrl, .vrml)
    * AC3D objects (.ac, .acc)
    * LeoCAD Models (.lcd)
    * Racer car models (.ar, .dof)
    * Ultimate Stunts car models (.glb)
    * VDrift car models (.joe, .car)
    * COLLADA (.dae)
    * Keyhole Markup Language model container (.kmz)
    * ASCII Scene Exporter (.ase)
    * LDraw (.dat, .mpd)

Package: libg3d0
Description-md5: 6cb929e836d68bfee0df794bdb4ebbd2
Description-en: library for loading and manipulating 3D model files
 LibG3D is a framework for loading 3d model files from files or memory. It can
 also load associated data like textures.
 .
 LibG3D cannot load anything without the importers provided by libg3d-plugins
 and libg3d-plugin-gdkpixbuf. See these packages for more information about
 supported formats.

Package: libg810-led-dev
Description-md5: adbb98bba8a9fed857137587056a8c0b
Description-en: Logitech keyboard LED configuration library (development)
 g810-led is a configuration tool for the LEDs on Logitech Gx10 gaming
 keyboards: G213, G410, G413, G512, G513, G610, G810, G910, and G Pro.
 The LEDs can be configured in a variety of ways, depending on the
 keyboards' capabilities:
 .
  * pre-defined effects (breathing, colour-cycling, waves)
  * individual key colours and/or intensities
  * key group colours and/or intensities
 .
 This package provides the headers and development library required to
 build software using the libg810-led library.

Package: libg810-led0
Description-md5: 8cf0ed5ce3dcdec9885181b5a6b507fc
Description-en: Logitech keyboard LED configuration library (runtime)
 g810-led is a configuration tool for the LEDs on Logitech Gx10 gaming
 keyboards: G213, G410, G413, G512, G513, G610, G810, G910, and G Pro.
 The LEDs can be configured in a variety of ways, depending on the
 keyboards' capabilities:
 .
  * pre-defined effects (breathing, colour-cycling, waves)
  * individual key colours and/or intensities
  * key group colours and/or intensities
 .
 This package provides a runtime library encapsulating these
 features.

Package: libga-dev
Description-md5: 068452fa5f56c21bf7baf4e52f87cbbd
Description-en: C++ Library of Genetic Algorithm Components
 GAlib contains a set of C++ genetic algorithm objects. The library
 includes tools for using genetic algorithms to do optimization in any C++
 program using any representation and genetic operators. The documentation
 includes an extensive overview of how to implement a genetic algorithm as
 well as examples illustrating customizations to the GAlib classes.
 .
 This package contains the development files.

Package: libga2
Description-md5: a1221d497f5633bf38eeb5bbdba37158
Description-en: C++ Library of Genetic Algorithm Components
 GAlib contains a set of C++ genetic algorithm objects. The library
 includes tools for using genetic algorithms to do optimization in any C++
 program using any representation and genetic operators. The documentation
 includes an extensive overview of how to implement a genetic algorithm as
 well as examples illustrating customizations to the GAlib classes.

Package: libgadap-dev
Description-md5: a462de759939acb636886674ad9f1ba5
Description-en: Development and static libraries for GADAP
 Gadap provides in-situ access to OpeNDAP data for GrADS, the
 Grid Analysis and Display System.
 This package provides development headers and static libraries for GADAP.

Package: libgadu-dev
Description-md5: 7454e7182cbd41e16f7277a4bf5c5301
Description-en: Gadu-Gadu protocol library - development files
 Gadu-Gadu is an instant messaging program, very popular in
 Poland. libgadu is a Gadu-Gadu protocol implementation
 library.
 .
 This package contains the development files.

Package: libgadu-doc
Description-md5: 72c0414dead09e352a00744af1995702
Description-en: Gadu-Gadu protocol library - documentation
 Gadu-Gadu is an instant messaging program, very popular in
 Poland. libgadu is a Gadu-Gadu protocol implementation
 library.
 .
 This package contains the documentation and example programs.

Package: libgadu3
Description-md5: 7cdb0d0cd15a89035e2409916fe49705
Description-en: Gadu-Gadu protocol library - runtime files
 Gadu-Gadu is an instant messaging program, very popular in
 Poland. libgadu is a Gadu-Gadu protocol implementation
 library.
 .
 This package contains the shared library.

Package: libgail-dev
Description-md5: 5edeb3765ab0b94a94768d0af3525056
Description-en: GNOME Accessibility Implementation Library -- development files
 Gail implements ATK interfaces for GTK widgets which are dynamically
 loadable at runtime by a GTK application. Once loaded, those parts of
 an application that use standard GTK widgets will have a basic level
 of accessibility, without the need to modify the application at all.
 .
 This package contains the development files for Gail.

Package: libgambit4
Description-md5: 687de731e2eb2216a136bb697a4fb96d
Description-en: Shared libraries for the Gambit interpreter and compiler
 The package includes .so, .c and .scm files, which are necessary to
 run the Gambit interpreter and the Gambit compiler.

Package: libgambit4-dev
Description-md5: 2d0b0ed53df7b5a53f301496746a7640
Description-en: Header file and link for the Gambit shared library
 The package includes gambit.h and the libgambit.so, libgambitgsi.so
 and libgambitgsc.so links.

Package: libgamin-dev
Description-md5: 4f141395bed17927a550d9cbf3691126
Description-en: Development files for the gamin client library
 Gamin is a file and directory monitoring system defined to be a
 subset of the FAM (File Alteration Monitor) system.
 .
 This package contains the development files for the gamin client library.

Package: libgamin0
Description-md5: f7e0cae150d4dd92bca1c01c4a5e2354
Description-en: Client library for the gamin file and directory monitoring system
 Gamin is a file and directory monitoring system defined to be a
 subset of the FAM (File Alteration Monitor) system.
 .
 This package contains the client library for the gamin file and directory
 monitoring system.

Package: libgaminggear-common
Description-md5: 5bc2199e102e1177fb7f8cf74c0a5c57
Description-en: Functionalities for gaming input devices, common files
 This package contains the icons and other generic files that are not
 development files or libraries, useful for libgaminggear0 and
 gaminggear-utils.

Package: libgaminggear-dev
Description-md5: f35f6577e7febcec0ce46b252e5b31c4
Description-en: Functionalities for gaming input devices (devel)
 Libgaminggear contains functionalities for tools interacting with gaming
 hardware. This package provides the headers files needed to develop using this
 library.

Package: libgaminggear-doc
Description-md5: 53b245c209f522f8906233d2d748ec6e
Description-en: Functionalities for gaming input devices (documentation)
 This package provides the doxy-generated documentation extracted from
 libgaminggear source code. It thus provides a bit of intel on how to develop
 software using this library.

Package: libgaminggear0
Description-md5: 76d2ce473dab88aca5170584107175e7
Description-en: Functionalities for gaming input devices
 Libgaminggear0 contains needed functionalities for roccat-tools that are not
 vendor specific and therefore might be useful for similar software. It
 contains some GUI elements for unifying look and feel of such type of
 software up to a complete macro editor with multi vendor import/export
 functionality. It also contains software macro playback functionality and
 audio/screen notifications.

Package: libgammu-dev
Description-md5: edd57e45f8c49e9140d3d02bd2f8a25b
Description-en: mobile phone management library (development files)
 Gammu is command line utility and library to work with mobile phones
 from many vendors. Support for different models differs, but basic
 functions should work with majority of them. Program can work with
 contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem,
 integrated radio, camera, etc. It also supports daemon mode to send and
 receive SMSes.
 .
 Currently supported phones include:
 .
  * Many Nokia models.
  * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735).
  * AT capable phones (Siemens, Nokia, Alcatel, IPAQ).
  * OBEX and IrMC capable phones (Sony-Ericsson, Motorola).
  * Symbian phones through gnapplet.
 .
 This package contains header files needed for compiling programs with
 Gammu and developer documentation.

Package: libgammu-i18n
Description-md5: 545e21badad930bd5c1dae878f31ce4a
Description-en: mobile phone management library (i18n files)
 Gammu is command line utility and library to work with mobile phones
 from many vendors. Support for different models differs, but basic
 functions should work with majority of them. Program can work with
 contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem,
 integrated radio, camera, etc. It also supports daemon mode to send and
 receive SMSes.
 .
 Currently supported phones include:
 .
  * Many Nokia models.
  * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735).
  * AT capable phones (Siemens, Nokia, Alcatel, IPAQ).
  * OBEX and IrMC capable phones (Sony-Ericsson, Motorola).
  * Symbian phones through gnapplet.
 .
 This package contains i18n files for Gammu shared library and binary.

Package: libgammu8
Description-md5: 56f1325a9dd659a19ed4453a5c3f9a21
Description-en: mobile phone management library
 Gammu is command line utility and library to work with mobile phones
 from many vendors. Support for different models differs, but basic
 functions should work with majority of them. Program can work with
 contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem,
 integrated radio, camera, etc. It also supports daemon mode to send and
 receive SMSes.
 .
 Currently supported phones include:
 .
  * Many Nokia models.
  * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735).
  * AT capable phones (Siemens, Nokia, Alcatel, IPAQ).
  * OBEX and IrMC capable phones (Sony-Ericsson, Motorola).
  * Symbian phones through gnapplet.
 .
 This package contains Gammu shared library.

Package: libganglia1
Description-md5: e4a2092c97990cbabcc00011617ffdfb
Description-en: cluster monitoring toolkit - shared libraries
 Ganglia is a scalable, real-time cluster monitoring environment
 that collects cluster statistics in an open and well-defined XML format.
 .
 This package contains shared libraries.

Package: libganglia1-dev
Description-md5: b18cddff01e970e205d5e3790581a9d2
Description-en: cluster monitoring toolkit - development libraries
 Ganglia is a scalable, real-time cluster monitoring environment
 that collects cluster statistics in an open and well-defined XML format.
 .
 This package contains development libraries.

Package: libganv-1-1v5
Description-md5: 0753615354dd10001870d2b7555231a6
Description-en: canvas widget for graph-based interfaces
 Ganv is an interactive Gtk canvas widget for graph-based interfaces
 (patchers, modular synthesizers, finite state automata, interactive
 graphs, etc).
 .
 Ganv provides classes for "Modules" (boxes with "Ports"), Circles,
 and Edges (lines that connect either Ports or Circles).  The user
 can rearrange items, or Ganv can automatically arrange items using
 GraphViz. Edges can be made by the user one at a time with the mouse,
 or in groups using the mouse and keyboard.
 .
 This package provides the shared library.

Package: libganv-dev
Description-md5: 6a06a42f608721d047e073998fc6f9a6
Description-en: canvas widget for graph-based interfaces (development files)
 Ganv is an interactive Gtk canvas widget for graph-based interfaces
 (patchers, modular synthesizers, finite state automata, interactive
 graphs, etc).
 .
 This package provides the development files for the Ganv library.

Package: libganymed-ssh2-java
Description-md5: e528cdb49eee5c3d3dfb8c8815ab1c3b
Description-en: pure Java implementation of the SSH-2 protocol
 Ganymed SSH-2 for Java is a library which implements the SSH-2
 protocol in pure Java. It allows one to connect to SSH servers from
 within Java programs. It supports SSH sessions (remote command
 execution and shell access), local and remote port forwarding, local
 stream forwarding, X11 forwarding, SCP and SFTP. There are no
 dependencies on any JCE provider, as all crypto functionality is
 included.

Package: libgarcon-1-0
Description-md5: ccef8bc40b886986c0af27faf580d445
Description-en: freedesktop.org compliant menu implementation for Xfce
 garcon is a menu implementation that is compliant with the Desktop Menu
 Specification of freedesktop.org
 .
 This package contains the core library and the GTK2 support library.

Package: libgarcon-1-0-dev
Description-md5: d67d28056066891dbbeff4d6d544ce2e
Description-en: transitional package for libgarcon-1-dev
 This package has been renamed to libgarcon-1-dev.

Package: libgarcon-1-dev
Description-md5: 47fc6c17a67006d56041ffe28624e815
Description-en: Development files for garcon-1
 This package contains the headers and the static library
 for garcon-1.

Package: libgarcon-common
Description-md5: 88e7d9fc75824b1859206ba2be898feb
Description-en: common files for libgarcon menu implementation
 garcon is a menu implementation that is compliant with the Desktop Menu
 Specification of freedesktop.org
 .
 This package contains common files like desktop-directories and menu file.

Package: libgarcon-gtk3-1-0
Description-md5: 3efdbc3fb0422b71ae870d49fbd708d8
Description-en: menu library for Xfce (GTK3 library)
 garcon is a menu implementation that is compliant with the Desktop Menu
 Specification of freedesktop.org
 .
 This package contains the GTK-3 support library.

Package: libgarcon-gtk3-1-dev
Description-md5: 82e5020ee99ef6a556a7cd776485e413
Description-en: Development files for garcon-gtk3
 This package contains the headers and the static library for garcon-gtk3
 library, the GTK3 support library for the garcon menu library.

Package: libgarmin-dev
Description-md5: a2dfa22b08ab49db8d539ac49d037558
Description-en: Garmin image format library (development files)
 libgarmin is a library to parse Garmin IMG files as used by the Garmin GPS
 devices.
 .
 This package includes development support files.

Package: libgarmin0
Description-md5: f595c7f5452051a9f03617ab5ff10f8f
Description-en: Garmin image format library (runtime version)
 libgarmin is a library to parse Garmin IMG files as used by the Garmin GPS
 devices.
 .
 This package contains the run-time shared library only.

Package: libgatbcore-dev
Description-md5: 31f368a3a87873b818271b935c41d684
Description-en: development library of the Genome Analysis Toolbox
 The GATB-CORE project provides a set of highly efficient
 algorithms to analyse NGS data sets. These methods enable
 the analysis of data sets of any size on multi-core desktop
 computers, including very huge amount of reads data coming
 from any kind of organisms such as bacteria, plants,
 animals and even complex samples (e.g. metagenomes).
 Read more about GATB at https://gatb.inria.fr/.
 By itself GATB-CORE is not an NGS data analysis tool.
 However, it can be used to create such tools. There already
 exist a set of ready-to-use tools relying on GATB-CORE
 library: see https://gatb.inria.fr/software/
 .
 This package contains the static library and the header files
 of the gatb-core library.

Package: libgatbcore3
Description-md5: 5aa86c97b62a657fd9e2e513992b1d66
Description-en: dynamic library of the Genome Analysis Toolbox
 The GATB-CORE project provides a set of highly efficient
 algorithms to analyse NGS data sets. These methods enable
 the analysis of data sets of any size on multi-core desktop
 computers, including very huge amount of reads data coming
 from any kind of organisms such as bacteria, plants,
 animals and even complex samples (e.g. metagenomes).
 Read more about GATB at https://gatb.inria.fr/.
 By itself GATB-CORE is not an NGS data analysis tool.
 However, it can be used to create such tools. There already
 exist a set of ready-to-use tools relying on GATB-CORE
 library: see https://gatb.inria.fr/software/
 .
 This package contains the dynamic library.

Package: libgatk-native-bindings-java
Description-md5: f0f6289f6d9a246923caaa8c3de94f49
Description-en: library for gatk and picard-tools
 Utilitary library for gatk and picard-tools

Package: libgauche-0.9-0
Description-md5: 750435b508c99fa751b20a1ce41dad54
Description-en: Gauche runtime shared library
 Gauche is a Scheme implementation developed to be a handy script
 interpreter.
 .
 This package contains Gauche runtime shared library.

Package: libgaviotatb-dev
Description-md5: d6e64dd6174817b51fcd2b8f76ae0ffa
Description-en: Gaviota chess endgame tablebase probing library
 Gaviota is a chess engine. Gaviota generates and uses its own Endgame
 Tablebases (EGTBs) with its own format. It contains "distance to
 mate" information, which is how many moves are needed to mate the
 opponent, or to be mated. The Gaviota Tablebases can be probed from
 your own program (engine or interface) using this library.
 .
 This package contains the shared libraries.

Package: libgaviotatb1
Description-md5: e80fefc394429bd06d3861e6d3f59a67
Description-en: Gaviota chess endgame tablebase probing library (development package)
 Gaviota is a chess engine. Gaviota generates and uses its own Endgame
 Tablebases (EGTBs) with its own format. It contains "distance to
 mate" information, which is how many moves are needed to mate the
 opponent, or to be mated. The Gaviota Tablebases can be probed from
 your own program (engine or interface) using this library.
 .
 This package provides a static library and header files.

Package: libgavl-dev
Description-md5: afe154d801418fd58d4abdfb0aa7821b
Description-en: low level audio and video library - development files
 Gavl handles all the details of audio and video formats like colorspaces,
 samplerates, multichannel configurations etc. It provides standardized
 definitions for those formats as well as container structures for carrying
 audio samples or video images inside an application.
 .
 In addition, it handles the sometimes ugly task to convert between all
 these formats and provides some elementary operations (copying, scaling,
 alpha blending etc).
 .
 This package contains the files needed to compile and statically link
 against Gavl.

Package: libgavl-doc
Description-md5: 3784b60b452869cd888936ba1b779f5b
Description-en: low level audio and video library - documentation files
 Gavl handles all the details of audio and video formats like colorspaces,
 samplerates, multichannel configurations etc. It provides standardized
 definitions for those formats as well as container structures for carrying
 audio samples or video images inside an application.
 .
 In addition, it handles the sometimes ugly task to convert between all
 these formats and provides some elementary operations (copying, scaling,
 alpha blending etc).
 .
 This package contains the documentation about Gavl.

Package: libgavl-ocaml
Description-md5: b9fe8258eadd07fc690c30c94fad04b1
Description-en: OCaml interface to the gavl library
 This package provides an interface to the gavl library for
 OCaml programmers.
 .
 Gavl handles all the details of audio and video formats like colorspaces,
 samplerates, multichannel configurations etc. It provides standardized
 definitions for those formats as well as container structures for carrying
 audio samples or video images inside an application.
 .
 This package contains only the shared runtime stub libraries.

Package: libgavl-ocaml-dev
Description-md5: c9dc64b5515628c3c1e241c2f1f516ea
Description-en: OCaml interface to the gavl library
 This package provides an interface to the gavl library for
 OCaml programmers.
 .
 Gavl handles all the details of audio and video formats like colorspaces,
 samplerates, multichannel configurations etc. It provides standardized
 definitions for those formats as well as container structures for carrying
 audio samples or video images inside an application.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-gavl.

Package: libgavl1
Description-md5: e9c874fcef8bc8178a3b9c14f42576df
Description-en: low level audio and video library - runtime files
 Gavl handles all the details of audio and video formats like colorspaces,
 samplerates, multichannel configurations etc. It provides standardized
 definitions for those formats as well as container structures for carrying
 audio samples or video images inside an application.
 .
 In addition, it handles the sometimes ugly task to convert between all
 these formats and provides some elementary operations (copying, scaling,
 alpha blending etc).
 .
 This package contains the files needed to run a program compiled using
 Gavl.

Package: libgazebo9
Description-md5: 4dfd67c0e6a55bf17faa3c9c6af1f472
Description-en: Open Source Robotics Simulator - shared library
 Gazebo is a multi-robot simulator for outdoor environments. Like
 Stage (part of the Player project), it is capable of simulating a
 population of robots, sensors and objects, but does so in a
 three-dimensional world. It generates both realistic sensor feedback
 and physically plausible interactions between objects (it includes an
 accurate simulation of rigid-body physics).
 .
 This package gathers shared libraries used

Package: libgazebo9-dev
Description-md5: ef46e39f6bafb8eed43d21d784d17205
Description-en: Open Source Robotics Simulator - Development Files
 Gazebo is a multi-robot simulator for outdoor environments. Like
 Stage (part of the Player project), it is capable of simulating a
 population of robots, sensors and objects, but does so in a
 three-dimensional world. It generates both realistic sensor feedback
 and physically plausible interactions between objects (it includes an
 accurate simulation of rigid-body physics).
 .
 This package contains development files (headers, shared library
 symbolic link and pkg-config file).

Package: libgbtools-dev
Description-md5: 73e687483641db22a3f37df23ba5daa8
Description-en: library for visualising sequence alignments (devel)
 The SeqTools package contains three tools for visualising sequence
 alignments: Blixem, Dotter and Belvu.
 .
 This package contains the static library and header files.

Package: libgbtools0
Description-md5: 06828e5330e8ff00ce00ca01a9345176
Description-en: library for visualising sequence alignments
 The SeqTools package contains three tools for visualising sequence
 alignments: Blixem, Dotter and Belvu.
 .
 This package contains the library all three tools are linked against.

Package: libgcab-tests
Description-md5: 9eaf3e8175f4ab9ef9f726c9c015bd93
Description-en: Microsoft Cabinet file manipulation library - as-installed tests
 libgcab provides functions to manipulate cabinet (.cab) files,
 both for reading and writing their contents.
 .
 This package contains automated tests, mostly for use via autopkgtest.
 They can most easily be invoked via the gnome-desktop-testing-runner
 tool in the gnome-desktop-testing package.

Package: libgcc-10-dev-alpha-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-amd64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-arm64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-armel-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-armhf-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-hppa-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-i386-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-m68k-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-mips-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-mips64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-mips64el-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-mips64r6-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-mips64r6el-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-mipsel-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-mipsr6-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-mipsr6el-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-powerpc-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-ppc64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-ppc64el-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-riscv64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-s390x-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-sh4-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-sparc64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-10-dev-x32-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-7-dev
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-alpha-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-amd64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-arm64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-armel-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-armhf-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-hppa-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-i386-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-m68k-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-powerpc-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-ppc64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-ppc64el-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-riscv64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-s390x-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-sh4-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-sparc64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-8-dev-x32-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-alpha-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-amd64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-armel-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-hppa-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-i386-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-m68k-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-mips-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-mips64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-mips64el-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-mips64r6-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-mips64r6el-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-mipsel-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-mipsr6-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-mipsr6el-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-powerpc-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-ppc64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-riscv64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-sh4-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-sparc64-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-9-dev-x32-cross
Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac
Description-en: GCC support library (development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libgcc-s1-alpha-cross
Description-md5: c1449e893b96c119e159b8dd0061b335
Description-en: GCC support library (alpha)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libgcc-s1-amd64-cross
Description-md5: dbd58de92a4101b2b20e035a2233fc65
Description-en: GCC support library (amd64)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-armel-cross
Description-md5: 8771c736c3d986a94c65167d73ff74bc
Description-en: GCC support library (armel)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libgcc-s1-i386-cross
Description-md5: e340b46865ab958070306e789ff32213
Description-en: GCC support library (i386)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-mips-cross
Description-md5: 1460f2d7d37d562923c7faaf0cf48249
Description-en: GCC support library (mips)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libgcc-s1-mips64-cross
Description-md5: 1ed3494f7decff486d41d819f7a71576
Description-en: GCC support library (mips64)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-mips64el-cross
Description-md5: b103b0e7b6b6cdfa46bfc1e1ff6c01af
Description-en: GCC support library (mips64el)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libgcc-s1-mips64r6-cross
Description-md5: 94d4aad9aa1541ebb9b87cf5c77debf4
Description-en: GCC support library (mips64r6)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-mips64r6el-cross
Description-md5: 382950630d5de2c7e7ef668c42e07785
Description-en: GCC support library (mips64r6el)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libgcc-s1-mipsel-cross
Description-md5: 16930d2cdf795ab3d2d173c5043c46dc
Description-en: GCC support library (mipsel)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libgcc-s1-mipsr6-cross
Description-md5: 5bcc5545fe895661dbad76dd39768e32
Description-en: GCC support library (mipsr6)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-mipsr6el-cross
Description-md5: e0fefd6890be9d42f0f0ccb1005c8213
Description-en: GCC support library (mipsr6el)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libgcc-s1-powerpc-cross
Description-md5: d97b0ab372ed4e0fac3e8ea25b9a4e4f
Description-en: GCC support library (powerpc)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libgcc-s1-ppc64-cross
Description-md5: bda529369c13a335003e1a9d8f396453
Description-en: GCC support library (ppc64)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-riscv64-cross
Description-md5: 477d3fda890f6445bbd199516aed7b4e
Description-en: GCC support library (riscv64)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-sh4-cross
Description-md5: dca961cb406b7f3ffec5ae5354f5e0ce
Description-en: GCC support library (sh4)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-sparc64-cross
Description-md5: 763d06745e3ccbfca5c5187240684bbe
Description-en: GCC support library (sparc64)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libgcc-s1-x32-cross
Description-md5: f260d13bf38c4bf7f34927887b6ec92a
Description-en: GCC support library (x32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libgcc-s2-m68k-cross
Description-md5: 53ea5560d50645c6f9da8c3fd539f026
Description-en: GCC support library (m68k)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libgcc-s4-hppa-cross
Description-md5: 7025f57159a1cf219666461fd810564f
Description-en: GCC support library (hppa)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libgcc1
Description-md5: 7a280fd0d57d0fdec4489c094bf3c8c0
Description-en: GCC support library (dependency package)
 This is a dependency package, and can be safely removed after upgrade.

Package: libgccjit-10-dev
Description-md5: edfbe45c4dd603bd5f9c67ae4ba8c494
Description-en: GCC just-in-time compilation (development files)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgccjit-10-doc
Description-md5: 83ae0019c7b0b4b05ff14e3fc173a180
Description-en: GCC just-in-time compilation (documentation)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgccjit-7-dev
Description-md5: edfbe45c4dd603bd5f9c67ae4ba8c494
Description-en: GCC just-in-time compilation (development files)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgccjit-7-doc
Description-md5: 83ae0019c7b0b4b05ff14e3fc173a180
Description-en: GCC just-in-time compilation (documentation)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgccjit-8-dev
Description-md5: edfbe45c4dd603bd5f9c67ae4ba8c494
Description-en: GCC just-in-time compilation (development files)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgccjit-8-doc
Description-md5: 83ae0019c7b0b4b05ff14e3fc173a180
Description-en: GCC just-in-time compilation (documentation)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgccjit-9-dev
Description-md5: edfbe45c4dd603bd5f9c67ae4ba8c494
Description-en: GCC just-in-time compilation (development files)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgccjit-9-doc
Description-md5: 83ae0019c7b0b4b05ff14e3fc173a180
Description-en: GCC just-in-time compilation (documentation)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgccjit0
Description-md5: 78f861084806b36ce34263514298785e
Description-en: GCC just-in-time compilation (shared library)
 libgccjit provides an embeddable shared library with an API for adding
 compilation to existing programs using GCC.

Package: libgcin
Description-md5: 024d599fbcd6cbdcf869fed5c592ddf5
Description-en: library for gcin
 gcin is a GTK+ based input method which focused mainly on Traditional
 Chinese. However, it is also very useful for Simplified Chinese, Japanese,
 and many other languages.
 .
 This package contains library for gcin.

Package: libgclib-dev
Description-md5: 9e6c6f2bb41b72b6ec6259d6e96c5a26
Description-en: header files for Genome Code Lib (GCLib)
 This is an eclectic gathering of (mostly) C++ code which upstream used
 for some bioinformatics projects. The main idea is to provide
 lean code and efficient data structures, trying to avoid too many code
 dependencies of heavy libraries while minimizing production cycles (and
 this also implies a decent compile/build time -- looking at you,
 bloated configure scripts and lengthy compile times of Boost code or
 other heavy C++ template code..).
 .
 This code was gathered even before the C++ STL had been fully adopted as
 a cross-platform "standard". Since STL by itself is a bit heavier for
 most of the C++ needs, it is preferred to use simpler&leaner C++ classes
 or templates for basic strings, containers, basic algorithms etc.
 .
 Header files of Genome Code Lib. It is mainly known for being
 used by StringTie but with its own release cycle.

Package: libgclib1
Description-md5: 9c72ef489798045bcaeb2c7757b107f6
Description-en: C++ library to handle biological short sequence data
 This is an eclectic gathering of (mostly) C++ code which upstream used
 for some bioinformatics projects. The main idea is to provide
 lean code and efficient data structures, trying to avoid too many code
 dependencies of heavy libraries while minimizing production cycles (and
 this also implies a decent compile/build time -- looking at you,
 bloated configure scripts and lengthy compile times of Boost code or
 other heavy C++ template code..).
 .
 This code was gathered even before the C++ STL had been fully adopted as
 a cross-platform "standard". Since STL by itself is a bit heavier for
 most of the C++ needs, it is preferred to use simpler&leaner C++ classes
 or templates for basic strings, containers, basic algorithms etc.

Package: libgconf-2-4
Description-md5: 1932af19e4e2359e332eb740ebf62289
Description-en: GNOME configuration database system (shared libraries)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package contains the shared libraries.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libgconf2-dev
Description-md5: 2a07569eb99eaa98577dedfbc5c02ee6
Description-en: GNOME configuration database system (development)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package contains the static libraries and header files needed to
 build applications using GConf.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libgconf2-doc
Description-md5: 1858b9aa1e135e01bb216f0b7b89931a
Description-en: GNOME configuration database system (API reference)
 GConf is a configuration database system for storing application
 preferences. It supports default or mandatory settings set by the
 administrator, and changes to the database are instantly applied to all
 running applications. It is written for the GNOME desktop but doesn't
 require it.
 .
 This package contains the API reference for the GConf library.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libgcr410
Description-md5: 0cf60725dbcf9eba9f1d4572e2f0b7e2
Description-en: PC/SC driver for GemPlus GCR410 serial SmartCard interface
 The libgcr410 package contains a PC/SC driver for the GemPlus GCR410 serial
 SmartCard interface. Note that this is a different driver then libgempc.
 If you have a GemPC 410 reader, this driver is not for you.

Package: libgcroots-dev
Description-md5: d8e9506bfb8fd42123effe7bd25ae677
Description-en: Development GC library for sigscheme Scheme interpreter
 SigScheme is a Scheme interpreter, which targets embedded programs.
 SigScheme is used as extension engine for uim, universal input method
 system.  It conforms to R5RS, some of SRFI-s.
 .
 This package contains header files and static libraries for garbage
 collector roots development.

Package: libgcroots0
Description-md5: 39d7c0004d586e3fa2ee5c44502712c8
Description-en: GC shared library for sigscheme Scheme interpreter
 SigScheme is a Scheme interpreter, which targets embedded programs.
 SigScheme is used as extension engine for uim, universal input method
 system.  It conforms to R5RS, some of SRFI-s.
 .
 This package contains the shared libraries for garbage collector roots.

Package: libgcrypt-mingw-w64-dev
Description-md5: a1e91d61a146164e6ede6bff18422dd6
Description-en: LGPL Crypto library - Windows development
 libgcrypt contains cryptographic functions.  Many important free
 ciphers, hash algorithms and public key signing algorithms have been
 implemented:
 .
 Arcfour, Blowfish, CAST5, DES, AES, Twofish, Serpent, rfc2268 (rc2), SEED,
 Poly1305, Camellia, ChaCha20, IDEA, Salsa, Blake-2, CRC, MD2, MD4, MD5,
 RIPE-MD160, SHA-1, SHA-256, SHA-512, SHA3-224, SHA3-256, SHA3-384, SHA3-512,
 SHAKE128, SHAKE256, Tiger, Whirlpool, DSA, DSA2, ElGamal, RSA, ECC
 (Curve25519, sec256k1, GOST R 34.10-2001 and GOST R 34.10-2012, etc.)
 .
 This is a Windows version of libgcrypt.  It's meant to be used when
 cross-building software that targets the Windows platform, e.g. the
 win32-loader component of Debian-Installer.

Package: libgctp-2.0.0
Description-md5: 1218ec34e2d45c8fc08d721f71c298e2
Description-en: General Cartographic Transformation Package Library
 The General Cartographic Transformation Package (GCTP) is a system of
 software routines designed to permit the transformation of coordinate
 pairs from one map projection to another. The GCTP is the standard
 computer software used by the National Mapping Division for map
 projection computations.

Package: libgctp-dev
Description-md5: 327da800bcd2b7c7facd62bf5230d5f4
Description-en: General Cartographic Transformation Package - development files
 This package contains the static library, pkg-config files and include files
 needed to build with the General Cartographic Transformation Package library.

Package: libgcu0v5
Description-md5: 91603e5262e54605eddeb43bcdbb4781
Description-en: GNOME chemistry utils (library)
 The GNOME Chemistry Utils provide C++ classes and Gtk+-2 widgets
 related to chemistry. They will be used in future versions of both
 gcrystal and gchempaint.
 .
 This package provides the shared library.

Package: libgd-barcode-perl
Description-md5: f868cae2cc5f954391fc3915ce0ecfc8
Description-en: Perl module to create barcode images
 GD::Barcode creates barcode images. It supports the following barcode formats:
 COOP2of5, Code39, EAN13 (JAN13), EAN8 (JAN8), IATA2of5, ITF (Interleaved2of5),
 Industrial2of5, Matrix2of5, NW7, QRcode, UPC-A and UPC-E.

Package: libgd-gd2-noxpm-ocaml
Description-md5: 92af4b2e95277b80fe72fa0710a54206
Description-en: OCaml interface to the GD library -- transitional package
 This is a transitional dummy package to install libgd-gd2-noxpm-ocaml.
 It can safely be removed.

Package: libgd-gd2-noxpm-ocaml-dev
Description-md5: dfc5a0866cc5535d5539be3eaca11a5c
Description-en: OCaml interface to the GD library -- transitional package
 This is a transitional dummy package to install libgd-gd2-noxpm-ocaml-dev.
 It can safely be removed.

Package: libgd-graph3d-perl
Description-md5: a7a04048a610107a9d8c5c2896819f53
Description-en: Create 3D Graphs with GD and GD::Graph
 This is the GD::Graph3d extensions module. It provides 3D graphs for
 the GD::Graph module by Martien Verbruggen, which in turn generates
 graph using Lincoln Stein's GD.pm.

Package: libgd-ocaml
Description-md5: 3dd0001951eac5920f91dba2b867b219
Description-en: OCaml interface to the GD library -- runtime files
 This package provides an interface to the GD library for
 OCaml programmers.
 .
 GD is a graphics library. It allows your code to quickly draw images
 complete with lines, arcs, text, multiple colours, cut and paste from
 other images, flood fills, and write out the result as a PNG file.
 This is particularly useful in World Wide Web applications, where PNG is
 one of the formats accepted for inline images by most browsers.
 .
 This package contains only the shared runtime stub libraries.

Package: libgd-ocaml-dev
Description-md5: 250afa4355bc458abc4e8ad010f299de
Description-en: OCaml interface to the GD library -- developpement files
 This package provides an interface to the GD library for
 OCaml programmers.
 .
 GD is a graphics library. It allows your code to quickly draw images
 complete with lines, arcs, text, multiple colours, cut and paste from
 other images, flood fills, and write out the result as a PNG file.
 This is particularly useful in World Wide Web applications, where PNG is
 one of the formats accepted for inline images by most browsers.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use this module.

Package: libgd-securityimage-perl
Description-md5: 88af01c9565e6f36da9d0401d6d71ac5
Description-en: security image (captcha) generator
 The (so called) "Security Images" are so popular. Most internet
 software use these in their registration screens to block robot programs
 (which may register tons of  fake member accounts). Security images are
 basicaly, graphical CAPTCHAs (Completely Automated Public
 Turing Test to Tell Computers and Humans Apart). This
 module gives you a basic interface to create such an image. The final
 output is the actual graphic data, the mime type of the graphic and the
 created random string. The module also has some "styles" that are
 used to create the background (or foreground) of the image.
 .
 If you are an Authen::Captcha user, see GD::SecurityImage::AC
 for migration from Authen::Captcha to GD::SecurityImage.
 .
 GD::SecurityImage is just an image generator. Not a captcha handler.
 The validation of the generated graphic is left to your programming
 taste.

Package: libgd-svg-perl
Description-md5: 1a60e2464179fb29a9b55f39fa615663
Description-en: Seamlessly enable SVG output from scripts written using GD
 GD::SVG painlessly enables scripts that utilize GD to export scalable vector
 graphics (SVG). It accomplishes this task by wrapping SVG.pm with GD-styled
 method calls. To enable this functionality, one need only change the "use GD"
 call to "use GD::SVG" (and initial "new" method calls).

Package: libgd-tools
Description-md5: a44edd93634f43d7184a7456c237f804
Description-en: GD command line tools and example code
 GD is a graphics library. It allows your code to quickly draw images
 complete with lines, arcs, text, multiple colours, cut and paste from
 other images, flood fills, and write out the result as a PNG file.
 This is particularly useful in World Wide Web applications, where PNG is
 one of the formats accepted for inline images by most browsers.
 .
 This is some simple command line tools and example code that use the GD
 graphics library.

Package: libgda-5.0-4
Description-md5: 43c2a89546a761618f0ea61d898a9dc3
Description-en: data abstraction library based on GLib
 libgda is a (relatively small) database abstraction/access library
 integrated on the GLib object model. It can be used as a metadata
 extractor, to get information about all database objects in a common
 way, and as an ODBC-like wrapper to access data in different engines
 through an easier API.

Package: libgda-5.0-bin
Description-md5: aad080fd59b162ab0d9d209c3093d4ba
Description-en: data abstraction library based on GLib -- binary files
 libgda is a (relatively small) database abstraction/access library
 integrated on the GLib object model. It can be used as a metadata
 extractor, to get information about all database objects in a common
 way, and as an ODBC-like wrapper to access data in different engines
 through an easier API.
 .
 This package contains binary files for libgda.

Package: libgda-5.0-common
Description-md5: df628fc356f27ff912f3c5e71d3e9cca
Description-en: data abstraction library based on GLib -- common files
 libgda is a (relatively small) database abstraction/access library
 integrated on the GLib object model. It can be used as a metadata
 extractor, to get information about all database objects in a common
 way, and as an ODBC-like wrapper to access data in different engines
 through an easier API.
 .
 This package contains common files for libgda.

Package: libgda-5.0-dev
Description-md5: eb4b078ee337e39c4b5cbcc16718f40e
Description-en: data abstraction library based on GLib -- development files
 libgda is a (relatively small) database abstraction/access library
 integrated on the GLib object model. It can be used as a metadata
 extractor, to get information about all database objects in a common
 way, and as an ODBC-like wrapper to access data in different engines
 through an easier API.
 .
 This package contains the header files and libraries needed for
 development.

Package: libgda-5.0-doc
Description-md5: 2674df705ebc776d0c36bfa69a7bcb4e
Description-en: data abstraction library based on GLib -- documentation files
 libgda is a (relatively small) database abstraction/access library
 integrated on the GLib object model. It can be used as a metadata
 extractor, to get information about all database objects in a common
 way, and as an ODBC-like wrapper to access data in different engines
 through an easier API.
 .
 This package contains document files about libgda API.

Package: libgda-5.0-mysql
Description-md5: 9f882a4e85a930890af1159d3fdd3edc
Description-en: MySQL provider for libgda database abstraction library
 libgda is a (relatively small) database abstraction/access library
 integrated on the GLib object model. It can be used as a metadata
 extractor, to get information about all database objects in a common
 way, and as an ODBC-like wrapper to access data in different engines
 through an easier API.
 .
 This package contains the provider needed to access MySQL databases
 through libgda.

Package: libgda-5.0-postgres
Description-md5: 1b7e146ea5e70a6252b953141bf6996d
Description-en: PostgreSQL provider for libgda database abstraction library
 libgda is a (relatively small) database abstraction/access library
 integrated on the GLib object model. It can be used as a metadata
 extractor, to get information about all database objects in a common
 way, and as an ODBC-like wrapper to access data in different engines
 through an easier API.
 .
 This package contains the provider needed to access PostgreSQL
 databases through libgda.

Package: libgdal-dev
Description-md5: fe1355584b1a93438b3699e1581cff4b
Description-en: Geospatial Data Abstraction Library - Development files
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports many popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains the files needed to develop a software that
 will use the GDAL/OGR (headers, static objects, configuration script).

Package: libgdal-doc
Description-md5: 494d2ef882ec96970a067cdd2c5dff31
Description-en: Documentation for the Geospatial Data Abstraction Library
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports 40+ popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains documentation for the GDAL/OGR API.

Package: libgdal-grass
Description-md5: 9d3ef65edb22273e39b686d6a760cb94
Description-en: GRASS extension for the GDAL library
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. This extension
 provides access to GRASS data via GDAL.
 .
 This package provides the GDAL GRASS plugin.

Package: libgdal-java
Description-md5: 4d8b338e76bde2da26c15b8286994d41
Description-en: Java bindings to the Geospatial Data Abstraction Library
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports 40+ popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains Java bindings for the GDAL/OGR library.

Package: libgdal-perl
Description-md5: 6dc5469d831260e5e7503e5f8140bc59
Description-en: Perl bindings to the Geospatial Data Abstraction Library
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports 40+ popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains Perl bindings for the GDAL/OGR library.

Package: libgdal-perl-doc
Description-md5: cbcc511d17c4c4a1f8619099a82fdeeb
Description-en: Documentation for the GDAL Perl bindings
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports 40+ popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains the documentation of the Perl bindings for
 GDAL/OGR library.

Package: libgdal26
Description-md5: bd1887dd27736e16667e84bf0102d226
Description-en: Geospatial Data Abstraction Library
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports many popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains the shared library.

Package: libgdamm-5.0-13
Description-md5: e7cef1838feffacdb0b23bb8e99869cb
Description-en: C++ wrappers for libgda5
 libgdamm is a set of C++ bindings for the libgda5 database API.
 libgda is the GNU Data Access library.
 .
 This package contains the shared library.

Package: libgdamm5.0-dev
Description-md5: 9ea66f1a67f7252f88f19fe36dc2bad5
Description-en: C++ wrappers for libgda5 (library headers)
 libgdamm is a set of C++ bindings for the libgda database API.
 libgda is the GNU Data Access library.
 .
 This package contains the library headers.

Package: libgdamm5.0-doc
Description-md5: 31a2a73a96fbebf2b06ff5cfa053db79
Description-en: C++ wrappers for libgda5 (documentation)
 libgdamm is a set of C++ bindings for the libgda database API.
 libgda is the GNU Data Access library.
 .
 This package contains the documentation.

Package: libgdata-cil-dev
Description-md5: 31880f6689f312c2925baf2120e83a0d
Description-en: Google GData CLI client library
 GData (Google data) is a set of Application Programming Interfaces (APIs) for
 the Common Language Infrastructure (CLI) which provide a simple protocol for
 reading and writing data on the web.
 .
 Each of the following Google services provides a Google data API:
  * Base
  * Blogger
  * Calendar
  * Contacts
  * Document List
  * Google Apps Provisioning
  * Notebook
  * Picasa Web Albums
  * Spreadsheets
  * YouTube
 .
 The GData CLI Client Library provides a library and source code that make it
 easy to access data through Google Data APIs.
 .
 This package contains development files for the GData library, and should be
 used for compilation

Package: libgdata-tests
Description-md5: f30ad6771ade16df8436440678e8478e
Description-en: Library for accessing GData webservices - common data files
 libgdata is a GLib-based library for accessing online service APIs using
 the GData protocol — most notably, Google's services. It provides APIs
 to access the common Google services, and has full asynchronous support.
 .
 This package includes tests to be used on the installed system.

Package: libgdata2.1-cil
Description-md5: 28aefa4469525044cdc8b8d3dceb25f0
Description-en: Google GData CLI client library
 GData (Google data) is a set of Application Programming Interfaces (APIs) for
 the Common Language Infrastructure (CLI) which provide a simple protocol for
 reading and writing data on the web.
 .
 Each of the following Google services provides a Google data API:
  * Base
  * Blogger
  * Calendar
  * Contacts
  * Document List
  * Google Apps Provisioning
  * Notebook
  * Picasa Web Albums
  * Spreadsheets
  * YouTube
 .
 The GData CLI Client Library provides a library and source code that make it
 easy to access data through Google Data APIs.
 .
 This package contains the GData assemblies

Package: libgdbm-gst
Description-md5: b60c55cc9d99fd327cdb78dba479d400
Description-en: GDBM bindings for GNU Smalltalk
 This package contains the GDBM bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libgdchart-gd2-noxpm
Description-md5: 8fc2cd1da8ce28e42aa313a5f4cf5f45
Description-en: Generate graphs using the GD library
 A library for generating nice 2d and 3d graphs with the use of GD.
 .
 This is the runtime package of the library, built against GD 2.x
 library and without XPM (X pixmap) support.

Package: libgdchart-gd2-noxpm-dev
Description-md5: 6d70768e02a6e68ba1aa8541bb5c4dd2
Description-en: Generate graphs using the GD library (development version)
 A library for generating nice 2d and 3d graphs with the use of GD.
 .
 This is the full development version of the library, built against GD 2.x
 library and without XPM (X pixmap) support.

Package: libgdchart-gd2-xpm
Description-md5: ca95e31a64b12293502008b4ea83a61b
Description-en: Generate graphs using the GD library
 A library for generating nice 2d and 3d graphs with the use of GD.
 .
 This is the runtime package of the library, built against GD 2.x
 library and with XPM (X pixmap) support.

Package: libgdchart-gd2-xpm-dev
Description-md5: 725704152d5ec4fd00adb4b947faa89a
Description-en: Generate graphs using the GD library (development version)
 A library for generating nice 2d and 3d graphs with the use of GD.
 .
 This is the full development version of the library, built against GD 2.x
 library and with XPM (X pixmap) support.

Package: libgdcm-cil
Description-md5: c0c56c27ca4ba3bd00e66e98602e2a29
Description-en: Grassroots DICOM CLI bindings
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 CLI bindings to the GDCM DICOM library. It allows developers to use
 GDCM from C# environment.

Package: libgdcm-dev
Description-md5: 159d3dafd1b31a5c660508857b7fb484
Description-en: Grassroots DICOM development libraries and headers
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 Headers and static libraries for libgdcm. These are not needed to use
 GDCM, but are needed to build plugins or programs that link against
 libgdcm.

Package: libgdcm-java
Description-md5: 8c12afa3ef7c1fb4d18c6e3128f190dc
Description-en: Grassroots DICOM Java bindings
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 Java bindings to the GDCM DICOM library. It allows developers to use
 GDCM from Java environment.

Package: libgdcm-tools
Description-md5: 615ef9775bcb99e0cb1c72dc3cc27209
Description-en: Grassroots DICOM tools and utilities
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 Install this package for the gdcmanon, gdcmconv, gdcmdiff, gdcmdump, gdcmpap3,
 gdcmgendir, gdcmimg, gdcminfo, gdcmpdf, gdcmraw, gdcmscanner, gdcmscu, gdcmtar,
 gdcmxml programs.

Package: libgdcm3.0
Description-md5: 2d1a15e9eb401db30fa3da0f8d742afa
Description-en: Grassroots DICOM runtime libraries
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated. It also
 comes with DICOM Part 3,6 & 7 of the standard as XML files.
 .
 This package contains the libraries needed to run GDCM applications.

Package: libgdf-dev
Description-md5: dfe977956e304721923bdbf8b2271db3
Description-en: IO library for the GDF -- development library
 GDF (General Dataformat for Biosignals) is intended to provide a generic
 storage for biosignals, such as EEG, ECG, MEG etc.
 .
 This package provides the header files and static library.

Package: libgdf0
Description-md5: e4ca2a8c0e46d697df49bda42e5266f7
Description-en: IO library for the GDF (general dataformat for biosignals)
 GDF (General Dataformat for Biosignals) is intended to provide a generic
 storage for biosignals, such as EEG, ECG, MEG etc.
 .
 This package contains the shared library.

Package: libgdiplus
Description-md5: 448897d7c1f6d9b0a49096653fa8811b
Description-en: interface library for System.Drawing of Mono
 This package contains a GDI+ API compatible implementation needed by the
 System.Drawing library of Mono.

Package: libgdk3.0-cil
Description-md5: 1d11935b917caa88ff30761d32191467
Description-en: CLI binding for GDK 3
 This package provides the gdk-sharp assembly that allows CLI (.NET) programs
 to use the GDK 3 library.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libgdk3.0-cil-dev
Description-md5: 495b937caf517f4282e86d91fa1f1552
Description-en: CLI binding for GDK 3
 This package provides the gdk-sharp assembly that allows CLI (.NET) programs
 to use the GDK 3 library.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.
 .
 This package contains development files for the gdk-sharp library, and should
 be used for compilation.

Package: libgdl-3-5
Description-md5: 84a9c34bf316b28aef89b67ed246f770
Description-en: GNOME DevTool libraries
 Provides a support library for development tools from the GNOME environment.
 Including symbol browser, dock and editor components.
 .
 This package contains the shared libraries.

Package: libgdl-3-common
Description-md5: 79ecc65612e6a1c39cebd6c827a9f3f6
Description-en: GNOME DevTool libraries - common files
 Provides a support library for development tools from the GNOME environment.
 Including symbol browser, dock and editor components.
 .
 This package contains the common files.

Package: libgdl-3-dev
Description-md5: ce119d74699a3b086207952e30bab8f3
Description-en: GNOME DevTool libraries - development files
 Provides a support library for development tools from the GNOME environment.
 Including symbol browser, dock and editor components.
 .
 This package contains the development files.

Package: libgdl-3-doc
Description-md5: af148a16d80e1984e45ceb3f7e35a997
Description-en: GNOME DevTool libraries - documentation
 Provides a support library for development tools from the GNOME environment.
 Including symbol browser, dock and editor components.
 .
 This package contains the API documentation.

Package: libgdome2-0
Description-md5: 547f42027c78f5ef55a5c37ab82d507b
Description-en: DOM level2 library for accessing XML files
 gdome2 is a fast, light and complete DOM level2 implementation based
 on libxml2.  Although it has been written for the GNOME project, it
 can be used stand-alone.
 .
 DOM (Document Object Model) is a standard interface for manipulating
 XML documents. A DOM implementation (also called a host implementation)
 is what makes a parsed XML or HTML document available for processing
 using a DOM interface.
 .
 libgdome2 currently supports the "Core", "XML", "Events" and
 "MutationEvents" modules from the DOM2 Recommendation.
 .
 This package contains the shared library.

Package: libgdome2-cpp-smart-dev
Description-md5: de87479ff32ec8d2b3d5f52a50b56147
Description-en: C++ bindings for GDome2 DOM implementation
 C++ bindings for GDome2 that is a fast, light and complete DOM level2
 implementation based on libxml2.
 .
 This particular binding is one of the bindings from the GMetaDOM
 bindings collection.
 .
 This package includes the development files.

Package: libgdome2-cpp-smart0v5
Description-md5: 8a4eba682e7f00dc667dbaed9ec2556c
Description-en: C++ bindings for GDome2 DOM implementation
 C++ bindings for GDome2 that is a fast, light and complete DOM level2
 implementation based on libxml2.
 .
 This particular binding is one of the bindings from the GMetaDOM
 bindings collection.
 .
 This package includes the shared library.

Package: libgdome2-dev
Description-md5: 469853a64cb0a0b865027d1f34f96b6d
Description-en: Development files for libgdome2
 gdome2 is a fast, light and complete DOM level2 implementation based
 on libxml2.  Although it has been written for the GNOME project, it
 can be used stand-alone.
 .
 DOM (Document Object Model) is a standard interface for manipulating
 XML documents. A DOM implementation (also called a host implementation)
 is what makes a parsed XML or HTML document available for processing
 using a DOM interface.
 .
 libgdome2 currently supports the "Core", "XML", "Events" and
 "MutationEvents" modules from the DOM2 Recommendation.
 .
 This package contains the header files and static libraries for
 developing with libgdome2-0.

Package: libgdome2-ocaml
Description-md5: f455d8a982837804dee6717f57b52cf1
Description-en: OCaml bindings for GDome2 DOM implementation
 OCaml bindings for GDome2 that is a fast, light and complete DOM level2
 implementation based on libxml2.
 .
 This particular binding is one of the bindings from the GMetaDOM
 binding collection.
 .
 This package contains only the shared runtime stub libraries.

Package: libgdome2-ocaml-dev
Description-md5: 333ccf7b77a830576d550b68558d9b6e
Description-en: OCaml bindings for GDome2 DOM implementation
 OCaml bindings for GDome2 that is a fast, light and complete DOM level2
 implementation based on libxml2.
 .
 This particular binding is one of the bindings from the GMetaDOM
 binding collection.
 .
 This package contains the development part of the GDome2 OCaml
 bindings.

Package: libgdraw6
Description-md5: 13188b0ee389b6aaa4dcbde15f820461
Description-en: font editor - runtime graphics and widget library
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package contains the graphics and widget runtime library.

Package: libgdsii-dev
Description-md5: f850e4a4e6ff24dcdd83a3b749e6757d
Description-en: Library for GDSII handling (development files)
 This is a C++ library for working with GDSII binary data files, intended
 primarily for use with the computational electromagnetism codes scuff-em and
 meep but sufficiently general-purpose to allow other uses as well.
 .
 This package contains the development files for the library.

Package: libgdsii0
Description-md5: ab59a0d280fc967e2563628eff723977
Description-en: Library for GDSII handling
 This is a C++ library for working with GDSII binary data files, intended
 primarily for use with the computational electromagnetism codes scuff-em and
 meep but sufficiently general-purpose to allow other uses as well.

Package: libgeant321-2-dev
Description-md5: 6bfdb917e6224ec44dae7c6a7ecda008
Description-en: [Physics] Library for GEANT 3.21 (development files)
 GEANT is a framework for simulating the passage of subatomic particles
 through matter, for instance, particle detectors.  For maximum flexibility,
 GEANT simulations are performed by linking FORTRAN code supplied by the user
 with the GEANT library, then running the resulting executable.
 .
 This package includes a static version of the libgeant321 library required
 by GEANT.  C and FORTRAN header files are also included.

Package: libgeant321-2-gfortran
Description-md5: 723b55d1c5d16646fe1cc436a3082981
Description-en: [Physics] Library for GEANT 3.21
 GEANT is a framework for simulating the passage of subatomic particles
 through matter, for instance, particle detectors.  For maximum flexibility,
 GEANT simulations are performed by linking FORTRAN code supplied by the user
 with the GEANT library, then running the resulting executable.
 .
 This package includes the libgeant321 library required by GEANT 3.21.  Note
 that in order to compile and link programs against this library, you must
 also install the libgeant321-2-dev package.

Package: libgearman-client-perl
Description-md5: 807a6d8ffe49c4eefed838bd28fa489c
Description-en: client for the Gearman distributed job system
 Gearman is a system to farm out work to other machines, dispatching function
 calls to machines that are better suited to do work, to do work in parallel,
 to load balance lots of function calls, or to call functions between
 languages.
 .
 This package contains the Gearman::Client module, a client class for the
 Gearman distributed job system, providing a framework for sending jobs to one
 or more Gearman servers. For more information, see
 <URL:http://www.danga.com/gearman/>.

Package: libgearman-dev
Description-md5: 02fca8c0e3465ce85c6486e7087ec973
Description-en: Development files for the Gearman Library
 Gearman is a system to farm out work to other machines, dispatching function
 calls to machines that are better suited to do work, to do work in parallel,
 to load balance lots of function calls, or to call functions between languages.
 .
 This package contains the development headers for the libgearman library.

Package: libgearman-doc
Description-md5: e5f20b82c1914462a576ec8f01b0fde0
Description-en: API Documentation for the Gearman Library
 Gearman is a system to farm out work to other machines, dispatching function
 calls to machines that are better suited to do work, to do work in parallel,
 to load balance lots of function calls, or to call functions between languages.
 .
 This package contains the API docs for the libgearman library.

Package: libgearman8
Description-md5: e060387fdc315e3257026627291d0124
Description-en: Library providing Gearman client and worker functions
 Gearman is a system to farm out work to other machines, dispatching function
 calls to machines that are better suited to do work, to do work in parallel,
 to load balance lots of function calls, or to call functions between languages.
 .
 This package contains the C reimplementation of the client library.

Package: libgecode-dev
Description-md5: bd5ffd15fb795577fcf16728edcab518
Description-en: generic constraint development environment
 Gecode is a toolkit for developing constraint-based systems and
 applications. Gecode provides a constraint solver with
 state-of-the-art performance while being modular and extensible.
 .
 Gecode is radically open for programming: it can be easily
 interfaced to other systems. It supports the programming of new
 propagators (as implementation of constraints), branching strategies,
 and search engines. New variable domains can be programmed at the same
 level of efficiency as finite domain and integer set variables that
 come predefined with Gecode.

Package: libgecode-doc
Description-md5: 6155cb60bbfdf12757aebd58e3d927b1
Description-en: generic constraint development environment
 Gecode is a toolkit for developing constraint-based systems and
 applications. Gecode provides a constraint solver with
 state-of-the-art performance while being modular and extensible.
 .
 Gecode is radically open for programming: it can be easily
 interfaced to other systems. It supports the programming of new
 propagators (as implementation of constraints), branching strategies,
 and search engines. New variable domains can be programmed at the same
 level of efficiency as finite domain and integer set variables that
 come predefined with Gecode.
 .
 This package has the API documentation and examples.

Package: libgecode49
Description-md5: bd5ffd15fb795577fcf16728edcab518
Description-en: generic constraint development environment
 Gecode is a toolkit for developing constraint-based systems and
 applications. Gecode provides a constraint solver with
 state-of-the-art performance while being modular and extensible.
 .
 Gecode is radically open for programming: it can be easily
 interfaced to other systems. It supports the programming of new
 propagators (as implementation of constraints), branching strategies,
 and search engines. New variable domains can be programmed at the same
 level of efficiency as finite domain and integer set variables that
 come predefined with Gecode.

Package: libgecodeflatzinc49
Description-md5: 15609d9d58be81d3ba9428b026f99426
Description-en: Gecode support for FlatZinc modelling language
 Gecode is a toolkit for developing constraint-based systems and
 applications. Gecode provides a constraint solver with
 state-of-the-art performance while being modular and extensible.
 .
 FlatZinc is a low-level modelling language for constraint
 problems. It is designed to be easily interfaceable to constraint
 solvers (like Gecode). For more information on FlatZinc, please refer
 to the MiniZinc pages of the G12 project <https://minizinc.org/>.

Package: libgecodegist49
Description-md5: c7dfef157ee1635bf682744be40ef8ee
Description-en: Gecode Interactive Search Tool library
 Gecode is a toolkit for developing constraint-based systems and
 applications. Gecode provides a constraint solver with
 state-of-the-art performance while being modular and extensible.
 .
 This package contains libgecodegist, a graphical debugging tool, and
 libgecodedriver, a set of helper classes for writing standalone
 programs.  They have been separated from the main Gecode library
 package to avoid pulling in Qt as a dependency.

Package: libgedcom-perl
Description-md5: 966818eb62c7ebf300e1bbfe415e965b
Description-en: interface to genealogy GEDCOM files
 The Gedcom modules provide an interface to read, verify and manipulate
 Gedcom files. The Gedcom format is the standard format for storing genealogical
 data such a family trees, a person's birth, marriage, children and death
 and background research.

Package: libgegl-0.4-0
Description-md5: 555ed01f707fbda9c82450ba37f41574
Description-en: Generic Graphics Library
 GEGL (Generic Graphics Library) is a graph based image processing
 framework.
 .
 GEGL's original design was made to scratch GIMP's itches for a new
 compositing and processing core. This core is being designed to have
 minimal dependencies and a simple well defined API.

Package: libgegl-common
Description-md5: e1bad3fbbe443e3af235c7392bd4bbba
Description-en: Generic Graphics Library - common files
 GEGL (Generic Graphics Library) is a graph based image processing
 framework.
 .
 GEGL's original design was made to scratch GIMP's itches for a new
 compositing and processing core. This core is being designed to have
 minimal dependencies and a simple well defined API.
 .
 This package contains common data files and translations.

Package: libgegl-dev
Description-md5: 890e309150112e034f776771436ca2a5
Description-en: Generic Graphics Library (development files)
 GEGL (Generic Graphics Library) is a graph based image processing
 framework.
 .
 GEGL's original design was made to scratch GIMP's itches for a new
 compositing and processing core. This core is being designed to have
 minimal dependencies and a simple well defined API.
 .
 This package contains the development files.

Package: libgegl-doc
Description-md5: 4dc06b8a2a5349b54328c54303af18b3
Description-en: Generic Graphics Library (documentation)
 GEGL (Generic Graphics Library) is a graph based image processing
 framework.
 .
 GEGL's original design was made to scratch GIMP's itches for a new
 compositing and processing core. This core is being designed to have
 minimal dependencies and a simple well defined API.
 .
 This package contains the documentation.

Package: libgeis-dev
Description-md5: 2ff2cbb222e64416fdb9d07cbc3e9b72
Description-en: Gesture engine interface support - dev files
 A common API for clients of a systemwide gesture recognition and propagation
 engine.
 .
 Headers and static link libraries for developing against the common gesture
 API.

Package: libgeis-doc
Description-md5: 9642f1590b474c5c7f69225a3b0fa0ff
Description-en: Gesture engine interface support - documentation
 A common API for clients of a systemwide gesture recognition and propagation
 engine.
 .
 API and specification documentation for developing with libgeis.

Package: libgeis1
Description-md5: f7495ef36ba1b4f2f35ba465c3a4e4be
Description-en: Gesture engine interface support
 A common API for clients of a systemwide gesture recognition and propagation
 engine.
 .
 This package provides just the runtime required for applications making use of
 the GEIS API.

Package: libgemmlowp-dev
Description-md5: 013a4990be166142d09f153cf6b842b1
Description-en: small self-contained low-precision GEMM library
 This is not a full linear algebra library, only a GEMM library: it only does
 general matrix multiplication ("GEMM").
 .
 Its performance goals differ from typical GEMM performance goals in the
 following ways:
 1. It cares not only about speed, but also about minimizing power usage.
    It specifically cares about charge usage in mobile/embedded devices.
 2. Most GEMMs are optimized primarily for large dense matrix sizes (>= 1000).
    It does care about large sizes, but it also cares specifically about the
    typically smaller matrix sizes encountered in various mobile applications.
 .
 Keep in mind (previous section) that gemmlowp itself is a pure-headers-only
 library.

Package: libgempc410
Description-md5: 48dae64d8b04e0ca8dd125533a19077a
Description-en: PC/SC driver for the GemPC 410, 412, 413 and 415 smart card readers
 This library provides a PC/SC IFD handler implementation for the Gemplus
 GemPC410, GCR410P, GemPC412, GemPC413 and GemPC415 serial smart card readers.
 .
 This package is needed to communicate with the GemPC41x smartcard
 readers through the PC/SC Lite resource manager (pcscd).

Package: libgempc430
Description-md5: 1e6c2d75e9c5e83cecf54370830626e3
Description-en: PC/SC driver for the GemPC 430, 432, 435 smart card readers
 This library provides a PC/SC IFD handler implementation for the Gemplus
 GemPC430, GemPC432 and GemPC435 USB smart card readers.
 .
 This package is needed to communicate with the GemPC43x smartcard
 readers through the PC/SC Lite resource manager (pcscd).

Package: libgen-ocaml
Description-md5: 08723a76cbea0fda054276e7d8d84efb
Description-en: simple, efficient iterators for OCaml (Runtime library)
 Iterators for OCaml, both restartable and consumable.
 The implementation keeps a good balance between simplicity
 and performance.

Package: libgen-ocaml-dev
Description-md5: 140be3e5e087059183d131436a4e36b8
Description-en: simple, efficient iterators for OCaml (Development package)
 Iterators for OCaml, both restartable and consumable.
 The implementation keeps a good balance between simplicity
 and performance.

Package: libgen-test-rinci-funcresult-perl
Description-md5: 2b4c2879d84d06dabead522c5e787950
Description-en: Perl module that generates a test function for a function
 Gen::Test::Rinci::FuncResult generates a test function for a function as
 output.
 .
 This module accepts, among others, the name or the reference to the function
 that wants to test (T) and the name of the generated function, B.
 .
 B will run T once with some specified arguments, catch exception, and test its
 result. The result is expected to be an enveloped result.

Package: libgenders-perl
Description-md5: b30c41e435e962c959599c8d814f6334
Description-en: perl interface to the LLNL genders cluster management utilities
 A Perl API for querying the genders file, for LLNL genders cluster
 configuration management. It is used by
 system administration scripts that need to manipulate lists of nodes or
 attributes and for performance or other reasons cannot do so via
 repeated calls to the Nodeattr utility.

Package: libgenders0
Description-md5: 0031bd6e9843fc880d4f0253ffe4027d
Description-en: C library for parsing and querying a genders database
 Genders is a static cluster configuration database used for cluster
 configuration management.  It is used by a variety of tools and
 scripts for management of large clusters.  The genders database is
 typically replicated on every node of the cluster. It describes the
 layout and configuration of the cluster so that tools and scripts can
 sense the variations of cluster nodes. By abstracting this information
 into a plain text file, it becomes possible to change the
 configuration of a cluster by modifying only one file.

Package: libgenders0-dev
Description-md5: cb0cedaf1853ab03bfc3f1618c39fc42
Description-en: development files for parsing and querying a genders database
 Genders is a static cluster configuration database used for cluster
 configuration management.  It is used by a variety of tools and
 scripts for management of large clusters.  The genders database is
 typically replicated on every node of the cluster. It describes the
 layout and configuration of the cluster so that tools and scripts can
 sense the variations of cluster nodes. By abstracting this information
 into a plain text file, it becomes possible to change the
 configuration of a cluster by modifying only one file.

Package: libgenht1
Description-md5: 1b1971f23f65026ed166dd34bf3d57ff
Description-en: Generic hash table lib for C
 Provides a simple interface for creating hash tables with arbitrary
 key and value types. Ships with shared objects for standard table types.

Package: libgenht1-dev
Description-md5: 5e0065492f7df07cc40028d0a31bc464
Description-en: Generic hash table lib for C
 Provides a simple interface for creating hash tables with arbitrary
 key and value types. Ships with shared objects for standard table types.
 .
 This package contains the static library and header files used in
 development.

Package: libgenome-dev
Description-md5: 80f061e277a10c44f28891bf596401ca
Description-en: toolkit for developing bioinformatic related software (devel)
 libGenome is a freely available toolkit for developing bioinformatic related
 software in C++.  It is intended to take the hassle out of performing common
 tasks on genetic sequence and annotation data.
 .
 Among other things, libGenome can help you:
 .
  * Read and write Multi-FastA format files
  * Read and write GenBank flat file database entries
  * Append, chop, truncate, reverse, complement, translate, and otherwise
    mangle sequence data
  * Access annotation in GenBank flat files
 .
 This is the development package containing the statically linked
 library and the header files.

Package: libgenome-model-tools-music-perl
Description-md5: 3a939008fa17ab5dffcc9af75ead9b4d
Description-en: module for finding mutations of significance in cancer
 The MuSiC suite is a set of tools aimed at discovering the significance of
 somatic mutations found within a given cohort of cancer samples, and with
 respect to a variety of external data sources.

Package: libgenome-perl
Description-md5: 18bda494cfa2beedc0b5313c8ad702c8
Description-en: pipelines, tools, and data management for genomics
 This is the base namespace module for the Genome software tree.
 .
 That tree has several primary components:
 .
 Genome::Model: a data modeling pipeline management system for genomics
 .
 Genome::Model::Tools a tree of >1000 tools and tool wrappers for genomics
 .
 Genome::* a variety of sample tracking classes with an RDBMS back-end
 .
 Only the tools system is currently released.
 .
 See genome for a complete inventory of all tool packages, and for
 command-line access to those tools.

Package: libgenome0
Description-md5: 7700668b1ffbdb010e1bb05a8993f142
Description-en: toolkit for developing bioinformatic related software
 libGenome is a freely available toolkit for developing bioinformatic related
 software in C++.  It is intended to take the hassle out of performing common
 tasks on genetic sequence and annotation data.
 .
 Among other things, libGenome can help you:
 .
  * Read and write Multi-FastA format files
  * Read and write GenBank flat file database entries
  * Append, chop, truncate, reverse, complement, translate, and otherwise
    mangle sequence data
  * Access annotation in GenBank flat files
 .
 This package contains the dynamic library.

Package: libgenometools0
Description-md5: 1d7e071ed8c7581bf03f2a8dbcceac14
Description-en: versatile genome analysis library
 This package contains the GenomeTools shared library and header
 files. It offers efficient sequence analysis components, available
 via an object-oriented interface.
 .
 Besides basic bioinformatics data structures, the library contains components
 for sequence and annotation handling, sequence compression, index structure
 generation and access, efficient matching, annotation visualization and much
 more.

Package: libgenometools0-dev
Description-md5: e12d7fab418b736523350ecb61e4a34a
Description-en: development files for GenomeTools
 This package contains the GenomeTools static library and necessary
 header files.
 .
 Besides basic bioinformatics data structures, the library contains components
 for sequence and annotation handling, sequence compression, index structure
 generation and access, efficient matching, annotation visualization and much
 more.

Package: libgentlyweb-utils-java
Description-md5: a03d4d42543cedb62d73805296734c54
Description-en: java utility library used by josql
 Simple java utility library used mainly by JoSQL (libjosql-java) for I/O.

Package: libgentlyweb-utils-java-doc
Description-md5: 00d3c33fd037af72fd6ec02abfb39e45
Description-en: Documentation - java utility library used by josql
 Simple java utility library used mainly by JoSQL (libjosql-java) for I/O.
 .
 This package contains the API documentation of libgentlyweb-utils-java.

Package: libgeo-coder-googlev3-perl
Description-md5: bb3af2acbe7968d4b415861a05288de3
Description-en: Perl module providing access to Google Maps v3 Geocoding API
 Geo::Coder::GoogleV3 is a Perl module that provides access to Google's
 Google Map API v3. Note that v3 does not require an apikey and the data
 structure returned is different than previous versions of the API.
 .
 Check https://developers.google.com/maps/documentation/geocoding/
 for more information about Google's Geocoding API and especially usage
 limits.

Package: libgeo-coder-osm-perl
Description-md5: 40c583bac801361a9122233c2b4372f2
Description-en: Geocode addresses with the OpenStreetMap Nominatim API
 The Geo::Coder::OSM module provides an interface to the OpenStreet Nominatim
 geocoding service.

Package: libgeo-constants-perl
Description-md5: 8d4a9ddfaaffb9107200f9be99d87325
Description-en: standard constants used by Geo perl packages
 Geo::Constants provides a number of standard constants used by the
 Geo:: family of modules, such as Pi, conversion from degrees to radians
 or nautical miles to meters per second, and vice versa.

Package: libgeo-coordinates-itm-perl
Description-md5: 990b3049bf8630ed0eee25f1e156f7e4
Description-en: conversion module between lat/lon and Irish Transverse Mercator
 Geo::Coordinates::ITM converts back and forth between Irish Transverse
 Mercator grid and WGS84.
 .
 Nothing is exported by default. The exportable functions are ll_to_grid and
 grid_to_ll.

Package: libgeo-coordinates-osgb-perl
Description-md5: c8544cf83552e2ed33202c7d421dd4f0
Description-en: converting module between Lat/Lon and the British National Grid
 The included modules provide an implementation of co-ordinate conversion for
 England, Wales, and Scotland based on formulae and data published by the
 Ordnance Survey of Great Britain.
 .
 The Geo::Coordinates::OSGB module provides routines to convert between
 latitude/longitude coordinates in the WGS84 or OSGB36 models and the British
 National Grid. The Geo::Coordinates::OSGB::Grid module includes some useful
 extra routines to parse and format grid references in a variety of popular
 forms (including which Landranger map your point appears on). The
 Geo::Coordinates::OSGB::Maps module provides data for British maps.
 .
 Note that due to the nature of this type of conversion, the conversions are
 only really useful in the vicinity of the British Isles. If you are elsewhere
 on the planet you need an implementation optimized for your neighbourhood.

Package: libgeo-coordinates-utm-perl
Description-md5: 79bd571dd3e09fd81877da946eed3006
Description-en: Perl extension for Latitiude Longitude conversions
 This module will translate latitude longitude coordinates to
 Universal Transverse Mercator(UTM) coordinates and vice versa.

Package: libgeo-distance-perl
Description-md5: fa19b7c85ecce8ca7656424ea3fb7fee
Description-en: calculate distances and closest locations
 Geo::Distance is a Perl library aiming to provide as many tools to make
 it as simple as possible to calculate distances between geographic
 points, and anything that can be derived from that. Currently there is
 support for finding the closest locations within a specified distance,
 to find the closest number of points to a specified point, and to do
 basic point-to-point distance calculations.
 .
 NB! The alternative GIS::Distance module is being worked on as a
 replacement for this module. In the near future Geo::Distance will
 become a lightweight wrapper around GIS::Distance so that legacy code
 benefits from fixes to GIS::Distance through the old Geo::Distance API.
 For any new development it is recommended to try use GIS::Distance.

Package: libgeo-distance-xs-perl
Description-md5: 300d268fd1eea24b0103edba4f33762c
Description-en: calculate distances and closest locations (XS version)
 Geo::Distance is a Perl library aiming to provide as many tools to make
 it as simple as possible to calculate distances between geographic
 points, and anything that can be derived from that. Currently there is
 support for finding the closest locations within a specified distance,
 to find the closest number of points to a specified point, and to do
 basic point-to-point distance calculations.
 .
 NB! The alternative GIS::Distance module is being worked on as a
 replacement for this module. In the near future Geo::Distance will
 become a lightweight wrapper around GIS::Distance so that legacy code
 benefits from fixes to GIS::Distance through the old Geo::Distance API.
 For any new development it is recommended to try use GIS::Distance.
 .
 This package provides the faster XS implementation.

Package: libgeo-ellipsoids-perl
Description-md5: 1a540b3fcefaf819d312995738d82dd7
Description-en: standard Geo:: ellipsoid a, b, f and 1/f values
 Geo::Ellipsoids provides a large number of standard ellipsoid values
 useful for calculations such as when determining the distance between
 two points on a planet.

Package: libgeo-functions-perl
Description-md5: 321b3162758b436d037bba210ea3174d
Description-en: standard functions for Geo perl modules
 The Geo::Functions module provides some standard functions for
 conversions, for example between degrees, radians and degrees
 minutes seconds, or between knots and meters per second.

Package: libgeo-google-mapobject-perl
Description-md5: c64e4be1d4df1b50236d413dc72778da
Description-en: module managing the server side of the Google Maps API
 Geo::Google::MapObject is intended to provide a server side solution to
 working with the Google Maps API. In particular an object of this class
 encapsulates a "map" object that provides support for the static maps API,
 the javascript maps API, AJAX calls and non-javascript fallback data; but
 without making many assumptions about the surrounding framework. It is assumed
 that a template framework with support for a "dot" notation is being used,
 for example HTML::Template::Pluggable. An important commitment of the module
 is support for graceful and consistent fallback to a functional
 non-javascript web page.
 .
 The javascript and static Google map APIs do not behave in quite the same way
 when zoom and center are not specified. Specifically it works quite well with
 the static maps
 (http://developers.google.com/maps/documentation/staticmaps/?csw=1)
 but not so well with the javascript API. To compensate for this the
 module gives a choice between: specifying the center and zoom levels;
 allowing the APIs and client side code to do whatever they think best; using
 a built in algorithm to calculate a sensible zoom and center; and finally
 supplying ones own algorithm to calculate a sensible zoom and center.

Package: libgeo-googleearth-pluggable-perl
Description-md5: 9cd7e8b46d9b01a28d793f2f13463c04
Description-en: module to generate GoogleEarth Documents
 Geo::GoogleEarth::Pluggable is a Perl object oriented interface that
 allows for the creation of XML documents that can be used with Google
 Earth.
 .
 Supported output formats include KML and KMZ, optionally including HTTP
 headers appropriate for a web application.  However, if you need to
 read KML files, please see the Geo::KML package's from method.

Package: libgeo-gpx-perl
Description-md5: 82fd38041a375a6db955b8eee64d5af4
Description-en: Perl module for creating and parsing GPX files
 The original goal of Geo::Gpx was to produce GPX/XML files which were
 parseable by both GPX Spinner and EasyGPS. As of version 0.13 it has been
 extended to support general parsing and generation of GPX data. Both GPX 1.0
 and 1.1 are supported.

Package: libgeo-helmerttransform-perl
Description-md5: f6f8f100889653526aeb864400e5b8a5
Description-en: Transformations between coordinates in different datums
 It is usual to describe geographical points in terms of their polar coordinates
 (latitude, longitude and altitude) referenced to a "datum ellipsoid", which is
 used to approximate the Earth's geoid. The latitude, longitude and altitude of
 a given physical point vary depending on which datum ellipsoid is in use.
 Unfortunately, a number of ellipsoids are in everyday use, and so it is often
 necessary to transform geographical coordinates between different datum
 ellipsoids.
 .
 Two different datum ellipsoids may differ in the locations of their centers, or
 in their shape; and there may be an angle between their equatorial planes or
 the meridians relative to which longitude is measured. The Helmert Transform,
 which this module implements, is a linear transformation of coordinates between
 pairs of datum ellipsoids in the limit of small angles of deviation between
 them.

Package: libgeo-inverse-perl
Description-md5: bcc40b76b7e0fa8dd6a326ad3264e478
Description-en: module to calculate geographic distance from a lat & lon pair
 Geo::Inverse is a pure Perl port of the NGS program in the public domain
 "inverse" by Robert (Sid) Safford and Stephen J. Frakes. It can be used
 to calculate the distance, forward and back azimuth from a latitude and
 longitude pair.

Package: libgeo-ip-perl
Description-md5: 6880156bf22c64cabbf809c20b98c279
Description-en: Perl interface to GeoIP library
 Geo::IP is a Perl external module which provides an interface to
 GeoIP library.
 .
 GeoIP is a C library that enables the user to find the country that any
 IP address or hostname originates from.  It uses a file based database
 that simply contains IP blocks as keys, and countries as values.
 This database is managed by the GeoIP library package.

Package: libgeo-ipfree-perl
Description-md5: 441e18efb7fc532d8abf666c161c6a01
Description-en: module to look up the country of an IPv4 address
 Geo::IPfree is a Perl module that determines the originating country of an
 arbitrary IPv4 address. It uses a local file-based database to provide basic
 geolocation services.

Package: libgeo-metar-perl
Description-md5: 1db499d0a9ca410100afc9e17975e35a
Description-en: accessing Aviation Weather Information with Perl
 Geo::METAR is a Perl module to access and parse aviation weather information
 with Perl.
 .
 Note: This version differs slightly from the version in CPAN. See the file
 README.Debian for specific changes

Package: libgeo-osm-tiles-perl
Description-md5: ae9468c3226c7a958b358a0a87a0fa1a
Description-en: module for calculating tile numbers for OpenStreetMap
 Geo::OSM::Tiles provides functions for calculating the path to a map tile at
 OpenStreetMap out of geographic coordinates. The path of a tile at OSM has
 the form $zoom/$tilex/$tiley.png. The numbering scheme is documented in the
 OSM wiki at http://wiki.openstreetmap.org/wiki/Slippy_map_tilenames .
 .
 The package also contains the downloadosmtiles script that allows one to
 conveniently download OSM map tiles from a given OSM permalink URL.

Package: libgeo-postcode-perl
Description-md5: 1780cd89e83bd862685ee688604a7846
Description-en: UK Postcode validation and location
 Geo::Postcode will accept full or partial UK postcodes, validate them against
 the official spec, separate them into their significant parts, translate them
 into map references or co-ordinates and calculate distances between them.
 .
 The last two features require a postcode database to be supplied separately.
 .
 It does not check whether the supplied postcode exists: only whether it is
 well-formed according to British Standard 7666, which you can find here:
 .
 http://www.govtalk.gov.uk/gdsc/html/frames/PostCode.htm
 .
 Geo::Postcode will also work with partial codes, ie areas, districts and
 sectors. They won't validate, but you can test them for legitimacy with a
 call to valid_fragment, and you can still turn them into grid references.
 .
 To work with US zipcodes, you need Geo::Postalcode instead.

Package: libgeo-shapelib-perl
Description-md5: f7cabf0e4bb9b32835604cb83d322be5
Description-en: Perl extension for reading and writing shapefiles as defined by ESRI
 Geo::Shapelib is a module to read, create and write shapefiles as
 defined by ESRI, using Perl. It provides the Perl binding for the Shapelib
 library.
 .
 The Shapefile format is a working and interchange format promoted by
 ESRI for simple vector data with attributes. It is apparently the only
 file format that can be edited in ARCView 2/3, and can also be exported
 and imported in ArcGis.

Package: libgeographic-dev
Description-md5: 81ceae873e52634ccf49d0018887aea2
Description-en: C++ library to solve some geodesic problems -- development files
 GeographicLib is a small set of C++ classes for converting between
 geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates,
 for geoid calculations, and for computing geodesic. It is a suitable
 replacement for the core functionality provided by NGA Geotrans.
 .
 This package contains the files used to develop applications that use the
 GeographicLib library.

Package: libgeographic19
Description-md5: 8b449a38cb15d196f2fe1f42f2258621
Description-en: C++ library to solve some geodesic problems -- library
 GeographicLib is a small set of C++ classes for converting between
 geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates,
 for geoid calculations, and for computing geodesic. It is a suitable
 replacement for the core functionality provided by NGA Geotrans.
 .
 This package contains the GeographicLib shared library used at run-time
 by applications.

Package: libgeography-countries-perl
Description-md5: 903144972081ac2666353440a2baa2e3
Description-en: 2-letter, 3-letter, and numerical codes for countries.
 Geography::Countries maps country names, and their 2-letter, 3-letter and
 numerical codes, as defined by the ISO-3166 maintenance agency [1], and
 defined by the UNSD.

Package: libgeoip-dev
Description-md5: afee88869c565d97ba7d87e66323e47c
Description-en: Development files for the GeoIP library
 GeoIP is a C library that enables the user to find the country that any
 IP address or hostname originates from. It uses a file based database.
 .
 This database simply contains IP blocks as keys, and countries as values and
 it should be more complete and accurate than using reverse DNS lookups.
 .
 This package contains the development files.

Package: libgeoip1
Description-md5: cc64f48b3eca4b1690c3b7284f2bd5fd
Description-en: non-DNS IP-to-country resolver library
 GeoIP is a C library that enables the user to find the country that any
 IP address or hostname originates from. It uses a file based database.
 .
 This database simply contains IP blocks as keys, and countries as values and
 it should be more complete and accurate than using reverse DNS lookups.
 .
 This package contains the shared library.

Package: libgeoip2-perl
Description-md5: 776f93ea8c05f2f8ebc08cafdbf1f59f
Description-en: Perl API for MaxMind's GeoIP2 web services and databases
 GeoIP2 provides an API to version 2 of MaxMinds high-precision IP geo-
 location web services and databases. It also works with the free and
 downloadable GeoLite2 databases. This is the Perl implementation of
 this API.
 .
 For up to 100x faster database access make sure the recommended
 libmaxmind-db-reader-xs-perl package is installed as well.

Package: libgeometric-shapes-dev
Description-md5: ce91a8d8c7fb346f4a22f93d44cfae99
Description-en: Robot OS geometric_shapes package - development files
 This package is part of Robot OS (ROS), and contains generic
 definitions of geometric shapes and bodies.
 .
 This package contains the development files.

Package: libgeometric-shapes3d
Description-md5: d7a4cf4ef489253f7174668b4b5e83c1
Description-en: Robot OS geometric_shapes package
 This package is part of Robot OS (ROS), and contains generic
 definitions of geometric shapes and bodies.
 .
 This package contains the library itself.

Package: libgeometry-msgs-dev
Description-md5: dfc88f6b0b15aa6d136135f4d842bbba
Description-en: Messages relating to Robot OS geometry, C/C++ interface
 This package is part of Robot OS (ROS), and provides the messages for
 common geometric primitives such as points, vectors, and poses. These
 primitives are designed to provide a common data type and facilitate
 interoperability throughout the system.
 .
 This package contains the generated headers files.

Package: libgeometry-primitive-perl
Description-md5: eefa95eba8a830eb6fc9bd52fa02145d
Description-en: module to represent geometric entities
 Geometry::Primitive is a device- and library-agnostic system for representing
 geometric entities such as points, lines, and shapes. It provides some simple
 objects and many convenience methods you would expect from a simple geometry
 library.

Package: libgeomview-1.9.5
Description-md5: 647bfba6c433bcd6ff52152febbdbe10
Description-en: geomview library runtime
 Geomview is interactive geometry software which is
 particularly appropriate for mathematics research and education.
 .
 OOGL is the Object Oriented Graphics Library, upon which Geomview is
 built.  This package provides the run-time OOGL library for geomview
 and its modules.

Package: libgeomview-dev
Description-md5: c427e7ebbc656c19e6432a4304c16c22
Description-en: geomview library development package
 Geomview is interactive geometry software which is
 particularly appropriate for mathematics research and education.
 .
 OOGL is the Object Oriented Graphics Library, upon which Geomview is
 built.  This package provides the development package for OOGL,
 required to build Geomview modules.

Package: libgeonames-common
Description-md5: c537dbd1e727a7344c3c67ae69d38f5a
Description-en: geonames - data files
 A library for parsing and querying a local copy of the geonames.org database.
 .
 This package contains library data files.

Package: libgeonames-dev
Description-md5: 7d22ced8890dc925bfb9bdcaf90ffdd7
Description-en: geonames - library development files
 A library for parsing and querying a local copy of the geonames.org database.
 .
 This package contains the header and development files which are needed to use
 the libgeonames library.

Package: libgeonames0
Description-md5: ef391a6eaac0155ed3db91f9fc03886a
Description-en: Parse and query the geonames database dump
 A library for parsing and querying a local copy of the geonames.org database.
 .
 This package contains the shared libraries.

Package: libgeoregression-java
Description-md5: b1b7faf58fff9a199997096e5e43bd8b
Description-en: Geometric Regression Library
 Geometric Regression Library (GeoRegression) is a free Java based geometry
 library for scientific computing in fields such as robotics and computer vision
 with a focus on 2D/3D space. The goal of GeoRegression is to provide all the
 core functions for estimating the closest point/distance between geometric
 primitives, estimating best-fit shapes, and estimating and applying geometric
 transforms. It is designed for high performance and ease of use.

Package: libgeos++-dev
Description-md5: f8afd7a9d89963300f7b3c6301cccc03
Description-en: Geometry engine for GIS - C++ development files
 GEOS provides a spatial object model and fundamental geometric
 functions. It implements the geometry model defined in the
 OpenGIS Consortium Simple Features Specification for SQL.
 .
 This package contains the headers and libraries needed to
 develop programs using GEOS in C++. Note that third-parties
 programs should use the stable C API instead of the
 unstable C++ one.

Package: libgeos-3.8.0
Description-md5: a6f62432dff85777eea957f25b02682d
Description-en: Geometry engine for Geographic Information Systems - C++ Library
 GEOS provides a spatial object model and fundamental geometric
 functions. It implements the geometry model defined in the
 OpenGIS Consortium Simple Features Specification for SQL.
 Functions provided include:
 .
   * spatial predicates (based on the DE-9IM model),
   * overlay functions (intersection, difference, union,
     symmetric difference),
   * buffer,
   * convex hull,
   * area and distance functions, and
   * topological validity checking
 .
 This package contains the C++ library. A stable C library is provided
 by the libgeos-c* package and should be preferred by third-parties
 programs.

Package: libgeos-c1v5
Description-md5: a75082cac0555a6f2ab4a0fdc289b779
Description-en: Geometry engine for Geographic Information Systems - C Library
 GEOS provides a spatial object model and fundamental geometric
 functions. It implements the geometry model defined in the
 OpenGIS Consortium Simple Features Specification for SQL.
 Functions provided include:
 .
   * spatial predicates (based on the DE-9IM model),
   * overlay functions (intersection, difference, union,
     symmetric difference),
   * buffer,
   * convex hull,
   * area and distance functions, and
   * topological validity checking
 .
 This package contains the C library. A C++ library is provided
 by the libgeos-* package.

Package: libgeos-dev
Description-md5: 3f1f0c4d5fc2ab9465b9947eec04cda4
Description-en: Geometry engine for GIS - Development files
 GEOS provides a spatial object model and fundamental geometric
 functions. It implements the geometry model defined in the
 OpenGIS Consortium Simple Features Specification for SQL.
 .
 This package contains the headers and libraries needed to
 develop programs using GEOS.

Package: libgeos-doc
Description-md5: 692dee8bc3cf34b5e34d65a80c1e283c
Description-en: Documentation for the GEOS GIS geometry engine library
 GEOS provides a spatial object model and fundamental geometric
 functions. It implements the geometry model defined in the
 OpenGIS Consortium Simple Features Specification for SQL.
 .
 This package contains the documentation for the GEOS API.

Package: libgeotiff-dev
Description-md5: 6aae56e19c983b1332b259087d999caa
Description-en: GeoTIFF (geografic enabled TIFF) library -- development files
 This C library supports TIFF 6.0 based interchange format for georeferenced
 raster imagery. The GeoTIFF standard has been developed for reading, and
 writing geographic meta-information tags on top of TIFF raster.
 .
 This package contains stuff to be used to develop programs based
 on the GeoTIFF library.

Package: libgeotiff5
Description-md5: 100b7c2aa38b43d32f6aa2466ade08f0
Description-en: GeoTIFF (geografic enabled TIFF) library -- run-time files
 This C library supports TIFF 6.0 based interchange format for georeferenced
 raster imagery. The GeoTIFF standard has been developed for reading, and
 writing geographic meta-information tags on top of TIFF raster.
 .
 This package contains the shared library only.

Package: libgeotranz3-dev
Description-md5: 93c03cfe3274bdfd5ed75725a581470c
Description-en: GEOgraphic coordinates TRANslator (development files)
 GEOTRANZ (Geographic Translator) is an application program which allows
 you to easily convert geographic coordinates among a wide variety of
 coordinate systems, map projections, and datums. Currently, twenty-five
 different coordinate systems, map projections, grids, and coding
 schemes, and over two hundred different datums, are supported.
 .
 GEOTRANZ is the Debian name of GEOTRANS, a product of the National
 Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research
 and Development Center.
 .
 This package contains the static library and include files for linking
 applications with the geotranz library.

Package: libgeotranz3.7
Description-md5: 8de2fdee0e6b2d0c38d49dc4c89799c8
Description-en: GEOgraphic coordinates TRANslator (runtime library)
 GEOTRANZ (Geographic Translator) is an application program which allows
 you to easily convert geographic coordinates among a wide variety of
 coordinate systems, map projections, and datums. Currently, twenty-five
 different coordinate systems, map projections, grids, and coding
 schemes, and over two hundred different datums, are supported.
 .
 GEOTRANZ is the Debian name of GEOTRANS, a product of the National
 Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research
 and Development Center.
 .
 This package contains the runtime library used by GEOTRANZ to do all
 conversions.

Package: libgepub-0.6-0
Description-md5: e4ad0d5c0bcdac32c520efeb51af8536
Description-en: library to read epub files
 libgepub is a GObject based library for handling and rendering epub
 documents.
 .
 This package contains the C library.

Package: libgepub-0.6-dev
Description-md5: f5287c9680f6e54ec3dc99ca689f1e71
Description-en: library to read epub files (development files)
 libgepub is a GObject based library for handling and rendering epub
 documents.
 .
 This package contains the headers for the C library.

Package: libgeronimo-annotation-1.3-spec-java
Description-md5: 7bead21d08dd2d09529ce75d61049810
Description-en: Apache Geronimo Common Annotations 1.3 API
 Apache Geronimo is an open source server runtime that integrates the best
 open source projects to create Java/OSGi server runtimes that meet the needs
 of enterprise developers and system administrators. Its most popular
 distribution is a fully certified Java EE 6 application server runtime.
 .
 This package contains the Common Annotations 1.3 API
 (javax.annotation.* classes).

Package: libgeronimo-commonj-spec-java
Description-md5: 5e9b32108516dc34316e4fee4802bf3a
Description-en: Java API for concurrent programming of EJBs and Servlets
 The CommonJ API enables concurrent programming of EJBs and
 Servlets within a J2EE application. This API is also known
 as Timer and Work Manager API.
 .
 The CommonJ API contains the following components:
  - Timer API:
    The Timer API allows applications to schedule and receive
    timer notification callbacks for a specific listener defined
    within an application. Timers allow you to schedule and
    perform work at specific times or intervals.
  - Work Manager API:
    The Work Manager API allows an application to prioritize
    work within an EJB or Servlet. Applications can
    programmatically execute multiple work items within a
    container.

Package: libgeronimo-concurrent-1.0-spec-java
Description-md5: 1229208998bc243f02018ea314237545
Description-en: Apache Geronimo Concurrency Utilities for Java EE API
 Apache Geronimo is an open source server runtime that integrates the best
 open source projects to create Java/OSGi server runtimes that meet the needs
 of enterprise developers and system administrators. Its most popular
 distribution is a fully certified Java EE 6 application server runtime.
 .
 This package contains the Concurrency Utilities for Java EE API 1.0
 (javax.enterprise.concurrent.* classes).

Package: libgeronimo-ejb-3.0-spec-java
Description-md5: 869a2f97989ec90284cf3ff9ae9146c3
Description-en: Geronimo API implementation of the EJB 3.0 spec
 The goal of the Geronimo project is to produce a server runtime framework
 that pulls together the best Open Source alternatives to create runtimes
 that meet the needs of developers and system administrators. Its most
 popular distribution is a fully certified Java EE 5 application server
 runtime.
 .
 Geronimo API implementation of the EJB 3.0 spec (javax.ejb classes)

Package: libgeronimo-ejb-3.2-spec-java
Description-md5: b1ffcc721b9514c6c3480ffc37c56c9e
Description-en: Apache Geronimo EJB 3.2 API
 Apache Geronimo is an open source server runtime that integrates the best
 open source projects to create Java/OSGi server runtimes that meet the needs
 of enterprise developers and system administrators. Its most popular
 distribution is a fully certified Java EE 6 application server runtime.
 .
 This package contains the EJB 3.2 API (javax.ejb.* classes).

Package: libgeronimo-interceptor-3.0-spec-java
Description-md5: c84098363d70e9decbc717d5da7dd529
Description-en: Geronimo API implementation of the Interceptor 3.0 spec
 Apache Geronimo is an open source application server developed by the Apache
 Software Foundation and distributed under the Apache license.
 .
 The goal of the Geronimo project is to produce a server runtime framework
 that pulls together the best Open Source alternatives to create runtimes
 that meet the needs of developers and system administrators. Its most
 popular distribution is a fully certified Java Enterprise Edition 5.0
 (Java EE 5) application server runtime.
 .
 This package provides the Geronimo API implementation of the Interceptor
 3.0 spec (javax.interceptor classes).

Package: libgeronimo-j2ee-connector-1.5-spec-java
Description-md5: 58d155c520718a182ed2a4706d96ea38
Description-en: Geronimo API implementation of the J2EE connector 1.5 spec
 The goal of the Geronimo project is to produce a server runtime framework
 that pulls together the best Open Source alternatives to create runtimes
 that meet the needs of developers and system administrators. Its most
 popular distribution is a fully certified Java EE 5 application server
 runtime.
 .
 This package provides the Geronimo API implementation of the J2EE
 connector 1.5 spec (javax.resource classes).

Package: libgeronimo-j2ee-management-1.1-spec-java
Description-md5: 93de6b7ef72b0d0a18cc6da9793e51d6
Description-en: Apache Geronimo J2EE Management 1.1 API
 Apache Geronimo is an open source server runtime that integrates the best
 open source projects to create Java/OSGi server runtimes that meet the needs
 of enterprise developers and system administrators. Its most popular
 distribution is a fully certified Java EE 6 application server runtime.
 .
 This package contains the J2EE Management 1.1 API (JSR 77)
 (javax.management.j2ee.* classes).

Package: libgeronimo-jacc-1.1-spec-java
Description-md5: a3b22f5a9de95582e030feb38b451539
Description-en: Geronimo API implementation of the JACC 1.1 spec
 The goal of the Geronimo project is to produce a server runtime framework
 that pulls together the best Open Source alternatives to create runtimes
 that meet the needs of developers and system administrators. Our most
 popular distribution is a fully certified Java EE 5 application server
 runtime.
 .
 This package offers the Geronimo API implementation of the JACC 1.1 spec
 (javax.security.jacc classes).

Package: libgeronimo-jcache-1.0-spec-java
Description-md5: fd283dc60db73e7a9a26881b6a3ca18f
Description-en: Apache Geronimo JCache 1.0 API
 Apache Geronimo is an open source server runtime that integrates the best
 open source projects to create Java/OSGi server runtimes that meet the needs
 of enterprise developers and system administrators. Its most popular
 distribution is a fully certified Java EE 6 application server runtime.
 .
 This package contains the JCache 1.0 API (javax.cache.* classes).

Package: libgeronimo-jms-1.1-spec-java
Description-md5: 18837dffe48bfce6f4dd58dfc6a59043
Description-en: Geronimo API implementation of the JMS 1.1 spec
 The goal of the Geronimo project is to produce a server runtime framework
 that pulls together the best Open Source alternatives to create runtimes
 that meet the needs of developers and system administrators. Geronimo's
 most popular distribution is a fully certified Java EE 5 application server
 runtime.
 .
 Geronimo API implementation of the JMS 1.1 spec (javax.jms classes)

Package: libgeronimo-jpa-2.0-spec-java
Description-md5: 90b139312ba61bd04e18420a147bd683
Description-en: Geronimo JSR-317 Java Persistence (JPA) 2.0 Spec API
 The Java Persistence API is the Java API for the management of persistence
 and object/relational mapping for Java EE and Java SE environments.
 .
 The goal of this specification is to provide an object/relational mapping
 facility for the Java application developer using a Java domain model
 to manage a relational database.
 .
 Persistence in this context covers three areas:
  - The API itself, defined in the javax.persistence package.
  - The Java Persistence Query Language (JPQL).
  - Object/relational metadata.
 .
 The Java Persistence 2.0 specification addresses improvements in the areas of
 domain modeling, object/relational mapping, EntityManager and Query
 interfaces, and the Java Persistence query language. It adds an API for
 criteria queries, a metamodel API, and support for validation.
 .
 This package contains only API of JSR-317 spec. Apache OpenJPA and
 EclipseLink are implementations of this spec.

Package: libgeronimo-jpa-2.0-spec-java-doc
Description-md5: 72bbc65372be0641a16f6e277fd45162
Description-en: Documentation for libgeronimo-jpa-2.0-spec-java
 Documentation for the Java Persistence API that is the Java API for the
 management of persistence and object/relational mapping for Java EE and Java
 SE environments.
 .
 The goal of this specification is to provide an object/relational mapping
 facility for the Java application developer using a Java domain model
 to manage a relational database.
 .
 Persistence in this context covers three areas:
  - The API itself, defined in the javax.persistence package.
  - The Java Persistence Query Language (JPQL).
  - Object/relational metadata.
 .
 The Java Persistence 2.0 specification addresses improvements in the areas of
 domain modeling, object/relational mapping, EntityManager and Query
 interfaces, and the Java Persistence query language. It adds an API for
 criteria queries, a metamodel API, and support for validation.
 .
 This package contains only API of JSR-317 spec. Apache OpenJPA and
 EclipseLink are implementations of this spec.

Package: libgeronimo-jta-1.1-spec-java
Description-md5: e0e1acab9e3fa9733d01db251177ed90
Description-en: Geronimo API implementation of the JTA 1.1 spec
 The goal of the Geronimo project is to produce a server runtime framework
 that pulls together the best Open Source alternatives to create runtimes
 that meet the needs of developers and system administrators. Its most
 popular distribution is a fully certified Java EE 5 application server
 runtime.
 .
 Geronimo API implementation of the JTA 1.0.1B spec (javax.transaction
 classes)

Package: libgeronimo-jta-1.2-spec-java
Description-md5: c9121d068ff6342e49e4e77ba799e260
Description-en: Apache Geronimo JTA 1.2 API
 Apache Geronimo is an open source server runtime that integrates the best
 open source projects to create Java/OSGi server runtimes that meet the needs
 of enterprise developers and system administrators. Its most popular
 distribution is a fully certified Java EE 6 application server runtime.
 .
 This package contains the JTA 1.2 API (javax.transaction.* classes).

Package: libgeronimo-osgi-support-java
Description-md5: 9016b5fdb7e2b8720de3268873c4b955
Description-en: Java libraries providing OSGi lookup support for Geronimo projects
 These Java libraries allow the use of OSGi framework with Geronimo existing
 projects. They allow lookup and registration of components.
 .
 - geronimo-osgi-locator.jar: Allow performing class and components lookups
   with OSGi support.
   .
 - geronimo-osgi-registry.jar: Facilitate the use of Geronimo specs providers
   (components typically plugged in to the JRE through META-INF/services
   resources) like geronimo-validation-1.0-spec (Geronimo JSR-303 Bean
   Validation Spec API).
   .
   The service created by this library will maintain a registry of factory
   class that can be used by the spec bundles to locate factory classes that
   reside in other bundles.

Package: libgeronimo-osgi-support-java-doc
Description-md5: 426b2d962bdc67091aaad42aaffc2396
Description-en: Documentation for libgeronimo-osgi-support-java
 Documentation for Java libraries that allow the use of OSGi framework with
 Geronimo existing projects. They allow lookup and registration of components.
 .
 - geronimo-osgi-locator.jar: Allow performing class and components lookups
   with OSGi support.
   .
 - geronimo-osgi-registry.jar: Facilitate the use of Geronimo specs providers
   (components typically plugged in to the JRE through META-INF/services
   resources) like geronimo-validation-1.0-spec (Geronimo JSR-303 Bean
   Validation Spec API).
   .
   The service created by this library will maintain a registry of factory
   class that can be used by the spec bundles to locate factory classes that
   reside in other bundles.

Package: libgeronimo-validation-1.0-spec-java
Description-md5: 97c898f3056c8e353638c269dd04932a
Description-en: Geronimo JSR-303 Bean Validation Spec API
 Apache Geronimo implementation of the JSR-303 Bean Validation Spec API.
 .
 JSR 303 defines a metadata model and API for JavaBean validation.
 The default metadata source is annotations, with the ability to override and
 extend the meta-data through the use of XML validation descriptors. The
 API is not tied to a specific application tier or programming model.
 .
 It is specifically not tied to either the web tier or the persistence tier,
 and is available for both server-side application programming,
 as well as rich client Swing application developer.
 .
 This package contains only API of JSR-303 spec. Hibernate Validator is the
 reference implementation of this spec.

Package: libgeronimo-validation-1.0-spec-java-doc
Description-md5: f9e3772f4a65c7ec482d53774898593f
Description-en: Documentation for libgeronimo-validation-1.0-spec-java
 Documentation for the Apache Geronimo implementation of the JSR-303 Bean
 Validation Spec API.
 .
 JSR 303 defines a metadata model and API for JavaBean validation.
 The default metadata source is annotations, with the ability to override and
 extend the meta-data through the use of XML validation descriptors. The
 API is not tied to a specific application tier or programming model.
 .
 It is specifically not tied to either the web tier or the persistence tier,
 and is available for both server-side application programming,
 as well as rich client Swing application developer.
 .
 This package contains only API of JSR-303 spec. Hibernate Validator is the
 reference implementation of this spec.

Package: libgeronimo-validation-1.1-spec-java
Description-md5: a5dab6e4c3beb665a67e961a651eeccd
Description-en: Geronimo JSR-349 Bean Validation Spec API
 Apache Geronimo implementation of the JSR-349 Bean Validation Spec API.
 .
 JSR 349 defines a metadata model and API for JavaBean validation.
 The default metadata source is annotations, with the ability to override and
 extend the meta-data through the use of XML validation descriptors. The
 API is not tied to a specific application tier or programming model.
 .
 It is specifically not tied to either the web tier or the persistence tier,
 and is available for both server-side application programming,
 as well as rich client Swing application developer.
 .
 This package contains only API of JSR-349 spec. Hibernate Validator is the
 reference implementation of this spec.

Package: libgeronimo-validation-1.1-spec-java-doc
Description-md5: 61fa67f2403c553c214e0e567cebda2f
Description-en: Documentation for libgeronimo-validation-1.1-spec-java
 Documentation for the Apache Geronimo implementation of the JSR-349 Bean
 Validation Spec API.
 .
 JSR 349 defines a metadata model and API for JavaBean validation.
 The default metadata source is annotations, with the ability to override and
 extend the meta-data through the use of XML validation descriptors. The
 API is not tied to a specific application tier or programming model.
 .
 It is specifically not tied to either the web tier or the persistence tier,
 and is available for both server-side application programming,
 as well as rich client Swing application developer.
 .
 This package contains only API of JSR-349 spec. Hibernate Validator is the
 reference implementation of this spec.

Package: libges-1.0-0
Description-md5: de28b750b9b6e559b75ec37765eea314
Description-en: GStreamer editing services (shared library)
 The GStreamer multimedia framework and the accompanying NLE set of
 plugins for non-linear editing offer all the building blocks for:
 * Decoding and encoding to a wide variety of formats, through all the
   available GStreamer plugins.
 * Easily choosing segments of streams and arranging them through time
   through the NLE set of plugins.
 But all those building blocks only offer stream-level access, which
 results in developers who want to write non-linear editors to write a
 consequent amount of code to get to the level of non-linear editing
 notions which are closer and more meaningful for the end-user
 (and therefore the application).
 .
 The GStreamer Editing Services (GES) aims to fill the gap between
 GStreamer/NLE and the application developer by offering a series
 of classes to simplify the creation of many kind of editing-related
 applications.
 .
 This package contains the shared library for the GStreamer editing
 services.

Package: libges-1.0-0-dbg
Description-md5: c2efaf6a32927e0db9273b3c2fa34e12
Description-en: GStreamer editing services (debug symbols)
 The GStreamer multimedia framework and the accompanying NLE set of
 plugins for non-linear editing offer all the building blocks for:
 * Decoding and encoding to a wide variety of formats, through all the
   available GStreamer plugins.
 * Easily choosing segments of streams and arranging them through time
   through the NLE set of plugins.
 But all those building blocks only offer stream-level access, which
 results in developers who want to write non-linear editors to write a
 consequent amount of code to get to the level of non-linear editing
 notions which are closer and more meaningful for the end-user
 (and therefore the application).
 .
 The GStreamer Editing Services (GES) aims to fill the gap between
 GStreamer/NLE and the application developer by offering a series
 of classes to simplify the creation of many kind of editing-related
 applications.
 .
 This package contains unstripped shared libraries. It is provided primarily
 to provide a backtrace with names in a debugger, this makes it somewhat
 easier to interpret core dumps. The libraries are installed in
 /usr/lib/debug and are automatically used by gdb.

Package: libges-1.0-dev
Description-md5: 74b2379c8aaad1a31d6e1510697d736e
Description-en: GStreamer editing services (development files)
 The GStreamer multimedia framework and the accompanying NLE set of
 plugins for non-linear editing offer all the building blocks for:
 * Decoding and encoding to a wide variety of formats, through all the
   available GStreamer plugins.
 * Easily choosing segments of streams and arranging them through time
   through the NLE set of plugins.
 But all those building blocks only offer stream-level access, which
 results in developers who want to write non-linear editors to write a
 consequent amount of code to get to the level of non-linear editing
 notions which are closer and more meaningful for the end-user
 (and therefore the application).
 .
 The GStreamer Editing Services (GES) aims to fill the gap between
 GStreamer/NLE and the application developer by offering a series
 of classes to simplify the creation of many kind of editing-related
 applications.
 .
 This package contains development files for the GStreamer
 editing services.

Package: libges-1.0-doc
Description-md5: 6b900982a4837455445162a7782c1d07
Description-en: GStreamer editing services (documentation)
 The GStreamer multimedia framework and the accompanying NLE set of
 plugins for non-linear editing offer all the building blocks for:
 * Decoding and encoding to a wide variety of formats, through all the
   available GStreamer plugins.
 * Easily choosing segments of streams and arranging them through time
   through the NLE set of plugins.
 But all those building blocks only offer stream-level access, which
 results in developers who want to write non-linear editors to write a
 consequent amount of code to get to the level of non-linear editing
 notions which are closer and more meaningful for the end-user
 (and therefore the application).
 .
 The GStreamer Editing Services (GES) aims to fill the gap between
 GStreamer/NLE and the application developer by offering a series
 of classes to simplify the creation of many kind of editing-related
 applications.
 .
 This package contains the documentation for the GStreamer editing services.

Package: libgetargs-long-perl
Description-md5: 06bd64b4006e66ba22f09710bb6528b5
Description-en: Perl module to parse long function arguments
 The Getargs::Long module allows usage of named parameters in function
 calls, along with optional argument type-checking.  It provides an easy
 way to get at the parameters within the routine, and yields concise
 descriptions for the common cases of all-mandatory and all-optional
 parameter lists.
 .
 The validation of arguments can be done by a structure-driven routine
 getargs() which is fine for infrequently called routines (but should be
 slower), or via a dedicated routine created and compiled on the fly the
 first time it is needed, by using the cgetargs() family (expected to be
 faster).
 .
 The Log::Agent module is used to report errors, which leaves to the
 application the choice of the final logging method: to a file, to
 STDERR, or to syslog.
 .
 Note that this module is still in the alpha stage of development, and
 the interface to it may change: indeed, it changed between 0.1.2 and
 0.1.3.

Package: libgetdata++7
Description-md5: 142c3d9f82abec5e2b4d3098d6a25398
Description-en: library to read/write dirfile data - C++ bindings
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: libgetdata-dev
Description-md5: ea8eb88990c99e7eabf8e38b9f3168da
Description-en: library to read/write dirfile data - devel files (C, C++, F77, F95)
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: libgetdata-doc
Description-md5: ca2211578441c7ecd0e9404fcc17f0d2
Description-en: library to read/write dirfile data - API and standards documents
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: libgetdata-perl
Description-md5: 94fb6f618d2925a0791137f7e1e0ae45
Description-en: library to read/write dirfile data - perl bindings
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: libgetdata-tools
Description-md5: 02624a5c377e2d5506d7c770d07c672c
Description-en: library to read/write dirfile data - extra tools
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: libgetdata8
Description-md5: 8b9a6599f682a123dafd734fbf59be6f
Description-en: library to read/write dirfile data
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: libgetdns-dev
Description-md5: 47d8ace51120c04c4c34b409a9153feb
Description-en: modern asynchronous DNS API (development)
 getdns is a modern asynchronous DNS API.  It implements DNS entry
 points from a design developed and vetted by application developers,
 in an API specification edited by Paul Hoffman.  This API intends to
 offer application developers a modernized and flexible way to access
 DNS security (DNSSEC) and other powerful new DNS features; a
 particular hope is to inspire application developers towards
 innovative security solutions in their applications.
 .
 This is the full development version of the library.

Package: libgetdns10
Description-md5: fd65a4eb8e5bb2f4ac237175c5959bbf
Description-en: modern asynchronous DNS API (shared library)
 getdns is a modern asynchronous DNS API.  It implements DNS entry
 points from a design developed and vetted by application developers,
 in an API specification edited by Paul Hoffman.  This API intends to
 offer application developers a modernized and flexible way to access
 DNS security (DNSSEC) and other powerful new DNS features; a
 particular hope is to inspire application developers towards
 innovative security solutions in their applications.
 .
 This is the runtime package of the library.

Package: libgetfem++-dev
Description-md5: 515f85fa7060885c8ef1cdcbe94a2bf1
Description-en: Development files for the GETFEM++ generic finite element library
 GETFEM++ is a library allowing the computation of any elementary matrix (even
 for mixed finite element methods) on the largest class of methods and elements,
 and for arbitrary dimension.
 .
 This package contains development files for building software that uses the
 GETFEM++ library.

Package: libgetfem5++
Description-md5: 6f04ed9278a95b0674fd911db214c110
Description-en: GETFEM++ generic finite element library
 GETFEM++ is a library allowing the computation of any elementary matrix (even
 for mixed finite element methods) on the largest class of methods and elements,
 and for arbitrary dimension.

Package: libgetopt-argparse-perl
Description-md5: 88db1f86dfc90a4f6d5835e9aa45660d
Description-en: module to parse @ARGV with a richer and more user-friendly API
 Getopt::ArgParse provides the following features:
 .
   - Generating usage messages
   - Storing parsed arg values in an object, which can be also used to
     load configuration values from files and therefore the ability for
     applications to combine configurations in a single interface
   - A more user-friendly interface to specify arguments, such as
     argument types, argument values split, etc.
   - Subcommand parsing, such svn <command>
   - Supporting both flag based named arguments and positional arguments
 .
 Getopt::ArgParse provides similar functionality to Python's argparse module.

Package: libgetopt-argvfile-perl
Description-md5: 08d4f26c662789a303b150425e532b9e
Description-en: Perl module for reading script options and parameters from files
 This module simply interpolates option file hints in @ARGV by the
 contents of the pointed files.  This enables option reading from
 files instead of or additional to the usual reading from the command
 line.
 .
 Alternatively, you can process any array instead of @ARGV which is
 used by default and mentioned mostly in this manual.
 .
 The interpolated @ARGV could be subsequently processed by the usual
 option handling, e.g. by a Getopt::xxx module.  Getopt::ArgvFile does
 not perform any option handling itself, it only prepares the array
 @ARGV.

Package: libgetopt-complete-perl
Description-md5: 71a0287307f3613254f205229d4be085
Description-en: programmable shell completion for Perl apps
 Getopt::Complete makes it easy to add custom command-line completion to Perl
 applications. It also does additional validation of arguments, when the
 program is actually executed, based on completion lists.
 .
 Support is also present for apps which are an entry point for a hierarchy of
 sub-commands (in the style of cvs and git).
 .
 Getopt::Complete also wraps the standard options processing and exports it as
 a %ARGS hash at compile time, making using the arguments hassle-free.
 .
 The completion features currently work with the bash shell, which is the
 default on most Linux and Mac systems. Patches for other shells are welcome.

Package: libgetopt-declare-perl
Description-md5: f37921f73d9316d79b309ea5a1963d40
Description-en: module for parsing command line arguments
 Getopt::Declare provides facilities to parse command line options. It builds
 and runs a parser. The parser object can be saved and later run on different
 input. Key features are:
 .
  * Automatic generation of error, usage and version information
  * Matching of parameter components may be constrained by regular expressions
  * Execution of embedded actions
  * Declarative specification of inter-parameter relationships
  * Selective or global case-insensitive matching of parameters

Package: libgetopt-euclid-perl
Description-md5: e59bcfe2b61e7e6af2c104c2f974e06d
Description-en: command line interface dynamically built from the documentation
 'Euclid' stands for Executable Uniform Command-Line Interface Descriptions.
 Getopt::Euclid uses your program's own documentation to create a command-line
 argument parser. This ensures that your program's documented interface and
 its actual interface always agree.

Package: libgetopt-java
Description-md5: bd055c458009005c0e886d4d36cda492
Description-en: GNU getopt - Java port
 The GNU Java getopt classes support short and long argument parsing
 in a manner 100% compatible with the version of GNU getopt in glibc
 2.0.6 with a mostly compatible programmer's interface as well. Note that
 this is a port, not a new implementation.

Package: libgetopt-java-doc
Description-md5: 9f5e3c77c66ef4ba601a3857e15fa1db
Description-en: GNU getopt - Java port (doc)
 The GNU Java getopt classes support short and long argument parsing
 in a manner 100% compatible with the version of GNU getopt in glibc
 2.0.6 with a mostly compatible programmer's interface as well. Note that
 this is a port, not a new implementation.
 .
 This package contains the Java Programming API docs.

Package: libgetopt-lucid-perl
Description-md5: 85ebd038b01091015c479fc0879663db
Description-en: module for parsing command line arguments
 Getopt::Lucid is a Perl module for parsing command line arguments, similar in
 nature to Getopt::Long (in Perl core). The goal of this module is to provide
 good code readability and clarity of intent, relying on plain-English option
 specification as opposed to the more symbolic approach of Getopt::Long.

Package: libgetopt-ocaml-dev
Description-md5: aaf69f0f6f87d47a0dce26685edb7a5f
Description-en: command line parsing library for OCaml
 This package provides the Getopt module that is an alternative to the
 Arg module in the standard distribution.  Getopt supports the general
 command line syntax of GNU getopt and getopt_long, but is close to the
 spirit of the Arg module: the programmer gives to the general parsing
 function a list of possible options, together with the behavior of
 these options.

Package: libgetopt-simple-perl
Description-md5: bb8d2b2ef53fd350903e167e333c686c
Description-en: provide a simple wrapper around Getopt::Long
 The Getopt::Simple module provides a simple way of specifying:
  * Command line switches
  * Type information for switch values
  * Default values for the switches
  * Help text per switch

Package: libgetopt-tabular-perl
Description-md5: 756f596a97c5ca0f3455527417523c7c
Description-en: table-driven argument parsing for Perl 5
 Getopt::Tabular is a Perl 5 module for table-driven argument parsing,
 vaguely inspired by John Ousterhout's Tk_ParseArgv.
 .
 Some nice features of Getopt::Tabular are:
  * Command-line arguments are carefully type-checked, both by pattern and
    number -- e.g. if an option requires two integers, GetOptions makes sure
    that exactly two integers follow it!
  * The valid command-line arguments are specified in a data structure
    separate from the call to GetOptions; this makes it easier to have very
    long lists of options, and to parse options from multiple sources
    (e.g. the command line, an environment variable, and a configuration file).
  * Getopt::Tabular can intelligently generate help text based on your option
    descriptions.
  * The type system is extensible, and if you can define your desired argument
    type using a single Perl regular expression then it's particularly easy to
    extend.
  * Options can be abbreviated and come in any order.
  * A "spoof" mode in which arguments are parsed without side-effects.

Package: libgetopt-usaginator-perl
Description-md5: 0126f199bd8c88ddcc7226f83faa989c
Description-en: syntactic sugar for creating a command line usage function
 Getopt::Usaginator is a tool for creating a usage subroutine for commandline
 applications. You decide the text of the usage function in the use declaration
 and it generates a usage method. It does not do any option parsing, but is
 best paired with Getopt::Long or any of the other myriad of option parsers

Package: libgetoptions-dev
Description-md5: 6315ae2351d2a7808f3fbd9c894692fa
Description-en: Command line argument processing library for C (development files)
 C library for processing GNU-like command line options. The library
 uses C the exception handling library and is used for developing
 programs using codcif, an error-correcting Crystallographic Information
 Format (CIF) parser.
 .
 This package contains static library and header files.

Package: libgetoptions0
Description-md5: 2f1677a1b594d5f1e9d8a2ad2cbc8417
Description-en: Command line argument processing library for C
 C library for processing GNU-like command line options. The library
 uses C the exception handling library and is used for developing
 programs using codcif, an error-correcting Crystallographic Information
 Format (CIF) parser.

Package: libgettext-ant-tasks-java
Description-md5: c443db0abc9ecc83d8cd48e341549882
Description-en: Java classes for internationalization (i18n) - Ant tasks
 Lightweight library combining the power of the unix-style gettext tools
 with the widely used Java ResourceBundles.
 This makes it possible to use the original text instead of arbitrary property
 keys, which is less cumbersome and makes programs easier to read.
 .
 This package contains tasks to be used with the Ant build system.

Package: libgettext-commons-java
Description-md5: f346a3a40446b942763ea5d13f06386d
Description-en: Java classes for internationalization (i18n)
 Lightweight library combining the power of the unix-style gettext tools
 with the widely used Java ResourceBundles.
 This makes it possible to use the original text instead of arbitrary property
 keys, which is less cumbersome and makes programs easier to read.

Package: libgettext-maven-plugin-java
Description-md5: fa392127f43a18aaa07a4df0d5bb8049
Description-en: plugin to integrate gettext tools into a Maven build
 The gettext-commons library combines the power of the unix-style gettext tools
 with the widely used Java ResourceBundles. This makes it possible to use the
 original text instead of arbitrary property keys, which is less cumbersome and
 makes programs easier to read.
 .
 This package includes the Maven plugin.

Package: libgettext-ocaml
Description-md5: f044514345729f9a29d1c28245ed733b
Description-en: OCaml internationalization shared library
 This library provides utilities and libraries to enable localization
 in OCaml programs. It is based on the same principle of GNU gettext.
 .
 The package provides two libraries to do effectively translation :
  - gettext-camomile : pure OCaml implementation of gettext
  - gettext-stub : implementation using the gettext library.
 .
 This package contains shared library.

Package: libgettext-ocaml-dev
Description-md5: 5e04596f09ea16cea8e8d5e9ee30b5ae
Description-en: OCaml internationalization library
 This library provides utilities and libraries to enable localization
 in OCaml programs. It is based on the same principle of GNU gettext.
 .
 The package provides two libraries to do effectively translation :
  - gettext-camomile : pure OCaml implementation of gettext
  - gettext-stub : implementation using the gettext library.
 .
 This package contains header, OCaml library and devel tools.

Package: libgf2x-dev
Description-md5: 56a465fc8e95e6d9d20020962831fe9d
Description-en: Routines for fast arithmetic in GF(2)[x] (development files)
 GF2X  is a C/C++ software package containing routines for
 fast arithmetic in GF(2)[x] (multiplication, squaring, GCD)
 and searching for irreducible/primitive trinomials.
 .
 This package contains the development files for the library.

Package: libgf2x3
Description-md5: b3b3e4856b7afd88aaf48115592c3475
Description-en: Routines for fast arithmetic in GF(2)[x]
 GF2X  is a C/C++ software package containing routines for
 fast arithmetic in GF(2)[x] (multiplication, squaring, GCD)
 and searching for irreducible/primitive trinomials.

Package: libgfal-srm-ifce1
Description-md5: c13b88bf9f8e3a5407e7a2286e96b1c6
Description-en: SRM client side library
 srm-ifce is a client side implementation of the SRMv1 and SRMv2
 specification for GFAL1/2 and FTS. SRM means Storage Resource Manager
 Interface, it is a specification of a SOAP interface providing a
 generic way to manage distributed storage systems.

Package: libgfal-transfer2
Description-md5: de75b4ad834b45442d77966e2624813d
Description-en: File Transfer logic of gfal2
 gfal-transfer is the high level API for file transfer operations in
 gfal2. It supports third-party copy.

Package: libgfal2-2
Description-md5: 3675180133c603fc8701269520cc974d
Description-en: Core of the grid file access library 2.0
 The main library of gfal2. The gfal protocol support relies on a
 plugin system.

Package: libgfal2-dev
Description-md5: 1bdb392515bdd200b450c90ab8bbf058
Description-en: Development files of gfal2
 Development files for gfal2.

Package: libgfapi0
Description-md5: edffee8ca1e4977be0a0d6b9f001a84c
Description-en: GlusterFS gfapi shared library
 GlusterFS is a clustered file-system capable of scaling to several
 petabytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package contains libgfapi.

Package: libgfarm-dev
Description-md5: 4974ba766b79626824c82464bb876fe3
Description-en: Gfarm file system development files
 The Gfarm file system is designed to turn commodity PCs into nodes of
 a distributed storage network, implementing the Grid Datafarm
 architecture for global petascale data-intensive computing. It solves
 performance and reliability problems in NFS and AFS by means of
 multiple file replicas, and not only prevents performance degradation
 due to access concentration, but also supports fault tolerance and
 disaster recovery.
 .
 This package provides the static library, header files, and man
 pages required for Gfarm development.

Package: libgfarm1
Description-md5: 1add57e28eefedf087cb422db113f580
Description-en: Gfarm file system runtime library
 The Gfarm file system is designed to turn commodity PCs into nodes of
 a distributed storage network, implementing the Grid Datafarm
 architecture for global petascale data-intensive computing. It solves
 performance and reliability problems in NFS and AFS by means of
 multiple file replicas, and not only prevents performance degradation
 due to access concentration, but also supports fault tolerance and
 disaster recovery.
 .
 This package provides the shared library required for accessing
 files via Gfarm.

Package: libgfbgraph-0.2-0
Description-md5: cf3b8f44f3e16950e971950e715611d5
Description-en: GObject library for Facebook Graph API
 GFBGraph is a GLib/GObject wrapper for the Facebook API.
 .
 This package contains the actual library.

Package: libgfbgraph-0.2-dev
Description-md5: 9874cc8bc42f5691fbf0d4b105dd37f4
Description-en: GObject library for Facebook Graph API - development files
 GFBGraph is a GLib/GObject wrapper for the Facebook API.
 .
 This package contains the development files.

Package: libgfbgraph-doc
Description-md5: 27c51bd7c944b78bd6be64d032b83fb2
Description-en: GObject library for Facebook Graph API - documentation
 GFBGraph is a GLib/GObject wrapper for the Facebook API.
 .
 This package contains the API documentation.

Package: libgfchangelog0
Description-md5: ab81e739d279cb2f1ed8b280d09fa69a
Description-en: GlusterFS gfchangelog shared library
 GlusterFS is a clustered file-system capable of scaling to several
 petabytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package contains libgfchangelog.

Package: libgff-dev
Description-md5: 2c6b8d9b27a9b20ea6ba3c9f1affef90
Description-en: GFF/GTF parsing from cufflinks as a library
 This is a simple "libraryfication" of the GFF/GTF parsing code that is used in
 the Cufflinks codebase.  There are not many (any?) relatively lightweight
 GTF/GFF parsers exposing a C++ interface, and the goal of this library is to
 provide this functionality without the necessity of drawing in a heavy-weight
 dependency like SeqAn.

Package: libgflags-dev
Description-md5: a34ceec1a55a9c9e8b65c36a15a26ab2
Description-en: commandline flags module for C++ (development files)
 gflags is a library that implements commandline flags processing. As
 such it's a replacement for getopt(). It has increased flexibility,
 including built-in support for C++ types like string, and the ability
 to define flags in the source file in which they're used.
 .
 This package contains development libraries and header files.

Package: libgflags-doc
Description-md5: 008c23f26c421c1f06551c6886549fe1
Description-en: documentation of gflags
 gflags is a library that implements commandline flags processing. As
 such it's a replacement for getopt(). It has increased flexibility,
 including built-in support for C++ types like string, and the ability
 to define flags in the source file in which they're used.
 .
 This package contains documentation files.

Package: libgflags2.2
Description-md5: 3158fcfaaa9efbf059856a9ef05116d5
Description-en: commandline flags module for C++ (shared library)
 gflags is a library that implements commandline flags processing. As
 such it's a replacement for getopt(). It has increased flexibility,
 including built-in support for C++ types like string, and the ability
 to define flags in the source file in which they're used.
 .
 This package contains shared libraries.

Package: libgfortran-10-dev
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-alpha-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-amd64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-arm64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-armel-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-armhf-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-hppa-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-i386-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-m68k-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-mips-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-mips64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-mips64el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-mips64r6-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-mips64r6el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-mipsel-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-mipsr6-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-mipsr6el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-powerpc-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-ppc64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-ppc64el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-riscv64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-s390x-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-sh4-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-sparc64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-10-dev-x32-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-7-dev
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-alpha-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-amd64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-arm64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-armel-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-armhf-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-hppa-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-i386-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-m68k-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-powerpc-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-ppc64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-ppc64el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-riscv64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-s390x-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-sh4-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-sparc64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-8-dev-x32-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-alpha-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-amd64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-arm64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-armel-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-armhf-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-hppa-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-i386-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-m68k-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-mips-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-mips64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-mips64el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-mips64r6-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-mips64r6el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-mipsel-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-mipsr6-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-mipsr6el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-powerpc-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-ppc64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-ppc64el-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-riscv64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-s390x-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-sh4-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-sparc64-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran-9-dev-x32-cross
Description-md5: 146f5739f84c8211389d84c7aa5bf949
Description-en: Runtime library for GNU Fortran applications (development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libgfortran4
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-alpha-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-amd64-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-arm64-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-armel-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-armhf-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-hppa-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-i386-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-m68k-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-mips-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-mips64-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-mips64el-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-mips64r6-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-mips64r6el-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-mipsel-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-mipsr6-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-mipsr6el-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-powerpc-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-ppc64-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-ppc64el-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-riscv64-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-s390x-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-sh4-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-sparc64-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfortran5-x32-cross
Description-md5: bb4b9e7dbbaa67e95441936718b11f39
Description-en: Runtime library for GNU Fortran applications
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libgfrpc0
Description-md5: d47fa58e2d0da397c0a3c33911dff007
Description-en: GlusterFS libgfrpc shared library
 GlusterFS is a clustered file-system capable of scaling to several
 petabytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package contains libgfrpc.

Package: libgfs-1.3-2
Description-md5: 9ca60e98bc8a69cdc63934618621e1f5
Description-en: Fluid Flow Solver -- shared libraries
 Gerris is a system for the solution of the partial differential
 equations describing fluid flow.
 .
 This package contains the shared libraries for libgfs.
 .
 This package has MPI support built in.

Package: libgfs-dev
Description-md5: b32073116f14d0d737cd4cb2fe3d8867
Description-en: Fluid Flow Solver -- development libraries and headers
 Gerris is a system for the solution of the partial differential
 equations describing fluid flow.
 .
 This package contains the headers and development libraries needed to
 build applications using libgfs.
 .
 This package has MPI support built in.

Package: libgfsgl-dev
Description-md5: 317029727c83796a4e914c9bde843000
Description-en: graphical viewer for Gerris simulation files. Development files
 Gerris is a system for the solution of the partial differential
 equations describing fluid flow.
 .
 GfsView displays the results of 2D and 3D Gerris simulations.
 A brief summary of its main features:
 .
    * Scalar and vector cross-sections.
    * Isosurfaces.
    * Streamlines.
    * User-defined functions.
    * Fast adaptive display (using the multiresolution data
      representation of Gerris).
    * Scriptable.
    * Offline image generation.
    * Quality PostScript, PDF and bitmap outputs.
 .
 The package contains development files

Package: libgfsgl0
Description-md5: 91251b9245d92c2dbf0ef5037e90ac09
Description-en: graphical viewer for Gerris simulation files. Shared library
 Gerris is a system for the solution of the partial differential
 equations describing fluid flow.
 .
 GfsView displays the results of 2D and 3D Gerris simulations.
 A brief summary of its main features:
 .
    * Scalar and vector cross-sections.
    * Isosurfaces.
    * Streamlines.
    * User-defined functions.
    * Fast adaptive display (using the multiresolution data
      representation of Gerris).
    * Scriptable.
    * Offline image generation.
    * Quality PostScript, PDF and bitmap outputs.
 .
 The package contains shared library

Package: libgfshare-bin
Description-md5: ae4f1a8c46e105b0850d8d826d420e23
Description-en: utilities for multi-way secret-sharing
 This package contains the gfsplit and gfcombine binaries.
 .
 gfsplit can be used to split a secret file (like a GPG secret key)
 into multiple parts, so that at least some number of those parts are needed
 to recover the original file, but any smaller combination of parts is useless
 to an attacker. gfcombine is used to combine the parts created by gfsplit.
 .
 For instance, you might split a GPG key using a 3-of-5 share and put one
 share on each of three computers, and two shares on a USB memory stick; then
 you can use the GPG key on any of those three computers using the memory
 stick, but if the memory stick is lost, you can recover the key by
 bringing the three computers together.

Package: libgfshare-dev
Description-md5: 424733cebfa95251197fb6c9a0c32c2a
Description-en: library for multi-way secret-sharing (headers)
 This library implements Shamir's method for secret sharing, which can be
 used to split a secret (like a GPG secret key) into multiple parts, so that
 at least some number of those parts are needed to recover the original file,
 but any smaller combination of parts is useless to an attacker.
 .
 This package contains header files and static libraries, needed to compile
 programs that use libgfshare.

Package: libgfshare2
Description-md5: 628936da63d395d26fd63f046c01caea
Description-en: library for multi-way secret-sharing
 This library implements Shamir's method for secret sharing, which can be
 used to split a secret (like a GPG secret key) into multiple parts, so that
 at least some number of those parts are needed to recover the original file,
 but any smaller combination of parts is useless to an attacker.
 .
 For instance, you might split a GPG key using a 3-of-5 share and put one
 share on each of three computers, and two shares on a USB memory stick; then
 you can use the GPG key on any of those three computers using the memory
 stick, but if the memory stick is lost, you can recover the key by
 bringing the three computers together.

Package: libgfxdr0
Description-md5: 59ddba6c67b08fc59cb4cedf967b0942
Description-en: GlusterFS libgfxdr shared library
 GlusterFS is a clustered file-system capable of scaling to several
 petabytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package contains libgfxdr.

Package: libgg-dev
Description-md5: fbc4541c0058d44107c2b19b210c6ade
Description-en: Computing gaussians on a grid (development files)
 gau2grid is a python-generated C library for vectorized computation of grid to
 gaussian collocation matrices. The core of gau2grid is generating the
 collocation matrices between a real space grid and a gaussian basis set
 expanded to a given angular momenta.
 .
 This package contains the header and cmake support files.

Package: libgg2
Description-md5: bcfd61a702b30747804850342d720bb2
Description-en: Computing gaussians on a grid
 gau2grid is a python-generated C library for vectorized computation of grid to
 gaussian collocation matrices. The core of gau2grid is generating the
 collocation matrices between a real space grid and a gaussian basis set
 expanded to a given angular momenta.
 .
 This package contains the shared library.

Package: libghc-abstract-deque-dev
Description-md5: b99b06b4817514d0dd3bca38bacee0c9
Description-en: abstract, parameterized interface to mutable Deques
 An abstract interface to highly-parameterizable queues/deques.
 .
 Background: There exists a feature space for queues that extends between:
   * simple, single-ended, non-concurrent, bounded queues
   * double-ended, threadsafe, growable queues
 with important points inbetween (such as the queues used for
 work-stealing).
 .
 This package includes an interface for Deques that allows the
 programmer to use a single API for all of the above, while using
 the type-system to select an efficient implementation given the
 requirements (using type families).
 .
 This package also includes a simple reference implementation based
 on IORef and Data.Sequence.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-abstract-deque-doc
Description-md5: 9303a3c42e58e97a2fa323cbc9a902a2
Description-en: abstract, parameterized interface to mutable Deques; documentation
 An abstract interface to highly-parameterizable queues/deques.
 .
 Background: There exists a feature space for queues that extends between:
   * simple, single-ended, non-concurrent, bounded queues
   * double-ended, threadsafe, growable queues
 with important points inbetween (such as the queues used for
 work-stealing).
 .
 This package includes an interface for Deques that allows the
 programmer to use a single API for all of the above, while using
 the type-system to select an efficient implementation given the
 requirements (using type families).
 .
 This package also includes a simple reference implementation based
 on IORef and Data.Sequence.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-abstract-deque-prof
Description-md5: 2a6a720ddc03ddf4c42bec9fc346f116
Description-en: abstract, parameterized interface to mutable Deques; profiling libraries
 An abstract interface to highly-parameterizable queues/deques.
 .
 Background: There exists a feature space for queues that extends between:
   * simple, single-ended, non-concurrent, bounded queues
   * double-ended, threadsafe, growable queues
 with important points inbetween (such as the queues used for
 work-stealing).
 .
 This package includes an interface for Deques that allows the
 programmer to use a single API for all of the above, while using
 the type-system to select an efficient implementation given the
 requirements (using type families).
 .
 This package also includes a simple reference implementation based
 on IORef and Data.Sequence.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-abstract-par-dev
Description-md5: 7739ba25836d6711b71c06fe90cf7859
Description-en: abstract parallelization interface
 This module offers the types and abstract definitons for the par monad. The
 par monad offers parrallelization based on dataflow programming.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-abstract-par-doc
Description-md5: 744116f101b550f1b46979d3b1a03744
Description-en: abstract parallelization interface; documentation
 This module offers the types and abstract definitons for the par monad. The
 par monad offers parrallelization based on dataflow programming.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-abstract-par-prof
Description-md5: 16070c19f9cff60425a39c017d7f6354
Description-en: abstract parallelization interface; profiling libraries
 This module offers the types and abstract definitons for the par monad. The
 par monad offers parrallelization based on dataflow programming.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-acid-state-dev
Description-md5: d895d07d192f90a13513f78e7b2e341a
Description-en: Haskell database library with ACID guarantees - GHC libraries
 AcidState is a Haskell library to store serializable Haskell
 structures, guaranteeing that transactions support the ACID
 semantic. That is, they must be atomic (a transaction must be
 completely saved or completely rolled back), consistent (after each
 transaction the state of the database must be valid), isolated (two
 parallel transaction must not interfere with each other) and durable
 (a successful transaction must be reliably stored to a persistent
 memory).
 .
 This library supports both storing data on the local disk and via a
 network server.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell. It
 obsoletes the package haskell-happstack-state.

Package: libghc-acid-state-doc
Description-md5: a4848160fa35901110fab71b4122c717
Description-en: Haskell database library with ACID guarantees - documentation; documentation
 AcidState is a Haskell library to store serializable Haskell
 structures, guaranteeing that transactions support the ACID
 semantic. That is, they must be atomic (a transaction must be
 completely saved or completely rolled back), consistent (after each
 transaction the state of the database must be valid), isolated (two
 parallel transaction must not interfere with each other) and durable
 (a successful transaction must be reliably stored to a persistent
 memory).
 .
 This library supports both storing data on the local disk and via a
 network server.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell. It obsoletes the package
 haskell-happstack-state.

Package: libghc-acid-state-prof
Description-md5: e49838a83deee7a5e49c9a51a45e33bc
Description-en: Haskell database library with ACID guarantees - GHC profiling libraries; profiling libraries
 AcidState is a Haskell library to store serializable Haskell
 structures, guaranteeing that transactions support the ACID
 semantic. That is, they must be atomic (a transaction must be
 completely saved or completely rolled back), consistent (after each
 transaction the state of the database must be valid), isolated (two
 parallel transaction must not interfere with each other) and durable
 (a successful transaction must be reliably stored to a persistent
 memory).
 .
 This library supports both storing data on the local disk and via a
 network server.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell. It obsoletes the package
 haskell-happstack-state.

Package: libghc-active-dev
Description-md5: 2eda4b1924a12d5f14cf79b131435136
Description-en: Abstractions for animation
 Inspired by the work of Kevin Matlage and Andy Gill (Every Animation Should
 Have a Beginning, a Middle, and an End, Trends in Functional Programming,
 2010), this library defines a simple abstraction for working with time-varying
 values. In a sense, this is sort of like a stripped-down version of functional
 reactive programming (FRP), without the reactivity.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-active-doc
Description-md5: 9f04ab9345b75173ce1fc91a36a0bb56
Description-en: Abstractions for animation; documentation
 Inspired by the work of Kevin Matlage and Andy Gill (Every Animation Should
 Have a Beginning, a Middle, and an End, Trends in Functional Programming,
 2010), this library defines a simple abstraction for working with time-varying
 values. In a sense, this is sort of like a stripped-down version of functional
 reactive programming (FRP), without the reactivity.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-active-prof
Description-md5: 0645383f3dcc4137aa2e063ce990f75e
Description-en: Abstractions for animation; profiling libraries
 Inspired by the work of Kevin Matlage and Andy Gill (Every Animation Should
 Have a Beginning, a Middle, and an End, Trends in Functional Programming,
 2010), this library defines a simple abstraction for working with time-varying
 values. In a sense, this is sort of like a stripped-down version of functional
 reactive programming (FRP), without the reactivity.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-adjunctions-dev
Description-md5: f394511c1e575c21e82184ec9a2bde40
Description-en: adjunctions and representable functors for Haskell
 In mathematics, adjoint functors are pairs of functors which stand in a
 particular relationship with one another, called an adjunction. This
 package provides adjunctions for Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-adjunctions-doc
Description-md5: 937dda15593c9ab58ebefeb21b77ed0d
Description-en: adjunctions and representable functors for Haskell; documentation
 In mathematics, adjoint functors are pairs of functors which stand in a
 particular relationship with one another, called an adjunction. This
 package provides adjunctions for Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-adjunctions-prof
Description-md5: e0f66000f9c787fd8eeef6aa8a792241
Description-en: adjunctions and representable functors for Haskell; profiling libraries
 In mathematics, adjoint functors are pairs of functors which stand in a
 particular relationship with one another, called an adjunction. This
 package provides adjunctions for Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-compat-dev
Description-md5: dda387d757587ca5ff6de362dea646a9
Description-en: compatibility layer for aeson
 Compatibility layer for aeson
  * decode etc. work as in aeson >=0.9
  * but it is generalised to work in any MonadThrow (that is extra)
  * .:? works as in aeson ||=0.11
  * .:! works as in aeson ||=0.11 and as .:? did in aeson ==0.10.*
  * Orphan instances FromJSON Day and FromJSON LocalTime for aeson <0.10
  * Encoding related functionality is not added. It's present only with aeson >=0.10
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-compat-doc
Description-md5: f3a575c9dc77076585fc824684c01865
Description-en: compatibility layer for aeson; documentation
 Compatibility layer for aeson
  * decode etc. work as in aeson >=0.9
  * but it is generalised to work in any MonadThrow (that is extra)
  * .:? works as in aeson ||=0.11
  * .:! works as in aeson ||=0.11 and as .:? did in aeson ==0.10.*
  * Orphan instances FromJSON Day and FromJSON LocalTime for aeson <0.10
  * Encoding related functionality is not added. It's present only with aeson >=0.10
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-compat-prof
Description-md5: c0610ef82d90a018158408f74501fce3
Description-en: compatibility layer for aeson; profiling libraries
 Compatibility layer for aeson
  * decode etc. work as in aeson >=0.9
  * but it is generalised to work in any MonadThrow (that is extra)
  * .:? works as in aeson ||=0.11
  * .:! works as in aeson ||=0.11 and as .:? did in aeson ==0.10.*
  * Orphan instances FromJSON Day and FromJSON LocalTime for aeson <0.10
  * Encoding related functionality is not added. It's present only with aeson >=0.10
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-dev
Description-md5: 8d869051ed11f3e0fcbf5e71ffe20b60
Description-en: Fast JSON parsing and encoding library
 It is a JSON parsing and encoding library optimized for ease of use
 and high performance.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-doc
Description-md5: b4667900c0c719ee7ba7ad1589f92cb4
Description-en: Fast JSON parsing and encoding library; documentation
 It is a JSON parsing and encoding library optimized for ease of use
 and high performance.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-extra-dev
Description-md5: 04691764a58187c1e57cf7b6e1918ae3
Description-en: Extra goodies for aeson
 The package motivation is twofold:
 .
 * provide compatibility layer for @aeson@
 .
 * provide extra combinators
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-extra-doc
Description-md5: 8288e530ba8f29a31decb005221084a3
Description-en: Extra goodies for aeson; documentation
 The package motivation is twofold:
 .
 * provide compatibility layer for @aeson@
 .
 * provide extra combinators
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-extra-prof
Description-md5: 35220703353501a99d541de652359962
Description-en: Extra goodies for aeson; profiling libraries
 The package motivation is twofold:
 .
 * provide compatibility layer for @aeson@
 .
 * provide extra combinators
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-pretty-dev
Description-md5: b35365247115f1a8667f75fa6e42302d
Description-en: JSON pretty-printing library
 A JSON pretty-printing library compatible with Aeson.
 .
 The library provides the function encodePretty. It is a drop-in
 replacement for aeson's encode function, producing JSON-ByteStrings
 for human readers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-pretty-doc
Description-md5: 32e0b43e51e50da3459407a2b8390c3e
Description-en: JSON pretty-printing library; documentation
 A JSON pretty-printing library compatible with Aeson.
 .
 The library provides the function encodePretty. It is a drop-in
 replacement for aeson's encode function, producing JSON-ByteStrings
 for human readers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-pretty-prof
Description-md5: 12a02dadb0cc651e2d3bf313951f8b32
Description-en: JSON pretty-printing library; profiling libraries
 A JSON pretty-printing library compatible with Aeson.
 .
 The library provides the function encodePretty. It is a drop-in
 replacement for aeson's encode function, producing JSON-ByteStrings
 for human readers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-prof
Description-md5: aeca562fb98802766b406572ad0df2ed
Description-en: Fast JSON parsing and encoding library; profiling libraries
 It is a JSON parsing and encoding library optimized for ease of use
 and high performance.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-qq-dev
Description-md5: 664cc30e30f54bac1b4aa9a760cb3590
Description-en: JSON quasiquoter for Haskell
 This package provides a JSON quasiquoter for Haskell. It exposes the function
 `aesonQQ` that compile-time converts a string representation of a JSON value
 into a `Data.Aeson.Value`.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-qq-doc
Description-md5: a1f9ef4573f3ac200584382059c70dcf
Description-en: JSON quasiquoter for Haskell; documentation
 This package provides a JSON quasiquoter for Haskell. It exposes the function
 `aesonQQ` that compile-time converts a string representation of a JSON value
 into a `Data.Aeson.Value`.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aeson-qq-prof
Description-md5: 7f80105d8d2e0a3a909755b7ffdc6afb
Description-en: JSON quasiquoter for Haskell; profiling libraries
 This package provides a JSON quasiquoter for Haskell. It exposes the function
 `aesonQQ` that compile-time converts a string representation of a JSON value
 into a `Data.Aeson.Value`.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-agda-dev
Description-md5: 367dee38c949eb56c7f0325161eedb49
Description-en: dependently typed functional programming language
 Agda is a dependently typed functional programming language: It has inductive
 families, which are like Haskell's GADTs, but they can be indexed by values and
 not just types. It also has parameterised modules, mixfix operators, Unicode
 characters, and an interactive Emacs interface (the type checker can assist in
 the development of your code).
 .
 Agda is also a proof assistant: It is an interactive system for writing and
 checking proofs. Agda is based on intuitionistic type theory, a foundational
 system for constructive mathematics developed by the Swedish logician Per
 Martin-Löf. It has many similarities with other proof assistants based on
 dependent types, such as Coq, Epigram and NuPRL.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-agda-doc
Description-md5: 42838d7e4b43bdeee8091f83a2111b33
Description-en: dependently typed functional programming language; documentation
 Agda is a dependently typed functional programming language: It has inductive
 families, which are like Haskell's GADTs, but they can be indexed by values and
 not just types. It also has parameterised modules, mixfix operators, Unicode
 characters, and an interactive Emacs interface (the type checker can assist in
 the development of your code).
 .
 Agda is also a proof assistant: It is an interactive system for writing and
 checking proofs. Agda is based on intuitionistic type theory, a foundational
 system for constructive mathematics developed by the Swedish logician Per
 Martin-Löf. It has many similarities with other proof assistants based on
 dependent types, such as Coq, Epigram and NuPRL.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-alsa-core-dev
Description-md5: aec942cc09001b766981162e6d791fda
Description-en: binding to the ALSA Library API (Exceptions)
 This package provides access to ALSA infrastructure,
 that is needed by both alsa-seq and alsa-pcm.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-alsa-core-doc
Description-md5: ea88db8eb23f6d7afaadc2a7c1d65ea9
Description-en: binding to the ALSA Library API (Exceptions); documentation
 This package provides access to ALSA infrastructure,
 that is needed by both alsa-seq and alsa-pcm.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-alsa-core-prof
Description-md5: 17ea89dcd7dae4e01aa3873ff8c6d59a
Description-en: binding to the ALSA Library API (Exceptions); profiling libraries
 This package provides access to ALSA infrastructure,
 that is needed by both alsa-seq and alsa-pcm.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-alsa-mixer-dev
Description-md5: 84809c96a991da19a33e9c826e6056b7
Description-en: bindings to the ALSA simple mixer API
 This package provides bindings to the ALSA simple mixer API.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-alsa-mixer-doc
Description-md5: 86d1ce1f98edb1585a46ebfcdb7ee6cd
Description-en: bindings to the ALSA simple mixer API; documentation
 This package provides bindings to the ALSA simple mixer API.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-alsa-mixer-prof
Description-md5: 3b718e946176e3d6f72484d8c5668350
Description-en: bindings to the ALSA simple mixer API; profiling libraries
 This package provides bindings to the ALSA simple mixer API.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-annotated-wl-pprint-dev
Description-md5: 441ec877468b95aa0fcbab48d017934b
Description-en: Wadler/Leijen Pretty Printer, with annotation support
 This is a modified version of wl-pprint, which was based on Wadler's
 paper "A Prettier  Printer". This version allows the library user to
 annotate the text with semantic information, which can later be rendered
 in a variety of ways.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-annotated-wl-pprint-doc
Description-md5: 8e6a1563993bf4e36e4573f22e5c5ddb
Description-en: Wadler/Leijen Pretty Printer, with annotation support; documentation
 This is a modified version of wl-pprint, which was based on Wadler's
 paper "A Prettier  Printer". This version allows the library user to
 annotate the text with semantic information, which can later be rendered
 in a variety of ways.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-annotated-wl-pprint-prof
Description-md5: fab2141799b53a9c366715497ced6c7e
Description-en: Wadler/Leijen Pretty Printer, with annotation support; profiling libraries
 This is a modified version of wl-pprint, which was based on Wadler's
 paper "A Prettier  Printer". This version allows the library user to
 annotate the text with semantic information, which can later be rendered
 in a variety of ways.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ansi-terminal-dev
Description-md5: 14b80bc3a8ccb73618c34956a96ff6cb
Description-en: Simple ANSI terminal support, with Windows compatibility
 ANSI terminal support for Haskell: allows cursor movement, screen clearing,
 color output showing or hiding the cursor, and changing the title.
 Compatible with Windows and those Unixes with ANSI terminals, but only
 GHC is supported as a compiler.
 .
 This package contains the normal library files.

Package: libghc-ansi-terminal-doc
Description-md5: dcefec4a575da4ac64e223c22513cb66
Description-en: Simple ANSI terminal support, with Windows compatibility; documentation
 ANSI terminal support for Haskell: allows cursor movement, screen clearing,
 color output showing or hiding the cursor, and changing the title.
 Compatible with Windows and those Unixes with ANSI terminals, but only
 GHC is supported as a compiler.
 .
 This package contains the documentation files.

Package: libghc-ansi-terminal-prof
Description-md5: 13f4d8360b9b9f6a6a05a24e29c08b25
Description-en: Simple ANSI terminal support, with Windows compatibility; profiling libraries
 ANSI terminal support for Haskell: allows cursor movement, screen clearing,
 color output showing or hiding the cursor, and changing the title.
 Compatible with Windows and those Unixes with ANSI terminals, but only
 GHC is supported as a compiler.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-ansi-wl-pprint-dev
Description-md5: 125341e52b6db5d39a6bbc82a3a8f422
Description-en: Wadler/Leijen Pretty Printer for colored ANSI terminal output
 This is a pretty printing library based on Wadler's paper
 "A Prettier Printer". It has been enhanced with support for ANSI terminal
 colored output using the ansi-terminal package.
 .
 This package contains the normal library files.

Package: libghc-ansi-wl-pprint-doc
Description-md5: 9fc25bff5025b20081e4eb7ebd41df0e
Description-en: Wadler/Leijen Pretty Printer for colored ANSI terminal output; documentation
 This is a pretty printing library based on Wadler's paper
 "A Prettier Printer". It has been enhanced with support for ANSI terminal
 colored output using the ansi-terminal package.
 .
 This package contains the documentation files.

Package: libghc-ansi-wl-pprint-prof
Description-md5: 29b3d0d24b0f1d84b1b37dd3e5b4fc94
Description-en: Wadler/Leijen Pretty Printer for colored ANSI terminal output; profiling lib
 This is a pretty printing library based on Wadler's paper
 "A Prettier Printer". It has been enhanced with support for ANSI terminal
 colored output using the ansi-terminal package.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-appar-dev
Description-md5: 89c0ffc80c08ebbd42937f42bfe196ba
Description-en: A simple applicative parser in Parsec style
 This is a simple applicative parser.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-appar-doc
Description-md5: 275c162306717174ed7792c8b3784e06
Description-en: A simple applicative parser in Parsec style; documentation
 This is a simple applicative parser.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-appar-prof
Description-md5: 7785e0da2dc9055dce691645e4d6e5e6
Description-en: A simple applicative parser in Parsec style; profiling libraries
 This is a simple applicative parser.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-argon2-dev
Description-md5: ea599848515d9be657c54ea229944b7c
Description-en: Haskell bindings to the Argon2 password-hashing function
 This library provides Haskell bindings to libargon2, the reference
 implementation of the Argon2 password-hashing function.
 .
 See the libargon2-dev package for more information on Argon2.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-argon2-doc
Description-md5: 5be7044f69d152dd9ebb18cd7e3bf30e
Description-en: Haskell bindings to the Argon2 password-hashing function; documentation
 This library provides Haskell bindings to libargon2, the reference
 implementation of the Argon2 password-hashing function.
 .
 See the libargon2-dev package for more information on Argon2.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-argon2-prof
Description-md5: 5756d22316ae5d27133e07fcee3bc254
Description-en: Haskell bindings to the Argon2 password-hashing function; profiling libraries
 This library provides Haskell bindings to libargon2, the reference
 implementation of the Argon2 password-hashing function.
 .
 See the libargon2-dev package for more information on Argon2.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-arrows-dev
Description-md5: dd1fdc5423fae5f1225218b3aabaa2f1
Description-en: Haskell arrow classes and transformers for GHC
 This library provides several classes that extend the Arrow class, and
 some transformers that implement or lift these classes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-arrows-doc
Description-md5: 53ab631256ae7d2506443b51328d729d
Description-en: Haskell arrow classes and transformers for GHC; documentation
 This library provides several classes that extend the Arrow class, and
 some transformers that implement or lift these classes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-arrows-prof
Description-md5: b8520b33d0328219a0648334b98ad36d
Description-en: Haskell arrow classes and transformers for GHC; profiling libraries
 This library provides several classes that extend the Arrow class, and
 some transformers that implement or lift these classes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-encoding-dev
Description-md5: 743bb67b9b246e225172149f609ad13f
Description-en: ASN1 data reader/writer in RAW, BER, and DER forms
 ASN1 data reader and writer in raw form with supports for high level
 forms of ASN1 (BER and DER).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-encoding-doc
Description-md5: d0736d656fd5375933ad6cdcf4ec03d6
Description-en: ASN1 data reader/writer in RAW, BER, and DER forms; documentation
 ASN1 data reader and writer in raw form with supports for high level
 forms of ASN1 (BER and DER).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-encoding-prof
Description-md5: 28d2dbc06d2398d0d79bdde3d6bb28a6
Description-en: ASN1 data reader/writer in RAW, BER, and DER forms; profiling libraries
 ASN1 data reader and writer in raw form with supports for high level
 forms of ASN1 (BER and DER).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-parse-dev
Description-md5: 372a10e8f46310ad0d53b264adbb4ccb
Description-en: simple monadic parser for ASN1 stream types
 This is a simple monadic parser for ASN1 stream types, when ASN.1
 pattern matching is not convenient.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-parse-doc
Description-md5: 3a3add4e0a662c7fbbec5425a1451030
Description-en: simple monadic parser for ASN1 stream types; documentation
 This is a simple monadic parser for ASN1 stream types, when ASN.1
 pattern matching is not convenient.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-parse-prof
Description-md5: e36fd38ca8b3db4a3ddd61676a675cfb
Description-en: simple monadic parser for ASN1 stream types; profiling libraries
 This is a simple monadic parser for ASN1 stream types, when ASN.1
 pattern matching is not convenient.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-types-dev
Description-md5: 30bc1152b5789c6ba8024cb99e2d87d5
Description-en: ASN.1 types
 These are ASN.1 standard types
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-types-doc
Description-md5: 1d81cb72f0af704f51179c79b5184ea9
Description-en: ASN.1 types; documentation
 These are ASN.1 standard types
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-asn1-types-prof
Description-md5: dea79e6eda574f0fddd1ea3d1ceec2ef
Description-en: ASN.1 types; profiling libraries
 These are ASN.1 standard types
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-assert-failure-dev
Description-md5: 7135862e10c90e3e4fd2c7bb1ed696db
Description-en: syntactic sugar improving 'assert' and 'error'
 This library contains syntactic sugar that makes it easier
 to write simple contracts with 'assert' and 'error'
 and report the values that violate contracts.
 The original 'assert' function is here re-exported for convenience.
 .
 Note that for most of these functions to have any effect, assertions
 need to be enabled, e.g., by including in .cabal file the following line:
 .
 ghc-options: -fno-ignore-asserts
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-assert-failure-doc
Description-md5: bd19792d98080745a6037a2e383a6ce7
Description-en: syntactic sugar improving 'assert' and 'error'; documentation
 This library contains syntactic sugar that makes it easier
 to write simple contracts with 'assert' and 'error'
 and report the values that violate contracts.
 The original 'assert' function is here re-exported for convenience.
 .
 Note that for most of these functions to have any effect, assertions
 need to be enabled, e.g., by including in .cabal file the following line:
 .
 ghc-options: -fno-ignore-asserts
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-assert-failure-prof
Description-md5: 71db5cb99becdf552165106d5997894e
Description-en: syntactic sugar improving 'assert' and 'error'; profiling libraries
 This library contains syntactic sugar that makes it easier
 to write simple contracts with 'assert' and 'error'
 and report the values that violate contracts.
 The original 'assert' function is here re-exported for convenience.
 .
 Note that for most of these functions to have any effect, assertions
 need to be enabled, e.g., by including in .cabal file the following line:
 .
 ghc-options: -fno-ignore-asserts
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-async-dev
Description-md5: c1e68b8b7bb800fcb59b52a72e1b444a
Description-en: run IO operations asynchronously and wait for their results
 This package provides a higher-level interface over
 threads, in which an (Async a) is a concurrent
 thread that will eventually deliver a value of
 type a.  The package provides ways to create
 Async computations, wait for their results, and
 cancel them.
 .
 This package contains the normal library files.

Package: libghc-async-doc
Description-md5: 0e9d1b284a5d486ff7e2e3c613f54a1d
Description-en: run IO operations asynchronously and wait for their results; documentation
 This package provides a higher-level interface over
 threads, in which an (Async a) is a concurrent
 thread that will eventually deliver a value of
 type a.  The package provides ways to create
 Async computations, wait for their results, and
 cancel them.
 .
 This package contains the documentation files.

Package: libghc-async-prof
Description-md5: 2d447a945e1ec27fdf906a18a4095de9
Description-en: run IO operations asynchronously and wait for their results; profiling libs
 This package provides a higher-level interface over
 threads, in which an (Async a) is a concurrent
 thread that will eventually deliver a value of
 type a.  The package provides ways to create
 Async computations, wait for their results, and
 cancel them.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-attoparsec-dev
Description-md5: ee240864d38768966d28564c08a8487c
Description-en: Fast combinator parsing for bytestrings
 A fast parser combinator library, aimed particularly at dealing
 efficiently with network protocols and complicated text/binary
 file formats.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-attoparsec-doc
Description-md5: 5811da54b08fe2a4cc40114f27475d04
Description-en: Fast combinator parsing for bytestrings; documentation
 A fast parser combinator library, aimed particularly at dealing
 efficiently with network protocols and complicated text/binary
 file formats.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-attoparsec-iso8601-dev
Description-md5: a4fa6011ad54f506a454b9a24e47069c
Description-en: parsing of ISO 8601 dates
 Parsing of ISO 8601 dates, originally from aeson.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-attoparsec-iso8601-doc
Description-md5: 5be3aa9ad617b79ebaaecee2e5360ced
Description-en: parsing of ISO 8601 dates; documentation
 Parsing of ISO 8601 dates, originally from aeson.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-attoparsec-iso8601-prof
Description-md5: f926813dfa8029f486f03acdfbe9d00e
Description-en: parsing of ISO 8601 dates; profiling libraries
 Parsing of ISO 8601 dates, originally from aeson.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-attoparsec-prof
Description-md5: 0e490c52dd160a89b88f1beee6d17cbd
Description-en: Fast combinator parsing for bytestrings; profiling libraries
 A fast parser combinator library, aimed particularly at dealing
 efficiently with network protocols and complicated text/binary
 file formats.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-authenticate-dev
Description-md5: db7a339d12280bb40150b54d13e43123
Description-en: authentication methods for Haskell web applications
 Focus is on third-party authentication methods, such as OpenID,
 rpxnow and Facebook.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-authenticate-doc
Description-md5: 9b155a7612b38c6072086f726718ca58
Description-en: authentication methods for Haskell web applications; documentation
 Focus is on third-party authentication methods, such as OpenID,
 rpxnow and Facebook.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-authenticate-oauth-dev
Description-md5: b576f6c96c4b856ffbdc5c64eebb0b38
Description-en: authenticate with OAuth for Haskell web applications
 OAuth authentication support.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-authenticate-oauth-doc
Description-md5: f6344ba41de752885329703c1e708e7a
Description-en: authenticate with OAuth for Haskell web applications; documentation
 OAuth authentication support.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-authenticate-oauth-prof
Description-md5: 942e66c0997aec209bec20557342384b
Description-en: authenticate with OAuth for Haskell web applications; profiling libraries
 OAuth authentication support.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-authenticate-prof
Description-md5: 38b8c4fb44586c282fd2b94bdd2990ca
Description-en: authentication methods for Haskell web applications; profiling libraries
 Focus is on third-party authentication methods, such as OpenID,
 rpxnow and Facebook.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-auto-update-dev
Description-md5: 4a7da49a7bfd629a8f059f95401ed3f7
Description-en: efficiently run periodic, on-demand actions
 A common problem is the desire to have an action run at a scheduled interval,
 but only if it is needed. For example, instead of having every web request
 result in a new getCurrentTime call, we'd like to have a single worker
 thread run every second, updating an IORef. However, if the request
 frequency is less than once per second, this is a pessimization, and worse,
 kills idle GC.
 .
 This library allows you to define actions which will either be performed by a
 dedicated thread or, in times of low volume, will be executed by the calling
 thread.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-auto-update-doc
Description-md5: 8e31ef17da7355c0951082ad1f718a49
Description-en: efficiently run periodic, on-demand actions; documentation
 A common problem is the desire to have an action run at a scheduled interval,
 but only if it is needed. For example, instead of having every web request
 result in a new getCurrentTime call, we'd like to have a single worker
 thread run every second, updating an IORef. However, if the request
 frequency is less than once per second, this is a pessimization, and worse,
 kills idle GC.
 .
 This library allows you to define actions which will either be performed by a
 dedicated thread or, in times of low volume, will be executed by the calling
 thread.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-auto-update-prof
Description-md5: c4301e4ada1c08b6ad3ed80f617f35d0
Description-en: efficiently run periodic, on-demand actions; profiling libraries
 A common problem is the desire to have an action run at a scheduled interval,
 but only if it is needed. For example, instead of having every web request
 result in a new getCurrentTime call, we'd like to have a single worker
 thread run every second, updating an IORef. However, if the request
 frequency is less than once per second, this is a pessimization, and worse,
 kills idle GC.
 .
 This library allows you to define actions which will either be performed by a
 dedicated thread or, in times of low volume, will be executed by the calling
 thread.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aws-dev
Description-md5: 06beb8fbfc468fbf4a1985a89e05d83f
Description-en: Amazon Web Services for Haskell
 This package provides Haskell interfaces for using Amazon Web Services like
 S3 (storage), SQS (queuing) and others. The ultimate goal is to support all
 Amazon Web Services.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aws-doc
Description-md5: cce87fcbadcb9f3770f4e93c663445a7
Description-en: Amazon Web Services for Haskell; documentation
 This package provides Haskell interfaces for using Amazon Web Services like
 S3 (storage), SQS (queuing) and others. The ultimate goal is to support all
 Amazon Web Services.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-aws-prof
Description-md5: eb0c6a850c6846efc25edc9348753dbf
Description-en: Amazon Web Services for Haskell; profiling libraries
 This package provides Haskell interfaces for using Amazon Web Services like
 S3 (storage), SQS (queuing) and others. The ultimate goal is to support all
 Amazon Web Services.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-compat-batteries-dev
Description-md5: 1a317f9769442111da5284a912bc61f9
Description-en: base-compat with extra batteries
 Provides functions available in later versions of base to a wider
 range of compilers, without requiring you to use CPP pragmas
 in your code.
 .
 This package provides the same API as the base-compat library,
 but depends on compatibility packages (such as semigroups) to offer
 a wider support window than base-compat, which has no dependencies.
 Most of the modules in this library have the same names as in
 base-compat to make it easier to switch between the two. There also
 exist versions of each module with the suffix .Repl.Batteries, which
 are distinct from anything in base-compat, to allow for easier
 use in GHCi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-compat-batteries-doc
Description-md5: 0f0b47356b35b1bb8526f74c9cbf247a
Description-en: base-compat with extra batteries; documentation
 Provides functions available in later versions of base to a wider
 range of compilers, without requiring you to use CPP pragmas
 in your code.
 .
 This package provides the same API as the base-compat library,
 but depends on compatibility packages (such as semigroups) to offer
 a wider support window than base-compat, which has no dependencies.
 Most of the modules in this library have the same names as in
 base-compat to make it easier to switch between the two. There also
 exist versions of each module with the suffix .Repl.Batteries, which
 are distinct from anything in base-compat, to allow for easier
 use in GHCi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-compat-batteries-prof
Description-md5: 29efba8071326387c7ecd0043978eb1d
Description-en: base-compat with extra batteries; profiling libraries
 Provides functions available in later versions of base to a wider
 range of compilers, without requiring you to use CPP pragmas
 in your code.
 .
 This package provides the same API as the base-compat library,
 but depends on compatibility packages (such as semigroups) to offer
 a wider support window than base-compat, which has no dependencies.
 Most of the modules in this library have the same names as in
 base-compat to make it easier to switch between the two. There also
 exist versions of each module with the suffix .Repl.Batteries, which
 are distinct from anything in base-compat, to allow for easier
 use in GHCi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-compat-dev
Description-md5: 0cd0f3adfac6c6bc8e864ef105f80071
Description-en: compatibility layer for base
 With this package, you can ban CPP from your code.
 See the README at <https://github.com/sol/base-compat#readme> to see what's
 covered.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-compat-doc
Description-md5: 78e6ebf9c96b824cee2d415b9807ee76
Description-en: compatibility layer for base; documentation
 With this package, you can ban CPP from your code.
 See the README at <https://github.com/sol/base-compat#readme> to see what's
 covered.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-compat-prof
Description-md5: 6b0b7cfce549a0de7118476c92de007c
Description-en: compatibility layer for base; profiling libraries
 With this package, you can ban CPP from your code.
 See the README at <https://github.com/sol/base-compat#readme> to see what's
 covered.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-orphans-dev
Description-md5: 68f683765eb858b6dfe03fb21673bac6
Description-en: Backwards-compatible orphan instances for base
 base-orphans defines orphan instances that mimic instances available in later
 versions of base to a wider (older) range of compilers. base-orphans does
 not export anything except the orphan instances themselves and complements
 the base-compat package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-orphans-doc
Description-md5: ed9b86be2309372160b4c48371b555d9
Description-en: Backwards-compatible orphan instances for base; documentation
 base-orphans defines orphan instances that mimic instances available in later
 versions of base to a wider (older) range of compilers. base-orphans does
 not export anything except the orphan instances themselves and complements
 the base-compat package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-orphans-prof
Description-md5: 90570b29b3997f6d91d3208ff8799a5a
Description-en: Backwards-compatible orphan instances for base; profiling libraries
 base-orphans defines orphan instances that mimic instances available in later
 versions of base to a wider (older) range of compilers. base-orphans does
 not export anything except the orphan instances themselves and complements
 the base-compat package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-prelude-dev
Description-md5: b85f67aa54bca7b97b70d09d4bf9e436
Description-en: most complete prelude formed solely from the "base" package
 A library which aims to reexport all the non-conflicting and
 most general definitions from the base package.
 This includes APIs for applicatives, arrows, monoids, foldables,
 traversables, exceptions, generics, ST, MVars and STM.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-prelude-doc
Description-md5: 38336b04db8572c24110edd1063793c5
Description-en: most complete prelude formed solely from the "base" package; documentation
 A library which aims to reexport all the non-conflicting and
 most general definitions from the base package.
 This includes APIs for applicatives, arrows, monoids, foldables,
 traversables, exceptions, generics, ST, MVars and STM.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-prelude-prof
Description-md5: d3a716d8f8c08f38c1fc0d08a6b03a2b
Description-en: most complete prelude formed solely from the "base" package; profiling libraries
 A library which aims to reexport all the non-conflicting and
 most general definitions from the base package.
 This includes APIs for applicatives, arrows, monoids, foldables,
 traversables, exceptions, generics, ST, MVars and STM.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-unicode-symbols-dev
Description-md5: d7cbdfe289235207dec4bf3341f84bb8
Description-en: Unicode alternatives for common functions and operators
 It defines new symbols for a number of functions and operators in the
 base package.
 .
 All symbols are documented with their actual definition and information
 regarding their Unicode code point. They should be completely
 interchangeable with their definitions.
 .
 For further Unicode goodness you can enable the UnicodeSyntax language
 extension [1]. This extension enables Unicode characters to be used to
 stand for certain ASCII character sequences, i.e. → instead of ->, ∀
 instead of forall and many others.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-unicode-symbols-doc
Description-md5: 1b088cd32d04a9616258b3d280ac8d24
Description-en: Unicode alternatives for common functions and operators; documentation
 All symbols are documented with their actual definition and information
 regarding their Unicode code point. They should be completely
 interchangeable with their definitions.
 .
 For further Unicode goodness you can enable the UnicodeSyntax language
 extension [1]. This extension enables Unicode characters to be used to
 stand for certain ASCII character sequences, i.e. → instead of ->, ∀
 instead of forall and many others.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base-unicode-symbols-prof
Description-md5: b6c603b57968e990c7ff5509629fd606
Description-en: Unicode alternatives for common functions and operators; profiling libraries
 All symbols are documented with their actual definition and information
 regarding their Unicode code point. They should be completely
 interchangeable with their definitions.
 .
 For further Unicode goodness you can enable the UnicodeSyntax language
 extension [1]. This extension enables Unicode characters to be used to
 stand for certain ASCII character sequences, i.e. → instead of ->, ∀
 instead of forall and many others.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base16-bytestring-dev
Description-md5: ef6afcae02092578dc27088e267fa484
Description-en: Fast base16 (hex) encoding and decoding for ByteStrings
 This package provides a Haskell library for working with base16-encoded
 data quickly and efficiently, using the ByteString type.
 .
 This package contains the normal library files.

Package: libghc-base16-bytestring-doc
Description-md5: 662ea2961378918ebf3e88b7e994f020
Description-en: Fast base16 (hex) encoding and decoding for ByteStrings; documentation
 This package provides a Haskell library for working with base16-encoded
 data quickly and efficiently, using the ByteString type.
 .
 This package contains the documentation files.

Package: libghc-base16-bytestring-prof
Description-md5: b7772ed1159a4cae80556d753850c019
Description-en: Fast base16 (hex) encoding and decoding for ByteStrings; profiling libraries
 This package provides a Haskell library for working with base16-encoded
 data quickly and efficiently, using the ByteString type.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-base64-bytestring-dev
Description-md5: 3975f35b132168239b2316908936a273
Description-en: fast base64 encoding/deconding for ByteStrings
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base64-bytestring-doc
Description-md5: e77783bc9d8412b9d80f75b410c7da4c
Description-en: fast base64 encoding/deconding for ByteStrings; documentation
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-base64-bytestring-prof
Description-md5: 51dc3354804005e4591295157c4adb20
Description-en: fast base64 encoding/deconding for ByteStrings; profiling libraries
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-basement-dev
Description-md5: f4b696fab87cf8970110ebe7d0400685
Description-en: Foundation scrap box of array & string
 Foundation is an attempt to provide a base-like set of modules
 that provide a consistent set of features and bugfixes across
 multiple versions of GHC (unlike base), and to provide a better
 and more efficient prelude than base's prelude.
 .
 This library (basement) is Foundation's most basic primitives
 without any dependencies.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-basement-doc
Description-md5: 6144852d4edda9bd4c249532a7a977a1
Description-en: Foundation scrap box of array & string; documentation
 Foundation is an attempt to provide a base-like set of modules
 that provide a consistent set of features and bugfixes across
 multiple versions of GHC (unlike base), and to provide a better
 and more efficient prelude than base's prelude.
 .
 This library (basement) is Foundation's most basic primitives
 without any dependencies.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-basement-prof
Description-md5: 95712765480effca2d0a1b5bc220b66d
Description-en: Foundation scrap box of array & string; profiling libraries
 Foundation is an attempt to provide a base-like set of modules
 that provide a consistent set of features and bugfixes across
 multiple versions of GHC (unlike base), and to provide a better
 and more efficient prelude than base's prelude.
 .
 This library (basement) is Foundation's most basic primitives
 without any dependencies.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-basic-prelude-dev
Description-md5: 3bf395b0afaa1285d43478019cd83470
Description-en: enhanced core prelude
 The premise of basic-prelude is that there are a lot of very commonly
 desired features missing from the standard Prelude, such as commonly
 used operators (<$> and >=>, for instance) and imports for common
 datatypes (e.g., ByteString and Vector). At the same time, there are
 lots of other components which are more debatable, such as providing
 polymorphic versions of common functions.
 .
 So basic-prelude is intended to give a common foundation for a number
 of alternate preludes. The package provides two modules: CorePrelude
 provides the common ground for other preludes to build on top of, while
 BasicPrelude exports CorePrelude together with commonly used list
 functions to provide a drop-in replacement for the standard Prelude.
 .
 Users wishing to have an improved Prelude can use BasicPrelude.
 Developers wishing to create a new prelude should use CorePrelude.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-basic-prelude-doc
Description-md5: 0006e029e02d942ffa118e4cf2a1e21d
Description-en: enhanced core prelude; documentation
 The premise of basic-prelude is that there are a lot of very commonly
 desired features missing from the standard Prelude, such as commonly
 used operators (<$> and >=>, for instance) and imports for common
 datatypes (e.g., ByteString and Vector). At the same time, there are
 lots of other components which are more debatable, such as providing
 polymorphic versions of common functions.
 .
 So basic-prelude is intended to give a common foundation for a number
 of alternate preludes. The package provides two modules: CorePrelude
 provides the common ground for other preludes to build on top of, while
 BasicPrelude exports CorePrelude together with commonly used list
 functions to provide a drop-in replacement for the standard Prelude.
 .
 Users wishing to have an improved Prelude can use BasicPrelude.
 Developers wishing to create a new prelude should use CorePrelude.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-basic-prelude-prof
Description-md5: b316812973b167509bee50c271fbc888
Description-en: enhanced core prelude; profiling libraries
 The premise of basic-prelude is that there are a lot of very commonly
 desired features missing from the standard Prelude, such as commonly
 used operators (<$> and >=>, for instance) and imports for common
 datatypes (e.g., ByteString and Vector). At the same time, there are
 lots of other components which are more debatable, such as providing
 polymorphic versions of common functions.
 .
 So basic-prelude is intended to give a common foundation for a number
 of alternate preludes. The package provides two modules: CorePrelude
 provides the common ground for other preludes to build on top of, while
 BasicPrelude exports CorePrelude together with commonly used list
 functions to provide a drop-in replacement for the standard Prelude.
 .
 Users wishing to have an improved Prelude can use BasicPrelude.
 Developers wishing to create a new prelude should use CorePrelude.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bencode-dev
Description-md5: 0159b6f6dba9c824eaaf6a21fa2f2952
Description-en: Parser and printer for bencoded data
    Parser and printer for bencoded data.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bencode-doc
Description-md5: e2bbe7f3b75852ac8c315dade556e039
Description-en: Parser and printer for bencoded data; documentation
    Parser and printer for bencoded data.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bencode-prof
Description-md5: 563bc1189d0d59aa4461872841931f28
Description-en: Parser and printer for bencoded data; profiling libraries
    Parser and printer for bencoded data.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bifunctors-dev
Description-md5: 7bab8acec52dac9490e029f110ceb365
Description-en: Haskell 98 bifunctors
 A binary functor is a functor in two arguments. This package provides
 bifoldables and bitraversables.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bifunctors-doc
Description-md5: c634914c0aef77470e5286afa09de201
Description-en: Haskell 98 bifunctors; documentation
 A binary functor is a functor in two arguments. This package provides
 bifoldables and bitraversables.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bifunctors-prof
Description-md5: 85ab922965120a283869cbbf814a611f
Description-en: Haskell 98 bifunctors; profiling libraries
 A binary functor is a functor in two arguments. This package provides
 bifoldables and bitraversables.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-conduit-dev
Description-md5: 15d6404e502e5c5176da75aa85ab18cf
Description-en: data serialization/deserialization conduit library
 Allow binary serialization using iterative conduit interface.
 .
 See libghc-binary-dev and libghc-conduit-dev for more information.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-conduit-doc
Description-md5: 9b35ed501df3317b7637dd39c5a6f08f
Description-en: data serialization/deserialization conduit library; documentation
 Allow binary serialization using iterative conduit interface.
 .
 See libghc-binary-dev and libghc-conduit-dev for more information.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-conduit-prof
Description-md5: 332c56927491a12c8a4604a581bc6a27
Description-en: data serialization/deserialization conduit library; profiling libraries
 Allow binary serialization using iterative conduit interface.
 .
 See libghc-binary-dev and libghc-conduit-dev for more information.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-orphans-dev
Description-md5: d0834ac17300fcfa8300c8ef185382ed
Description-en: orphan instances for binary
 `binary-orphans` defines orphan instances for types in some popular packages,
 in particular:
 .
  * aeson
  * unordered-containers (HashMap, HashSet)
  * scientific
  * tagged
  * base (newtypes from Data.Monoid)
  * time
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-orphans-doc
Description-md5: 67545248025a9e43bc20fb531c8ea791
Description-en: orphan instances for binary; documentation
 `binary-orphans` defines orphan instances for types in some popular packages,
 in particular:
 .
  * aeson
  * unordered-containers (HashMap, HashSet)
  * scientific
  * tagged
  * base (newtypes from Data.Monoid)
  * time
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-orphans-prof
Description-md5: 0335823fec9a89ada02345ed2ec957c7
Description-en: orphan instances for binary; profiling libraries
 `binary-orphans` defines orphan instances for types in some popular packages,
 in particular:
 .
  * aeson
  * unordered-containers (HashMap, HashSet)
  * scientific
  * tagged
  * base (newtypes from Data.Monoid)
  * time
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-parsers-dev
Description-md5: 344095b4e6a5d5f5374c7e98165ee164
Description-en: parsec-/attoparsec-style parsing combinators
 This package extends binary with parsec/attoparsec style parsing
 combinators. It's useful when you want to deal with various binary
 format, and it's very fast. You can now write more complex Binary
 instances using comprehensive combinators, with serialisation
 packages like blaze-texual.
 .
 Binary's Get monad is designed to perform best on non-backtracking
 cases, but it still provides fast backtracking support via
 Alternative instance, it's overall an excellent alternative to
 attoparsec if you only deal with ByteString.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-parsers-doc
Description-md5: c7b1d9de7dd0ec021e08f6e2d89dd9c9
Description-en: parsec-/attoparsec-style parsing combinators; documentation
 This package extends binary with parsec/attoparsec style parsing
 combinators. It's useful when you want to deal with various binary
 format, and it's very fast. You can now write more complex Binary
 instances using comprehensive combinators, with serialisation
 packages like blaze-texual.
 .
 Binary's Get monad is designed to perform best on non-backtracking
 cases, but it still provides fast backtracking support via
 Alternative instance, it's overall an excellent alternative to
 attoparsec if you only deal with ByteString.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-parsers-prof
Description-md5: 3d6865b683333821a74596c37a4bd9a4
Description-en: parsec-/attoparsec-style parsing combinators; profiling libraries
 This package extends binary with parsec/attoparsec style parsing
 combinators. It's useful when you want to deal with various binary
 format, and it's very fast. You can now write more complex Binary
 instances using comprehensive combinators, with serialisation
 packages like blaze-texual.
 .
 Binary's Get monad is designed to perform best on non-backtracking
 cases, but it still provides fast backtracking support via
 Alternative instance, it's overall an excellent alternative to
 attoparsec if you only deal with ByteString.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-tagged-dev
Description-md5: 5e72c151736077b4c34e1ec8451321d2
Description-en: tagged binary serialisation
 Structurally tag binary serialisation stream. Library allows serialise and
 deserialise record values with a structure tag, so if structure of record changes
 between serialization and deserialisation, deserialisation will fail early.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-tagged-doc
Description-md5: 9ef2103ada3f2e95f1a6c1fba4e7a8b2
Description-en: tagged binary serialisation; documentation
 Structurally tag binary serialisation stream. Library allows serialise and
 deserialise record values with a structure tag, so if structure of record changes
 between serialization and deserialisation, deserialisation will fail early.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-binary-tagged-prof
Description-md5: c57e92433258800abe9d5815b7b9703e
Description-en: tagged binary serialisation; profiling libraries
 Structurally tag binary serialisation stream. Library allows serialise and
 deserialise record values with a structure tag, so if structure of record changes
 between serialization and deserialisation, deserialisation will fail early.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-dsl-dev
Description-md5: 4533b811c281165b5711bbd1d631d571
Description-en: FFI domain specific language, on top of hsc2hs
 This is a set of macros to be used when writing Haskell FFI. They were
 designed to be able to fully describe C interfaces, so that hsc2hs can
 extract from them all Haskell code needed to mimic such interfaces. All
 Haskell names used are automatically derived from C names, structures
 are mapped to Haskell instances of Storable, and there are also macros
 you can use with C code to help write bindings to inline functions or
 macro functions.
 .
 This package contains no Haskell code, only C header files designed
 for hsc2hs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-dsl-doc
Description-md5: 64ac46690685b88a2da32661ed41aeea
Description-en: FFI domain specific language, on top of hsc2hs; documentation
 This is a set of macros to be used when writing Haskell FFI. They were
 designed to be able to fully describe C interfaces, so that hsc2hs can
 extract from them all Haskell code needed to mimic such interfaces. All
 Haskell names used are automatically derived from C names, structures
 are mapped to Haskell instances of Storable, and there are also macros
 you can use with C code to help write bindings to inline functions or
 macro functions.
 .
 This package contains no Haskell code, only C header files designed
 for hsc2hs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-dsl-prof
Description-md5: 688ad7e918b3dc645649a542822c3fb7
Description-en: FFI domain specific language, on top of hsc2hs; profiling libraries
 This is a set of macros to be used when writing Haskell FFI. They were
 designed to be able to fully describe C interfaces, so that hsc2hs can
 extract from them all Haskell code needed to mimic such interfaces. All
 Haskell names used are automatically derived from C names, structures
 are mapped to Haskell instances of Storable, and there are also macros
 you can use with C code to help write bindings to inline functions or
 macro functions.
 .
 This package contains no Haskell code, only C header files designed
 for hsc2hs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-nettle-dev
Description-md5: 68d2b227b70fe0006dea4652fd68f1d0
Description-en: Haskell bindings to nettle crypto library
 This contains bindings to some of the cipher and hash functions of
 nettle.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-nettle-doc
Description-md5: 0d2c51461afea03a18d604b55023d98f
Description-en: Haskell bindings to nettle crypto library; documentation
 This contains bindings to some of the cipher and hash functions of
 nettle.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-nettle-prof
Description-md5: 0af9b44f109724bc559965739df6ce58
Description-en: Haskell bindings to nettle crypto library; profiling libraries
 This contains bindings to some of the cipher and hash functions of
 nettle.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-sane-dev
Description-md5: 22e0bd72e3c28671fcf9d197a663d37b
Description-en: FFI bindings to libsane
 These are Haskell bindings to the SANE API (version 1.0)
 .
 This package contains the normal library files.

Package: libghc-bindings-sane-doc
Description-md5: e107437be2d6952fad9f8e7a6e9033e4
Description-en: FFI bindings to libsane
 These are Haskell bindings to the SANE API (version 1.0)
 .
 This package contains the documentation files.

Package: libghc-bindings-sane-prof
Description-md5: 4e0a217559f773e159b117782f06ec1a
Description-en: FFI bindings to libsane
 These are Haskell bindings to the SANE API (version 1.0)
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-bindings-uname-dev
Description-md5: fb2ff9358407dd54f64f479fc7ac7e1e
Description-en: Low-level binding to POSIX uname(3)
 This is a low-level binding to POSIX uname(3)
 function. Perhaps it shoule be part of unix package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-uname-doc
Description-md5: 97ada387617ddc3fe441c57661bed8f0
Description-en: Low-level binding to POSIX uname(3); documentation
 This is a low-level binding to POSIX uname(3)
 function. Perhaps it shoule be part of unix package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bindings-uname-prof
Description-md5: fd315a5840af6028ab7c0a383f30adec
Description-en: Low-level binding to POSIX uname(3); profiling libraries
 This is a low-level binding to POSIX uname(3)
 function. Perhaps it shoule be part of unix package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bitarray-dev
Description-md5: e4b92c8b3e57542f917902a7ff9af7ea
Description-en: Mutable and immutable bit arrays
 This package provides mutable and immutable bit arrays
 implemented as packed arrays of 64 bit words. It provides a
 pure interface as well as monadic interfaces for the IO and
 ST monad.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bitarray-doc
Description-md5: bbb0efd07a5e650d84d04fb9e620174f
Description-en: Mutable and immutable bit arrays; documentation
 This package provides mutable and immutable bit arrays
 implemented as packed arrays of 64 bit words. It provides a
 pure interface as well as monadic interfaces for the IO and
 ST monad.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bitarray-prof
Description-md5: 53a0a21f3bbf846dcef1bb40be5a34d7
Description-en: Mutable and immutable bit arrays; profiling libraries
 This package provides mutable and immutable bit arrays
 implemented as packed arrays of 64 bit words. It provides a
 pure interface as well as monadic interfaces for the IO and
 ST monad.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-builder-dev
Description-md5: 7b7c9c2f5f2d8cafa14c009942307f26
Description-en: abstraction of buffered output of byte streams
 This library provides an abstraction of buffered output of byte streams and
 several convenience functions to exploit it. For example, it allows one to
 efficiently serialize Haskell values to lazy bytestrings with a large average
 chunk size. The large average chunk size allows one to make good use of cache
 prefetching in later processing steps (e.g. compression) and reduces the
 system call overhead when writing the resulting lazy bytestring to a file or
 sending it over the network.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-builder-doc
Description-md5: 838c37f6d4b2ae394ef2955b229f4a31
Description-en: abstraction of buffered output of byte streams; documentation
 This library provides an abstraction of buffered output of byte streams and
 several convenience functions to exploit it. For example, it allows one to
 efficiently serialize Haskell values to lazy bytestrings with a large average
 chunk size. The large average chunk size allows one to make good use of cache
 prefetching in later processing steps (e.g. compression) and reduces the
 system call overhead when writing the resulting lazy bytestring to a file or
 sending it over the network.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-builder-prof
Description-md5: 282f468fead2ba6604bd6b5b0fbf4f79
Description-en: abstraction of buffered output of byte streams; profiling libraries
 This library provides an abstraction of buffered output of byte streams and
 several convenience functions to exploit it. For example, it allows one to
 efficiently serialize Haskell values to lazy bytestrings with a large average
 chunk size. The large average chunk size allows one to make good use of cache
 prefetching in later processing steps (e.g. compression) and reduces the
 system call overhead when writing the resulting lazy bytestring to a file or
 sending it over the network.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-html-dev
Description-md5: e7355e1783c9252ffd704a7d8fd87ba1
Description-en: HTML combinator library for Haskell
 With this Haskell library you can easily produce HTML code without
 having to explicitly write tags and attributes, but just combining the
 operators exposed by this library. It can outputs HTML 4 Strict,
 Transitional and Frameset, as well as HTML 5 code.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-html-doc
Description-md5: 7b8dded201bf3e2f40638c98b36fca5c
Description-en: HTML combinator library for Haskell; documentation
 With this Haskell library you can easily produce HTML code without
 having to explicitly write tags and attributes, but just combining the
 operators exposed by this library. It can outputs HTML 4 Strict,
 Transitional and Frameset, as well as HTML 5 code.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-html-prof
Description-md5: 4eee3c3412f26a58e0fc2e51d0b9ef5c
Description-en: HTML combinator library for Haskell; profiling libraries
 With this Haskell library you can easily produce HTML code without
 having to explicitly write tags and attributes, but just combining the
 operators exposed by this library. It can outputs HTML 4 Strict,
 Transitional and Frameset, as well as HTML 5 code.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-markup-dev
Description-md5: 8f44dd1b69ff9b4d48c5ec14b96c96d9
Description-en: blazingly-fast markup combinator library
 Core modules of a blazingly fast markup combinator library for the
 Haskell programming language. The Text.Blaze module is a good starting
 point, as well as this tutorial: http://jaspervdj.be/blaze/tutorial.html.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-markup-doc
Description-md5: b8743d38e5ce44414343a29b237cea4e
Description-en: blazingly-fast markup combinator library; documentation
 Core modules of a blazingly fast markup combinator library for the
 Haskell programming language. The Text.Blaze module is a good starting
 point, as well as this tutorial: http://jaspervdj.be/blaze/tutorial.html.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-markup-prof
Description-md5: fc8120b521a1bb047466a68394578133
Description-en: blazingly-fast markup combinator library; profiling libraries
 Core modules of a blazingly fast markup combinator library for the
 Haskell programming language. The Text.Blaze module is a good starting
 point, as well as this tutorial: http://jaspervdj.be/blaze/tutorial.html.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-svg-dev
Description-md5: 3be55bb2a23b098a339425a41206ce18
Description-en: SVG combinator library
 A blazingly fast SVG combinator library for the Haskell programming language.
 The "Text.Blaze.SVG" module is a good starting point.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-svg-doc
Description-md5: 8a288ed6d16c01faa3e293a88befc532
Description-en: SVG combinator library; documentation
 A blazingly fast SVG combinator library for the Haskell programming language.
 The "Text.Blaze.SVG" module is a good starting point.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-svg-prof
Description-md5: 8c98831dfbed1392bbf6ec179f9d43cc
Description-en: SVG combinator library; profiling libraries
 A blazingly fast SVG combinator library for the Haskell programming language.
 The "Text.Blaze.SVG" module is a good starting point.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-textual-dev
Description-md5: 5868c2118c47af5a72d3ac274e3cae68
Description-en: Fast rendering of common datatypes
 It is a library for efficiently rendering Haskell datatypes to
 bytestrings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-textual-doc
Description-md5: 8e8a6f5a2d2e87120a23ddaefd11c54c
Description-en: Fast rendering of common datatypes; documentation
 It is a library for efficiently rendering Haskell datatypes to
 bytestrings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blaze-textual-prof
Description-md5: 7abf91718133f679467bcd5190404641
Description-en: Fast rendering of common datatypes; profiling libraries
 It is a library for efficiently rendering Haskell datatypes to
 bytestrings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blogliterately-dev
Description-md5: f6b1ebbfa634874f43f5a23ceec0f7e1
Description-en: Tool for posting articles to blogs (internals)
 This package provides development internals of BlogLiterately tool,
 allowing you write blog posts in Markdown format, then use it to do
 syntax highlighting, format ghci sessions, and upload to any blog
 supporting the metaWeblog API (such as Wordpress)
 .
 This package is made available to make customization possible,
 in particular, to create your own executable which adds extra custom
 transformations.
 .
 End-user is probably interested in `blogliterately' package, which
 provides a ready to use executable.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blogliterately-doc
Description-md5: b01c51b1823b9682d74d6dea9e234954
Description-en: Tool for posting articles to blogs (internals); documentation
 This package provides development internals of BlogLiterately tool,
 allowing you write blog posts in Markdown format, then use it to do
 syntax highlighting, format ghci sessions, and upload to any blog
 supporting the metaWeblog API (such as Wordpress)
 .
 This package is made available to make customization possible,
 in particular, to create your own executable which adds extra custom
 transformations.
 .
 End-user is probably interested in `blogliterately' package, which
 provides a ready to use executable.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-blogliterately-prof
Description-md5: a771b251f6cd212d3c28965ab8b4ec24
Description-en: Tool for posting articles to blogs (internals); profiling libraries
 This package provides development internals of BlogLiterately tool,
 allowing you write blog posts in Markdown format, then use it to do
 syntax highlighting, format ghci sessions, and upload to any blog
 supporting the metaWeblog API (such as Wordpress)
 .
 This package is made available to make customization possible,
 in particular, to create your own executable which adds extra custom
 transformations.
 .
 End-user is probably interested in `blogliterately' package, which
 provides a ready to use executable.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bloomfilter-dev
Description-md5: 83cfc8bb5fa893398b1bc802a7cd2749
Description-en: Haskell bloom filter library
 The Haskell library provides pure and impure bloom filter implementations.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bloomfilter-doc
Description-md5: 4b83292f205228da80b09743564e145a
Description-en: Haskell bloom filter library; documentation
 This Haskell library provides pure and impure bloom filter implementations.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bloomfilter-prof
Description-md5: d9b36fd3fbf26fdc252b27f16430b86f
Description-en: Haskell bloom filter library; profiling libraries
 This Haskell library provides pure and impure bloom filter implementations.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bmp-dev
Description-md5: 4b4dcbeb0da02e90241dcc36e4fdebee
Description-en: Read and write BMP image files
 This is a pure Haskell implementation of the BMP image file format, supporting
 both reading and writing.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bmp-doc
Description-md5: cb767d91cb93b018ad33927f9bfa438d
Description-en: Read and write BMP image files; documentation
 This is a pure Haskell implementation of the BMP image file format, supporting
 both reading and writing.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bmp-prof
Description-md5: 112ee8a0cc8713d9b1147deeca657cc6
Description-en: Read and write BMP image files; profiling libraries
 This is a pure Haskell implementation of the BMP image file format, supporting
 both reading and writing.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bool-extras-dev
Description-md5: c4f60672f7bed20449910c949fc9508b
Description-en: fold function for Bool type
 Function `bool' allows folding over boolean values, that is
 comparable to the `maybe' or `either' functions on their respective
 types.
 .
 Function `bool' is a replacement for the build-in if-then-else
 syntax. However, since it is a function, it can be partially
 applied and passed around to higher order functions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bool-extras-doc
Description-md5: 12cfceade69f7521f3bc1e8f2fd1215c
Description-en: fold function for Bool type; documentation
 Function `bool' allows folding over boolean values, that is
 comparable to the `maybe' or `either' functions on their respective
 types.
 .
 Function `bool' is a replacement for the build-in if-then-else
 syntax. However, since it is a function, it can be partially
 applied and passed around to higher order functions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bool-extras-prof
Description-md5: be481994933be950bf3eb90a0fd1da1b
Description-en: fold function for Bool type; profiling libraries
 Function `bool' allows folding over boolean values, that is
 comparable to the `maybe' or `either' functions on their respective
 types.
 .
 Function `bool' is a replacement for the build-in if-then-else
 syntax. However, since it is a function, it can be partially
 applied and passed around to higher order functions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boolean-dev
Description-md5: 613d3084060413dc6b4dc59931b076a4
Description-en: generalized booleans
 It contains some classes for generalized boolean operations.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boolean-doc
Description-md5: a6936aca4fd36512b54081e7b5fd864d
Description-en: generalized booleans; documentation
 It contains some classes for generalized boolean operations.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boolean-prof
Description-md5: adadfa9044abecf0fed57e7efad4322f
Description-en: generalized booleans; profiling libraries
 It contains some classes for generalized boolean operations.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boomerang-dev
Description-md5: fce77f96f294ff2b292ea23948401f7a
Description-en: invertible parsing and printing
 Specify a single unified grammar which can be used for parsing and
 pretty-printing.
 .
 Boomerang is a DSL for creating parsers and pretty-printers using a single
 specification. Instead of writing a parser, and then writing a separate
 pretty-printer, both are created at once. This saves time, and ensures that
 the parser and pretty-printer are inverses and stay in-sync with each other.
 .
 Boomerang is a generalized derivative of the Zwaluw library created by
 Sjoerd Visscher and Martijn van Steenbergen.
 .
 This package contains the normal library files.

Package: libghc-boomerang-doc
Description-md5: b290ce60bc8174ecb995c56eafe221de
Description-en: invertible parsing and printing; documentation
 Specify a single unified grammar which can be used for parsing and
 pretty-printing.
 .
 Boomerang is a DSL for creating parsers and pretty-printers using a single
 specification. Instead of writing a parser, and then writing a separate
 pretty-printer, both are created at once. This saves time, and ensures that
 the parser and pretty-printer are inverses and stay in-sync with each other.
 .
 Boomerang is a generalized derivative of the Zwaluw library created by
 Sjoerd Visscher and Martijn van Steenbergen.
 .
 This package contains the documentation files.

Package: libghc-boomerang-prof
Description-md5: 37c1d356dd4d0497543d63b0cfbef1ff
Description-en: invertible parsing and printing; profiling libraries
 Specify a single unified grammar which can be used for parsing and
 pretty-printing.
 .
 Boomerang is a DSL for creating parsers and pretty-printers using a single
 specification. Instead of writing a parser, and then writing a separate
 pretty-printer, both are created at once. This saves time, and ensures that
 the parser and pretty-printer are inverses and stay in-sync with each other.
 .
 Boomerang is a generalized derivative of the Zwaluw library created by
 Sjoerd Visscher and Martijn van Steenbergen.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-boundedchan-dev
Description-md5: ee9028e108f213e3c84af6e74328e7ef
Description-en: bounded (maximum-limited) channels
 This library introduces BoundedChan. BoundedChans differ from Chans
 in that they are guaranteed to contain no more than a certain number
 of elements.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boundedchan-doc
Description-md5: e445684ed80555918e37a145f50fb445
Description-en: bounded (maximum-limited) channels; documentation
 This library introduces BoundedChan. BoundedChans differ from Chans
 in that they are guaranteed to contain no more than a certain number
 of elements.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boundedchan-prof
Description-md5: c5497a66e36becc295b8a09609f33b14
Description-en: bounded (maximum-limited) channels; profiling libraries
 This library introduces BoundedChan. BoundedChans differ from Chans
 in that they are guaranteed to contain no more than a certain number
 of elements.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boxes-dev
Description-md5: 1fef31e1323bd93118f476a6f22c68ec
Description-en: 2D text pretty-printing library
 A pretty-printing library for laying out text in two dimensions, using a
 simple box model.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boxes-doc
Description-md5: 9e2f0cb6eb11929d9968bc15a1760594
Description-en: 2D text pretty-printing library; documentation
 A pretty-printing library for laying out text in two dimensions, using a
 simple box model.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-boxes-prof
Description-md5: 2104ebc54f3d0efb949e8ecce7faccc0
Description-en: 2D text pretty-printing library; profiling libraries
 A pretty-printing library for laying out text in two dimensions, using a
 simple box model.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-brainfuck-dev
Description-md5: 3b5dfe9c7a9d7de0192b6172b47615df
Description-en: Brainfuck interpreter library
 It is an interpreter for the Brainfuck language, written in the
 pure, lazy, functional language Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-brainfuck-doc
Description-md5: 0276c1df0154667f780840bc132f15bd
Description-en: Brainfuck interpreter library; documentation
 It is an interpreter for the Brainfuck language, written in the
 pure, lazy, functional language Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-brainfuck-prof
Description-md5: 1e26b3d925192ac07b8415e21c7b83d7
Description-en: Brainfuck interpreter library; profiling libraries
 It is an interpreter for the Brainfuck language, written in the
 pure, lazy, functional language Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-brick-dev
Description-md5: f49075c6f0d05ae9a07f776a83ca686d
Description-en: declarative terminal user interface library
 Write terminal applications painlessly with brick! You write an
 event handler and a drawing function and the library does the rest.
 .
 Brick is the successor to vty-ui.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-brick-doc
Description-md5: 62fab21a8724bcc1c5b302e90e770287
Description-en: declarative terminal user interface library; documentation
 Write terminal applications painlessly with brick! You write an
 event handler and a drawing function and the library does the rest.
 .
 Brick is the successor to vty-ui.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-brick-prof
Description-md5: 073f5ed810abcd11442622f3337e98a1
Description-en: declarative terminal user interface library; profiling libraries
 Write terminal applications painlessly with brick! You write an
 event handler and a drawing function and the library does the rest.
 .
 Brick is the successor to vty-ui.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bsb-http-chunked-dev
Description-md5: a68f1d56369f3e687488ca3cfcc1d669
Description-en: chunked HTTP transfer encoding for bytestring builders
 This library contains functions for encoding bytestring builderes for
 chunked HTTP/1.1 transfer.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bsb-http-chunked-doc
Description-md5: 96a1bf3a1fb9f1c31fa21a3f4818ac8d
Description-en: chunked HTTP transfer encoding for bytestring builders; documentation
 This library contains functions for encoding bytestring builderes for
 chunked HTTP/1.1 transfer.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bsb-http-chunked-prof
Description-md5: d65bb91abc6f255c2925cebd8e33522a
Description-en: chunked HTTP transfer encoding for bytestring builders; profiling libraries
 This library contains functions for encoding bytestring builderes for
 chunked HTTP/1.1 transfer.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-byteable-dev
Description-md5: 7b43348fdd57a4ce8e97a0d7f437b313
Description-en: typeclass for byte sequences
 Abstract class to manipulate sequence of bytes; the use case of this
 class is abstracting manipulation of types that are just wrapping a
 bytestring with stronger and more meaningful name.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-byteable-doc
Description-md5: 22560b80723a36f4ef556a89c689cb5a
Description-en: typeclass for byte sequences; documentation
 Abstract class to manipulate sequence of bytes; the use case of this
 class is abstracting manipulation of types that are just wrapping a
 bytestring with stronger and more meaningful name.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-byteable-prof
Description-md5: ac949d6a51caef1ebe107b16b244ff70
Description-en: typeclass for byte sequences; profiling libraries
 Abstract class to manipulate sequence of bytes; the use case of this
 class is abstracting manipulation of types that are just wrapping a
 bytestring with stronger and more meaningful name.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytedump-dev
Description-md5: 27b3a3858544409ece0abaec81da9321
Description-en: flexible byte dump helpers for human readers
 A set of helpers to dump bytes with lots of different output
 formats easy to read for humans eyes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytedump-doc
Description-md5: ff11f6b13e577854a73b852afb02619c
Description-en: flexible byte dump helpers for human readers; documentation
 A set of helpers to dump bytes with lots of different output
 formats easy to read for humans eyes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytedump-prof
Description-md5: e58b5ee72615ab8bb0c65e16d277d32c
Description-en: flexible byte dump helpers for human readers; profiling libraries
 A set of helpers to dump bytes with lots of different output
 formats easy to read for humans eyes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-byteorder-dev
Description-md5: d5605cb96b1696a4e34afafb8311691a
Description-en: exposes the native endianness or byte ordering of the system
 This is for working with the native byte-ordering of the system.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-byteorder-doc
Description-md5: 6610b7c7885d4f99cdf88ac0da52603a
Description-en: exposes the native endianness or byte ordering of the system; documentation
 This is for working with the native byte-ordering of the system.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-byteorder-prof
Description-md5: 4adc0a15c97ac17b48b508b4d10f9ee1
Description-en: exposes the native endianness of the system; profiling libraries
 This is for working with the native byte-ordering of the system.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytes-dev
Description-md5: a536fc5d174d8c30e349be260797f57c
Description-en: Sharing code for serialization between binary and cereal
 This module generalizes the binary and cereal monads in an ad-hoc
 fashion to permit code to be written that is compatible across them.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytes-doc
Description-md5: 3401c9f5a93a56db7e3f4573e1857785
Description-en: Sharing code for serialization between binary and cereal; documentation
 This module generalizes the binary and cereal monads in an ad-hoc
 fashion to permit code to be written that is compatible across them.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytes-prof
Description-md5: d30b67b4df0e927f611da7ed4a118c0f
Description-en: Sharing code for serialization between binary and cereal; profiling libraries
 This module generalizes the binary and cereal monads in an ad-hoc
 fashion to permit code to be written that is compatible across them.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-conversion-dev
Description-md5: 1123f5d2ccee2304a359bbb6076ac1b8
Description-en: Type-classes to convert values to and from ByteString.
 Defines the type-classes 'ToByteString' and 'FromByteString'
 to convert values to and from ByteString.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-conversion-doc
Description-md5: a25e3011f08be6f3c2c632c5333f1849
Description-en: Type-classes to convert values to and from ByteString.; documentation
 Defines the type-classes 'ToByteString' and 'FromByteString'
 to convert values to and from ByteString.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-conversion-prof
Description-md5: 009c437399fc6fc702207955a3b276bb
Description-en: Type-classes to convert values to and from ByteString.; profiling libraries
 Defines the type-classes 'ToByteString' and 'FromByteString'
 to convert values to and from ByteString.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-handle-dev
Description-md5: 26fc19beeee5d826a3f6666fd25b44f3
Description-en: ByteString-backed Handles
 These are two functions to treat ByteStrings as file handles.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-handle-doc
Description-md5: 76ab19c2588b95a3d7d81f2a61f09fb1
Description-en: ByteString-backed Handles; documentation
 These are two functions to treat ByteStrings as file handles.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-handle-prof
Description-md5: fb68b2def468cf43a7d6bca45d250474
Description-en: ByteString-backed Handles; profiling libraries
 These are two functions to treat ByteStrings as file handles.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-lexing-dev
Description-md5: 736fcaffcadffeb5609b97b6f6da502a
Description-en: parsing and printing numbers to bytestrings.
 This package contains efficient parsers and printers of floating-point and
 integer literals to strict and lazy bytesstrings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-lexing-doc
Description-md5: 933a20e5f381f60d0d40e008926225b6
Description-en: parsing and printing numbers to bytestrings.; documentation
 This package contains efficient parsers and printers of floating-point and
 integer literals to strict and lazy bytesstrings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-lexing-prof
Description-md5: 95a32fffa80a145abbfdb2440ee0a62e
Description-en: parsing and printing numbers to bytestrings.; profiling libraries
 This package contains efficient parsers and printers of floating-point and
 integer literals to strict and lazy bytesstrings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-mmap-dev
Description-md5: 8aeb208756da574e9ad83ceea0203640
Description-en: mmap support for strict ByteStrings
 This library provides a wrapper to mmap(2), allowing files or
 devices to be lazily loaded into memory as strict or lazy
 ByteStrings, using the virtual memory subsystem to do on-demand
 loading.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-mmap-doc
Description-md5: e5fa3e6ba7a775803b33e85bcd08bab5
Description-en: mmap support for strict ByteStrings; documentation
 This library provides a wrapper to mmap(2), allowing files or
 devices to be lazily loaded into memory as strict or lazy
 ByteStrings, using the virtual memory subsystem to do on-demand
 loading.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-mmap-prof
Description-md5: 4834565fb71ecf77b04ddcb47afe4894
Description-en: mmap support for strict ByteStrings; profiling libraries
 This library provides a wrapper to mmap(2), allowing files or
 devices to be lazily loaded into memory as strict or lazy
 ByteStrings, using the virtual memory subsystem to do on-demand
 loading.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-progress-dev
Description-md5: ca5a9dc6707960d1c9ae61edc32ddc97
Description-en: A library for tracking the consumption of a lazy ByteString
 In some cases, it is useful to know how fast a ByteString is being
 consumed. Typically, this could be to report some measure of progress
 to a waiting user, but it could also be to perform some form of testing
 on input / consumption code.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-progress-doc
Description-md5: edb511cefed1f5b7a64eb459e80100cf
Description-en: A library for tracking the consumption of a lazy ByteString; documentation
 In some cases, it is useful to know how fast a ByteString is being
 consumed. Typically, this could be to report some measure of progress
 to a waiting user, but it could also be to perform some form of testing
 on input / consumption code.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-progress-prof
Description-md5: 8d4351a7449df958198c7f70e931020f
Description-en: A library for tracking the consumption of a lazy ByteString; profiling libraries
 In some cases, it is useful to know how fast a ByteString is being
 consumed. Typically, this could be to report some measure of progress
 to a waiting user, but it could also be to perform some form of testing
 on input / consumption code.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-show-dev
Description-md5: b4e49fff2011e36c550aba28db1380bf
Description-en: Haskell library for value converter to byte strings
 Efficient conversion of values into readable byte strings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-show-doc
Description-md5: 2b32d0ee8a9306b8f42f9481b649cfec
Description-en: Haskell library for value converter to byte strings; documentation
 Efficient conversion of values into readable byte strings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-show-prof
Description-md5: aed89f393bdf04b9e35c25a330f2a48e
Description-en: Haskell library for value converter to byte strings; profiling libraries
 Efficient conversion of values into readable byte strings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-to-vector-dev
Description-md5: c693379ba4050d10f9c20c24ab5916ad
Description-en: convert ByteString<->Vector.Storable without copying
 This library allows conversion between the types from Data.ByteString
 and Data.Vector.Storable without copying the underlying data.  This
 is useful, for example, when ByteString IO produces or consumes
 vectors of numbers in native byte order.
 .
 The conversion relies on the fact that ByteString and Vector use their
 respective ForeignPtr's in compatible ways.
 .
 This library is a fork of spool.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-to-vector-doc
Description-md5: 0e4eada153226182dfef23abed68b289
Description-en: convert ByteString<->Vector.Storable without copying; documentation
 This library allows conversion between the types from Data.ByteString
 and Data.Vector.Storable without copying the underlying data.  This
 is useful, for example, when ByteString IO produces or consumes
 vectors of numbers in native byte order.
 .
 The conversion relies on the fact that ByteString and Vector use their
 respective ForeignPtr's in compatible ways.
 .
 This library is a fork of spool.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bytestring-to-vector-prof
Description-md5: 5ecc4b23518f10c795498873dd937dfb
Description-en: convert ByteString<->Vector.Storable without copying; profiling libraries
 This library allows conversion between the types from Data.ByteString
 and Data.Vector.Storable without copying the underlying data.  This
 is useful, for example, when ByteString IO produces or consumes
 vectors of numbers in native byte order.
 .
 The conversion relies on the fact that ByteString and Vector use their
 respective ForeignPtr's in compatible ways.
 .
 This library is a fork of spool.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bzlib-dev
Description-md5: ca66d6d0ce5a0a9d11afbb3aafd44dbb
Description-en: Haskell bindings to the bzip2 library
 .
 This package provides a pure interface for compressing and decompressing
 streams of data represented as lazy ByteStrings. It uses the bz2 C library
 so it has high performance.
 .
 It provides a convenient high level API suitable for most tasks and for the
 few cases where more control is needed it provides access to the full bzip2
 feature set.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bzlib-doc
Description-md5: b4a3e0bae263b0041fcb6d827ea9cd5c
Description-en: Haskell bindings to the bzip2 library; documentation
 .
 This package provides a pure interface for compressing and decompressing
 streams of data represented as lazy ByteStrings. It uses the bz2 C library
 so it has high performance.
 .
 It provides a convenient high level API suitable for most tasks and for the
 few cases where more control is needed it provides access to the full bzip2
 feature set.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-bzlib-prof
Description-md5: d9161a23376704a96f219281fdae291c
Description-en: Haskell bindings to the bzip2 library; profiling libraries
 .
 This package provides a pure interface for compressing and decompressing
 streams of data represented as lazy ByteStrings. It uses the bz2 C library
 so it has high performance.
 .
 It provides a convenient high level API suitable for most tasks and for the
 few cases where more control is needed it provides access to the full bzip2
 feature set.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cabal-doctest-dev
Description-md5: df4e4f3e320a497ccc325703dbea7b9e
Description-en: Setup.hs helper for doctests running
 Currently (beginning of 2017), there isn't a `cabal doctest`
 command. Yet to properly work, doctest needs plenty of configuration.
 This library provides the common bits for writing custom Setup.hs
 until that is resolved.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cabal-doctest-doc
Description-md5: ad14b6e067d6eccea7aceb737d77afa7
Description-en: Setup.hs helper for doctests running; documentation
 Currently (beginning of 2017), there isn't a `cabal doctest`
 command. Yet to properly work, doctest needs plenty of configuration.
 This library provides the common bits for writing custom Setup.hs
 until that is resolved.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cabal-doctest-prof
Description-md5: a070e39fef009a59d1d20f2ac6e916a7
Description-en: Setup.hs helper for doctests running; profiling libraries
 Currently (beginning of 2017), there isn't a `cabal doctest`
 command. Yet to properly work, doctest needs plenty of configuration.
 This library provides the common bits for writing custom Setup.hs
 until that is resolved.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cairo-dev
Description-md5: 470830dac098922b382d8866b2abc632
Description-en: binding to the Cairo library
 Cairo is a library to render high quality vector graphics. There exist various
 backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents,
 amongst others.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cairo-doc
Description-md5: 06ce9b280aef741ff1d7429e0dae7f4f
Description-en: Binding to the Cairo library; documentation
 Cairo is a library to render high quality vector graphics. There exist various
 backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents,
 amongst others.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cairo-prof
Description-md5: cde86b0f92cb7542698590893ef5c308
Description-en: Binding to the Cairo library; profiling libraries
 Cairo is a library to render high quality vector graphics. There exist various
 backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents,
 amongst others.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-call-stack-dev
Description-md5: c01578dc0b9363ef56654731b7816ab1
Description-en: use GHC call-stacks in a backward compatible way
 Source locations in a GHC call stack.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-call-stack-doc
Description-md5: 6655123ff77ac46ee22d8dd453893868
Description-en: use GHC call-stacks in a backward compatible way; documentation
 Source locations in a GHC call stack.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-call-stack-prof
Description-md5: 9f566330408c58c646ccf295353c6517
Description-en: use GHC call-stacks in a backward compatible way; profiling libraries
 Source locations in a GHC call stack.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-case-insensitive-dev
Description-md5: ecd8746d11dac43dc80aaa05865130a7
Description-en: case-insensitive string comparison
 The module Data.CaseInsensitive provides the CI type constructor which
 can be parameterised by a string-like type like: String, ByteString,
 Text, etc.. Comparisons of values of the resulting type will be
 insensitive to cases.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-case-insensitive-doc
Description-md5: 3a0f0d3af2d68ab0388c8e3786aa7a32
Description-en: case-insensitive string comparison; documentation
 The module Data.CaseInsensitive provides the CI type constructor which
 can be parameterised by a string-like type like: String, ByteString,
 Text, etc.. Comparisons of values of the resulting type will be
 insensitive to cases.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-case-insensitive-prof
Description-md5: beb088de5728dc4b506f553dee907113
Description-en: case-insensitive string comparison; profiling libraries
 The module Data.CaseInsensitive provides the CI type constructor which
 can be parameterised by a string-like type like: String, ByteString,
 Text, etc.. Comparisons of values of the resulting type will be
 insensitive to cases.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cassava-dev
Description-md5: 55cb1af4a992f8a934e710747cb5b4dc
Description-en: CSV parsing and encoding library
 A CSV parsing and encoding library optimized for ease of use and
 high performance.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cassava-doc
Description-md5: ae68073c07cafcba3fe19fa05392e1aa
Description-en: CSV parsing and encoding library; documentation
 A CSV parsing and encoding library optimized for ease of use and
 high performance.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cassava-megaparsec-dev
Description-md5: 0453a08b34374b1ef1a5a97e28b62993
Description-en: Megaparsec parser of CSV files that plays nicely with Cassava
 This package provides alternative parser for the Cassava package
 written with Megaparsec so you can get better error messages at
 expense of some speed.
 .
 The package works seamlessly with Cassava by replacing the following
 functions: decode, decodeWith, decodeByName, decodeByNameWith.
 .
 The functions work just the same as Cassava's equivalents, but also
 take name of file they parse (to include into error messages) and
 return typed high-quality error messages produced by Megaparsec.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cassava-megaparsec-doc
Description-md5: 9bc4a2d262d42db91c71327d77c9f772
Description-en: Megaparsec parser of CSV files that plays nicely with Cassava; documentation
 This package provides alternative parser for the Cassava package
 written with Megaparsec so you can get better error messages at
 expense of some speed.
 .
 The package works seamlessly with Cassava by replacing the following
 functions: decode, decodeWith, decodeByName, decodeByNameWith.
 .
 The functions work just the same as Cassava's equivalents, but also
 take name of file they parse (to include into error messages) and
 return typed high-quality error messages produced by Megaparsec.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cassava-megaparsec-prof
Description-md5: 8bfd522d6f5dca219bd3107cd0f9319f
Description-en: Megaparsec parser of CSV files that plays nicely with Cassava; profiling libraries
 This package provides alternative parser for the Cassava package
 written with Megaparsec so you can get better error messages at
 expense of some speed.
 .
 The package works seamlessly with Cassava by replacing the following
 functions: decode, decodeWith, decodeByName, decodeByNameWith.
 .
 The functions work just the same as Cassava's equivalents, but also
 take name of file they parse (to include into error messages) and
 return typed high-quality error messages produced by Megaparsec.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cassava-prof
Description-md5: 3138c32400ad97ea140f74ca444b6c0f
Description-en: CSV parsing and encoding library; profiling libraries
 A CSV parsing and encoding library optimized for ease of use and
 high performance.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-categories-dev
Description-md5: e99a08be6f7a5ec6fc0f58e2a05ae6a3
Description-en: categories from category-extras
 categories from category-extras.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-categories-doc
Description-md5: 8a1f8c7f85a7aa94ef45547a54e2d01c
Description-en: categories from category-extras; documentation
 categories from category-extras.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-categories-prof
Description-md5: e8d8c4224282e462eeb40b29a7deed5b
Description-en: categories from category-extras; profiling libraries
 categories from category-extras.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cborg-dev
Description-md5: c0b7d0c76eb94ec1285834586111dd83
Description-en: Concise Binary Object Representation
 This package provides an efficient implementation of the Concise
 Binary Object Representation (CBOR), as specified by RFC 7049.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cborg-doc
Description-md5: 19d591eac63998e7f26f68d714743f96
Description-en: Concise Binary Object Representation; documentation
 This package provides an efficient implementation of the Concise
 Binary Object Representation (CBOR), as specified by RFC 7049.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cborg-json-dev
Description-md5: c643c92d361b3b84d7596dd06100cd2a
Description-en: encoding JSON as CBOR
 This package implements the bijection between JSON and
 CBOR defined in the CBOR specification, RFC 7049.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cborg-json-doc
Description-md5: a866ea9e31e30d82ae3b9fb5b113cf05
Description-en: encoding JSON as CBOR; documentation
 This package implements the bijection between JSON and
 CBOR defined in the CBOR specification, RFC 7049.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cborg-json-prof
Description-md5: 2c92638a188c128bbe1a33cac3049883
Description-en: encoding JSON as CBOR; profiling libraries
 This package implements the bijection between JSON and
 CBOR defined in the CBOR specification, RFC 7049.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cborg-prof
Description-md5: 2cbb89577b00919a07c1307913b0cc7f
Description-en: Concise Binary Object Representation; profiling libraries
 This package provides an efficient implementation of the Concise
 Binary Object Representation (CBOR), as specified by RFC 7049.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-conduit-dev
Description-md5: 26e64edacfb04956466e1a8572bbff5d
Description-en: turn Data.Serialize Gets and Puts into Sources and Sinks
 Data.Conduit.Cereal provides a conduit interface to cereal.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-conduit-doc
Description-md5: 7f3387eacf8b2ec78ac83accb0a2f430
Description-en: turn Data.Serialize Gets and Puts into Sources and Sinks; documentation
 Data.Conduit.Cereal provides a conduit interface to cereal.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-conduit-prof
Description-md5: 0293f4c64b049f7f6ce9ed01a36014ed
Description-en: turn Data.Serialize Gets and Puts into Sources and Sinks; profiling libraries
 Data.Conduit.Cereal provides a conduit interface to cereal.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-dev
Description-md5: 951fee96105c067ab2ce789d53f9e0ce
Description-en: binary serialization library
 A binary serialization library, similar to binary, that introduces an isolate
 primitive for parser isolation, and replaces the asynchronous errors with a
 user-handleable Either type.  Similar to binary in performance, but uses a
 strict ByteString instead of a lazy ByteString, thus restricting it to
 operating on finite inputs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-doc
Description-md5: 6cfccf557d9b137d0cc827023cce16bb
Description-en: binary serialization library; documentation
 A binary serialization library, similar to binary, that introduces an isolate
 primitive for parser isolation, and replaces the asynchronous errors with a
 user-handleable Either type.  Similar to binary in performance, but uses a
 strict ByteString instead of a lazy ByteString, thus restricting it to
 operating on finite inputs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-prof
Description-md5: cca6a1ff353db074781a9e10d78da7a3
Description-en: binary serialization library; profiling libraries
 A binary serialization library, similar to binary, that introduces an isolate
 primitive for parser isolation, and replaces the asynchronous errors with a
 user-handleable Either type.  Similar to binary in performance, but uses a
 strict ByteString instead of a lazy ByteString, thus restricting it to
 operating on finite inputs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-vector-dev
Description-md5: 647d19058cb342e35dada3cfda22f1d0
Description-en: Serialize instances for Data.Vector types
 Data.Serialize functions for Data.Vector.Generic vectors. Orphan
 instances are provided for Data.Vector, Data.Vector.Unboxed,
 Data.Vector.Storable, and Data.Vector.Primitive vectors.
 .
 The serialized format is an Int64 representing the length of the
 vector, followed by the Data.Serialized contents of each element.
 .
 Note that the functions in Data.Vector.Storable.UnsafeSerialize
 perform much better when serialization does not need to account for
 host endianness and word size.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-vector-doc
Description-md5: 3a40627ed5df31815b181a3aa3831d80
Description-en: Serialize instances for Data.Vector types; documentation
 Data.Serialize functions for Data.Vector.Generic vectors. Orphan
 instances are provided for Data.Vector, Data.Vector.Unboxed,
 Data.Vector.Storable, and Data.Vector.Primitive vectors.
 .
 The serialized format is an Int64 representing the length of the
 vector, followed by the Data.Serialized contents of each element.
 .
 Note that the functions in Data.Vector.Storable.UnsafeSerialize
 perform much better when serialization does not need to account for
 host endianness and word size.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cereal-vector-prof
Description-md5: 922f4ffeb3bdca014fcdda755171bd58
Description-en: Serialize instances for Data.Vector types; profiling libraries
 Data.Serialize functions for Data.Vector.Generic vectors. Orphan
 instances are provided for Data.Vector, Data.Vector.Unboxed,
 Data.Vector.Storable, and Data.Vector.Primitive vectors.
 .
 The serialized format is an Int64 representing the length of the
 vector, followed by the Data.Serialized contents of each element.
 .
 Note that the functions in Data.Vector.Storable.UnsafeSerialize
 perform much better when serialization does not need to account for
 host endianness and word size.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cgi-dev
Description-md5: 04e5f072aced4df0b7b0847aedf8c110
Description-en: Haskell CGI library for GHC
 This library is for writing CGI programs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cgi-doc
Description-md5: 80bf2f83c54070e0bfa5a41605ad76d1
Description-en: Haskell CGI library for GHC; documentation
 This library is for writing CGI programs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cgi-prof
Description-md5: 86e3dafacf1234fc9e635f6ade30891f
Description-en: Haskell CGI library for GHC; profiling libraries
 This library is for writing CGI programs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-charset-dev
Description-md5: 2ede8d6effc059238547dda9b16aa0ed
Description-en: fast Unicode character sets
 Fast Unicode character sets based on complemented PATRICIA tries.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-charset-doc
Description-md5: 16e62cbacd849f468b1fc7bd395a17e7
Description-en: fast Unicode character sets; documentation
 Fast Unicode character sets based on complemented PATRICIA tries.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-charset-prof
Description-md5: 0d19a55e51a223f5d3c7e2c2ad0e9036
Description-en: fast Unicode character sets; profiling libraries
 Fast Unicode character sets based on complemented PATRICIA tries.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-charsetdetect-ae-dev
Description-md5: 0348439a31c9b5434ebd92553c3d135f
Description-en: charset detection using Mozilla's Universal Character Set Detector
 Mozilla have developed a robust and efficient character set detection
 algorithm for use in their web browsers.  The algorithm is able to detect all
 of the most frequently encountered character encodings totally automatically.
 .
 This library wraps up their library and exposes a very simple Haskell
 interface to it. The library is portable, and is confirmed to work on both
 Unix and Windows.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-charsetdetect-ae-doc
Description-md5: 22d5c74b7233fd71b32d35e70a73cb45
Description-en: charset detection using Mozilla's Universal Character Set Detector; documentation
 Mozilla have developed a robust and efficient character set detection
 algorithm for use in their web browsers.  The algorithm is able to detect all
 of the most frequently encountered character encodings totally automatically.
 .
 This library wraps up their library and exposes a very simple Haskell
 interface to it. The library is portable, and is confirmed to work on both
 Unix and Windows.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-charsetdetect-ae-prof
Description-md5: 62402fe48a4541f5abf672b4c8fef2e1
Description-en: charset detection using Mozilla's Universal Character Set Detector; profiling libraries
 Mozilla have developed a robust and efficient character set detection
 algorithm for use in their web browsers.  The algorithm is able to detect all
 of the most frequently encountered character encodings totally automatically.
 .
 This library wraps up their library and exposes a very simple Haskell
 interface to it. The library is portable, and is confirmed to work on both
 Unix and Windows.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chart-cairo-dev
Description-md5: 56cc9957e202f7a5a3c6b8d906336891
Description-en: Cairo backend for Charts
 Chart is a library for generating 2D Charts and Plots.
 .
 This is the backend using the cairo library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chart-cairo-doc
Description-md5: bed70316d9001dc0e871a629bd239d94
Description-en: Cairo backend for Charts; documentation
 Chart is a library for generating 2D Charts and Plots.
 .
 This is the backend using the cairo library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chart-cairo-prof
Description-md5: 1ea9410754236548e86ccf8a70dbb61d
Description-en: Cairo backend for Charts; profiling libraries
 Chart is a library for generating 2D Charts and Plots.
 .
 This is the backend using the cairo library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chart-dev
Description-md5: 725a98648d8aa362c67f442afdd9d288
Description-en: library for generating 2D Charts and Plots
 Chart is a library for generating 2D Charts and Plots.  There are
 backends available for Cairo and Diagrams.
  .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chart-doc
Description-md5: e7d9e20b704dfa288dd5b4843ea226d7
Description-en: library for generating 2D Charts and Plots; documentation
 Chart is a library for generating 2D Charts and Plots.  There are
 backends available for Cairo and Diagrams.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chart-prof
Description-md5: b5a44d978c40273d28472018e879e7d2
Description-en: library for generating 2D Charts and Plots; profiling libraries
 Chart is a library for generating 2D Charts and Plots.  There are
 backends available for Cairo and Diagrams.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chasingbottoms-dev
Description-md5: e814cdfd5dff7ce9e950f5ff612f270f
Description-en: Library for testing partial and infinite values
 Do you ever feel the need to test code involving bottoms (e.g. calls to the
 error function), or code involving infinite values? Then this library could be
 useful for you.
 .
 It is usually easy to get a grip on bottoms by showing a value and waiting to
 see how much gets printed before the first exception is encountered. However,
 that quickly gets tiresome and is hard to automate using e.g. QuickCheck. With
 this library you can do such tests like other automatic tests.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chasingbottoms-doc
Description-md5: 43603ff14eeb0a1f3e984db323e2551a
Description-en: Library for testing partial and infinite values; documentation
 Do you ever feel the need to test code involving bottoms (e.g. calls to the
 error function), or code involving infinite values? Then this library could be
 useful for you.
 .
 It is usually easy to get a grip on bottoms by showing a value and waiting to
 see how much gets printed before the first exception is encountered. However,
 that quickly gets tiresome and is hard to automate using e.g. QuickCheck. With
 this library you can do such tests like other automatic tests.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chasingbottoms-prof
Description-md5: ef09cbd01a1b27d40c60df498c3f94da
Description-en: Library for testing partial and infinite values; profiling libraries
 Do you ever feel the need to test code involving bottoms (e.g. calls to the
 error function), or code involving infinite values? Then this library could be
 useful for you.
 .
 It is usually easy to get a grip on bottoms by showing a value and waiting to
 see how much gets printed before the first exception is encountered. However,
 that quickly gets tiresome and is hard to automate using e.g. QuickCheck. With
 this library you can do such tests like other automatic tests.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chell-dev
Description-md5: 4613a3668bc77bcdc2f06787909f1225
Description-en: Haskell library for simple and intuitive automated testing
 Chell is a simple and intuitive library for automated testing. It natively
 supports assertion-based testing, and can use companion libraries to
 support more complex testing strategies.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chell-doc
Description-md5: 1c1f54a20ec39e08cb6afdf413d948d6
Description-en: Haskell library for simple and intuitive automated testing; documentation
 Chell is a simple and intuitive library for automated testing. It natively
 supports assertion-based testing, and can use companion libraries to
 support more complex testing strategies.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chell-prof
Description-md5: 993b5cc9516f1402425e55f538e5526c
Description-en: Haskell library for simple and intuitive automated testing; profiling libraries
 Chell is a simple and intuitive library for automated testing. It natively
 supports assertion-based testing, and can use companion libraries to
 support more complex testing strategies.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chell-quickcheck2-dev
Description-md5: 65c7eac190cf6af232e1eda9c2c32193
Description-en: QuickCheck2 support for the Chell testing library
 Chell is a simple and intuitive library for automated testing. It natively
 supports assertion-based testing, and can use companion libraries to
 support more complex testing strategies.
 .
 This is a companion library, which supports testing QuickCheck properties
 with Chell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chell-quickcheck2-doc
Description-md5: bd9bd2306ff45f84b6e543ea708d7c24
Description-en: QuickCheck2 support for the Chell testing library; documentation
 Chell is a simple and intuitive library for automated testing. It natively
 supports assertion-based testing, and can use companion libraries to
 support more complex testing strategies.
 .
 This is a companion library, which supports testing QuickCheck properties
 with Chell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chell-quickcheck2-prof
Description-md5: be4b465c67e90d24c6b98a8f35a2a230
Description-en: QuickCheck2 support for the Chell testing library; profiling libraries
 Chell is a simple and intuitive library for automated testing. It natively
 supports assertion-based testing, and can use companion libraries to
 support more complex testing strategies.
 .
 This is a companion library, which supports testing QuickCheck properties
 with Chell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chunked-data-dev
Description-md5: a16e613598648c9274c98a8804a9c9e2
Description-en: typeclasses for dealing with various chunked data representations
 Typeclasses for dealing with various chunked data representations,
 originally present in classy-prelude.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chunked-data-doc
Description-md5: 7033220638c94ae7171d6f4a37ad7890
Description-en: typeclasses for dealing with various chunked data representations; documentation
 Typeclasses for dealing with various chunked data representations,
 originally present in classy-prelude.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-chunked-data-prof
Description-md5: 85939777fae9cd214382c709dceecb45
Description-en: typeclasses for dealing with various chunked data representations; profiling libraries
 Typeclasses for dealing with various chunked data representations,
 originally present in classy-prelude.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-aes-dev
Description-md5: 84f8bf48c7934b0a58be29a1e2743f83
Description-en: Fast AES cipher implementation
 The modes of operations available are ECB (Electronic code book),
 CBC (Cipher block chaining), CTR (Counter), XTS (XEX with ciphertext
 stealing), GCM (Galois Counter Mode).
 .
 The AES implementation uses AES-NI when available (on x86 and x86-64
 architecture), but fallback gracefully to a software C implementation.
 .
 The software implementation uses S-Boxes, which might suffer for cache
 timing issues.  However do note that most other known software
 implementations, including very popular one (openssl, gnutls) also uses
 same implementation. If it matters for your case, you should make sure
 you have AES-NI available, or you'll need to use a different
 implementation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-aes-doc
Description-md5: 9615d0ad2f10b50b8560c4009ba43afe
Description-en: Fast AES cipher implementation; documentation
 The modes of operations available are ECB (Electronic code book),
 CBC (Cipher block chaining), CTR (Counter), XTS (XEX with ciphertext
 stealing), GCM (Galois Counter Mode).
 .
 The AES implementation uses AES-NI when available (on x86 and x86-64
 architecture), but fallback gracefully to a software C implementation.
 .
 The software implementation uses S-Boxes, which might suffer for cache
 timing issues.  However do note that most other known software
 implementations, including very popular one (openssl, gnutls) also uses
 same implementation. If it matters for your case, you should make sure
 you have AES-NI available, or you'll need to use a different
 implementation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-aes-prof
Description-md5: 5cd7bf0d3d10dc5c72184e8bfa583541
Description-en: Fast AES cipher implementation; profiling libraries
 The modes of operations available are ECB (Electronic code book),
 CBC (Cipher block chaining), CTR (Counter), XTS (XEX with ciphertext
 stealing), GCM (Galois Counter Mode).
 .
 The AES implementation uses AES-NI when available (on x86 and x86-64
 architecture), but fallback gracefully to a software C implementation.
 .
 The software implementation uses S-Boxes, which might suffer for cache
 timing issues.  However do note that most other known software
 implementations, including very popular one (openssl, gnutls) also uses
 same implementation. If it matters for your case, you should make sure
 you have AES-NI available, or you'll need to use a different
 implementation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-aes128-dev
Description-md5: 77e25434ef1e7d899f5d3ab990c79e84
Description-en: AES and common modes
 Cipher-aes128 is an implementation of AES and common modes of operation.
 .
 It borrows Hanquez's C AES code (see 'cipher-aes') but is unique due to
   * including compile-time detection of NI compiler support,
   * a slightly more functional interface for GCM operations,
   * exposure of 'Ptr' based operations via the .Internal module, and
   * build-in crypto-api support.
 Cipher-aes128 was originally developed as "'cipher-aes' plus trampolines",
 which has since been adopted into cipher-aes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-aes128-doc
Description-md5: 55da2dc71a0a2d868336ec091cbfdb43
Description-en: AES and common modes; documentation
 Cipher-aes128 is an implementation of AES and common modes of operation.
 .
 It borrows Hanquez's C AES code (see 'cipher-aes') but is unique due to
   * including compile-time detection of NI compiler support,
   * a slightly more functional interface for GCM operations,
   * exposure of 'Ptr' based operations via the .Internal module, and
   * build-in crypto-api support.
 Cipher-aes128 was originally developed as "'cipher-aes' plus trampolines",
 which has since been adopted into cipher-aes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-aes128-prof
Description-md5: e115780b4c68e4e29f1dcd8e8150624d
Description-en: AES and common modes; profiling libraries
 Cipher-aes128 is an implementation of AES and common modes of operation.
 .
 It borrows Hanquez's C AES code (see 'cipher-aes') but is unique due to
   * including compile-time detection of NI compiler support,
   * a slightly more functional interface for GCM operations,
   * exposure of 'Ptr' based operations via the .Internal module, and
   * build-in crypto-api support.
 Cipher-aes128 was originally developed as "'cipher-aes' plus trampolines",
 which has since been adopted into cipher-aes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-blowfish-dev
Description-md5: e7a4835d001a9c831cc3825dccd02a27
Description-en: Blowfish cipher
 Blowfish cipher primitives.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-blowfish-doc
Description-md5: 1a6ddf92564f5d762c8201a723a29de2
Description-en: Blowfish cipher; documentation
 Blowfish cipher primitives.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-blowfish-prof
Description-md5: f7b3e1a57df603cf79232acda6476ac5
Description-en: Blowfish cipher; profiling libraries
 Blowfish cipher primitives.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-camellia-dev
Description-md5: 2fa76a46ce90f9d219b004d8c7c7ac5f
Description-en: Camellia cipher
 Camellia cipher primitives.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-camellia-doc
Description-md5: c39c79061f48924fc39a1a7e93304291
Description-en: Camellia cipher; documentation
 Camellia cipher primitives.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-camellia-prof
Description-md5: 28b88b5a4bae7b2982b6389732ae91bc
Description-en: Camellia cipher; profiling libraries
 Camellia cipher primitives.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-des-dev
Description-md5: 39fc7c11c4271c92a760d88a63dac910
Description-en: DES and 3DES ciphers
 DES and 3DES cipher primitives.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-des-doc
Description-md5: fda9493b286f81046b99a1545614ef87
Description-en: DES and 3DES ciphers; documentation
 DES and 3DES cipher primitives.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-des-prof
Description-md5: f566b083896640f5eeb2b32037fd6e2f
Description-en: DES and 3DES ciphers; profiling libraries
 DES and 3DES cipher primitives.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-rc4-dev
Description-md5: 87115a72141205b25a55c425a715a3d3
Description-en: Fast RC4 cipher implementation
 This package provides a RC 4 cipher implementation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-rc4-doc
Description-md5: 3b119c8318043b6c309bf74c7fd765e1
Description-en: Fast RC4 cipher implementation; documentation
 This package provides a RC 4 cipher implementation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cipher-rc4-prof
Description-md5: 9baca0b20fe1dbec5b19be6a871a888b
Description-en: Fast RC4 cipher implementation; profiling libraries
 This package provides a RC 4 cipher implementation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-classy-prelude-conduit-dev
Description-md5: 018ecaa2aa1b56a2a050b502d37b8a2d
Description-en: conduit instances for classy-prelude
 classy-prelude-conduit contains conduit instances for classy-prelude.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-classy-prelude-conduit-doc
Description-md5: c0b9cd6ac9178a3805f2271dea3649c3
Description-en: conduit instances for classy-prelude; documentation
 classy-prelude-conduit contains conduit instances for classy-prelude.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-classy-prelude-conduit-prof
Description-md5: 092bb737752dd619cf767ca74c1c9884
Description-en: conduit instances for classy-prelude; profiling libraries
 classy-prelude-conduit contains conduit instances for classy-prelude.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-classy-prelude-dev
Description-md5: ca7f6e211ec88701b28c606b02e00aaf
Description-en: typeclass-based prelude
 Focuses on using common typeclasses when possible, and creating new ones
 to avoid name clashing. Exposes many recommended datastructures (Map,
 ByteString, etc) directly without requiring long import lists and
 qualified modules.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-classy-prelude-doc
Description-md5: 1f2641e220bcab8852cd54b5c3519c0a
Description-en: typeclass-based prelude; documentation
 Focuses on using common typeclasses when possible, and creating new ones
 to avoid name clashing. Exposes many recommended datastructures (Map,
 ByteString, etc) directly without requiring long import lists and
 qualified modules.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-classy-prelude-prof
Description-md5: ed874b5ab6cd84eceeccc1eba7499b39
Description-en: typeclass-based prelude; profiling libraries
 Focuses on using common typeclasses when possible, and creating new ones
 to avoid name clashing. Exposes many recommended datastructures (Map,
 ByteString, etc) directly without requiring long import lists and
 qualified modules.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-clientsession-dev
Description-md5: 385dbb05349c01fbfcbebcf1906dc93f
Description-en: library for web session data in cookies
 Achieves security through AES encryption and MD5 hashing. Uses base64
 encoding to avoid any issues with characters.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-clientsession-doc
Description-md5: 1d6be331a14a03d89b35234b9cc7eb7b
Description-en: library for web session data in cookies; documentation
 Achieves security through AES encryption and MD5 hashing. Uses base64
 encoding to avoid any issues with characters.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-clientsession-prof
Description-md5: c71cced3828fde624607d63f93b080ca
Description-en: library for web session data in cookies; profiling libraries
 Achieves security through AES encryption and MD5 hashing. Uses base64
 encoding to avoid any issues with characters.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-clock-dev
Description-md5: ecfeebfea66cd57034ae5544f1bf497d
Description-en: High-resolution clock and timer
 This library provides convenient access to high-resolution clock and timer
 functions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-clock-doc
Description-md5: 90f0815c532c22320e1935cadceb574e
Description-en: High-resolution clock and timer; documentation
 This library provides convenient access to high-resolution clock and timer
 functions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-clock-prof
Description-md5: 6afd7d78dc0419dbe228863dee3670f0
Description-en: High-resolution clock and timer; profiling libraries
 This library provides convenient access to high-resolution clock and timer
 functions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmark-dev
Description-md5: 0e70289ce2bdfe0989f0370fe3927b9d
Description-en: fast, accurate CommonMark (Markdown) parser and renderer
 This package provides Haskell bindings for libcmark, the reference
 parser for CommonMark, a fully specified variant of Markdown.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmark-doc
Description-md5: 9fca36fc951fb8aca56c1c18bca3c718
Description-en: fast, accurate CommonMark (Markdown) parser and renderer; documentation
 This package provides Haskell bindings for libcmark, the reference
 parser for CommonMark, a fully specified variant of Markdown.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmark-gfm-dev
Description-md5: 0247e7e1a7ab628890b97f2ee1581e09
Description-en: fast, accurate GitHub Flavored Markdown parser and renderer
 This package provides Haskell bindings for libcmark-gfm, the reference
 parser for GitHub Flavored Markdown, a fully specified variant of
 Markdown.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmark-gfm-doc
Description-md5: f968dfa03d476f0ebbd299c7c623edb0
Description-en: fast, accurate GitHub Flavored Markdown parser and renderer; documentation
 This package provides Haskell bindings for libcmark-gfm, the reference
 parser for GitHub Flavored Markdown, a fully specified variant of
 Markdown.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmark-gfm-prof
Description-md5: 8a930bde90d8ee45430b62781da3d3e8
Description-en: fast, accurate GitHub Flavored Markdown parser and renderer; profiling libraries
 This package provides Haskell bindings for libcmark-gfm, the reference
 parser for GitHub Flavored Markdown, a fully specified variant of
 Markdown.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmark-prof
Description-md5: ad1fd2415014994431cf514a87bad23a
Description-en: fast, accurate CommonMark (Markdown) parser and renderer; profiling libraries
 This package provides Haskell bindings for libcmark, the reference
 parser for CommonMark, a fully specified variant of Markdown.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmdargs-dev
Description-md5: 843f42b2a7334d3184705d43ed855808
Description-en: command-line argument processing
 It provides an easy way to define command-line parsers. Most users
 will want to use the System.Console.CmdArgs.Implicit module, whose
 documentation contains an example.
 .
 System.Console.CmdArgs.Explicit provides a way to write command-line
 parsers for both single mode programs (most programs) and multiple
 mode programs (e.g. darcs or cabal). Parsers are defined by
 constructing a data structure.
 .
 System.Console.CmdArgs.Implicit provides a way to concisely define
 command-line parsers, up to three times shorter than getopt. These
 parsers are translated into the Explicit data type.
 .
 System.Console.CmdArgs.GetOpt provides a wrapper allowing
 compatiblity with existing getopt parsers, mapping to the Explicit
 data type.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmdargs-doc
Description-md5: 546edd54da29b3a599b735788598cb03
Description-en: command-line argument processing; documentation
 It provides an easy way to define command-line parsers. Most users
 will want to use the System.Console.CmdArgs.Implicit module, whose
 documentation contains an example.
 .
 System.Console.CmdArgs.Explicit provides a way to write command-line
 parsers for both single mode programs (most programs) and multiple
 mode programs (e.g. darcs or cabal). Parsers are defined by
 constructing a data structure.
 .
 System.Console.CmdArgs.Implicit provides a way to concisely define
 command-line parsers, up to three times shorter than getopt. These
 parsers are translated into the Explicit data type.
 .
 System.Console.CmdArgs.GetOpt provides a wrapper allowing
 compatiblity with existing getopt parsers, mapping to the Explicit
 data type.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cmdargs-prof
Description-md5: fefe9f645dc2906e40263b16dc33a8f3
Description-en: command-line argument processing; profiling libraries
 It provides an easy way to define command-line parsers. Most users
 will want to use the System.Console.CmdArgs.Implicit module, whose
 documentation contains an example.
 .
 System.Console.CmdArgs.Explicit provides a way to write command-line
 parsers for both single mode programs (most programs) and multiple
 mode programs (e.g. darcs or cabal). Parsers are defined by
 constructing a data structure.
 .
 System.Console.CmdArgs.Implicit provides a way to concisely define
 command-line parsers, up to three times shorter than getopt. These
 parsers are translated into the Explicit data type.
 .
 System.Console.CmdArgs.GetOpt provides a wrapper allowing
 compatiblity with existing getopt parsers, mapping to the Explicit
 data type.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-code-page-dev
Description-md5: 08a7052a2199805ccf6352604b10d113
Description-en: Windows code page library for Haskell
 Functions which adjust code pages on Windows, and do nothing
 on other operating systems.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-code-page-doc
Description-md5: b11f0ec3d203f58dd3b28d5117197004
Description-en: Windows code page library for Haskell; documentation
 Functions which adjust code pages on Windows, and do nothing
 on other operating systems.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-code-page-prof
Description-md5: 493308b2eff58ede60f4f977b1b21f1c
Description-en: Windows code page library for Haskell; profiling libraries
 Functions which adjust code pages on Windows, and do nothing
 on other operating systems.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-colour-dev
Description-md5: f18c21609df8c1ab58b6625f5a1e9ec8
Description-en: A model for human colour/color perception
 This package provides a data type for colours and transparency. Colours can be
 blended and composed. Various colour spaces are supported. A module of colour
 names (Data.Colour.Names) is provided.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-colour-doc
Description-md5: ed8a3b6a887b447d9b7a4c4e9bee400b
Description-en: A model for human colour/color perception; documentation
 This package provides a data type for colours and transparency. Colours can be
 blended and composed. Various colour spaces are supported. A module of colour
 names (Data.Colour.Names) is provided.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-colour-prof
Description-md5: 3b049961f7545d45403878a10adb6073
Description-en: A model for human colour/color perception; profiling libraries
 This package provides a data type for colours and transparency. Colours can be
 blended and composed. Various colour spaces are supported. A module of colour
 names (Data.Colour.Names) is provided.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-comonad-dev
Description-md5: 94b0ca2c6958dd033a095a4447fe4f2e
Description-en: Haskell 98 comonads
 Haskell 98 comonads
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-comonad-doc
Description-md5: 7b9943d0098c6e2cce1c7b2201d49757
Description-en: Haskell 98 comonads; documentation
 Haskell 98 comonads
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-comonad-prof
Description-md5: daf2b28ec50a57bd8e9471361df8c82c
Description-en: Haskell 98 comonads; profiling libraries
 Haskell 98 comonads
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-concurrent-output-dev
Description-md5: 9b384c58ce927094a5043b5e773258a8
Description-en: ungarble output from several threads
 Provides a simple interface for writing concurrent programs that
 need to output a lot of status messages to the console, or display
 multiple progress bars for different activities at the same time,
 or concurrently run external commands that output to the console.
 .
 Built on top of that is a way of defining multiple output regions,
 which are automatically laid out on the screen and can be individually
 updated. Can be used for progress displays etc.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-concurrent-output-doc
Description-md5: fa93d70246fae755f548eb11c718cdc6
Description-en: ungarble output from several threads; documentation
 Provides a simple interface for writing concurrent programs that
 need to output a lot of status messages to the console, or display
 multiple progress bars for different activities at the same time,
 or concurrently run external commands that output to the console.
 .
 Built on top of that is a way of defining multiple output regions,
 which are automatically laid out on the screen and can be individually
 updated. Can be used for progress displays etc.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-concurrent-output-prof
Description-md5: 3bc93187a5b6636f0b05512006a48611
Description-en: ungarble output from several threads; profiling libraries
 Provides a simple interface for writing concurrent programs that
 need to output a lot of status messages to the console, or display
 multiple progress bars for different activities at the same time,
 or concurrently run external commands that output to the console.
 .
 Built on top of that is a way of defining multiple output regions,
 which are automatically laid out on the screen and can be individually
 updated. Can be used for progress displays etc.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cond-dev
Description-md5: 26f6dae8f5505933d7c154a75eb67b84
Description-en: conditional and boolean operators with monadic variants
 This library provides:
 .
 * Implementations of various overloaded conditional operations
 .
 * Lifted monadic variants of those operations and common boolean operators
 .
 * A typeclass for boolean algebras.
 .
 Feel free to send ideas and suggestions for new conditional operators to the
 maintainer.
 .
 Monadic looping constructs are not included as part of this package, since the
 monad-loops package has a fairly complete collection of them already.
 .
  Author: Adam Curtis
  Upstream-Maintainer: acurtis@spsu.edu
 .
 This package contains the normal library files.

Package: libghc-cond-doc
Description-md5: 88302c2133af1e33adbd9905d072c776
Description-en: conditional and boolean operators with monadic variants (docs)
 This library provides:
 .
 * Implementations of various overloaded conditional operations
 .
 * Lifted monadic variants of those operations and common boolean operators
 .
 * A typeclass for boolean algebras.
 .
 Feel free to send ideas and suggestions for new conditional operators to the
 maintainer.
 .
 Monadic looping constructs are not included as part of this package, since the
 monad-loops package has a fairly complete collection of them already.
 .
  Author: Adam Curtis
  Upstream-Maintainer: acurtis@spsu.edu
 .
 This package contains the documentation files.

Package: libghc-cond-prof
Description-md5: d75a8707cd1ca29ea77b569c033ee76f
Description-en: conditional and boolean operators with monadic variants (prof)
 This library provides:
 .
 * Implementations of various overloaded conditional operations
 .
 * Lifted monadic variants of those operations and common boolean operators
 .
 * A typeclass for boolean algebras.
 .
 Feel free to send ideas and suggestions for new conditional operators to the
 maintainer.
 .
 Monadic looping constructs are not included as part of this package, since the
 monad-loops package has a fairly complete collection of them already.
 .
  Author: Adam Curtis
  Upstream-Maintainer: acurtis@spsu.edu
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-conduit-dev
Description-md5: 53f8e7e1615fb4db43d76d6b07f4216a
Description-en: streaming data processing library
 Conduits are an approach to the streaming data problem. It is meant as an
 alternative to enumerators/iterators, hoping to address the same issues with
 different trade-offs based on real-world experience with enumerators. For
 more information, see http://www.yesodweb.com/book/conduit.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-conduit-doc
Description-md5: 574bc75419ab11adbea5f144a0411e6d
Description-en: streaming data processing library; documentation
 Conduits are an approach to the streaming data problem. It is meant as an
 alternative to enumerators/iterators, hoping to address the same issues with
 different trade-offs based on real-world experience with enumerators. For
 more information, see http://www.yesodweb.com/book/conduit.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-conduit-extra-dev
Description-md5: 9b01e3006e5376bb750c6c72e0baa36a
Description-en: batteries-included conduit: adapters for common libraries
 The conduit package itself maintains relative small dependencies. The
 purpose of this package is to collect commonly used utility functions
 wrapping other library dependencies, without depending on
 heavier-weight dependencies. The basic idea is that this package
 should only depend on haskell-platform packages and conduit.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-conduit-extra-doc
Description-md5: 87b0ad2c68ae2948ded45e9795919fef
Description-en: batteries-included conduit: adapters for common libraries; documentation
 The conduit package itself maintains relative small dependencies. The
 purpose of this package is to collect commonly used utility functions
 wrapping other library dependencies, without depending on
 heavier-weight dependencies. The basic idea is that this package
 should only depend on haskell-platform packages and conduit.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-conduit-extra-prof
Description-md5: 3ab16d2d8ad41504171efa238ca8fa61
Description-en: batteries-included conduit: adapters for common libraries; profiling libraries
 The conduit package itself maintains relative small dependencies. The
 purpose of this package is to collect commonly used utility functions
 wrapping other library dependencies, without depending on
 heavier-weight dependencies. The basic idea is that this package
 should only depend on haskell-platform packages and conduit.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-conduit-prof
Description-md5: 6df51df14d120612ffb78b136b80195d
Description-en: streaming data processing library; profiling libraries
 Conduits are an approach to the streaming data problem. It is meant as an
 alternative to enumerators/iterators, hoping to address the same issues with
 different trade-offs based on real-world experience with enumerators. For
 more information, see http://www.yesodweb.com/book/conduit.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-ini-dev
Description-md5: 135629f2f868dd9ae232d8749ff67969
Description-en: simple INI-based configuration files
 The config-ini library is a set of small monadic languages
 for writing simple configuration languages with convenient,
 human-readable error messages.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-ini-doc
Description-md5: 9f2151cf2436a4f67ad98627b611b547
Description-en: simple INI-based configuration files; documentation
 The config-ini library is a set of small monadic languages
 for writing simple configuration languages with convenient,
 human-readable error messages.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-ini-prof
Description-md5: c3b367ea1e9d5034dd655c02a96db241
Description-en: simple INI-based configuration files; profiling libraries
 The config-ini library is a set of small monadic languages
 for writing simple configuration languages with convenient,
 human-readable error messages.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-schema-dev
Description-md5: d224a07fab329086ed9a5ce288ac5e87
Description-en: schema definitions for the config-value package
 This package makes it possible to defined schemas for use when
 loading configuration files using the config-value format.
 These schemas can be used to be process a configuration file into
 a Haskell value, or to automatically generate documentation for
 the file format.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-schema-doc
Description-md5: 5b10869fce663cf1f8157f067ce68d70
Description-en: schema definitions for the config-value package; documentation
 This package makes it possible to defined schemas for use when
 loading configuration files using the config-value format.
 These schemas can be used to be process a configuration file into
 a Haskell value, or to automatically generate documentation for
 the file format.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-schema-prof
Description-md5: 0998acf25db8d0c22d7f7604b9e7622e
Description-en: schema definitions for the config-value package; profiling libraries
 This package makes it possible to defined schemas for use when
 loading configuration files using the config-value format.
 These schemas can be used to be process a configuration file into
 a Haskell value, or to automatically generate documentation for
 the file format.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-value-dev
Description-md5: f287e6f66bbd7aa88c53aea59c2c99b1
Description-en: simple, layout-based value language similar to YAML/JSON
 This package implments a language similar to YAML or JSON but with
 fewer special cases and fewer dependencies. It emphasizes layout
 structure for sections and lists, and requires quotes around strings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-value-doc
Description-md5: b893891ae42325b914fe3caad178cc44
Description-en: simple, layout-based value language similar to YAML/JSON; documentation
 This package implments a language similar to YAML or JSON but with
 fewer special cases and fewer dependencies. It emphasizes layout
 structure for sections and lists, and requires quotes around strings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-config-value-prof
Description-md5: 892e81fab54d59c26981a7dd5f2e0963
Description-en: simple, layout-based value language similar to YAML/JSON; profiling libraries
 This package implments a language similar to YAML or JSON but with
 fewer special cases and fewer dependencies. It emphasizes layout
 structure for sections and lists, and requires quotes around strings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-configfile-dev
Description-md5: 844836653843af4d7eacc87b0f35cd79
Description-en: Parser and writer for sectioned config files in Haskell
 The ConfigFile module works with configuration files in a standard
 format that is easy for the user to edit, easy for the programmer
 to work with, yet remains powerful and flexible.  It is inspired by,
 and compatible with, Python's ConfigParser module.  It uses files
 that resemble Windows .INI-style files, but with numerous
 improvements.
 .
 ConfigFile provides simple calls to both read and write config files.
 It's possible to make a config file parsable by this module,
 the Unix shell, and make.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-configfile-doc
Description-md5: dd40c0043e7af86b120a2771d553fc53
Description-en: Parser and writer for sectioned config files in Haskell; documentation
 The ConfigFile module works with configuration files in a standard
 format that is easy for the user to edit, easy for the programmer
 to work with, yet remains powerful and flexible.  It is inspired by,
 and compatible with, Python's ConfigParser module.  It uses files
 that resemble Windows .INI-style files, but with numerous
 improvements.
 .
 ConfigFile provides simple calls to both read and write config files.
 It's possible to make a config file parsable by this module,
 the Unix shell, and make.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-configfile-prof
Description-md5: d0f3eddd5e3962308b340aa57b15fc59
Description-en: Parser and writer for sectioned config files in Haskell; profiling libraries
 The ConfigFile module works with configuration files in a standard
 format that is easy for the user to edit, easy for the programmer
 to work with, yet remains powerful and flexible.  It is inspired by,
 and compatible with, Python's ConfigParser module.  It uses files
 that resemble Windows .INI-style files, but with numerous
 improvements.
 .
 ConfigFile provides simple calls to both read and write config files.
 It's possible to make a config file parsable by this module,
 the Unix shell, and make.
 .
 This package contains configfile compiled for profiling.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-configurator-dev
Description-md5: fa695ff157bdbcfc012ed6518f937491
Description-en: dynamic config file reloading
 A configuration management library for programs and daemons.
 .
 Features include:
 .
 * Automatic, dynamic reloading in response to modifications to
 configuration files.
 .
 * A simple, but flexible, configuration language, supporting several
 of the most commonly needed types of data, along with
 interpolation of strings from the configuration or the system
 environment (e.g. $(HOME)).
 .
 * Subscription-based notification of changes to configuration
 properties.
 .
 * An import directive allows the configuration of a complex
 application to be split across several smaller files, or common
 configuration data to be shared across several applications.
 .
 This package contains the normal library files.

Package: libghc-configurator-doc
Description-md5: 231eaefc0da74eac6c4fd32eb30f5cc1
Description-en: dynamic config file reloading; documentation
 A configuration management library for programs and daemons.
 .
 Features include:
 .
 * Automatic, dynamic reloading in response to modifications to
 configuration files.
 .
 * A simple, but flexible, configuration language, supporting several
 of the most commonly needed types of data, along with
 interpolation of strings from the configuration or the system
 environment (e.g. $(HOME)).
 .
 * Subscription-based notification of changes to configuration
 properties.
 .
 * An import directive allows the configuration of a complex
 application to be split across several smaller files, or common
 configuration data to be shared across several applications.
 .
 This package contains the documentation files.

Package: libghc-configurator-prof
Description-md5: 41006f856601fca1ec29ef3d2460b143
Description-en: dynamic config file reloading; profiling libraries
 A configuration management library for programs and daemons.
 .
 Features include:
 .
 * Automatic, dynamic reloading in response to modifications to
 configuration files.
 .
 * A simple, but flexible, configuration language, supporting several
 of the most commonly needed types of data, along with
 interpolation of strings from the configuration or the system
 environment (e.g. $(HOME)).
 .
 * Subscription-based notification of changes to configuration
 properties.
 .
 * An import directive allows the configuration of a complex
 application to be split across several smaller files, or common
 configuration data to be shared across several applications.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-connection-dev
Description-md5: 337e8365e84cc2c7e338a33746c2c785
Description-en: simple and easy network connections API
 Simple network library for all your connection needs.  This library
 provides a very simple API to create sockets to a destination with
 the choice of SSL/TLS, and SOCKS.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-connection-doc
Description-md5: 26fbaacd6fc87dd712e9434ef88a645a
Description-en: simple and easy network connections API; documentation
 Simple network library for all your connection needs.  This library
 provides a very simple API to create sockets to a destination with
 the choice of SSL/TLS, and SOCKS.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-connection-prof
Description-md5: 013e3ac8466fcdb616b77d2fe5f8a67f
Description-en: simple and easy network connections API; profiling libraries
 Simple network library for all your connection needs.  This library
 provides a very simple API to create sockets to a destination with
 the choice of SSL/TLS, and SOCKS.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-constraints-dev
Description-md5: 3263b264c8706ec93bca239785ebfab3
Description-en: Constraint manipulation
 GHC 7.4 gave us the ability to talk about ConstraintKinds.  This package
 provides a vocabulary for working with them.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-constraints-doc
Description-md5: 37e489328f22d9d469f10d808dcc3713
Description-en: Constraint manipulation; documentation
 GHC 7.4 gave us the ability to talk about ConstraintKinds.  This package
 provides a vocabulary for working with them.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-constraints-prof
Description-md5: 0001e8eedb3b4cd73cdb44ab2d1e7f35
Description-en: Constraint manipulation; profiling libraries
 GHC 7.4 gave us the ability to talk about ConstraintKinds.  This package
 provides a vocabulary for working with them.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-contravariant-dev
Description-md5: 88d140f729df8c93c67b9f4aee02eacb
Description-en: Contravariant functors
 This packages provides a type class for contravariant functors, i.e. those with
 a method contramap :: (a -> b) -> f b -> f a.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-contravariant-doc
Description-md5: 3aa78d31b8e7d8e3b02de301fa777141
Description-en: Contravariant functors; documentation
 This packages provides a type class for contravariant functors, i.e. those with
 a method contramap :: (a -> b) -> f b -> f a.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-contravariant-prof
Description-md5: c528b9778d351af9d1f9f6562cd33ec2
Description-en: Contravariant functors; profiling libraries
 This packages provides a type class for contravariant functors, i.e. those with
 a method contramap :: (a -> b) -> f b -> f a.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-control-monad-free-dev
Description-md5: 68dbc5cdd5419f6d074666fddabe8314
Description-en: free monads
 This package provides datatypes to construct Free monads, Free monad
 transformers, and useful instances. In addition it provides the constructs to
 avoid quadratic complexity of left associative bind, as explained in:
 .
 Janis Voigtlander, Asymptotic Improvement of Computations over Free Monads, MPC'08
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-control-monad-free-doc
Description-md5: 62eee80276bc6288b8512acc250b5cc6
Description-en: free monads; documentation
 This package provides datatypes to construct Free monads, Free monad
 transformers, and useful instances. In addition it provides the constructs to
 avoid quadratic complexity of left associative bind, as explained in:
 .
 Janis Voigtlander, Asymptotic Improvement of Computations over Free Monads, MPC'08
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-control-monad-free-prof
Description-md5: 0aacd95f99ddb5c59b4b1b9dcf5e9b3f
Description-en: free monads; profiling libraries
 This package provides datatypes to construct Free monads, Free monad
 transformers, and useful instances. In addition it provides the constructs to
 avoid quadratic complexity of left associative bind, as explained in:
 .
 Janis Voigtlander, Asymptotic Improvement of Computations over Free Monads, MPC'08
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-control-monad-loop-dev
Description-md5: 43a5039e1e6b4bd24acb488fa051317c
Description-en: simple monad transformer for imperative-style loops
 control-monad-loop is a library of looping constructs with continue and
 exit control flow statements.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-control-monad-loop-doc
Description-md5: 83caeac7ae3f33d2b6812005e57cfc2b
Description-en: simple monad transformer for imperative-style loops; documentation
 control-monad-loop is a library of looping constructs with continue and
 exit control flow statements.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-control-monad-loop-prof
Description-md5: 0cf53cea8466b205de64653d00d1f63a
Description-en: simple monad transformer for imperative-style loops; profiling libraries
 control-monad-loop is a library of looping constructs with continue and
 exit control flow statements.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-convertible-dev
Description-md5: bb307263f02ff761658e0f8241a1a239
Description-en: Typeclasses and instances for converting between types, GHC package
 convertible provides a typeclass with a single function
 that is designed to help convert between different types: numeric
 values, dates and times, and the like.  The conversions perform bounds
 checking and return a pure Either value.  This means that you need
 not remember which specific function performs the conversion you
 desire.
 .
 Also included in the package are optional instances that provide
 conversion for various numeric and time types, as well as utilities
 for writing your own instances.
 .
 Finally, there is a function that will raise an exception on
 bounds-checking violation, or return a bare value otherwise,
 implemented in terms of the safer function described above.
 .
 Convertible is also used by HDBC 2.0 for handling marshalling of
 data to/from databases.
 .
 Convertible is backed by an extensive test suite and passes tests
 on GHC and Hugs.

Package: libghc-convertible-doc
Description-md5: 025b95cff0a348003bae93fca87a6bba
Description-en: Typeclasses and instances for converting between types, documentation
 convertible provides a typeclass with a single function
 that is designed to help convert between different types: numeric
 values, dates and times, and the like.  The conversions perform bounds
 checking and return a pure Either value.  This means that you need
 not remember which specific function performs the conversion you
 desire.
 .
 Also included in the package are optional instances that provide
 conversion for various numeric and time types, as well as utilities
 for writing your own instances.
 .
 Finally, there is a function that will raise an exception on
 bounds-checking violation, or return a bare value otherwise,
 implemented in terms of the safer function described above.
 .
 Convertible is also used by HDBC 2.0 for handling marshalling of
 data to/from databases.
 .
 Convertible is backed by an extensive test suite and passes tests
 on GHC and Hugs.
 .
 This package provides documentation on the Convertible API.

Package: libghc-convertible-prof
Description-md5: f830c5f99e389ed5a075e32d663fe1d6
Description-en: Typeclasses and instances for converting between types, GHC profiling package
 convertible provides a typeclass with a single function
 that is designed to help convert between different types: numeric
 values, dates and times, and the like.  The conversions perform bounds
 checking and return a pure Either value.  This means that you need
 not remember which specific function performs the conversion you
 desire.
 .
 Also included in the package are optional instances that provide
 conversion for various numeric and time types, as well as utilities
 for writing your own instances.
 .
 Finally, there is a function that will raise an exception on
 bounds-checking violation, or return a bare value otherwise,
 implemented in terms of the safer function described above.
 .
 Convertible is also used by HDBC 2.0 for handling marshalling of
 data to/from databases.
 .
 Convertible is backed by an extensive test suite and passes tests
 on GHC and Hugs.

Package: libghc-cookie-dev
Description-md5: 2f51ec644c83edae5b2c928a79cd0453
Description-en: HTTP cookie-parsing and rendering library
 It contains Web.Cookie.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cookie-doc
Description-md5: 0399d9e1f6a3ccad8007d1b25c8be5d5
Description-en: HTTP cookie-parsing and rendering library; documentation
 It contains Web.Cookie.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cookie-prof
Description-md5: 915b6bdf5002608e658b05b33eeb5296
Description-en: HTTP cookie-parsing and rendering library; profiling libraries
 It contains Web.Cookie.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cpphs-dev
Description-md5: 3b2cb0925b5a3b3ea6a82e200f82ec5f
Description-en: cpphs-library for haskell
 This package provides some of the features of cpphs as a haskell library.

Package: libghc-cpphs-doc
Description-md5: c8f3db36d91407ad844c8f900978b59f
Description-en: cpphs-library for haskell; documentation
 This package provides some of the features of cpphs as a haskell library.
  .
 This package contains the API documentation for this library

Package: libghc-cpphs-prof
Description-md5: bc40dccb9141a8b910f82629174be7eb
Description-en: cpphs-library for haskell; profiling libraries
 This package provides some of the features of cpphs as a haskell library.

Package: libghc-cprng-aes-dev
Description-md5: 323f9ad5678a42e0f7ed2df00267dec9
Description-en: pseudo-random number generator using AES in counter mode
 It contains a simple crypto pseudo-random-number-generator with
 really good randomness property.
 .
 Using ent, a randomness property maker on one 1Mb sample:
 Entropy = 7.999837 bits per byte. Optimum compression would reduce
 the size of this 1048576 byte file by 0 percent. Chi square distribution
 for 1048576 samples is 237.02 Arithmetic mean value of data bytes is
 127.3422 (127.5 = random) Monte Carlo value for Pi is 3.143589568
 (error 0.06 percent).
 .
 Compared to urandom with the same sampling: Entropy = 7.999831 bits per
 byte. Optimum compression would reduce the size of this 1048576 byte file
 by 0 percent. Chi square distribution for 1048576 samples is 246.63
 Arithmetic mean value of data bytes is 127.6347 (127.5 = random). Monte
 Carlo value for Pi is 3.132465868 (error 0.29 percent).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cprng-aes-doc
Description-md5: 0b0e5d689db5d0dc7dd4a7b859961f75
Description-en: pseudo-random number generator using AES in counter mode; documentation
  It contains a simple crypto pseudo-random-number-generator with
 really good randomness property.
 .
 Using ent, a randomness property maker on one 1Mb sample:
 Entropy = 7.999837 bits per byte. Optimum compression would reduce
 the size of this 1048576 byte file by 0 percent. Chi square distribution
 for 1048576 samples is 237.02 Arithmetic mean value of data bytes is
 127.3422 (127.5 = random) Monte Carlo value for Pi is 3.143589568
 (error 0.06 percent).
 .
 Compared to urandom with the same sampling: Entropy = 7.999831 bits per
 byte. Optimum compression would reduce the size of this 1048576 byte file
 by 0 percent. Chi square distribution for 1048576 samples is 246.63
 Arithmetic mean value of data bytes is 127.6347 (127.5 = random). Monte
 Carlo value for Pi is 3.132465868 (error 0.29 percent).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cprng-aes-prof
Description-md5: 0228c143110fe45768675a69bd54327d
Description-en: pseudo-random number generator using AES in counter mode; profiling libraries
 It contains a simple crypto pseudo-random-number-generator with
 really good randomness property.
 .
 Using ent, a randomness property maker on one 1Mb sample:
 Entropy = 7.999837 bits per byte. Optimum compression would reduce
 the size of this 1048576 byte file by 0 percent. Chi square distribution
 for 1048576 samples is 237.02 Arithmetic mean value of data bytes is
 127.3422 (127.5 = random) Monte Carlo value for Pi is 3.143589568
 (error 0.06 percent).
 .
 Compared to urandom with the same sampling: Entropy = 7.999831 bits per
 byte. Optimum compression would reduce the size of this 1048576 byte file
 by 0 percent. Chi square distribution for 1048576 samples is 246.63
 Arithmetic mean value of data bytes is 127.6347 (127.5 = random). Monte
 Carlo value for Pi is 3.132465868 (error 0.29 percent).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cpu-dev
Description-md5: 8f4427a51e87ccb61167051ba23152af
Description-en: low-level routines to get basic properties of the platform
 It contains low-level routines to get basic properties of the platform,
 such as endianness and architecture.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cpu-doc
Description-md5: 86c49666723dd818db9caede707bbd1f
Description-en: low-level routines to get basic properties of the platform; documentation
 It contains low-level routines to get basic properties of the platform,
 such as endianness and architecture.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cpu-prof
Description-md5: d290a235eed8d8a9f4eeb834799ad5e7
Description-en: low-level routines to get basic properties of the platform; profiling libraries
 It contains low-level routines to get basic properties of the platform,
 such as endianness and architecture.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cracknum-dev
Description-md5: 6ac69966cb46893e677bbbd63809a53f
Description-en: crack various integer, floating-point data formats
 Display/show/analyze IEEE754 Half-precision, Single-precision, and
 Double-precision values; along with various integer types:
 Signed/Unsigned, 8, 16, 32, 64 bits.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cracknum-doc
Description-md5: 81e0dbfae514ef0a47f6368162741920
Description-en: crack various integer, floating-point data formats; documentation
 Display/show/analyze IEEE754 Half-precision, Single-precision, and
 Double-precision values; along with various integer types:
 Signed/Unsigned, 8, 16, 32, 64 bits.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cracknum-prof
Description-md5: c11be5b002a374e4a1ee245065bebc0c
Description-en: crack various integer, floating-point data formats; profiling libraries
 Display/show/analyze IEEE754 Half-precision, Single-precision, and
 Double-precision values; along with various integer types:
 Signed/Unsigned, 8, 16, 32, 64 bits.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-criterion-dev
Description-md5: 9627f285144ed6557a1fbb495e0c9888
Description-en: Robust, reliable performance measurement and analysis
 This library provides a powerful but simple way to measure the performance of
 Haskell code.  It provides both a framework for executing and analysing
 benchmarks and a set of driver functions that makes it easy to build and run
 benchmarks, and to analyse their results.
 .
 The fastest way to get started is to read the documentation and examples in
 the Criterion.Main module.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-criterion-doc
Description-md5: a0ba6a22a90a4e0ea8bbefd4468d6e3e
Description-en: Robust, reliable performance measurement and analysis; documentation
 This library provides a powerful but simple way to measure the performance of
 Haskell code.  It provides both a framework for executing and analysing
 benchmarks and a set of driver functions that makes it easy to build and run
 benchmarks, and to analyse their results.
 .
 The fastest way to get started is to read the documentation and examples in
 the Criterion.Main module.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-criterion-measurement-dev
Description-md5: e456701b9951b92a8fd9bef79a7a3e48
Description-en: Criterion measurement functionality and associated types
 Measurement-related functionality extracted from Criterion, with
 minimal dependencies. The rationale for this is to enable alternative
 analysis front-ends.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-criterion-measurement-doc
Description-md5: 46bd731fd4d163a62c79de7255893f75
Description-en: Criterion measurement functionality and associated types; documentation
 Measurement-related functionality extracted from Criterion, with
 minimal dependencies. The rationale for this is to enable alternative
 analysis front-ends.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-criterion-measurement-prof
Description-md5: f20d74b25ecb06c2a99f4173b792fcf6
Description-en: Criterion measurement functionality and associated types; profiling libraries
 Measurement-related functionality extracted from Criterion, with
 minimal dependencies. The rationale for this is to enable alternative
 analysis front-ends.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-criterion-prof
Description-md5: 53cc7205089e6057971fc61f945e655d
Description-en: Robust, reliable performance measurement and analysis; profiling libraries
 This library provides a powerful but simple way to measure the performance of
 Haskell code.  It provides both a framework for executing and analysing
 benchmarks and a set of driver functions that makes it easy to build and run
 benchmarks, and to analyse their results.
 .
 The fastest way to get started is to read the documentation and examples in
 the Criterion.Main module.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-api-dev
Description-md5: 502429f4354199bc0710ebe81fb94e95
Description-en: generic interface for cryptographic operations
 A generic interface for cryptographic operations, platform independent
 quality RNG, property tests and known-answer tests (KATs) for common
 algorithms, and a basic benchmark infrastructure. Maintainers of hash
 and cipher implementations are encouraged to add instances for the
 classes defined in Crypto.Classes. Crypto users are similarly
 encouraged to use the interfaces defined in the Classes module. Any
 concepts or functions of general use to more than one cryptographic
 algorithm (ex: padding) is within scope of this package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-api-doc
Description-md5: 58f0e637e687e2732df9fdd6e22a6b08
Description-en: generic interface for cryptographic operations; documentation
 A generic interface for cryptographic operations, platform independent
 quality RNG, property tests and known-answer tests (KATs) for common
 algorithms, and a basic benchmark infrastructure. Maintainers of hash
 and cipher implementations are encouraged to add instances for the
 classes defined in Crypto.Classes. Crypto users are similarly
 encouraged to use the interfaces defined in the Classes module. Any
 concepts or functions of general use to more than one cryptographic
 algorithm (ex: padding) is within scope of this package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-api-prof
Description-md5: 9797f865b9484be2c941bdb4e8930b6b
Description-en: generic interface for cryptographic operations; profiling libraries
 A generic interface for cryptographic operations, platform independent
 quality RNG, property tests and known-answer tests (KATs) for common
 algorithms, and a basic benchmark infrastructure. Maintainers of hash
 and cipher implementations are encouraged to add instances for the
 classes defined in Crypto.Classes. Crypto users are similarly
 encouraged to use the interfaces defined in the Classes module. Any
 concepts or functions of general use to more than one cryptographic
 algorithm (ex: padding) is within scope of this package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-cipher-tests-dev
Description-md5: e6ebf8b7179962b2988ef7a48791e1d0
Description-en: Generic cryptography cipher tests
 This libraries contains generic tests for cryptographic ciphers, and is used
 by the test runners of various Haskell implementations of cryptographic
 ciphers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-cipher-tests-doc
Description-md5: 9a276070f89a3718d5cc3bdd8e3911f5
Description-en: Generic cryptography cipher tests; documentation
 This libraries contains generic tests for cryptographic ciphers, and is used
 by the test runners of various Haskell implementations of cryptographic
 ciphers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-cipher-tests-prof
Description-md5: 2580b88032c58fd48e2f4971ad5a0a13
Description-en: Generic cryptography cipher tests; profiling libraries
 This libraries contains generic tests for cryptographic ciphers, and is used
 by the test runners of various Haskell implementations of cryptographic
 ciphers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-cipher-types-dev
Description-md5: f1ec227a8fa932e138af1db8cbfab792
Description-en: generic cryptographic cipher types
 Basic typeclasses and types for symmetric ciphers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-cipher-types-doc
Description-md5: 22d2109066c61c630467be9f895145e5
Description-en: generic cryptographic cipher types; documentation
 Basic typeclasses and types for symmetric ciphers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-cipher-types-prof
Description-md5: e7b161368bed4a14dd6c880de45ad30e
Description-en: generic cryptographic cipher types; profiling libraries
 Basic typeclasses and types for symmetric ciphers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-numbers-dev
Description-md5: f225056798c3da5e6206639e4c25e095
Description-en: cryptographic numbers: functions and algorithms
 This provides various functions useful to manipulate numbers in a
 cryptographic context.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-numbers-doc
Description-md5: c2e1299165a937db90a170d9e581df9d
Description-en: cryptographic numbers: functions and algorithms; documentation
 This provides various functions useful to manipulate numbers in a
 cryptographic context.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-numbers-prof
Description-md5: 78970da7c1cbf37e738117e1098bfd4d
Description-en: cryptographic numbers: functions and algorithms; profiling libraries
 This provides various functions useful to manipulate numbers in a
 cryptographic context.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-dev
Description-md5: 946ca3c2e33c87ea199984fd7fd38f47
Description-en: public-key cryptography routines
 Public-key cryptographic routines supporting RSA PKCS15, RSA OAEP,
 RSA PSS, DSA, ElGamal signature.  Also includes primitive support for
 Diffie Hellman, and ElGamal encryption.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-doc
Description-md5: 97ade29491164edb72c77d91766cd46b
Description-en: public-key cryptography routines; documentation
 Public-key cryptographic routines supporting RSA PKCS15, RSA OAEP,
 RSA PSS, DSA, ElGamal signature.  Also includes primitive support for
 Diffie Hellman, and ElGamal encryption.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-openssh-dev
Description-md5: f67f608d85b10fc43b7ab8912b5d3f67
Description-en: OpenSSH key codec
 This encodes and decodes OpenSSH public and private keys.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-openssh-doc
Description-md5: 2c6605444ead9c2a5b1d113ba338a999
Description-en: OpenSSH key codec; documentation
 This encodes and decodes OpenSSH public and private keys.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-openssh-prof
Description-md5: 82223faae8a2442efd3a6fae6e88ce9c
Description-en: OpenSSH key codec; profiling libraries
 This encodes and decodes OpenSSH public and private keys.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-prof
Description-md5: 2f4d2bb1f6459d98158aa468f0cbe50b
Description-en: public-key cryptography routines; profiling libraries
 Public-key cryptographic routines supporting RSA PKCS15, RSA OAEP,
 RSA PSS, DSA, ElGamal signature.  Also includes primitive support for
 Diffie Hellman, and ElGamal encryption.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-types-dev
Description-md5: c03d34444780e6bfad14542841e864be
Description-en: generic public-key algorithm types
 It defines generic public-key algorithm types (DH, DSA, ECC, ECDSA, RSA).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-types-doc
Description-md5: cf1a09713b8968ee83508347fe513fa5
Description-en: generic public-key algorithm types; documentation
 It defines generic public-key algorithm types (DH, DSA, ECC, ECDSA, RSA).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-pubkey-types-prof
Description-md5: 912ab643c72affdbb7a14d71866e3257
Description-en: generic public-key algorithm types; profiling libraries
 It defines generic public-key algorithm types (DH, DSA, ECC, ECDSA, RSA).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-random-api-dev
Description-md5: c89e1d1e6d0e16b47eb6cbe5cf6b2225
Description-en: simple random generators API for cryptography related code
 This provides a class of Cryptographic Secure Random generator.
 .
 The main difference with the generic Haskell RNG is that it return bytes
 instead of an integer.
 .
 It is quite similar to the CryptoRandomGen class in crypto-api except
 that error are not returned to the user. Instead the user is suppose to
 handle reseeding by using the NeedReseed and SupplyEntropy methods. For
 other type of errors, the user is expected to generate bytes with the
 parameters bounds explicity defined here.
 .
 The CPRG need to be able to generate up to 2^20 bytes in one call.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-random-api-doc
Description-md5: 478b22d7f7fc15b0764a00170456ba9d
Description-en: simple random generators API for cryptography related code; documentation
 This provides a class of Cryptographic Secure Random generator.
 .
 The main difference with the generic Haskell RNG is that it return bytes
 instead of an integer.
 .
 It is quite similar to the CryptoRandomGen class in crypto-api except
 that error are not returned to the user. Instead the user is suppose to
 handle reseeding by using the NeedReseed and SupplyEntropy methods. For
 other type of errors, the user is expected to generate bytes with the
 parameters bounds explicity defined here.
 .
 The CPRG need to be able to generate up to 2^20 bytes in one call.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-random-api-prof
Description-md5: 51a09a0fbe81135cae1ba17402f0899e
Description-en: simple random generators API for crypto-related code; profiling libraries
 This provides a class of Cryptographic Secure Random generator.
 .
 The main difference with the generic Haskell RNG is that it return bytes
 instead of an integer.
 .
 It is quite similar to the CryptoRandomGen class in crypto-api except
 that error are not returned to the user. Instead the user is suppose to
 handle reseeding by using the NeedReseed and SupplyEntropy methods. For
 other type of errors, the user is expected to generate bytes with the
 parameters bounds explicity defined here.
 .
 The CPRG need to be able to generate up to 2^20 bytes in one call.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-random-dev
Description-md5: 6c9d00f3a77af67dc32ae47aee9056a4
Description-en: simple cryptographic random related types
 Simple cryptographic random related types: a safe abstraction for
 CPRNGs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-random-doc
Description-md5: 8f2c3da4a3b309974be36152c65e0685
Description-en: simple cryptographic random related types; documentation
 Simple cryptographic random related types: a safe abstraction for
 CPRNGs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-crypto-random-prof
Description-md5: 90e4a1e653b785162fed6b6e4880f661
Description-en: simple cryptographic random related types; profiling libraries
 Simple cryptographic random related types: a safe abstraction for
 CPRNGs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptocipher-dev
Description-md5: de547f71320318af7d81efb605ff6a46
Description-en: Symmetrical block and stream ciphers
  This library provides symmetrical block and stream ciphers like RC4, AES,
  Blowfish and Camellia.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptocipher-doc
Description-md5: 3bbfcd64fbe9f9373c7a62f8538e403f
Description-en: Symmetrical block and stream ciphers; documentation
  This library provides symmetrical block and stream ciphers like RC4, AES,
  Blowfish and Camellia.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptocipher-prof
Description-md5: 199cbef0c5c4cfd052ec6e9b87c1905a
Description-en: Symmetrical block and stream ciphers; profiling libraries
  This library provides symmetrical block and stream ciphers like RC4, AES,
  Blowfish and Camellia.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-conduit-dev
Description-md5: 20b3c31349e221e32bb7664468859e8d
Description-en: Conduit interface to cryptohash
 This package supports the cryptohash package, providing conduits as defined in
 the conduits package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-conduit-doc
Description-md5: f01d673cf1a48b9ccf41613beacc37ee
Description-en: Conduit interface to cryptohash; documentation
 This package supports the cryptohash package, providing conduits as defined in
 the conduits package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-conduit-prof
Description-md5: cb3b5af984d9f58a3cabec1c45d38521
Description-en: Conduit interface to cryptohash; profiling libraries
 This package supports the cryptohash package, providing conduits as defined in
 the conduits package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-cryptoapi-dev
Description-md5: cb28974b34f38e8a0f90fd8772c87aeb
Description-en: crypto-api interfaces for cryptohash
 This Haskell library exports the cryptohash interface through crypto-api.
 See libghc-cryptohash-* and libghc-crypto-api-* for more information.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-cryptoapi-doc
Description-md5: 099d06bf61f03b9b8464d80bfeec417c
Description-en: crypto-api interfaces for cryptohash; documentation
 This Haskell library exports the cryptohash interface through crypto-api.
 See libghc-cryptohash-* and libghc-crypto-api-* for more information.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-cryptoapi-prof
Description-md5: 6ad28108b07ed0260f7a601f411561d3
Description-en: crypto-api interfaces for cryptohash; profiling libraries
 This Haskell library exports the cryptohash interface through crypto-api.
 See libghc-cryptohash-* and libghc-crypto-api-* for more information.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-dev
Description-md5: c050efda412a70e9d0d36f7393f35485
Description-en: collection of crypto hashes, fast, pure and practical
 A collection of crypto hashes, with a practical incremental and
 one-pass, pure APIs, with performance close to the fastest
 implementations available in others languages.
 .
 The implementations are made in C with a haskell FFI wrapper that
 hide the C implementation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-doc
Description-md5: bad18a0bf5e2d1921eb53058c11fe9d5
Description-en: collection of crypto hashes, fast, pure and practical; documentation
 A collection of crypto hashes, with a practical incremental and
 one-pass, pure APIs, with performance close to the fastest
 implementations available in others languages.
 .
 The implementations are made in C with a haskell FFI wrapper that
 hide the C implementation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-md5-dev
Description-md5: 70add15a8427d96fd1857c9e4d609b80
Description-en: fast, pure and practical MD5 implementation
 A practical incremental and one-pass, pure API to the
 MD5 hash algorithm (including HMAC support) with performance close to
 the fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that hides the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because cryptohash
 has been deprecated.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-md5-doc
Description-md5: a1d000dc7f34d3a9a1185231249cfaa1
Description-en: fast, pure and practical MD5 implementation; documentation
 A practical incremental and one-pass, pure API to the
 MD5 hash algorithm (including HMAC support) with performance close to
 the fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that hides the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because cryptohash
 has been deprecated.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-md5-prof
Description-md5: 5034a908395f589167c61305f3ed3550
Description-en: fast, pure and practical MD5 implementation; profiling libraries
 A practical incremental and one-pass, pure API to the
 MD5 hash algorithm (including HMAC support) with performance close to
 the fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that hides the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because cryptohash
 has been deprecated.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-prof
Description-md5: df4ca634abf91e8f674df40b4872bb49
Description-en: collection of crypto hashes, fast, pure and practical; profiling libraries
 A collection of crypto hashes, with a practical incremental and
 one-pass, pure APIs, with performance close to the fastest
 implementations available in others languages.
 .
 The implementations are made in C with a haskell FFI wrapper that
 hide the C implementation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-sha1-dev
Description-md5: 874f7b7ab794c386e9b894deb6408792
Description-en: fast, pure and practical SHA-1 implementation
 A practical incremental and one-pass, pure API to the SHA-1 hash
 algorithm (including HMAC spuport) with performance close to the
 fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that hides
 the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because
 cryptohash has been deprecated.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-sha1-doc
Description-md5: 1e4700f0bb38ae693bff8792ed9aaf51
Description-en: fast, pure and practical SHA-1 implementation; documentation
 A practical incremental and one-pass, pure API to the SHA-1 hash
 algorithm (including HMAC spuport) with performance close to the
 fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that hides
 the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because
 cryptohash has been deprecated.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-sha1-prof
Description-md5: 2ab09c68f5965347ea32199370de905e
Description-en: fast, pure and practical SHA-1 implementation; profiling libraries
 A practical incremental and one-pass, pure API to the SHA-1 hash
 algorithm (including HMAC spuport) with performance close to the
 fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that hides
 the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because
 cryptohash has been deprecated.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-sha256-dev
Description-md5: 075044c0b79fcc2a52e367a5e62f206e
Description-en: fast, pure and practical SHA-256 implementation
 A practical incremental and one-pass, pure API to the SHA-256 hash
 algorithm (including HMAC support) with performance close to the
 fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that
 hides the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because
 cryptohash has been deprecated.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-sha256-doc
Description-md5: d07b71b48e2fbedc7535bd3d955ca235
Description-en: fast, pure and practical SHA-256 implementation; documentation
 A practical incremental and one-pass, pure API to the SHA-256 hash
 algorithm (including HMAC support) with performance close to the
 fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that
 hides the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because
 cryptohash has been deprecated.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptohash-sha256-prof
Description-md5: dadc6addd1559107f3d99b6d6d5f2e80
Description-en: fast, pure and practical SHA-256 implementation; profiling libraries
 A practical incremental and one-pass, pure API to the SHA-256 hash
 algorithm (including HMAC support) with performance close to the
 fastest implementations available in other languages.
 .
 The implementation is made in C with a haskell FFI wrapper that
 hides the C implementation.
 .
 NOTE: This package has been forked off cryptohash 0.11.7 because
 cryptohash has been deprecated.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptol-dev
Description-md5: e54962dde2dcda37e29c9514a70f0c8e
Description-en: domain-specific language of cryptography
 Cryptol is a domain-specific language for specifying cryptographic
 algorithms. A Cryptol implementation of an algorithm resembles its
 mathematical specification more closely than an implementation in
 a general purpose language.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptol-doc
Description-md5: a4f102dee8829f71ffaaa2898e14af77
Description-en: domain-specific language of cryptography; documentation
 Cryptol is a domain-specific language for specifying cryptographic
 algorithms. A Cryptol implementation of an algorithm resembles its
 mathematical specification more closely than an implementation in
 a general purpose language.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptol-prof
Description-md5: d733a536b97ad5724700670b176fd936
Description-en: domain-specific language of cryptography; profiling libraries
 Cryptol is a domain-specific language for specifying cryptographic
 algorithms. A Cryptol implementation of an algorithm resembles its
 mathematical specification more closely than an implementation in
 a general purpose language.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptonite-conduit-dev
Description-md5: 81e104056ae8a1379ed7588282117ca6
Description-en: cryptonite conduit
 Conduit bridge for cryptonite
 .
 This package provides a conduit version for hash and HMAC. In the
 feature, it could be extended to also provide cipher conduits.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptonite-conduit-doc
Description-md5: 0f077f902eaf4797519f006afece5c12
Description-en: cryptonite conduit; documentation
 Conduit bridge for cryptonite
 .
 This package provides a conduit version for hash and HMAC. In the
 feature, it could be extended to also provide cipher conduits.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptonite-conduit-prof
Description-md5: ea6c6abb16d54c176cc70204abab53bf
Description-en: cryptonite conduit; profiling libraries
 Conduit bridge for cryptonite
 .
 This package provides a conduit version for hash and HMAC. In the
 feature, it could be extended to also provide cipher conduits.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptonite-dev
Description-md5: 756a6fe15ec714eea895b9ecbb0e4e87
Description-en: cryptographic primitives
 A repository of cryptographic primitives.
  - Symmetric ciphers: AES, DES, 3DES, Blowfish, Camellia, RC4, Salsa,
    ChaCha.
  - Hash: SHA1, SHA2, SHA3, MD2, MD4, MD5, Keccak, Skein, Ripemd,
    Tiger, Whirlpool
  - MAC: HMAC, Poly1305
  - Asymmetric crypto: DSA, RSA, DH, ECDH, ECDSA, ECC, Curve25519,
    Ed25519
  - Key Derivation Function: BCrypt, PBKDF2, Scrypt
  - Cryptographic Random generation: System Entropy, Deterministic
    Random Generator
  - Data-related: Anti-Forensic Information Splitter (AFIS)
 .
 This package strives to be a cryptographic kitchen sink that provides
 cryptography for everyone.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptonite-doc
Description-md5: 2fe4c0feb43b6f44b35339f5a4ecc7ff
Description-en: cryptographic primitives; documentation
 A repository of cryptographic primitives.
  - Symmetric ciphers: AES, DES, 3DES, Blowfish, Camellia, RC4, Salsa,
    ChaCha.
  - Hash: SHA1, SHA2, SHA3, MD2, MD4, MD5, Keccak, Skein, Ripemd,
    Tiger, Whirlpool
  - MAC: HMAC, Poly1305
  - Asymmetric crypto: DSA, RSA, DH, ECDH, ECDSA, ECC, Curve25519,
    Ed25519
  - Key Derivation Function: BCrypt, PBKDF2, Scrypt
  - Cryptographic Random generation: System Entropy, Deterministic
    Random Generator
  - Data-related: Anti-Forensic Information Splitter (AFIS)
 .
 This package strives to be a cryptographic kitchen sink that provides
 cryptography for everyone.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-cryptonite-prof
Description-md5: 9a946d65188eaf9890d257a829b2882f
Description-en: cryptographic primitives; profiling libraries
 A repository of cryptographic primitives.
  - Symmetric ciphers: AES, DES, 3DES, Blowfish, Camellia, RC4, Salsa,
    ChaCha.
  - Hash: SHA1, SHA2, SHA3, MD2, MD4, MD5, Keccak, Skein, Ripemd,
    Tiger, Whirlpool
  - MAC: HMAC, Poly1305
  - Asymmetric crypto: DSA, RSA, DH, ECDH, ECDSA, ECC, Curve25519,
    Ed25519
  - Key Derivation Function: BCrypt, PBKDF2, Scrypt
  - Cryptographic Random generation: System Entropy, Deterministic
    Random Generator
  - Data-related: Anti-Forensic Information Splitter (AFIS)
 .
 This package strives to be a cryptographic kitchen sink that provides
 cryptography for everyone.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-css-text-dev
Description-md5: dafcf754d0a433c6d9046ccc5322e7f6
Description-en: CSS parser and renderer
 It is a CSS parser and renderer.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-css-text-doc
Description-md5: f8dbda44b460122261561684c1ad5375
Description-en: CSS parser and renderer; documentation
 It is a CSS parser and renderer.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-css-text-prof
Description-md5: e1d31244ae7637629e9111993b112e4d
Description-en: CSS parser and renderer; profiling libraries
 It is a CSS parser and renderer.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-csv-conduit-dev
Description-md5: 0f7763d74db23f600ec3b181ad550d55
Description-en: fast, conduit-based CSV parser library
 csv-conduit is a library that provides
 .
  * Full flexibility in quote characters, separators, input/output
  * Constant space operation
  * Robust parsing, correctness and error resiliency
  * Convenient interface that supports a variety of use cases
  * Fast operation
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-csv-conduit-doc
Description-md5: c1ca7ddeeef1edf9bbf569def96b0d5f
Description-en: fast, conduit-based CSV parser library; documentation
 csv-conduit is a library that provides
 .
  * Full flexibility in quote characters, separators, input/output
  * Constant space operation
  * Robust parsing, correctness and error resiliency
  * Convenient interface that supports a variety of use cases
  * Fast operation
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-csv-conduit-prof
Description-md5: bd8e11b28bca6c9eaf6affdc46676829
Description-en: fast, conduit-based CSV parser library; profiling libraries
 csv-conduit is a library that provides
 .
  * Full flexibility in quote characters, separators, input/output
  * Constant space operation
  * Robust parsing, correctness and error resiliency
  * Convenient interface that supports a variety of use cases
  * Fast operation
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-csv-dev
Description-md5: 1d4cfff3210b5f45b6cd668721fa00c2
Description-en: CSV loader and dumper
 This library parses and dumps documents that are formatted according
 to RFC 4180, "The common Format and MIME Type for Comma-Separated
 Values (CSV) Files". This format is used, among many other things,
 as a lingua franca for spreadsheets, and for certain web services.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-csv-doc
Description-md5: 238b66d9f641d778a2b1e684529f61e0
Description-en: CSV loader and dumper; documentation
 This library parses and dumps documents that are formatted according
 to RFC 4180, "The common Format and MIME Type for Comma-Separated
 Values (CSV) Files". This format is used, among many other things,
 as a lingua franca for spreadsheets, and for certain web services.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-csv-prof
Description-md5: 689937b05902f9da066f52b59a4615ad
Description-en: CSV loader and dumper; profiling libraries
 This library parses and dumps documents that are formatted according
 to RFC 4180, "The common Format and MIME Type for Comma-Separated
 Values (CSV) Files". This format is used, among many other things,
 as a lingua franca for spreadsheets, and for certain web services.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-curl-dev
Description-md5: 40357e22411bf08c4f70e0177f907568
Description-en: GHC libraries for the libcurl Haskell bindings
 .
 libcurl is a client-side URL transfer library, supporting FTP, FTPS, HTTP,
 HTTPS, SCP, SFTP, TFTP, TELNET, DICT, LDAP, LDAPS and FILE. libcurl supports
 SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload,
 proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate,
 Kerberos4), file transfer resume, http proxy tunneling and more!
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-curl-doc
Description-md5: b1ddadde78cd6317214821c9d41e5eb9
Description-en: Documentation for the libcurl Haskell bindings; documentation
 .
 libcurl is a client-side URL transfer library, supporting FTP, FTPS, HTTP,
 HTTPS, SCP, SFTP, TFTP, TELNET, DICT, LDAP, LDAPS and FILE. libcurl supports
 SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload,
 proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate,
 Kerberos4), file transfer resume, http proxy tunneling and more!
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-curl-prof
Description-md5: c49b1c10c6fc8262339fc05187a22d54
Description-en: Profiling libraries for the libcurl Haskell bindings; profiling libraries
 .
 libcurl is a client-side URL transfer library, supporting FTP, FTPS, HTTP,
 HTTPS, SCP, SFTP, TFTP, TELNET, DICT, LDAP, LDAPS and FILE. libcurl supports
 SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload,
 proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate,
 Kerberos4), file transfer resume, http proxy tunneling and more!
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-curry-base-dev
Description-md5: 427825aebd934cd26009ceb407ea22c9
Description-en: Functions for manipulating Curry programs
 This package serves as a foundation for Curry compilers.
 It defines the intermediate language formats FlatCurry and
 ExtendedFlat. Additionally, it provides functionality
 for the smooth integration of compiler frontends and backends.
 .
 This package provides a library for the Haskell programming language.
 See http:///www.haskell.org/ for more information on Haskell.

Package: libghc-curry-base-doc
Description-md5: a32189568584924cd7103a55f36247a4
Description-en: Functions for manipulating Curry programs; documentation
 This package serves as a foundation for Curry compilers.
 It defines the intermediate language formats FlatCurry and
 ExtendedFlat. Additionally, it provides functionality
 for the smooth integration of compiler frontends and backends.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http:///www.haskell.org/ for more information on Haskell.

Package: libghc-curry-base-prof
Description-md5: 9a1d040d898623e4d3b56d5bd918f526
Description-en: Functions for manipulating Curry programs; profiling libraries
 This package serves as a foundation for Curry compilers.
 It defines the intermediate language formats FlatCurry and
 ExtendedFlat. Additionally, it provides functionality
 for the smooth integration of compiler frontends and backends.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http:///www.haskell.org/ for more information on Haskell.

Package: libghc-curry-frontend-dev
Description-md5: 282b6eaaa411d17047e00c06e2e2426d
Description-en: Lexer, parser, type checker, etc. for Curry
 The Curry Frontend consists of the executable program
 "curry-frontend". It is used by various backends to
 compile programs written in the functional logic language
 Curry to various intermediate representations.
 .
 For further information, please check
 http://curry-language.org
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-curry-frontend-doc
Description-md5: 9e0aaabf835f2f48658cb3b4bf99abac
Description-en: Lexer, parser, type checker, etc. for Curry; documentation
 The Curry Frontend consists of the executable program
 "curry-frontend". It is used by various backends to
 compile programs written in the functional logic language
 Curry to various intermediate representations.
 .
 For further information, please check
 http://curry-language.org
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-curry-frontend-prof
Description-md5: aaae55e70a38b7a52f5822115fb5ad6d
Description-en: Lexer, parser, type checker, etc. for Curry; profiling libraries
 The Curry Frontend consists of the executable program
 "curry-frontend". It is used by various backends to
 compile programs written in the functional logic language
 Curry to various intermediate representations.
 .
 For further information, please check
 http://curry-language.org
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-accessor-dev
Description-md5: 2daaf54a0bdc0b9827484b4d724de070
Description-en: Utilities for accessing and manipulating fields of records
 With this library you can define record field accessors which allow
 setting, getting and modifying values easily. You can combine accessors
 of a record and sub-records to make the access look like the fields
 of the sub-record belong to the main record.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-accessor-doc
Description-md5: 8d56374d5339c0071119c75a6639d746
Description-en: Utilities for accessing and manipulating fields of records; documentation
 With this library you can define record field accessors which allow
 setting, getting and modifying values easily. You can combine accessors
 of a record and sub-records to make the access look like the fields
 of the sub-record belong to the main record.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-accessor-mtl-dev
Description-md5: aec1deb64b5ef53c63ff4e9e355ba08c
Description-en: use Data.Accessor inside the State monad.
 This Haskell library provides methods to access the state in a State monad by
 means of Data.Accessor getters, setters and modifiers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-accessor-mtl-doc
Description-md5: 0fb4e0789e36f1b7e2ded33254438dbd
Description-en: use Data.Accessor inside the State monad; documentation
 This Haskell library provides methods to access the state in a State monad by
 means of Data.Accessor getters, setters and modifiers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-accessor-mtl-prof
Description-md5: fb16f42df0666c1eb59e014054c93d7d
Description-en: use Data.Accessor inside the State monad; profiling libraries
 This Haskell library provides methods to access the state in a State monad by
 means of Data.Accessor getters, setters and modifiers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-accessor-prof
Description-md5: 8ce30c4a749abe85d270bfaaa3b72639
Description-en: Utilities for accessing and manipulating fields of records; profiling libraries
 With this library you can define record field accessors which allow
 setting, getting and modifying values easily. You can combine accessors
 of a record and sub-records to make the access look like the fields
 of the sub-record belong to the main record.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-binary-ieee754-dev
Description-md5: f1be32054026ce42544dff361a567278
Description-en: parser/serialiser for IEEE-754 floating-point values
 It converts Float and Decimal values to and from raw octets.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-binary-ieee754-doc
Description-md5: cdba8f0fee89d76f8940429524ff64cc
Description-en: parser/serialiser for IEEE-754 floating-point values; documentation
 It converts Float and Decimal values to and from raw octets.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-binary-ieee754-prof
Description-md5: fec838651c57d198d2e95c728ab4fbc4
Description-en: parser/serialiser for IEEE-754 floating-point values; profiling libraries
 It converts Float and Decimal values to and from raw octets.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-clist-dev
Description-md5: 7c8fe3aaabad2e637e1d8116e7b712b7
Description-en: Simple functional ring type
 Simple functional bidirectional ring type in Haskell.
 .
 Given that the ring terminiology clashes with certain
 mathematical branches, we're using the term CList or
 CircularList instead.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-clist-doc
Description-md5: 9290d6f32c29586d956dc057d275994b
Description-en: Simple functional ring type; documentation
 Simple functional bidirectional ring type in Haskell.
 .
 Given that the ring terminiology clashes with certain
 mathematical branches, we're using the term CList or
 CircularList instead.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-clist-prof
Description-md5: bbf47e26b6fb7c9a5ac8e5af6501768c
Description-en: Simple functional ring type; profiling libraries
 Simple functional bidirectional ring type in Haskell.
 .
 Given that the ring terminiology clashes with certain
 mathematical branches, we're using the term CList or
 CircularList instead.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-class-dev
Description-md5: c748de8287b67b557fd6308c4d0a84e5
Description-en: type class for default values
 This package defines a type class Default for types with a default value.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-class-doc
Description-md5: c8b20feef2840e34359c6f3f245ea7ac
Description-en: type class for default values; documentation
 This package defines a type class Default for types with a default value.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-class-prof
Description-md5: 370cbc845118f72d54908e35c33c9331
Description-en: type class for default values; profiling libraries
 This package defines a type class Default for types with a default value.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-dev
Description-md5: 60f16b651a70df6a80bfb6def08b28db
Description-en: class for types with a default value
 It provides a class for types with a default value.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-doc
Description-md5: 1bb51ca708185a4e5af0c210fb0ea14d
Description-en: class for types with a default value; documentation
 It provides a class for types with a default value.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-base-dev
Description-md5: 7d541ccfb306b18d51db5177f718d586
Description-en: default instances for types in base
 This module defines Default instances for the types Int, Int8, Int16,
 Int32, Int64, Word, Word8, Word16, Word32, Word64, Integer, Float,
 Double, Ratio, Complex, '(->)', IO, Maybe, '()', '[]', Ordering, Any,
 All, Last, First, Sum, Product, Endo, Dual, and tuples.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-base-doc
Description-md5: 67523ef999755b94ef38e98bbc57cac0
Description-en: default instances for types in base; documentation
 This module defines Default instances for the types Int, Int8, Int16,
 Int32, Int64, Word, Word8, Word16, Word32, Word64, Integer, Float,
 Double, Ratio, Complex, '(->)', IO, Maybe, '()', '[]', Ordering, Any,
 All, Last, First, Sum, Product, Endo, Dual, and tuples.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-base-prof
Description-md5: 658e4c999422b7e70beb988ec7a69ad1
Description-en: default instances for types in base; profiling libraries
 This module defines Default instances for the types Int, Int8, Int16,
 Int32, Int64, Word, Word8, Word16, Word32, Word64, Integer, Float,
 Double, Ratio, Complex, '(->)', IO, Maybe, '()', '[]', Ordering, Any,
 All, Last, First, Sum, Product, Endo, Dual, and tuples.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-containers-dev
Description-md5: cdee040cfaa3a6b5bd7289a830901b0d
Description-en: default instances for types in containers
 The included module defines Default instances for the types Set, Map,
 IntMap, IntSet, Seq, and Tree.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-containers-doc
Description-md5: a867f723039474068449f44e8ca7d51b
Description-en: default instances for types in containers; documentation
 The included module defines Default instances for the types Set, Map,
 IntMap, IntSet, Seq, and Tree.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-containers-prof
Description-md5: a4182a8eb2089a8a33bced223682b544
Description-en: default instances for types in containers; profiling libraries
 The included module defines Default instances for the types Set, Map,
 IntMap, IntSet, Seq, and Tree.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-dlist-dev
Description-md5: 7f0cd9bb888a7048b025ed2f1a81f4aa
Description-en: default instances for types in dlist
 The included module defines Default instances for the type DList.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-dlist-doc
Description-md5: f6f2c62c0ae0e5727ddf4d649fbb84c1
Description-en: default instances for types in dlist; documentation
 The included module defines Default instances for the type DList.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-dlist-prof
Description-md5: c1a2ca3ecb1144427c90ad8110d2a2bc
Description-en: default instances for types in dlist; profiling libraries
 The included module defines Default instances for the type DList.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-old-locale-dev
Description-md5: c9cb59c25f8ac29e52e5119f9167d9d8
Description-en: default instances for types in old-locale
 The included module defines Default instances for the type TimeLocale.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-old-locale-doc
Description-md5: e855e9002ea3f48c0dc1343ade361fa6
Description-en: default instances for types in old-locale; documentation
 The included module defines Default instances for the type TimeLocale.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-instances-old-locale-prof
Description-md5: a30e084c24002649f1687332d7aed1cd
Description-en: default instances for types in old-locale; profiling libraries
 The included module defines Default instances for the type TimeLocale.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-default-prof
Description-md5: e0761fffda8bf3f8b067e955d8e0ac2f
Description-en: class for types with a default value; profiling libraries
 It provides a class for types with a default value.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-hash-dev
Description-md5: 1cef36c31b05f520337eb369a545310b
Description-en: combinators for building fast hashing functions.
 Combinators for building fast hashing functions. Includes hashing functions
 for all basic Haskell98 types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-hash-doc
Description-md5: 814b73b7e349095b57128ec46b5ebd3c
Description-en: combinators for building fast hashing functions.; documentation
 Combinators for building fast hashing functions. Includes hashing functions
 for all basic Haskell98 types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-hash-prof
Description-md5: df4592d59150957e20e26e7997d4dfe9
Description-en: combinators for building fast hashing functions.; profiling libraries
 Combinators for building fast hashing functions. Includes hashing functions
 for all basic Haskell98 types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-inttrie-dev
Description-md5: b566ac37359895d8467e74ab226d8129
Description-en: Lazy, infinite trie of integers library
 It implements a simple, lazy, infinite trie from integers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-inttrie-doc
Description-md5: 7f7f2c5523dc727d4d4dbda309dc6db1
Description-en: Lazy, infinite trie of integers library; documentation
 It implements a simple, lazy, infinite trie from integers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-inttrie-prof
Description-md5: c512c2de00864aead6326f43a0d0a66b
Description-en: Lazy, infinite trie of integers library; profiling libraries
 It implements a simple, lazy, infinite trie from integers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-memocombinators-dev
Description-md5: 08a355de096fcd245869bc4428a037a1
Description-en: Combinators for building memo tables library
 It contains combinators for building memo tables.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-memocombinators-doc
Description-md5: a9de367bc8e1226fc75650776dc123e3
Description-en: Combinators for building memo tables library; documentation
 It contains combinators for building memo tables.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-memocombinators-prof
Description-md5: ad1004c5d058d26b2b5b22dbe4c3bf5d
Description-en: Combinators for building memo tables library; profiling libraries
 It contains combinators for building memo tables.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-ordlist-dev
Description-md5: f5d3c6c4cf044ad6a3952a2e8a1309e2
Description-en: Set and bag operations on ordered lists
 This module provides set and multiset operations on ordered lists.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-ordlist-doc
Description-md5: 9d5836ab7a7e5aaeb8d96a9dd302841e
Description-en: Set and bag operations on ordered lists; documentation
 This module provides set and multiset operations on ordered lists.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-data-ordlist-prof
Description-md5: 3bef26d5446a270e7ea12b562701db55
Description-en: Set and bag operations on ordered lists; profiling libraries
 This module provides set and multiset operations on ordered lists.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dav-dev
Description-md5: 3b7b6035e09249da6a396d22594d7c14
Description-en: RFC 4918 WebDAV support
 This is a library for the Web Distributed Authoring and Versioning
 (WebDAV) extensions to HTTP.  At present it supports a very small
 subset of client functionality.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dav-doc
Description-md5: 806873426d1ff506bd04c290f84eede1
Description-en: RFC 4918 WebDAV support; documentation
 This is a library for the Web Distributed Authoring and Versioning
 (WebDAV) extensions to HTTP.  At present it supports a very small
 subset of client functionality.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dav-prof
Description-md5: 6dfc1795ccbea6e6235f1e50d2ab8729
Description-en: RFC 4918 WebDAV support; profiling libraries
 This is a library for the Web Distributed Authoring and Versioning
 (WebDAV) extensions to HTTP.  At present it supports a very small
 subset of client functionality.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dbus-dev
Description-md5: e3b02e9a193a4ea6ef5a50573c95e537
Description-en: Haskell implementation of D-Bus
 D-Bus is a simple, message-based protocol for inter-process communication,
 which allows applications to interact with other parts of the machine and
 the user's session using remote procedure calls.
 .
 haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can
 be used to add D-Bus support to Haskell applications, without the awkward
 interfaces common to foreign bindings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dbus-doc
Description-md5: 52476b556c4ba822df8c8b730fbef083
Description-en: Haskell implementation of D-Bus; documentation
 D-Bus is a simple, message-based protocol for inter-process communication,
 which allows applications to interact with other parts of the machine and
 the user's session using remote procedure calls.
 .
 haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can
 be used to add D-Bus support to Haskell applications, without the awkward
 interfaces common to foreign bindings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dbus-hslogger-dev
Description-md5: 2d77f3e08fba83f3d3e4e290c6b21407
Description-en: expose a dbus server to control hslogger
 dbus-hslogger exports:
 setLogLevel, setLogLevelMethodCall, maybeToEither,
 setLogLevelFromPriorityString, setLogLevel, logInterface,
 logPath, startLogServer, and logIntrospectionInterface.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dbus-hslogger-doc
Description-md5: ed96550b501a297e68c43b2e1bcee5ab
Description-en: expose a dbus server to control hslogger; documentation
 dbus-hslogger exports:
 setLogLevel, setLogLevelMethodCall, maybeToEither,
 setLogLevelFromPriorityString, setLogLevel, logInterface,
 logPath, startLogServer, and logIntrospectionInterface.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dbus-hslogger-prof
Description-md5: 3f9844e2b45b2002899e75431f804556
Description-en: expose a dbus server to control hslogger; profiling libraries
 dbus-hslogger exports:
 setLogLevel, setLogLevelMethodCall, maybeToEither,
 setLogLevelFromPriorityString, setLogLevel, logInterface,
 logPath, startLogServer, and logIntrospectionInterface.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dbus-prof
Description-md5: 23ac35eb60e06e1b7678302ed1909eef
Description-en: Haskell implementation of D-Bus; profiling libraries
 D-Bus is a simple, message-based protocol for inter-process communication,
 which allows applications to interact with other parts of the machine and
 the user's session using remote procedure calls.
 .
 haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can
 be used to add D-Bus support to Haskell applications, without the awkward
 interfaces common to foreign bindings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-debian-dev
Description-md5: 3480d92c17c5aad18a997079bd60327f
Description-en: Haskell library for working with the Debian package system
 This library includes modules covering almost every aspect of the Debian
 packaging system, including low level data types such as version numbers
 and dependency relations, on up to the types necessary for computing and
 installing build dependencies, building source and binary packages,
 and inserting them into a repository.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-debian-doc
Description-md5: 4b546e08b204372b5d15b5f6527d23a2
Description-en: Documentation for Debian package system library; documentation
 This library includes modules covering almost every aspect of the Debian
 packaging system, including low level data types such as version numbers
 and dependency relations, on up to the types necessary for computing and
 installing build dependencies, building source and binary packages,
 and inserting them into a repository.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-debian-prof
Description-md5: 5552c1e47b47dbc06b3951992e20fc47
Description-en: Profiling library for working with the Debian package system; profiling libraries
 This library includes modules covering almost every aspect of the Debian
 packaging system, including low level data types such as version numbers
 and dependency relations, on up to the types necessary for computing and
 installing build dependencies, building source and binary packages,
 and inserting them into a repository.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dec-dev
Description-md5: 70d0377493c12db616bdbc4b63c5a322
Description-en: decidable propositions
 This package provides a Dec type for decidable (nullary) relations.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dec-doc
Description-md5: cf253dba218eb47854d3ef07fba920ef
Description-en: decidable propositions; documentation
 This package provides a Dec type for decidable (nullary) relations.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dec-prof
Description-md5: aa60b42951fcbbec7d55f7bda15b9276
Description-en: decidable propositions; profiling libraries
 This package provides a Dec type for decidable (nullary) relations.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-decimal-dev
Description-md5: ce38de3aeeb9895cf49b8232e9c7360a
Description-en: decimal numbers with variable precision
 Library for manipulating decimal numebers, primary for financial arithmetic.
 .
 Decimal number has an integer mantissa and a negative
 exponent. The exponent can be interpreted as the number
 of decimal places in the value.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-decimal-doc
Description-md5: 45a761b6ff27915b6f3e80f36db3078d
Description-en: decimal numbers with variable precision; documentation
 Library for manipulating decimal numebers, primary for financial arithmetic.
 .
 Decimal number has an integer mantissa and a negative
 exponent. The exponent can be interpreted as the number
 of decimal places in the value.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-decimal-prof
Description-md5: 56c568d6312dc78f014ef42623850c75
Description-en: decimal numbers with variable precision; profiling libraries
 Library for manipulating decimal numebers, primary for financial arithmetic.
 .
 Decimal number has an integer mantissa and a negative
 exponent. The exponent can be interpreted as the number
 of decimal places in the value.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-deepseq-generics-dev
Description-md5: 12608522ab6f067c89e279a920a848c8
Description-en: GHC.Generics-based Control.DeepSeq.rnf implementation
 This package provides a "GHC.Generics"-based
 'Control.DeepSeq.Generics.genericRnf' function which can be used
 for providing a 'rnf' implementation. See the documentation for
 the 'genericRnf' function in the "Control.DeepSeq.Generics" module
 to get started.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-deepseq-generics-doc
Description-md5: d274a9c7f9efaee6230dd5c9aabb5151
Description-en: GHC.Generics-based Control.DeepSeq.rnf implementation; documentation
 This package provides a "GHC.Generics"-based
 'Control.DeepSeq.Generics.genericRnf' function which can be used
 for providing a 'rnf' implementation. See the documentation for
 the 'genericRnf' function in the "Control.DeepSeq.Generics" module
 to get started.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-deepseq-generics-prof
Description-md5: 832f216a60235b038a5663eccdfbfe40
Description-en: GHC.Generics-based Control.DeepSeq.rnf implementation; profiling libraries
 This package provides a "GHC.Generics"-based
 'Control.DeepSeq.Generics.genericRnf' function which can be used
 for providing a 'rnf' implementation. See the documentation for
 the 'genericRnf' function in the "Control.DeepSeq.Generics" module
 to get started.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dense-linear-algebra-dev
Description-md5: c1dfd0035a2c0b5556083ac3301a76a2
Description-en: pure Haskell implementation of linear algebra
 This library is a simple and incomplete collection of linear-algebra
 related modules split out from the statistics library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dense-linear-algebra-doc
Description-md5: ffd0e21d35a01f4d8772a51d549b4989
Description-en: pure Haskell implementation of linear algebra; documentation
 This library is a simple and incomplete collection of linear-algebra
 related modules split out from the statistics library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dense-linear-algebra-prof
Description-md5: eef1cbe1bed3061074ca67360b155d7d
Description-en: pure Haskell implementation of linear algebra; profiling libraries
 This library is a simple and incomplete collection of linear-algebra
 related modules split out from the statistics library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-map-dev
Description-md5: f782293ec3780721c2794fff6a659638
Description-en: dependent finite maps
 This package provides a basic dependent sum type. The first component is a tag
 that specifies the type of the second.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-map-doc
Description-md5: ebf3d521c7ad83145d1aa7d29ed49318
Description-en: dependent finite maps; documentation
 This package provides a basic dependent sum type. The first component is a tag
 that specifies the type of the second.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-map-prof
Description-md5: 9180e0cb95acb45d95622d95b11765eb
Description-en: dependent finite maps; profiling libraries
 This package provides a basic dependent sum type. The first component is a tag
 that specifies the type of the second.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-sum-dev
Description-md5: 44266b1bc2fd61aa09f458dbee73e939
Description-en: Dependent sum type
 Dependent sums and supporting typeclasses for comparing and formatting them.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-sum-doc
Description-md5: 3e14b36595c70457ce187984ba6132f5
Description-en: Dependent sum type; documentation
 Dependent sums and supporting typeclasses for comparing and formatting them.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-sum-prof
Description-md5: a6b699b8fb973a10f2e8056d719fcb59
Description-en: Dependent sum type; profiling libraries
 Dependent sums and supporting typeclasses for comparing and formatting them.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-sum-template-dev
Description-md5: eccec447be0c52a323c891da96629b76
Description-en: Automatically generate instances of classes in dependent-sum package
 Using the Template Haskell code in this package, you can create instances for
 classes liek GEq and GCompare automatically.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-sum-template-doc
Description-md5: ea06f8416755f22382ec2bb8da4083d1
Description-en: Automatically generate instances of classes in dependent-sum package; documentation
 Using the Template Haskell code in this package, you can create instances for
 classes liek GEq and GCompare automatically.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dependent-sum-template-prof
Description-md5: 33779259d28772d25b894b37f106f253
Description-en: Automatically generate instances of classes in dependent-sum package; profiling libraries
 Using the Template Haskell code in this package, you can create instances for
 classes liek GEq and GCompare automatically.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-derive-dev
Description-md5: 2b8fe6aed1ec1976a182944d8acdb5be
Description-en: Deriving instances for data types in Haskell
 Data.Derive is a library and a tool for deriving instances for Haskell
 programs.  It is designed to work with custom derivations, SYB and
 Template Haskell mechanisms.  The tool requires GHC, but the generated
 code is portable to all compilers.  This tool can be regarded as a
 competitor to DrIFT.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-derive-doc
Description-md5: c63392aa851a89967e3fdcd2ca99c54c
Description-en: Deriving instances for data types in Haskell; documentation
 Data.Derive is a library and a tool for deriving instances for Haskell
 programs.  It is designed to work with custom derivations, SYB and
 Template Haskell mechanisms.  The tool requires GHC, but the generated
 code is portable to all compilers.  This tool can be regarded as a
 competitor to DrIFT.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-derive-prof
Description-md5: da2b2c91f2ea863d87bc05397e391781
Description-en: Deriving instances for data types in Haskell; profiling libraries
 Data.Derive is a library and a tool for deriving instances for Haskell
 programs.  It is designed to work with custom derivations, SYB and
 Template Haskell mechanisms.  The tool requires GHC, but the generated
 code is portable to all compilers.  This tool can be regarded as a
 competitor to DrIFT.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-deriving-compat-dev
Description-md5: 41cb30626ed88bc970cba712108487f6
Description-en: backports of GHC deriving extensions
 Provides Template Haskell functions that mimic deriving
 extensions that were introduced or modified in recent versions
 of GHC.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-deriving-compat-doc
Description-md5: 3a7030964da707100050f0fad818c2ff
Description-en: backports of GHC deriving extensions; documentation
 Provides Template Haskell functions that mimic deriving
 extensions that were introduced or modified in recent versions
 of GHC.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-deriving-compat-prof
Description-md5: 92a9036dba9b496df8370c9baf807d6c
Description-en: backports of GHC deriving extensions; profiling libraries
 Provides Template Haskell functions that mimic deriving
 extensions that were introduced or modified in recent versions
 of GHC.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-descriptive-dev
Description-md5: b65c368ce8586b4692b77fb0169f44e5
Description-en: Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.
 There are a variety of Haskell libraries which are implementable
 through a common interface: self-describing parsers:
 .
  * A formlet is a self-describing parser.
  * A regular old text parser can be self-describing.
  * A command-line options parser is a self-describing parser.
  * A MUD command set is a self-describing parser.
  * A JSON API can be a self-describing parser.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-descriptive-doc
Description-md5: 48da21d80abf2fe925fad4f246d729d7
Description-en: Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.; documentation
 There are a variety of Haskell libraries which are implementable
 through a common interface: self-describing parsers:
 .
  * A formlet is a self-describing parser.
  * A regular old text parser can be self-describing.
  * A command-line options parser is a self-describing parser.
  * A MUD command set is a self-describing parser.
  * A JSON API can be a self-describing parser.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-descriptive-prof
Description-md5: aac2f35e9c24a7201db1d613f8a1376e
Description-en: Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.; profiling libraries
 There are a variety of Haskell libraries which are implementable
 through a common interface: self-describing parsers:
 .
  * A formlet is a self-describing parser.
  * A regular old text parser can be self-describing.
  * A command-line options parser is a self-describing parser.
  * A MUD command set is a self-describing parser.
  * A JSON API can be a self-describing parser.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dhall-dev
Description-md5: 2d9c0566a374ad21fc4f091b93b748e0
Description-en: configuration language guaranteed to terminate
 Dhall is an explicitly-typed configuration language that is not Turing
 complete.  Despite being Turing-incomplete, Dhall is a real programming
 language with a type-checker and evaluator.
 .
 Use this library to parse, type-check, evaluate, and pretty-print the Dhall
 configuration language.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dhall-doc
Description-md5: 9aa16848215f7a53004ed3305e955194
Description-en: configuration language guaranteed to terminate; documentation
 Dhall is an explicitly-typed configuration language that is not Turing
 complete.  Despite being Turing-incomplete, Dhall is a real programming
 language with a type-checker and evaluator.
 .
 Use this library to parse, type-check, evaluate, and pretty-print the Dhall
 configuration language.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dhall-prof
Description-md5: 9cbdf5116384429ab70d441a93a87c23
Description-en: configuration language guaranteed to terminate; profiling libraries
 Dhall is an explicitly-typed configuration language that is not Turing
 complete.  Despite being Turing-incomplete, Dhall is a real programming
 language with a type-checker and evaluator.
 .
 Use this library to parse, type-check, evaluate, and pretty-print the Dhall
 configuration language.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-cairo-dev
Description-md5: fd26bbf92a45e9384c402b6ad6a1f0f0
Description-en: Cairo backend for the diagrams drawing EDSL
 A full-featured backend for rendering diagrams using the cairo rendering
 engine. To get started, see "Diagrams.Backend.Cairo.CmdLine".
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-cairo-doc
Description-md5: 85d709dfb66a438f54a294e9d6f26edd
Description-en: Cairo backend for the diagrams drawing EDSL; documentation
 A full-featured backend for rendering diagrams using the cairo rendering
 engine. To get started, see "Diagrams.Backend.Cairo.CmdLine".
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-cairo-prof
Description-md5: e424030d69d64e2331569374e83c18d8
Description-en: Cairo backend for the diagrams drawing EDSL; profiling libraries
 A full-featured backend for rendering diagrams using the cairo rendering
 engine. To get started, see "Diagrams.Backend.Cairo.CmdLine".
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-core-dev
Description-md5: 12c0597256c440de058c15daf2b2b7e6
Description-en: Core libraries for diagrams EDSL
 The core modules underlying diagrams, an embedded domain-specific language for
 compositional, declarative drawing.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-core-doc
Description-md5: db22b560cfa24d6789706ddbe2c7fa20
Description-en: Core libraries for diagrams EDSL; documentation
 The core modules underlying diagrams, an embedded domain-specific language for
 compositional, declarative drawing.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-core-prof
Description-md5: d35ce389103533f466a2655f62eecf57
Description-en: Core libraries for diagrams EDSL; profiling libraries
 The core modules underlying diagrams, an embedded domain-specific language for
 compositional, declarative drawing.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-dev
Description-md5: 382637aaf02c8a3e712915d7274ce01c
Description-en: embedded domain-specific language for declarative vector graphics
 Diagrams is an embedded domain-specific language for declarative vector
 graphics.  This metapackage is just a wrapper that depends on the
 diagrams-core, diagrams-lib, and diagrams-cairo packages.

Package: libghc-diagrams-doc
Description-md5: 49801fef60186b052445e238eb6f322e
Description-en: Embedded domain-specific language for declarative vector graphics
 Diagrams is an embedded domain-specific language for declarative vector
 graphics.  This metapackage is just a wrapper that depends on the
 diagrams-core, diagrams-lib, and diagrams-cairo packages.

Package: libghc-diagrams-gtk-dev
Description-md5: 164e6e6669c249fd06cb2e15a3ad7003
Description-en: Backend for rendering diagrams directly to GTK windows
 An optional add-on to the diagrams-cairo package which allows rendering
 diagrams directly to GTK windows.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-gtk-doc
Description-md5: a3436dbd2676cd0e80da86b389629f08
Description-en: Backend for rendering diagrams directly to GTK windows; documentation
 An optional add-on to the diagrams-cairo package which allows rendering
 diagrams directly to GTK windows.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-gtk-prof
Description-md5: 877e6d319c21a2758f297b87c372389a
Description-en: Backend for rendering diagrams directly to GTK windows; profiling libraries
 An optional add-on to the diagrams-cairo package which allows rendering
 diagrams directly to GTK windows.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-lib-dev
Description-md5: 121c4f37dcb4b3cb6df70c2c4facf80a
Description-en: Embedded domain-specific language for declarative graphics
 Diagrams is a flexible, extensible EDSL for creating graphics of many types.
 Graphics can be created in arbitrary vector spaces and rendered with multiple
 backends.  diagrams-lib provides a standard library of primitives and
 operations for creating diagrams.  To get started using it, see
 "Diagrams.Prelude".
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-lib-doc
Description-md5: c63c4e5af6360828aea7855479d9054d
Description-en: Embedded domain-specific language for declarative graphics; documentation
 Diagrams is a flexible, extensible EDSL for creating graphics of many types.
 Graphics can be created in arbitrary vector spaces and rendered with multiple
 backends.  diagrams-lib provides a standard library of primitives and
 operations for creating diagrams.  To get started using it, see
 "Diagrams.Prelude".
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-lib-prof
Description-md5: c96412c82a33e6a42b98e905a340c266
Description-en: Embedded domain-specific language for declarative graphics; profiling libraries
 Diagrams is a flexible, extensible EDSL for creating graphics of many types.
 Graphics can be created in arbitrary vector spaces and rendered with multiple
 backends.  diagrams-lib provides a standard library of primitives and
 operations for creating diagrams.  To get started using it, see
 "Diagrams.Prelude".
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-prof
Description-md5: 49801fef60186b052445e238eb6f322e
Description-en: Embedded domain-specific language for declarative vector graphics
 Diagrams is an embedded domain-specific language for declarative vector
 graphics.  This metapackage is just a wrapper that depends on the
 diagrams-core, diagrams-lib, and diagrams-cairo packages.

Package: libghc-diagrams-solve-dev
Description-md5: 9df73e98c140ea784ea0ec2b986e2788
Description-en: pure Haskell solver routines used by diagrams
 Pure Haskell solver routines used by the diagrams project.  Currently includes
 finding real roots of low-degree (n < 5) polynomials, and solving tridiagonal
 and cyclic tridiagonal linear systems.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-solve-doc
Description-md5: e8e8e807c7fd9acaffc3f77b252eaa49
Description-en: pure Haskell solver routines used by diagrams; documentation
 Pure Haskell solver routines used by the diagrams project.  Currently includes
 finding real roots of low-degree (n < 5) polynomials, and solving tridiagonal
 and cyclic tridiagonal linear systems.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-solve-prof
Description-md5: 0d2746451d789c7012a4a058ad717137
Description-en: pure Haskell solver routines used by diagrams; profiling libraries
 Pure Haskell solver routines used by the diagrams project.  Currently includes
 finding real roots of low-degree (n < 5) polynomials, and solving tridiagonal
 and cyclic tridiagonal linear systems.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-svg-dev
Description-md5: 92407c4a6a4824131af2edbd15f72393
Description-en: SVG backend for diagrams drawing EDSL
 This package provides a modular backend for rendering diagrams created with
 the diagrams EDSL using SVG. It uses blaze-svg to be a fast, pure-Haskell
 backend.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-svg-doc
Description-md5: 506fcd6d177f21100d4b211d2136493a
Description-en: SVG backend for diagrams drawing EDSL; documentation
 This package provides a modular backend for rendering diagrams created with
 the diagrams EDSL using SVG. It uses blaze-svg to be a fast, pure-Haskell
 backend.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diagrams-svg-prof
Description-md5: c2f435019d1b540ec223c0114ed093e1
Description-en: SVG backend for diagrams drawing EDSL; profiling libraries
 This package provides a modular backend for rendering diagrams created with
 the diagrams EDSL using SVG. It uses blaze-svg to be a fast, pure-Haskell
 backend.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dice-dev
Description-md5: cbbf0e6a11b9bbaffb254388db52fd4b
Description-en: simplistic D&D style dice-rolling system
 Simplistic D&D style dice-rolling system.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dice-doc
Description-md5: 380ac23b3b2368a0e897f78987f1201e
Description-en: simplistic D&D style dice-rolling system; documentation
 Simplistic D&D style dice-rolling system.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dice-entropy-conduit-dev
Description-md5: e2beeb42ce4419123d717f3ffdc73506
Description-en: secure n-sided dice rolls and random sampling
 Haskll library providing cryptographically secure n-sided dice rolls,
 and random sampling within a given range.  The technique of rejection
 sampling is used to obtain the random data.  The number of random
 bits used is close to the optimal bound, information-theoretically
 speaking.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dice-entropy-conduit-doc
Description-md5: 74866f15b2a156d0d1b6214fa580653c
Description-en: secure n-sided dice rolls and random sampling; documentation
 Haskll library providing cryptographically secure n-sided dice rolls,
 and random sampling within a given range.  The technique of rejection
 sampling is used to obtain the random data.  The number of random
 bits used is close to the optimal bound, information-theoretically
 speaking.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dice-entropy-conduit-prof
Description-md5: acad95998b149d3d6644a49a82ec9700
Description-en: secure n-sided dice rolls and random sampling; profiling libraries
 Haskll library providing cryptographically secure n-sided dice rolls,
 and random sampling within a given range.  The technique of rejection
 sampling is used to obtain the random data.  The number of random
 bits used is close to the optimal bound, information-theoretically
 speaking.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dice-prof
Description-md5: 21f1530d971d97083f66676e78b4b812
Description-en: simplistic D&D style dice-rolling system; profiling libraries
 Simplistic D&D style dice-rolling system.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diff-dev
Description-md5: 9356cea2f13d7d0a16e583254cc12081
Description-en: O(ND) diff algorithm library
 This is a Haskell library providing an implementation of the O(ND) diff
 algorithm described in "An O(ND) Difference Algorithm and Its Variations"
 (1986) by Eugene W. Myers. It is O(mn) in space.
 .
 Whilst the algorithm is the same one used by standared Unix diff, the
 assumption is that users of this library will want to diff over interesting
 things or perform interesting tasks with the results.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diff-doc
Description-md5: 9465fd410101b55ad3d5d114b5f42d2c
Description-en: O(ND) diff algorithm library; documentation
 This is a Haskell library providing an implementation of the O(ND) diff
 algorithm described in "An O(ND) Difference Algorithm and Its Variations"
 (1986) by Eugene W. Myers. It is O(mn) in space.
 .
 Whilst the algorithm is the same one used by standared Unix diff, the
 assumption is that users of this library will want to diff over interesting
 things or perform interesting tasks with the results.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-diff-prof
Description-md5: 904df0ac31fd1f7f6c9831b32d6e055a
Description-en: O(ND) diff algorithm library; profiling libraries
 This is a Haskell library providing an implementation of the O(ND) diff
 algorithm described in "An O(ND) Difference Algorithm and Its Variations"
 (1986) by Eugene W. Myers. It is O(mn) in space.
 .
 Whilst the algorithm is the same one used by standared Unix diff, the
 assumption is that users of this library will want to diff over interesting
 things or perform interesting tasks with the results.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-digest-dev
Description-md5: 2ddb128534c28870a85bd637071c1be2
Description-en: efficient cryptographic hash implementations for bytestrings
 This package provides efficient cryptographic hash implementations for strict
 and lazy bytestrings. For now, CRC32 and Adler32 are supported; they are
 implemented as FFI bindings to efficient code from zlib.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-digest-doc
Description-md5: cc09fccbf378a74b17cc1cae6d258660
Description-en: efficient cryptographic hash implementations bytestrings; documentation
 This package provides efficient cryptographic hash implementations for strict
 and lazy bytestrings. For now, CRC32 and Adler32 are supported; they are
 implemented as FFI bindings to efficient code from zlib.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-digest-prof
Description-md5: be7bc9025468827bf9c52635736436ee
Description-en: efficient cryptographic hash implementations bytestrings; profiling libraries
 This package provides efficient cryptographic hash implementations for strict
 and lazy bytestrings. For now, CRC32 and Adler32 are supported; they are
 implemented as FFI bindings to efficient code from zlib.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dimensional-dev
Description-md5: 6754ca71317228b42290394b51b32fef
Description-en: statically checked physical dimensions
 Dimensional is a library providing data types for performing arithmetic
 with physical quantities and units. Information about the physical
 dimensions of the quantities and units is embedded in their types and the
 validity of operations is verified by the type checker at compile time. The
 boxing and unboxing of numerical values as quantities is done by
 multiplication and division with units.
 .
 The library is designed to, as far as is practical, enforce/encourage best
 practices of unit usage.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dimensional-doc
Description-md5: 61881b399d9ddcb37799d1c44d7955e9
Description-en: statically checked physical dimensions; documentation
 Dimensional is a library providing data types for performing arithmetic
 with physical quantities and units. Information about the physical
 dimensions of the quantities and units is embedded in their types and the
 validity of operations is verified by the type checker at compile time. The
 boxing and unboxing of numerical values as quantities is done by
 multiplication and division with units.
 .
 The library is designed to, as far as is practical, enforce/encourage best
 practices of unit usage.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dimensional-prof
Description-md5: cef4d555afb9790d1a853f5995a6b6a1
Description-en: statically checked physical dimensions; profiling libraries
 Dimensional is a library providing data types for performing arithmetic
 with physical quantities and units. Information about the physical
 dimensions of the quantities and units is embedded in their types and the
 validity of operations is verified by the type checker at compile time. The
 boxing and unboxing of numerical values as quantities is done by
 multiplication and division with units.
 .
 The library is designed to, as far as is practical, enforce/encourage best
 practices of unit usage.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-directory-tree-dev
Description-md5: bb44c40eaac360bbf88be931639ca797
Description-en: simple directory-like tree datatype, with IO functions
 A simple directory-like tree datatype, with useful IO functions and Foldable
 and Traversable instance
 .
 Provides a simple data structure mirroring a directory tree on the
 filesystem, as well as useful functions for reading and writing
 file and directory structures in the IO monad.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-directory-tree-doc
Description-md5: bdc2a9d22af4ba1ce97ccdcf4db5c85a
Description-en: simple directory-like tree datatype, with IO functions; documentation
 A simple directory-like tree datatype, with useful IO functions and Foldable
 and Traversable instance
 .
 Provides a simple data structure mirroring a directory tree on the
 filesystem, as well as useful functions for reading and writing
 file and directory structures in the IO monad.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-directory-tree-prof
Description-md5: 56657ffcb5ab194b129f19649cbe88b0
Description-en: simple directory-like tree datatype, with IO functions; profiling libraries
 A simple directory-like tree datatype, with useful IO functions and Foldable
 and Traversable instance
 .
 Provides a simple data structure mirroring a directory tree on the
 filesystem, as well as useful functions for reading and writing
 file and directory structures in the IO monad.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-disk-free-space-dev
Description-md5: 575e52011b7b359b150df231870fcc32
Description-en: retrieve information about disk space usage
 A cross-platform library retrieve information about disk space usage.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-disk-free-space-doc
Description-md5: 0a4cfcc5fe1e8408caaaccbb6165ebfd
Description-en: retrieve information about disk space usage; documentation
 A cross-platform library retrieve information about disk space usage.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-disk-free-space-prof
Description-md5: 3dc1be21164f8fb01601a69fe525f307
Description-en: retrieve information about disk space usage; profiling libraries
 A cross-platform library retrieve information about disk space usage.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-distributive-dev
Description-md5: 5352baa6706d8808e81d70706cfc6716
Description-en: distributive functors
 This package provides a type class for distributive functors. These are the
 dual to traversable functors.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-distributive-doc
Description-md5: 26af9e183da8b6682bee05028decf7e1
Description-en: distributive functors; documentation
 This package provides a type class for distributive functors. These are the
 dual to traversable functors.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-distributive-prof
Description-md5: c2422b929a53ed2f957fcedd68b9466d
Description-en: distributive functors; profiling libraries
 This package provides a type class for distributive functors. These are the
 dual to traversable functors.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-djinn-ghc-dev
Description-md5: 48d756bc77091b6102cba413655e9e80
Description-en: generate Haskell code from a type (bridge to GHC API)
 Djinn uses an theorem prover for intuitionistic propositional logic to generate
 a Haskell expression when given a type.  This is the bridge from djinn-lib to
 GHC API.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-djinn-ghc-doc
Description-md5: 025a3749ba376e6e0eb1a739e722e0ae
Description-en: generate Haskell code from a type (bridge to GHC API); documentation
 Djinn uses an theorem prover for intuitionistic propositional logic to generate
 a Haskell expression when given a type.  This is the bridge from djinn-lib to
 GHC API.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-djinn-ghc-prof
Description-md5: 3792aa3e6f7c7b91384d3302df971b21
Description-en: generate Haskell code from a type (bridge to GHC API); profiling libraries
 Djinn uses an theorem prover for intuitionistic propositional logic to generate
 a Haskell expression when given a type.  This is the bridge from djinn-lib to
 GHC API.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-djinn-lib-dev
Description-md5: 233f19fce63a629c8a4f9415340fefaf
Description-en: Generate Haskell code from a type
 Djinn-Lib uses an theorem prover for intuitionistic propositional logic to
 generate a Haskell expression when given a type. This is a library extracted
 from Djinn-Lib sources.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-djinn-lib-doc
Description-md5: 6618ee521f174c7a6531be8d5a7ef6fa
Description-en: Generate Haskell code from a type; documentation
 Djinn-Lib uses an theorem prover for intuitionistic propositional logic to
 generate a Haskell expression when given a type. This is a library extracted
 from Djinn-Lib sources.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-djinn-lib-prof
Description-md5: f75be10cbc18413e643521359fd14d6a
Description-en: Generate Haskell code from a type; profiling libraries
 Djinn-Lib uses an theorem prover for intuitionistic propositional logic to
 generate a Haskell expression when given a type. This is a library extracted
 from Djinn-Lib sources.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dlist-dev
Description-md5: 57727e1636db99bbc18b0ea7346acfac
Description-en: Haskell library for Differences lists
 Differences lists: a list-like type supporting O(1) append.
 This is particularly useful for efficient logging and pretty
 printing, (e.g. with the Writer monad), where list append
 quickly becomes too expensive.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dlist-doc
Description-md5: a0bc758f06d34780b3b600f99ba3c489
Description-en: Haskell library for Differences lists; documentation
 Differences lists: a list-like type supporting O(1) append.
 This is particularly useful for efficient logging and pretty
 printing, (e.g. with the Writer monad), where list append
 quickly becomes too expensive.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dlist-instances-dev
Description-md5: 4cd3e20ae52386a25a22900039840def
Description-en: difference lists instances
 This package is the canonical source for some orphan instances for
 the dlist (difference list) packages. Orphan instances are placed
 here to avoid dependencies elsewhere.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dlist-instances-doc
Description-md5: e11614b8f9754ee7c54ae7eb66fc14ff
Description-en: difference lists instances; documentation
 This package is the canonical source for some orphan instances for
 the dlist (difference list) packages. Orphan instances are placed
 here to avoid dependencies elsewhere.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dlist-instances-prof
Description-md5: 5bbe60211c3e447a2bb9e3cb4aa51dbd
Description-en: difference lists instances; profiling libraries
 This package is the canonical source for some orphan instances for
 the dlist (difference list) packages. Orphan instances are placed
 here to avoid dependencies elsewhere.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dlist-prof
Description-md5: 87252681f49f6fcd3fd6cb30ee7d15df
Description-en: Haskell library for Differences lists; profiling libraries
 Differences lists: a list-like type supporting O(1) append.
 This is particularly useful for efficient logging and pretty
 printing, (e.g. with the Writer monad), where list append
 quickly becomes too expensive.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dns-dev
Description-md5: 99cbe301cac5e9231ee4e732086a7e5c
Description-en: pure Haskell DNS library
 A thread-safe DNS library for both clients and servers
 written in pure Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dns-doc
Description-md5: 94f103172bae773983b4c5260ad12b07
Description-en: pure Haskell DNS library; documentation
 A thread-safe DNS library for both clients and servers
 written in pure Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dns-prof
Description-md5: fde00826e7a7f130c8a8940db69cfa9a
Description-en: pure Haskell DNS library; profiling libraries
 A thread-safe DNS library for both clients and servers
 written in pure Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-doctemplates-dev
Description-md5: 887534f3ed7648afd26d51d0a79ef938
Description-en: Pandoc-style document templates
 This is the templating system used by pandoc. It was formerly be a
 module in pandoc. It has been split off to make it easier to use
 independently.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-doctemplates-doc
Description-md5: 117a72714b12476a9c7228300d70ac55
Description-en: Pandoc-style document templates; documentation
 This is the templating system used by pandoc. It was formerly be a
 module in pandoc. It has been split off to make it easier to use
 independently.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-doctemplates-prof
Description-md5: 2f6dee0cf5d9f323114db9c1e375e16b
Description-en: Pandoc-style document templates; profiling libraries
 This is the templating system used by pandoc. It was formerly be a
 module in pandoc. It has been split off to make it easier to use
 independently.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-doctest-dev
Description-md5: 23ea99d7443559a14a7c1e4e32ff45b4
Description-en: test interactive Haskell examples
 The doctest program checks examples in source code comments.
 It is modeled after doctest for Python
 (<http://docs.python.org/library/doctest.html>).
 .
 Documentation is at
 <https://github.com/sol/doctest-haskell#readme>.
 .
 This package contains the normal library files.

Package: libghc-doctest-doc
Description-md5: 62008ffe44c09269d7578ae29990343f
Description-en: test interactive Haskell examples; documentation
 The doctest program checks examples in source code comments.
 It is modeled after doctest for Python
 (<http://docs.python.org/library/doctest.html>).
 .
 Documentation is at
 <https://github.com/sol/doctest-haskell#readme>.
 .
 This package contains the documentation files.

Package: libghc-doctest-prof
Description-md5: ef8d1966b770eb5ef9c7bccd962f5b49
Description-en: test interactive Haskell examples; profiling libraries
 The doctest program checks examples in source code comments.
 It is modeled after doctest for Python
 (<http://docs.python.org/library/doctest.html>).
 .
 Documentation is at
 <https://github.com/sol/doctest-haskell#readme>.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-dotgen-dev
Description-md5: cec87163be1ab2bdab728acd84255f5d
Description-en: simple interface for building .dot graph files
 This package provides a simple interface for building .dot graph
 files, for input into the dot and graphviz tools.  It includes a
 monadic interface for building graphs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dotgen-doc
Description-md5: df6a228afb9f932ee028b7739066786e
Description-en: simple interface for building .dot graph files; documentation
 This package provides a simple interface for building .dot graph
 files, for input into the dot and graphviz tools.  It includes a
 monadic interface for building graphs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dotgen-prof
Description-md5: ab978371d8b179741ab223131f3912b7
Description-en: simple interface for building .dot graph files; profiling libraries
 This package provides a simple interface for building .dot graph
 files, for input into the dot and graphviz tools.  It includes a
 monadic interface for building graphs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-double-conversion-dev
Description-md5: 6fe02eab12ae874399bb1c7ed71463ea
Description-en: fast conversion between double precision floating point and text
 A library that performs fast, accurate conversion between double
 precision floating point and text.
 .
 This library is implemented as bindings to the C++
 double-conversion library written by Florian Loitsch at Google.
 .
 The 'Text' versions of these functions are about 30 times faster
 than the default 'show' implementation for the 'Double' type.
 .
 The 'ByteString' versions are /slower/ than the 'Text' versions;
 roughly half the speed.  (This seems to be due to the cost of
 allocating 'ByteString' values via malloc.)
 .
 As a final note, be aware that the bytestring-show package is
 about 50% slower than simply using 'show'.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-double-conversion-doc
Description-md5: 3b7374d37e93330a6338da9b8686cc94
Description-en: fast conversion between double precision floating point and text; documentation
 A library that performs fast, accurate conversion between double
 precision floating point and text.
 .
 This library is implemented as bindings to the C++
 double-conversion library written by Florian Loitsch at Google.
 .
 The 'Text' versions of these functions are about 30 times faster
 than the default 'show' implementation for the 'Double' type.
 .
 The 'ByteString' versions are /slower/ than the 'Text' versions;
 roughly half the speed.  (This seems to be due to the cost of
 allocating 'ByteString' values via malloc.)
 .
 As a final note, be aware that the bytestring-show package is
 about 50% slower than simply using 'show'.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-double-conversion-prof
Description-md5: 1076e86bf9cfab110b84be21cab51c91
Description-en: fast conversion between double precision floating point and text; profiling libraries
 A library that performs fast, accurate conversion between double
 precision floating point and text.
 .
 This library is implemented as bindings to the C++
 double-conversion library written by Florian Loitsch at Google.
 .
 The 'Text' versions of these functions are about 30 times faster
 than the default 'show' implementation for the 'Double' type.
 .
 The 'ByteString' versions are /slower/ than the 'Text' versions;
 roughly half the speed.  (This seems to be due to the cost of
 allocating 'ByteString' values via malloc.)
 .
 As a final note, be aware that the bytestring-show package is
 about 50% slower than simply using 'show'.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-drbg-dev
Description-md5: 0791b8c9121528e185f038d9100bb1b9
Description-en: Cryptographically secure RNGs
 This package contains a "Deterministic Rrandom Bit Generator" (aka RNG, PRNG)
 and HMACs, Hashes, and Ciphers based on it.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-drbg-doc
Description-md5: 6a5f50d3f899429dfb348971238fa238
Description-en: Cryptographically secure RNGs; documentation
 This package contains a "Deterministic Rrandom Bit Generator" (aka RNG, PRNG)
 and HMACs, Hashes, and Ciphers based on it.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-drbg-prof
Description-md5: d559cb71ebd10ff4940be863db44bd3e
Description-en: Cryptographically secure RNGs; profiling libraries
 This package contains a "Deterministic Rrandom Bit Generator" (aka RNG, PRNG)
 and HMACs, Hashes, and Ciphers based on it.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dual-tree-dev
Description-md5: 409704cee14015f945b054adf7c04faa
Description-en: Rose trees with cached and accumulating monoidal annotations
 Rose (n-ary) trees with both upwards- (i.e. cached) and downwards-traveling
 (i.e. accumulating) monoidal annotations.
 .
 Abstractly, a DUALTree is a rose (n-ary) tree with data at leaves, data at
 internal nodes, and two types of monoidal annotations, one travelling "up" the
 tree and one traveling "down".
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dual-tree-doc
Description-md5: a25e20325a4bb023d541df3b88b9ffa7
Description-en: Rose trees with cached and accumulating monoidal annotations; documentation
 Rose (n-ary) trees with both upwards- (i.e. cached) and downwards-traveling
 (i.e. accumulating) monoidal annotations.
 .
 Abstractly, a DUALTree is a rose (n-ary) tree with data at leaves, data at
 internal nodes, and two types of monoidal annotations, one travelling "up" the
 tree and one traveling "down".
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dual-tree-prof
Description-md5: 397b79986b31fcee5b3193f49ff1e118
Description-en: Rose trees with cached and accumulating monoidal annotations; profiling libraries
 Rose (n-ary) trees with both upwards- (i.e. cached) and downwards-traveling
 (i.e. accumulating) monoidal annotations.
 .
 Abstractly, a DUALTree is a rose (n-ary) tree with data at leaves, data at
 internal nodes, and two types of monoidal annotations, one travelling "up" the
 tree and one traveling "down".
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dynamic-state-dev
Description-md5: b28d4ead2456778b95f1c6863568f4dc
Description-en: optionally serializable dynamic state keyed by type
 This is a Haskell library providing optionally serializable dynamic state
 keyed by type
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dynamic-state-doc
Description-md5: 6b82b52c886393d2c33349bc9a1fddde
Description-en: optionally serializable dynamic state keyed by type; documentation
 This is a Haskell library providing optionally serializable dynamic state
 keyed by type
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dynamic-state-prof
Description-md5: c17c21089d2bf4d491721409f4f0cacc
Description-en: optionally serializable dynamic state keyed by type; profiling libraries
 This is a Haskell library providing optionally serializable dynamic state
 keyed by type
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dyre-dev
Description-md5: d95879d32db6ec2c035ef25addb5e7e2
Description-en: dynamic reconfiguration library
 Dyre implements dynamic reconfiguration facilities after the style
 of Xmonad. Dyre aims to be as simple as possible without sacrificing
 features, and places an emphasis on simplicity of integration with an
 application. A full introduction with a complete example project can
 be found in the documentation for Config.Dyre
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dyre-doc
Description-md5: d5e1ef02b4b2c8750c22c2c6e30fc2e9
Description-en: dynamic reconfiguration library; documentation
 Dyre implements dynamic reconfiguration facilities after the style
 of Xmonad. Dyre aims to be as simple as possible without sacrificing
 features, and places an emphasis on simplicity of integration with an
 application. A full introduction with a complete example project can
 be found in the documentation for Config.Dyre
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-dyre-prof
Description-md5: 347477fa763704b93c1d54b4644f2f08
Description-en: dynamic reconfiguration library; profiling libraries
 Dyre implements dynamic reconfiguration facilities after the style
 of Xmonad. Dyre aims to be as simple as possible without sacrificing
 features, and places an emphasis on simplicity of integration with an
 application. A full introduction with a complete example project can
 be found in the documentation for Config.Dyre
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-easy-file-dev
Description-md5: ff1fdd113d889996093ceee8dc9f85b6
Description-en: Cross-platform file handling
 This package provides unified cross-platform file handling for
 Unix/Mac/Windows.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-easy-file-doc
Description-md5: d900afe0ba3c0ce0aeecf2740b74666a
Description-en: Cross-platform file handling; documentation
 This package provides unified cross-platform file handling for
 Unix/Mac/Windows.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-easy-file-prof
Description-md5: 44d2778fa4fb4f192f9c6fc63cba2008
Description-en: Cross-platform file handling; profiling libraries
 This package provides unified cross-platform file handling for
 Unix/Mac/Windows.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-easytest-dev
Description-md5: 2ef8ee02c842134f50cc7a5cc96f216d
Description-en: simple, expressive testing library
 EasyTest is a simple testing toolkit, meant to replace most uses of
 QuickCheck, SmallCheck, HUnit, and frameworks like Tasty, etc.
 .
 The idea here is to write tests with ordinary Haskell code, with
 control flow explicit and under programmer control.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-easytest-doc
Description-md5: 110bfc6a78c5ab5e4c26ddaf284b14e0
Description-en: simple, expressive testing library; documentation
 EasyTest is a simple testing toolkit, meant to replace most uses of
 QuickCheck, SmallCheck, HUnit, and frameworks like Tasty, etc.
 .
 The idea here is to write tests with ordinary Haskell code, with
 control flow explicit and under programmer control.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-easytest-prof
Description-md5: 162d6633df22ea83ba359599cc715592
Description-en: simple, expressive testing library; profiling libraries
 EasyTest is a simple testing toolkit, meant to replace most uses of
 QuickCheck, SmallCheck, HUnit, and frameworks like Tasty, etc.
 .
 The idea here is to write tests with ordinary Haskell code, with
 control flow explicit and under programmer control.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-echo-dev
Description-md5: 5e02dca2a29c4c74dae646049ca7ba48
Description-en: Cross-platform library for handling echoing terminal input
 The base library exposes the hGetEcho and hSetEcho functions
 for querying and setting echo status, but unfortunately, neither
 function works with MinTTY consoles on Windows. This is a serious
 issue, since hGetEcho and hSetEcho are often used to disable
 input echoing when a program prompts for a password, so many
 programs will reveal your password as you type it on MinTTY!
 .
 This library provides an alternative interface which works
 with both MinTTY and other consoles.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-echo-doc
Description-md5: 59d2c668f0ec15e88258d3cd30d416e3
Description-en: Cross-platform library for handling echoing terminal input; documentation
 The base library exposes the hGetEcho and hSetEcho functions
 for querying and setting echo status, but unfortunately, neither
 function works with MinTTY consoles on Windows. This is a serious
 issue, since hGetEcho and hSetEcho are often used to disable
 input echoing when a program prompts for a password, so many
 programs will reveal your password as you type it on MinTTY!
 .
 This library provides an alternative interface which works
 with both MinTTY and other consoles.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-echo-prof
Description-md5: ac28b78b390b70ac43cb082d0b484ae5
Description-en: Cross-platform library for handling echoing terminal input; profiling libraries
 The base library exposes the hGetEcho and hSetEcho functions
 for querying and setting echo status, but unfortunately, neither
 function works with MinTTY consoles on Windows. This is a serious
 issue, since hGetEcho and hSetEcho are often used to disable
 input echoing when a program prompts for a password, so many
 programs will reveal your password as you type it on MinTTY!
 .
 This library provides an alternative interface which works
 with both MinTTY and other consoles.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ed25519-dev
Description-md5: 8c4fc5758a5f4cd6b908eb4cb0682bbd
Description-en: Ed25519 cryptographic signatures
 This package provides a simple, portable implementation of the
 ed25519 public-key signature system. It also includes support for
 detached signatures.
 .
 The underlying implementation uses the ref10 implementation of
 ed25519 from SUPERCOP, and should be relatively fast.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ed25519-doc
Description-md5: f18f702150720bdeb29c07559a04e0ce
Description-en: Ed25519 cryptographic signatures; documentation
 This package provides a simple, portable implementation of the
 ed25519 public-key signature system. It also includes support for
 detached signatures.
 .
 The underlying implementation uses the ref10 implementation of
 ed25519 from SUPERCOP, and should be relatively fast.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ed25519-prof
Description-md5: 6791956686d9cc0dd1a3f263a76c9eb7
Description-en: Ed25519 cryptographic signatures; profiling libraries
 This package provides a simple, portable implementation of the
 ed25519 public-key signature system. It also includes support for
 detached signatures.
 .
 The underlying implementation uses the ref10 implementation of
 ed25519 from SUPERCOP, and should be relatively fast.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-edison-api-dev
Description-md5: 69adaca73f79835f5dd9e8635407b4cc
Description-en: Haskell library of efficent, purely-functional data structures
 The abstract interface (API) of the Edison library for Haskell for
 use with ghc.
 .
 Edison is a library of purely function data structures for Haskell
 originally written by Chris Okasaki. Conceptually, it consists of two
 things:
   - A set of type classes defining data the following data structure
     abstractions: 'sequences', 'collections' and 'associative
     collections'
   - Multiple concrete implementations of each of the abstractions
 .
 This package contains the set of type classes.

Package: libghc-edison-api-doc
Description-md5: ea0950fd6085bb78d99f9f073bfc1632
Description-en: documentation of the Edison Haskell library
 Documentation of the Edison library containing efficent,
 purely-functional data structures for Haskell.
 .
 Edison is a library of purely function data structures for Haskell
 originally written by Chris Okasaki. Conceptually, it consists of two
 things:
   - A set of type classes defining data the following data structure
     abstractions: 'sequences', 'collections' and 'associative
     collections'
   - Multiple concrete implementations of each of the abstractions

Package: libghc-edison-api-prof
Description-md5: 2e6fd78ad5cec504e764a2583bd3f6e1
Description-en: Haskell library of data structures; profiling libraries
 The abstract interface (API) of the Edison library for Haskell for
 use with ghc.
 .
 Edison is a library of purely function data structures for Haskell
 originally written by Chris Okasaki. Conceptually, it consists of two
 things:
   - A set of type classes defining data the following data structure
     abstractions: 'sequences', 'collections' and 'associative
     collections'
   - Multiple concrete implementations of each of the abstractions
 .
 This package contains the set of type classes.

Package: libghc-edison-core-dev
Description-md5: 70cae6d8b0f2343facfdad8e76855594
Description-en: Haskell library of efficent, purely-functional data structures
 Some concrete implementations of the abstract interface of the Edison
 library for Haskell for use with ghc.
 .
 Edison is a library of purely function data structures for Haskell
 originally written by Chris Okasaki. Conceptually, it consists of two
 things:
   - A set of type classes defining data the following data structure
     abstractions: 'sequences', 'collections' and 'associative
     collections'
   - Multiple concrete implementations of each of the abstractions
 .
 This package contains the concrete implementations.

Package: libghc-edison-core-doc
Description-md5: a89a457e9d23ad4c4d62484b8441fda0
Description-en: documentation of the Edison Haskell library
 Some concrete implementations of the abstract interface of the Edison
 library for Haskell for use with hugs.
 .
 Edison is a library of purely function data structures for Haskell
 originally written by Chris Okasaki. Conceptually, it consists of two
 things:
   - A set of type classes defining data the following data structure
     abstractions: 'sequences', 'collections' and 'associative
     collections'
   - Multiple concrete implementations of each of the abstractions
 .
 This package contains the documentation of the concrete implementations.

Package: libghc-edison-core-prof
Description-md5: 193e2c83dfa0fa114cd9fe5cf88a100d
Description-en: Haskell library of data structures; profiling libraries
 Some concrete implementations of the abstract interface of the Edison
 library for Haskell for use with ghc.
 .
 Edison is a library of purely function data structures for Haskell
 originally written by Chris Okasaki. Conceptually, it consists of two
 things:
   - A set of type classes defining data the following data structure
     abstractions: 'sequences', 'collections' and 'associative
     collections'
   - Multiple concrete implementations of each of the abstractions
 .
 This package contains the concrete implementations.

Package: libghc-edit-distance-dev
Description-md5: d74fcc0ca321791810a9d0547b16937e
Description-en: Haskell fuzzy matching
 Optimized edit distances for fuzzy matching, including Levenshtein
 and restricted Damerau-Levenshtein algorithms.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-edit-distance-doc
Description-md5: 85cc3ae85d4cda27f22a221478a1b0e5
Description-en: Haskell fuzzy matching; documentation
 Optimized edit distances for fuzzy matching, including Levenshtein
 and restricted Damerau-Levenshtein algorithms.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-edit-distance-prof
Description-md5: 20d9387d8b7174d849316c813a114ba2
Description-en: Haskell fuzzy matching; profiling libraries
 Optimized edit distances for fuzzy matching, including Levenshtein
 and restricted Damerau-Levenshtein algorithms.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-either-dev
Description-md5: 1bf3a13da3f91d2125b6e18a8380ce31
Description-en: Either monad transformer
 This library provides a minimalist Either monad transformer, EitherT.
 EitherT is a version of ErrorT that does not require a spurious Error
 instance for the Left case.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-either-doc
Description-md5: eaba292a6cebeaae94bc5ac1fafe390b
Description-en: Either monad transformer; documentation
 This library provides a minimalist Either monad transformer, EitherT.
 EitherT is a version of ErrorT that does not require a spurious Error
 instance for the Left case.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-either-prof
Description-md5: 84ac7bcf1294e7c70a9bb8dc724d0164
Description-en: Either monad transformer; profiling libraries
 This library provides a minimalist Either monad transformer, EitherT.
 EitherT is a version of ErrorT that does not require a spurious Error
 instance for the Left case.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-core-dev
Description-md5: 4f665a2798ab60b8b3cb2c8baf0faeac
Description-en: tracking of system metrics
 This library lets you defined and track system metrics.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-core-doc
Description-md5: f2b890b8d7573eb5a4a07ba90010d873
Description-en: tracking of system metrics; documentation
 This library lets you defined and track system metrics.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-core-prof
Description-md5: b4f1e3f971aff796f6de596a30bdddc3
Description-en: tracking of system metrics; profiling libraries
 This library lets you defined and track system metrics.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-data
Description-md5: c76474c91730d9480c3e2c4e93133b68
Description-en: remote monitoring of Haskell processes over HTTP - common files
 The ekg library lets you remotely monitor a running (Haskell) process
 over HTTP. It provides a simple way to integrate a monitoring server
 into any application.
 .
 This package provides the data files needed to use the ekg library
 for the Haskell programming language. See http://www.haskell.org/ for
 more information on Haskell.

Package: libghc-ekg-dev
Description-md5: bfef17fce68429d361493cd8b7a5634f
Description-en: remote monitoring of Haskell processes over HTTP
 The ekg library lets you remotely monitor a running (Haskell) process
 over HTTP. It provides a simple way to integrate a monitoring server
 into any application.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-doc
Description-md5: e8c22fe5af623b2e38e289316f7936ad
Description-en: remote monitoring of Haskell processes over HTTP; documentation
 The ekg library lets you remotely monitor a running (Haskell) process
 over HTTP. It provides a simple way to integrate a monitoring server
 into any application.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-json-dev
Description-md5: 998e8ae4eff9391240b981fa8e154862
Description-en: JSON encoding of ekg metrics
 Encodes ekg metrics as JSON, using the same encoding as used by the
 ekg package, thus allowing ekg metrics to be served by other HTTP
 servers than the one used by the ekg package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-json-doc
Description-md5: a6ad2d928a60ba71d3700a7455983f9f
Description-en: JSON encoding of ekg metrics; documentation
 Encodes ekg metrics as JSON, using the same encoding as used by the
 ekg package, thus allowing ekg metrics to be served by other HTTP
 servers than the one used by the ekg package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-json-prof
Description-md5: b3530bcc1788b330d75139c6b9d95177
Description-en: JSON encoding of ekg metrics; profiling libraries
 Encodes ekg metrics as JSON, using the same encoding as used by the
 ekg package, thus allowing ekg metrics to be served by other HTTP
 servers than the one used by the ekg package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ekg-prof
Description-md5: 36b75ecb7ad8070e885cc7389703befd
Description-en: remote monitoring of Haskell processes over HTTP; profiling libraries
 The ekg library lets you remotely monitor a running (Haskell) process
 over HTTP. It provides a simple way to integrate a monitoring server
 into any application.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-email-validate-dev
Description-md5: 9706f86ed040bb03f2d49082878ff7c8
Description-en: validate an email address string against RFC 5322
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-email-validate-doc
Description-md5: c79816f4c8981e8944bfa5a39b5dab61
Description-en: validate an email address string against RFC 5322; documentation
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-email-validate-prof
Description-md5: 76278a12460bb3bd5b7ade3dfe5c7df3
Description-en: validate an email address string against RFC 5322; profiling libraries
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-enclosed-exceptions-dev
Description-md5: 3e2cdd4295f25def5db6d36dfd19c1fe
Description-en: catching all exceptions from within an enclosed computation
 Catching all exceptions raised within an enclosed computation, while
 remaining responsive to (external) asynchronous exceptions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-enclosed-exceptions-doc
Description-md5: b8c11d7e74975da1b505d1508831bc45
Description-en: catching all exceptions from within an enclosed computation; documentation
 Catching all exceptions raised within an enclosed computation, while
 remaining responsive to (external) asynchronous exceptions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-enclosed-exceptions-prof
Description-md5: ba17a2a41fc14171ae27eb0784ce4d5c
Description-en: catching all exceptions from within an enclosed computation; profiling libraries
 Catching all exceptions raised within an enclosed computation, while
 remaining responsive to (external) asynchronous exceptions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-entropy-dev
Description-md5: f8bc5b0ec32697b4fb7d7c26dde08fc5
Description-en: cryptographically-strong entropy
 It is a platform-independent method to obtain cryptographically strong
 entropy (urandom on Linux, CryptAPI on Windows, patches welcome). Users
 looking for cryptographically strong (number-theoretically sound) PRNGs
 should see the DRBG package too.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-entropy-doc
Description-md5: 00f462b2044d78c05a76831c2fc05288
Description-en: cryptographically-strong entropy; documentation
 It is a platform-independent method to obtain cryptographically strong
 entropy (urandom on Linux, CryptAPI on Windows, patches welcome). Users
 looking for cryptographically strong (number-theoretically sound) PRNGs
 should see the DRBG package too.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-entropy-prof
Description-md5: edb7e76a4ce602bdee5c023f87ece7c6
Description-en: cryptographically-strong entropy; profiling libraries
 It is a platform-independent method to obtain cryptographically strong
 entropy (urandom on Linux, CryptAPI on Windows, patches welcome). Users
 looking for cryptographically strong (number-theoretically sound) PRNGs
 should see the DRBG package too.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-enummapset-dev
Description-md5: 585ed062fe673b03cf244416f77935d6
Description-en: IntMap and IntSet with Enum keys/elements
 This package contains simple wrappers around 'Data.IntMap' and
 'Data.IntSet' with 'Enum' keys and elements respectively.
 Especially useful for 'Int's wrapped with newtype.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-enummapset-doc
Description-md5: d03048ccf4c844dbd24bc0a03ddb818e
Description-en: IntMap and IntSet with Enum keys/elements; documentation
 This package contains simple wrappers around 'Data.IntMap' and
 'Data.IntSet' with 'Enum' keys and elements respectively.
 Especially useful for 'Int's wrapped with newtype.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-enummapset-prof
Description-md5: cb7e1d134b00364e76759beeb1e704e8
Description-en: IntMap and IntSet with Enum keys/elements; profiling libraries
 This package contains simple wrappers around 'Data.IntMap' and
 'Data.IntSet' with 'Enum' keys and elements respectively.
 Especially useful for 'Int's wrapped with newtype.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-equivalence-dev
Description-md5: d5216d440f09441faa5fabfe8a003323
Description-en: maintaining an equivalence relation
  This is a Haskell implementation of Tarjan's Union-Find algorithm (Robert E.
  Tarjan. "Efficiency of a Good But Not Linear Set Union Algorithm", JACM
  22(2), 1975) in order to maintain an equivalence relation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-equivalence-doc
Description-md5: 2a440bf14fdf87bb4f6975b95e3247eb
Description-en: maintaining an equivalence relation; documentation
  This is a Haskell implementation of Tarjan's Union-Find algorithm (Robert E.
  Tarjan. "Efficiency of a Good But Not Linear Set Union Algorithm", JACM
  22(2), 1975) in order to maintain an equivalence relation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-equivalence-prof
Description-md5: 649cecb3e1a1f644f684050c3c11df2c
Description-en: maintaining an equivalence relation; profiling libraries
  This is a Haskell implementation of Tarjan's Union-Find algorithm (Robert E.
  Tarjan. "Efficiency of a Good But Not Linear Set Union Algorithm", JACM
  22(2), 1975) in order to maintain an equivalence relation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-erf-dev
Description-md5: 8909973cde8c6d0d70934f963f5b8318
Description-en: The error function, erf, and related functions
 A type class for the error function, erf, and related functions. Instances for
 Float and Double.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-erf-doc
Description-md5: 56a20c7515b07a2a0196bd3332399a4c
Description-en: The error function, erf, and related functions; documentation
 A type class for the error function, erf, and related functions. Instances for
 Float and Double.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-erf-prof
Description-md5: 6f0a177dd070273e5ea404b923b56056
Description-en: The error function, erf, and related functions; profiling libraries
 A type class for the error function, erf, and related functions. Instances for
 Float and Double.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-errors-dev
Description-md5: ec790a8d98758db56a0b6cfe1de1e917
Description-en: simplified error handling
 This library encourages an error-handling style that directly uses the
 type system, rather than out-of-band exceptions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-errors-doc
Description-md5: 6a683e37b05dd750f3eaca429d1a6c7d
Description-en: simplified error handling; documentation
 This library encourages an error-handling style that directly uses the
 type system, rather than out-of-band exceptions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-errors-prof
Description-md5: ce3cf07b0194fb3288ffa1aa6e36c7ee
Description-en: simplified error handling; profiling libraries
 This library encourages an error-handling style that directly uses the
 type system, rather than out-of-band exceptions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-esqueleto-dev
Description-md5: be4f83256851c92cf79cde6cb59d3e1b
Description-en: type-safe EDSL for SQL on persistent backends
 esqueleto is a bare bones, type-safe EDSL for SQL queries
 that works with unmodified persistent SQL backends.  Its
 language closely resembles SQL, so you don't have to learn
 new concepts, just new syntax, and it's fairly easy to
 predict the generated SQL and optimize it for your backend.
 Most kinds of errors committed when writing SQL are caught as
 compile-time errors---although it is possible to write
 type-checked esqueleto queries that fail at runtime.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-esqueleto-prof
Description-md5: 276e1192e08036e27b2cde88f14a79fd
Description-en: type-safe EDSL for SQL on persistent backends; profiling libraries
 esqueleto is a bare bones, type-safe EDSL for SQL queries
 that works with unmodified persistent SQL backends.  Its
 language closely resembles SQL, so you don't have to learn
 new concepts, just new syntax, and it's fairly easy to
 predict the generated SQL and optimize it for your backend.
 Most kinds of errors committed when writing SQL are caught as
 compile-time errors---although it is possible to write
 type-checked esqueleto queries that fail at runtime.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-exact-pi-dev
Description-md5: 64489500d3fdc0feac36f9b25e897cf7
Description-en: Exact rational multiples of pi (and integer powers of pi)
 Provides an exact representation for rational multiples of pi alongside an
 approximate representation of all reals.  Useful for storing and computing
 with conversion factors between physical units.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-exact-pi-doc
Description-md5: 66d9880cfafc8e0495f8d32e1f2a3c00
Description-en: Exact rational multiples of pi (and integer powers of pi); documentation
 Provides an exact representation for rational multiples of pi alongside an
 approximate representation of all reals.  Useful for storing and computing
 with conversion factors between physical units.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-exact-pi-prof
Description-md5: d48de36e18284b7f8226861b058babbb
Description-en: Exact rational multiples of pi (and integer powers of pi); profiling libraries
 Provides an exact representation for rational multiples of pi alongside an
 approximate representation of all reals.  Useful for storing and computing
 with conversion factors between physical units.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-exception-transformers-dev
Description-md5: 6a219fd0c223446c74f5668ef5ab322a
Description-en: type classes and monads for unchecked extensible exceptions
 This package provides type classes, a monad and a monad
 transformer that support unchecked extensible exceptions as
 well as asynchronous exceptions. It is compatible with
 the transformers package.
 .
 This package contains the normal library files.

Package: libghc-exception-transformers-doc
Description-md5: 0929f2f56a5bee494ec41ecb307d23b8
Description-en: type classes and monads for unchecked extensible exceptions; documentation
 This package provides type classes, a monad and a monad
 transformer that support unchecked extensible exceptions as
 well as asynchronous exceptions. It is compatible with
 the transformers package.
 .
 This package contains the documentation files.

Package: libghc-exception-transformers-prof
Description-md5: ab9e69c73c1c99b1197d7c4552411d7e
Description-en: type classes and monads for unchecked extensible exceptions; profiling libs
 This package provides type classes, a monad and a monad
 transformer that support unchecked extensible exceptions as
 well as asynchronous exceptions. It is compatible with
 the transformers package.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-exceptions-dev
Description-md5: 488db85600fcf6bde0ccebea7d70d711
Description-en: extensible optionally-pure exceptions
 This library supports monads that can throw extensible exceptions.
 The exceptions are the very same from Control.Exception, and the
 operations offered very similar, but here they are not limited to
 IO.
 .
 This code is in the style of both transformers and mtl, and is
 compatible with them, though doesn't mimic the module structure or
 offer the complete range of features in those packages.
 .
 This is very similar to ErrorT and MonadError, but based on features
 of Control.Exception. In particular, it handles the complex case of
 asynchronous exceptions by including mask in the typeclass. Note that
 the extensible extensions feature relies the RankNTypes language
 extension.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-exceptions-doc
Description-md5: 7a03fb3ca0a4d3c2b4d204853d8e2067
Description-en: extensible optionally-pure exceptions; documentation
 This library supports monads that can throw extensible exceptions.
 The exceptions are the very same from Control.Exception, and the
 operations offered very similar, but here they are not limited to
 IO.
 .
 This code is in the style of both transformers and mtl, and is
 compatible with them, though doesn't mimic the module structure or
 offer the complete range of features in those packages.
 .
 This is very similar to ErrorT and MonadError, but based on features
 of Control.Exception. In particular, it handles the complex case of
 asynchronous exceptions by including mask in the typeclass. Note that
 the extensible extensions feature relies the RankNTypes language
 extension.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-exceptions-prof
Description-md5: 83d5d98ab02dd78d1958e7b0d5217115
Description-en: extensible optionally-pure exceptions; profiling libraries
 This library supports monads that can throw extensible exceptions.
 The exceptions are the very same from Control.Exception, and the
 operations offered very similar, but here they are not limited to
 IO.
 .
 This code is in the style of both transformers and mtl, and is
 compatible with them, though doesn't mimic the module structure or
 offer the complete range of features in those packages.
 .
 This is very similar to ErrorT and MonadError, but based on features
 of Control.Exception. In particular, it handles the complex case of
 asynchronous exceptions by including mask in the typeclass. Note that
 the extensible extensions feature relies the RankNTypes language
 extension.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-executable-path-dev
Description-md5: abaaea2bdec377f029ad050784d80690
Description-en: determine full path of executable
 This library portably determines the full path to the program
 being run.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-executable-path-doc
Description-md5: 6c20168c045407781d1c6dead6bdff9d
Description-en: determine full path of executable; documentation
 This library portably determines the full path to the program
 being run.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-executable-path-prof
Description-md5: 3f1488186ff0135c41f315aae40a5717
Description-en: determine full path of executable; profiling libraries
 This library portably determines the full path to the program
 being run.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-expiring-cache-map-dev
Description-md5: dab704397479e7d9868d79d785af5b8d
Description-en: general-purpose simple caching
 A simple general purpose shared state cache map with automatic expiration
 of values, for caching the results of accessing a resource such as reading
 a file. With variations for Ord and Hashable keys using "Data.Map.Strict"
 and "Data.HashMap.Strict", respectively.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-expiring-cache-map-doc
Description-md5: 68466cdbc0f2d1c8f93858e24d14d538
Description-en: general-purpose simple caching; documentation
 A simple general purpose shared state cache map with automatic expiration
 of values, for caching the results of accessing a resource such as reading
 a file. With variations for Ord and Hashable keys using "Data.Map.Strict"
 and "Data.HashMap.Strict", respectively.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-expiring-cache-map-prof
Description-md5: 8769974a14b21a603075d975ab204fbf
Description-en: general-purpose simple caching; profiling libraries
 A simple general purpose shared state cache map with automatic expiration
 of values, for caching the results of accessing a resource such as reading
 a file. With variations for Ord and Hashable keys using "Data.Map.Strict"
 and "Data.HashMap.Strict", respectively.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-extensible-exceptions-dev
Description-md5: 38a5c77bdcdd98f12a0dc3cb7176b0ca
Description-en: Compatibility layer for exceptions
 This provides the new extensible exceptions for both new and old versions of
 GHC.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-extensible-exceptions-doc
Description-md5: 7deb27830677f51f9166607c6df668f8
Description-en: Compatibility layer for exceptions; documentation
 This provides the new extensible exceptions for both new and old versions of
 GHC.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-extensible-exceptions-prof
Description-md5: 1e1071216eec8c081f7ccf094c88527e
Description-en: Compatibility layer for exceptions; profiling libraries
 This provides the new extensible exceptions for both new and old versions of
 GHC.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-extra-dev
Description-md5: be598e6740955371ee1d4c9753bac9ba
Description-en: extra functions for base library
 Library of extra functions for the standard Haskell libraries. Most
 functions are simple additions, filling out missing functionality. A
 few functions are available in later versions of GHC, but this
 package makes them available back to GHC 7.2.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-extra-doc
Description-md5: 3fb62da4fbe20f76fac7460702bec7b0
Description-en: extra functions for base library; documentation
 Library of extra functions for the standard Haskell libraries. Most
 functions are simple additions, filling out missing functionality. A
 few functions are available in later versions of GHC, but this
 package makes them available back to GHC 7.2.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-extra-prof
Description-md5: 4ba5cadac3e07ef0508216308aca4907
Description-en: extra functions for base library; profiling libraries
 Library of extra functions for the standard Haskell libraries. Most
 functions are simple additions, filling out missing functionality. A
 few functions are available in later versions of GHC, but this
 package makes them available back to GHC 7.2.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-failure-dev
Description-md5: 076fcb9fb9bd6e4b1d4b799b74f3f6ed
Description-en: simple type class for success/failure computations
 This is a simple type class for success/failure computations.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-failure-doc
Description-md5: 36576a2f8bcfe35e2b7a4aac6b7091f9
Description-en: simple type class for success/failure; documentation
 This is a simple type class for success/failure computations.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-failure-prof
Description-md5: 520e3fc8bb2a3aaf2ba7fb8fe724f364
Description-en: simple type class for success/failure; profiling libraries
 This is a simple type class for success/failure computations.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fast-logger-dev
Description-md5: 3a5f3d7464d55baeb60ec0079acf4946
Description-en: a fast logging system
 Fast logging system to copy log data directly to Handle buffer.
 It also supports rotation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fast-logger-doc
Description-md5: 42687da8e52538e5b9dcc54c1969c721
Description-en: a fast logging system; documentation
 Fast logging system to copy log data directly to Handle buffer.
 It also supports rotation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fast-logger-prof
Description-md5: 6f69ac4e9abab2afa55559207ee9cc43
Description-en: a fast logging system; profiling libraries
 Fast logging system to copy log data directly to Handle buffer.
 It also supports rotation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fb-dev
Description-md5: 1f38fd79ea3a66e6c8cc5d817ddf986d
Description-en: bindings to Facebook API
 This package exports bindings to Facebook's APIs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fb-doc
Description-md5: 4cde11aa73299d70e56e8e531d16bf91
Description-en: bindings to Facebook API; documentation
 This package exports bindings to Facebook's APIs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fb-prof
Description-md5: 1080093319b2d5ad2376adff340df40b
Description-en: bindings to Facebook API; profiling libraries
 This package exports bindings to Facebook's APIs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fclabels-dev
Description-md5: db78202bfe924e975143dfcd2dcba2a9
Description-en: first-class accessor labels
 This package provides first class labels that can act as
 bidirectional record fields. The labels can be derived
 automatically using Template Haskell which means you don't have
 to write any boilerplate yourself. The labels are implemented as
 lenses and are fully composable. Labels can be used to /get/,
 /set/ and /modify/ parts of a datatype in a consistent way.
 .
 See "Data.Label" for an introductory explanation.
 .
 Internally lenses are not tied to Haskell functions directly,
 but are implemented as arrows. Arrows allow the lenses to be run
 in custom computational contexts. This approach allows us to
 make partial lenses that point to fields of multi-constructor
 datatypes in an elegant way.
 .
 See the "Data.Label.Maybe" module for the use of partial labels.
 .
 > 1.1.1.0 -> 1.1.2
 >   - Added partial set/modify versions that act as identity
 >     when the constructor field is not available.
 .
  Author: Sebastiaan Visser, Erik Hesselink, Chris Eidhof, Sjoerd Visscher
 with lots of help and feedback from others.
  Upstream-Maintainer: Sebastiaan Visser <code@fvisser.nl>
 .
 This package contains the normal library files.

Package: libghc-fclabels-doc
Description-md5: eb2cc095f495552b9966c8fbd78c3bb5
Description-en: first-class accessor labels; documentation
 This package provides first class labels that can act as
 bidirectional record fields. The labels can be derived
 automatically using Template Haskell which means you don't have
 to write any boilerplate yourself. The labels are implemented as
 lenses and are fully composable. Labels can be used to /get/,
 /set/ and /modify/ parts of a datatype in a consistent way.
 .
 See "Data.Label" for an introductory explanation.
 .
 Internally lenses are not tied to Haskell functions directly,
 but are implemented as arrows. Arrows allow the lenses to be run
 in custom computational contexts. This approach allows us to
 make partial lenses that point to fields of multi-constructor
 datatypes in an elegant way.
 .
 See the "Data.Label.Maybe" module for the use of partial labels.
 .
 > 1.1.1.0 -> 1.1.2
 >   - Added partial set/modify versions that act as identity
 >     when the constructor field is not available.
 .
  Author: Sebastiaan Visser, Erik Hesselink, Chris Eidhof, Sjoerd Visscher
 with lots of help and feedback from others.
  Upstream-Maintainer: Sebastiaan Visser <code@fvisser.nl>
 .
 This package contains the documentation files.

Package: libghc-fclabels-prof
Description-md5: dfdd92b03637fbae6c6a908aaef93714
Description-en: first-class accessor labels; profiling libraries
 This package provides first class labels that can act as
 bidirectional record fields. The labels can be derived
 automatically using Template Haskell which means you don't have
 to write any boilerplate yourself. The labels are implemented as
 lenses and are fully composable. Labels can be used to /get/,
 /set/ and /modify/ parts of a datatype in a consistent way.
 .
 See "Data.Label" for an introductory explanation.
 .
 Internally lenses are not tied to Haskell functions directly,
 but are implemented as arrows. Arrows allow the lenses to be run
 in custom computational contexts. This approach allows us to
 make partial lenses that point to fields of multi-constructor
 datatypes in an elegant way.
 .
 See the "Data.Label.Maybe" module for the use of partial labels.
 .
 > 1.1.1.0 -> 1.1.2
 >   - Added partial set/modify versions that act as identity
 >     when the constructor field is not available.
 .
  Author: Sebastiaan Visser, Erik Hesselink, Chris Eidhof, Sjoerd Visscher
 with lots of help and feedback from others.
  Upstream-Maintainer: Sebastiaan Visser <code@fvisser.nl>
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-fdo-notify-dev
Description-md5: f8cdb4d0776f9a695a5c04807ea2c6f2
Description-en: Haskell desktop notifications
 A library for issuing notifications using FreeDesktop.org's Desktop
 Notifications protocol.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fdo-notify-doc
Description-md5: 5f0862f3147748e02ff88e61fe08e0dc
Description-en: Haskell desktop notifications; documentation
 A library for issuing notifications using FreeDesktop.org's Desktop
 Notifications protocol.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fdo-notify-prof
Description-md5: 57eef64ca4617915292b282f36488139
Description-en: Haskell desktop notifications; profiling libraries
 A library for issuing notifications using FreeDesktop.org's Desktop
 Notifications protocol.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-feed-dev
Description-md5: 1500f4e53a93aea98274575941f89964
Description-en: Haskell interface to RSS and Atom feeds
 This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom
 feeds, allowing both importing and exporting them.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-feed-doc
Description-md5: 7ea5c577ad0c31e86f03194632a38d83
Description-en: Haskell interface to RSS and Atom feeds; documentation
 This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom
 feeds, allowing both importing and exporting them.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-feed-prof
Description-md5: 36c3dbe88fa25ab965ff211f859c385a
Description-en: Haskell interface to RSS and Atom feeds; profiling libraries
 This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom
 feeds, allowing both importing and exporting them.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fgl-arbitrary-dev
Description-md5: 49f9050030ccd9d1137b3d0c29a95a98
Description-en: QuickCheck support for fgl
 Provides Arbitrary instances for fgl graphs (to avoid adding a
 QuickCheck dependency for fgl whilst still making the instances
 available to others).
 .
 Also available are non-fgl-specific functions for generating
 graph-like data structures.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fgl-arbitrary-doc
Description-md5: d1f49a6974090fe13fed168cc773aa39
Description-en: QuickCheck support for fgl; documentation
 Provides Arbitrary instances for fgl graphs (to avoid adding a
 QuickCheck dependency for fgl whilst still making the instances
 available to others).
 .
 Also available are non-fgl-specific functions for generating
 graph-like data structures.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fgl-arbitrary-prof
Description-md5: ba844f522e9274f6414c3d815e9f383b
Description-en: QuickCheck support for fgl; profiling libraries
 Provides Arbitrary instances for fgl graphs (to avoid adding a
 QuickCheck dependency for fgl whilst still making the instances
 available to others).
 .
 Also available are non-fgl-specific functions for generating
 graph-like data structures.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fgl-dev
Description-md5: 9f469abc234cc8cfab7a00edf0acd081
Description-en: Haskell graph library for GHC
 This is Martin Erwig's Functional Graph Library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fgl-doc
Description-md5: b0df70da649dd1e2c380902df5ca11c4
Description-en: Haskell graph library for GHC; documentation
 This is Martin Erwig's Functional Graph Library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fgl-prof
Description-md5: ff8e0bb0405d00439c70b35ba7145c0e
Description-en: Haskell graph library for GHC; profiling libraries
 This is Martin Erwig's Functional Graph Library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-file-embed-dev
Description-md5: f3c417b761463224dac54efed91ebfc2
Description-en: direct file embedding library
 It lets you use Template Haskell to embed file contents directly.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-file-embed-doc
Description-md5: 26108e5dce6e5ad995a7a22c4d720637
Description-en: direct file embedding library; documentation
 It lets you use Template Haskell to embed file contents directly.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-file-embed-prof
Description-md5: 8ebd2b03bf2dbf7405a9c1a3d62d164a
Description-en: direct file embedding library; profiling libraries
 It lets you use Template Haskell to embed file contents directly.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-file-location-dev
Description-md5: 6844bf991d4efedff721b10cc3f3931c
Description-en: common functions that show file location information
 Common debugging/error/exception functions that give file location information
 in the style of
 .
 main:Main main.hs:16:1 OH NO!
 .
 This package contains the normal library files.

Package: libghc-file-location-doc
Description-md5: 80f286011e8424510fda19207c23c861
Description-en: common functions that show file location information; documentation
 Common debugging/error/exception functions that give file location information
 in the style of
 .
 main:Main main.hs:16:1 OH NO!
 .
 This package contains the documentation files.

Package: libghc-file-location-prof
Description-md5: 64e0ec9225aa75ffe66a41bcce9ce2f1
Description-en: common functions that show file location information; profiling libraries
 Common debugging/error/exception functions that give file location information
 in the style of
 .
 main:Main main.hs:16:1 OH NO!
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-filelock-dev
Description-md5: 427058edd0d2c3b169d6ffc31bdbc064
Description-en: portable interface to file locking (flock / LockFileEx)
 This package provides an interface to Windows and Unix file locking
 functionalities.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filelock-doc
Description-md5: 568b9c728a528202db812067e4f3a4d8
Description-en: portable interface to file locking (flock / LockFileEx); documentation
 This package provides an interface to Windows and Unix file locking
 functionalities.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filelock-prof
Description-md5: 3d3b1a88f07d39876db4b652446f4eea
Description-en: portable interface to file locking (flock / LockFileEx); profiling libraries
 This package provides an interface to Windows and Unix file locking
 functionalities.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filemanip-dev
Description-md5: e291b3e965bc767f0ca36956ddeb63ce
Description-en: expressive file and directory manipulation for Haskell
 FileManip is a Haskell library for working with files and directories.
 Includes code for pattern matching, finding files, modifying file contents,
 and more.
 .
 This package contains the development files.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filemanip-doc
Description-md5: 620114064bf64c0471d4ef2a0b51dee8
Description-en: expressive file and directory manipulation for Haskell; documentation
 FileManip is a Haskell library for working with files and directories.
 Includes code for pattern matching, finding files, modifying file contents,
 and more.
 .
 This package contains the hyperlinked html documentation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filemanip-prof
Description-md5: fdb1d06fa23a05e3b0e74207bf4b5f36
Description-en: expressive file and directory manipulation for Haskell; profiling libraries
 FileManip is a Haskell library for working with files and directories.
 Includes code for pattern matching, finding files, modifying file contents,
 and more.
 .
 This package contains the profiling libraries.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filepath-bytestring-dev
Description-md5: 95d838b634078fe6b6ef4d401d1f4350
Description-en: library for manipulating RawFilePaths
 This package provides functionality for manipulating RawFilePath
 values. It can be used as a drop in replacement for the filepath library
 to get the benefits of using ByteStrings. It provides three modules:
 .
   * "System.FilePath.Posix.ByteString" manipulates POSIX/Linux style
   RawFilePath values (with / as the path separator).
 .
   * "System.FilePath.Windows.ByteString" manipulates Windows style
   RawFilePath values (with either \ or / as the path separator, and
   deals with drives).
 .
   * "System.FilePath.ByteString" is an alias for the module
   appropriate to your platform.
 .
 All three modules provide the same API, and the same documentation
 (calling out differences in the different variants).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filepath-bytestring-doc
Description-md5: de44d4ea85c1c423db7af086dcd1a17c
Description-en: library for manipulating RawFilePaths; documentation
 This package provides functionality for manipulating RawFilePath
 values. It can be used as a drop in replacement for the filepath library
 to get the benefits of using ByteStrings. It provides three modules:
 .
   * "System.FilePath.Posix.ByteString" manipulates POSIX/Linux style
   RawFilePath values (with / as the path separator).
 .
   * "System.FilePath.Windows.ByteString" manipulates Windows style
   RawFilePath values (with either \ or / as the path separator, and
   deals with drives).
 .
   * "System.FilePath.ByteString" is an alias for the module
   appropriate to your platform.
 .
 All three modules provide the same API, and the same documentation
 (calling out differences in the different variants).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filepath-bytestring-prof
Description-md5: 5025e6e6950800ace8ebd14ed428ac22
Description-en: library for manipulating RawFilePaths; profiling libraries
 This package provides functionality for manipulating RawFilePath
 values. It can be used as a drop in replacement for the filepath library
 to get the benefits of using ByteStrings. It provides three modules:
 .
   * "System.FilePath.Posix.ByteString" manipulates POSIX/Linux style
   RawFilePath values (with / as the path separator).
 .
   * "System.FilePath.Windows.ByteString" manipulates Windows style
   RawFilePath values (with either \ or / as the path separator, and
   deals with drives).
 .
   * "System.FilePath.ByteString" is an alias for the module
   appropriate to your platform.
 .
 All three modules provide the same API, and the same documentation
 (calling out differences in the different variants).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filepattern-dev
Description-md5: c09c7c18c854a9c11bc10f44ce749109
Description-en: file path glob-like matching
 A library for matching files using patterns such as "src/**/*.png"
 for all .png files recursively under the src directory.
 .
 All matching is O(n).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filepattern-doc
Description-md5: ccc753334bf47c92a230139957f67838
Description-en: file path glob-like matching; documentation
 A library for matching files using patterns such as "src/**/*.png"
 for all .png files recursively under the src directory.
 .
 All matching is O(n).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filepattern-prof
Description-md5: 984783808a99439ad8b72a7d92966479
Description-en: file path glob-like matching; profiling libraries
 A library for matching files using patterns such as "src/**/*.png"
 for all .png files recursively under the src directory.
 .
 All matching is O(n).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filestore-data
Description-md5: 99dfa07ff4afff23fb38a40fa4e45df0
Description-en: Haskell interface for versioning file stores - common files
 The filestore library provides an abstract interface for a versioning
 file store, and modules that instantiate this interface. Currently Git,
 Darcs, and Mercurial modules are provided.
 .
 This package data files used by filestore and its dependencies.

Package: libghc-filestore-dev
Description-md5: 3b9540d67a1520436974e83130e3dd42
Description-en: Haskell interface for versioning file stores
 The filestore library provides an abstract interface for a versioning
 file store, and modules that instantiate this interface. Currently Git,
 Darcs, and Mercurial modules are provided.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filestore-doc
Description-md5: 1273fd3ae661486d8670817c26b54b0d
Description-en: Haskell interface for versioning file stores; documentation
 The filestore library provides an abstract interface for a versioning
 file store, and modules that instantiate this interface. Currently Git,
 Darcs, and Mercurial modules are provided.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-filestore-prof
Description-md5: 3ba0fd5a0392782e35a56b0c9b5a38e5
Description-en: Haskell interface for versioning file stores; profiling libraries
 The filestore library provides an abstract interface for a versioning
 file store, and modules that instantiate this interface. Currently Git,
 Darcs, and Mercurial modules are provided.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fingertree-dev
Description-md5: 832138454ef8a76e95eb28e22f1b7142
Description-en: Generic finger-tree data structure implementation in Haskell
 This Haskell library provides a general sequence representation with
 arbitrary annotations, for use as a base for implementations of
 various collection types.
 .
 This package contains the normal library files.

Package: libghc-fingertree-doc
Description-md5: 41a9b41d7097f38e979bf5f35bd2dece
Description-en: Generic finger-tree data structure implementation in Haskell; documentation
 This Haskell library provides a general sequence representation with
 arbitrary annotations, for use as a base for implementations of
 various collection types.
 .
 This package contains the documentation files.

Package: libghc-fingertree-prof
Description-md5: de9ae45f55873b09900965330dbeefb6
Description-en: Generic finger-tree data structure implementation in Haskell; profiling libraries
 This Haskell library provides a general sequence representation with
 arbitrary annotations, for use as a base for implementations of
 various collection types.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-finite-field-dev
Description-md5: ffb9a614cb52864850bf77be70e72473
Description-en: implementation of finite fields for Haskell
 An implementation of finite fields for Haskell programs and
 libraries.  A finite field is a mathematical object upon which
 multiplication, addition, subtraction and division are defined.
 .
 In this release, only prime fields are supported.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-finite-field-doc
Description-md5: e45de7e1ec5f326d3a3c8cd3487bb030
Description-en: implementation of finite fields for Haskell; documentation
 An implementation of finite fields for Haskell programs and
 libraries.  A finite field is a mathematical object upon which
 multiplication, addition, subtraction and division are defined.
 .
 In this release, only prime fields are supported.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-finite-field-prof
Description-md5: 5ecc63642e346c24f66178f4f960aba3
Description-en: implementation of finite fields for Haskell; profiling libraries
 An implementation of finite fields for Haskell programs and
 libraries.  A finite field is a mathematical object upon which
 multiplication, addition, subtraction and division are defined.
 .
 In this release, only prime fields are supported.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-first-class-families-dev
Description-md5: 315cd915e9e71c77a4cea80d3abc7db6
Description-en: first class type families for Haskell
 This library provides support for first class type families in
 Haskell.  This permits constructors for type families to be passed to
 higher order first class type families, and eval-style
 defunctionalization.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-first-class-families-doc
Description-md5: 8a673e54d4d84990959f4480f7c0259e
Description-en: first class type families for Haskell; documentation
 This library provides support for first class type families in
 Haskell.  This permits constructors for type families to be passed to
 higher order first class type families, and eval-style
 defunctionalization.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-first-class-families-prof
Description-md5: 665beed4b12abf2553b0abc1aaf956dc
Description-en: first class type families for Haskell; profiling libraries
 This library provides support for first class type families in
 Haskell.  This permits constructors for type families to be passed to
 higher order first class type families, and eval-style
 defunctionalization.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fixed-dev
Description-md5: fe129df5186819142a425df4a5203649
Description-en: signed 15.16 precision fixed point arithmetic
 Signed fixed-precision values 15 bits above the decimal, 16 bits below.
 .
 These arise commonly in GPU applications and it is chosen to match the
 GLfixed specification.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fixed-doc
Description-md5: ed7eb90db910acf0b0a73e9a2f6712a1
Description-en: signed 15.16 precision fixed point arithmetic; documentation
 Signed fixed-precision values 15 bits above the decimal, 16 bits below.
 .
 These arise commonly in GPU applications and it is chosen to match the
 GLfixed specification.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fixed-prof
Description-md5: 5f9b3b376a6dbfa58cde9397cc30ddf9
Description-en: signed 15.16 precision fixed point arithmetic; profiling libraries
 Signed fixed-precision values 15 bits above the decimal, 16 bits below.
 .
 These arise commonly in GPU applications and it is chosen to match the
 GLfixed specification.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-flexible-defaults-dev
Description-md5: 1cf8624f02b5336ae91be3b935b6df84
Description-en: generate default function implementations for complex type classes
 Template Haskell code to implement default implementations for
 type-class functions based on which functions are already implemented.
 Currently extremely crude but still fairly effective.
 .
 When defining a type class with many functions, each of which can be
 implemented based on arbitrary subsets of the others, the standard
 default-implementation concept breaks down quite badly.  This library
 provides a system by which more complex rules can be described for
 choosing default implementations based on which ones the user
 supplies.  These implementations can additionally be given
 "suitability scores", so that when multiple possible choices could be
 made, the library can choose the "best" one.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-flexible-defaults-doc
Description-md5: cceaaa22cab0a3ff087dc758bc5eb621
Description-en: generate default function implementations for complex type classes; documentation
 Template Haskell code to implement default implementations for
 type-class functions based on which functions are already implemented.
 Currently extremely crude but still fairly effective.
 .
 When defining a type class with many functions, each of which can be
 implemented based on arbitrary subsets of the others, the standard
 default-implementation concept breaks down quite badly.  This library
 provides a system by which more complex rules can be described for
 choosing default implementations based on which ones the user
 supplies.  These implementations can additionally be given
 "suitability scores", so that when multiple possible choices could be
 made, the library can choose the "best" one.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-flexible-defaults-prof
Description-md5: 5079f59ef08a4bc59029b970f031c475
Description-en: generate default function implementations for complex type classes; profiling libraries
 Template Haskell code to implement default implementations for
 type-class functions based on which functions are already implemented.
 Currently extremely crude but still fairly effective.
 .
 When defining a type class with many functions, each of which can be
 implemented based on arbitrary subsets of the others, the standard
 default-implementation concept breaks down quite badly.  This library
 provides a system by which more complex rules can be described for
 choosing default implementations based on which ones the user
 supplies.  These implementations can additionally be given
 "suitability scores", so that when multiple possible choices could be
 made, the library can choose the "best" one.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-floatinghex-dev
Description-md5: e3b2578a01ad2d20367b1b46cf1527c5
Description-en: read and write hexadecimal floating point numbers
 Read and write hexadecimal floating point numbers. Provides a quasiquoter for
 entering hex-float literals, and a function for printing them in hexadecimal.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-floatinghex-doc
Description-md5: 1b6dfc48b4d64438781fcfec823f1374
Description-en: read and write hexadecimal floating point numbers; documentation
 Read and write hexadecimal floating point numbers. Provides a quasiquoter for
 entering hex-float literals, and a function for printing them in hexadecimal.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-floatinghex-prof
Description-md5: 835aa9a891e99a751c88d48259cfe942
Description-en: read and write hexadecimal floating point numbers; profiling libraries
 Read and write hexadecimal floating point numbers. Provides a quasiquoter for
 entering hex-float literals, and a function for printing them in hexadecimal.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fmlist-dev
Description-md5: 5329e3b2d3762deb9ebb5157e621fb5e
Description-en: FoldMap lists
 FoldMap lists are lists represented by their foldMap function.
 FoldMap lists have O(1) cons, snoc and append, just like DLists,
 but other operations might have favorable performance
 characteristics as well. These wild claims are still completely
 unverified though.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fmlist-doc
Description-md5: 7b41c76c4bde18c324e5cc791e72f9f4
Description-en: FoldMap lists; documentation
 FoldMap lists are lists represented by their foldMap function.
 FoldMap lists have O(1) cons, snoc and append, just like DLists,
 but other operations might have favorable performance
 characteristics as well. These wild claims are still completely
 unverified though.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fmlist-prof
Description-md5: 407feb7fd036488ee6587b78559586be
Description-en: FoldMap lists; profiling libraries
 FoldMap lists are lists represented by their foldMap function.
 FoldMap lists have O(1) cons, snoc and append, just like DLists,
 but other operations might have favorable performance
 characteristics as well. These wild claims are still completely
 unverified though.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-focuslist-dev
Description-md5: 05a9d4fc47b9a49b8f2aedccb56c392e
Description-en: lists with a focused element
 A FocusList is a sequence of elements which has one element as its
 Focus. It supports quick insertion and indexing by its implementation
 with Seq.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-focuslist-doc
Description-md5: 4856cbb0d8b42f222514fd7dcdaee12b
Description-en: lists with a focused element; documentation
 A FocusList is a sequence of elements which has one element as its
 Focus. It supports quick insertion and indexing by its implementation
 with Seq.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-focuslist-prof
Description-md5: c591bcd6026cf2ff774d125c3929762d
Description-en: lists with a focused element; profiling libraries
 A FocusList is a sequence of elements which has one element as its
 Focus. It supports quick insertion and indexing by its implementation
 with Seq.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-foldl-dev
Description-md5: b5e87e8be66ec3259fa2ab6fef05ae55
Description-en: composable, streaming, and efficient left folds
 This library provides strict left folds that stream in constant
 memory, and you can combine folds using Applicative style to derive
 new folds. Derived folds still traverse the container just once and
 are often as efficient as hand-written folds.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-foldl-doc
Description-md5: 429c7f8598f051ed1153d8c890d335e1
Description-en: composable, streaming, and efficient left folds; documentation
 This library provides strict left folds that stream in constant
 memory, and you can combine folds using Applicative style to derive
 new folds. Derived folds still traverse the container just once and
 are often as efficient as hand-written folds.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-foldl-prof
Description-md5: 9737287e06880cc4ad76e3808f4ec5c6
Description-en: composable, streaming, and efficient left folds; profiling libraries
 This library provides strict left folds that stream in constant
 memory, and you can combine folds using Applicative style to derive
 new folds. Derived folds still traverse the container just once and
 are often as efficient as hand-written folds.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-formatting-dev
Description-md5: fac3b8ccbee54a7520fabb458089f9e1
Description-en: combinator-based type-safe formatting
 Combinator-based type-safe formatting (like printf() or FORMAT),
 modelled from the HoleyMonoids package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-formatting-doc
Description-md5: f325ac5e6d62192f07f8655743bce34d
Description-en: combinator-based type-safe formatting; documentation
 Combinator-based type-safe formatting (like printf() or FORMAT),
 modelled from the HoleyMonoids package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-formatting-prof
Description-md5: 1ed6e87f23f2449b0d9f07c5fda1c950
Description-en: combinator-based type-safe formatting; profiling libraries
 Combinator-based type-safe formatting (like printf() or FORMAT),
 modelled from the HoleyMonoids package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-foundation-dev
Description-md5: 9eefc3f10196bb0a5e697ccd33dc572c
Description-en: alternative prelude with batteries and no dependencies
 A custom prelude with no dependencies apart from base.
 .
 This package has the following goals:
  * provide a base like sets of modules that provide a consistent set
    of features and bugfixes across multiple versions of GHC (unlike base).
  * provide a better and more efficient prelude than base's prelude.
  * be self-sufficient: no external dependencies apart from base.
  * provide better data-types: packed unicode string by default, arrays.
  * Better numerical classes that better represent mathematical thing
    (No more all-in-one Num).
  * Better I/O system with less Lazy IO
  * Usual partial functions distinguished through type system
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-foundation-doc
Description-md5: b0dcd397dbec4653f0116b94264fe224
Description-en: alternative prelude with batteries and no dependencies; documentation
 A custom prelude with no dependencies apart from base.
 .
 This package has the following goals:
  * provide a base like sets of modules that provide a consistent set
    of features and bugfixes across multiple versions of GHC (unlike base).
  * provide a better and more efficient prelude than base's prelude.
  * be self-sufficient: no external dependencies apart from base.
  * provide better data-types: packed unicode string by default, arrays.
  * Better numerical classes that better represent mathematical thing
    (No more all-in-one Num).
  * Better I/O system with less Lazy IO
  * Usual partial functions distinguished through type system
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-foundation-prof
Description-md5: f8a777a54c752412185f477d96bb33c5
Description-en: alternative prelude with batteries and no dependencies; profiling libraries
 A custom prelude with no dependencies apart from base.
 .
 This package has the following goals:
  * provide a base like sets of modules that provide a consistent set
    of features and bugfixes across multiple versions of GHC (unlike base).
  * provide a better and more efficient prelude than base's prelude.
  * be self-sufficient: no external dependencies apart from base.
  * provide better data-types: packed unicode string by default, arrays.
  * Better numerical classes that better represent mathematical thing
    (No more all-in-one Num).
  * Better I/O system with less Lazy IO
  * Usual partial functions distinguished through type system
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-free-dev
Description-md5: cf895897d953610a9f98e991e97cfcef
Description-en: Monads for free
 Free monads are useful for many tree-like structures and domain specific
 languages.
 .
 A Monad n is a free Monad for f if every Monad homomorphism from n to another
 monad m is equivalent to a natural transformation from f to m.
 .
 Cofree comonads provide convenient ways to talk about branching streams and
 rose-trees, and can be used to annotate syntax trees.
 .
 A Comonad v is a cofree Comonad for f if every Comonad homomorphism another
 comonad w to v is equivalent to a natural transformation from w to f.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-free-doc
Description-md5: e5c8bd2fc2a6180137c0343f80716b0b
Description-en: Monads for free; documentation
 Free monads are useful for many tree-like structures and domain specific
 languages.
 .
 A Monad n is a free Monad for f if every Monad homomorphism from n to another
 monad m is equivalent to a natural transformation from f to m.
 .
 Cofree comonads provide convenient ways to talk about branching streams and
 rose-trees, and can be used to annotate syntax trees.
 .
 A Comonad v is a cofree Comonad for f if every Comonad homomorphism another
 comonad w to v is equivalent to a natural transformation from w to f.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-free-prof
Description-md5: 9efc8f7e60a1b0919b02b3431ead6d91
Description-en: Monads for free; profiling libraries
 Free monads are useful for many tree-like structures and domain specific
 languages.
 .
 A Monad n is a free Monad for f if every Monad homomorphism from n to another
 monad m is equivalent to a natural transformation from f to m.
 .
 Cofree comonads provide convenient ways to talk about branching streams and
 rose-trees, and can be used to annotate syntax trees.
 .
 A Comonad v is a cofree Comonad for f if every Comonad homomorphism another
 comonad w to v is equivalent to a natural transformation from w to f.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-from-sum-dev
Description-md5: 99272088568bdc25c48730f76c29a21c
Description-en: canonical fromMaybeM and fromEitherM functions
 Various "from" functions for Either and Maybe, including fromEitherM,
 fromEitherOrM, fromEitherM_, fromEitherOrM_, fromMaybeM,
 fromMaybeOrM, fromMaybeM_, fromMaybeOrM_, fromEitherMM,
 fromEitherOrMM, fromMaybeMM, fromMaybeOrMM, fromEither, fromEitherOr,
 fromMaybe, fromMaybeOr, collapseEither, and collapseExceptT.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-from-sum-doc
Description-md5: 6eb86669ae39b54e5c5d54d70d637da1
Description-en: canonical fromMaybeM and fromEitherM functions; documentation
 Various "from" functions for Either and Maybe, including fromEitherM,
 fromEitherOrM, fromEitherM_, fromEitherOrM_, fromMaybeM,
 fromMaybeOrM, fromMaybeM_, fromMaybeOrM_, fromEitherMM,
 fromEitherOrMM, fromMaybeMM, fromMaybeOrMM, fromEither, fromEitherOr,
 fromMaybe, fromMaybeOr, collapseEither, and collapseExceptT.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-from-sum-prof
Description-md5: 872eb07fe60deda3c43a8e6921426f02
Description-en: canonical fromMaybeM and fromEitherM functions; profiling libraries
 Various "from" functions for Either and Maybe, including fromEitherM,
 fromEitherOrM, fromEitherM_, fromEitherOrM_, fromMaybeM,
 fromMaybeOrM, fromMaybeM_, fromMaybeOrM_, fromEitherMM,
 fromEitherOrMM, fromMaybeMM, fromMaybeOrMM, fromEither, fromEitherOr,
 fromMaybe, fromMaybeOr, collapseEither, and collapseExceptT.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fsnotify-dev
Description-md5: 079460b6d636bb59e1c721bdfdddeef4
Description-en: file creation/modification/deletion notification
 Cross-platform library for file creation, modification, and deletion
 notification. This library builds upon existing libraries for
 platform-specific Window, Mac, and Linux filesystem event notification.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fsnotify-doc
Description-md5: caf92c1e9a254504819a10587d6cf1f1
Description-en: file creation/modification/deletion notification; documentation
 Cross-platform library for file creation, modification, and deletion
 notification. This library builds upon existing libraries for
 platform-specific Window, Mac, and Linux filesystem event notification.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-fsnotify-prof
Description-md5: 947b69c2df1ed560d8ef581494bbf6be
Description-en: file creation/modification/deletion notification; profiling libraries
 Cross-platform library for file creation, modification, and deletion
 notification. This library builds upon existing libraries for
 platform-specific Window, Mac, and Linux filesystem event notification.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ftphs-dev
Description-md5: 3ef4843a06c87215dff721c12cd18f45
Description-en: FTP Client and Server Library for Haskell
 ftphs provides a Haskell library to implement a FTP client
 and a FTP server.
 .
 ftphs has a number of features:
 .
  * Easy to use operation
  * Full support of text and binary transfers
  * Optional lazy interaction
  * Server can serve up a real or a virtual filesystem tree
  * Standards compliant
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ftphs-doc
Description-md5: e00199a8b5de7ceb2c408f552ccd9206
Description-en: FTP Client and Server Library for Haskell; documentation
 ftphs provides a Haskell library to implement a FTP client
 and a FTP server.
 .
 ftphs has a number of features:
 .
  * Easy to use operation
  * Full support of text and binary transfers
  * Optional lazy interaction
  * Server can serve up a real or a virtual filesystem tree
  * Standards compliant
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ftphs-prof
Description-md5: 40bc98c568e2144b7fb5a37460c92239
Description-en: FTP Client and Server Library for Haskell; profiling libraries
 ftphs provides a Haskell library to implement a FTP client
 and a FTP server.
 .
 ftphs has a number of features:
 .
  * Easy to use operation
  * Full support of text and binary transfers
  * Optional lazy interaction
  * Server can serve up a real or a virtual filesystem tree
  * Standards compliant
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gd-dev
Description-md5: aea74e07072e1ededa9c87ca5a022445
Description-en: bindings to the GD graphics library
 Haskell bindings to a subset of libgd.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gd-doc
Description-md5: 29bf9004e0d622629ac4c41ca2ae293c
Description-en: bindings to the GD graphics library; documentation
 Haskell bindings to a subset of libgd.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gd-prof
Description-md5: ec1e8f68bf63828054f68d0c21a963f6
Description-en: bindings to the GD graphics library; profiling libraries
 Haskell bindings to a subset of libgd.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generic-deriving-dev
Description-md5: b7947c7a4b04c01e0744619941c5ed6f
Description-en: generic programming library for generalised deriving
 This package provides functionality for generalising the deriving
 mechanism in Haskell to arbitrary classes. It was first described
 in the paper:
 .
 A generic deriving mechanism for Haskell. Jose Pedro Magalhaes, Atze
 Dijkstra, Johan Jeuring, and Andres Loeh. Haskell'10.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generic-deriving-doc
Description-md5: 449e40b7b06dc0be3b0acf4b1fafde4e
Description-en: generic programming library for generalised deriving; documentation
 This package provides functionality for generalising the deriving
 mechanism in Haskell to arbitrary classes. It was first described
 in the paper:
 .
 A generic deriving mechanism for Haskell. Jose Pedro Magalhaes, Atze
 Dijkstra, Johan Jeuring, and Andres Loeh. Haskell'10.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generic-deriving-prof
Description-md5: b44f367b84d690661f9224311302e687
Description-en: generic programming library for generalised deriving; profiling libraries
 This package provides functionality for generalising the deriving
 mechanism in Haskell to arbitrary classes. It was first described
 in the paper:
 .
 A generic deriving mechanism for Haskell. Jose Pedro Magalhaes, Atze
 Dijkstra, Johan Jeuring, and Andres Loeh. Haskell'10.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generic-trie-dev
Description-md5: d9ba0261a30888b7acce8c4ab8ba3fe4
Description-en: a map, where the keys may be complex structured data
 This type implements maps where the keys are themselves complex structured
 data. For example, the keys may be the abstract syntax trees for a
 programming language. The map is implemented as a trie, so common parts of
 the keys will be shared in the representation. The library provides a generic
 implementation of the data structure, so values of types that have support for
 'Generic' may be automatically used as keys in the map.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generic-trie-doc
Description-md5: ae85cb77555b09e96477c983230e926e
Description-en: a map, where the keys may be complex structured data; documentation
 This type implements maps where the keys are themselves complex structured
 data. For example, the keys may be the abstract syntax trees for a
 programming language. The map is implemented as a trie, so common parts of
 the keys will be shared in the representation. The library provides a generic
 implementation of the data structure, so values of types that have support for
 'Generic' may be automatically used as keys in the map.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generic-trie-prof
Description-md5: e257924459b987dcd9622f151723c7bd
Description-en: a map, where the keys may be complex structured data; profiling libraries
 This type implements maps where the keys are themselves complex structured
 data. For example, the keys may be the abstract syntax trees for a
 programming language. The map is implemented as a trie, so common parts of
 the keys will be shared in the representation. The library provides a generic
 implementation of the data structure, so values of types that have support for
 'Generic' may be automatically used as keys in the map.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generics-sop-dev
Description-md5: 0545e372be37b6964f9b3b52a167e6c2
Description-en: Generic Programming using True Sums of Products
 A library to support the definition of generic functions.
 Datatypes are viewed in a uniform, structured way:
 the choice between constructors is represented using an n-ary
 sum, and the arguments of each constructor are represented using
 an n-ary product.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generics-sop-doc
Description-md5: 07ab4dbd00c1b4debfe146569e90bace
Description-en: Generic Programming using True Sums of Products; documentation
 A library to support the definition of generic functions.
 Datatypes are viewed in a uniform, structured way:
 the choice between constructors is represented using an n-ary
 sum, and the arguments of each constructor are represented using
 an n-ary product.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-generics-sop-prof
Description-md5: 05f3a0a184936db18e7164f5e5938165
Description-en: Generic Programming using True Sums of Products; profiling libraries
 A library to support the definition of generic functions.
 Datatypes are viewed in a uniform, structured way:
 the choice between constructors is represented using an n-ary
 sum, and the arguments of each constructor are represented using
 an n-ary product.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-geniplate-mirror-dev
Description-md5: f6c12d850f30304875168290ec97706a
Description-en: Use Template Haskell to generate Uniplate-like functions.
 This package provides Template Haskell functions to generate Uniplate-like
 functions.
 .
 This is a maintained mirror of the geniplate package written by Lennart
 Augustsson.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-geniplate-mirror-doc
Description-md5: 57b707699a05e7c913dab4505a6738e9
Description-en: Use Template Haskell to generate Uniplate-like functions.; documentation
 This package provides Template Haskell functions to generate Uniplate-like
 functions.
 .
 This is a maintained mirror of the geniplate package written by Lennart
 Augustsson.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-geniplate-mirror-prof
Description-md5: 02f6c558dc9843832732b314c70d89c1
Description-en: Use Template Haskell to generate Uniplate-like functions.; profiling libraries
 This package provides Template Haskell functions to generate Uniplate-like
 functions.
 .
 This is a maintained mirror of the geniplate package written by Lennart
 Augustsson.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-containers-dev
Description-md5: 252e241b4d2d673466b12d0a7bfa322c
Description-en: GenValidity support for containers
 GenValidity support for trees, maps, sequences, sets
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-containers-doc
Description-md5: 85a2eb02818180c9d04a3fda8ed36f00
Description-en: GenValidity support for containers; documentation
 GenValidity support for trees, maps, sequences, sets
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-containers-prof
Description-md5: e58a741f0c9d13df1a3265ac3464ffe6
Description-en: GenValidity support for containers; profiling libraries
 GenValidity support for trees, maps, sequences, sets
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-dev
Description-md5: 0223ed2e9608ed080e22f4e56430af49
Description-en: testing utilities for the validity library
 Note: There are companion instance packages for this library:
  * genvalidity-aeson
  * genvalidity-bytestring
  * genvalidity-containers
  * genvalidity-path
  * genvalidity-scientific
  * genvalidity-text
  * genvalidity-time
  * genvalidity-unordered-containers
  * genvalidity-uuid
  * genvalidity-vector
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-doc
Description-md5: d60e0c9c02512cd98952bb0a69a52ec4
Description-en: testing utilities for the validity library; documentation
 Note: There are companion instance packages for this library:
  * genvalidity-aeson
  * genvalidity-bytestring
  * genvalidity-containers
  * genvalidity-path
  * genvalidity-scientific
  * genvalidity-text
  * genvalidity-time
  * genvalidity-unordered-containers
  * genvalidity-uuid
  * genvalidity-vector
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-hspec-dev
Description-md5: fd8aa86ae07b7036e5b0c7c1749c00dd
Description-en: standard specs for GenValidity instances
 Spec functions including:
  - genValidSpec
  - eqSpecOnValid
  - ordSpecOnValid
  - producesValidsOnValids
  - forAllValid
  - shouldBeValid
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-hspec-doc
Description-md5: 94d010092eb0413e8b1dc3015ff61a2e
Description-en: standard specs for GenValidity instances; documentation
 Spec functions including:
  - genValidSpec
  - eqSpecOnValid
  - ordSpecOnValid
  - producesValidsOnValids
  - forAllValid
  - shouldBeValid
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-hspec-prof
Description-md5: 00ffd5bbd91f5e2eba95645eeca579cd
Description-en: standard specs for GenValidity instances; profiling libraries
 Spec functions including:
  - genValidSpec
  - eqSpecOnValid
  - ordSpecOnValid
  - producesValidsOnValids
  - forAllValid
  - shouldBeValid
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-prof
Description-md5: 81f3f5e3c3d65251bf284c4635f19a2b
Description-en: testing utilities for the validity library; profiling libraries
 Note: There are companion instance packages for this library:
  * genvalidity-aeson
  * genvalidity-bytestring
  * genvalidity-containers
  * genvalidity-path
  * genvalidity-scientific
  * genvalidity-text
  * genvalidity-time
  * genvalidity-unordered-containers
  * genvalidity-uuid
  * genvalidity-vector
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-property-dev
Description-md5: 67bdfce4fe9fa3c7ae22025cf3dc6b03
Description-en: standard properties for functions on Validity types
 Properties of functions, operations, and relations.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-property-doc
Description-md5: 2892dd4426b922847fdee8124f21bbe0
Description-en: standard properties for functions on Validity types; documentation
 Properties of functions, operations, and relations.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-genvalidity-property-prof
Description-md5: 6fbb4fb5f12eb0bcb674d4d3c5d7cd74
Description-en: standard properties for functions on Validity types; profiling libraries
 Properties of functions, operations, and relations.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-getopt-generics-dev
Description-md5: 7cfb7715d0bcef870fe84845bb3d5c53
Description-en: create command line interfaces with ease
 withCli converts an IO operation into a program with a proper CLI.
 Retrieves command line arguments through withArgs. main (the given IO
 operation) can have arbitrarily many parameters provided all
 parameters have instances for HasArguments.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-getopt-generics-doc
Description-md5: 2f5d67365b18236d0471a6742b0cf5e4
Description-en: create command line interfaces with ease; documentation
 withCli converts an IO operation into a program with a proper CLI.
 Retrieves command line arguments through withArgs. main (the given IO
 operation) can have arbitrarily many parameters provided all
 parameters have instances for HasArguments.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-getopt-generics-prof
Description-md5: 9c0f59ec4c42cb19a6f0eb11b2176110
Description-en: create command line interfaces with ease; profiling libraries
 withCli converts an IO operation into a program with a proper CLI.
 Retrieves command line arguments through withArgs. main (the given IO
 operation) can have arbitrarily many parameters provided all
 parameters have instances for HasArguments.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-events-dev
Description-md5: dc1ced3f9cc56c1c56a4ce9ee86d2a95
Description-en: Library for parsing GHC event log files
 This library provides functions to parse .eventlog files emitted by
 the GHC.12.1 and later runtimes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-events-doc
Description-md5: 67214e3c06ba70758c39db0ec680f93f
Description-en: Library for parsing GHC event log files; documentation
 This library provides functions to parse .eventlog files emitted by
 the GHC.12.1 and later runtimes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-events-prof
Description-md5: 4a6daff37bf532d898104a7964f738c1
Description-en: Library for parsing GHC event log files; profiling libraries
 This library provides functions to parse .eventlog files emitted by
 the GHC.12.1 and later runtimes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-mtl-dev
Description-md5: c036b6511c892a2b4deeeeb544871474
Description-en: an mtl compatible version of the Ghc-Api monads
 Provides an mtl compatible version of the GhcT monad-transformer defined in
 the GHC-API since version 6.10.1.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-mtl-doc
Description-md5: 679bed6e7785ab4678b023abe1255c92
Description-en: an mtl compatible version of the Ghc-Api monads; documentation
 Provides an mtl compatible version of the GhcT monad-transformer defined in
 the GHC-API since version 6.10.1.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-mtl-prof
Description-md5: 5bf94b8b7fd04a4deadd17715980df3e
Description-en: an mtl compatible version of the Ghc-Api monads; profiling libraries
 Provides an mtl compatible version of the GhcT monad-transformer defined in
 the GHC-API since version 6.10.1.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-paths-dev
Description-md5: f83c27f32cdb0d92554694b7fc97b05c
Description-en: knowledge of GHC's installation directories
 This package provides constants with the installation directories of the
 Glasgow Haskell Compiler.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-paths-doc
Description-md5: 99a8f297537ad3934f6b18e2a133fbb7
Description-en: knowledge of GHC's installation directories; documentation
 This package provides constants with the installation directories of the
 Glasgow Haskell Compiler.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-paths-prof
Description-md5: 83e5bc5466550e30896c7a39a70ed0a8
Description-en: knowledge of GHC's installation directories; profiling libraries
 This package provides constants with the installation directories of the
 Glasgow Haskell Compiler.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ghc-syb-utils-dev
Description-md5: 8a36a3fa3e1b932b045c1f61ce55d493
Description-en: Scrap Your Boilerplate utilities for the GHC API
 Common utilities for the Ghc Api, either based on Data/Typeable or
 for use with Data.Generics over Ghc Api types.
 .
 This package contains the normal library files.

Package: libghc-ghc-syb-utils-doc
Description-md5: cc3d9fbd2d5b65168bad4783d4ee44b9
Description-en: Scrap Your Boilerplate utilities for the GHC API; documentation
 Common utilities for the Ghc Api, either based on Data/Typeable or
 for use with Data.Generics over Ghc Api types.
 .
 This package contains the documentation files.

Package: libghc-ghc-syb-utils-prof
Description-md5: 202b59ae569ff75bcf8ce888ecbf345d
Description-en: Scrap Your Boilerplate utilities for the GHC API; profiling libraries
 Common utilities for the Ghc Api, either based on Data/Typeable or
 for use with Data.Generics over Ghc Api types.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-gi-atk-dev
Description-md5: 538ddae505253a2c282f48664d167a1c
Description-en: ATK bindings
 Bindings for ATK, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-atk-doc
Description-md5: d2cf84c2e9b7bbb3664d4386dcd36c1b
Description-en: ATK bindings; documentation
 Bindings for ATK, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-atk-prof
Description-md5: d88b4af9291d7e6a946b20b2ffbca5e1
Description-en: ATK bindings; profiling libraries
 Bindings for ATK, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-connector-dev
Description-md5: ee041b0ce37513036a47be33c54b6d02
Description-en: GI friendly Binding to the Cairo library
 This library contains glue code used to interconnect Haskell GI and Cairo
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-connector-doc
Description-md5: 3df8a797084d4e500f117a2ce21b356a
Description-en: GI friendly Binding to the Cairo library; documentation
 This library contains glue code used to interconnect Haskell GI and Cairo
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-connector-prof
Description-md5: b11a8f8116aca3ff0006a7067609a38c
Description-en: GI friendly Binding to the Cairo library; profiling libraries
 This library contains glue code used to interconnect Haskell GI and Cairo
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-dev
Description-md5: 439bf94d38c8478a9f6cd5e92762c142
Description-en: Cairo bindings
 Bindings for Cairo, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-doc
Description-md5: 373130cbcb85e22954e9cedd818fe1ae
Description-en: Cairo bindings; documentation
 Bindings for Cairo, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-prof
Description-md5: 4e485b8c4b21f0e7c9103efa78015a7f
Description-en: Cairo bindings; profiling libraries
 Bindings for Cairo, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-render-dev
Description-md5: bfc7c2fbe27f496fe5fc100f4501d69d
Description-en: GI friendly Binding to the Cairo library.
 Cairo is a library to render high quality vector graphics. There
 exist various backends that allows rendering to Gtk windows, PDF,
 PS, PNG and SVG documents, amongst others.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-render-doc
Description-md5: 68c74e249d888901261b212c4b3c191f
Description-en: GI friendly Binding to the Cairo library.; documentation
 Cairo is a library to render high quality vector graphics. There
 exist various backends that allows rendering to Gtk windows, PDF,
 PS, PNG and SVG documents, amongst others.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-cairo-render-prof
Description-md5: f7e719a67c1f92f546b1c182efc2a4e6
Description-en: GI friendly Binding to the Cairo library.; profiling libraries
 Cairo is a library to render high quality vector graphics. There
 exist various backends that allows rendering to Gtk windows, PDF,
 PS, PNG and SVG documents, amongst others.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-dbusmenu-dev
Description-md5: 2a212d81dcd74beb96a4255fc48eeb77
Description-en: DBusMenu bindings
 Bindings for libdbusmenu, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-dbusmenu-doc
Description-md5: 073e4210fc3d242c064b8998639e162f
Description-en: DBusMenu bindings; documentation
 Bindings for libdbusmenu, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-dbusmenu-prof
Description-md5: 190a078b40fc4e3dda7eda40a6b6f41c
Description-en: DBusMenu bindings; profiling libraries
 Bindings for libdbusmenu, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-dbusmenugtk3-dev
Description-md5: 6f7e5d724f76eb3a03ef23ecbc6dc2d1
Description-en: DBusMenu-GTK3 bindings
 Bindings for libdbusgtk3, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-dbusmenugtk3-doc
Description-md5: c4cb0603c5e5a337157e5b174dea3bd4
Description-en: DBusMenu-GTK3 bindings; documentation
 Bindings for libdbusgtk3, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-dbusmenugtk3-prof
Description-md5: c23be0437c33555ec94feea4b27abc3b
Description-en: DBusMenu-GTK3 bindings; profiling libraries
 Bindings for libdbusgtk3, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdk-dev
Description-md5: e1e203cf84e63ff2d89cddc930c53376
Description-en: GDK bindings
 Bindings for GDK, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdk-doc
Description-md5: 4b87a1cbab96f55148f4c4339dcb9b48
Description-en: GDK bindings; documentation
 Bindings for GDK, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdk-prof
Description-md5: 52975533ac8bac6ef43596599d514ab2
Description-en: GDK bindings; profiling libraries
 Bindings for GDK, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdkpixbuf-dev
Description-md5: e659f966fe5381052b03204a17406906
Description-en: GdkPixbuf bindings
 Bindings for GdkPixbuf, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdkpixbuf-doc
Description-md5: b3eaa5c8f09e637944b64038c6f5a975
Description-en: GdkPixbuf bindings; documentation
 Bindings for GdkPixbuf, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdkpixbuf-prof
Description-md5: 57d7f7e5b5566ae75002365c9bf36cc5
Description-en: GdkPixbuf bindings; profiling libraries
 Bindings for GdkPixbuf, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdkx11-dev
Description-md5: f624511c3170f2c8d9eb92be4fd46d57
Description-en: GDKX11 bindings
 Bindings for GDKX11, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdkx11-doc
Description-md5: 389613de07bf4fb123781d7901228902
Description-en: GDKX11 bindings; documentation
 Bindings for GDKX11, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gdkx11-prof
Description-md5: 1bee9ed39c924645337ba424ce341906
Description-en: GDKX11 bindings; profiling libraries
 Bindings for GDKX11, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gio-dev
Description-md5: 24f3c44dfe5a1ba054469d973d81c84b
Description-en: Gio bindings
 Bindings for Gio, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gio-doc
Description-md5: bcd36be7ea3b90209ba130fa4e1ac8c8
Description-en: Gio bindings; documentation
 Bindings for Gio, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gio-prof
Description-md5: e15259dda5db73b73fc28f1280494c02
Description-en: Gio bindings; profiling libraries
 Bindings for Gio, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-glib-dev
Description-md5: 9558653845e3106ff8fe07c9de90eeba
Description-en: GLib bindings
 Bindings for GLib, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-glib-doc
Description-md5: 682eebc7ba56a021f988bb23f580bef6
Description-en: GLib bindings; documentation
 Bindings for GLib, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-glib-prof
Description-md5: 5d26248ff2f043b36045b96b63919e17
Description-en: GLib bindings; profiling libraries
 Bindings for GLib, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gobject-dev
Description-md5: 33064272988ea602ca5ab8db630a58f1
Description-en: GObject bindings
 Bindings for GObject, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gobject-doc
Description-md5: 1f137cb41ae2ece0cfd8b1adcc2c539d
Description-en: GObject bindings; documentation
 Bindings for GObject, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gobject-prof
Description-md5: f6a3d9b75e67f45ccaeb84af8befb051
Description-en: GObject bindings; profiling libraries
 Bindings for GObject, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gtk-dev
Description-md5: 7300ec81bae6a6d1a23667c2eaa2a748
Description-en: GTK bindings
 Bindings for GTK, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gtk-doc
Description-md5: 647e83ea0679d2373530da88a32856b3
Description-en: GTK bindings; documentation
 Bindings for GTK, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gtk-hs-dev
Description-md5: 3e77753f1445366a0ed29853cbd79c65
Description-en: idiomatic API parts on top of gi-gtk
 A wrapper for gi-gtk, adding a few more idiomatic API parts on top.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gtk-hs-doc
Description-md5: d707d65ac4c655f3503c79d696f80d73
Description-en: idiomatic API parts on top of gi-gtk; documentation
 A wrapper for gi-gtk, adding a few more idiomatic API parts on top.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gtk-hs-prof
Description-md5: 03d7f8f97ae68e1b59688d8bfdd5a5c2
Description-en: idiomatic API parts on top of gi-gtk; profiling libraries
 A wrapper for gi-gtk, adding a few more idiomatic API parts on top.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-gtk-prof
Description-md5: e93b2b6707dc91687ccc930746d0d506
Description-en: GTK bindings; profiling libraries
 Bindings for GTK, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-pango-dev
Description-md5: 872f771d5340d5096cd9e6f7ac8df69c
Description-en: Pango bindings
 Bindings for Pango, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-pango-doc
Description-md5: 785026402c13bd7f5c5be91d6793c72c
Description-en: Pango bindings; documentation
 Bindings for Pango, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-pango-prof
Description-md5: 556181490af2220d3b5c664012c1fcbb
Description-en: Pango bindings; profiling libraries
 Bindings for Pango, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-vte-dev
Description-md5: 7688357fb6a51e8f3215689bbde0d85e
Description-en: VTE bindings
 Bindings for VTE, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-vte-doc
Description-md5: 05bde55c0e54313d2dcf1a4c24f28bc2
Description-en: VTE bindings; documentation
 Bindings for VTE, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-vte-prof
Description-md5: f509d409ddb5b6b9848ea90a76aadad9
Description-en: VTE bindings; profiling libraries
 Bindings for VTE, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-xlib-dev
Description-md5: 80cac218a4207041f4652d5e7d2b4b58
Description-en: Xlib bindings
 Bindings for Xlib, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-xlib-doc
Description-md5: 0f6fa50f0719db7db8b299b9e3f85359
Description-en: Xlib bindings; documentation
 Bindings for Xlib, autogenerated by haskell-gi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gi-xlib-prof
Description-md5: fa632c6c0b1aa7276ba74ebe3ccc6a2a
Description-en: Xlib bindings; profiling libraries
 Bindings for Xlib, autogenerated by haskell-gi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gio-dev
Description-md5: 80d2448c898d582ca1735c2bb8f4f244
Description-en: binding to the GIO
 GIO is striving to provide a modern, easy-to-use VFS API that sits at the
 right level in the library stack. The goal is to overcome the shortcomings of
 GnomeVFS and provide an API that is so good that developers prefer it over raw
 POSIX calls. Among other things that means using GObject. It also means not
 cloning the POSIX API, but providing higher-level, document-centric
 interfaces.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gio-doc
Description-md5: 3c6b5df49d673c314a84ade399c220e7
Description-en: Binding to the GIO; documentation
 GIO is striving to provide a modern, easy-to-use VFS API that sits at the
 right level in the library stack. The goal is to overcome the shortcomings of
 GnomeVFS and provide an API that is so good that developers prefer it over raw
 POSIX calls. Among other things that means using GObject. It also means not
 cloning the POSIX API, but providing higher-level, document-centric
 interfaces.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gio-prof
Description-md5: d0982e6be94d26162d3609894f6d5e5a
Description-en: Binding to the GIO; profiling libraries
 GIO is striving to provide a modern, easy-to-use VFS API that sits at the
 right level in the library stack. The goal is to overcome the shortcomings of
 GnomeVFS and provide an API that is so good that developers prefer it over raw
 POSIX calls. Among other things that means using GObject. It also means not
 cloning the POSIX API, but providing higher-level, document-centric
 interfaces.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-git-lfs-dev
Description-md5: 0c17566120d0c111ad04c550eb467097
Description-en: git-lfs protocol for Haskell
 An implementation of the git-lfs protocol for the Haskell programming language.
 .
 git-lfs can be used to store large files in git.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-git-lfs-doc
Description-md5: aa6b6d5dd118f3dfe550197f8b070d81
Description-en: git-lfs protocol for Haskell; documentation
 An implementation of the git-lfs protocol for the Haskell programming language.
 .
 git-lfs can be used to store large files in git.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-git-lfs-prof
Description-md5: 4bcfd30dccead8e8e918997517bbf4d6
Description-en: git-lfs protocol for Haskell; profiling libraries
 An implementation of the git-lfs protocol for the Haskell programming language.
 .
 git-lfs can be used to store large files in git.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-githash-dev
Description-md5: 8b84204fd44c779b6501a21082d847fc
Description-en: Compile git revision info into Haskell projects
 Please see the README and documentation at <https://www.stackage.org/package/githash>
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-githash-doc
Description-md5: c891ce20b73f64b2e5344f52ea649adb
Description-en: Compile git revision info into Haskell projects; documentation
 Please see the README and documentation at <https://www.stackage.org/package/githash>
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-githash-prof
Description-md5: c9e1d10003fc4008cdd18112756c0e89
Description-en: Compile git revision info into Haskell projects; profiling libraries
 Please see the README and documentation at <https://www.stackage.org/package/githash>
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-github-dev
Description-md5: cc98a89778c4127e02cde8b860036428
Description-en: Haskell Github API
 The Github API provides programmatic access to the full Github Web site,
 from Issues to Gists to repos down to the underlying git data like
 references and trees. This library wraps all of that, exposing a basic
 but Haskell-friendly set of functions and data structures.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-github-doc
Description-md5: 83a997b654e6d56764833fb71b38316c
Description-en: Haskell Github API; documentation
 The Github API provides programmatic access to the full Github Web site,
 from Issues to Gists to repos down to the underlying git data like
 references and trees. This library wraps all of that, exposing a basic
 but Haskell-friendly set of functions and data structures.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-github-prof
Description-md5: c83ab7127cf9da7b73557504a64df762
Description-en: Haskell Github API; profiling libraries
 The Github API provides programmatic access to the full Github Web site,
 from Issues to Gists to repos down to the underlying git data like
 references and trees. This library wraps all of that, exposing a basic
 but Haskell-friendly set of functions and data structures.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitit-data
Description-md5: 43df3e429cd52ef1c7c59c3a3ce71b24
Description-en: Wiki engine backed by a git or darcs filestore - Data files
 Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files
 can be modified either directly via the VCS's command-line tools or through
 the wiki's web interface. Pandoc is used for markup processing, so pages may
 be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate
 Haskell, and exported in ten different formats, including LaTeX, ConTeXt,
 DocBook, RTF, OpenOffice ODT, and MediaWiki markup.
 .
 Other features include
   * plugins: dynamically loaded page transformations written in Haskell;
   * categories;
   * support for Unicode;
   * TeX math using texmath;
   * syntax highlighting of source code files and code snippets using
     highlighting-kate;
   * wiki pages can be viewed as slide shows;
   * caching;
   * Atom feeds (site-wide and per-page);
   * a library, Network.Gitit, that makes it simple to include a gitit wiki in
     any happstack application;
   * pages can be written directly in literate Haskell.
 .
 This package contains auxiliary data files to be used with gitit or
 libghc-gitit-dev.

Package: libghc-gitit-dev
Description-md5: 1fe75f3689e4b3816284dca423a6303a
Description-en: Wiki engine backed by a git or darcs filestore
 Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files
 can be modified either directly via the VCS's command-line tools or through
 the wiki's web interface. Pandoc is used for markup processing, so pages may
 be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate
 Haskell, and exported in ten different formats, including LaTeX, ConTeXt,
 DocBook, RTF, OpenOffice ODT, and MediaWiki markup.
 .
 Other features include
   * plugins: dynamically loaded page transformations written in Haskell;
   * categories;
   * support for Unicode;
   * TeX math using texmath;
   * syntax highlighting of source code files and code snippets using
     highlighting-kate;
   * wiki pages can be viewed as slide shows;
   * caching;
   * Atom feeds (site-wide and per-page);
   * a library, Network.Gitit, that makes it simple to include a gitit wiki in
     any happstack application;
   * pages can be written directly in literate Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitit-doc
Description-md5: 5c7c364f590e285df159c584812ae73f
Description-en: Wiki engine backed by a git or darcs filestore; documentation
 Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files
 can be modified either directly via the VCS's command-line tools or through
 the wiki's web interface. Pandoc is used for markup processing, so pages may
 be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate
 Haskell, and exported in ten different formats, including LaTeX, ConTeXt,
 DocBook, RTF, OpenOffice ODT, and MediaWiki markup.
 .
 Other features include
   * plugins: dynamically loaded page transformations written in Haskell;
   * categories;
   * support for Unicode;
   * TeX math using texmath;
   * syntax highlighting of source code files and code snippets using
     highlighting-kate;
   * wiki pages can be viewed as slide shows;
   * caching;
   * Atom feeds (site-wide and per-page);
   * a library, Network.Gitit, that makes it simple to include a gitit wiki in
     any happstack application;
   * pages can be written directly in literate Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitit-prof
Description-md5: 604ae384965d38ea9fd2708913155458
Description-en: Wiki engine backed by a git or darcs filestore; profiling libraries
 Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files
 can be modified either directly via the VCS's command-line tools or through
 the wiki's web interface. Pandoc is used for markup processing, so pages may
 be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate
 Haskell, and exported in ten different formats, including LaTeX, ConTeXt,
 DocBook, RTF, OpenOffice ODT, and MediaWiki markup.
 .
 Other features include
   * plugins: dynamically loaded page transformations written in Haskell;
   * categories;
   * support for Unicode;
   * TeX math using texmath;
   * syntax highlighting of source code files and code snippets using
     highlighting-kate;
   * wiki pages can be viewed as slide shows;
   * caching;
   * Atom feeds (site-wide and per-page);
   * a library, Network.Gitit, that makes it simple to include a gitit wiki in
     any happstack application;
   * pages can be written directly in literate Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitlib-dev
Description-md5: 9ba446564a54187ac6876c927660fd5b
Description-en: API library for working with Git repositories
 gitlib is a high-level, lazy and conduit-aware set of abstractions
 for programming with Git types. Several different backends are
 available, including one for the libgit2 C library. The aim is both
 type-safety and convenience of use for Haskell users, combined with
 high performance and minimal memory footprint by taking advantage of
 Haskell's laziness and the conduit library's deterministic resource
 cleanup.
 .
 For further information, as well as typical use cases, see the
 Git.Tutorial module.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitlib-doc
Description-md5: 5d7db5c3f83559a546b433d5c02d433d
Description-en: API library for working with Git repositories; documentation
 gitlib is a high-level, lazy and conduit-aware set of abstractions
 for programming with Git types. Several different backends are
 available, including one for the libgit2 C library. The aim is both
 type-safety and convenience of use for Haskell users, combined with
 high performance and minimal memory footprint by taking advantage of
 Haskell's laziness and the conduit library's deterministic resource
 cleanup.
 .
 For further information, as well as typical use cases, see the
 Git.Tutorial module.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitlib-prof
Description-md5: c70a3d1ffea69a80d4fd8c84387cc8e1
Description-en: API library for working with Git repositories; profiling libraries
 gitlib is a high-level, lazy and conduit-aware set of abstractions
 for programming with Git types. Several different backends are
 available, including one for the libgit2 C library. The aim is both
 type-safety and convenience of use for Haskell users, combined with
 high performance and minimal memory footprint by taking advantage of
 Haskell's laziness and the conduit library's deterministic resource
 cleanup.
 .
 For further information, as well as typical use cases, see the
 Git.Tutorial module.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitrev-dev
Description-md5: 44d9bf97dcacb61e1fd959f4fe800e4b
Description-en: compile git revision info into Haskell projects
 Ths package provides some handy Template Haskell splices for including the
 current git hash and branch in the code of your project.
 .
 This is useful for including in panic messages, --version output, or
 diagnostic info for more informative bug reports.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitrev-doc
Description-md5: 6aa61c31dfdd02e35374e3e6e1e50826
Description-en: compile git revision info into Haskell projects; documentation
 Ths package provides some handy Template Haskell splices for including the
 current git hash and branch in the code of your project.
 .
 This is useful for including in panic messages, --version output, or
 diagnostic info for more informative bug reports.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gitrev-prof
Description-md5: 624e16004dcd3ba1e8449907be9e45c6
Description-en: compile git revision info into Haskell projects; profiling libraries
 Ths package provides some handy Template Haskell splices for including the
 current git hash and branch in the code of your project.
 .
 This is useful for including in panic messages, --version output, or
 diagnostic info for more informative bug reports.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-glib-dev
Description-md5: 5f14ac388babd20239e81ce3ce49a097
Description-en: Binding to the GLIB library for Gtk2Hs
 The GNU Library is a collection of C data structures and utility function for
 dealing with Unicode. This package only binds as much functionality as
 required to support the packages that wrap libraries that are themselves based
 on GLib.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-glib-doc
Description-md5: b6b92667903493476d988511e007b52d
Description-en: Binding to the GLIB library for Gtk2Hs; documentation
 The GNU Library is a collection of C data structures and utility function for
 dealing with Unicode. This package only binds as much functionality as
 required to support the packages that wrap libraries that are themselves based
 on GLib.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-glib-prof
Description-md5: 167bc88ebdafd70e40778a395ceec17f
Description-en: Binding to the GLIB library for Gtk2Hs; profiling libraries
 The GNU Library is a collection of C data structures and utility function for
 dealing with Unicode. This package only binds as much functionality as
 required to support the packages that wrap libraries that are themselves based
 on GLib.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-glob-dev
Description-md5: e3a7401e687eb937ba0c525fdcaf3bb8
Description-en: library matching glob patterns against file paths
 This package contians a haskell library for globbing: matching patterns
 against file paths.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-glob-doc
Description-md5: 61f9adf81e1d8a58f04f95ea64df5f01
Description-en: library matching glob patterns against file paths; documentation
 This package contians a haskell library for globbing: matching patterns
 against file paths.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-glob-prof
Description-md5: e86806ec6c566bf9949de6b0eef29f0f
Description-en: library matching glob patterns against file paths; profiling libraries
 This package contians a haskell library for globbing: matching patterns
 against file paths.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gloss-dev
Description-md5: 6c03ea3c7ee0617028c88bcf552dbb33
Description-en: Painless 2D vector graphics, animations and simulations.
 Gloss hides the pain of drawing simple vector graphics behind a nice data type
 and a few display functions. Gloss comes for with support for animations and
 simulations as well, and allows one to create simple interactive games.  Gloss
 uses OpenGL under the hood, but you won't need to worry about any of that.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gloss-doc
Description-md5: 68d6029176a5772597ac96cda3f868b3
Description-en: Painless 2D vector graphics, animations and simulations.; documentation
 Gloss hides the pain of drawing simple vector graphics behind a nice data type
 and a few display functions. Gloss comes for with support for animations and
 simulations as well, and allows one to create simple interactive games.  Gloss
 uses OpenGL under the hood, but you won't need to worry about any of that.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gloss-prof
Description-md5: 165ca37b73bc7b9ac6b0b2f01166aafd
Description-en: Painless 2D vector graphics, animations and simulations.; profiling libraries
 Gloss hides the pain of drawing simple vector graphics behind a nice data type
 and a few display functions. Gloss comes for with support for animations and
 simulations as well, and allows one to create simple interactive games.  Gloss
 uses OpenGL under the hood, but you won't need to worry about any of that.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gloss-rendering-dev
Description-md5: e6b8d205c11c8fda32eb1714ed1198a8
Description-en: Gloss picture data types and rendering functions.
 The packag provides the gloss picture data types and rendering functions.
 These functions don't do any window management. If you want gloss to setup
 your window as well then use the plain gloss package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gloss-rendering-doc
Description-md5: 5c31bdf8634c0cb2aa6b4d1fefcb9a94
Description-en: Gloss picture data types and rendering functions.; documentation
 The packag provides the gloss picture data types and rendering functions.
 These functions don't do any window management. If you want gloss to setup
 your window as well then use the plain gloss package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gloss-rendering-prof
Description-md5: 9e8a6eefd1cdf2267d49dbf9af456700
Description-en: Gloss picture data types and rendering functions.; profiling libraries
 The packag provides the gloss picture data types and rendering functions.
 These functions don't do any window management. If you want gloss to setup
 your window as well then use the plain gloss package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gluraw-dev
Description-md5: 92a40ab4463024df68d5ee32cf644f79
Description-en: Raw binding for the OpenGL graphics system
 GLURaw is a raw Haskell binding for the GLU 1.3 OpenGL utility library. It is
 basically a 1:1 mapping of GLU's C API, intended as a basis for a nicer
 interface.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gluraw-doc
Description-md5: 17982ce5717ffa889ec228c963bc94a2
Description-en: Raw binding for the OpenGL graphics system; documentation
 GLURaw is a raw Haskell binding for the GLU 1.3 OpenGL utility library. It is
 basically a 1:1 mapping of GLU's C API, intended as a basis for a nicer
 interface.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gluraw-prof
Description-md5: ae87212a28b05f775df10a06acee489f
Description-en: Raw binding for the OpenGL graphics system; profiling libraries
 GLURaw is a raw Haskell binding for the GLU 1.3 OpenGL utility library. It is
 basically a 1:1 mapping of GLU's C API, intended as a basis for a nicer
 interface.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-glut-dev
Description-md5: d1696914a4c474d0cfa9998d95d0a0cd
Description-en: Haskell GLUT binding for GHC
 This package provides a library for the Haskell programming language.
 .
 This library provides a binding for the OpenGL Utility Toolkit, a window
 system independent toolkit for writing OpenGL programs. For more
 information about the C library on which this binding is based,
 please see: <http://www.opengl.org/resources/libraries/glut/>.

Package: libghc-glut-doc
Description-md5: 2b8bb8e51bb3431cb22366b13eb0b7fd
Description-en: Haskell GLUT binding for GHC; documentation
 This package provides the documentation for a library for the Haskell
 programming language.
 .
 This library provides a binding for the OpenGL Utility Toolkit, a window
 system independent toolkit for writing OpenGL programs. For more
 information about the C library on which this binding is based,
 please see: <http://www.opengl.org/resources/libraries/glut/>.

Package: libghc-glut-prof
Description-md5: 60e81a4a8804a04551e86a4c48c360e0
Description-en: Haskell GLUT binding for GHC; profiling libraries
 This package provides a library for the Haskell programming language,
 compiled for profiling.
 .
 This library provides a binding for the OpenGL Utility Toolkit, a window
 system independent toolkit for writing OpenGL programs. For more
 information about the C library on which this binding is based,
 please see: <http://www.opengl.org/resources/libraries/glut/>.

Package: libghc-gnutls-dev
Description-md5: 92238e384285ec5c1935bd2ed2add2bc
Description-en: bindings for GNU TLS
 This library provides Haskell bindings for gnutls.
 .
 This package contains the normal library files.

Package: libghc-gnutls-doc
Description-md5: 769957b3be58d46b31db19238ace334c
Description-en: bindings for GNU TLS; documentation
 This library provides Haskell bindings for gnutls.
 .
 This package contains the documentation files.

Package: libghc-gnutls-prof
Description-md5: 395e777aff8572be5481acf9bc2fb807
Description-en: bindings for GNU TLS; profiling libraries
 This library provides Haskell bindings for gnutls.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-graphscc-dev
Description-md5: a17027acb8cc7cfca469c05d8781c9df
Description-en: Tarjan's algorithm for computing the SCCs of a graph
 Tarjan's algorithm for computing the strongly connected components of
 a graph.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-graphscc-doc
Description-md5: 8889f449373c0f000c30b42aa3d3df76
Description-en: Tarjan's algorithm for computing the SCCs of a graph; documentation
 Tarjan's algorithm for computing the strongly connected components of
 a graph.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-graphscc-prof
Description-md5: c2cfa12190c009040978419bbf498bcc
Description-en: Tarjan's algorithm for computing the SCCs of a graph; profiling libraries
 Tarjan's algorithm for computing the strongly connected components of
 a graph.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-graphviz-dev
Description-md5: bd02d2c14f791ffca367313e1957b329
Description-en: bindings to Graphviz for graph visualization
 This library provides bindings for the Dot language used by the
 Graphviz (http://graphviz.org/) suite of programs for visualising
 graphs, as well as functions to call those programs.
 .
 Main features of the graphviz library include:
 .
 Almost complete coverage of all Graphviz attributes and syntax.
 .
 Support for specifying clusters.
 .
 The ability to use a custom node type.
 .
 Functions for running a Graphviz layout tool with all specified output
 types.
 .
 The ability to not only generate but also parse Dot code with two
 options: strict and liberal (in terms of ordering of statements).
 .
 Functions to convert FGL graphs and other graph-like data structures
 to Dot code - including support to group them into clusters - with a
 high degree of customisation by specifying which attributes to use
 and limited support for the inverse operation.
 .
 Round-trip support for passing an FGL graph through Graphviz to
 augment node and edge labels with positional information, etc.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-graphviz-doc
Description-md5: 0f0b19cfe7dbc128f10d3cbfb2e02ed7
Description-en: bindings to Graphviz for graph visualization; documentation
 This library provides bindings for the Dot language used by the
 Graphviz (http://graphviz.org/) suite of programs for visualising
 graphs, as well as functions to call those programs.
 .
 Main features of the graphviz library include:
 .
 Almost complete coverage of all Graphviz attributes and syntax.
 .
 Support for specifying clusters.
 .
 The ability to use a custom node type.
 .
 Functions for running a Graphviz layout tool with all specified output
 types.
 .
 The ability to not only generate but also parse Dot code with two
 options: strict and liberal (in terms of ordering of statements).
 .
 Functions to convert FGL graphs and other graph-like data structures
 to Dot code - including support to group them into clusters - with a
 high degree of customisation by specifying which attributes to use
 and limited support for the inverse operation.
 .
 Round-trip support for passing an FGL graph through Graphviz to
 augment node and edge labels with positional information, etc.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-graphviz-prof
Description-md5: f37e398e59c83bc981d57210e9267d97
Description-en: bindings to Graphviz for graph visualization; profiling libraries
 This library provides bindings for the Dot language used by the
 Graphviz (http://graphviz.org/) suite of programs for visualising
 graphs, as well as functions to call those programs.
 .
 Main features of the graphviz library include:
 .
 Almost complete coverage of all Graphviz attributes and syntax.
 .
 Support for specifying clusters.
 .
 The ability to use a custom node type.
 .
 Functions for running a Graphviz layout tool with all specified output
 types.
 .
 The ability to not only generate but also parse Dot code with two
 options: strict and liberal (in terms of ordering of statements).
 .
 Functions to convert FGL graphs and other graph-like data structures
 to Dot code - including support to group them into clusters - with a
 high degree of customisation by specifying which attributes to use
 and limited support for the inverse operation.
 .
 Round-trip support for passing an FGL graph through Graphviz to
 augment node and edge labels with positional information, etc.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-groups-dev
Description-md5: 69cf4431612c18b58d6b0533c2a5cc22
Description-en: Haskell 98 groups
 A group is a monoid with invertibility.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-groups-doc
Description-md5: a745696417cc7d0a6126cf5d941c3b6d
Description-en: Haskell 98 groups; documentation
 A group is a monoid with invertibility.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-groups-prof
Description-md5: 5d00ea5ccfe3a03f9dfe4b80349599e3
Description-en: Haskell 98 groups; profiling libraries
 A group is a monoid with invertibility.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gsasl-dev
Description-md5: fb10dedfe6d17588a5a7e3ecad395729
Description-en: bindings for GNU SASL
 These are Haskell bindings to the GNU SASL (Simple Authentication and
 Security Layer) library.
 .
 This package contains the normal library files.

Package: libghc-gsasl-doc
Description-md5: 4eb26f4ac92b188d043c86a7dc8e65c3
Description-en: bindings for GNU SASL; documentation
 These are Haskell bindings to the GNU SASL (Simple Authentication and
 Security Layer) library.
 .
 This package contains the documentation files.

Package: libghc-gsasl-prof
Description-md5: b72309333be271fbfbdfb2f47427ce4c
Description-en: bindings for GNU SASL; profiling libraries
 These are Haskell bindings to the GNU SASL (Simple Authentication and
 Security Layer) library.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-gtk-dev
Description-md5: a546b988f39aa54987ab6bd9b8ef7264
Description-en: binding to the Gtk+ graphical user interface library
 This is the core library of the Gtk2Hs suite of libraries for Haskell based on
 Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating
 graphical user interfaces.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-doc
Description-md5: 7cb295b297c4f0645c7580e2549e6c25
Description-en: Binding to the Gtk+ graphical user interface library; documentation
 This is the core library of the Gtk2Hs suite of libraries for Haskell based on
 Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating
 graphical user interfaces.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-prof
Description-md5: bb1dbca1245ee9e20d3f2c9cc812909e
Description-en: Binding to the Gtk+ graphical user interface library; profiling libraries
 This is the core library of the Gtk2Hs suite of libraries for Haskell based on
 Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating
 graphical user interfaces.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-sni-tray-dev
Description-md5: d6e3be493bce9dd4aecd8c3c01d500a2
Description-en: standalone StatusNotifierItem/AppIndicator tray
 gtk-sni-tray provides a StatusNotifierHost widget written using the
 gtk+3 bindings for haskell provided by gi-gtk. It also provides a
 simple standalone executable, gtk-sni-tray-standalone, that is
 configured with command line arguments. This executable will run the
 aforementioned widget by itself in a strut window, on each monitor
 for each it is requested.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-sni-tray-doc
Description-md5: d30a70d1f318a34c2c4c05b9dababa76
Description-en: standalone StatusNotifierItem/AppIndicator tray; documentation
 gtk-sni-tray provides a StatusNotifierHost widget written using the
 gtk+3 bindings for haskell provided by gi-gtk. It also provides a
 simple standalone executable, gtk-sni-tray-standalone, that is
 configured with command line arguments. This executable will run the
 aforementioned widget by itself in a strut window, on each monitor
 for each it is requested.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-sni-tray-prof
Description-md5: 3d1a19b7cd8a9f339a42a785ca0642e8
Description-en: standalone StatusNotifierItem/AppIndicator tray; profiling libraries
 gtk-sni-tray provides a StatusNotifierHost widget written using the
 gtk+3 bindings for haskell provided by gi-gtk. It also provides a
 simple standalone executable, gtk-sni-tray-standalone, that is
 configured with command line arguments. This executable will run the
 aforementioned widget by itself in a strut window, on each monitor
 for each it is requested.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-strut-dev
Description-md5: 2ffd38ef1b2bcbbea826b451f8d7b736
Description-en: create strut windows with gi-gtk
 Create (EWMH) strut windows with gi-gtk.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-strut-doc
Description-md5: 1eadbbdae597e5643de45fef2e1796aa
Description-en: create strut windows with gi-gtk; documentation
 Create (EWMH) strut windows with gi-gtk.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-strut-prof
Description-md5: e53a60986ad4a9f77e21825adfe50a84
Description-en: create strut windows with gi-gtk; profiling libraries
 Create (EWMH) strut windows with gi-gtk.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-traymanager-dev
Description-md5: 9e000daae1175c2fece5a2e5db8e7da9
Description-en: bindings for eggtraymanager (GTK+)
 This package provides a wrapper around the prolific eggtraymanager code. Note
 that it is *NOT* itself a system tray widget, though it is a very convenient
 utility for implementing one.
 .
 This package adopts the style of gtk2hs and is designed to work well with it.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-traymanager-doc
Description-md5: 13b9807ced196367dd8259ec2c416741
Description-en: bindings for eggtraymanager (GTK+); documentation
 This package provides a wrapper around the prolific eggtraymanager code. Note
 that it is *NOT* itself a system tray widget, though it is a very convenient
 utility for implementing one.
 .
 This package adopts the style of gtk2hs and is designed to work well with it.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk-traymanager-prof
Description-md5: b7bbc0e620d2b9b22ed944b642d77143
Description-en: bindings for eggtraymanager (GTK+); profiling libraries
 This package provides a wrapper around the prolific eggtraymanager code. Note
 that it is *NOT* itself a system tray widget, though it is a very convenient
 utility for implementing one.
 .
 This package adopts the style of gtk2hs and is designed to work well with it.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk2hs-buildtools-dev
Description-md5: c1cf869e84c4b2d46e40000bb811f524
Description-en: gtk2hs-buildtools libraries
 These are modules used by programs build with gtk2hs-buildtools.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk2hs-buildtools-doc
Description-md5: f1c059f7bf91ba48a06835dcdc592652
Description-en: gtk2hs-buildtools libraries; documentation
 These are modules used by programs build with gtk2hs-buildtools.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk2hs-buildtools-prof
Description-md5: 5bb2142d5c41edac5f77dc71320a3900
Description-en: gtk2hs-buildtools libraries; profiling libraries
 These are modules used by programs build with gtk2hs-buildtools.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk3-dev
Description-md5: a546b988f39aa54987ab6bd9b8ef7264
Description-en: binding to the Gtk+ graphical user interface library
 This is the core library of the Gtk2Hs suite of libraries for Haskell based on
 Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating
 graphical user interfaces.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk3-doc
Description-md5: a7bbd64d922b5d7eb35bd240960ef100
Description-en: binding to the Gtk+ graphical user interface library; documentation
 This is the core library of the Gtk2Hs suite of libraries for Haskell based on
 Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating
 graphical user interfaces.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-gtk3-prof
Description-md5: f2c8624209fd75ed1b5bd850a1f635a5
Description-en: binding to the Gtk+ graphical user interface library; profiling libraries
 This is the core library of the Gtk2Hs suite of libraries for Haskell based on
 Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating
 graphical user interfaces.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hackage-security-dev
Description-md5: 07d15736f373526cea5143dafd081bf7
Description-en: Hackage security library
 The Hackage security library provides both server and client utilities
 for securing the Hackage package server.  It is based on The Update
 Framework, a set of recommendations developed by security researchers
 at various universities in the US as well as developers on the Tor
 project.
 .
 The current implementation supports only index signing,
 thereby enabling untrusted mirrors. It does not yet provide
 facilities for author package signing.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hackage-security-doc
Description-md5: 1d46c781ef544c6b782f328fcd848904
Description-en: Hackage security library; documentation
 The Hackage security library provides both server and client utilities
 for securing the Hackage package server.  It is based on The Update
 Framework, a set of recommendations developed by security researchers
 at various universities in the US as well as developers on the Tor
 project.
 .
 The current implementation supports only index signing,
 thereby enabling untrusted mirrors. It does not yet provide
 facilities for author package signing.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hackage-security-prof
Description-md5: fa1fbc11942d6bbccf466640a50b7856
Description-en: Hackage security library; profiling libraries
 The Hackage security library provides both server and client utilities
 for securing the Hackage package server.  It is based on The Update
 Framework, a set of recommendations developed by security researchers
 at various universities in the US as well as developers on the Tor
 project.
 .
 The current implementation supports only index signing,
 thereby enabling untrusted mirrors. It does not yet provide
 facilities for author package signing.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haddock-library-dev
Description-md5: eba7a6f9f15d2959e537ccc8bb65ae79
Description-en: library exposing some functionality of Haddock
 Haddock is a documentation-generation tool for Haskell
 libraries. These modules expose some functionality of it
 without pulling in the GHC dependency.
 .
 For interacting with Haddock itself, see the ‘haddock’ package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haddock-library-doc
Description-md5: 46f0a58c46d4610bee25e1fbeaa25e42
Description-en: library exposing some functionality of Haddock; documentation
 Haddock is a documentation-generation tool for Haskell
 libraries. These modules expose some functionality of it
 without pulling in the GHC dependency.
 .
 For interacting with Haddock itself, see the ‘haddock’ package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haddock-library-prof
Description-md5: 91de0fe798b19b6493d8201c21e329cb
Description-en: library exposing some functionality of Haddock; profiling libraries
 Haddock is a documentation-generation tool for Haskell
 libraries. These modules expose some functionality of it
 without pulling in the GHC dependency.
 .
 For interacting with Haddock itself, see the ‘haddock’ package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hakyll-dev
Description-md5: d77010ff1af216b4f06b7cafbe67ae1d
Description-en: static website compiler library
 Hakyll is a static website compiler library. It provides you with
 the tools to create a simple or advanced static website using a
 Haskell DSL and formats such as markdown or RST. You can find
 more information, including a tutorial, on the website:
 .
 http://jaspervdj.be/hakyll
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hakyll-doc
Description-md5: 1f96ff001bea3f8280e5c5bab964c634
Description-en: static website compiler library; documentation
 Hakyll is a static website compiler library. It provides you with
 the tools to create a simple or advanced static website using a
 Haskell DSL and formats such as markdown or RST. You can find
 more information, including a tutorial, on the website:
 .
 http://jaspervdj.be/hakyll
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hakyll-prof
Description-md5: 70a81c4c733e488145480f536afa7867
Description-en: static website compiler library; profiling libraries
 Hakyll is a static website compiler library. It provides you with
 the tools to create a simple or advanced static website using a
 Haskell DSL and formats such as markdown or RST. You can find
 more information, including a tutorial, on the website:
 .
 http://jaspervdj.be/hakyll
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-half-dev
Description-md5: 6f5f4b606e52650d32322d1474cf9ff2
Description-en: half-precision floating-point
 Half-precision floating point values with 1 bit of sign, 5 bits of
 exponent, 11 bits of mantissa trailing a leading 1 bit with proper
 underflow.
 .
 These arise commonly in GPU applications.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-half-doc
Description-md5: 994a7397820542db47e41ea465fe483e
Description-en: half-precision floating-point; documentation
 Half-precision floating point values with 1 bit of sign, 5 bits of
 exponent, 11 bits of mantissa trailing a leading 1 bit with proper
 underflow.
 .
 These arise commonly in GPU applications.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-half-prof
Description-md5: 8af51f45402bea60b4c3eeac70cde1b6
Description-en: half-precision floating-point; profiling libraries
 Half-precision floating point values with 1 bit of sign, 5 bits of
 exponent, 11 bits of mantissa trailing a leading 1 bit with proper
 underflow.
 .
 These arise commonly in GPU applications.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-authenticate-dev
Description-md5: 1ff300efa3fbffa37239baa6bf93fea1
Description-en: Happstack authentication library
 A themeable web authentication library with support for
 username+password and OpenID.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-authenticate-doc
Description-md5: 60e093db8d530dbc95cc53c5262a9827
Description-en: Happstack authentication library; documentation
 A themeable web authentication library with support for
 username+password and OpenID.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-authenticate-prof
Description-md5: 7f8bc67e82b0429edf4912c5d9e1338b
Description-en: Happstack authentication library; profiling libraries
 A themeable web authentication library with support for
 username+password and OpenID.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-hsp-dev
Description-md5: 68bdd2a45485b5013d40c7ed09396f7b
Description-en: support for using HSP templates in Happstack
 Happstack is a web application framework. HSP is an XML templating
 solution. This package makes it easy to use HSP templates with
 Happstack.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-hsp-doc
Description-md5: 37bc552d329b0f0bb9e80e01d1dffb2d
Description-en: support for using HSP templates in Happstack; documentation
 Happstack is a web application framework. HSP is an XML templating
 solution. This package makes it easy to use HSP templates with
 Happstack.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-hsp-prof
Description-md5: bdfea175c743ae3b975343f745ee2c96
Description-en: support for using HSP templates in Happstack; profiling libraries
 Happstack is a web application framework. HSP is an XML templating
 solution. This package makes it easy to use HSP templates with
 Happstack.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-jmacro-dev
Description-md5: 7b724897513684b0971c8893b51f4bef
Description-en: Support for using JMacro with Happstack
 This package integrates jmacro with HAppStack
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-jmacro-doc
Description-md5: 05998e14b3e77b3f09dcc78a0810f5df
Description-en: Support for using JMacro with Happstack; documentation
 This package integrates jmacro with HAppStack
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-jmacro-prof
Description-md5: e4d53460c6eb6c30619c39b36370c2b1
Description-en: Support for using JMacro with Happstack; profiling libraries
 This package integrates jmacro with HAppStack
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-server-dev
Description-md5: 44259092021e248a51fba76b6fdeb297
Description-en: Happstack web framework, HTTP server
 Happstack is a Haskell web framework, designed so that developers can
 prototype quickly, deploy painlessly, scale massively, operate reliably,
 and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows
 environments.
 .
 Happstack provides you with all the components you need to build and
 deploy your application, which is completely self-contained.
 Particularly, Happstack provides the application with a distributed and
 persistent data storage layer, capable to interact with the application
 itself directly in terms of Haskell data structures.
 .
 Happstack-server contains the HTTP server itself.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-server-doc
Description-md5: 04c42035055a146f0d3d435e6e0bc34a
Description-en: Happstack web framework, HTTP server; documentation
 Happstack is a Haskell web framework, designed so that developers can
 prototype quickly, deploy painlessly, scale massively, operate reliably,
 and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows
 environments.
 .
 Happstack provides you with all the components you need to build and
 deploy your application, which is completely self-contained.
 Particularly, Happstack provides the application with a distributed and
 persistent data storage layer, capable to interact with the application
 itself directly in terms of Haskell data structures.
 .
 Happstack-server contains the HTTP server itself.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-happstack-server-prof
Description-md5: 4031077c20c4cd46cf9e42c4cfc09f77
Description-en: Happstack web framework, HTTP server; profiling libraries
 Happstack is a Haskell web framework, designed so that developers can
 prototype quickly, deploy painlessly, scale massively, operate reliably,
 and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows
 environments.
 .
 Happstack provides you with all the components you need to build and
 deploy your application, which is completely self-contained.
 Particularly, Happstack provides the application with a distributed and
 persistent data storage layer, capable to interact with the application
 itself directly in terms of Haskell data structures.
 .
 Happstack-server contains the HTTP server itself.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-harp-dev
Description-md5: e9f3980550fa99bb1272de9793814223
Description-en: Haskell support for regexp based pattern matching
 HaRP, or Haskell Regular Patterns, is a Haskell extension that extends
 the normal pattern matching facility, allowing the user to match against
 regular expressions. This expressive power is highly useful in a wide
 range of areas, including text parsing and XML processing. Regular
 expression patterns in HaRP work over ordinary Haskell lists ([]) of
 arbitrary type. HaRP is implemented as a pre-processor to ordinary Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-harp-doc
Description-md5: 1dfab0ce32b040bc38864d5f943fb400
Description-en: Haskell support for regexp based pattern matching; documentation
 HaRP, or Haskell Regular Patterns, is a Haskell extension that extends
 the normal pattern matching facility, allowing the user to match against
 regular expressions. This expressive power is highly useful in a wide
 range of areas, including text parsing and XML processing. Regular
 expression patterns in HaRP work over ordinary Haskell lists ([]) of
 arbitrary type. HaRP is implemented as a pre-processor to ordinary Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-harp-prof
Description-md5: 69372fc888beb251bcd67abcb410eab7
Description-en: Haskell support for regexp based pattern matching; profiling libraries
 HaRP, or Haskell Regular Patterns, is a Haskell extension that extends
 the normal pattern matching facility, allowing the user to match against
 regular expressions. This expressive power is highly useful in a wide
 range of areas, including text parsing and XML processing. Regular
 expression patterns in HaRP work over ordinary Haskell lists ([]) of
 arbitrary type. HaRP is implemented as a pre-processor to ordinary Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashable-dev
Description-md5: a10463475b529ded3d755f0e4a358f25
Description-en: class for types that can be converted to a hash value
 It defines a class, Hashable, for types that can be converted to a
 hash value. This class exists for the benefit of hashing-based data
 structures. The package provides instances for basic types and a way
 to combine hash values.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashable-doc
Description-md5: 39daf464ed2bcf5458f56935bd25e04b
Description-en: class for types that can be converted to a hash value; documentation
 It defines a class, Hashable, for types that can be converted to a
 hash value. This class exists for the benefit of hashing-based data
 structures. The package provides instances for basic types and a way
 to combine hash values.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashable-prof
Description-md5: 439d0b51632930adde5f19ec596b3834
Description-en: class for types that can be converted to a hash value; profiling libraries
 It defines a class, Hashable, for types that can be converted to a
 hash value. This class exists for the benefit of hashing-based data
 structures. The package provides instances for basic types and a way
 to combine hash values.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashable-time-dev
Description-md5: 1cc42084a7fa75f2dadc7734cca8c405
Description-en: Hashable instances for Data.Time
 Hashable instances for types in Data.Time
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashable-time-doc
Description-md5: 5a7ae5d6193274f8bd71b252637492dc
Description-en: Hashable instances for Data.Time; documentation
 Hashable instances for types in Data.Time
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashable-time-prof
Description-md5: 2ede55016f19e9899e2f5c8b3fdf968b
Description-en: Hashable instances for Data.Time; profiling libraries
 Hashable instances for types in Data.Time
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashmap-dev
Description-md5: 762a0f2ae9596e7021cd606d8fa89c96
Description-en: persistent containers Map and Set based on hashing
 An implementation of persistent Map and Set containers based on hashing.
 The implementation is build on top of Data.IntMap.IntMap and
 Data.IntSet.IntSet, with very similar API. It uses Hashable class from the
 hashable package for hashing.
 .
 This package can be used as a drop-in replacement for Data.Map and Data.Set
 modules.
 .
 The Map key value is an Data.IntMap.IntMap indexed by the hash value,
 containing either one (key, value) or a Data.Map.Map key value for all
 keys with the same hash value.
 .
 The Set elem is an Data.IntMap.IntMap indexed by the hash value, containing
 either one elem or Data.Set.Set elem for all elements with the same hash
 value.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashmap-doc
Description-md5: f1eaf638701359a0c08c12a8bcef6b12
Description-en: persistent containers Map and Set based on hashing; documentation
 An implementation of persistent Map and Set containers based on hashing.
 The implementation is build on top of Data.IntMap.IntMap and
 Data.IntSet.IntSet, with very similar API. It uses Hashable class from the
 hashable package for hashing.
 .
 This package can be used as a drop-in replacement for Data.Map and Data.Set
 modules.
 .
 The Map key value is an Data.IntMap.IntMap indexed by the hash value,
 containing either one (key, value) or a Data.Map.Map key value for all
 keys with the same hash value.
 .
 The Set elem is an Data.IntMap.IntMap indexed by the hash value, containing
 either one elem or Data.Set.Set elem for all elements with the same hash
 value.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashmap-prof
Description-md5: 5201b41dc4d5325c519de55ccfa4c4c7
Description-en: persistent containers Map and Set based on hashing; profiling libraries
 An implementation of persistent Map and Set containers based on hashing.
 The implementation is build on top of Data.IntMap.IntMap and
 Data.IntSet.IntSet, with very similar API. It uses Hashable class from the
 hashable package for hashing.
 .
 This package can be used as a drop-in replacement for Data.Map and Data.Set
 modules.
 .
 The Map key value is an Data.IntMap.IntMap indexed by the hash value,
 containing either one (key, value) or a Data.Map.Map key value for all
 keys with the same hash value.
 .
 The Set elem is an Data.IntMap.IntMap indexed by the hash value, containing
 either one elem or Data.Set.Set elem for all elements with the same hash
 value.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashtables-dev
Description-md5: a5d6688db307c1e59505d5b01e51e370
Description-en: mutable hash tables
 This package provides a couple of different implementations of mutable hash
 tables in the ST monad, as well as a typeclass abstracting their common
 operations, and a set of wrappers to use the hash tables in the IO monad.
 .
 There are three hash table implementations:
 .
  * Data.HashTable.ST.Basic contains a basic open-addressing hash table using
    linear probing as the collision strategy. This should currently be the
    fastest available hash table implementation for lookups, although it has a
    higher memory overhead than others.
  * Data.HashTable.ST.Cuckoo contains an implementation of "cuckoo hashing".
    Cuckoo hashing has worst-case O(1) lookups and performs well even when the
    table is highly loaded.
  * Data.HashTable.ST.Linear contains a linear hash table, which trades some
    insert and lookup performance for higher space efficiency and much shorter
    delays when expanding the table.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashtables-doc
Description-md5: 273ea8f0d3dcd5df6d63844a98b526d2
Description-en: mutable hash tables -- documentation; documentation
 This package provides a couple of different implementations of mutable hash
 tables in the ST monad, as well as a typeclass abstracting their common
 operations, and a set of wrappers to use the hash tables in the IO monad.
 .
 There are three hash table implementations:
 .
  * Data.HashTable.ST.Basic contains a basic open-addressing hash table using
    linear probing as the collision strategy. This should currently be the
    fastest available hash table implementation for lookups, although it has a
    higher memory overhead than others.
  * Data.HashTable.ST.Cuckoo contains an implementation of "cuckoo hashing".
    Cuckoo hashing has worst-case O(1) lookups and performs well even when the
    table is highly loaded.
  * Data.HashTable.ST.Linear contains a linear hash table, which trades some
    insert and lookup performance for higher space efficiency and much shorter
    delays when expanding the table.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hashtables-prof
Description-md5: cbf27ae0a608b34ff1b1919709754a4c
Description-en: mutable hash tables -- profiling libraries; profiling libraries
 This package provides a couple of different implementations of mutable hash
 tables in the ST monad, as well as a typeclass abstracting their common
 operations, and a set of wrappers to use the hash tables in the IO monad.
 .
 There are three hash table implementations:
 .
  * Data.HashTable.ST.Basic contains a basic open-addressing hash table using
    linear probing as the collision strategy. This should currently be the
    fastest available hash table implementation for lookups, although it has a
    higher memory overhead than others.
  * Data.HashTable.ST.Cuckoo contains an implementation of "cuckoo hashing".
    Cuckoo hashing has worst-case O(1) lookups and performs well even when the
    table is highly loaded.
  * Data.HashTable.ST.Linear contains a linear hash table, which trades some
    insert and lookup performance for higher space efficiency and much shorter
    delays when expanding the table.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-gi-base-dev
Description-md5: 3b2d35cd8c5ea91c4086cbe78763c840
Description-en: Foundation for libraries generated by haskell-gi
 Foundation for generating Haskell bindings for
 GObject-Introspection-capable libraries.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-gi-base-doc
Description-md5: 8c8a033cf49b3762dc2189f53593f3ad
Description-en: Foundation for libraries generated by haskell-gi; documentation
 Foundation for generating Haskell bindings for
 GObject-Introspection-capable libraries.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-gi-base-prof
Description-md5: 8d45df31577a751b193c2a3c7637d096
Description-en: Foundation for libraries generated by haskell-gi; profiling libraries
 Foundation for generating Haskell bindings for
 GObject-Introspection-capable libraries.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-gi-dev
Description-md5: ae9ef9f80c351b16914505275b773b7e
Description-en: generate Haskell bindings for GI-capable libraries
 Generate Haskell bindings for GObject-Introspection-capable libraries.
 This includes most notably Gtk+, but many other libraries in the
 GObject ecosystem provide introspection data too.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-gi-doc
Description-md5: 4198be3dce31cef8f56c935a18f5a179
Description-en: generate Haskell bindings for GI-capable libraries; documentation
 Generate Haskell bindings for GObject-Introspection-capable libraries.
 This includes most notably Gtk+, but many other libraries in the
 GObject ecosystem provide introspection data too.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-gi-prof
Description-md5: b6a8003f98f84a2e993e6f0e438e584e
Description-en: generate Haskell bindings for GI-capable libraries; profiling libraries
 Generate Haskell bindings for GObject-Introspection-capable libraries.
 This includes most notably Gtk+, but many other libraries in the
 GObject ecosystem provide introspection data too.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-lexer-dev
Description-md5: 554710bd809783e004619ebbaf81212c
Description-en: A fully compliant Haskell 98 lexer
 A fully compliant Haskell 98 lexer written in Haskell. The lexer produces
 a list tuples containing a token type identifier, its position and the token
 string.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-lexer-doc
Description-md5: fdfc966b398e65b1b5deac73aceb013d
Description-en: Documentation for a fully compliant Haskell 98 lexer; documentation
 A fully compliant Haskell 98 lexer written in Haskell. The lexer produces
 a list tuples containing a token type identifier, its position and the token
 string.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-lexer-prof
Description-md5: 3453a9098e523c937cf77fb70ade6b36
Description-en: Profiling libraries for a fully compliant Haskell 98 lexer; profiling libraries
 A fully compliant Haskell 98 lexer written in Haskell. The lexer produces
 a list tuples containing a token type identifier, its position and the token
 string.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-haskell-src-dev
Description-md5: fa5a0915dc094483816250ea4b2f3d24
Description-en: Haskell module to manipulate Haskell source
 This package provides a library for the Haskell programming language.
 .
 This library provides facilities for manipulating Haskell source code:
 an abstract syntax, lexer, parser and pretty-printer.

Package: libghc-haskell-src-doc
Description-md5: 40490d890446799c20b4c100f4a6b158
Description-en: Haskell module to manipulate Haskell source; documentation
 This package provides the documentation for a library for the Haskell
 programming language.
 .
 This library provides facilities for manipulating Haskell source code:
 an abstract syntax, lexer, parser and pretty-printer.

Package: libghc-haskell-src-prof
Description-md5: 138773afed02e701d4d01775b7f7cb8d
Description-en: Haskell module to manipulate Haskell source; profiling libraries
 This package provides a library for the Haskell programming language,
 compiled for profiling.
 .
 This library provides facilities for manipulating Haskell source code:
 an abstract syntax, lexer, parser and pretty-printer.

Package: libghc-haxml-dev
Description-md5: a695f562391f1a7fef083f21c4380a84
Description-en: GHC libraries for using XML documents with Haskell
 HaXml is a collection of utilities for parsing, filtering,
 transforming, and generating XML documents using Haskell. Its basic
 facilities include:
  - a parser for XML,
  - a separate error-correcting parser for HTML,
  - an XML validator,
  - pretty-printers for XML and HTML.
 .
 This package contains the HaXml combinator library for generic XML
 document processing, including transformation, editing, and
 generation for use with GHC.

Package: libghc-haxml-doc
Description-md5: f382e4f40e89f09dcb7817e0354c250c
Description-en: Documentation of HaXml
 HaXml is a collection of utilities for parsing, filtering,
 transforming, and generating XML documents using Haskell. Its basic
 facilities include:
  - a parser for XML,
  - a separate error-correcting parser for HTML,
  - an XML validator,
  - pretty-printers for XML and HTML.
 .
 This package contains the HaXml documentation.

Package: libghc-haxml-prof
Description-md5: 10b90999646bc7e55c9c3b74f03c9ea4
Description-en: Profiling libraries for the haskell haxml library
 HaXml is a collection of utilities for parsing, filtering,
 transforming, and generating XML documents using Haskell. Its basic
 facilities include:
  - a parser for XML,
  - a separate error-correcting parser for HTML,
  - an XML validator,
  - pretty-printers for XML and HTML.
 .
 This package contains the profiling libraries compiled for GHC.

Package: libghc-haxr-dev
Description-md5: eff1c321621b8e127ef4b36e5e3efa12
Description-en: XML-RPC client and server library for Haskell
 HaXR is a library for writing XML-RPC client and server applications in
 Haskell.
 .
 HaXR consists of two packages: haxr and haxr-th. The latter package
 contains the Template Haskell code used for automatically deriving
 XML-RPC struct representations for Haskell records.
 .
 This package contains the libraries compiled for GHC.

Package: libghc-haxr-doc
Description-md5: f58d88d76762b3519e4631ab7c6925dc
Description-en: XML-RPC client and server library for Haskell; documentation
 HaXR is a library for writing XML-RPC client and server applications in
 Haskell.
 .
 HaXR consists of two packages: haxr and haxr-th. The latter package
 contains the Template Haskell code used for automatically deriving
 XML-RPC struct representations for Haskell records.
 .
 This package contains the libraries documentation.

Package: libghc-haxr-prof
Description-md5: 2648558e936ed9f4b8f09a7ecc220924
Description-en: XML-RPC client and server library for Haskell; profiling library
 HaXR is a library for writing XML-RPC client and server applications in
 Haskell.
 .
 HaXR consists of two packages: haxr and haxr-th. The latter package
 contains the Template Haskell code used for automatically deriving
 XML-RPC struct representations for Haskell records.
 .
 This package contains the profiling libraries compiled for GHC.

Package: libghc-hclip-dev
Description-md5: 5b6e6bd4778310367c983920e9233194
Description-en: library to read/modify the system clipboard
 A small cross-platform library for reading and modifying the system
 clipboard.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hclip-doc
Description-md5: 5cfae4b14f6e566b0a75de138433d7fa
Description-en: library to read/modify the system clipboard; documentation
 A small cross-platform library for reading and modifying the system
 clipboard.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hclip-prof
Description-md5: 2b57b8a187262423e0c5cdc007acbb7c
Description-en: library to read/modify the system clipboard; profiling libraries
 A small cross-platform library for reading and modifying the system
 clipboard.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hcwiid-dev
Description-md5: 3fdd9fd05f7531a7c9a9b704224bcefc
Description-en: Library to interface with the wiimote
 HCWiid is a working userspace driver along with various
 applications implementing event drivers, multiple
 wiimote connectivity, gesture recognition,
 and other Wiimote-based functionality.
 .
 This package contains the normal library files.

Package: libghc-hcwiid-doc
Description-md5: 94fcf1c514c13de27ca6fe8b452e1002
Description-en: Library to interface with the wiimote; documentation
 HCWiid is a working userspace driver along with various
 applications implementing event drivers, multiple
 wiimote connectivity, gesture recognition,
 and other Wiimote-based functionality.
 .
 This package contains the documentation files.

Package: libghc-hcwiid-prof
Description-md5: ba05177ce9107e1b59856c0b8cb47d5d
Description-en: Library to interface with the wiimote; profiling libraries
 HCWiid is a working userspace driver along with various
 applications implementing event drivers, multiple
 wiimote connectivity, gesture recognition,
 and other Wiimote-based functionality.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-hdbc-dev
Description-md5: c468b9641e68406e5622dd66d08c2137
Description-en: Haskell Database Connectivity, GHC package
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This lets you write database code once, in
 Haskell, and have it work with any number of backend SQL databases
 (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.)
 .
 HDBC is modeled loosely on Perl's DBI interface, though it has also been
 influenced by Python's DB-API v2, JDBC in Java, and HSQL in Haskell.
 .
 To use HDBC, you'll need both this package, and a driver package such
 as libghc-hdbc-postgresql-dev.

Package: libghc-hdbc-doc
Description-md5: 689aec2e08bd6fa38caa47d439d82fd1
Description-en: Haskell Database Connectivity, Documentation
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This lets you write database code once, in
 Haskell, and have it work with any number of backend SQL databases
 (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.)
 .
 HDBC is modeled loosely on Perl's DBI interface, though it has also been
 influenced by Python's DB-API v2, JDBC in Java, and HSQL in Haskell.

Package: libghc-hdbc-postgresql-dev
Description-md5: 486ea6cc96aa3460bfe3c2aa8ec48f80
Description-en: PostgreSQL HDBC (Haskell Database Connectivity) Driver for GHC
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This lets you write database code once, in
 Haskell, and have it work with any number of backend SQL databases.
 .
 This package provides the PostgreSQL database driver for HDBC under GHC.

Package: libghc-hdbc-postgresql-doc
Description-md5: 589080e4141cdd07aa48e81030e00e35
Description-en: PostgreSQL HDBC (Haskell Database Connectivity) documentation
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This lets you write database code once, in
 Haskell, and have it work with any number of backend SQL databases.

Package: libghc-hdbc-postgresql-prof
Description-md5: b260406119d5ae567ef235a8973d6285
Description-en: PostgreSQL HDBC Driver for GHC; profiling libraries
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This lets you write database code once, in
 Haskell, and have it work with any number of backend SQL databases.
 .
 This package provides the PostgreSQL database driver for HDBC under GHC
 compiled for profiling.

Package: libghc-hdbc-prof
Description-md5: ebf1b50552fcecc94795433de524e804
Description-en: Haskell Database Connectivity, GHC profiling data
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This package provides profiling information for using
 HDBC with GHC.

Package: libghc-hdbc-session-dev
Description-md5: 32bed42faa26f50899e21632e8260097
Description-en: bracketed connection for HDBC
 This package contains a base bracketed function
 to call close correctly against opend DB connection.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hdbc-session-doc
Description-md5: 8d9fb2db2650455708ebcd79ee159a09
Description-en: bracketed connection for HDBC; documentation
 This package contains a base bracketed function
 to call close correctly against opend DB connection.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hdbc-session-prof
Description-md5: 71aec771e8343f34f66f8938368fbef2
Description-en: bracketed connection for HDBC; profiling libraries
 This package contains a base bracketed function
 to call close correctly against opend DB connection.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hdbc-sqlite3-dev
Description-md5: 2df6bc63c573928b2998bebe3a043223
Description-en: Sqlite v3 HDBC (Haskell Database Connectivity) Driver for GHC
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This lets you write database code once, in
 Haskell, and have it work with any number of backend SQL databases
 (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.)
 .
 This package provides the Sqlite v3 database driver for HDBC under GHC.

Package: libghc-hdbc-sqlite3-doc
Description-md5: de52b21cd00aef230ddf56b0f92c39e3
Description-en: Sqlite v3 HDBC (Haskell Database Connectivity) Documentation
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This lets you write database code once, in
 Haskell, and have it work with any number of backend SQL databases
 (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.)

Package: libghc-hdbc-sqlite3-prof
Description-md5: c7a62203754e88831b7c5ef0149fd02e
Description-en: Sqlite v3 HDBC Driver for GHC; profiling libraries
 HDBC provides an abstraction layer between Haskell programs and SQL
 relational databases. This lets you write database code once, in
 Haskell, and have it work with any number of backend SQL databases
 (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.)
 .
 This package provides the Sqlite v3 database driver for HDBC under GHC.

Package: libghc-heaps-dev
Description-md5: 10adb4f720419b4fba328daf28ffb41b
Description-en: asymptotically optimal Brodal/Okasaki heaps.
 Asymptotically optimal Brodal/Okasaki bootstrapped skew-binomial
 heaps from the paper "Optimal Purely Functional Priority Queues",
 extended with a 'Foldable' interface.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heaps-doc
Description-md5: 1b0ad966230f765b4a8946a02dbcfbe6
Description-en: asymptotically optimal Brodal/Okasaki heaps.; documentation
 Asymptotically optimal Brodal/Okasaki bootstrapped skew-binomial
 heaps from the paper "Optimal Purely Functional Priority Queues",
 extended with a 'Foldable' interface.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heaps-prof
Description-md5: 67eda1bbc45b76e3e24594b069907cdf
Description-en: asymptotically optimal Brodal/Okasaki heaps.; profiling libraries
 Asymptotically optimal Brodal/Okasaki bootstrapped skew-binomial
 heaps from the paper "Optimal Purely Functional Priority Queues",
 extended with a 'Foldable' interface.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hedgehog-dev
Description-md5: adc88b5b1d2f504d21118191873af07d
Description-en: Hedgehog will eat all your bugs
 Hedgehog is a modern property-based testing system, in the spirit of
 QuickCheck. Hedgehog uses integrated shrinking, so shrinks obey the
 invariants of generated values by construction.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hedgehog-doc
Description-md5: 96498774a3ce3ea2b0104d36b938c4a8
Description-en: Hedgehog will eat all your bugs; documentation
 Hedgehog is a modern property-based testing system, in the spirit of
 QuickCheck. Hedgehog uses integrated shrinking, so shrinks obey the
 invariants of generated values by construction.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hedgehog-prof
Description-md5: ae7b1c7eb55851d046d75b938c94fda5
Description-en: Hedgehog will eat all your bugs; profiling libraries
 Hedgehog is a modern property-based testing system, in the spirit of
 QuickCheck. Hedgehog uses integrated shrinking, so shrinks obey the
 invariants of generated values by construction.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hedis-dev
Description-md5: 24588f5ecdee0789c6d19feb849ea65a
Description-en: client library for the Redis datastore
 This library is a Haskell client for the Redis datastore. Compared to
 other Haskell client libraries it has some advantages: complete Redis
 2.6 command set, automatic optimal pipelining, enforced pub/sub
 semantics, connection via TCP or Unix domain socket.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hedis-doc
Description-md5: 25d8051ae6f90224bc7d3c6fd25ba0ec
Description-en: client library for the Redis datastore; documentation
 This library is a Haskell client for the Redis datastore. Compared to
 other Haskell client libraries it has some advantages: complete Redis
 2.6 command set, automatic optimal pipelining, enforced pub/sub
 semantics, connection via TCP or Unix domain socket.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hedis-prof
Description-md5: 720915b7060b680cd94fc953e3b065bd
Description-en: client library for the Redis datastore; profiling libraries
 This library is a Haskell client for the Redis datastore. Compared to
 other Haskell client libraries it has some advantages: complete Redis
 2.6 command set, automatic optimal pipelining, enforced pub/sub
 semantics, connection via TCP or Unix domain socket.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heist-dev
Description-md5: fb03c5ede8f4d1296f3d99dd2f77f602
Description-en: template system supporting both HTML5 and XML
 Heist is a powerful template system that supports both HTML5 and XML.
 Some of Heist's features are:
  - Designer-friendly HTML5 (or XML) syntax
  - Templates can be reloaded to make changes visible without
    recompiling your Haskell code
  - Enforces near-perfect separation of business logic and view
  - Powerful abstraction primitives allowing you to eliminate
    repetition
  - Easy creation of domain-specific markup languages
  - Built-in support for including JSON and Markdown content in
    templates
  - Simple mechanism for designer-specified template caching
  - Optional merging of multiple <head> tags defined anywhere in the
    document
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heist-doc
Description-md5: 5736f039ed4c9b8bd9686968ec5a1a10
Description-en: template system supporting both HTML5 and XML; documentation
 Heist is a powerful template system that supports both HTML5 and XML.
 Some of Heist's features are:
  - Designer-friendly HTML5 (or XML) syntax
  - Templates can be reloaded to make changes visible without
    recompiling your Haskell code
  - Enforces near-perfect separation of business logic and view
  - Powerful abstraction primitives allowing you to eliminate
    repetition
  - Easy creation of domain-specific markup languages
  - Built-in support for including JSON and Markdown content in
    templates
  - Simple mechanism for designer-specified template caching
  - Optional merging of multiple <head> tags defined anywhere in the
    document
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heist-prof
Description-md5: 326d4ab83f101d7fe75128642fec5760
Description-en: template system supporting both HTML5 and XML; profiling libraries
 Heist is a powerful template system that supports both HTML5 and XML.
 Some of Heist's features are:
  - Designer-friendly HTML5 (or XML) syntax
  - Templates can be reloaded to make changes visible without
    recompiling your Haskell code
  - Enforces near-perfect separation of business logic and view
  - Powerful abstraction primitives allowing you to eliminate
    repetition
  - Easy creation of domain-specific markup languages
  - Built-in support for including JSON and Markdown content in
    templates
  - Simple mechanism for designer-specified template caching
  - Optional merging of multiple <head> tags defined anywhere in the
    document
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-here-dev
Description-md5: d8f6010d4b429a7d47520632aff7145b
Description-en: Here documents and interpolated strings via quasiquotation
 This library adds support for multi-line string literals (a.k.a. "here docs")
 and string interpolation to Haskell via GHC's QuasiQuotes extension. The
 quoted expressions behave like string literals in the source code; that is,
 they produce values of type String, or, with the OverloadedStrings language
 extension enabled, values of type IsString a => a.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-here-doc
Description-md5: 19f4f5813e6798703fdc310253b470e6
Description-en: Here documents and interpolated strings via quasiquotation; documentation
 This library adds support for multi-line string literals (a.k.a. "here docs")
 and string interpolation to Haskell via GHC's QuasiQuotes extension. The
 quoted expressions behave like string literals in the source code; that is,
 they produce values of type String, or, with the OverloadedStrings language
 extension enabled, values of type IsString a => a.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-here-prof
Description-md5: 0645a78b4888283b3c85490d87230fb3
Description-en: Here documents and interpolated strings via quasiquotation; profiling libraries
 This library adds support for multi-line string literals (a.k.a. "here docs")
 and string interpolation to Haskell via GHC's QuasiQuotes extension. The
 quoted expressions behave like string literals in the source code; that is,
 they produce values of type String, or, with the OverloadedStrings language
 extension enabled, values of type IsString a => a.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heredoc-dev
Description-md5: cd8a57a51da3b447db88e23e5eb3e64f
Description-en: multi-line string / here document using QuasiQuotes
 multi-line string / here document using QuasiQuotes
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heredoc-doc
Description-md5: 686c3741488f28977ecf27a87fb98ee6
Description-en: multi-line string / here document using QuasiQuotes; documentation
 multi-line string / here document using QuasiQuotes
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heredoc-prof
Description-md5: 90521f8b6627fe7256c8af015bd5c6f3
Description-en: multi-line string / here document using QuasiQuotes; profiling libraries
 multi-line string / here document using QuasiQuotes
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heterocephalus-dev
Description-md5: aea61c643d83b552e7ba2886da0091ee
Description-en: type-safe template engine for popular front-end tools
 Heterocephalus is intended for use with another feature-rich template
 engine, such as pug, slim, or haml, and provides a way to interpolate
 server side variables into a precompiled template file with forall,
 if, and case statements.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heterocephalus-doc
Description-md5: eb2c63755ef1cb5eca671b1b97d6e5cd
Description-en: type-safe template engine for popular front-end tools; documentation
 Heterocephalus is intended for use with another feature-rich template
 engine, such as pug, slim, or haml, and provides a way to interpolate
 server side variables into a precompiled template file with forall,
 if, and case statements.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-heterocephalus-prof
Description-md5: 5e42c466f61db5f366eb4c62ef510fc4
Description-en: type-safe template engine for popular front-end tools; profiling libraries
 Heterocephalus is intended for use with another feature-rich template
 engine, such as pug, slim, or haml, and provides a way to interpolate
 server side variables into a precompiled template file with forall,
 if, and case statements.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hex-dev
Description-md5: 97533c73fb4a7bac2d4d0625e2ecfd77
Description-en: Convert strings into hexadecimal and back.
 This package provides conversion functions between bytestrings and their
 hexademical representation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hex-doc
Description-md5: f5cea42f2abaa3240387e879f5580020
Description-en: Convert strings into hexadecimal and back.; documentation
 This package provides conversion functions between bytestrings and their
 hexademical representation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hex-prof
Description-md5: 61803d50e12047edb78cddeb79e1eaea
Description-en: Convert strings into hexadecimal and back.; profiling libraries
 This package provides conversion functions between bytestrings and their
 hexademical representation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hgettext-dev
Description-md5: f6d8db387886fe047a0e2621840a7907
Description-en: Gettext bindings for haskell
 This package provides bindings to gettext in haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hgettext-doc
Description-md5: 444e9eaae6afb24872084ef7c501915e
Description-en: Gettext bindings for haskell; documentation
 This package provides bindings to gettext in haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hgettext-prof
Description-md5: 5cbf0069797158aaa69fc905fc2ba887
Description-en: Gettext bindings for haskell; profiling libraries
 This package provides bindings to gettext in haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hierarchical-clustering-dev
Description-md5: 446338fd13896c9759f6319059ab9b57
Description-en: fast algorithms for single, average/UPGMA and complete linkage clustering
 This package provides a function to create a dendrogram from a
 list of items and a distance function between them.  Initially
 a singleton cluster is created for each item, and then new,
 bigger clusters are created by merging the two clusters with
 least distance between them.  The distance between two clusters
 is calculated according to the linkage type.  The dendrogram
 represents not only the clusters but also the order on which
 they were created.
 .
 This package has many implementations with different
 performance characteristics.  There are SLINK and CLINK
 algorithm implementations that are optimal in both space and
 time.  There are also naive implementations using a distance
 matrix.  Using the dendrogram function from
 Data.Clustering.Hierarchical automatically chooses the best
 implementation we have.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hierarchical-clustering-doc
Description-md5: 525776fdf18583782ab432bc474bceaa
Description-en: fast algorithms for single, average/UPGMA and complete linkage clustering; documentation
 This package provides a function to create a dendrogram from a
 list of items and a distance function between them.  Initially
 a singleton cluster is created for each item, and then new,
 bigger clusters are created by merging the two clusters with
 least distance between them.  The distance between two clusters
 is calculated according to the linkage type.  The dendrogram
 represents not only the clusters but also the order on which
 they were created.
 .
 This package has many implementations with different
 performance characteristics.  There are SLINK and CLINK
 algorithm implementations that are optimal in both space and
 time.  There are also naive implementations using a distance
 matrix.  Using the dendrogram function from
 Data.Clustering.Hierarchical automatically chooses the best
 implementation we have.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hierarchical-clustering-prof
Description-md5: 2ed7496693bb612eee3b8d45d5f19334
Description-en: fast algorithms for single, average/UPGMA and complete linkage clustering; profiling libraries
 This package provides a function to create a dendrogram from a
 list of items and a distance function between them.  Initially
 a singleton cluster is created for each item, and then new,
 bigger clusters are created by merging the two clusters with
 least distance between them.  The distance between two clusters
 is calculated according to the linkage type.  The dendrogram
 represents not only the clusters but also the order on which
 they were created.
 .
 This package has many implementations with different
 performance characteristics.  There are SLINK and CLINK
 algorithm implementations that are optimal in both space and
 time.  There are also naive implementations using a distance
 matrix.  Using the dendrogram function from
 Data.Clustering.Hierarchical automatically chooses the best
 implementation we have.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-highlighting-kate-dev
Description-md5: fc8b7ab52a2c93998d5aad61bfbb4dda
Description-en: syntax highlighting library based on Kate syntax descriptions
 Highlighting-kate is a syntax highlighting library with support for over
 50 languages. The syntax parsers are automatically generated from Kate syntax
 descriptions (<http://kate-editor.org/>), so any syntax supported by Kate can
 be added.
 .
 Currently the following languages are supported: Ada, Asp, Awk, Bash, Bibtex,
 C, Cmake, Coldfusion, Commonlisp, Cpp, Css, D, Djangotemplate, Doxygen, Dtd,
 Eiffel, Erlang, Fortran, Haskell, Html, Java, Javadoc, Javascript, Json,
 Latex, Lex, LiterateHaskell, Lua, Makefile, Matlab, Mediawiki, Modula3, Nasm,
 Objectivec, Ocaml, Pascal, Perl, PHP, Postscript, Prolog, Python, Rhtml, Ruby,
 Scala, Scheme, Sgml, SQL, MySQL, PostgreSQL, Tcl, Texinfo, Xml, Xslt,
 Yacc.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-highlighting-kate-doc
Description-md5: 79f13c4defa0eeb2119da934a5bda7bc
Description-en: library documentation for highlighting-kate; documentation
 Highlighting-kate is a syntax highlighting library with support for over
 50 languages. The syntax parsers are automatically generated from Kate syntax
 descriptions (<http://kate-editor.org/>), so any syntax supported by Kate can
 be added.
 .
 Currently the following languages are supported: Ada, Asp, Awk, Bash, Bibtex,
 C, Cmake, Coldfusion, Commonlisp, Cpp, Css, D, Djangotemplate, Doxygen, Dtd,
 Eiffel, Erlang, Fortran, Haskell, Html, Java, Javadoc, Javascript, Json,
 Latex, Lex, LiterateHaskell, Lua, Makefile, Matlab, Mediawiki, Modula3, Nasm,
 Objectivec, Ocaml, Pascal, Perl, PHP, Postscript, Prolog, Python, Rhtml, Ruby,
 Scala, Scheme, Sgml, SQL, MySQL, PostgreSQL, Tcl, Texinfo, Xml, Xslt,
 Yacc.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-highlighting-kate-prof
Description-md5: 44490b77ccd0141683766ec6f884897b
Description-en: highlighting-kate library with profiling enabled; profiling libraries
 Highlighting-kate is a syntax highlighting library with support for over
 50 languages. The syntax parsers are automatically generated from Kate syntax
 descriptions (<http://kate-editor.org/>), so any syntax supported by Kate can
 be added.
 .
 Currently the following languages are supported: Ada, Asp, Awk, Bash, Bibtex,
 C, Cmake, Coldfusion, Commonlisp, Cpp, Css, D, Djangotemplate, Doxygen, Dtd,
 Eiffel, Erlang, Fortran, Haskell, Html, Java, Javadoc, Javascript, Json,
 Latex, Lex, LiterateHaskell, Lua, Makefile, Matlab, Mediawiki, Modula3, Nasm,
 Objectivec, Ocaml, Pascal, Perl, PHP, Postscript, Prolog, Python, Rhtml, Ruby,
 Scala, Scheme, Sgml, SQL, MySQL, PostgreSQL, Tcl, Texinfo, Xml, Xslt,
 Yacc.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hindent-dev
Description-md5: 43e34663374edf745b349b689f8c35de
Description-en: Extensible Haskell pretty printer
 hindent reindents Haskell source in the style of
 Johan Tibell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hindent-doc
Description-md5: 48fe6acd8cce0939bfb71fdb32181077
Description-en: Extensible Haskell pretty printer; documentation
 hindent reindents Haskell source in the style of
 Johan Tibell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hindent-prof
Description-md5: ae66cbe6094d4fab562ab78fb1c52cdd
Description-en: Extensible Haskell pretty printer; profiling libraries
 hindent reindents Haskell source in the style of
 Johan Tibell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hinotify-dev
Description-md5: d36b83cedf885ccfabea47b6c5e4256a
Description-en: Haskell inotify library
 Hinotify is a Haskell library for inotify, a part of the Linux kernel
 .
 Hinotify uses inotify to provide file system event notification,
 simply add a watcher to a file or directory and get an event when it
 is accessed or modified.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hinotify-doc
Description-md5: 38d7fe4e6cf891fd9b8fa20f47a1cb7d
Description-en: Haskell inotify library; documentation
 Hinotify is a Haskell library for inotify, a part of the Linux kernel
 .
 Hinotify uses inotify to provide file system event notification,
 simply add a watcher to a file or directory and get an event when it
 is accessed or modified.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hinotify-prof
Description-md5: a20c59ec65eb2b6c6e4effea38faa20d
Description-en: Haskell inotify library; profiling libraries
 Hinotify is a Haskell library for inotify, a part of the Linux kernel
 .
 Hinotify uses inotify to provide file system event notification,
 simply add a watcher to a file or directory and get an event when it
 is accessed or modified.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hint-dev
Description-md5: 26b1069ea3563c49d7cdb0b685525cd8
Description-en: runtime Haskell interpreter (GHC API wrapper)
 This library defines an Interpreter monad. It allows one to load Haskell
 modules, browse them, type-check and evaluate strings with Haskell expressions
 and even coerce them into values. The library is thread-safe and type-safe
 (even the coercion of expressions to values). It is, essentially, a huge subset
 of the GHC API wrapped in a simpler API. Works with GHC.10.x and 6.8.x (this
 version was not tested with GHC.6).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hint-doc
Description-md5: 511bb9441d60ad0a1965991b2e1cb36e
Description-en: runtime Haskell interpreter (GHC API wrapper); documentation
 This library defines an Interpreter monad. It allows one to load Haskell
 modules, browse them, type-check and evaluate strings with Haskell expressions
 and even coerce them into values. The library is thread-safe and type-safe
 (even the coercion of expressions to values). It is, esentially, a huge subset
 of the GHC API wrapped in a simpler API. Works with GHC.10.x and 6.8.x (this
 version was not tested with GHC.6).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hint-prof
Description-md5: 2126b2063ddda0143c2e0e11e7556e57
Description-en: runtime Haskell interpreter (GHC API wrapper); profiling libraries
 This library defines an Interpreter monad. It allows one to load Haskell
 modules, browse them, type-check and evaluate strings with Haskell expressions
 and even coerce them into values. The library is thread-safe and type-safe
 (even the coercion of expressions to values). It is, esentially, a huge subset
 of the GHC API wrapped in a simpler API. Works with GHC.10.x and 6.8.x (this
 version was not tested with GHC.6).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hjsmin-dev
Description-md5: dcdaed89a97f3f92b10ac41be2e790de
Description-en: JavaScript minifier
 It reduces the size of JavaScript files by stripping out extraneous
 whitespace and other syntactic elements, without changing the
 semantics.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hjsmin-doc
Description-md5: 29f08ba5e9363984783b8eff520bfc7e
Description-en: JavaScript minifier; documentation
 It reduces the size of JavaScript files by stripping out extraneous
 whitespace and other syntactic elements, without changing the
 semantics.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hjsmin-prof
Description-md5: 30dedae78ef8477955b0b0d32bbfcd5b
Description-en: JavaScript minifier; profiling libraries
 It reduces the size of JavaScript files by stripping out extraneous
 whitespace and other syntactic elements, without changing the
 semantics.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hledger-dev
Description-md5: 1ded6325f2a99fc352b7695dc7ab915d
Description-en: CLI libraries for hledger
 hledger is a Haskell port and friendly fork of John Wiegley's ledger
 accounting tool. This package provides the main hledger command-line
 tool; see the other hledger-* packages for web and curses interfaces
 and chart generation. hledger aims to be a reliable, practical
 financial reporting tool for day-to-day use, and also a useful
 library for building financial apps in haskell. Given a plain text
 file describing transactions, of money or any other commodity,
 .
 hledger will print the chart of accounts, account balances, or
 transactions you're interested in. It can also help you add
 transactions to the journal file, or convert CSV data from your bank.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hledger-doc
Description-md5: 9762cf2040464dfaf2c27568cc94e874
Description-en: CLI libraries for hledger; documentation
 hledger is a Haskell port and friendly fork of John Wiegley's ledger
 accounting tool. This package provides the main hledger command-line
 tool; see the other hledger-* packages for web and curses interfaces
 and chart generation. hledger aims to be a reliable, practical
 financial reporting tool for day-to-day use, and also a useful
 library for building financial apps in haskell. Given a plain text
 file describing transactions, of money or any other commodity,
 .
 hledger will print the chart of accounts, account balances, or
 transactions you're interested in. It can also help you add
 transactions to the journal file, or convert CSV data from your bank.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hledger-lib-dev
Description-md5: 55bca075325e83a58989aa6399279f51
Description-en: core data types, parsers and utilities for the hledger accounting tool
 hledger is a haskell port and friendly fork of John Wiegley's ledger
 accounting tool. This package provides core data types, parsers and
 utilities used by the hledger tools. It also aims to be a useful
 library for building h/ledger-compatible tools or unrelated financial
 apps in Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hledger-lib-doc
Description-md5: 7eb280f539e46915569719abefa6a4d2
Description-en: core data types, parsers and utilities for hledger; documentation
 hledger is a haskell port and friendly fork of John Wiegley's ledger
 accounting tool. This package provides core data types, parsers and
 utilities used by the hledger tools. It also aims to be a useful
 library for building h/ledger-compatible tools or unrelated financial
 apps in Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hledger-lib-prof
Description-md5: c97ab98b633bf27417527473a9c7450b
Description-en: core data types, parsers and utilities for hledger; profiling libraries
 hledger is a haskell port and friendly fork of John Wiegley's ledger
 accounting tool. This package provides core data types, parsers and
 utilities used by the hledger tools. It also aims to be a useful
 library for building h/ledger-compatible tools or unrelated financial
 apps in Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hledger-prof
Description-md5: 811ae55147e1a0f35d55a892c22702ea
Description-en: CLI libraries for hledger; profiling libraries
 hledger is a Haskell port and friendly fork of John Wiegley's ledger
 accounting tool. This package provides the main hledger command-line
 tool; see the other hledger-* packages for web and curses interfaces
 and chart generation. hledger aims to be a reliable, practical
 financial reporting tool for day-to-day use, and also a useful
 library for building financial apps in haskell. Given a plain text
 file describing transactions, of money or any other commodity,
 .
 hledger will print the chart of accounts, account balances, or
 transactions you're interested in. It can also help you add
 transactions to the journal file, or convert CSV data from your bank.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hlint-dev
Description-md5: 2c3d2c94da978b4b5019a8e043268923
Description-en: Haskell source code suggestions
 HLint gives suggestions on how to improve your source code. It can either
 print them directly, or generate a colored HTML output.
 .
 This is the HLint library, used by programs that want to incorporate HLint's
 functionality.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hlint-doc
Description-md5: 3c40b71db04548dbeb27de5eaa7a4f8a
Description-en: Haskell source code suggestions; documentation
 HLint gives suggestions on how to improve your source code. It can either
 print them directly, or generate a colored HTML output.
 .
 This is the HLint library, used by programs that want to incorporate HLint's
 functionality.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hlint-prof
Description-md5: 160e1f4bd54b10d95cf1492f5665ac04
Description-en: Haskell source code suggestions; profiling libraries
 HLint gives suggestions on how to improve your source code. It can either
 print them directly, or generate a colored HTML output.
 .
 This is the HLint library, used by programs that want to incorporate HLint's
 functionality.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hmatrix-dev
Description-md5: 8c30590d6723f405da8c6946f70b6e8a
Description-en: Linear algebra in Haskell
 Purely functional interface to linear algebra based on BLAS
 and LAPACK.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hmatrix-doc
Description-md5: 801bc45380b4a73452316eeaca62d36d
Description-en: Linear algebra in Haskell; documentation
 Purely functional interface to linear algebra based on BLAS
 and LAPACK.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hmatrix-gsl-dev
Description-md5: c196eb690c90acd191f227f174ee5e20
Description-en: numerical computation
 Purely functional interface to selected numerical computations,
 internally implemented using GSL.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hmatrix-gsl-doc
Description-md5: db11f2d07adac409ff18e1907a4b485f
Description-en: numerical computation; documentation
 Purely functional interface to selected numerical computations,
 internally implemented using GSL.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hmatrix-gsl-prof
Description-md5: c33cc9dcc147262af029aca11c9eda92
Description-en: numerical computation; profiling libraries
 Purely functional interface to selected numerical computations,
 internally implemented using GSL.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hmatrix-prof
Description-md5: 6b008902d3a79d1b67f6fa8f1f9529b3
Description-en: Linear algebra in Haskell; profiling libraries
 Purely functional interface to linear algebra based on BLAS
 and LAPACK.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hoauth2-dev
Description-md5: d5d636b13cf0b698d86723d99e158097
Description-en: OAuth2 authentication for Haskell
  This library provies OAuth2 authentication for Haskell applications.
 .
 It was te tested against the following services
 .
   * google web oauth
   * weibo oauth2
   * github oauth
  .
  This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hoauth2-doc
Description-md5: b81bb4d0764ec15c330f8d691451a744
Description-en: OAuth2 authentication for Haskell; documentation
  This library provies OAuth2 authentication for Haskell applications.
 .
 It was te tested against the following services
 .
   * google web oauth
   * weibo oauth2
   * github oauth
  .
  This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hoauth2-prof
Description-md5: 42faa1b84544f491103ff48ccc7fd4d3
Description-en: OAuth2 authentication for Haskell; profiling libraries
  This library provies OAuth2 authentication for Haskell applications.
 .
 It was te tested against the following services
 .
   * google web oauth
   * weibo oauth2
   * github oauth
  .
  This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hoogle-dev
Description-md5: 81825b0a2d5068305405fbbb3c91178e
Description-en: Haskell API Search
 Hoogle is a Haskell API search engine, which allows you to
 search many standard Haskell libraries by either function name,
 or by approximate type signature.
 .
 This package contains the normal library files.

Package: libghc-hoogle-doc
Description-md5: 9e298ed27b426ea19e3ea3c8159f3057
Description-en: Haskell API Search; documentation
 Hoogle is a Haskell API search engine, which allows you to
 search many standard Haskell libraries by either function name,
 or by approximate type signature.
 .
 This package contains the documentation files.

Package: libghc-hoogle-prof
Description-md5: 148eeb2ae81ae84633527e1d8a4e7006
Description-en: Haskell API Search; profiling libraries
 Hoogle is a Haskell API search engine, which allows you to
 search many standard Haskell libraries by either function name,
 or by approximate type signature.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-hookup-dev
Description-md5: eadec7cbc59f02d98725b4d193bc4b8e
Description-en: abstraction over network connections with SOCKS5 and TLS
 This package provides an abstraction for communicating with line-oriented
 network services while abstracting over the use of SOCKS5 and TLS (via OpenSSL)
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hookup-doc
Description-md5: 3898c682694a6fe726a8a093289ccca5
Description-en: abstraction over network connections with SOCKS5 and TLS; documentation
 This package provides an abstraction for communicating with line-oriented
 network services while abstracting over the use of SOCKS5 and TLS (via OpenSSL)
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hookup-prof
Description-md5: 850249a97abbe68f7c950a7d3e458ae1
Description-en: abstraction over network connections with SOCKS5 and TLS; profiling libraries
 This package provides an abstraction for communicating with line-oriented
 network services while abstracting over the use of SOCKS5 and TLS (via OpenSSL)
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hopenpgp-dev
Description-md5: bf86b4c9d4c073deb9a25ae5aaaa4f46
Description-en: implementation of OpenPGP (RFC4880)
 This is a native Haskell implementation of OpenPGP (RFC4880),
 including message format serialization and some cryptographic
 operations.
 .
 This package contains the normal library files.

Package: libghc-hopenpgp-doc
Description-md5: 486450539a8fba0bf0be4ef9181bf683
Description-en: implementation of OpenPGP (RFC4880); documentation
 This is a native Haskell implementation of OpenPGP (RFC4880),
 including message format serialization and some cryptographic
 operations.
 .
 This package contains the documentation files.

Package: libghc-hopenpgp-prof
Description-md5: 1048d14d217e07f8698c1f8a0bb262b5
Description-en: OpenPGP (RFC4880); profiling libraries
 This is a native Haskell implementation of OpenPGP (RFC4880),
 including message format serialization and some cryptographic
 operations.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-hosc-dev
Description-md5: e8a8ae210ad8f0a7fa1fa45b4c4a412c
Description-en: Haskell Open Sound Control
 hosc implements a subset of the Open Sound Control
 byte protocol.
 .
 Sound.OSC.Core implements the actual protocol.
 .
 Sound.OSC.Transport.FD implements a
 file-descriptor-based transport layer for UDP
 and TCP.
 .
 Sound.OSC.Transport.Monad implements a
 monadic interface to the FD transport layer.
 .
 Composite modules are at Sound.OSC and Sound.OSC.FD.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hosc-doc
Description-md5: 571e3eea7e9dfcbafb7d266f28c55005
Description-en: Haskell Open Sound Control; documentation
 hosc implements a subset of the Open Sound Control
 byte protocol.
 .
 Sound.OSC.Core implements the actual protocol.
 .
 Sound.OSC.Transport.FD implements a
 file-descriptor-based transport layer for UDP
 and TCP.
 .
 Sound.OSC.Transport.Monad implements a
 monadic interface to the FD transport layer.
 .
 Composite modules are at Sound.OSC and Sound.OSC.FD.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hosc-prof
Description-md5: 2521cb72da0d12abf193ea537cd8357c
Description-en: Haskell Open Sound Control; profiling libraries
 hosc implements a subset of the Open Sound Control
 byte protocol.
 .
 Sound.OSC.Core implements the actual protocol.
 .
 Sound.OSC.Transport.FD implements a
 file-descriptor-based transport layer for UDP
 and TCP.
 .
 Sound.OSC.Transport.Monad implements a
 monadic interface to the FD transport layer.
 .
 Composite modules are at Sound.OSC and Sound.OSC.FD.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hostname-dev
Description-md5: ee7842f5930aec26d35c983c7cbbce74
Description-en: providing a cross-platform means of determining the hostname
 A very simple package providing a cross-platform means of determining
 the hostname
 .
 This package contains the normal library files.

Package: libghc-hostname-doc
Description-md5: 3952389da3dbb24c23c20b675ab8e1fc
Description-en: providing a cross-platform means of determining the hostname; documentation
 A very simple package providing a cross-platform means of determining
 the hostname
 .
 This package contains the documentation files.

Package: libghc-hostname-prof
Description-md5: 3be1b76c420ac92a37cad3033af1f76e
Description-en: providing a cross-platform means of determining the hostname; profiling lib
 A very simple package providing a cross-platform means of determining
 the hostname
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-hourglass-dev
Description-md5: 8e363b6ef2af1233880587f2fd4f0713
Description-en: simple performant time-related library
 Simple time library focusing on simple but powerful and performant API
 .
 The backbone of the library is the Timeable and Time type classes.
 .
 Each Timeable instances can be converted to type that has a Time
 instances, and thus are different representations of current time.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hourglass-doc
Description-md5: 788b29fdd0de9aad1cb2746f8b89e9d3
Description-en: simple performant time-related library; documentation
 Simple time library focusing on simple but powerful and performant API
 .
 The backbone of the library is the Timeable and Time type classes.
 .
 Each Timeable instances can be converted to type that has a Time
 instances, and thus are different representations of current time.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hourglass-prof
Description-md5: cec0bf823a6cb30aed2c8f5da07e4acd
Description-en: simple performant time-related library; profiling libraries
 Simple time library focusing on simple but powerful and performant API
 .
 The backbone of the library is the Timeable and Time type classes.
 .
 Each Timeable instances can be converted to type that has a Time
 instances, and thus are different representations of current time.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hpack-dev
Description-md5: a096219f1fdab6625cc4f53bec91803f
Description-en: alternative format for Haskell packages
 hpack is a tool to create the usual Haskell packaging metadata, i.e. the
 .cabal file, from a YAML description of the program, with some fields field in
 by inferred defaults and other additional conveniences.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hpack-doc
Description-md5: b1b0544a9e62fba13b06250c092b60e7
Description-en: alternative format for Haskell packages; documentation
 hpack is a tool to create the usual Haskell packaging metadata, i.e. the
 .cabal file, from a YAML description of the program, with some fields field in
 by inferred defaults and other additional conveniences.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hpack-prof
Description-md5: acc7b1338840ce2948be94aede654e2d
Description-en: alternative format for Haskell packages; profiling libraries
 hpack is a tool to create the usual Haskell packaging metadata, i.e. the
 .cabal file, from a YAML description of the program, with some fields field in
 by inferred defaults and other additional conveniences.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hs-bibutils-dev
Description-md5: c66bd378a424e207ef0f206c6d348d9e
Description-en: Haskell bindings to bibutils library
 bibutils is a program by Chris Putnam to convert between various
 bibliography formats, using a common MODS-format XML intermediate. This
 package offers Haskell bindings to the bibutils library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hs-bibutils-doc
Description-md5: 87d0c8be7292508a4b282b1a48c59bb0
Description-en: Haskell bindings to bibutils library; documentation
 bibutils is a program by Chris Putnam to convert between various
 bibliography formats, using a common MODS-format XML intermediate. This
 package offers Haskell bindings to the bibutils library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hs-bibutils-prof
Description-md5: 07120d00b9c77f19ff793b53d149dac8
Description-en: Haskell bindings to bibutils library; profiling libraries
 bibutils is a program by Chris Putnam to convert between various
 bibliography formats, using a common MODS-format XML intermediate. This
 package offers Haskell bindings to the bibutils library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hscolour-dev
Description-md5: 0d57d51edea12b3e5ec83ba14405a266
Description-en: GHC libraries for colourising Haskell code
 HsColour currently has five output formats: ANSI terminal codes, HTML 3.2
 with <font> tags, HTML 4.01 with CSS, LaTeX, and mIRC chat client
 codes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hscolour-doc
Description-md5: 18361540cc1e3764aca366449610d272
Description-en: Documentation for libghc-hscolour-dev; documentation
 HsColour currently has five output formats: ANSI terminal codes, HTML 3.2
 with <font> tags, HTML 4.01 with CSS, LaTeX, and mIRC chat client
 codes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hscolour-prof
Description-md5: 4d2a9b09ad8feb1c73d79ff737e47204
Description-en: Profiling libraries for colourising Haskell code; profiling libraries
 HsColour currently has five output formats: ANSI terminal codes, HTML 3.2
 with <font> tags, HTML 4.01 with CSS, LaTeX, and mIRC chat client
 codes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsemail-dev
Description-md5: c958d7cd0b3500bcd58e5f574de13a04
Description-en: Haskell parser for emails and SMTP conversations
 This Haskell library is parser for email messages (as described in RFC
 2822) and SMTP conversation (as described in RFC 2821).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsemail-doc
Description-md5: 03768bd8233422e88d40dc6152a32cdd
Description-en: Haskell parser for emails and SMTP conversations; documentation
 This Haskell library is parser for email messages (as described in RFC
 2822) and SMTP conversation (as described in RFC 2821).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsemail-prof
Description-md5: a5542f6100a769071461d794a47251cf
Description-en: Haskell parser for emails and SMTP conversations; profiling libraries
 This Haskell library is parser for email messages (as described in RFC
 2822) and SMTP conversation (as described in RFC 2821).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsh-dev
Description-md5: d658e09f60a65a6f7c7641a7ba0085e1
Description-en: Library to mix shell scripting with Haskell programs
 HSH is designed to let you mix and match shell expressions with
 Haskell programs. With HSH, it is possible to easily run shell
 commands, capture their output or provide their input, and pipe them
 to and from other shell commands and arbitrary Haskell functions at will.
 Category: System
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsh-doc
Description-md5: d2fbb26668810721e9ec4e13878a73e5
Description-en: Library to mix shell scripting with Haskell programs; documentation
 HSH is designed to let you mix and match shell expressions with
 Haskell programs. With HSH, it is possible to easily run shell
 commands, capture their output or provide their input, and pipe them
 to and from other shell commands and arbitrary Haskell functions at will.
 Category: System
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsh-prof
Description-md5: e74f77b0f3783bf54ee2a597b564d5e9
Description-en: Library to mix shell scripting with Haskell programs; profiling libraries
 HSH is designed to let you mix and match shell expressions with
 Haskell programs. With HSH, it is possible to easily run shell
 commands, capture their output or provide their input, and pipe them
 to and from other shell commands and arbitrary Haskell functions at will.
 Category: System
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsini-dev
Description-md5: d3aac82472deb72176d71d5f83ab1746
Description-en: user configuration files (INI) parser
 Parser for and types which represent an INI configuration file.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsini-doc
Description-md5: 928eae9427138a3d9df0c09821b92850
Description-en: user configuration files (INI) parser; documentation
 Parser for and types which represent an INI configuration file.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsini-prof
Description-md5: a2cf12d57083c40d27188cb6c2fa58b6
Description-en: user configuration files (INI) parser; profiling libraries
 Parser for and types which represent an INI configuration file.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslogger-dev
Description-md5: fa19fb25f9683f12c6a9db352a2c8170
Description-en: logging framework for Haskell
 hslogger is a logging framework for Haskell, roughly similar to
 Python's logging module.
 .
 hslogger lets each log message have a priority and source be associated
 with it.  The programmer can then define global handlers that route
 or filter messages based on the priority and source.  hslogger also
 has a syslog handler built in.
 .
 This package contains the API documentation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslogger-doc
Description-md5: beafd32d9fc43ea884dca45c093a7139
Description-en: logging framework for Haskell; documentation
 hslogger is a logging framework for Haskell, roughly similar to
 Python's logging module.
 .
 hslogger lets each log message have a priority and source be associated
 with it.  The programmer can then define global handlers that route
 or filter messages based on the priority and source.  hslogger also
 has a syslog handler built in.
 .
 This package contains the API documentation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslogger-prof
Description-md5: f8c20f95975ebfb77dfb635d1b09f690
Description-en: logging framework for Haskell; profiling libraries
 hslogger is a logging framework for Haskell, roughly similar to
 Python's logging module.
 .
 hslogger lets each log message have a priority and source be associated
 with it.  The programmer can then define global handlers that route
 or filter messages based on the priority and source.  hslogger also
 has a syslog handler built in.
 .
 This package contains the API documentation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslua-dev
Description-md5: 44aa6ac3dbf6754e6fb9f9bdbe85c221
Description-en: Lua language interpreter embedding in Haskell
 The Scripting.Lua module is a wrapper of Lua language interpreter as
 described in www.lua.org.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslua-doc
Description-md5: fdbffcc0e87ccc672f630d10013ee3af
Description-en: Lua language interpreter embedding in Haskell; documentation
 The Scripting.Lua module is a wrapper of Lua language interpreter as
 described in www.lua.org.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslua-module-text-dev
Description-md5: 3b344a81b1f8d3d5635efbaf95071422
Description-en: Lua module for text
 UTF-8 aware subset of Lua's "string" module.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslua-module-text-doc
Description-md5: b24359859c241ff130f7ea17a3fdfee8
Description-en: Lua module for text; documentation
 UTF-8 aware subset of Lua's "string" module.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslua-module-text-prof
Description-md5: 366404bd5ae1bdc8434eb49dd3345264
Description-en: Lua module for text; profiling libraries
 UTF-8 aware subset of Lua's "string" module.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hslua-prof
Description-md5: 84ecf485aff56084e9ec614b359bd5ed
Description-en: Lua language interpreter embedding in Haskell; profiling libraries
 The Scripting.Lua module is a wrapper of Lua language interpreter as
 described in www.lua.org.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsopenssl-dev
Description-md5: dce589e2cf9e0ee79ba445abb7953e6f
Description-en: partial OpenSSL binding for Haskell
 HsOpenSSL is an OpenSSL binding for Haskell. It can generate RSA
 and DSA keys, read and write PEM files, generate message digests,
 sign and verify messages, encrypt and decrypt messages. It has
 also some capabilities of creating SSL clients and servers.
 .
 This package is in production use by a number of Haskell based
 systems and stable. You may also be interested in the tls package,
 which is a pure Haskell implementation of SSL.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsopenssl-doc
Description-md5: 38b7d1bfc0800c4c1c85f0d13c785610
Description-en: partial OpenSSL binding for Haskell; documentation
 HsOpenSSL is an OpenSSL binding for Haskell. It can generate RSA
 and DSA keys, read and write PEM files, generate message digests,
 sign and verify messages, encrypt and decrypt messages. It has
 also some capabilities of creating SSL clients and servers.
 .
 This package is in production use by a number of Haskell based
 systems and stable. You may also be interested in the tls package,
 which is a pure Haskell implementation of SSL.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsopenssl-prof
Description-md5: 0f522d5d58f82d6b5dc208083c1856c8
Description-en: partial OpenSSL binding for Haskell; profiling libraries
 HsOpenSSL is an OpenSSL binding for Haskell. It can generate RSA
 and DSA keys, read and write PEM files, generate message digests,
 sign and verify messages, encrypt and decrypt messages. It has
 also some capabilities of creating SSL clients and servers.
 .
 This package is in production use by a number of Haskell based
 systems and stable. You may also be interested in the tls package,
 which is a pure Haskell implementation of SSL.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsopenssl-x509-system-dev
Description-md5: f7441f9de5c40829dd37a251d7d02969
Description-en: use system's native CA certificate store with HsOpenSSL
 A cross-platform library that tries to find a (reasonable) CA certificate
 bundle that can be used with HsOpenSSL to verify the certificates of
 remote peers.
 .
 This package is for HsOpenSSL what x509-system is for the tls package.
 Additionally, it borrows some ideas from x509-system.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsopenssl-x509-system-doc
Description-md5: 231602c28a1d9cf4dcf4a3c69c6e3c67
Description-en: use system's native CA certificate store with HsOpenSSL; documentation
 A cross-platform library that tries to find a (reasonable) CA certificate
 bundle that can be used with HsOpenSSL to verify the certificates of
 remote peers.
 .
 This package is for HsOpenSSL what x509-system is for the tls package.
 Additionally, it borrows some ideas from x509-system.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsopenssl-x509-system-prof
Description-md5: b2ef90e0113de5183900839029e61af0
Description-en: use system's native CA certificate store with HsOpenSSL; profiling libraries
 A cross-platform library that tries to find a (reasonable) CA certificate
 bundle that can be used with HsOpenSSL to verify the certificates of
 remote peers.
 .
 This package is for HsOpenSSL what x509-system is for the tls package.
 Additionally, it borrows some ideas from x509-system.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsp-dev
Description-md5: e34d9032634e7223b39daacc328dd884
Description-en: Haskell library for dynamic server-side web pages
 Haskell Server Pages (HSP) is an extension of vanilla Haskell, targetted
 at the task of writing dynamic server-side web pages. Features include
 an embedded XML syntax and a (low-to-mid-level) programming model for
 writing dynamic web pages.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsp-doc
Description-md5: d1b7d3e0c1c9786e80b4bd0d53cd3339
Description-en: Haskell library for dynamic server-side web pages; documentation
 Haskell Server Pages (HSP) is an extension of vanilla Haskell, targetted
 at the task of writing dynamic server-side web pages. Features include
 an embedded XML syntax and a (low-to-mid-level) programming model for
 writing dynamic web pages.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsp-prof
Description-md5: fbffde705214f459cbd1239cd1c5c97d
Description-en: Haskell library for dynamic server-side web pages; profiling libraries
 Haskell Server Pages (HSP) is an extension of vanilla Haskell, targetted
 at the task of writing dynamic server-side web pages. Features include
 an embedded XML syntax and a (low-to-mid-level) programming model for
 writing dynamic web pages.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-attoparsec-dev
Description-md5: b8f59ddc781f8524dfd287153cc5672d
Description-en: utility functions for testing your attoparsec parsers with hspec
 This package provides some helper functions for testing attoparsec parsers with hspec.
 .
 See the documentation in @Test.Hspec.Attoparsec@ for examples, or this package's own test suite.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-attoparsec-doc
Description-md5: 71ba73fe28eb8d192ee8fd2e89793020
Description-en: utility functions for testing your attoparsec parsers with hspec; documentation
 This package provides some helper functions for testing attoparsec parsers with hspec.
 .
 See the documentation in @Test.Hspec.Attoparsec@ for examples, or this package's own test suite.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-attoparsec-prof
Description-md5: bd8f304ee3a31ee19c1d363346be0588
Description-en: utility functions for testing your attoparsec parsers with hspec; profiling libraries
 This package provides some helper functions for testing attoparsec parsers with hspec.
 .
 See the documentation in @Test.Hspec.Attoparsec@ for examples, or this package's own test suite.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-contrib-dev
Description-md5: 0e6221f467d3d961caf82c5efe09db95
Description-en: contributed functionality for Hspec
 This package provides additional useful functionality for Hspec.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-contrib-doc
Description-md5: 9328bf4ba371d3fd77c8074a6033e5d7
Description-en: contributed functionality for Hspec; documentation
 This package provides additional useful functionality for Hspec.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-contrib-prof
Description-md5: 764a9677dfacf912f0750c74ab1506e3
Description-en: contributed functionality for Hspec; profiling libraries
 This package provides additional useful functionality for Hspec.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-core-dev
Description-md5: 03a4606dd8dbb38314e56cf6fca35c2b
Description-en: testing Framework for Haskell
 This package exposes internal types and functions that can be
 used to extend Hspec's functionality.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-core-doc
Description-md5: 1c1ee65eaabf84a46e0c851febfe7cc4
Description-en: testing Framework for Haskell; documentation
 This package exposes internal types and functions that can be
 used to extend Hspec's functionality.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-core-prof
Description-md5: bfb0f53f4f01d0297631fbe5e224e617
Description-en: testing Framework for Haskell; profiling libraries
 This package exposes internal types and functions that can be
 used to extend Hspec's functionality.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-dev
Description-md5: a8b42b1e662b5ebf49881424576097ab
Description-en: behavior-driven development for Haskell
 Hspec is roughly based on the Ruby library RSpec. However, Hspec is just
 a framework for running HUnit and QuickCheck tests. Compared to other
 options, it provides a much nicer syntax that makes tests very easy to read.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-doc
Description-md5: 4f7126285a0852b894c7dd97636c0428
Description-en: behavior-driven development for Haskell; documentation
 Hspec is roughly based on the Ruby library RSpec. However, Hspec is just
 a framework for running HUnit and QuickCheck tests. Compared to other
 options, it provides a much nicer syntax that makes tests very easy to read.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-expectations-dev
Description-md5: 97aa7ee7383c25bcdb790a00fa5fce11
Description-en: catchy combinators for HUnit
 Catchy combinators for HUnit: the three main primitives are shouldBe,
 shouldSatisfy and shouldThrow. They can be used with HUnit, or any
 framework that integrates with HUnit, like test-framework or Hspec.
 .
 This package contains the normal library files.

Package: libghc-hspec-expectations-doc
Description-md5: 3b121ca5ae8532f9df6bd8746136d673
Description-en: catchy combinators for HUnit; documentation
 Catchy combinators for HUnit: the three main primitives are shouldBe,
 shouldSatisfy and shouldThrow. They can be used with HUnit, or any
 framework that integrates with HUnit, like test-framework or Hspec.
 .
 This package contains the documentation files.

Package: libghc-hspec-expectations-prof
Description-md5: a86873574b86e96930955a8ccba03953
Description-en: catchy combinators for HUnit; profiling libraries
 Catchy combinators for HUnit: the three main primitives are shouldBe,
 shouldSatisfy and shouldThrow. They can be used with HUnit, or any
 framework that integrates with HUnit, like test-framework or Hspec.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-hspec-prof
Description-md5: 68e924d5d81e6253da2d5089319d9b05
Description-en: behavior-driven development for Haskell; profiling libraries
 Hspec is roughly based on the Ruby library RSpec. However, Hspec is just
 a framework for running HUnit and QuickCheck tests. Compared to other
 options, it provides a much nicer syntax that makes tests very easy to read.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-smallcheck-dev
Description-md5: f1a643b5e737d55a22a5c2b3b7e37629
Description-en: SmallCheck support for the Hspec testing framework
 SmallCheck support for the Hspec testing framework
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-smallcheck-doc
Description-md5: 89356959f73e56194f8a957c17fb6d74
Description-en: SmallCheck support for the Hspec testing framework; documentation
 SmallCheck support for the Hspec testing framework
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-smallcheck-prof
Description-md5: 10693bd1726073470bca83ad9175cca4
Description-en: SmallCheck support for the Hspec testing framework; profiling libraries
 SmallCheck support for the Hspec testing framework
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-wai-dev
Description-md5: 7568c357de78e5ca3f59915a3cda38e0
Description-en: hspec support for testing WAI applications
 Experimental Hspec support for testing WAI applications
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-wai-doc
Description-md5: fc4b7745ec1f646f0c40676ae3f8be87
Description-en: hspec support for testing WAI applications; documentation
 Experimental Hspec support for testing WAI applications
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hspec-wai-prof
Description-md5: b914ba3f84ef9d664823f87442127a7a
Description-en: hspec support for testing WAI applications; profiling libraries
 Experimental Hspec support for testing WAI applications
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hstatsd-dev
Description-md5: 8299a21ad5687d28138cb021aecd79ce
Description-en: Quick and dirty statsd interface
 This package provides a quick and dirty interface for statsd.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hstatsd-doc
Description-md5: 1072dd57dc401ca1129d305b396bfd26
Description-en: Quick and dirty statsd interface; documentation
 This package provides a quick and dirty interface for statsd.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hstatsd-prof
Description-md5: 820672ff37cee6075692255a23d757f4
Description-en: Quick and dirty statsd interface; profiling libraries
 This package provides a quick and dirty interface for statsd.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hstringtemplate-dev
Description-md5: e5d9429db56e364d06750b29860f69b8
Description-en: Haskell port of the Java library StringTemplate
 This is a Haskell template engine. Its API is mainly inspired to that of
 the Java library StringTemplate, but it is implemented in a "Haskellish"
 manner.
 .
 It implements the basic 3.1 grammar, lacking group files (though not
 groups themselves), Regions, and Interfaces and extends it by allowing
 the application of alternating attributes to anonymous as well as
 regular templates, including therefore sets of alternating attributes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hstringtemplate-doc
Description-md5: beb57a95867338460cc8bcc039b60a35
Description-en: Haskell port of the Java library StringTemplate; documentation
 This is a Haskell template engine. Its API is mainly inspired to that of
 the Java library StringTemplate, but it is implemented in a "Haskellish"
 manner.
 .
 It implements the basic 3.1 grammar, lacking group files (though not
 groups themselves), Regions, and Interfaces and extends it by allowing
 the application of alternating attributes to anonymous as well as
 regular templates, including therefore sets of alternating attributes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hstringtemplate-prof
Description-md5: 99847f08921122bd00c70bed24c56206
Description-en: Haskell port of the Java library StringTemplate; profiling libraries
 This is a Haskell template engine. Its API is mainly inspired to that of
 the Java library StringTemplate, but it is implemented in a "Haskellish"
 manner.
 .
 It implements the basic 3.1 grammar, lacking group files (though not
 groups themselves), Regions, and Interfaces and extends it by allowing
 the application of alternating attributes to anonymous as well as
 regular templates, including therefore sets of alternating attributes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsx-jmacro-dev
Description-md5: d334d2346dc7cff62fd89fbbb09fcc41
Description-en: HSP/JMacro integration
 HSP allows for the use of literal XML in Haskell program text. JMacro
 allows for the use of JavaScript syntax for generating JavaScript in
 Haskell. This library makes it easy to embed JMacro-generated
 JavaScript in HSX templates.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsx-jmacro-doc
Description-md5: c96eb87ec61b8dfbe856ae86e8377cb2
Description-en: HSP/JMacro integration; documentation
 HSP allows for the use of literal XML in Haskell program text. JMacro
 allows for the use of JavaScript syntax for generating JavaScript in
 Haskell. This library makes it easy to embed JMacro-generated
 JavaScript in HSX templates.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsx-jmacro-prof
Description-md5: a2b39ec8b2833f01623c0e4add7fcb24
Description-en: HSP/JMacro integration; profiling libraries
 HSP allows for the use of literal XML in Haskell program text. JMacro
 allows for the use of JavaScript syntax for generating JavaScript in
 Haskell. This library makes it easy to embed JMacro-generated
 JavaScript in HSX templates.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsx2hs-dev
Description-md5: 00fc23ba08ac227cfff078e29dd26a65
Description-en: literal XML syntax in Haskell source code
 HSX (Haskell Source with XML) allows literal XML syntax in Haskell
 source code. The hsx2hs preprocessor translates .hsx source files
 into ordinary .hs files. Literal XML syntax is translated into
 function calls for creating XML values of the appropriate forms.
 hsx2hs transforms literal XML syntax into a series of function calls.
 Any project can make use of the syntax by providing definitions for
 those functions, and the XML values produced will be of the types
 specified. This works for any types, since hsx2hs doesn't make any
 assumptions, or inserts any information depending on types.
 XMLGenerator defines a few typeclasses that together cover the
 functions injected by the preprocessor. A project that uses these
 classes to provide the semantics for the injected syntax will be
 able to use any functions written in terms of these, allowing
 better code reusability than if each project defines its own
 semantics for the XML syntax. Also, the classes makes it possible
 to use the literal syntax at different types within the same module.
 Achieving that is not as simple as it may seem, but the XMLGenerator
 module provides all the necessary machinery.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsx2hs-doc
Description-md5: cbebed8b3a307fcc44efb188d799cdbf
Description-en: literal XML syntax in Haskell source code; documentation
 HSX (Haskell Source with XML) allows literal XML syntax in Haskell
 source code. The hsx2hs preprocessor translates .hsx source files
 into ordinary .hs files. Literal XML syntax is translated into
 function calls for creating XML values of the appropriate forms.
 hsx2hs transforms literal XML syntax into a series of function calls.
 Any project can make use of the syntax by providing definitions for
 those functions, and the XML values produced will be of the types
 specified. This works for any types, since hsx2hs doesn't make any
 assumptions, or inserts any information depending on types.
 XMLGenerator defines a few typeclasses that together cover the
 functions injected by the preprocessor. A project that uses these
 classes to provide the semantics for the injected syntax will be
 able to use any functions written in terms of these, allowing
 better code reusability than if each project defines its own
 semantics for the XML syntax. Also, the classes makes it possible
 to use the literal syntax at different types within the same module.
 Achieving that is not as simple as it may seem, but the XMLGenerator
 module provides all the necessary machinery.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsx2hs-prof
Description-md5: 92678da5fcf193aad68cd729872d3ffc
Description-en: literal XML syntax in Haskell source code; profiling libraries
 HSX (Haskell Source with XML) allows literal XML syntax in Haskell
 source code. The hsx2hs preprocessor translates .hsx source files
 into ordinary .hs files. Literal XML syntax is translated into
 function calls for creating XML values of the appropriate forms.
 hsx2hs transforms literal XML syntax into a series of function calls.
 Any project can make use of the syntax by providing definitions for
 those functions, and the XML values produced will be of the types
 specified. This works for any types, since hsx2hs doesn't make any
 assumptions, or inserts any information depending on types.
 XMLGenerator defines a few typeclasses that together cover the
 functions injected by the preprocessor. A project that uses these
 classes to provide the semantics for the injected syntax will be
 able to use any functions written in terms of these, allowing
 better code reusability than if each project defines its own
 semantics for the XML syntax. Also, the classes makes it possible
 to use the literal syntax at different types within the same module.
 Achieving that is not as simple as it may seem, but the XMLGenerator
 module provides all the necessary machinery.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hsyaml-dev
Description-md5: 482ceeb192638e96f9e06b2232004e3b
Description-en: pure Haskell YAML 1.2 parser - libraries
 HsYAML is a YAML 1.2 parser implementation for Haskell.
 .
 Features of @HsYAML@ include:
 .
  * Pure Haskell implementation with small dependency footprint
    and emphasis on strict compliance with the YAML 1.2 specification.
  * Direct decoding to native Haskell types
    via (aeson-inspired) typeclass-based API.
  * Support for constructing custom YAML node graph representation
    (including support for cyclic YAML data structures).
  * Support for the standard (untyped) Failsafe, (strict) JSON,
    and (flexible) Core "schemas" providing implicit typing rules
    as defined in the YAML 1.2 specification
    (including support for user-defined custom schemas).
  * Event-based API resembling LibYAML's Event-based API.
  * Low-level API access to lexical token-based scanner.
 .
 This package contains the libraries compiled for GHC.

Package: libghc-hsyaml-doc
Description-md5: 3c051754e27fe1241724bd4b1c399b04
Description-en: pure Haskell YAML 1.2 parser - library documentation
 HsYAML is a YAML 1.2 parser implementation for Haskell.
 .
 Features of @HsYAML@ include:
 .
  * Pure Haskell implementation with small dependency footprint
    and emphasis on strict compliance with the YAML 1.2 specification.
  * Direct decoding to native Haskell types
    via (aeson-inspired) typeclass-based API.
  * Support for constructing custom YAML node graph representation
    (including support for cyclic YAML data structures).
  * Support for the standard (untyped) Failsafe, (strict) JSON,
    and (flexible) Core "schemas" providing implicit typing rules
    as defined in the YAML 1.2 specification
    (including support for user-defined custom schemas).
  * Event-based API resembling LibYAML's Event-based API.
  * Low-level API access to lexical token-based scanner.
 .
 This package contains the library documentation for intern.

Package: libghc-hsyaml-prof
Description-md5: f600112e354735729e597fcca056af2f
Description-en: pure Haskell YAML 1.2 parser - profiling libraries
 HsYAML is a YAML 1.2 parser implementation for Haskell.
 .
 Features of @HsYAML@ include:
 .
  * Pure Haskell implementation with small dependency footprint
    and emphasis on strict compliance with the YAML 1.2 specification.
  * Direct decoding to native Haskell types
    via (aeson-inspired) typeclass-based API.
  * Support for constructing custom YAML node graph representation
    (including support for cyclic YAML data structures).
  * Support for the standard (untyped) Failsafe, (strict) JSON,
    and (flexible) Core "schemas" providing implicit typing rules
    as defined in the YAML 1.2 specification
    (including support for user-defined custom schemas).
  * Event-based API resembling LibYAML's Event-based API.
  * Low-level API access to lexical token-based scanner.
 .
 This package contains the profiling libraries for intern.

Package: libghc-hsyslog-dev
Description-md5: 18fca06b9ef31f8a19e6991833a10d67
Description-en: syslog bindings for Haskell
 FFI bindings to syslog(3) from POSIX.1-2001.
 .
 This package contains the normal library files.

Package: libghc-hsyslog-doc
Description-md5: be12927716965f6abed409c543a45f70
Description-en: syslog bindings for Haskell; documentation
 FFI bindings to syslog(3) from POSIX.1-2001.
 .
 This package contains the documentation files.

Package: libghc-hsyslog-prof
Description-md5: c3beb4589d969f6e1dcbeb22ff6659ec
Description-en: syslog bindings for Haskell; profiling libraries
 FFI bindings to syslog(3) from POSIX.1-2001.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-html-conduit-dev
Description-md5: 9fc0509c07f3f0a1c39e08a4aa45cc96
Description-en: parse HTML documents using xml-conduit datatypes
 html-conduit uses tagstream-conduit for its parser. It automatically
 balances mismatched tags, so that there shouldn't be any parse
 failures. It does not handle a full HTML document rendering, such as
 adding missing html and head tags.
 .
 This package contains the normal library files.

Package: libghc-html-conduit-doc
Description-md5: 917a85668bfdffb77b791d3e6ddcbe58
Description-en: parse HTML documents using xml-conduit datatypes; documentation
 html-conduit uses tagstream-conduit for its parser. It automatically
 balances mismatched tags, so that there shouldn't be any parse
 failures. It does not handle a full HTML document rendering, such as
 adding missing html and head tags.
 .
 This package contains the documentation files.

Package: libghc-html-conduit-prof
Description-md5: 5845d4982eb8b36ba9b8fd318648971a
Description-en: parse HTML documents using xml-conduit datatypes; profiling libraries
 html-conduit uses tagstream-conduit for its parser. It automatically
 balances mismatched tags, so that there shouldn't be any parse
 failures. It does not handle a full HTML document rendering, such as
 adding missing html and head tags.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-html-dev
Description-md5: 3c02f23b169978c81ca4b9ece28d70be
Description-en: Haskell html library for GHC
 This package provides a library for the Haskell programming language.
 .
 This library provides combinators for constructing HTML documents.

Package: libghc-html-doc
Description-md5: c54ee7c77e4d7442e0d2de875dbf0a2d
Description-en: Haskell html library for GHC; documentation
 This package provides the documentation for a library for the Haskell
 programming language.
 .
 This library provides combinators for constructing HTML documents.

Package: libghc-html-prof
Description-md5: a08600dfaf86671b81ec449e7a217691
Description-en: Haskell html library for GHC; profiling libraries
 This package provides a library for the Haskell programming language,
 compiled for profiling.
 .
 This library provides combinators for constructing HTML documents.

Package: libghc-http-api-data-dev
Description-md5: ddb823c2a2b63d8e2ba5c022e5bad5db
Description-en: converting to/from HTTP API data
 This package defines typeclasses used for converting Haskell data
 types to and from HTTP API data, such as URL pieces, headers and
 query parameters.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-api-data-doc
Description-md5: c95f8d194a747f8c80c97442079b6c12
Description-en: converting to/from HTTP API data; documentation
 This package defines typeclasses used for converting Haskell data
 types to and from HTTP API data, such as URL pieces, headers and
 query parameters.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-api-data-prof
Description-md5: 61f9769cbbf65dcc8873d02a2459fcb7
Description-en: converting to/from HTTP API data; profiling libraries
 This package defines typeclasses used for converting Haskell data
 types to and from HTTP API data, such as URL pieces, headers and
 query parameters.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-dev
Description-md5: 5efe296e4095129cd990b40c135bbaf1
Description-en: HTTP client engine
 An HTTP client engine, intended as a base layer for more user-friendly
 packages.
 .
 This code has been factored out of http-conduit.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-doc
Description-md5: 17142b3313d09e43246b7a36624086f9
Description-en: HTTP client engine; documentation
 An HTTP client engine, intended as a base layer for more user-friendly
 packages.
 .
 This code has been factored out of http-conduit.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-prof
Description-md5: e774a8104c101f284935a1a222d6cd73
Description-en: HTTP client engine; profiling libraries
 An HTTP client engine, intended as a base layer for more user-friendly
 packages.
 .
 This code has been factored out of http-conduit.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-restricted-dev
Description-md5: e498bd7849f938591b543efcc246baa5
Description-en: restrict the servers that Haskell's http-client will use
 Addition to the http-client and http-client-tls Haskell
 libraries, that restricts the HTTP servers that can be used.
 .
 This is useful when a security policy needs to, e.g., prevent connections to
 HTTP servers on localhost or a local network, or only allow connections
 to a specific HTTP server.
 .
 It handles restricting redirects as well as the initial HTTP connection,
 and it also guards against DNS poisoning attacks.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-restricted-doc
Description-md5: e13ea8b69f2312cc4aa757f9d1975fad
Description-en: restrict the servers that Haskell's http-client will use; documentation
 Addition to the http-client and http-client-tls Haskell
 libraries, that restricts the HTTP servers that can be used.
 .
 This is useful when a security policy needs to, e.g., prevent connections to
 HTTP servers on localhost or a local network, or only allow connections
 to a specific HTTP server.
 .
 It handles restricting redirects as well as the initial HTTP connection,
 and it also guards against DNS poisoning attacks.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-restricted-prof
Description-md5: 0863065d8a0912615a0320092f64a504
Description-en: restrict the servers that Haskell's http-client will use; profiling libraries
 Addition to the http-client and http-client-tls Haskell
 libraries, that restricts the HTTP servers that can be used.
 .
 This is useful when a security policy needs to, e.g., prevent connections to
 HTTP servers on localhost or a local network, or only allow connections
 to a specific HTTP server.
 .
 It handles restricting redirects as well as the initial HTTP connection,
 and it also guards against DNS poisoning attacks.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-tls-dev
Description-md5: ed73ec00faf274d15c7960a9e84301d9
Description-en: http-client backend using connection and tls libraries
 Support for making connections via the connection package and, in
 turn, the tls package suite.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-tls-doc
Description-md5: 04f69069b36ce2e136b157e3af8654be
Description-en: http-client backend using connection and tls libraries; documentation
 Support for making connections via the connection package and, in
 turn, the tls package suite.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-client-tls-prof
Description-md5: b8478d518a2bcb128cd5abcf140c74f6
Description-en: http-client backend using connection and tls libraries; profiling libraries
 Support for making connections via the connection package and, in
 turn, the tls package suite.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-common-dev
Description-md5: 4c92a236d1e52a3b20b889891d8eacd5
Description-en: common types for HTTP clients and servers
 Base types used by a variety of HTTP clients and servers. See http-streams
 "Network.Http.Client" or pipes-http "Pipes.Http.Client" for full
 documentation. You can import Network.Http.Types if you like, but both
 http-streams and pipes-http re-export this package's types and functions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-common-doc
Description-md5: a825eb76f179cbee9b0c43ac2d0905af
Description-en: common types for HTTP clients and servers; documentation
 Base types used by a variety of HTTP clients and servers. See http-streams
 "Network.Http.Client" or pipes-http "Pipes.Http.Client" for full
 documentation. You can import Network.Http.Types if you like, but both
 http-streams and pipes-http re-export this package's types and functions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-common-prof
Description-md5: 2bfbea6dbd7629e1621138c787042be0
Description-en: common types for HTTP clients and servers; profiling libraries
 Base types used by a variety of HTTP clients and servers. See http-streams
 "Network.Http.Client" or pipes-http "Pipes.Http.Client" for full
 documentation. You can import Network.Http.Types if you like, but both
 http-streams and pipes-http re-export this package's types and functions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-conduit-dev
Description-md5: 38219628dafc04d3f5312cd6795ca7b1
Description-en: HTTP client package with conduit interface and HTTPS support
 This package uses attoparsec for parsing the actual contents of the HTTP
 connection. It also provides higher-level functions which allow you to avoid
 direct usage of conduits. See http://www.yesodweb.com/book/http-conduit
 for more information.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-conduit-doc
Description-md5: 42861c13eef90c501df95f4ea66ad20a
Description-en: HTTP client package with conduit interface and HTTPS support; documentation
 This package uses attoparsec for parsing the actual contents of the HTTP
 connection. It also provides higher-level functions which allow you to avoid
 direct usage of conduits. See http://www.yesodweb.com/book/http-conduit
 for more information.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-conduit-prof
Description-md5: d7a4381d25bf3d34320977a73d774c04
Description-en: HTTP/HTTPS client package with conduit interface, profiling libraries; profiling libraries
 This package uses attoparsec for parsing the actual contents of the HTTP
 connection. It also provides higher-level functions which allow you to avoid
 direct usage of conduits. See http://www.yesodweb.com/book/http-conduit
 for more information.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-date-dev
Description-md5: 350cb55f52679f9730eff9550ea520c7
Description-en: HTTP Date parser/formatter
 Fast parser and formatter for HTTP Date.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-date-doc
Description-md5: 9bf0697dfd54a25dcc77a149d0effcbb
Description-en: HTTP Date parser/formatter; documentation
 Fast parser and formatter for HTTP Date.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-date-prof
Description-md5: 5d64e2b88d2e45f929ad732299a7e1eb
Description-en: HTTP Date parser/formatter; profiling libraries
 Fast parser and formatter for HTTP Date.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-dev
Description-md5: 2712d7069184c163a5a20263189db689
Description-en: GHC libraries for the Haskell HTTP client library
 HTTP is a set of Haskell client libraries for HTTP/1.0 and HTTP/1.1.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-doc
Description-md5: 2379d231bafd0e2f4e3209ae1ee49389
Description-en: GHC libraries for the Haskell HTTP client library; documentation
 HTTP is a set of Haskell client libraries for HTTP/1.0 and HTTP/1.1.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-link-header-dev
Description-md5: b713175dd369165a54dc8a2c3e8d1810
Description-en: parser/writer for RFC 5988's HTTP Link header
 Library for parsing and writing the HTTP Link header as specified in RFC 5988
 "Web Linking".
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-link-header-doc
Description-md5: c4bc9afc3c72f0ce26ac7dec12730146
Description-en: parser/writer for RFC 5988's HTTP Link header; documentation
 Library for parsing and writing the HTTP Link header as specified in RFC 5988
 "Web Linking".
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-link-header-prof
Description-md5: c1f78d03b57c86b0b0ade6a0aa448785
Description-en: parser/writer for RFC 5988's HTTP Link header; profiling libraries
 Library for parsing and writing the HTTP Link header as specified in RFC 5988
 "Web Linking".
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-media-dev
Description-md5: f763253dd7a6c75a576bffe1916238cf
Description-en: processing HTTP Content-Type and Accept headers
 This library is intended to be a comprehensive solution to parsing and
 selecting quality-indexed values in HTTP headers. It is capable of parsing
 both media types and language parameters from the Accept and Content header
 families, and can be extended to match against other accept headers as well.
 Selecting the appropriate header value is achieved by comparing a list of
 server options against the quality-indexed values supplied by the client.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-media-doc
Description-md5: d7f5179b0d20d9212bc9671e705d231e
Description-en: processing HTTP Content-Type and Accept headers; documentation
 This library is intended to be a comprehensive solution to parsing and
 selecting quality-indexed values in HTTP headers. It is capable of parsing
 both media types and language parameters from the Accept and Content header
 families, and can be extended to match against other accept headers as well.
 Selecting the appropriate header value is achieved by comparing a list of
 server options against the quality-indexed values supplied by the client.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-media-prof
Description-md5: 1644c0955646ed997ace526b7374b6a0
Description-en: processing HTTP Content-Type and Accept headers; profiling libraries
 This library is intended to be a comprehensive solution to parsing and
 selecting quality-indexed values in HTTP headers. It is capable of parsing
 both media types and language parameters from the Accept and Content header
 families, and can be extended to match against other accept headers as well.
 Selecting the appropriate header value is achieved by comparing a list of
 server options against the quality-indexed values supplied by the client.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-prof
Description-md5: 95cc833efee29322f62ee18749155b62
Description-en: GHC libraries for the Haskell HTTP client library; profiling libraries
 HTTP is a set of Haskell client libraries for HTTP/1.0 and HTTP/1.1.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-reverse-proxy-dev
Description-md5: dbf89fa540c6c9ed62580b5532b7b660
Description-en: reverse-proxy HTTP requests, either over raw sockets or with WAI
 http-reverse-proxy provides a simple means of reverse-proxying HTTP
 requests. The raw approach uses the same technique as leveraged by
 keter, whereas the WAI approach performs full request/response parsing
 via WAI and http-conduit.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-reverse-proxy-doc
Description-md5: 8e08daf4f9d7d49c1dfd405ea066f96e
Description-en: reverse-proxy HTTP requests, over raw sockets or with WAI; documentation
 http-reverse-proxy provides a simple means of reverse-proxying HTTP
 requests. The raw approach uses the same technique as leveraged by
 keter, whereas the WAI approach performs full request/response parsing
 via WAI and http-conduit.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-reverse-proxy-prof
Description-md5: 564bf61bb8bc2d8181fefe143f510a1f
Description-en: reverse-proxy HTTP requests, over raw sockets or with WAI; profiling libraries
 http-reverse-proxy provides a simple means of reverse-proxying HTTP
 requests. The raw approach uses the same technique as leveraged by
 keter, whereas the WAI approach performs full request/response parsing
 via WAI and http-conduit.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-streams-dev
Description-md5: 26e64df8f6890c09be278bb8dac17d80
Description-en: An HTTP client using io-streams
 This package provides an HTTP client, using the Snap Framework's 'io-streams'
 library to hande the streaming IO. The API is optimized for ease of use for
 the rather common case of code needing to query web services and deal with
 the result.
 .
 The library is exported in a single module; see "Network.Http.Client" for full
 documentation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-streams-doc
Description-md5: 06b21a4586b4f4ff3fc4b7949338fdff
Description-en: An HTTP client using io-streams; documentation
 This package provides an HTTP client, using the Snap Framework's 'io-streams'
 library to hande the streaming IO. The API is optimized for ease of use for
 the rather common case of code needing to query web services and deal with
 the result.
 .
 The library is exported in a single module; see "Network.Http.Client" for full
 documentation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-streams-prof
Description-md5: 5099e82f443b3e806a4b408b5cd18460
Description-en: An HTTP client using io-streams; profiling libraries
 This package provides an HTTP client, using the Snap Framework's 'io-streams'
 library to hande the streaming IO. The API is optimized for ease of use for
 the rather common case of code needing to query web services and deal with
 the result.
 .
 The library is exported in a single module; see "Network.Http.Client" for full
 documentation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-types-dev
Description-md5: 34bccbff2612f4e3d5a3aad3b1674806
Description-en: Generic HTTP types (for both client and server code)
 It contains generic HTTP types for Haskell (for both client and
 server code).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-types-doc
Description-md5: e3b15c20c688872b2147de2b8ae678c1
Description-en: Generic HTTP types (for both client and server code); documentation
 It contains generic HTTP types for Haskell (for both client and
 server code).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http-types-prof
Description-md5: caedb234543aa8a13cdeb29ba0a2b0b0
Description-en: Generic HTTP types (for both client and server code); profiling libraries
 It contains generic HTTP types for Haskell (for both client and
 server code).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http2-dev
Description-md5: 58172c43a649f89e77eefdb220eb12cf
Description-en: HTTP/2.0 library including frames and HPACK
 This package provides a HTTP/2.0 library including frames and HPACK.
 Currently HTTP/2 16 framing and HPACK 10 is supported.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http2-doc
Description-md5: eb41cd6261ab430fbf0b3a4db6ffd33e
Description-en: HTTP/2.0 library including frames and HPACK; documentation
 This package provides a HTTP/2.0 library including frames and HPACK.
 Currently HTTP/2 16 framing and HPACK 10 is supported.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-http2-prof
Description-md5: 44fc82ccadabc537aea5fa3f388914c1
Description-en: HTTP/2.0 library including frames and HPACK; profiling libraries
 This package provides a HTTP/2.0 library including frames and HPACK.
 Currently HTTP/2 16 framing and HPACK 10 is supported.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hunit-dev
Description-md5: f9b73f6cab3bd9ed4a21014390603bb7
Description-en: Haskell unit testing framework for GHC
 HUnit is a unit testing framework for Haskell, inspired by the
 JUnit tool for Java, see: <http://www.junit.org>.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hunit-doc
Description-md5: dc64cbb7d81eb7280b3e2e1c7941f57e
Description-en: Haskell unit testing framework for GHC; documentation
 HUnit is a unit testing framework for Haskell, inspired by the
 JUnit tool for Java, see: <http://www.junit.org>.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hunit-prof
Description-md5: 490f857020dea4df87c13f0f35901f2e
Description-en: Haskell unit testing framework for GHC; profiling libraries
 HUnit is a unit testing framework for Haskell, inspired by the
 JUnit tool for Java, see: <http://www.junit.org>.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-charproperties-dev
Description-md5: c223da1006f86ac6495eba6a30e55128
Description-en: Character properties and classes for XML and Unicode
 This is a part of Haskell XML Toolbox.
 .
 Character proprties defined by XML and Unicode standards.
 These modules contain predicates for Unicode blocks and char proprties
 and character predicates defined by XML.
 Supported Unicode version is 5.2.0.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-charproperties-doc
Description-md5: 710515c3670a83d52a7d347210c164a1
Description-en: Character properties and classes for XML and Unicode; documentation
 This is a part of Haskell XML Toolbox.
 .
 Character proprties defined by XML and Unicode standards.
 These modules contain predicates for Unicode blocks and char proprties
 and character predicates defined by XML.
 Supported Unicode version is 5.2.0.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-charproperties-prof
Description-md5: 5f113ab4c1a06ffb1f1d1ee7552ea3bd
Description-en: Character properties and classes for XML and Unicode; profiling libraries
 This is a part of Haskell XML Toolbox.
 .
 Character proprties defined by XML and Unicode standards.
 These modules contain predicates for Unicode blocks and char proprties
 and character predicates defined by XML.
 Supported Unicode version is 5.2.0.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-curl-dev
Description-md5: 5ae655c0454d4ad03c1ac3e6e25136e0
Description-en: LibCurl interface for HXT
 This is a part of Haskell XML Toolbox.
 .
 LibCurl interface for HXT
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-curl-doc
Description-md5: 370e14de4526572ce3f705f39b7b863f
Description-en: LibCurl interface for HXT; documentation
 This is a part of Haskell XML Toolbox.
 .
 LibCurl interface for HXT
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-curl-prof
Description-md5: d1a3687968bd8a014f4f7ba3992a5b56
Description-en: LibCurl interface for HXT; profiling libraries
 This is a part of Haskell XML Toolbox.
 .
 LibCurl interface for HXT
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-dev
Description-md5: 45f2de53adbf06698cf418e8d8d4ab3c
Description-en: collection of tools for processing XML with Haskell
 The Haskell XML Toolbox bases on the ideas of HaXml and HXML, but introduces a
 more general approach for processing XML with Haskell.  The Haskell XML
 Toolbox uses a generic data model for representing XML documents, including
 the DTD subset and the document subset, in Haskell.  It contains a validating
 XML parser, a HTML parser, namespace support, an XPath expression evaluator,
 an XSLT library, a RelaxNG schema validator and funtions for serialization and
 deserialization of user defined data.  The library makes extensive use of the
 arrow approach for processing XML.
 .
 Since version 9 the toolbox is partitioned into various (sub-)packages.  This
 package contains the core functionality, hxt-curl, hxt-tagsoup, hxt-relaxng,
 hxt-xpath, hxt-xslt, hxt-regex-xmlschema contain the extensions.  hxt-unicode
 contains encoding and decoding functions, hxt-charproperties char properties
 for unicode and XML.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-doc
Description-md5: 8b0a5ce95a42808e3295b8ae8c0f0b4d
Description-en: collection of tools for processing XML with Haskell; documentation
 The Haskell XML Toolbox bases on the ideas of HaXml and HXML, but introduces a
 more general approach for processing XML with Haskell.  The Haskell XML
 Toolbox uses a generic data model for representing XML documents, including
 the DTD subset and the document subset, in Haskell.  It contains a validating
 XML parser, a HTML parser, namespace support, an XPath expression evaluator,
 an XSLT library, a RelaxNG schema validator and funtions for serialization and
 deserialization of user defined data.  The library makes extensive use of the
 arrow approach for processing XML.
 .
 Since version 9 the toolbox is partitioned into various (sub-)packages.  This
 package contains the core functionality, hxt-curl, hxt-tagsoup, hxt-relaxng,
 hxt-xpath, hxt-xslt, hxt-regex-xmlschema contain the extensions.  hxt-unicode
 contains encoding and decoding functions, hxt-charproperties char properties
 for unicode and XML.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-http-dev
Description-md5: 7c4a503559c05df70d28cd7d2741ce9b
Description-en: Interface to native Haskell HTTP package HTTP
 This is a part of Haskell XML Toolbox.
 .
 Interface to native Haskell HTTP package HTTP.
 This package can be used as alternative for the hxt-curl package
 for accessing documents via HTTP
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-http-doc
Description-md5: cc0817a782d6099bab2afa5fc38a2bdb
Description-en: Interface to native Haskell HTTP package HTTP; documentation
 This is a part of Haskell XML Toolbox.
 .
 Interface to native Haskell HTTP package HTTP.
 This package can be used as alternative for the hxt-curl package
 for accessing documents via HTTP
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-http-prof
Description-md5: e083d4b024f2c190d016fc7ddff53be3
Description-en: Interface to native Haskell HTTP package HTTP; profiling libraries
 This is a part of Haskell XML Toolbox.
 .
 Interface to native Haskell HTTP package HTTP.
 This package can be used as alternative for the hxt-curl package
 for accessing documents via HTTP
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-prof
Description-md5: f9821baf8150a7430615b6ff4fefe6b4
Description-en: collection of tools for processing XML with Haskell; profiling libraries
 The Haskell XML Toolbox bases on the ideas of HaXml and HXML, but introduces a
 more general approach for processing XML with Haskell.  The Haskell XML
 Toolbox uses a generic data model for representing XML documents, including
 the DTD subset and the document subset, in Haskell.  It contains a validating
 XML parser, a HTML parser, namespace support, an XPath expression evaluator,
 an XSLT library, a RelaxNG schema validator and funtions for serialization and
 deserialization of user defined data.  The library makes extensive use of the
 arrow approach for processing XML.
 .
 Since version 9 the toolbox is partitioned into various (sub-)packages.  This
 package contains the core functionality, hxt-curl, hxt-tagsoup, hxt-relaxng,
 hxt-xpath, hxt-xslt, hxt-regex-xmlschema contain the extensions.  hxt-unicode
 contains encoding and decoding functions, hxt-charproperties char properties
 for unicode and XML.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-regex-xmlschema-dev
Description-md5: dd433ab55bc4313a18950cd819e1a104
Description-en: W3C XML Schema regular expressions library
 This is a part of Haskell XML Toolbox.
 .
 This library supports full W3C XML Schema regular expressions
 inclusive all Unicode character sets and blocks.
 The complete grammar can be found under
 <http://www.w3.org/TR/xmlschema11-2/#regexs>.
 It is implemented by the technique of derivations of regular expressions.
 The W3C syntax is extended to support not only union of regular sets,
 but also intersection, set difference, exor.
 Matching of subexpressions is also supported.
 The library can be used for constricting lightweight scanners and tokenizers.
 It is a standalone library, no external regex libraries are used.
 This package is a substitute for the old regex-xmlschema package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-regex-xmlschema-doc
Description-md5: 39afb928e0913cc86b2e8bc5303af1a5
Description-en: W3C XML Schema regular expressions library; documentation
 This is a part of Haskell XML Toolbox.
 .
 This library supports full W3C XML Schema regular expressions
 inclusive all Unicode character sets and blocks.
 The complete grammar can be found under
 <http://www.w3.org/TR/xmlschema11-2/#regexs>.
 It is implemented by the technique of derivations of regular expressions.
 The W3C syntax is extended to support not only union of regular sets,
 but also intersection, set difference, exor.
 Matching of subexpressions is also supported.
 The library can be used for constricting lightweight scanners and tokenizers.
 It is a standalone library, no external regex libraries are used.
 This package is a substitute for the old regex-xmlschema package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-regex-xmlschema-prof
Description-md5: db3637f6d9fa11c7c45de08d82136f2e
Description-en: W3C XML Schema regular expressions library; profiling libraries
 This is a part of Haskell XML Toolbox.
 .
 This library supports full W3C XML Schema regular expressions
 inclusive all Unicode character sets and blocks.
 The complete grammar can be found under
 <http://www.w3.org/TR/xmlschema11-2/#regexs>.
 It is implemented by the technique of derivations of regular expressions.
 The W3C syntax is extended to support not only union of regular sets,
 but also intersection, set difference, exor.
 Matching of subexpressions is also supported.
 The library can be used for constricting lightweight scanners and tokenizers.
 It is a standalone library, no external regex libraries are used.
 This package is a substitute for the old regex-xmlschema package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-relaxng-dev
Description-md5: 232555092f5d63b6c86e7d066295556b
Description-en: HXT RelaxNG validator
 This is a part of Haskell XML Toolbox.
 .
 The HXT RelaxNG validator
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-relaxng-doc
Description-md5: 0d5f10c942516f74053f91233553cfb6
Description-en: HXT RelaxNG validator; documentation
 This is a part of Haskell XML Toolbox.
 .
 The HXT RelaxNG validator
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-relaxng-prof
Description-md5: 684b0ee03c255ee76f9ce551eeb6b4e1
Description-en: HXT RelaxNG validator; profiling libraries
 This is a part of Haskell XML Toolbox.
 .
 The HXT RelaxNG validator
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-tagsoup-dev
Description-md5: 36cad93b8a8a8ca77b363170b543a37a
Description-en: TagSoup parser for HXT
 This is a part of Haskell XML Toolbox.
 .
 The Tagsoup interface for the HXT lazy HTML parser.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-tagsoup-doc
Description-md5: b1f4e53c2b80e2525c8ba7c1667957ec
Description-en: TagSoup parser for HXT; documentation
 This is a part of Haskell XML Toolbox.
 .
 The Tagsoup interface for the HXT lazy HTML parser.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-tagsoup-prof
Description-md5: 01f7dd1c91ce862e4dea9739094adcc5
Description-en: TagSoup parser for HXT; profiling libraries
 This is a part of Haskell XML Toolbox.
 .
 The Tagsoup interface for the HXT lazy HTML parser.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-unicode-dev
Description-md5: 5f1f143dcd4d7d2baf37bd9289f8b987
Description-en: Unicode en-/decoding functions
 This is a part of Haskell XML Toolbox.
 .
 Unicode encoding and decoding functions for utf8, iso-latin-* and somes
 other encodings, used in the Haskell XML Toolbox.
 ISO Latin 1 - 16, utf8, utf16, ASCII are supported. Decoding
 is done with lasy functions, errors may be detected or ignored.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-unicode-doc
Description-md5: c2473942a265d4c1b8154a03031cb8f6
Description-en: Unicode en-/decoding functions; documentation
 This is a part of Haskell XML Toolbox.
 .
 Unicode encoding and decoding functions for utf8, iso-latin-* and somes
 other encodings, used in the Haskell XML Toolbox.
 ISO Latin 1 - 16, utf8, utf16, ASCII are supported. Decoding
 is done with lasy functions, errors may be detected or ignored.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-hxt-unicode-prof
Description-md5: d17e0fadaa0127359d021217dfdb42e8
Description-en: Unicode en-/decoding functions; profiling libraries
 This is a part of Haskell XML Toolbox.
 .
 Unicode encoding and decoding functions for utf8, iso-latin-* and somes
 other encodings, used in the Haskell XML Toolbox.
 ISO Latin 1 - 16, utf8, utf16, ASCII are supported. Decoding
 is done with lasy functions, errors may be detected or ignored.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-icalendar-dev
Description-md5: 5d110aa7154936b6e740f2589a7293aa
Description-en: iCalendar data types, parser, and printer
 Data definitions, parsing and printing of the iCalendar format (RFC5545).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-icalendar-doc
Description-md5: 80d5fae38dae5c5e4387e0277e6f881c
Description-en: iCalendar data types, parser, and printer; documentation
 Data definitions, parsing and printing of the iCalendar format (RFC5545).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-icalendar-prof
Description-md5: e4c9232a7f69da6ed64d4e3498485753
Description-en: iCalendar data types, parser, and printer; profiling libraries
 Data definitions, parsing and printing of the iCalendar format (RFC5545).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iconv-dev
Description-md5: 64ddf0a8de0c98d37d3196bc14d4c4ed
Description-en: string-encoding conversion
 This library provides an interface to the POSIX iconv library
 functions for string encoding conversion.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iconv-doc
Description-md5: 9a56100c697c23da5b5163dae92d831e
Description-en: string-encoding conversion; documentation
 This library provides an interface to the POSIX iconv library
 functions for string encoding conversion.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iconv-prof
Description-md5: 18a5f536c6e21fdee414c33419d7af8e
Description-en: string-encoding conversion; profiling libraries
 This library provides an interface to the POSIX iconv library
 functions for string encoding conversion.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-idna-dev
Description-md5: 4a48aecfb58fd6b83e128ad1c0d74085
Description-en: Implements IDNA (RFC 3490)
 This package implements the Internationalized Domain Names in Applications
 (RFC 3490) encoding.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-idna-doc
Description-md5: a786a0c4c61067aef2712bd7fb64c9ff
Description-en: Implements IDNA (RFC 3490); documentation
 This package implements the Internationalized Domain Names in Applications
 (RFC 3490) encoding.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-idna-prof
Description-md5: 01e6b14a7c5a477a29ff27b9542ec5e4
Description-en: Implements IDNA (RFC 3490); profiling libraries
 This package implements the Internationalized Domain Names in Applications
 (RFC 3490) encoding.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ieee754-dev
Description-md5: 88dfd51de4d871e01ebd71543cc7fdd6
Description-en: Utilities for dealing with IEEE floating point numbers
 This package contains utilities for dealing with IEEE floating point numbers,
 ported from the Tango math library; approximate and exact equality comparisons
 for general types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ieee754-doc
Description-md5: 361c909e5cb491bce0a2aea3279802ce
Description-en: Utilities for dealing with IEEE floating point numbers; documentation
 This package contains utilities for dealing with IEEE floating point numbers,
 ported from the Tango math library; approximate and exact equality comparisons
 for general types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ieee754-prof
Description-md5: d07b36bcc32c16f7e70db33809acb12d
Description-en: Utilities for dealing with IEEE floating point numbers; profiling libraries
 This package contains utilities for dealing with IEEE floating point numbers,
 ported from the Tango math library; approximate and exact equality comparisons
 for general types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ifelse-dev
Description-md5: 051250a86d689aa775e0a17080fa6e95
Description-en: anaphoric and miscellaneous useful control-flow
 Library for control flow inside of monads with anaphoric variants on if and
 when and a C-like "switch" function.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ifelse-doc
Description-md5: 1505ed43e38a951f5d2f1307de7d1e3a
Description-en: anaphoric and miscellaneous useful control-flow; documentation
 Library for control flow inside of monads with anaphoric variants on if and
 when and a C-like "switch" function.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ifelse-prof
Description-md5: 3edc3212d2be7894eb6bc4e43c24135f
Description-en: anaphoric and miscellaneous useful control-flow; profiling libraries
 Library for control flow inside of monads with anaphoric variants on if and
 when and a C-like "switch" function.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-incremental-parser-dev
Description-md5: 8a0b5c7f45d343f131d370beb5b13fa5
Description-en: generic incremental parsers
 Incremental parsers can provide partial results from partial input.
 This parser library is implemented using the concept of Brzozowski
 derivatives, tweaked and optimized to work with any monoidal input
 type. Lists, ByteString, and Text are supported out of the box, as
 well as any other data type for which the monoid-subclasses package
 defines instances.  If the parser result is also a monoid, the
 parser can provide it incrementally, before the complete input is
 parsed.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-incremental-parser-doc
Description-md5: 19e064343fa75b196632e841f31c53c1
Description-en: generic incremental parsers; documentation
 Incremental parsers can provide partial results from partial input.
 This parser library is implemented using the concept of Brzozowski
 derivatives, tweaked and optimized to work with any monoidal input
 type. Lists, ByteString, and Text are supported out of the box, as
 well as any other data type for which the monoid-subclasses package
 defines instances.  If the parser result is also a monoid, the
 parser can provide it incrementally, before the complete input is
 parsed.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-incremental-parser-prof
Description-md5: a14e273744a63c2903c1b050e2a8e5fe
Description-en: generic incremental parsers; profiling libraries
 Incremental parsers can provide partial results from partial input.
 This parser library is implemented using the concept of Brzozowski
 derivatives, tweaked and optimized to work with any monoidal input
 type. Lists, ByteString, and Text are supported out of the box, as
 well as any other data type for which the monoid-subclasses package
 defines instances.  If the parser result is also a monoid, the
 parser can provide it incrementally, before the complete input is
 parsed.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-infer-license-dev
Description-md5: 8298add1b87951e82f7f008b6d1e2835
Description-en: infer software license from a given license file
 This library checks the Levenshtein distances between a short text
 and a handful of license strings in order to infer the most probable
 match from that set.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-infer-license-doc
Description-md5: 74d257544ae715330253103251f5df70
Description-en: infer software license from a given license file; documentation
 This library checks the Levenshtein distances between a short text
 and a handful of license strings in order to infer the most probable
 match from that set.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-infer-license-prof
Description-md5: 09784a3d4076f991f2380e88cdb06c77
Description-en: infer software license from a given license file; profiling libraries
 This library checks the Levenshtein distances between a short text
 and a handful of license strings in order to infer the most probable
 match from that set.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ini-dev
Description-md5: 7f8af181ee35492ba1aeed60a31ae76d
Description-en: quick and easy configuration files in the INI format
 Quick and easy configuration files in the INI format.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ini-doc
Description-md5: e341d13b119e50a5edd72213010d2533
Description-en: quick and easy configuration files in the INI format; documentation
 Quick and easy configuration files in the INI format.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ini-prof
Description-md5: 74de622ab13ca575fc8f59487e233d68
Description-en: quick and easy configuration files in the INI format; profiling libraries
 Quick and easy configuration files in the INI format.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-inspection-testing-dev
Description-md5: 0c66d51eac532a13d44a0f1d28da19c0
Description-en: GHC plugin to do inspection testing
 Some carefully crafted libraries make promises to their
 users beyond functionality and performance.
 .
 Examples are: Fusion libraries promise intermediate data
 structures to be eliminated. Generic programming libraries promise
 that the generic implementation is identical to the
 hand-written one. Some libraries may promise allocation-free
 or branch-free code.
 .
 Conventionally, the modus operandi in all these cases is
 that the library author manually inspects the (intermediate or
 final) code produced by the compiler. This is not only
 tedious, but makes it very likely that some change, either
 in the library itself or the surrounding eco-system,
 breaks the library’s promised without anyone noticing.
 .
 This package provides a disciplined way of specifying such
 properties, and have them checked by the compiler. This way,
 this checking can be part of the ususal development cycle
 and regressions caught early.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-inspection-testing-doc
Description-md5: 2afe43a35d3e17b4de9657add62b58fb
Description-en: GHC plugin to do inspection testing; documentation
 Some carefully crafted libraries make promises to their
 users beyond functionality and performance.
 .
 Examples are: Fusion libraries promise intermediate data
 structures to be eliminated. Generic programming libraries promise
 that the generic implementation is identical to the
 hand-written one. Some libraries may promise allocation-free
 or branch-free code.
 .
 Conventionally, the modus operandi in all these cases is
 that the library author manually inspects the (intermediate or
 final) code produced by the compiler. This is not only
 tedious, but makes it very likely that some change, either
 in the library itself or the surrounding eco-system,
 breaks the library’s promised without anyone noticing.
 .
 This package provides a disciplined way of specifying such
 properties, and have them checked by the compiler. This way,
 this checking can be part of the ususal development cycle
 and regressions caught early.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-inspection-testing-prof
Description-md5: 6c5daf0c10356f0ce7b473c8aa8a2f4b
Description-en: GHC plugin to do inspection testing; profiling libraries
 Some carefully crafted libraries make promises to their
 users beyond functionality and performance.
 .
 Examples are: Fusion libraries promise intermediate data
 structures to be eliminated. Generic programming libraries promise
 that the generic implementation is identical to the
 hand-written one. Some libraries may promise allocation-free
 or branch-free code.
 .
 Conventionally, the modus operandi in all these cases is
 that the library author manually inspects the (intermediate or
 final) code produced by the compiler. This is not only
 tedious, but makes it very likely that some change, either
 in the library itself or the surrounding eco-system,
 breaks the library’s promised without anyone noticing.
 .
 This package provides a disciplined way of specifying such
 properties, and have them checked by the compiler. This way,
 this checking can be part of the ususal development cycle
 and regressions caught early.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-integer-logarithms-dev
Description-md5: 66f4481d33e3891f09bb6d1e0b4770f3
Description-en: integer logarithms
 Math.NumberTheory.Logarithms and Math.NumberTheory.Powers.Integer
 from the arithmoi package.
 .
 Also provides GHC.Integer.Logarithms.Compat and
 Math.NumberTheory.Power.Natural modules, as well as some
 additional functions in migrated modules.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-integer-logarithms-doc
Description-md5: 51c85b5e89caab622fb26f7dca7190a3
Description-en: integer logarithms; documentation
 Math.NumberTheory.Logarithms and Math.NumberTheory.Powers.Integer
 from the arithmoi package.
 .
 Also provides GHC.Integer.Logarithms.Compat and
 Math.NumberTheory.Power.Natural modules, as well as some
 additional functions in migrated modules.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-integer-logarithms-prof
Description-md5: 5f3ebb736952295ce6ceaf6a154b1170
Description-en: integer logarithms; profiling libraries
 Math.NumberTheory.Logarithms and Math.NumberTheory.Powers.Integer
 from the arithmoi package.
 .
 Also provides GHC.Integer.Logarithms.Compat and
 Math.NumberTheory.Power.Natural modules, as well as some
 additional functions in migrated modules.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-intern-dev
Description-md5: 0063e046762cc4059b60876a3160438e
Description-en: hash consing for data types - libraries
 Efficient hash-consing for arbitrary Haskell data types.
 .
 This package contains the libraries compiled for GHC.

Package: libghc-intern-doc
Description-md5: 657c80ec868ffb7c5ff45cec12694518
Description-en: hash consing for data types - library documentation
 Efficient hash-consing for arbitrary Haskell data types.
 .
 This package contains the library documentation for intern.

Package: libghc-intern-prof
Description-md5: d3528429516a6ae5cc69126f6036e6c8
Description-en: hash consing for data types - profiling libraries
 Efficient hash-consing for arbitrary Haskell data types.
 .
 This package contains the profiling libraries for intern.

Package: libghc-interpolate-dev
Description-md5: 3f33b18723dd018f354f07e3391fd97d
Description-en: string interpolation done right
 This provides a framework for string interpolation through QuasiQuotes
 as well as an unindentation utility function.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-interpolate-doc
Description-md5: 52d9d155cfd72bd17843c23b14063912
Description-en: string interpolation done right; documentation
 This provides a framework for string interpolation through QuasiQuotes
 as well as an unindentation utility function.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-interpolate-prof
Description-md5: d6524f14d2bfe803581643eb9a596112
Description-en: string interpolation done right; profiling libraries
 This provides a framework for string interpolation through QuasiQuotes
 as well as an unindentation utility function.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-intervals-dev
Description-md5: 83e1c6f5cbacb270ee76f19abab63a81
Description-en: Haskell data type for intervals
 A Numeric.Interval.Interval is a closed, convex set of floating point
 values.
 .
 This package does not control the rounding mode of the end points of the
 interval when using floating point arithmetic, so be aware that in order
 to get precise containment of the result, you will need to use an
 underlying type with both lower and upper bounds like CReal.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-intervals-doc
Description-md5: 8748da64b4fb9abb77ee921fa05cde56
Description-en: Haskell data type for intervals; documentation
 A Numeric.Interval.Interval is a closed, convex set of floating point
 values.
 .
 This package does not control the rounding mode of the end points of the
 interval when using floating point arithmetic, so be aware that in order
 to get precise containment of the result, you will need to use an
 underlying type with both lower and upper bounds like CReal.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-intervals-prof
Description-md5: 1a0f3fbd0b8a480d82fb773a2636db52
Description-en: Haskell data type for intervals; profiling libraries
 A Numeric.Interval.Interval is a closed, convex set of floating point
 values.
 .
 This package does not control the rounding mode of the end points of the
 interval when using floating point arithmetic, so be aware that in order
 to get precise containment of the result, you will need to use an
 underlying type with both lower and upper bounds like CReal.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-invariant-dev
Description-md5: 081d2e7813c529b6bb786086fa192ef8
Description-en: Haskell98 invariant functors
 This package provides the Data.Functor.Invariant and Data.Functor.Invariant.TH
 Haskell modules which implement Haskell98 invariant functors (also known as
 exponential functors).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-invariant-doc
Description-md5: c11914f9c4e9cc350efc10cbef0b7337
Description-en: Haskell98 invariant functors; documentation
 This package provides the Data.Functor.Invariant and Data.Functor.Invariant.TH
 Haskell modules which implement Haskell98 invariant functors (also known as
 exponential functors).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-invariant-prof
Description-md5: b8f4707ed6791e64187fb6c6870e15d1
Description-en: Haskell98 invariant functors; profiling libraries
 This package provides the Data.Functor.Invariant and Data.Functor.Invariant.TH
 Haskell modules which implement Haskell98 invariant functors (also known as
 exponential functors).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-choice-dev
Description-md5: c45b4f592672af16bc0b108ce0c00412
Description-en: choice for IO and lifted IO
 io-choice provides the choice operator (||>) for the IO monad and lifted
 IO monad.  If an IOException occurs in the left-hand side, the right-hand
 IO action is performed.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-choice-doc
Description-md5: f05fd4e14be03bc23c06aa317aed687b
Description-en: choice for IO and lifted IO; documentation
 io-choice provides the choice operator (||>) for the IO monad and lifted
 IO monad.  If an IOException occurs in the left-hand side, the right-hand
 IO action is performed.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-choice-prof
Description-md5: d39e38af8e36711290f1f661b4f60e7c
Description-en: choice for IO and lifted IO; profiling libraries
 io-choice provides the choice operator (||>) for the IO monad and lifted
 IO monad.  If an IOException occurs in the left-hand side, the right-hand
 IO action is performed.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-storage-dev
Description-md5: 1af3754bccc0adb9f3d2522007525fc1
Description-en: key-value store in the IO monad
 This library allows an application to extend the 'global state'
 hidden inside the IO monad with semi-arbitrary data. Data is
 required to be Typeable. The library provides an essentially
 unbounded number of key-value stores indexed by strings, with
 each key within the stores also being a string.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-storage-doc
Description-md5: 44c729c0679c9da7137f6bcc4bb4a553
Description-en: key-value store in the IO monad; documentation
 This library allows an application to extend the 'global state'
 hidden inside the IO monad with semi-arbitrary data. Data is
 required to be Typeable. The library provides an essentially
 unbounded number of key-value stores indexed by strings, with
 each key within the stores also being a string.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-storage-prof
Description-md5: 2faa2e881c90d98f01e1e415452883b6
Description-en: key-value store in the IO monad; profiling libraries
 This library allows an application to extend the 'global state'
 hidden inside the IO monad with semi-arbitrary data. Data is
 required to be Typeable. The library provides an essentially
 unbounded number of key-value stores indexed by strings, with
 each key within the stores also being a string.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-streams-dev
Description-md5: 30d5c5f39c82388e50dc9639089c865a
Description-en: simple, composable, and easy-to-use stream I/O
 The io-streams library contains simple and easy-to-use primitives for I/O
 using streams. Most users will want to import the top-level convenience
 module "System.IO.Streams", which re-exports most of the library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-streams-doc
Description-md5: 1e2609b56fc6ca196be3db3e7866d6d3
Description-en: simple, composable, and easy-to-use stream I/O; documentation
 The io-streams library contains simple and easy-to-use primitives for I/O
 using streams. Most users will want to import the top-level convenience
 module "System.IO.Streams", which re-exports most of the library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-streams-haproxy-dev
Description-md5: b8a4cddd740507960e3ed5f5d9ab68f4
Description-en: HAProxy protocol 1.5 support for io-streams
 HAProxy protocol version 1.5 support for applications using
 io-streams. The proxy protocol allows information about a networked
 peer (like remote address and port) to be propagated through a
 forwarding proxy that is configured to speak this protocol.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-streams-haproxy-doc
Description-md5: 78f12a33b011deef04f60ce5f8501626
Description-en: HAProxy protocol 1.5 support for io-streams; documentation
 HAProxy protocol version 1.5 support for applications using
 io-streams. The proxy protocol allows information about a networked
 peer (like remote address and port) to be propagated through a
 forwarding proxy that is configured to speak this protocol.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-streams-haproxy-prof
Description-md5: 82378a518388b0ab9b15c642f76c6ed3
Description-en: HAProxy protocol 1.5 support for io-streams; profiling libraries
 HAProxy protocol version 1.5 support for applications using
 io-streams. The proxy protocol allows information about a networked
 peer (like remote address and port) to be propagated through a
 forwarding proxy that is configured to speak this protocol.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-io-streams-prof
Description-md5: 6c51bba1160e9532827edf6d67075e24
Description-en: simple, composable, and easy-to-use stream I/O; profiling libraries
 The io-streams library contains simple and easy-to-use primitives for I/O
 using streams. Most users will want to import the top-level convenience
 module "System.IO.Streams", which re-exports most of the library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iospec-dev
Description-md5: f5c902aa602b48315b4d36002a1a02b3
Description-en: Pure specification of the IO monad
 It consists of several modules, that give a pure specification
 of functions in the IO monad:
 .
 Test.IOSpec.Fork: a pure specification of forkIO.
 .
 Test.IOSpec.IORef: a pure specification of most functions that create and
 manipulate on IORefs.
 .
 Test.IOSpec.MVar: a pure specification of most functions that create and
 manipulate and MVars.
 .
 Test.IOSpec.STM: a pure specification of atomically and the STM monad.
 .
 Test.IOSpec.Teletype: a pure specification of getChar, putChar, and
 several related Prelude functions.
 .
 Besides these modules containing the specifications, there are a few other
 important modules:
 .
 Test.IOSpec.Types: defines the IOSpec type and several amenities.
 .
 Test.IOSpec.VirtualMachine: defines a virtual machine on which to execute
 pure specifications.
 .
 Test.IOSpec.Surrogate: a drop-in replacement for the other modules. Import
 this and recompile your code once you've finished testing and debugging.
 .
 There are several well-documented examples included with the source
 distribution.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iospec-doc
Description-md5: 6deb009bacc89c961a140fc0f05709ab
Description-en: Pure specification of the IO monad; documentation
 Test.IOSpec.Fork: a pure specification of forkIO.
 .
 Test.IOSpec.IORef: a pure specification of most functions that create and
 manipulate on IORefs.
 .
 Test.IOSpec.MVar: a pure specification of most functions that create and
 manipulate and MVars.
 .
 Test.IOSpec.STM: a pure specification of atomically and the STM monad.
 .
 Test.IOSpec.Teletype: a pure specification of getChar, putChar, and
 several related Prelude functions.
 .
 Besides these modules containing the specifications, there are a few other
 important modules:
 .
 Test.IOSpec.Types: defines the IOSpec type and several amenities.
 .
 Test.IOSpec.VirtualMachine: defines a virtual machine on which to execute
 pure specifications.
 .
 Test.IOSpec.Surrogate: a drop-in replacement for the other modules. Import
 this and recompile your code once you've finished testing and debugging.
 .
 There are several well-documented examples included with the source
 distribution.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iospec-prof
Description-md5: 0ef330bf1557a8da1eeaef6c47fe6fdd
Description-en: Pure specification of the IO monad; profiling libraries
 Test.IOSpec.Fork: a pure specification of forkIO.
 .
 Test.IOSpec.IORef: a pure specification of most functions that create and
 manipulate on IORefs.
 .
 Test.IOSpec.MVar: a pure specification of most functions that create and
 manipulate and MVars.
 .
 Test.IOSpec.STM: a pure specification of atomically and the STM monad.
 .
 Test.IOSpec.Teletype: a pure specification of getChar, putChar, and
 several related Prelude functions.
 .
 Besides these modules containing the specifications, there are a few other
 important modules:
 .
 Test.IOSpec.Types: defines the IOSpec type and several amenities.
 .
 Test.IOSpec.VirtualMachine: defines a virtual machine on which to execute
 pure specifications.
 .
 Test.IOSpec.Surrogate: a drop-in replacement for the other modules. Import
 this and recompile your code once you've finished testing and debugging.
 .
 There are several well-documented examples included with the source
 distribution.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iproute-dev
Description-md5: ebb747fd701b61fff4ace39e7e0cde57
Description-en: Haskell IP routing table
 IP Routing Table is a tree of IP ranges to search one of them on
 the longest match base. It is a kind of TRIE with one way branching
 removed. Both IPv4 and IPv6 are supported.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iproute-doc
Description-md5: 8518e1824b704730845fd5a941f49268
Description-en: Haskell IP routing table; documentation
 IP Routing Table is a tree of IP ranges to search one of them on
 the longest match base. It is a kind of TRIE with one way branching
 removed. Both IPv4 and IPv6 are supported.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iproute-prof
Description-md5: 97db02ac11e2a0455eb4ba4c3abbbcb4
Description-en: Haskell IP routing table; profiling libraries
 IP Routing Table is a tree of IP ranges to search one of them on
 the longest match base. It is a kind of TRIE with one way branching
 removed. Both IPv4 and IPv6 are supported.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-irc-core-dev
Description-md5: ce8d2ec8a3f35ac3e2f7c6e6a34c69a4
Description-en: IRC connection library
 IRC connection library featuring such modules as
   - Irc.Cmd - Functions for generating IRC protocol message for
     client-to-server
   - Irc.Core - Functions for parsing low-level IRC messages into
     mid-level IRC messages
   - Irc.Core.Prisms - Prisms for all of the mid-level IRC message
     constructors
   - Irc.Format - Functions for parsing and rendering low-level IRC
     protocol messages
   - Irc.Message - High-level IRC event messages for client
     interpretation
   - Irc.Model - Functions for interpreting mid-level IRC messages to
     generate high-level event messages and to maintain a consistent
     view of the connection
   - Irc.RateLimit - Functions to assist with rate-limiting outgoing
     client messages
   - Irc.Time - Internal compatibility module for time-1.4 and
     time-1.5 interop
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-irc-core-doc
Description-md5: bb70b6fafe767abb69a5ca684af2b551
Description-en: IRC connection library; documentation
 IRC connection library featuring such modules as
   - Irc.Cmd - Functions for generating IRC protocol message for
     client-to-server
   - Irc.Core - Functions for parsing low-level IRC messages into
     mid-level IRC messages
   - Irc.Core.Prisms - Prisms for all of the mid-level IRC message
     constructors
   - Irc.Format - Functions for parsing and rendering low-level IRC
     protocol messages
   - Irc.Message - High-level IRC event messages for client
     interpretation
   - Irc.Model - Functions for interpreting mid-level IRC messages to
     generate high-level event messages and to maintain a consistent
     view of the connection
   - Irc.RateLimit - Functions to assist with rate-limiting outgoing
     client messages
   - Irc.Time - Internal compatibility module for time-1.4 and
     time-1.5 interop
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-irc-core-prof
Description-md5: 87fa4793d4fc87c2340ab809045aec1f
Description-en: IRC connection library; profiling libraries
 IRC connection library featuring such modules as
   - Irc.Cmd - Functions for generating IRC protocol message for
     client-to-server
   - Irc.Core - Functions for parsing low-level IRC messages into
     mid-level IRC messages
   - Irc.Core.Prisms - Prisms for all of the mid-level IRC message
     constructors
   - Irc.Format - Functions for parsing and rendering low-level IRC
     protocol messages
   - Irc.Message - High-level IRC event messages for client
     interpretation
   - Irc.Model - Functions for interpreting mid-level IRC messages to
     generate high-level event messages and to maintain a consistent
     view of the connection
   - Irc.RateLimit - Functions to assist with rate-limiting outgoing
     client messages
   - Irc.Time - Internal compatibility module for time-1.4 and
     time-1.5 interop
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-irc-dev
Description-md5: 507f3658eb04566813683f0d8cae77ba
Description-en: Haskell IRC library
 A set of combinators and types for parsing IRC (Internet Relay Chat)
 messages.
 .
 This package contains the libraries compiled for GHC.

Package: libghc-irc-doc
Description-md5: c8c6daf5e9613dcc014e9b2b6e6b4215
Description-en: Haskell IRC library; documentation
 A set of combinators and types for parsing IRC (Internet Relay Chat)
 messages.
 .
 This package contains library documentation.

Package: libghc-irc-prof
Description-md5: 1aae36d4dfcb28279aef48121e788d83
Description-en: Haskell IRC library; profiling libraries
 A set of combinators and types for parsing IRC (Internet Relay Chat)
 messages.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ircbot-dev
Description-md5: 685953cca72f48e782267e481c5126e5
Description-en: library for writing IRC bots
 The ircbot library provides a BotMonad class and assorted routines for
 writing IRC robots.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ircbot-doc
Description-md5: c1ded0889819f51f84bde38430d77406
Description-en: library for writing IRC bots; documentation
 The ircbot library provides a BotMonad class and assorted routines for
 writing IRC robots.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ircbot-prof
Description-md5: 2ad9e0d06bc7230f96ff34378331a16a
Description-en: library for writing IRC bots; profiling libraries
 The ircbot library provides a BotMonad class and assorted routines for
 writing IRC robots.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iso8601-time-dev
Description-md5: fdc5fe6faf6ff69fd1f49075414d65fc
Description-en: convert to/from the ISO 8601 time format
 Conversion functions between Haskell time types and the ISO 8601 format,
 which is often used for printing times, e.g. JavaScript's @new Date().toISOString()@.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iso8601-time-doc
Description-md5: 863fbeb4eb488ead87d0273c3a25b56d
Description-en: convert to/from the ISO 8601 time format; documentation
 Conversion functions between Haskell time types and the ISO 8601 format,
 which is often used for printing times, e.g. JavaScript's @new Date().toISOString()@.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iso8601-time-prof
Description-md5: e4838b9bf7d12ea03f8082735dfa47a2
Description-en: convert to/from the ISO 8601 time format; profiling libraries
 Conversion functions between Haskell time types and the ISO 8601 format,
 which is often used for printing times, e.g. JavaScript's @new Date().toISOString()@.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iwlib-dev
Description-md5: 367ceadc65777419c12e3f3979a4cc63
Description-en: binding to the iw library
 This package provides a thin wrapper over the iw C library,
 providing access to wireless card information in supported systems.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iwlib-doc
Description-md5: 8881e26dc7dc51ca7c1bdc620fef0b3b
Description-en: binding to the iw library; documentation
 This package provides a thin wrapper over the iw C library,
 providing access to wireless card information in supported systems.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-iwlib-prof
Description-md5: 5f3ef945f82a7d8e335a1b80f63e7d32
Description-en: binding to the iw library; profiling libraries
 This package provides a thin wrapper over the iw C library,
 providing access to wireless card information in supported systems.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ixset-dev
Description-md5: 50178fe308e6c5cbfdb009cd6e8e5a8e
Description-en: Haskell implementation of queryable sets - GHC libraries
 IxSet is a Haskell efficient implementation of queryable sets: it
 makes you able to define complex data types and index them on some of
 theirs fields. Then you can efficiently query the IxSet to find the
 records that satisfy some condition.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ixset-doc
Description-md5: 905bb5be6986e062f50e17bd54ed4631
Description-en: Haskell implementation of queryable sets - documentation; documentation
 IxSet is a Haskell efficient implementation of queryable sets: it
 makes you able to define complex data types and index them on some of
 theirs fields. Then you can efficiently query the IxSet to find the
 records that satisfy some condition.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ixset-prof
Description-md5: a62f421c5db0f41ae00c319d4c810c96
Description-en: Haskell implementation of queryable sets - GHC profiling libraries; profiling libraries
 IxSet is a Haskell efficient implementation of queryable sets: it
 makes you able to define complex data types and index them on some of
 theirs fields. Then you can efficiently query the IxSet to find the
 records that satisfy some condition.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ixset-typed-dev
Description-md5: 23d687009828835cfbbe22b4c4c37383
Description-en: efficient relational queries on Haskell sets
 This Haskell package provides a data structure of sets that are indexed by
 potentially multiple indices.
 .
 Sets can be created, modified, and queried in various ways.
 .
 The package is a variant of the ixset package. The ixset package makes use of
 run-time type information to find a suitable index on a query, resulting in
 possible run-time errors when no suitable index exists. In ixset-typed, the
 types of all indices available or tracked in the type system.  Thus,
 ixset-typed should be safer to use than ixset, but in turn requires more GHC
 extensions.
 .
 At the moment, the two packages are relatively compatible. As a consequence of
 the more precise types, a few manual tweaks are necessary when switching from
 one to the other, but the interface is mostly the same.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ixset-typed-doc
Description-md5: 0830574d5a03996ead7e3de53dab27fd
Description-en: efficient relational queries on Haskell sets; documentation
 This Haskell package provides a data structure of sets that are indexed by
 potentially multiple indices.
 .
 Sets can be created, modified, and queried in various ways.
 .
 The package is a variant of the ixset package. The ixset package makes use of
 run-time type information to find a suitable index on a query, resulting in
 possible run-time errors when no suitable index exists. In ixset-typed, the
 types of all indices available or tracked in the type system.  Thus,
 ixset-typed should be safer to use than ixset, but in turn requires more GHC
 extensions.
 .
 At the moment, the two packages are relatively compatible. As a consequence of
 the more precise types, a few manual tweaks are necessary when switching from
 one to the other, but the interface is mostly the same.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ixset-typed-prof
Description-md5: e32200940db0599d3cf7a5cdb87a72d5
Description-en: efficient relational queries on Haskell sets; profiling libraries
 This Haskell package provides a data structure of sets that are indexed by
 potentially multiple indices.
 .
 Sets can be created, modified, and queried in various ways.
 .
 The package is a variant of the ixset package. The ixset package makes use of
 run-time type information to find a suitable index on a query, resulting in
 possible run-time errors when no suitable index exists. In ixset-typed, the
 types of all indices available or tracked in the type system.  Thus,
 ixset-typed should be safer to use than ixset, but in turn requires more GHC
 extensions.
 .
 At the moment, the two packages are relatively compatible. As a consequence of
 the more precise types, a few manual tweaks are necessary when switching from
 one to the other, but the interface is mostly the same.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-jmacro-dev
Description-md5: 00d34474dc160543b16f32ad0c357900
Description-en: library for programmatic generation of JavaScript
 jmacro is a QuasiQuotation library for programmatic generation of
 JavaScript code in Haskell: JavaScript syntax, functional syntax,
 hygienic names, compile-time guarantees of syntactic correctness,
 limited typechecking.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-jmacro-doc
Description-md5: 811a4b8cd3b5628f45ff05f28c39d095
Description-en: library for programmatic generation of JavaScript; documentation
 jmacro is a QuasiQuotation library for programmatic generation of
 JavaScript code in Haskell: JavaScript syntax, functional syntax,
 hygienic names, compile-time guarantees of syntactic correctness,
 limited typechecking.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-jmacro-prof
Description-md5: d4d295fcda2e80185c48672a489bf355
Description-en: library for programmatic generation of JavaScript; profiling libraries
 jmacro is a QuasiQuotation library for programmatic generation of
 JavaScript code in Haskell: JavaScript syntax, functional syntax,
 hygienic names, compile-time guarantees of syntactic correctness,
 limited typechecking.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-js-flot-data
Description-md5: 680cd85e38aafc5bb301516acd1533a3
Description-en: bundles the jQuery Flot code into a Haskell package; runtime files
 This package bundles the minified jQuery Flot code into a Haskell
 package, so it can be depended upon by Cabal packages. The first
 three components of the version number match the upstream flot
 version. The haskell library is designed to meet the redistribution
 requirements of downstream users, and to reduce duplication of
 bundled code in Debian.
 .
 This package provides the runtime files needed by binaries which use
 the Haskell js-jflot library. See http://www.haskell.org/ for more
 information on Haskell.

Package: libghc-js-flot-dev
Description-md5: ad392e820c777d126782ff199d2db99e
Description-en: bundles the jQuery Flot code into a Haskell package
 This package bundles the minified jQuery Flot code into a Haskell
 package, so it can be depended upon by Cabal packages. The first
 three components of the version number match the upstream flot
 version. The haskell library is designed to meet the redistribution
 requirements of downstream users, and to reduce duplication of
 bundled code in Debian.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-js-flot-doc
Description-md5: c1982f654554f8cef66b993f91ef9259
Description-en: bundles the jQuery Flot code into a Haskell package; documentation
 This package bundles the minified jQuery Flot code into a Haskell
 package, so it can be depended upon by Cabal packages. The first
 three components of the version number match the upstream flot
 version. The haskell library is designed to meet the redistribution
 requirements of downstream users, and to reduce duplication of
 bundled code in Debian.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-js-flot-prof
Description-md5: 93eb5609cd7896cc1b3c365252ef77b9
Description-en: bundles the jQuery Flot code into a Haskell package; profiling libraries
 This package bundles the minified jQuery Flot code into a Haskell
 package, so it can be depended upon by Cabal packages. The first
 three components of the version number match the upstream flot
 version. The haskell library is designed to meet the redistribution
 requirements of downstream users, and to reduce duplication of
 bundled code in Debian.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-js-jquery-data
Description-md5: c75a1bc16696193a9d382fa3f3165bf6
Description-en: bundles the minified jQuery code into a Haskell package
 This package bundles the minified jQuery code into a Haskell package, so it can
 be depended upon by Cabal packages. The first three components of the version
 number match the upstream jQuery version. The haskell library is designed to
 meet the redistribution requirements of downstream users, and to reduce
 duplication of bundled code in Debian.

Package: libghc-js-jquery-dev
Description-md5: 9114ae019628fb438f78403c5bf399c1
Description-en: bundles the minified jQuery code into a Haskell package
 This package bundles the minified jQuery code into a Haskell package, so it can
 be depended upon by Cabal packages. The first three components of the version
 number match the upstream jQuery version. The haskell library is designed to
 meet the redistribution requirements of downstream users, and to reduce
 duplication of bundled code in Debian.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-js-jquery-doc
Description-md5: 479dd3c5c5ecae50485541464bd86ae1
Description-en: bundles the minified jQuery code into a Haskell package; documentation
 This package bundles the minified jQuery code into a Haskell package, so it can
 be depended upon by Cabal packages. The first three components of the version
 number match the upstream jQuery version. The haskell library is designed to
 meet the redistribution requirements of downstream users, and to reduce
 duplication of bundled code in Debian.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-js-jquery-prof
Description-md5: 226a58fb0c22851112865550692f7d7d
Description-en: bundles the minified jQuery code into a Haskell package; profiling libraries
 This package bundles the minified jQuery code into a Haskell package, so it can
 be depended upon by Cabal packages. The first three components of the version
 number match the upstream jQuery version. The haskell library is designed to
 meet the redistribution requirements of downstream users, and to reduce
 duplication of bundled code in Debian.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-json-dev
Description-md5: 111c29b9a3803babe3dac33ed7c31411
Description-en: Haskell library for serialising data to and from JSON
 JSON (JavaScript Object Notation) is a lightweight data-interchange format. It
 is easy for humans to read and write. It is easy for machines to parse and
 generate. It is based on a subset of the JavaScript Programming Language,
 Standard ECMA-262 3rd Edition - December 1999.
 .
 This library provides a parser and pretty printer for converting between
 Haskell values and JSON.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-json-doc
Description-md5: 0a4740f2b260a8b82218720e130a1318
Description-en: Haskell library for serialising data to and from JSON; documentation
 JSON (JavaScript Object Notation) is a lightweight data-interchange format. It
 is easy for humans to read and write. It is easy for machines to parse and
 generate. It is based on a subset of the JavaScript Programming Language,
 Standard ECMA-262 3rd Edition - December 1999.
 .
 This library provides a parser and pretty printer for converting between
 Haskell values and JSON.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-json-prof
Description-md5: d5dd7bda4c217f75cece2bb6b1de1221
Description-en: Haskell library for serialising data to and from JSON; profiling libraries
 JSON (JavaScript Object Notation) is a lightweight data-interchange format. It
 is easy for humans to read and write. It is easy for machines to parse and
 generate. It is based on a subset of the JavaScript Programming Language,
 Standard ECMA-262 3rd Edition - December 1999.
 .
 This library provides a parser and pretty printer for converting between
 Haskell values and JSON.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-juicypixels-dev
Description-md5: bde006b1421af3cd3d00cb1bcd3eafc9
Description-en: Haskell picture loading and serialization library
 This library allows the developer to load and store images in PNG, Bitmap,
 Jpeg, Radiance, Tiff and Gif format.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-juicypixels-doc
Description-md5: 8536e22a7602348f133057b597876625
Description-en: Haskell picture loading and serialization library; documentation
 This library allows the developer to load and store images in PNG, Bitmap,
 Jpeg, Radiance, Tiff and Gif format.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-juicypixels-prof
Description-md5: 6f60c5276e9dc3473ba1538c6aabe269
Description-en: Haskell picture loading and serialization library; profiling libraries
 This library allows the developer to load and store images in PNG, Bitmap,
 Jpeg, Radiance, Tiff and Gif format.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-jwt-dev
Description-md5: b107f371802a2aa9b5408285afdc3763
Description-en: JSON Web Token (JWT) decoding and encoding
 JSON Web Token (JWT) is a compact URL-safe means of representing claims to be transferred between two parties.
 .
 To get started, see the documentation for the "Web.JWT" module.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-jwt-doc
Description-md5: 40fa1b62b2fdd856aea33bcffbb0bf51
Description-en: JSON Web Token (JWT) decoding and encoding; documentation
 JSON Web Token (JWT) is a compact URL-safe means of representing claims to be transferred between two parties.
 .
 To get started, see the documentation for the "Web.JWT" module.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-jwt-prof
Description-md5: 3b5dfb7f9a00778a8acc3d4e742cf89f
Description-en: JSON Web Token (JWT) decoding and encoding; profiling libraries
 JSON Web Token (JWT) is a compact URL-safe means of representing claims to be transferred between two parties.
 .
 To get started, see the documentation for the "Web.JWT" module.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-kan-extensions-dev
Description-md5: c80a4270508ce220702f69605e450b0a
Description-en: Kan extensions, Kan lifts, etc.
 Kan extensions, Kan lifts, various forms of the Yoneda lemma, and
 (co)density (co)monads.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-kan-extensions-doc
Description-md5: 2730b7e31efe04e86ee09fda483f7a4a
Description-en: Kan extensions, Kan lifts, etc.; documentation
 Kan extensions, Kan lifts, various forms of the Yoneda lemma, and
 (co)density (co)monads.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-kan-extensions-prof
Description-md5: adeccbb378584ffda95b3ffc18f635ab
Description-en: Kan extensions, Kan lifts, etc.; profiling libraries
 Kan extensions, Kan lifts, various forms of the Yoneda lemma, and
 (co)density (co)monads.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-keys-dev
Description-md5: b802fa9b132941979e57dae2bd308d23
Description-en: Keyed functors and containers
 This package provides Keyed functors, Zippable functors, Zipping Keyed
 functors, Indexable functors.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-keys-doc
Description-md5: 8f1bd6ea6fb20c222d221cadc59ae069
Description-en: Keyed functors and containers; documentation
 This package provides Keyed functors, Zippable functors, Zipping Keyed
 functors, Indexable functors.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-keys-prof
Description-md5: e7c6b89560f30be58f5f3f2e94b04f35
Description-en: Keyed functors and containers; profiling libraries
 This package provides Keyed functors, Zippable functors, Zipping Keyed
 functors, Indexable functors.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-knob-dev
Description-md5: 392c95a6051e3d28f24c43ee3b606ca2
Description-en: Haskell library for memory-backed handles
 Create memory-backed Handles, referencing virtual files. This is mostly useful
 for testing Handle-based APIs without having to interact with the filesystem.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-knob-doc
Description-md5: dc6bafd83204dd05e61ba2cd32ce2cc0
Description-en: Haskell library for memory-backed handles; documentation
 Create memory-backed Handles, referencing virtual files. This is mostly useful
 for testing Handle-based APIs without having to interact with the filesystem.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-knob-prof
Description-md5: d8d254ad7e80f8fa592748c4e14a295b
Description-en: Haskell library for memory-backed handles; profiling libraries
 Create memory-backed Handles, referencing virtual files. This is mostly useful
 for testing Handle-based APIs without having to interact with the filesystem.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-core-dev
Description-md5: 7a2211a1b749bb69e96345cce1d473f6
Description-en: Lambdabot core functionality
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Manage plugins, network connections, configurations
 and much more.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-core-doc
Description-md5: e1dc7fcf183778e7a6b86e0b4a188b06
Description-en: Lambdabot core functionality; documentation
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Manage plugins, network connections, configurations
 and much more.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-core-prof
Description-md5: f84aaf4c43faf714f7db51c48a90dbcf
Description-en: Lambdabot core functionality; profiling libraries
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Manage plugins, network connections, configurations
 and much more.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-haskell-plugins-dev
Description-md5: e6c3105c9e43bb80904766e1a4bd79af
Description-en: Lambdabot Haskell plugins
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [check] Quick, check!
 .
 [djinn] Derive implementations from types intuitinistically.
 .
 [eval] Run Haskell code.
 .
 [free] Theorems for free.
 .
 [haddock] Find modules implementing a function.
 .
 [hoogle] Search for functions by type using hoogle.
 .
 [instances] Query instances of type classes.
 .
 [pl] Produce point-less code.
 .
 [pointful] Produce point-ful code.
 .
 [pretty] Print code prettily.
 .
 [source] Show implementations of standard functions.
 .
 [type] Query type of expressions.
 .
 [undo] Unfold do notation.
 .
 [unmtl] Expand monad transformers stacks.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-haskell-plugins-doc
Description-md5: 22c51406ea07da920b1812f65fde8d99
Description-en: Lambdabot Haskell plugins; documentation
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [check] Quick, check!
 .
 [djinn] Derive implementations from types intuitinistically.
 .
 [eval] Run Haskell code.
 .
 [free] Theorems for free.
 .
 [haddock] Find modules implementing a function.
 .
 [hoogle] Search for functions by type using hoogle.
 .
 [instances] Query instances of type classes.
 .
 [pl] Produce point-less code.
 .
 [pointful] Produce point-ful code.
 .
 [pretty] Print code prettily.
 .
 [source] Show implementations of standard functions.
 .
 [type] Query type of expressions.
 .
 [undo] Unfold do notation.
 .
 [unmtl] Expand monad transformers stacks.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-haskell-plugins-prof
Description-md5: e58aa822a7853eb7aece5f9b77e5bf24
Description-en: Lambdabot Haskell plugins; profiling libraries
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [check] Quick, check!
 .
 [djinn] Derive implementations from types intuitinistically.
 .
 [eval] Run Haskell code.
 .
 [free] Theorems for free.
 .
 [haddock] Find modules implementing a function.
 .
 [hoogle] Search for functions by type using hoogle.
 .
 [instances] Query instances of type classes.
 .
 [pl] Produce point-less code.
 .
 [pointful] Produce point-ful code.
 .
 [pretty] Print code prettily.
 .
 [source] Show implementations of standard functions.
 .
 [type] Query type of expressions.
 .
 [undo] Unfold do notation.
 .
 [unmtl] Expand monad transformers stacks.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-irc-plugins-dev
Description-md5: e889c47769690e36a76cf47eef5b8484
Description-en: IRC plugins for lambdabot
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [irc] Lets lambdabot connect to IRC.
 .
 [localtime] Check user's local time.
 .
 [log] Log IRC channels.
 .
 [topic] Modify channel topics.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-irc-plugins-doc
Description-md5: 8e30b9c656b2f70db1be41a226c4479c
Description-en: IRC plugins for lambdabot; documentation
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [irc] Lets lambdabot connect to IRC.
 .
 [localtime] Check user's local time.
 .
 [log] Log IRC channels.
 .
 [topic] Modify channel topics.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-irc-plugins-prof
Description-md5: 1e66561fb30aa89c49018a7740e2cd94
Description-en: IRC plugins for lambdabot; profiling libraries
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [irc] Lets lambdabot connect to IRC.
 .
 [localtime] Check user's local time.
 .
 [log] Log IRC channels.
 .
 [topic] Modify channel topics.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-misc-plugins-dev
Description-md5: 776408860b06ee292dadd033592fb41c
Description-en: Lambdabot miscellaneous plugins
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [dummy] Many commands with fixed replies.
 .
 [error] Debug error handling.
 .
 [fresh] Generate Haskell project names.
 .
 [hello] Hello, world.
 .
 [stats] Ad-hoc statsd queries.
 .
 [todo] A to-do list for lambdabot.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-misc-plugins-doc
Description-md5: ece339bcbad39476524aea7da872a343
Description-en: Lambdabot miscellaneous plugins; documentation
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [dummy] Many commands with fixed replies.
 .
 [error] Debug error handling.
 .
 [fresh] Generate Haskell project names.
 .
 [hello] Hello, world.
 .
 [stats] Ad-hoc statsd queries.
 .
 [todo] A to-do list for lambdabot.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-misc-plugins-prof
Description-md5: b737ebb677a1aa3548a65c2bb3ac1f67
Description-en: Lambdabot miscellaneous plugins; profiling libraries
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [dummy] Many commands with fixed replies.
 .
 [error] Debug error handling.
 .
 [fresh] Generate Haskell project names.
 .
 [hello] Hello, world.
 .
 [stats] Ad-hoc statsd queries.
 .
 [todo] A to-do list for lambdabot.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-novelty-plugins-dev
Description-md5: 82e5e7078d68d30233204e68db5f2333
Description-en: novelty plugins for Lambdabot
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [bf] Run Brainf*ck code.
 .
 [dice] Roll some dice.
 .
 [elite] zPEak Gib8erI$|-|.
 .
 [filter] More gibberish.
 .
 [numberwang] Sorry, that's not Numberwang.
 .
 [quote] Parrot profound wisdom.
 .
 [slap] Delegate punishment.
 .
 [unlambda] Run Unlambda code.
 .
 [vixen] Let's chat, honey.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-novelty-plugins-doc
Description-md5: 37d8e0b24c8fb703d1df193a3e5c7d8d
Description-en: novelty plugins for Lambdabot; documentation
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [bf] Run Brainf*ck code.
 .
 [dice] Roll some dice.
 .
 [elite] zPEak Gib8erI$|-|.
 .
 [filter] More gibberish.
 .
 [numberwang] Sorry, that's not Numberwang.
 .
 [quote] Parrot profound wisdom.
 .
 [slap] Delegate punishment.
 .
 [unlambda] Run Unlambda code.
 .
 [vixen] Let's chat, honey.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-novelty-plugins-prof
Description-md5: f8a6f3f60f2d9b947e10163fc3f4691d
Description-en: novelty plugins for Lambdabot; profiling libraries
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [bf] Run Brainf*ck code.
 .
 [dice] Roll some dice.
 .
 [elite] zPEak Gib8erI$|-|.
 .
 [filter] More gibberish.
 .
 [numberwang] Sorry, that's not Numberwang.
 .
 [quote] Parrot profound wisdom.
 .
 [slap] Delegate punishment.
 .
 [unlambda] Run Unlambda code.
 .
 [vixen] Let's chat, honey.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-reference-plugins-dev
Description-md5: af9e8c6ee1c4a8f6139d3867717e9e2f
Description-en: Lambdabot reference plugins
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [dict] Query various dictionaries.
 .
 [metar] Look up avian weather reports.
 .
 [oeis] Look up number sequences on OEIS.
 .
 [search] Query search engines.
 .
 [spell] Check spelling of wrods.
 .
 [ticker] Look up stock quotes.
 .
 [url] Display titles of URLs on channels.
 .
 [where] Manage and query a key-URL list.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-reference-plugins-doc
Description-md5: 780f0ffbd3c5ab45d7c4a39170bee88a
Description-en: Lambdabot reference plugins; documentation
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [dict] Query various dictionaries.
 .
 [metar] Look up avian weather reports.
 .
 [oeis] Look up number sequences on OEIS.
 .
 [search] Query search engines.
 .
 [spell] Check spelling of wrods.
 .
 [ticker] Look up stock quotes.
 .
 [url] Display titles of URLs on channels.
 .
 [where] Manage and query a key-URL list.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-reference-plugins-prof
Description-md5: d2b58436120db38873d10b02ba014966
Description-en: Lambdabot reference plugins; profiling libraries
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [dict] Query various dictionaries.
 .
 [metar] Look up avian weather reports.
 .
 [oeis] Look up number sequences on OEIS.
 .
 [search] Query search engines.
 .
 [spell] Check spelling of wrods.
 .
 [ticker] Look up stock quotes.
 .
 [url] Display titles of URLs on channels.
 .
 [where] Manage and query a key-URL list.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-social-plugins-dev
Description-md5: 2d15b4e160ccef9e7b12256a6b9a1f25
Description-en: social plugins for Lambdabot
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [activity] Check where and how much is lambdabot used.
 .
 [karma] Track who's been good and who's been naughty.
 .
 [poll] Let the people vote.
 .
 [seen] Track who was around when.
 .
 [tell] Leave messages for other users.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-social-plugins-doc
Description-md5: 6346fdb5a7a7af49e920eeafcda8d446
Description-en: social plugins for Lambdabot; documentation
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [activity] Check where and how much is lambdabot used.
 .
 [karma] Track who's been good and who's been naughty.
 .
 [poll] Let the people vote.
 .
 [seen] Track who was around when.
 .
 [tell] Leave messages for other users.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-social-plugins-prof
Description-md5: 028a54be03fb09ad617c2125abf0c3e3
Description-en: social plugins for Lambdabot; profiling libraries
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 Provided plugins:
 .
 [activity] Check where and how much is lambdabot used.
 .
 [karma] Track who's been good and who's been naughty.
 .
 [poll] Let the people vote.
 .
 [seen] Track who was around when.
 .
 [tell] Leave messages for other users.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-trusted-dev
Description-md5: d542f14c60aeb886b6c98f9cf8bd0420
Description-en: Lambdabot trusted code
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 This small package provides functions used by
 the run command.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-trusted-doc
Description-md5: 841d8a1e7e34a3ef09ceddd3cf9010e8
Description-en: Lambdabot trusted code; documentation
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 This small package provides functions used by
 the run command.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdabot-trusted-prof
Description-md5: e67df56cd05c147ff599d2f903db5a91
Description-en: Lambdabot trusted code; profiling libraries
 Lambdabot is an IRC bot written over several years by
 those on the #haskell IRC channel.
 .
 This small package provides functions used by
 the run command.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdahack-dev
Description-md5: 51421cc82c84a8f025b397dd6527d42f
Description-en: tactical squad ASCII roguelike dungeon crawler game engine
 LambdaHack is a Haskell game engine library for ASCII roguelike
 games of arbitrary theme, size and complexity, with optional
 tactical squad combat. It's packaged together with a sample
 dungeon crawler in fantasy setting that can be tried out
 as a native binary or in the browser at http://lambdahack.github.io.
 .
 Please see the changelog file for recent improvements
 and the issue tracker for short-term plans. Long term goals
 include multiplayer tactical squad combat, in-game content
 creation, auto-balancing and persistent content modification
 based on player behaviour. Contributions are welcome.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdahack-doc
Description-md5: 19d3709fd90c2c5697eec629f9764511
Description-en: tactical squad ASCII roguelike dungeon crawler game engine; documentation
 LambdaHack is a Haskell game engine library for ASCII roguelike
 games of arbitrary theme, size and complexity, with optional
 tactical squad combat. It's packaged together with a sample
 dungeon crawler in fantasy setting that can be tried out
 as a native binary or in the browser at http://lambdahack.github.io.
 .
 Please see the changelog file for recent improvements
 and the issue tracker for short-term plans. Long term goals
 include multiplayer tactical squad combat, in-game content
 creation, auto-balancing and persistent content modification
 based on player behaviour. Contributions are welcome.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lambdahack-prof
Description-md5: 8d090e100757d69a3a234a7115c0d99f
Description-en: tactical squad ASCII roguelike dungeon crawler game engine; profiling libraries
 LambdaHack is a Haskell game engine library for ASCII roguelike
 games of arbitrary theme, size and complexity, with optional
 tactical squad combat. It's packaged together with a sample
 dungeon crawler in fantasy setting that can be tried out
 as a native binary or in the browser at http://lambdahack.github.io.
 .
 Please see the changelog file for recent improvements
 and the issue tracker for short-term plans. Long term goals
 include multiplayer tactical squad combat, in-game content
 creation, auto-balancing and persistent content modification
 based on player behaviour. Contributions are welcome.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-c-dev
Description-md5: 283e10784e680e1214834bb613eb210d
Description-en: Haskell library for the analysis and generation of C code
 Language C is a haskell library for the analysis and generation of C code. It
 features a complete, well tested parser and pretty printer for all of C99 and
 a large set of GNU extensions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-c-doc
Description-md5: 5f78918e286e4de76ebd870b0dfcda45
Description-en: Haskell library for the analysis and generation of C code; documentation
 Language C is a haskell library for the analysis and generation of C code. It
 features a complete, well tested parser and pretty printer for all of C99 and
 a large set of GNU extensions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-c-prof
Description-md5: fa19c3a6512aa9ff64beb0af77514918
Description-en: Haskell library for the analysis and generation of C code; profiling libraries
 Language C is a haskell library for the analysis and generation of C code. It
 features a complete, well tested parser and pretty printer for all of C99 and
 a large set of GNU extensions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-haskell-extract-dev
Description-md5: 9d26fc0fe8e4a6e22f3c4e4341dbf852
Description-en: automatically extract functions from the local code.
 language-haskell-extract contains some useful helper functions on top of
 Template Haskell.
 functionExtractor extracts all functions after a regexp-pattern.
 .
 > foo = "test"
 > boo = "testing"
 > bar = $(functionExtractor "oo$")
 .
 will automagically extract the functions ending with "oo" such as
 .
 > bar = [("foo",foo), ("boo",boo)]
 .
 This can be useful if you wish to extract all functions beginning with test
 (for a test-framework) or all functions beginning with wc (for a web service).
 .
 functionExtractorMap works like functionsExtractor but applies a function
 over all function-pairs.
 This functions is useful if the common return type of the functions is
 a type class.
 .
 This package contains the normal library files.

Package: libghc-language-haskell-extract-doc
Description-md5: 99914e794dc2a229aeb191aeba5a45da
Description-en: automatically extract functions from the local code.; documentation
 language-haskell-extract contains some useful helper functions on top of
 Template Haskell.
 functionExtractor extracts all functions after a regexp-pattern.
 .
 > foo = "test"
 > boo = "testing"
 > bar = $(functionExtractor "oo$")
 .
 will automagically extract the functions ending with "oo" such as
 .
 > bar = [("foo",foo), ("boo",boo)]
 .
 This can be useful if you wish to extract all functions beginning with test
 (for a test-framework) or all functions beginning with wc (for a web service).
 .
 functionExtractorMap works like functionsExtractor but applies a function
 over all function-pairs.
 This functions is useful if the common return type of the functions is
 a type class.
 .
 This package contains the documentation files.

Package: libghc-language-haskell-extract-prof
Description-md5: c8f03e7a614c2e1fbe48365b41d6a698
Description-en: automatically extract functions from the local code.; profiling libraries
 language-haskell-extract contains some useful helper functions on top of
 Template Haskell.
 functionExtractor extracts all functions after a regexp-pattern.
 .
 > foo = "test"
 > boo = "testing"
 > bar = $(functionExtractor "oo$")
 .
 will automagically extract the functions ending with "oo" such as
 .
 > bar = [("foo",foo), ("boo",boo)]
 .
 This can be useful if you wish to extract all functions beginning with test
 (for a test-framework) or all functions beginning with wc (for a web service).
 .
 functionExtractorMap works like functionsExtractor but applies a function
 over all function-pairs.
 This functions is useful if the common return type of the functions is
 a type class.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-language-javascript-dev
Description-md5: 448b7610f318c9fa24f6e7988d99d2f9
Description-en: JavaScript parser
 It parses JavaScript into an Abstract Syntax Tree (AST).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-javascript-doc
Description-md5: 2b179a2d2cdafa358238d7191c8f5279
Description-en: JavaScript parser; documentation
 It parses JavaScript into an Abstract Syntax Tree (AST).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-javascript-prof
Description-md5: dd09496a375f790e19bb816c3e69d9ac
Description-en: JavaScript parser; profiling libraries
 It parses JavaScript into an Abstract Syntax Tree (AST).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-python-dev
Description-md5: 4d4af62e7021de44f3ef738eae104637
Description-en: parsing and pretty printing of Python code
 language-python is a Haskell library for lexical analysis, parsing and pretty
 printing Python code. It supports versions 2.x and 3.x of Python.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-python-doc
Description-md5: 230252a8e4d434861abfd8281bee3e4a
Description-en: parsing and pretty printing of Python code; documentation
 language-python is a Haskell library for lexical analysis, parsing and pretty
 printing Python code. It supports versions 2.x and 3.x of Python.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-language-python-prof
Description-md5: 2c60c37f3e057e8f8f47a2567cd3319f
Description-en: parsing and pretty printing of Python code; profiling libraries
 language-python is a Haskell library for lexical analysis, parsing and pretty
 printing Python code. It supports versions 2.x and 3.x of Python.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lazy-csv-dev
Description-md5: a9891b058bb41add89e41c9be15f2138
Description-en: efficient lazy parsers for CSV (comma-separated values)
 The CSV format is defined by RFC 4180.
 These efficient lazy parsers (String and ByteString variants)
 can report all CSV formatting errors, whilst also
 returning all the valid data, so the user can choose
 whether to continue, to show warnings, or to halt on
 error.  Valid fields retain information about their
 original location in the input, so a secondary parser from
 textual fields to typed values can give intelligent error
 messages.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lazy-csv-doc
Description-md5: 92d8d98eedc4e72dd62b6cf9497b2f41
Description-en: efficient lazy parsers for CSV (comma-separated values); documentation
 The CSV format is defined by RFC 4180.
 These efficient lazy parsers (String and ByteString variants)
 can report all CSV formatting errors, whilst also
 returning all the valid data, so the user can choose
 whether to continue, to show warnings, or to halt on
 error.  Valid fields retain information about their
 original location in the input, so a secondary parser from
 textual fields to typed values can give intelligent error
 messages.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lazy-csv-prof
Description-md5: 8daebf749932a748838a1aaa81f3f761
Description-en: efficient lazy parsers for CSV (comma-separated values); profiling libraries
 The CSV format is defined by RFC 4180.
 These efficient lazy parsers (String and ByteString variants)
 can report all CSV formatting errors, whilst also
 returning all the valid data, so the user can choose
 whether to continue, to show warnings, or to halt on
 error.  Valid fields retain information about their
 original location in the input, so a secondary parser from
 textual fields to typed values can give intelligent error
 messages.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lazysmallcheck-dev
Description-md5: b482c500e56906346550f9f91cab82ee
Description-en: A library for demand-driven testing of Haskell programs
 Lazy SmallCheck is a library for exhaustive, demand-driven testing of
 Haskell programs.  It is based on the idea that if a property holds
 for a partially-defined input then it must also hold for all
 fully-defined refinements of the that input.  Compared to ``eager''
 input generation as in SmallCheck, Lazy SmallCheck may require
 significantly fewer test-cases to verify a property for all inputs up
 to a given depth.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lazysmallcheck-doc
Description-md5: 7fb384f209d1bc6455c373c97ec246c2
Description-en: A library for demand-driven testing of Haskell programs; documentation
 Lazy SmallCheck is a library for exhaustive, demand-driven testing of
 Haskell programs.  It is based on the idea that if a property holds
 for a partially-defined input then it must also hold for all
 fully-defined refinements of the that input.  Compared to ``eager''
 input generation as in SmallCheck, Lazy SmallCheck may require
 significantly fewer test-cases to verify a property for all inputs up
 to a given depth.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lazysmallcheck-prof
Description-md5: 683c47afb447daf5b745619126f1d85c
Description-en: A library for demand-driven testing of Haskell programs; profiling libraries
 Lazy SmallCheck is a library for exhaustive, demand-driven testing of
 Haskell programs.  It is based on the idea that if a property holds
 for a partially-defined input then it must also hold for all
 fully-defined refinements of the that input.  Compared to ``eager''
 input generation as in SmallCheck, Lazy SmallCheck may require
 significantly fewer test-cases to verify a property for all inputs up
 to a given depth.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ldap-dev
Description-md5: a32ebfd07e7e6ce64fb5c3b0bc09141f
Description-en: LDAP Interface for Haskell
 This package provides an interface to the C LDAP API for Haskell programmers.
 With it, you can search, modify, and interrogate LDAP directories.
 The Haskell binding features automatic memory management and proper
 handling for binary data, and handles all marshalling into and out of
 C data structures for you automatically.
 .
 This package is built for GHC.

Package: libghc-ldap-doc
Description-md5: cb64d335b2985a7dfa3311b6093a9550
Description-en: LDAP Interface for Haskell
 This package provides an interface to the C LDAP API for Haskell programmers.
 With it, you can search, modify, and interrogate LDAP directories.
 The Haskell binding features automatic memory management and proper
 handling for binary data, and handles all marshalling into and out of
 C data structures for you automatically.
 .
 This package provides documentation for the Haskell LDAP bindings.

Package: libghc-ldap-prof
Description-md5: 0c11ac80bb524e00fefbf05eb71bab99
Description-en: LDAP Interface for Haskell; profiling libraries
 This package provides an interface to the C LDAP API for Haskell programmers.
 With it, you can search, modify, and interrogate LDAP directories.
 The Haskell binding features automatic memory management and proper
 handling for binary data, and handles all marshalling into and out of
 C data structures for you automatically.
 .
 This package is built for GHC, compiled for profiling.

Package: libghc-lens-action-dev
Description-md5: 6410acef2548173c4a19ce9a52b7ae27
Description-en: Monadic Getters and Folds
 This package contains combinators and types for working with
 monadic getters and folds as split off from the original
 lens package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-action-doc
Description-md5: 889335f0c9f6fa9356927d8b269adb9e
Description-en: Monadic Getters and Folds; documentation
 This package contains combinators and types for working with
 monadic getters and folds as split off from the original
 lens package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-action-prof
Description-md5: 143660ed8e392e8cd4277eebab159cbf
Description-en: Monadic Getters and Folds; profiling libraries
 This package contains combinators and types for working with
 monadic getters and folds as split off from the original
 lens package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-aeson-dev
Description-md5: 1b85be20817cddfce3208ba5fe2a951c
Description-en: law-abiding lenses for aeson
 This package provides lenses for the data structures of the aeson package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-aeson-doc
Description-md5: 83a825a41ae200bd18a57f70f9c0c5ae
Description-en: law-abiding lenses for aeson; documentation
 This package provides lenses for the data structures of the aeson package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-aeson-prof
Description-md5: 3406a15a4861bbb43e008a7fd9331075
Description-en: law-abiding lenses for aeson; profiling libraries
 This package provides lenses for the data structures of the aeson package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-dev
Description-md5: 15efdd015f680edd5b6176856ab26ed5
Description-en: Lenses, Folds and Traversals
 This package comes "Batteries Included" with many useful lenses for the types
 commonly used from the Haskell Platform, and with tools for automatically
 generating lenses and isomorphisms for user-supplied data types.
 .
 The combinators in Control.Lens provide a highly generic toolbox for composing
 families of getters, folds, isomorphisms, traversals, setters and lenses and
 their indexed variants.
 .
 More information on the care and feeding of lenses, including a tutorial and
 motivation for their types can be found on the lens wiki
 (https://github.com/ekmett/lens/wiki).
 .
 This package contains the normal library files.

Package: libghc-lens-doc
Description-md5: 1455763aab6989c2cddb73d6e49321a2
Description-en: Lenses, Folds and Traversals; documentation
 This package comes "Batteries Included" with many useful lenses for the types
 commonly used from the Haskell Platform, and with tools for automatically
 generating lenses and isomorphisms for user-supplied data types.
 .
 The combinators in Control.Lens provide a highly generic toolbox for composing
 families of getters, folds, isomorphisms, traversals, setters and lenses and
 their indexed variants.
 .
 More information on the care and feeding of lenses, including a tutorial and
 motivation for their types can be found on the lens wiki
 (https://github.com/ekmett/lens/wiki).
 .
 This package contains the documentation files.

Package: libghc-lens-family-core-dev
Description-md5: ae1f809987df278bd84d4ce856df6b03
Description-en: Haskell 98 Lens Families
 This package provides first-class functional references.
 In addition to the usual operations of getting, setting and
 composition, plus integration with the state monad, lens families
 provide some unique features:
 .
  * Polymorphic updating
  * Traversals
  * Cast projection functions to read-only lenses
  * Cast "toList" functions to read-only traversals
  * Cast semantic editor combinators to modify-only traversals.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-family-core-doc
Description-md5: 8bcd5c39fd3367ba2820a5746fbc136e
Description-en: Haskell 98 Lens Families; documentation
 This package provides first-class functional references.
 In addition to the usual operations of getting, setting and
 composition, plus integration with the state monad, lens families
 provide some unique features:
 .
  * Polymorphic updating
  * Traversals
  * Cast projection functions to read-only lenses
  * Cast "toList" functions to read-only traversals
  * Cast semantic editor combinators to modify-only traversals.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-family-core-prof
Description-md5: 3564fb26c354c353b200f07422252cb2
Description-en: Haskell 98 Lens Families; profiling libraries
 This package provides first-class functional references.
 In addition to the usual operations of getting, setting and
 composition, plus integration with the state monad, lens families
 provide some unique features:
 .
  * Polymorphic updating
  * Traversals
  * Cast projection functions to read-only lenses
  * Cast "toList" functions to read-only traversals
  * Cast semantic editor combinators to modify-only traversals.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lens-prof
Description-md5: 8d6f2a46ea32717f1ec6e2b78e1e597a
Description-en: Lenses, Folds and Traversals; profiling libraries
 This package comes "Batteries Included" with many useful lenses for the types
 commonly used from the Haskell Platform, and with tools for automatically
 generating lenses and isomorphisms for user-supplied data types.
 .
 The combinators in Control.Lens provide a highly generic toolbox for composing
 families of getters, folds, isomorphisms, traversals, setters and lenses and
 their indexed variants.
 .
 More information on the care and feeding of lenses, including a tutorial and
 motivation for their types can be found on the lens wiki
 (https://github.com/ekmett/lens/wiki).
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-libmpd-dev
Description-md5: 0c404c1a263cf9965751e740740169a2
Description-en: MPD client library
 A client library for MPD, the Music Player Daemon.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-libmpd-doc
Description-md5: 84fa186036c51d21d7097bb7d5e3eb81
Description-en: MPD client library; documentation
 A client library for MPD, the Music Player Daemon.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-libmpd-prof
Description-md5: d64caa33eaff9167529961b7ff09296c
Description-en: MPD client library; profiling libraries
 A client library for MPD, the Music Player Daemon.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-libxml-sax-dev
Description-md5: b92ad655d7e960973ffbcce49cd2d776
Description-en: bindings for libXML2 SAX
 These are Haskell bindings to the libXML2 SAX library.
 .
 This package contains the normal library files.

Package: libghc-libxml-sax-doc
Description-md5: 9fe278d7d2465204584912b4cf628b6d
Description-en: bindings for libXML2 SAX; documentation
 These are Haskell bindings to the libXML2 SAX library.
 .
 This package contains the documentation files.

Package: libghc-libxml-sax-prof
Description-md5: 76813ae313c6916c5ca6c3c2e7c9763f
Description-en: bindings for libXML2 SAX; profiling libraries
 These are Haskell bindings to the libXML2 SAX library.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-libyaml-dev
Description-md5: f5092f44ee0c6fd5f2579e0449b20504
Description-en: low-level, streaming YAML interface.
 This package provides a haskell wrapper over the libyaml C library
 by Kirill Simonov.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-libyaml-doc
Description-md5: db8a7ae0412e560825b280f5c94c4114
Description-en: low-level, streaming YAML interface.; documentation
 This package provides a haskell wrapper over the libyaml C library
 by Kirill Simonov.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-libyaml-prof
Description-md5: 2c0f440a0745f509029179e32c6f5df2
Description-en: low-level, streaming YAML interface.; profiling libraries
 This package provides a haskell wrapper over the libyaml C library
 by Kirill Simonov.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lifted-async-dev
Description-md5: c3067ddaa7999ed7d83718ea97e1331a
Description-en: operations from async lifted to MonadBase
 This package provides IO operations from async package lifted to any
 instance of 'MonadBase' or 'MonadBaseControl'.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lifted-async-doc
Description-md5: 9cea4ea1c494cc6fceef8bcd7e95197c
Description-en: operations from async lifted to MonadBase; documentation
 This package provides IO operations from async package lifted to any
 instance of 'MonadBase' or 'MonadBaseControl'.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lifted-async-prof
Description-md5: ea1332de5e71cbd4bcd14d4d8ca90bfa
Description-en: operations from async lifted to MonadBase; profiling libraries
 This package provides IO operations from async package lifted to any
 instance of 'MonadBase' or 'MonadBaseControl'.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lifted-base-dev
Description-md5: 532065262d65c72be8f9064afbf94f7a
Description-en: Lifted IO operations from the base library
 This package exports IO operations from the base library lifted to any
 instance of 'MonadBase' or 'MonadBaseControl', as provided by the
 libghc-monad-control-dev package..
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lifted-base-doc
Description-md5: bb036746862782f045d0d71135f9931b
Description-en: Lifted IO operations from the base library; documentation
 This package exports IO operations from the base library lifted to any
 instance of 'MonadBase' or 'MonadBaseControl', as provided by the
 libghc-monad-control-dev package..
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lifted-base-prof
Description-md5: e2dc4b90b42b03eedca92fea7ddcc4ec
Description-en: Lifted IO operations from the base library; profiling libraries
 This package exports IO operations from the base library lifted to any
 instance of 'MonadBase' or 'MonadBaseControl', as provided by the
 libghc-monad-control-dev package..
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-linear-dev
Description-md5: 57d975ab4a76055e0b2f69a1315fee52
Description-en: Linear Algebra
 Types and combinators for linear algebra on free vector spaces
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-linear-doc
Description-md5: d07134e30baee9e0b632d8cfde8d4412
Description-en: Linear Algebra; documentation
 Types and combinators for linear algebra on free vector spaces
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-linear-prof
Description-md5: 054c99b85efb0f83feb54f4ba6f4c255
Description-en: Linear Algebra; profiling libraries
 Types and combinators for linear algebra on free vector spaces
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-listlike-dev
Description-md5: 427e933042330c755c2b7d9354dbc2be
Description-en: Generic support for list-like structures
 Generic support for list-like structures in Haskell.
 .
 The ListLike module provides a common interface to the various Haskell
 types that are list-like.  Predefined interfaces include standard
 Haskell lists, Arrays, ByteStrings, and lazy ByteStrings.  Custom
 types can easily be made ListLike instances as well.
 .
 ListLike also provides for String-like types, such as String and
 ByteString, for types that support input and output, and for types that can
 handle infinite lists.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-listlike-doc
Description-md5: 4e3087dd0ab84e67cb5bae4d4afec84e
Description-en: Generic support for list-like structures; documentation
 Generic support for list-like structures in Haskell.
 .
 The ListLike module provides a common interface to the various Haskell
 types that are list-like.  Predefined interfaces include standard
 Haskell lists, Arrays, ByteStrings, and lazy ByteStrings.  Custom
 types can easily be made ListLike instances as well.
 .
 ListLike also provides for String-like types, such as String and
 ByteString, for types that support input and output, and for types that can
 handle infinite lists.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-listlike-prof
Description-md5: ea4e627bb4bd8e2f3d9c69b5b30f506f
Description-en: Generic support for list-like structures; profiling libraries
 Generic support for list-like structures in Haskell.
 .
 The ListLike module provides a common interface to the various Haskell
 types that are list-like.  Predefined interfaces include standard
 Haskell lists, Arrays, ByteStrings, and lazy ByteStrings.  Custom
 types can easily be made ListLike instances as well.
 .
 ListLike also provides for String-like types, such as String and
 ByteString, for types that support input and output, and for types that can
 handle infinite lists.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-load-env-dev
Description-md5: b604788e604af03c648fc579c2368a96
Description-en: load environment variables from a file
 Parse a .env file and load any declared variables into the current
 process's environment. This allows for a .env file to specify
 development-friendly defaults for configuration values normally set
 in the deployment environment.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-load-env-doc
Description-md5: 3df558eacfdc1db6f7e02cc47d5787f8
Description-en: load environment variables from a file; documentation
 Parse a .env file and load any declared variables into the current
 process's environment. This allows for a .env file to specify
 development-friendly defaults for configuration values normally set
 in the deployment environment.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-load-env-prof
Description-md5: ffaf9c14e6214c0f9d33d2d74856c01b
Description-en: load environment variables from a file; profiling libraries
 Parse a .env file and load any declared variables into the current
 process's environment. This allows for a .env file to specify
 development-friendly defaults for configuration values normally set
 in the deployment environment.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-log-domain-dev
Description-md5: 246f9e5bb22650f48c4d5c18cb143722
Description-en: log-domain arithmetic
 This package provides log-domain floats, doubles and complex numbers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-log-domain-doc
Description-md5: 2f3b351d99f3dffd2d2036b7c5852294
Description-en: log-domain arithmetic; documentation
 This package provides log-domain floats, doubles and complex numbers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-log-domain-prof
Description-md5: fc11d4b091107c07edc7e388ec2f1add
Description-en: log-domain arithmetic; profiling libraries
 This package provides log-domain floats, doubles and complex numbers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-logging-facade-dev
Description-md5: 5a9923ea43458db529878a3b71291dbd
Description-en: simple logging abstraction that allows multiple backends
 This is a logging front-end.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-logging-facade-doc
Description-md5: 7069ca456a65348951e56ed5cc843956
Description-en: simple logging abstraction that allows multiple backends; documentation
 This is a logging front-end.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-logging-facade-prof
Description-md5: f2451da7a5c81e79267fa5ec9b59c45f
Description-en: simple logging abstraction that allows multiple backends; profiling libraries
 This is a logging front-end.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-logict-dev
Description-md5: 3e8c59966c4a0ddf5a2bf2d13c1a8166
Description-en: Backtracking logic-programming monad
 It is a continuation-based, backtracking, logic programming monad. An
 adaptation of the two-continuation implementation found in the paper
 Backtracking, Interleaving, and Terminating Monad Transformers available
 here: http://okmij.org/ftp/papers/LogicT.pdf
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-logict-doc
Description-md5: 82983774e66eb8e8975854427de5a60e
Description-en: Backtracking logic-programming monad; documentation
 It is a continuation-based, backtracking, logic programming monad. An
 adaptation of the two-continuation implementation found in the paper
 Backtracking, Interleaving, and Terminating Monad Transformers available
 here: http://okmij.org/ftp/papers/LogicT.pdf
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-logict-prof
Description-md5: a1247f488a86df445a70a9b3bcf579b5
Description-en: Backtracking logic-programming monad; profiling libraries
 It is a continuation-based, backtracking, logic programming monad. An
 adaptation of the two-continuation implementation found in the paper
 Backtracking, Interleaving, and Terminating Monad Transformers available
 here: http://okmij.org/ftp/papers/LogicT.pdf
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lrucache-dev
Description-md5: 3e5670b2c2c97feaea65841ce52f9f2f
Description-en: simple, pure least-recent-used cache
 This package contains a simple, pure LRU cache, implemented in terms of
 "Data.Map".
 .
 It also contains a mutable IO wrapper providing atomic updates to an LRU
 cache.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lrucache-doc
Description-md5: 828949cad0a180cb36eb9a805befcbc9
Description-en: simple, pure least-recent-used cache; documentation
 This package contains a simple, pure LRU cache, implemented in terms of
 "Data.Map".
 .
 It also contains a mutable IO wrapper providing atomic updates to an LRU
 cache.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lrucache-prof
Description-md5: 145e9d098cf5bcc6052484f22b08a7ec
Description-en: simple, pure least-recent-used cache; profiling libraries
 This package contains a simple, pure LRU cache, implemented in terms of
 "Data.Map".
 .
 It also contains a mutable IO wrapper providing atomic updates to an LRU
 cache.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lucid-dev
Description-md5: ae890b2cbfffe9525984e855ceea9a27
Description-en: clear to write, read, and edit DSL for HTML
 This package provides a lear to write, read, and edit DSL for HTML. See the
 'Lucid' module for description and documentation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lucid-doc
Description-md5: 5a7dfe6b56ff8c0c6ac7a35c9bb876d5
Description-en: clear to write, read, and edit DSL for HTML; documentation
 This package provides a lear to write, read, and edit DSL for HTML. See the
 'Lucid' module for description and documentation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lucid-prof
Description-md5: 6756a3e387ebfe2ad14f27c0a9a169ad
Description-en: clear to write, read, and edit DSL for HTML; profiling libraries
 This package provides a lear to write, read, and edit DSL for HTML. See the
 'Lucid' module for description and documentation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lucid-svg-dev
Description-md5: 2e1511544b4110203f97d67170bb1070
Description-en: DSL for SVG using lucid for HTML
 This package provides an easy to write SVG in the syle of lucid.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lucid-svg-doc
Description-md5: 54410a28b89991b7d5a4c1cb10763935
Description-en: DSL for SVG using lucid for HTML; documentation
 This package provides an easy to write SVG in the syle of lucid.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lucid-svg-prof
Description-md5: 75e682800d2c680475facabd2c14d902
Description-en: DSL for SVG using lucid for HTML; profiling libraries
 This package provides an easy to write SVG in the syle of lucid.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lzma-dev
Description-md5: 3b747d630b8abc3efc0ab86213c08436
Description-en: LZMA/XZ compression and decompression
 This package provides a pure interface for compressing and
 decompressing LZMA (Lempel-Ziv-Markov chain algorithm) streams
 of data represented as lazy ByteStrings. A monadic incremental
 interface is provided as well.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lzma-doc
Description-md5: 102d5ca6b135b643dfe8aa6363cf0974
Description-en: LZMA/XZ compression and decompression; documentation
 This package provides a pure interface for compressing and
 decompressing LZMA (Lempel-Ziv-Markov chain algorithm) streams
 of data represented as lazy ByteStrings. A monadic incremental
 interface is provided as well.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-lzma-prof
Description-md5: 3c55baf47e4b9e17cdae1a174f85f24d
Description-en: LZMA/XZ compression and decompression; profiling libraries
 This package provides a pure interface for compressing and
 decompressing LZMA (Lempel-Ziv-Markov chain algorithm) streams
 of data represented as lazy ByteStrings. A monadic incremental
 interface is provided as well.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-magic-dev
Description-md5: 401957544cc42607015e3a0a16d87920
Description-en: File type determination library for Haskell
 This package provides a Haskell interface to the C libmagic library.
 With it, you can determine the type of a file by examining its contents
 rather than its name.  The Haskell interface provides a full-featured
 binding.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-magic-doc
Description-md5: 9a677ece574936537be40c8cb8c50c27
Description-en: File type determination library for Haskell; documentation
 This package provides a Haskell interface to the C libmagic library.
 With it, you can determine the type of a file by examining its contents
 rather than its name.  The Haskell interface provides a full-featured
 binding.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-magic-prof
Description-md5: 9d09e71f0163c390acc8dfdf5e1dff78
Description-en: File type determination library for Haskell; profiling libraries
 This package provides a Haskell interface to the C libmagic library.
 With it, you can determine the type of a file by examining its contents
 rather than its name.  The Haskell interface provides a full-featured
 binding.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-map-syntax-dev
Description-md5: b2623245e3a472814e9f3ba498fc3fc2
Description-en: syntax sugar for defining maps
 Haskell's canonical list of tuples syntax for defining maps is not very
 convenient and also has ambiguous semantics.  This package leverages do
 notation to create a lighter syntax that makes semantics explicit and also
 allows the option of fail-fast handling of duplicate keys.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-map-syntax-doc
Description-md5: 602df36663541e4c85b5543bbb71ef22
Description-en: syntax sugar for defining maps; documentation
 Haskell's canonical list of tuples syntax for defining maps is not very
 convenient and also has ambiguous semantics.  This package leverages do
 notation to create a lighter syntax that makes semantics explicit and also
 allows the option of fail-fast handling of duplicate keys.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-map-syntax-prof
Description-md5: 1861935c0ff7d6d23c541262ee02ec99
Description-en: syntax sugar for defining maps; profiling libraries
 Haskell's canonical list of tuples syntax for defining maps is not very
 convenient and also has ambiguous semantics.  This package leverages do
 notation to create a lighter syntax that makes semantics explicit and also
 allows the option of fail-fast handling of duplicate keys.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-markdown-dev
Description-md5: 5e05a1b12322d0bb8d71de510cc9210c
Description-en: convert Markdown to HTML, with XSS protection
 This library leverages existing high-performance libraries
 (attoparsec, blaze-html, text, and conduit), and should integrate
 well with existing codebases.  It renders Markdown to HTML,
 optionally sanitizing against XSS attacks.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-markdown-doc
Description-md5: 4bae7cf474f9a83d136ec44ac79fdc44
Description-en: convert Markdown to HTML, with XSS protection; documentation
 This library leverages existing high-performance libraries
 (attoparsec, blaze-html, text, and conduit), and should integrate
 well with existing codebases.  It renders Markdown to HTML,
 optionally sanitizing against XSS attacks.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-markdown-prof
Description-md5: d0da9fa1097980d8cfeb66be540aa931
Description-en: convert Markdown to HTML, with XSS protection; profiling libraries
 This library leverages existing high-performance libraries
 (attoparsec, blaze-html, text, and conduit), and should integrate
 well with existing codebases.  It renders Markdown to HTML,
 optionally sanitizing against XSS attacks.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-markdown-unlit-dev
Description-md5: 95861f88fe6898a59548ddc82f255c2e
Description-en: Literate Haskell support for Markdown
 This contains a parser for an alternate form of Literate Haskell
 which allows you to extract embedded Haskell from Markdown text.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-markdown-unlit-doc
Description-md5: 760842e76302d5938ea2c67a416208ad
Description-en: Literate Haskell support for Markdown; documentation
 This contains a parser for an alternate form of Literate Haskell
 which allows you to extract embedded Haskell from Markdown text.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-markdown-unlit-prof
Description-md5: 4ca21161229378aa1edff3c955d03aad
Description-en: Literate Haskell support for Markdown; profiling libraries
 This contains a parser for an alternate form of Literate Haskell
 which allows you to extract embedded Haskell from Markdown text.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-math-functions-dev
Description-md5: 199efb2208f88eac3b9fc937a3023d43
Description-en: Special functions and Chebyshev polynomials
 This library provides implementations of special mathematical
 functions and Chebyshev polynomials. These functions are often
 useful in statistical and numerical computing.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-math-functions-doc
Description-md5: f1dc6c9ed71377361cdd3483a8b8f4b9
Description-en: Special functions and Chebyshev polynomials; documentation
 This library provides implementations of special mathematical
 functions and Chebyshev polynomials. These functions are often
 useful in statistical and numerical computing.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-math-functions-prof
Description-md5: 93aa793fbb37ca22abb7996faf060e1a
Description-en: Special functions and Chebyshev polynomials; profiling libraries
 This library provides implementations of special mathematical
 functions and Chebyshev polynomials. These functions are often
 useful in statistical and numerical computing.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-maths-dev
Description-md5: fe0e6261dcbb6fa9a06eab12717d4178
Description-en: Combinatorics, group theory, (non-)commutative algebra
 A library of maths code in the areas of combinatorics, group theory,
 commutative algebra, and non-commutative algebra. The library is mainly
 intended for educational purposes, but does have efficient
 implementations of several fundamental algorithms.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-maths-doc
Description-md5: b192af61abb8a16329cf4e308c7eb124
Description-en: Combinatorics, group theory, (non-)commutative algebra; documentation
 A library of maths code in the areas of combinatorics, group theory,
 commutative algebra, and non-commutative algebra. The library is mainly
 intended for educational purposes, but does have efficient
 implementations of several fundamental algorithms.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-maths-prof
Description-md5: 127de8f91086bcaf582c99727da22ae0
Description-en: Combinatorics, group theory, (non-)commutative algebra; profiling libraries
 A library of maths code in the areas of combinatorics, group theory,
 commutative algebra, and non-commutative algebra. The library is mainly
 intended for educational purposes, but does have efficient
 implementations of several fundamental algorithms.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mbox-dev
Description-md5: 3eb71f7a17009c6e898b0edc6754f7ee
Description-en: mbox reader/writer library
 It reads and writes standard mailbox (mboxrd) files.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mbox-doc
Description-md5: ba60fde44ee592cb825ce20a2b446cfd
Description-en: mbox reader/writer library; documentation
 It reads and writes standard mailbox (mboxrd) files.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mbox-prof
Description-md5: a777200df195d4706a29b1e38b223760
Description-en: mbox reader/writer library; profiling libraries
 It reads and writes standard mailbox (mboxrd) files.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-megaparsec-dev
Description-md5: 7512ea591947b155635dd7fea9fb1473
Description-en: monadic parser combinators
 This is industrial-strength monadic parser combinator library. Megaparsec
 is a fork of Parsec library originally written by Daan Leijen.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-megaparsec-doc
Description-md5: 3e8622bc46d8c02d3b78614661d37bf1
Description-en: monadic parser combinators; documentation
 This is industrial-strength monadic parser combinator library. Megaparsec
 is a fork of Parsec library originally written by Daan Leijen.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-megaparsec-prof
Description-md5: c5ee9a234429ee2d595cb2a922a1891f
Description-en: monadic parser combinators; profiling libraries
 This is industrial-strength monadic parser combinator library. Megaparsec
 is a fork of Parsec library originally written by Daan Leijen.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memoize-dev
Description-md5: 99d4b36a256816d95ea4559557019c7c
Description-en: Memoization for haskell functions
 This library provides a way to memoize functions in haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memoize-doc
Description-md5: 3ed786f72667d9010c38efc3eae9ef69
Description-en: Memoization for haskell functions; documentation
 This library provides a way to memoize functions in haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memoize-prof
Description-md5: b7b4fb9e36ad4b0cf73c4d964ed5ee1e
Description-en: Memoization for haskell functions; profiling libraries
 This library provides a way to memoize functions in haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memory-dev
Description-md5: b1b6197dea4574288ac21bc2526645c4
Description-en: memory and related abstraction stuff
 Chunk of memory, polymorphic byte array management and manipulation.
  - A polymorphic byte array abstraction and function similar to strict
    ByteString.
  - Different type of byte array abstraction.
  - Raw memory IO operations (memory set, memory copy, ..)
  - Aliasing with endianness support.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memory-doc
Description-md5: 052dcffafb380126b5df95e0e4609198
Description-en: memory and related abstraction stuff; documentation
 Chunk of memory, polymorphic byte array management and manipulation.
  - A polymorphic byte array abstraction and function similar to strict
    ByteString.
  - Different type of byte array abstraction.
  - Raw memory IO operations (memory set, memory copy, ..)
  - Aliasing with endianness support.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memory-prof
Description-md5: 156d9cf0f9f8df42dea916c91b1c31c9
Description-en: memory and related abstraction stuff; profiling libraries
 Chunk of memory, polymorphic byte array management and manipulation.
  - A polymorphic byte array abstraction and function similar to strict
    ByteString.
  - Different type of byte array abstraction.
  - Raw memory IO operations (memory set, memory copy, ..)
  - Aliasing with endianness support.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memotrie-dev
Description-md5: f00d99812b17cfe6b276c835d6692e78
Description-en: trie-based memo functions
 MemoTrie provides a basis for memoized functions over some domains,
 using tries.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memotrie-doc
Description-md5: ff167d398151440bdc4f18d10fe7e136
Description-en: trie-based memo functions; documentation
 MemoTrie provides a basis for memoized functions over some domains,
 using tries.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-memotrie-prof
Description-md5: a0e7c381999c18451ee3f5072a57a235
Description-en: trie-based memo functions; profiling libraries
 MemoTrie provides a basis for memoized functions over some domains,
 using tries.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mersenne-random-pure64-dev
Description-md5: 44b46390f3218bcf356b133551e1cffc
Description-en: Fast pure pseudorandom number generator
 The Mersenne twister is a pseudorandom number generator developed by Makoto
 Matsumoto and Takuji Nishimura that is based on a matrix linear recurrence
 over a finite binary field. It provides for fast generation of very high
 quality pseudorandom numbers.
 .
 This library provides a purely functional binding to the 64 bit classic
 mersenne twister, along with instances of RandomGen, so the generator can be
 used with System.Random. The generator should typically be a few times faster
 than the default StdGen (but a tad slower than the impure 'mersenne-random'
 library based on SIMD instructions and destructive state updates.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mersenne-random-pure64-doc
Description-md5: cccbd488c2441a59043fe1e3723ceb49
Description-en: Fast pure pseudorandom number generator; documentation
 The Mersenne twister is a pseudorandom number generator developed by Makoto
 Matsumoto and Takuji Nishimura that is based on a matrix linear recurrence
 over a finite binary field. It provides for fast generation of very high
 quality pseudorandom numbers.
 .
 This library provides a purely functional binding to the 64 bit classic
 mersenne twister, along with instances of RandomGen, so the generator can be
 used with System.Random. The generator should typically be a few times faster
 than the default StdGen (but a tad slower than the impure 'mersenne-random'
 library based on SIMD instructions and destructive state updates.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mersenne-random-pure64-prof
Description-md5: 04517ad245ca39bc7458f8628694c58d
Description-en: Fast pure pseudorandom number generator; profiling libraries
 The Mersenne twister is a pseudorandom number generator developed by Makoto
 Matsumoto and Takuji Nishimura that is based on a matrix linear recurrence
 over a finite binary field. It provides for fast generation of very high
 quality pseudorandom numbers.
 .
 This library provides a purely functional binding to the 64 bit classic
 mersenne twister, along with instances of RandomGen, so the generator can be
 used with System.Random. The generator should typically be a few times faster
 than the default StdGen (but a tad slower than the impure 'mersenne-random'
 library based on SIMD instructions and destructive state updates.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-dev
Description-md5: cb3773f71c1f4e9d778a1869d648abe8
Description-en: a tiny part of the lens library with no dependencies
 This is an extract from the lens package with no dependencies. It's not a toy
 lenses library, unsuitable for “real world”, but merely a small one. It is
 compatible with lens, and should have same performance. It also has better
 documentation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-doc
Description-md5: 441ec71a0e0259853ce962d4c8f9cf0b
Description-en: a tiny part of the lens library with no dependencies; documentation
 This is an extract from the lens package with no dependencies. It's not a toy
 lenses library, unsuitable for “real world”, but merely a small one. It is
 compatible with lens, and should have same performance. It also has better
 documentation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-ghc-dev
Description-md5: b4b3f71407ffa6d64926d20e12abaaf0
Description-en: microlens + array, bytestring, containers, transformers
 Use this package instead of microlens if you don't mind depending on
 all dependencies here – Lens.Micro.GHC reexports everything from
 Lens.Micro and additionally provides orphan instances of microlens
 classes for packages coming with GHC (array, bytestring, containers,
 transformers).
 .
 The minor and major versions of microlens-ghc are incremented
 whenever the minor and major versions of microlens are incremented,
 so you can depend on the exact version of microlens-ghc without
 specifying the version of microlens you need.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-ghc-doc
Description-md5: 38ccf282221c9fbc3b9ab10c74839ed5
Description-en: microlens + array, bytestring, containers, transformers; documentation
 Use this package instead of microlens if you don't mind depending on
 all dependencies here – Lens.Micro.GHC reexports everything from
 Lens.Micro and additionally provides orphan instances of microlens
 classes for packages coming with GHC (array, bytestring, containers,
 transformers).
 .
 The minor and major versions of microlens-ghc are incremented
 whenever the minor and major versions of microlens are incremented,
 so you can depend on the exact version of microlens-ghc without
 specifying the version of microlens you need.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-ghc-prof
Description-md5: 1fc72f16ec6e07f50d70d81b985629b7
Description-en: microlens + array, bytestring, containers, transformers; profiling libraries
 Use this package instead of microlens if you don't mind depending on
 all dependencies here – Lens.Micro.GHC reexports everything from
 Lens.Micro and additionally provides orphan instances of microlens
 classes for packages coming with GHC (array, bytestring, containers,
 transformers).
 .
 The minor and major versions of microlens-ghc are incremented
 whenever the minor and major versions of microlens are incremented,
 so you can depend on the exact version of microlens-ghc without
 specifying the version of microlens you need.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-mtl-dev
Description-md5: 929d71d30da7b744d3a78285fe9e44af
Description-en: microlens support for Reader/Writer/State from mtl
 This package contains functions (like 'view' or '+=') which work on
 'MonadReader', 'MonadWriter', and 'MonadState' from the mtl package.
 .
 This package is a part of the microslens family.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-mtl-doc
Description-md5: 162d9c7a189f1ba074de7d14d3f1c846
Description-en: microlens support for Reader/Writer/State from mtl; documentation
 This package contains functions (like 'view' or '+=') which work on
 'MonadReader', 'MonadWriter', and 'MonadState' from the mtl package.
 .
 This package is a part of the microslens family.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-mtl-prof
Description-md5: 47078d65f9d07a6409a48a1a1a34f01b
Description-en: microlens support for Reader/Writer/State from mtl; profiling libraries
 This package contains functions (like 'view' or '+=') which work on
 'MonadReader', 'MonadWriter', and 'MonadState' from the mtl package.
 .
 This package is a part of the microslens family.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-platform-dev
Description-md5: 1c7e638c9581115b760a3f2363f38e87
Description-en: feature-complete microlens
 This package exports a module which is the recommended starting point
 for using microlens if you aren't trying to keep your dependencies
 minimal. By importing Lens.Micro.Platform you get all functions and
 instances from microlens, microlens-th, microlens-mtl, and
 microlens-ghc, as well as instances for Vector, Text, and HashMap.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-platform-doc
Description-md5: c6a599fc601509417f147e97f63f84f9
Description-en: feature-complete microlens; documentation
 This package exports a module which is the recommended starting point
 for using microlens if you aren't trying to keep your dependencies
 minimal. By importing Lens.Micro.Platform you get all functions and
 instances from microlens, microlens-th, microlens-mtl, and
 microlens-ghc, as well as instances for Vector, Text, and HashMap.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-platform-prof
Description-md5: 59b91fc7b174fc7668159c7f7bb3edcb
Description-en: feature-complete microlens; profiling libraries
 This package exports a module which is the recommended starting point
 for using microlens if you aren't trying to keep your dependencies
 minimal. By importing Lens.Micro.Platform you get all functions and
 instances from microlens, microlens-th, microlens-mtl, and
 microlens-ghc, as well as instances for Vector, Text, and HashMap.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-prof
Description-md5: 0d4c060587a7104bacce0426795e879c
Description-en: a tiny part of the lens library with no dependencies; profiling libraries
 This is an extract from the lens package with no dependencies. It's not a toy
 lenses library, unsuitable for “real world”, but merely a small one. It is
 compatible with lens, and should have same performance. It also has better
 documentation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-th-dev
Description-md5: 62cbcee8e29ae0cafca2cd6eb36e4ba6
Description-en: Automatic generation of record lenses for microlens
 This package lets you automatically generate lenses for data types; code was
 extracted from the lens package, and therefore generated lenses are fully
 compatible with ones generated by lens (and can be used both from lens and
 microlens).
 .
 This package is a part of the microlens family.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-th-doc
Description-md5: f63b093e53c344acb2bb5d2dd2cf3fb7
Description-en: Automatic generation of record lenses for microlens; documentation
 This package lets you automatically generate lenses for data types; code was
 extracted from the lens package, and therefore generated lenses are fully
 compatible with ones generated by lens (and can be used both from lens and
 microlens).
 .
 This package is a part of the microlens family.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microlens-th-prof
Description-md5: 15ee1882aee9c9bf3e4ed0c86d955689
Description-en: Automatic generation of record lenses for microlens; profiling libraries
 This package lets you automatically generate lenses for data types; code was
 extracted from the lens package, and therefore generated lenses are fully
 compatible with ones generated by lens (and can be used both from lens and
 microlens).
 .
 This package is a part of the microlens family.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microspec-dev
Description-md5: 0d4f637a152c870afdc420ba76c39476
Description-en: tiny QuickCheck test library with minimal dependencies
 A tiny property-based (and unit) testing library with minimal
 dependencies.
 .
 It uses an RSpec/HSpec-like DSL and runs tests with QuickCheck.
 For many use-cases, microspec is a drop-in replacement for hspec.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microspec-doc
Description-md5: 69bf03b1eed5d006d8bc049dd4f957ad
Description-en: tiny QuickCheck test library with minimal dependencies; documentation
 A tiny property-based (and unit) testing library with minimal
 dependencies.
 .
 It uses an RSpec/HSpec-like DSL and runs tests with QuickCheck.
 For many use-cases, microspec is a drop-in replacement for hspec.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microspec-prof
Description-md5: 1555f8954ea6b05a8317e8fbd5eeb0a9
Description-en: tiny QuickCheck test library with minimal dependencies; profiling libraries
 A tiny property-based (and unit) testing library with minimal
 dependencies.
 .
 It uses an RSpec/HSpec-like DSL and runs tests with QuickCheck.
 For many use-cases, microspec is a drop-in replacement for hspec.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microstache-dev
Description-md5: 774813dca7e125d38b5492df46673dd2
Description-en: alternative Mustache templates
 Mustache templates for Haskell.
 .
 Based on the stache library, which uses megaparsec,
 This library uses parsec, thus the name: microstache.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microstache-doc
Description-md5: 355d17c8f5f4ed426d71d1c6fbc107f6
Description-en: alternative Mustache templates; documentation
 Mustache templates for Haskell.
 .
 Based on the stache library, which uses megaparsec,
 This library uses parsec, thus the name: microstache.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-microstache-prof
Description-md5: 2bf2e3d8ce3b595e9b0411503334b482
Description-en: alternative Mustache templates; profiling libraries
 Mustache templates for Haskell.
 .
 Based on the stache library, which uses megaparsec,
 This library uses parsec, thus the name: microstache.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-dev
Description-md5: dc94f3182c8637c65ee1f846323d0d06
Description-en: working with MIME types
 Codecs for Base64, quoted-printable, and MIME parts.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-doc
Description-md5: d08fe6b81d4ee53645db6e63db91957b
Description-en: working with MIME types; documentation
 Codecs for Base64, quoted-printable, and MIME parts.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-mail-dev
Description-md5: ee9cdffacc428e314e9987ee3669eae1
Description-en: Library to compose MIME email messages
 It provides some high-level datatypes for declaring MIME email
 messages, functions for automatically composing these into
 bytestrings, and the ability to send bytestrings via the sendmail
 executable. You can also use any other library you wish to send via
 different methods, for example directly to SMTP.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-mail-doc
Description-md5: e8a2670e2fa9e55c3e1c1c07f09a930f
Description-en: Library to compose MIME email messages; documentation
 It provides some high-level datatypes for declaring MIME email
 messages, functions for automatically composing these into
 bytestrings, and the ability to send bytestrings via the sendmail
 executable. You can also use any other library you wish to send via
 different methods, for example directly to SMTP.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-mail-prof
Description-md5: 6f6790a69eea373385bfd315010a88c0
Description-en: Library to compose MIME email messages; profiling libraries
 It provides some high-level datatypes for declaring MIME email
 messages, functions for automatically composing these into
 bytestrings, and the ability to send bytestrings via the sendmail
 executable. You can also use any other library you wish to send via
 different methods, for example directly to SMTP.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-mail-ses-dev
Description-md5: 115177a39e6e6371ae6019cef9c6db81
Description-en: send mime-mail messages via Amazon SES
  Amazon SES support for mime-mail messages.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-mail-ses-doc
Description-md5: 0c70d96378b721899d588650ed4ea978
Description-en: send mime-mail messages via Amazon SES; documentation
  Amazon SES support for mime-mail messages.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-mail-ses-prof
Description-md5: f1f0b3b2cf0c2af4c8f2e163ec5d7d58
Description-en: send mime-mail messages via Amazon SES; profiling libraries
  Amazon SES support for mime-mail messages.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-prof
Description-md5: 0de05ef9592e41f11a2966a52dc5624e
Description-en: working with MIME types; profiling libraries
 Codecs for Base64, quoted-printable, and MIME parts.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-types-dev
Description-md5: 4b4d0c50505a17095904e0d7e435e153
Description-en: mime-type handling types and functions
 This package provides basic types and functions to handle mime-types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-types-doc
Description-md5: d9210e639751015faa58c372b8d8fe95
Description-en: mime-type handling types and functions; documentation; documentation
 This package provides basic types and functions to handle mime-types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mime-types-prof
Description-md5: fb5a25395b5a0beba0700194bf326d6f
Description-en: mime-type handling types and functions; profiling libraries; profiling libraries
 This package provides basic types and functions to handle mime-types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-minimorph-dev
Description-md5: e7958b275eef204af775820c460db1f7
Description-en: English-spelling functions with an emphasis on simplicity
 A set of simplistic functions capturing the more regular
 parts of English spelling (for generation, not parsing).
 You will need to complement this with some account for
 irregular nouns/verbs. This package is not meant to provide
 anything resembling a full account of English morphology
 (something like Functional Morphology or sequor could be
 better suited). The main goal is to provide something cheap
 and cheerful with no learning curve, that you can use until
 your application calls for more robustness.
 .
 See miniutter for a simple use case.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-minimorph-doc
Description-md5: f80e85c69a36d045da70eeddea32c8c8
Description-en: English-spelling functions with an emphasis on simplicity; documentation
 A set of simplistic functions capturing the more regular
 parts of English spelling (for generation, not parsing).
 You will need to complement this with some account for
 irregular nouns/verbs. This package is not meant to provide
 anything resembling a full account of English morphology
 (something like Functional Morphology or sequor could be
 better suited). The main goal is to provide something cheap
 and cheerful with no learning curve, that you can use until
 your application calls for more robustness.
 .
 See miniutter for a simple use case.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-minimorph-prof
Description-md5: e2175aec78c1c9b7365d7bbe6fa07360
Description-en: English-spelling functions with an emphasis on simplicity; profiling libraries
 A set of simplistic functions capturing the more regular
 parts of English spelling (for generation, not parsing).
 You will need to complement this with some account for
 irregular nouns/verbs. This package is not meant to provide
 anything resembling a full account of English morphology
 (something like Functional Morphology or sequor could be
 better suited). The main goal is to provide something cheap
 and cheerful with no learning curve, that you can use until
 your application calls for more robustness.
 .
 See miniutter for a simple use case.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-miniutter-dev
Description-md5: 1271e3756f692c8a254aa928c50add60
Description-en: simple English clause creation from arbitrary words
 This library helps in generating simple present tense
 English sentences from short, parameterized descriptions.
 In a typical use, the structure of a clause is fixed,
 but the particular words to be used vary in arbitrary ways.
 The main goal of the library is to minimize the API
 complexity and the code size of programs that use it.
 The library doesn't attempt to ban incorrect English sentences,
 but just make the creation of the simple correct ones easy
 and predictable.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-miniutter-doc
Description-md5: 626c35423c8790d544a76f85c8f3106a
Description-en: simple English clause creation from arbitrary words; documentation
 This library helps in generating simple present tense
 English sentences from short, parameterized descriptions.
 In a typical use, the structure of a clause is fixed,
 but the particular words to be used vary in arbitrary ways.
 The main goal of the library is to minimize the API
 complexity and the code size of programs that use it.
 The library doesn't attempt to ban incorrect English sentences,
 but just make the creation of the simple correct ones easy
 and predictable.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-miniutter-prof
Description-md5: f196e2e931132b3ca629a8a0ee110419
Description-en: simple English clause creation from arbitrary words; profiling libraries
 This library helps in generating simple present tense
 English sentences from short, parameterized descriptions.
 In a typical use, the structure of a clause is fixed,
 but the particular words to be used vary in arbitrary ways.
 The main goal of the library is to minimize the API
 complexity and the code size of programs that use it.
 The library doesn't attempt to ban incorrect English sentences,
 but just make the creation of the simple correct ones easy
 and predictable.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-misfortune-dev
Description-md5: 1e37051e98db518caf86e6956693e0ba
Description-en: fortune-mod clone
 fortune-mod clone, in library and executable form.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-misfortune-doc
Description-md5: 53706624aad5619bfed4e733670f58df
Description-en: fortune-mod clone; documentation
 fortune-mod clone, in library and executable form.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-misfortune-prof
Description-md5: 211954d9ea587104230bd2eb01546b86
Description-en: fortune-mod clone; profiling libraries
 fortune-mod clone, in library and executable form.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-missingh-dev
Description-md5: 3c846eeab4a3356e7a403b1789bf054d
Description-en: Library of utility functions for Haskell
 MissingH is a library of all sorts of utility functions for
 Haskell programmers.  It is written in pure Haskell and thus should
 be extremely portable and easy to use.  It also has no prerequisites
 save those that are commonly included with Haskell compilers.
 .
 MissingH is based on MissingLib library for OCaml and contains some
 of the same features.  However, some features are left behind because
 they are already in Haskell or not needed here -- and others are added
 due to things Haskell is missing, or things that Haskell makes
 possible.

Package: libghc-missingh-doc
Description-md5: 8bb5d0271797f25caa2cb25c846e06bd
Description-en: Documentation for Haskell utility library
 MissingH is a library of all sorts of utility functions for
 Haskell programmers.  It is written in pure Haskell and thus should
 be extremely portable and easy to use.  It also has no prerequisites
 save those that are commonly included with Haskell compilers.
 .
 MissingH is based on MissingLib library for OCaml and contains some
 of the same features.  However, some features are left behind because
 they are already in Haskell or not needed here -- and others are added
 due to things Haskell is missing, or things that Haskell makes
 possible.
 .
 This package provides the API documentation for MissingH.

Package: libghc-missingh-prof
Description-md5: 7770228d925f1ddedbfc5d4e817035ad
Description-en: Library of utility functions for Haskell, profiling libraries
 MissingH is a library of all sorts of utility functions for
 Haskell programmers.  It is written in pure Haskell and thus should
 be extremely portable and easy to use.  It also has no prerequisites
 save those that are commonly included with Haskell compilers.
 .
 MissingH is based on MissingLib library for OCaml and contains some
 of the same features.  However, some features are left behind because
 they are already in Haskell or not needed here -- and others are added
 due to things Haskell is missing, or things that Haskell makes
 possible.
 .
 This package provides the MissingH libraries compiled for profiling.

Package: libghc-mmap-dev
Description-md5: 8f434645286c636034c55ce2c6abeb32
Description-en: Memory mapped files for POSIX and Windows
 This library provides a wrapper to mmap(2) or MapViewOfFile, allowing files or
 devices to be lazily loaded into memory as strict or lazy ByteStrings,
 ForeignPtrs or plain Ptrs, using the virtual memory subsystem to do on-demand
 loading. Modifications are also supported.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mmap-doc
Description-md5: 9724fcf935f9fb07cf013f129a3d78d9
Description-en: Memory mapped files for POSIX and Windows; documentation
 This library provides a wrapper to mmap(2) or MapViewOfFile, allowing files or
 devices to be lazily loaded into memory as strict or lazy ByteStrings,
 ForeignPtrs or plain Ptrs, using the virtual memory subsystem to do on-demand
 loading. Modifications are also supported.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mmap-prof
Description-md5: 49717355f332b40e4ec54793462fc78a
Description-en: Memory mapped files for POSIX and Windows; profiling libraries
 This library provides a wrapper to mmap(2) or MapViewOfFile, allowing files or
 devices to be lazily loaded into memory as strict or lazy ByteStrings,
 ForeignPtrs or plain Ptrs, using the virtual memory subsystem to do on-demand
 loading. Modifications are also supported.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mmorph-dev
Description-md5: 3521e737a92af49ff90721fdf66947dc
Description-en: monad morphisms
 This library provides monad morphism utilities, most commonly used
 for manipulating monad transformer stacks.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mmorph-doc
Description-md5: 5760c133f395952fb3b2ae1d50c47839
Description-en: monad morphisms; documentation
 This library provides monad morphism utilities, most commonly used
 for manipulating monad transformer stacks.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mmorph-prof
Description-md5: d9935b6822db86c6d1ca0e33b30e4812
Description-en: monad morphisms; profiling libraries
 This library provides monad morphism utilities, most commonly used
 for manipulating monad transformer stacks.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mockery-dev
Description-md5: 25be11ed582be4a030958f088038c325
Description-en: support functions for automated testing
 support functions for automated testing
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mockery-doc
Description-md5: 4227f4a075b54c9f7eefd24adf2668b9
Description-en: support functions for automated testing; documentation
 support functions for automated testing
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mockery-prof
Description-md5: aeef0351819bd4a5b591f49fd7ff8274
Description-en: support functions for automated testing; profiling libraries
 support functions for automated testing
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-control-dev
Description-md5: 3191eff5df11bab70630fa673c7ad10d
Description-en: Monad transformers to lift control operations
 It defines the type class MonadControlIO, a subset of MonadIO into
 which generic control operations such as catch can be lifted from IO.
 Instances are based on monad transformers in MonadTransControl, which
 includes all standard monad transformers in the transformers library
 except ContT. For convenience, it provides a wrapped version of
 Control.Exception with types generalized from IO to all monads in
 MonadControlIO.
 .
 Note that this package is a rewrite of Anders Kaseorg's monad-peel
 library. The main difference is that this package provides CPS style
 operators and exploits the RankNTypes language extension to simplify
 most definitions.
 .
 The package includes a copy of the monad-peel testsuite written by
 Anders Kaseorg. The tests can be performed by using cabal test.
 .
 The following critertion based benchmark shows that monad-control is
 on average about 2.5 times faster than monad-peel:
 .
 http://code.haskell.org/~basvandijk/code/bench-monad-peel-control
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-control-doc
Description-md5: f9ba6752d8a0253442dacd5019efda0a
Description-en: Monad transformers to lift control operations; documentation
 It defines the type class MonadControlIO, a subset of MonadIO into
 which generic control operations such as catch can be lifted from IO.
 Instances are based on monad transformers in MonadTransControl, which
 includes all standard monad transformers in the transformers library
 except ContT. For convenience, it provides a wrapped version of
 Control.Exception with types generalized from IO to all monads in
 MonadControlIO.
 .
 Note that this package is a rewrite of Anders Kaseorg's monad-peel
 library. The main difference is that this package provides CPS style
 operators and exploits the RankNTypes language extension to simplify
 most definitions.
 .
 The package includes a copy of the monad-peel testsuite written by
 Anders Kaseorg. The tests can be performed by using cabal test.
 .
 The following critertion based benchmark shows that monad-control is
 on average about 2.5 times faster than monad-peel:
 .
 http://code.haskell.org/~basvandijk/code/bench-monad-peel-control
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-control-prof
Description-md5: 380853c8747205cb7dd180855644bb0d
Description-en: Monad transformers to lift control operations; profiling libraries
 It defines the type class MonadControlIO, a subset of MonadIO into
 which generic control operations such as catch can be lifted from IO.
 Instances are based on monad transformers in MonadTransControl, which
 includes all standard monad transformers in the transformers library
 except ContT. For convenience, it provides a wrapped version of
 Control.Exception with types generalized from IO to all monads in
 MonadControlIO.
 .
 Note that this package is a rewrite of Anders Kaseorg's monad-peel
 library. The main difference is that this package provides CPS style
 operators and exploits the RankNTypes language extension to simplify
 most definitions.
 .
 The package includes a copy of the monad-peel testsuite written by
 Anders Kaseorg. The tests can be performed by using cabal test.
 .
 The following critertion based benchmark shows that monad-control is
 on average about 2.5 times faster than monad-peel:
 .
 http://code.haskell.org/~basvandijk/code/bench-monad-peel-control
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-gen-dev
Description-md5: 6a5d840384486957c73094ae7f80de0a
Description-en: simple monad for generating fresh integers - libraries
 This Haskell module provides a simple monad transformer @GenT@
 to enumerate unique values within a monadic computation.
 It also plays nicely with everything in the MTL.
 .
 This package contains the libraries compiled for GHC.

Package: libghc-monad-gen-doc
Description-md5: f941a4e8c85015c8e458e66977c148ec
Description-en: simple monad for generating fresh integers - library documentation
 This Haskell module provides a simple monad transformer @GenT@
 to enumerate unique values within a monadic computation.
 It also plays nicely with everything in the MTL.
 .
 This package contains the library documentation for intern.

Package: libghc-monad-gen-prof
Description-md5: a436ddf55274d0d3bfb8b3d76f18d1ba
Description-en: simple monad for generating fresh integers - profiling libraries
 This Haskell module provides a simple monad transformer @GenT@
 to enumerate unique values within a monadic computation.
 It also plays nicely with everything in the MTL.
 .
 This package contains the profiling libraries for intern.

Package: libghc-monad-journal-dev
Description-md5: 55d9b73fb40fba6ba087b597d3494a38
Description-en: pure logger typeclass and monad transformer
 This package provides a typeclass for logging in pure code, or more generally,
 in any kind of context. You can do whatever you want with logs, especially get
 them, clear them or even sink them through 'IO' if you're logging in MonadIO.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-journal-doc
Description-md5: 3924b6bb361644defb65830df405e7eb
Description-en: pure logger typeclass and monad transformer; documentation
 This package provides a typeclass for logging in pure code, or more generally,
 in any kind of context. You can do whatever you want with logs, especially get
 them, clear them or even sink them through 'IO' if you're logging in MonadIO.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-journal-prof
Description-md5: fcfbf8843820d0cca4237f9b646db8ba
Description-en: pure logger typeclass and monad transformer; profiling libraries
 This package provides a typeclass for logging in pure code, or more generally,
 in any kind of context. You can do whatever you want with logs, especially get
 them, clear them or even sink them through 'IO' if you're logging in MonadIO.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-logger-dev
Description-md5: 9099ac979ec4a75b5f40cf181dbbc242
Description-en: a class of monads which can log messages
 This package uses Template Haskell for determining source code locations
 of messages.
 .
  Author: Michael Snoyman
  Upstream-Maintainer: michael@snoyman.com
 .
 This package contains the normal library files.

Package: libghc-monad-logger-doc
Description-md5: 65cde09734f45cf940ef459d3dc07467
Description-en: a class of monads which can log messages; documentation
 This package uses Template Haskell for determining source code locations
 of messages.
 .
  Author: Michael Snoyman
  Upstream-Maintainer: michael@snoyman.com
 .
 This package contains the documentation files.

Package: libghc-monad-logger-prof
Description-md5: 4eecc14f2bb9f78491502cb3401500c9
Description-en: a class of monads which can log messages; profiling libraries
 This package uses Template Haskell for determining source code locations
 of messages.
 .
  Author: Michael Snoyman
  Upstream-Maintainer: michael@snoyman.com
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-monad-loops-dev
Description-md5: b7f0805325c6c77db43e9b2bb0156f22
Description-en: monadic looping
 It contains some useful control operators for looping.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-loops-doc
Description-md5: 7d421018dc7c6de2d24c247b4f14b531
Description-en: monadic looping; documentation
 It contains some useful control operators for looping.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-loops-prof
Description-md5: 139ec55840080c41e05ff96b9bf2290f
Description-en: monadic looping; profiling libraries
 It contains some useful control operators for looping.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-par-dev
Description-md5: ae27621305c99d6243fa0699f0ace19d
Description-en: parallel programming based on a monad
 This library offers an alternative parallel programming API to that
 provided by the parallel package. The Par monad allows the simple
 description of parallel computations, and can be used to add
 parallelism to pure Haskell code. The basic API is straightforward:
 the monad supports forking and simple communication in terms of IVars.
 .
 The library comes with an efficient work-stealing implementation, but
 the internals are also exposed so that you can build your own scheduler
 if necessary.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-par-doc
Description-md5: 7c2890cbf26d69738ec9b3a51837d2a1
Description-en: parallel programming based on a monad; documentation
 This library offers an alternative parallel programming API to that
 provided by the parallel package. The Par monad allows the simple
 description of parallel computations, and can be used to add
 parallelism to pure Haskell code. The basic API is straightforward:
 the monad supports forking and simple communication in terms of IVars.
 .
 The library comes with an efficient work-stealing implementation, but
 the internals are also exposed so that you can build your own scheduler
 if necessary.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-par-extras-dev
Description-md5: 79e47a3736e2e88e11a0eba3144055d7
Description-en: Combinators and extra features for Par monads
 The Par monad offers a simple API for parallel programming. The library works
 for parallelising both pure and IO computations, although only the pure
 version is deterministic. The default implementation provides a work-stealing
 scheduler and supports forking tasks that are much lighter weight than
 IO-threads.
 .
 This package provides combinators and extra features for Par monads.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-par-extras-doc
Description-md5: c747ac55a2fdbcb33a41b28ed8b1c6f2
Description-en: Combinators and extra features for Par monads; documentation
 The Par monad offers a simple API for parallel programming. The library works
 for parallelising both pure and IO computations, although only the pure
 version is deterministic. The default implementation provides a work-stealing
 scheduler and supports forking tasks that are much lighter weight than
 IO-threads.
 .
 This package provides combinators and extra features for Par monads.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-par-extras-prof
Description-md5: 608c8c8d67812c8690ff79b3d8ccb36a
Description-en: Combinators and extra features for Par monads; profiling libraries
 The Par monad offers a simple API for parallel programming. The library works
 for parallelising both pure and IO computations, although only the pure
 version is deterministic. The default implementation provides a work-stealing
 scheduler and supports forking tasks that are much lighter weight than
 IO-threads.
 .
 This package provides combinators and extra features for Par monads.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-par-prof
Description-md5: b3c5d8d7053c8b2ef47d249273fffd17
Description-en: parallel programming based on a monad; profiling libraries
 This library offers an alternative parallel programming API to that
 provided by the parallel package. The Par monad allows the simple
 description of parallel computations, and can be used to add
 parallelism to pure Haskell code. The basic API is straightforward:
 the monad supports forking and simple communication in terms of IVars.
 .
 The library comes with an efficient work-stealing implementation, but
 the internals are also exposed so that you can build your own scheduler
 if necessary.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-unlift-dev
Description-md5: 01f90b3de22dbd3baae0045266d023e0
Description-en: typeclasses for representing monad transformer unlifting
 This package provides typeclasses for providing for unlifting of monad
 transformers and stacks.
 .
 Note that concrete implementations of common transformers implementing these
 type classes are provided by the monad-unlift-ref package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-unlift-doc
Description-md5: e6f4e42539cf8a7bfd0802e60a05ae9a
Description-en: typeclasses for representing monad transformer unlifting; documentation
 This package provides typeclasses for providing for unlifting of monad
 transformers and stacks.
 .
 Note that concrete implementations of common transformers implementing these
 type classes are provided by the monad-unlift-ref package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monad-unlift-prof
Description-md5: 36b8360913fd9f724e163fb900c60be7
Description-en: typeclasses for representing monad transformer unlifting; profiling libraries
 This package provides typeclasses for providing for unlifting of monad
 transformers and stacks.
 .
 Note that concrete implementations of common transformers implementing these
 type classes are provided by the monad-unlift-ref package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadcryptorandom-dev
Description-md5: c58895ce7107b4fc74fc33367cc0598f
Description-en: monad for using CryptoRandomGen
 A monad for using CryptoRandomGen.
 .
 This package contains the normal Haskell library files.

Package: libghc-monadcryptorandom-doc
Description-md5: 9bbe1de7730b7f7d8d068aefc3cde9d9
Description-en: monad for using CryptoRandomGen; documentation
 A monad for using CryptoRandomGen.
 .
 This package contains the documentation files.

Package: libghc-monadcryptorandom-prof
Description-md5: 1693153bc69caf8824baa9b34dce66ef
Description-en: monad for using CryptoRandomGen; profiling libraries
 A monad for using CryptoRandomGen.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-monadlib-dev
Description-md5: 03b6fa6f3c5d17bc16075194f439080d
Description-en: collection of monad transformers
 A collection of monad transformers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadlib-doc
Description-md5: c2b89b26c06be1c4454150418d3e4d1b
Description-en: collection of monad transformers; documentation
 A collection of monad transformers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadlib-prof
Description-md5: 11050fc4e01a0c4605187f4cdaa4884d
Description-en: collection of monad transformers; profiling libraries
 A collection of monad transformers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadlist-dev
Description-md5: fff1426368d63542dd8498bffbc07da7
Description-en: Monadic versions of list functions
 Monadic versions of list functions seen in Data.List.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadlist-doc
Description-md5: 30d4c28e25bf6194c85c2902ae9cf7e5
Description-en: Monadic versions of list functions; documentation
 Monadic versions of list functions seen in Data.List.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadlist-prof
Description-md5: 8216dab82684e417e1ec9edbae317182
Description-en: Monadic versions of list functions; profiling libraries
 Monadic versions of list functions seen in Data.List.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadprompt-dev
Description-md5: e5b1e0a3bb77bab6ed196f9a73c50a7d
Description-en: MonadPrompt, implementation & examples
 "Prompting" monad that allows splitting the description of a
 computation from the implementation of the effects used in that
 computation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadprompt-doc
Description-md5: 196ea074429f58bf94fe23144ca1e238
Description-en: MonadPrompt, implementation & examples; documentation
 "Prompting" monad that allows splitting the description of a
 computation from the implementation of the effects used in that
 computation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadprompt-prof
Description-md5: 01253eb75fa04fe744b30512a738d802
Description-en: MonadPrompt, implementation & examples; profiling libraries
 "Prompting" monad that allows splitting the description of a
 computation from the implementation of the effects used in that
 computation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadrandom-dev
Description-md5: 0426f9422a762595986071bb71c8360d
Description-en: random-number generation monad
 Support for computations which consume random values.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadrandom-doc
Description-md5: cdd72fcf89d7a136cdd56feb86ad55e1
Description-en: random-number generation monad; documentation
 Support for computations which consume random values.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monadrandom-prof
Description-md5: d03ef33f91de10e551253a34cc8b67f3
Description-en: random-number generation monad; profiling libraries
 Support for computations which consume random values.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monads-tf-dev
Description-md5: 9a5c8a7c5516ef842f86a87862b2fae8
Description-en: monad classes, using type families
 Monad classes using type families, with instances for various monad
 transformers, inspired by the paper /Functional Programming with
 Overloading and Higher-Order Polymorphism/, by Mark P Jones, in Advanced
 School of Functional Programming, 1995
 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html).
 .
 This package contains the normal library files.

Package: libghc-monads-tf-doc
Description-md5: 3863590230bf6adafa6213604389ccef
Description-en: monad classes, using type families; documentation
 Monad classes using type families, with instances for various monad
 transformers, inspired by the paper /Functional Programming with
 Overloading and Higher-Order Polymorphism/, by Mark P Jones, in Advanced
 School of Functional Programming, 1995
 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html).
 .
 This package contains the documentation files.

Package: libghc-monads-tf-prof
Description-md5: 34ef114451bd95f1583d602561d9c208
Description-en: monad classes, using type families; profiling libraries
 Monad classes using type families, with instances for various monad
 transformers, inspired by the paper /Functional Programming with
 Overloading and Higher-Order Polymorphism/, by Mark P Jones, in Advanced
 School of Functional Programming, 1995
 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html).
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-mono-traversable-dev
Description-md5: 541ac264f16074e7bee2f3383408f558
Description-en: typeclasses for mapping/folding/traversing monomorphic containers
 Monomorphic variants of the Functor, Foldable, and Traversable
 typeclasses. Contains even more experimental code for abstracting
 containers and sequences.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mono-traversable-doc
Description-md5: 666aa62cb467636cb1e2f2ebcef965c2
Description-en: typeclasses for mapping/folding/traversing monomorphic containers; documentation
 Monomorphic variants of the Functor, Foldable, and Traversable
 typeclasses. Contains even more experimental code for abstracting
 containers and sequences.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mono-traversable-instances-dev
Description-md5: 162282936d6b68bf2c19090147e9e852
Description-en: extra typeclass instances for mono-traversable
 Extra typeclass instances for mono-traversable, such as for DList,
 Comonad, Tree, etc.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mono-traversable-instances-doc
Description-md5: de487703301a6f4dac7c07488987ebf4
Description-en: extra typeclass instances for mono-traversable; documentation
 Extra typeclass instances for mono-traversable, such as for DList,
 Comonad, Tree, etc.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mono-traversable-instances-prof
Description-md5: 4211519aad549ae6211ae0698096c623
Description-en: extra typeclass instances for mono-traversable; profiling libraries
 Extra typeclass instances for mono-traversable, such as for DList,
 Comonad, Tree, etc.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mono-traversable-prof
Description-md5: 0c93fa88fa84d476586f3f984f7872e6
Description-en: typeclasses for mapping/folding/traversing monomorphic containers; profiling libraries
 Monomorphic variants of the Functor, Foldable, and Traversable
 typeclasses. Contains even more experimental code for abstracting
 containers and sequences.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monoid-extras-dev
Description-md5: 31ebb9de6f3b27a3bf5d4634e07cf363
Description-en: Various extra monoid-related definitions and utilities
 This package contains various extra monoid-related definitions and utilities,
 such as monoid actions, monoid coproducts, "deletable" monoids, "split"
 monoids, and "cut" monoids.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monoid-extras-doc
Description-md5: e3d05025e1690482703f1ebf463df36d
Description-en: Various extra monoid-related definitions and utilities; documentation
 This package contains various extra monoid-related definitions and utilities,
 such as monoid actions, monoid coproducts, "deletable" monoids, "split"
 monoids, and "cut" monoids.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monoid-extras-prof
Description-md5: e38416fe521822232940ab8957886160
Description-en: Various extra monoid-related definitions and utilities; profiling libraries
 This package contains various extra monoid-related definitions and utilities,
 such as monoid actions, monoid coproducts, "deletable" monoids, "split"
 monoids, and "cut" monoids.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monoid-subclasses-dev
Description-md5: d82dd144897f413cc3fe841be897211c
Description-en: subclasses of Monoid
 A hierarchy of subclasses of Monoid together with their instances
 for all data structures from base, containers, and text packages.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monoid-subclasses-doc
Description-md5: 43dba020ed7749986098b321e3326fc2
Description-en: subclasses of Monoid; documentation
 A hierarchy of subclasses of Monoid together with their instances
 for all data structures from base, containers, and text packages.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-monoid-subclasses-prof
Description-md5: fa0b8c6061d50c0fbc660f3b75543e37
Description-en: subclasses of Monoid; profiling libraries
 A hierarchy of subclasses of Monoid together with their instances
 for all data structures from base, containers, and text packages.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mountpoints-dev
Description-md5: fb59818ee22abaccd25acd5b9967d4f0
Description-en: list mount points
 Lists currently mounted filesystems.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mountpoints-doc
Description-md5: 7d307693ea20f948f5aaf2e0acd173f9
Description-en: list mount points; documentation
 Lists currently mounted filesystems.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mountpoints-prof
Description-md5: a1e64e332fe815b56400d273ad2366e3
Description-en: list mount points; profiling libraries
 Lists currently mounted filesystems.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mtlparse-dev
Description-md5: 05bca12b666bc1d2055e84e2c126b226
Description-en: Parser combinators for monad transformation
 This package eases implementation of look-ahead and look-behind.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mtlparse-doc
Description-md5: a6e7c91940063bbe2a38f2a95855e60e
Description-en: Parser combinators for monad transformation; documentation
 This package eases implementation of look-ahead and look-behind.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mtlparse-prof
Description-md5: 9b1322829ea5be6b26f41da7cc74efbc
Description-en: Parser combinators for monad transformation; profiling libraries
 This package eases implementation of look-ahead and look-behind.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mueval-dev
Description-md5: 06d4a715d512a80860467714482766a9
Description-en: safely evaluate pure Haskell expressions
 Mueval is a Haskell interpreter. It uses the GHC API to evaluate arbitrary
 Haskell expressions.  Importantly, mueval takes many precautions to defang and
 avoid "evil" code.  It uses resource limits, whitelisted modules and Safe
 Haskell, special Show instances for IO, threads, processes, and changes of
 directory to sandbox the Haskell code.
 .
 It is, in short, intended to be a standalone version of Lambdabot's famous
 evaluation functionality.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mueval-doc
Description-md5: 297dbdf5e8c75dc541c2761652e6d038
Description-en: safely evaluate pure Haskell expressions; documentation
 Mueval is a Haskell interpreter. It uses the GHC API to evaluate arbitrary
 Haskell expressions.  Importantly, mueval takes many precautions to defang and
 avoid "evil" code.  It uses resource limits, whitelisted modules and Safe
 Haskell, special Show instances for IO, threads, processes, and changes of
 directory to sandbox the Haskell code.
 .
 It is, in short, intended to be a standalone version of Lambdabot's famous
 evaluation functionality.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mueval-prof
Description-md5: a40c4fa1f3313aa352848c7d992a11e0
Description-en: safely evaluate pure Haskell expressions; profiling libraries
 Mueval is a Haskell interpreter. It uses the GHC API to evaluate arbitrary
 Haskell expressions.  Importantly, mueval takes many precautions to defang and
 avoid "evil" code.  It uses resource limits, whitelisted modules and Safe
 Haskell, special Show instances for IO, threads, processes, and changes of
 directory to sandbox the Haskell code.
 .
 It is, in short, intended to be a standalone version of Lambdabot's famous
 evaluation functionality.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multimap-dev
Description-md5: 778d0e0f03f3f750d1f822c41ac21800
Description-en: maps with multiple values per key
 This is a simple implementation of a multimap, based on Data.Map.
 Internally this is a Map k [v].  It also provides a SetMap type.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multimap-doc
Description-md5: bb9eab3e121ccc06169911e727e68f4f
Description-en: maps with multiple values per key; documentation
 This is a simple implementation of a multimap, based on Data.Map.
 Internally this is a Map k [v].  It also provides a SetMap type.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multimap-prof
Description-md5: 61ff41b9204f299c1f6fcdc53ef79236
Description-en: maps with multiple values per key; profiling libraries
 This is a simple implementation of a multimap, based on Data.Map.
 Internally this is a Map k [v].  It also provides a SetMap type.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multipart-dev
Description-md5: 671457e284f903ce7a6db1d298a30464
Description-en: HTTP multipart support
 This package provides parsing of the multipart format from RFC2046. It has been
 split out of the cgi package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multipart-doc
Description-md5: 20dc6325ef232b383fa2a70afa9e489e
Description-en: HTTP multipart support; documentation
 This package provides parsing of the multipart format from RFC2046. It has been
 split out of the cgi package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multipart-prof
Description-md5: e1dcb96ebcd16e87008395d95c04d363
Description-en: HTTP multipart support; profiling libraries
 This package provides parsing of the multipart format from RFC2046. It has been
 split out of the cgi package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multiset-comb-dev
Description-md5: 2d240897ea5be94c05d0cc77773f8659
Description-en: combinatorial algorithms over multisets
 Various combinatorial algorithms over multisets,
 including generating all permutations,
 partitions, size-2 partitions, size-k subsets,
 necklaces, and bracelets.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multiset-comb-doc
Description-md5: 4072b217ff00e0281c9f2c1bf630527f
Description-en: combinatorial algorithms over multisets; documentation
 Various combinatorial algorithms over multisets,
 including generating all permutations,
 partitions, size-2 partitions, size-k subsets,
 necklaces, and bracelets.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-multiset-comb-prof
Description-md5: e40c760441d33e9e3e51ec1089b026ca
Description-en: combinatorial algorithms over multisets; profiling libraries
 Various combinatorial algorithms over multisets,
 including generating all permutations,
 partitions, size-2 partitions, size-k subsets,
 necklaces, and bracelets.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-murmur-hash-dev
Description-md5: c23353b89ba63531c811eac3b00f3bd9
Description-en: MurmurHash2 implementation for Haskell.
 Implements MurmurHash2, a good, fast, general-purpose,
 non-cryptographic hashing function.  See
 <http://murmurhash.googlepages.com/> for details.
 .
 This implementation is pure Haskell, so it might be a bit slower
 than a C FFI binding.
 .
 This package contains the normal library files.

Package: libghc-murmur-hash-doc
Description-md5: 09f4f2299b58cd58e04d4c22a3ff56d5
Description-en: MurmurHash2 implementation for Haskell.; documentation
 Implements MurmurHash2, a good, fast, general-purpose,
 non-cryptographic hashing function.  See
 <http://murmurhash.googlepages.com/> for details.
 .
 This implementation is pure Haskell, so it might be a bit slower
 than a C FFI binding.
 .
 This package contains the documentation files.

Package: libghc-murmur-hash-prof
Description-md5: ce0af096dd5238debc622e0eff740d88
Description-en: MurmurHash2 implementation for Haskell.; profiling libraries
 Implements MurmurHash2, a good, fast, general-purpose,
 non-cryptographic hashing function.  See
 <http://murmurhash.googlepages.com/> for details.
 .
 This implementation is pure Haskell, so it might be a bit slower
 than a C FFI binding.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-musicbrainz-dev
Description-md5: 25a43dd296379efa3fd9e447d34d7f2c
Description-en: interface to MusicBrainz XML2/JSON web services
 Web service client functions for both MusicBrainz XML2 and JSON APIs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-musicbrainz-doc
Description-md5: 99d56ef5ec82ef0538a71cdb6a16e907
Description-en: interface to MusicBrainz XML2/JSON web services; documentation
 Web service client functions for both MusicBrainz XML2 and JSON APIs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-musicbrainz-prof
Description-md5: 0471694d172de787588610a6b5d0e6d3
Description-en: interface to MusicBrainz XML2/JSON web services; profiling libraries
 Web service client functions for both MusicBrainz XML2 and JSON APIs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mustache-dev
Description-md5: f0f1bf08002d97ddf2e6840a794e3731
Description-en: Haskell implementation of Mustache templates
 This package allows parsing and rendering template files with Mustache markup.
 It implements the Mustache spec version 1.1.3 and it is indented as a
 replacement for hastache.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mustache-doc
Description-md5: 2a119b6e3f7f337050492e8b0f67b809
Description-en: Haskell implementation of Mustache templates; documentation
 This package allows parsing and rendering template files with Mustache markup.
 It implements the Mustache spec version 1.1.3 and it is indented as a
 replacement for hastache.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mustache-prof
Description-md5: abdb96acc53d5405fa8234db8d34e076
Description-en: Haskell implementation of Mustache templates; profiling libraries
 This package allows parsing and rendering template files with Mustache markup.
 It implements the Mustache spec version 1.1.3 and it is indented as a
 replacement for hastache.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mutable-containers-dev
Description-md5: b703de975c48da29086b9d16d8f504e5
Description-en: abstactions and concrete implementations of mutable containers
 One of Haskell's strengths is immutable data structures. These structures make
 it easier to reason about code, simplify concurrency and parallelism, and in
 some cases can improve performance by allowing sharing. However, there are
 still classes of problems where mutable data structures can both be more
 convenient, and provide a performance boost. This library is meant to provide
 such structures in a performant, well tested way. It also provides a simple
 abstraction over such data structures via typeclasses.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mutable-containers-doc
Description-md5: 8a5e1491e5ca30cb9aa0aa42c1a2bf5d
Description-en: abstactions and concrete implementations of mutable containers; documentation
 One of Haskell's strengths is immutable data structures. These structures make
 it easier to reason about code, simplify concurrency and parallelism, and in
 some cases can improve performance by allowing sharing. However, there are
 still classes of problems where mutable data structures can both be more
 convenient, and provide a performance boost. This library is meant to provide
 such structures in a performant, well tested way. It also provides a simple
 abstraction over such data structures via typeclasses.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mutable-containers-prof
Description-md5: 878ef91a80f4f9de8301187477f743c0
Description-en: abstactions and concrete implementations of mutable containers; profiling libraries
 One of Haskell's strengths is immutable data structures. These structures make
 it easier to reason about code, simplify concurrency and parallelism, and in
 some cases can improve performance by allowing sharing. However, there are
 still classes of problems where mutable data structures can both be more
 convenient, and provide a performance boost. This library is meant to provide
 such structures in a performant, well tested way. It also provides a simple
 abstraction over such data structures via typeclasses.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mwc-random-dev
Description-md5: 19c6bac080264a05b1bac1e23ad16fa8
Description-en: Fast, high quality pseudo random number generation
 This package contains code for generating high quality random numbers that
 follow either a uniform or normal distribution. The generated numbers are
 suitable for use in statistical applications.
 .
 The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222)
 multiply-with-carry generator, which has a period of 2^8222 and fares well in
 tests of randomness. It is also extremely fast, between 2 and 3 times faster
 than the Mersenne Twister.
 .
 Compared to the mersenne-random package, this package has a more convenient
 API, is faster, and supports more statistical distributions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mwc-random-doc
Description-md5: 56f9114595dfea8ff579ecad066f4f72
Description-en: Fast, high quality pseudo random number generation; documentation
 This package contains code for generating high quality random numbers that
 follow either a uniform or normal distribution. The generated numbers are
 suitable for use in statistical applications.
 .
 The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222)
 multiply-with-carry generator, which has a period of 2^8222 and fares well in
 tests of randomness. It is also extremely fast, between 2 and 3 times faster
 than the Mersenne Twister.
 .
 Compared to the mersenne-random package, this package has a more convenient
 API, is faster, and supports more statistical distributions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-mwc-random-prof
Description-md5: 5890ef5ec6975e34746c499a872abf82
Description-en: Fast, high quality pseudo random number generation; profiling libraries
 This package contains code for generating high quality random numbers that
 follow either a uniform or normal distribution. The generated numbers are
 suitable for use in statistical applications.
 .
 The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222)
 multiply-with-carry generator, which has a period of 2^8222 and fares well in
 tests of randomness. It is also extremely fast, between 2 and 3 times faster
 than the Mersenne Twister.
 .
 Compared to the mersenne-random package, this package has a more convenient
 API, is faster, and supports more statistical distributions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-names-th-dev
Description-md5: 7574f50437a8c9d7569657be7ab9a4fe
Description-en: Manipulate name strings for TH
 This package includes functions to manipulate name string
 and extra library functions for Template Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-names-th-doc
Description-md5: 927c04b7d00e12a6a18bfee599a2b7fb
Description-en: Manipulate name strings for TH; documentation
 This package includes functions to manipulate name string
 and extra library functions for Template Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-names-th-prof
Description-md5: 34226cc46301525d394806d3e0a2ee63
Description-en: Manipulate name strings for TH; profiling libraries
 This package includes functions to manipulate name string
 and extra library functions for Template Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nanospec-dev
Description-md5: f00004c3013b31f254f3589ecca5e9c7
Description-en: lightweight implementation of a subset of Hspec's API
 A lightweight implementation of a subset of Hspec's API with
 minimal dependencies.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nanospec-doc
Description-md5: 272b88638a53c40bd3f43cf6c6411507
Description-en: lightweight implementation of a subset of Hspec's API; documentation
 A lightweight implementation of a subset of Hspec's API with
 minimal dependencies.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nanospec-prof
Description-md5: cc4f6cab851b744df84981f626f41200
Description-en: lightweight implementation of a subset of Hspec's API; profiling libraries
 A lightweight implementation of a subset of Hspec's API with
 minimal dependencies.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-natural-transformation-dev
Description-md5: 7019686c5fb9e1cdf9228cc660328f36
Description-en: natural transformations
 A natural transformation transforms a container f a into another
 container g a. Natural transformations act as functor morphisms
 in category theory.
 .
 Technically, f and g should be functors, any correctly-shaped
 structure is allowed.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-natural-transformation-doc
Description-md5: eb9b0f761948dc657ccef5fd19f0b7fa
Description-en: natural transformations; documentation
 A natural transformation transforms a container f a into another
 container g a. Natural transformations act as functor morphisms
 in category theory.
 .
 Technically, f and g should be functors, any correctly-shaped
 structure is allowed.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-natural-transformation-prof
Description-md5: e1a2966655d5c09ac0d452e23054c0dc
Description-en: natural transformations; profiling libraries
 A natural transformation transforms a container f a into another
 container g a. Natural transformations act as functor morphisms
 in category theory.
 .
 Technically, f and g should be functors, any correctly-shaped
 structure is allowed.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ncurses-dev
Description-md5: 18749b9798bf780c449a2f7fdefb5bef
Description-en: Haskell bindings to the GNU ncurses library
 Haskell bindings to GNU ncurses, a library for creating advanced text-based
 user interfaces.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ncurses-doc
Description-md5: cad2def339fcea108565835daa07995d
Description-en: Haskell bindings to the GNU ncurses library; documentation
 Haskell bindings to GNU ncurses, a library for creating advanced text-based
 user interfaces.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ncurses-prof
Description-md5: 7950fc123f7f08c18c41534261f53f5e
Description-en: Haskell bindings to the GNU ncurses library; profiling libraries
 Haskell bindings to GNU ncurses, a library for creating advanced text-based
 user interfaces.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-neat-interpolation-dev
Description-md5: 80e1f318160a3734db6649947e5e483c
Description-en: quasiquoter for simple multiline text interpolation
 A quasiquoter for producing Text values with support for
 a neat and simple interpolation of input values.
 It removes the excessive indentation from the input and
 accurately manages the indentation of all lines of the interpolated
 variables.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-neat-interpolation-doc
Description-md5: 83cc6cbf0db1c30febaa1428ba6dd07d
Description-en: quasiquoter for simple multiline text interpolation; documentation
 A quasiquoter for producing Text values with support for
 a neat and simple interpolation of input values.
 It removes the excessive indentation from the input and
 accurately manages the indentation of all lines of the interpolated
 variables.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-neat-interpolation-prof
Description-md5: fbe6738172875f8d7b740f1cc58ad8b5
Description-en: quasiquoter for simple multiline text interpolation; profiling libraries
 A quasiquoter for producing Text values with support for
 a neat and simple interpolation of input values.
 It removes the excessive indentation from the input and
 accurately manages the indentation of all lines of the interpolated
 variables.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nettle-dev
Description-md5: 8f58aad41b13c30fb11dceb7fe829e9a
Description-en: safe bindings to nettle crypto library
 This is another set of bindings to Nettle.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nettle-doc
Description-md5: f0c055a72e36320dfe61bb35a72fc6b0
Description-en: safe bindings to nettle crypto library; documentation
 This is another set of bindings to Nettle.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nettle-prof
Description-md5: 16b3740fbe9a3563c98ac0cb3ccbb831
Description-en: safe bindings to nettle crypto library; profiling libraries
 This is another set of bindings to Nettle.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-netwire-dev
Description-md5: cdc91bf7445a83cf44124dd9402c9215
Description-en: flexible wire arrows for FRP
 It provides efficient and flexible wire arrows for functional reactive
 programming and other forms of locally stateful programming.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-netwire-doc
Description-md5: b07049932815e7ff746a9ee6e9859753
Description-en: flexible wire arrows for FRP; documentation
 It provides efficient and flexible wire arrows for functional reactive
 programming and other forms of locally stateful programming.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-netwire-prof
Description-md5: ae48b4d9fc3967b3d593274bf23db012
Description-en: flexible wire arrows for FRP; profiling libraries
 It provides efficient and flexible wire arrows for functional reactive
 programming and other forms of locally stateful programming.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-byte-order-dev
Description-md5: 0732e55c540eb2442b20716334b4bbb7
Description-en: network byte-order utility functions
 Peek and poke functions for network byte order.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-byte-order-doc
Description-md5: d9a265562ec1732591a3fbe32e0ab713
Description-en: network byte-order utility functions; documentation
 Peek and poke functions for network byte order.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-byte-order-prof
Description-md5: f9d1ced16a24a238f5c9928f39c52968
Description-en: network byte-order utility functions; profiling libraries
 Peek and poke functions for network byte order.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-conduit-tls-dev
Description-md5: 013b27e8353eb10dbad35869705c2897
Description-en: create TLS-aware network code with conduits
  Uses the tls package for a pure-Haskell implementation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-conduit-tls-doc
Description-md5: a442b9578d735f2da8b6634630d96374
Description-en: create TLS-aware network code with conduits; documentation
  Uses the tls package for a pure-Haskell implementation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-conduit-tls-prof
Description-md5: ed063d56ba6a52eb3f1da5c8b339a4bf
Description-en: create TLS-aware network code with conduits; profiling libraries
  Uses the tls package for a pure-Haskell implementation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-dev
Description-md5: f07a8932dae015679a2fb68b6e4ace50
Description-en: Haskell low-level network library
 Library `network' provides means to perform network
 operations on level of ByteString's and sockets.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-doc
Description-md5: c31db518654b0ee5b32eba3de19cd5f7
Description-en: Haskell low-level network library; documentation
 Library `network' provides means to perform network
 operations on level of ByteString's and sockets.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-info-dev
Description-md5: 746faf7012770bd46eb343f77c0c241f
Description-en: listing network interfaces in Haskell
 This library provides simple read-only access to the local computer's
 networking configuration. It is currently capable of getting a list of
 all the network interfaces and their respective IPv4, IPv6 and MAC
 addresses.
 .
 It has been tested and is known to work on Linux, Mac OS X, and Windows XP.
 .
 This package contains the library compiled for GHC.

Package: libghc-network-info-doc
Description-md5: ef5e6d3a56595c1a0008792e8a2d4bec
Description-en: listing network interfaces in Haskell; documentation
 This library provides simple read-only access to the local computer's
 networking configuration. It is currently capable of getting a list of
 all the network interfaces and their respective IPv4, IPv6 and MAC
 addresses.
 .
 It has been tested and is known to work on Linux, Mac OS X, and Windows XP.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-info-prof
Description-md5: add75117a50ed26eafa8156a3db45168
Description-en: listing network interfaces in Haskell; profiling libraries
 This library provides simple read-only access to the local computer's
 networking configuration. It is currently capable of getting a list of
 all the network interfaces and their respective IPv4, IPv6 and MAC
 addresses.
 .
 It has been tested and is known to work on Linux, Mac OS X, and Windows XP.
 .
 This package contains the profiling library compiled for GHC.

Package: libghc-network-multicast-dev
Description-md5: 69628d805e971a9a190b60b7d0b104d7
Description-en: Haskell multicast networking
 An interface for sending UDP datagrams over multicast (class D) addresses.
 .
 This package contains the library compiled for GHC.

Package: libghc-network-multicast-doc
Description-md5: 2d45d214c9ea4c49e1442ffc9365620f
Description-en: Haskell multicast networking; documentation
 An interface for sending UDP datagrams over multicast (class D) addresses.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-multicast-prof
Description-md5: fa0b36602050222ccde261f584a8ec20
Description-en: Haskell multicast networking; profiling libraries
 An interface for sending UDP datagrams over multicast (class D) addresses.
 .
 This package contains the profiling library compiled for GHC.

Package: libghc-network-prof
Description-md5: d4f1dceef18b6cdaead66ed627c3feb1
Description-en: Haskell low-level network library; profiling libraries
 Library `network' provides means to perform network
 operations on level of ByteString's and sockets.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-uri-dev
Description-md5: f7aedc9a4582e492b6f7c519e711fff9
Description-en: URI manipulation
 Library defines functions for handling URIs. It presents substantially
 the same interface as the older GHC Network.URI module, but is implemented
 using Parsec rather than a Regex library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-uri-doc
Description-md5: 9007fd7185cbe4c1e1216662d24796e4
Description-en: URI manipulation; documentation
 Library defines functions for handling URIs. It presents substantially
 the same interface as the older GHC Network.URI module, but is implemented
 using Parsec rather than a Regex library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-network-uri-prof
Description-md5: b318f18bf27d3b926f6a88af861c76c6
Description-en: URI manipulation; profiling libraries
 Library defines functions for handling URIs. It presents substantially
 the same interface as the older GHC Network.URI module, but is implemented
 using Parsec rather than a Regex library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-newtype-dev
Description-md5: 12f6f2bb304a74ea13bb7dee7594e678
Description-en: A typeclass for working with newtypes
 The Newtype typeclass represents the packing and unpacking of a newtype, and
 allows you to operatate under that newtype with functions such as ala.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-newtype-doc
Description-md5: de0af4fbc5696bc0dc5f111c69e97126
Description-en: A typeclass for working with newtypes; documentation
 The Newtype typeclass represents the packing and unpacking of a newtype, and
 allows you to operatate under that newtype with functions such as ala.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-newtype-generics-dev
Description-md5: 495ebeb09795b87c5f733276a093daea
Description-en: Typeclass and set of functions for working with newtypes
 Per Conor McBride, the Newtype typeclass represents the packing and unpacking
 of a newtype, and allows you to operatate under that newtype with functions
 such as ala.  Generics support was added in version 0.4, making this package a
 full replacement for the original newtype package, and a better alternative to
 newtype-th.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-newtype-generics-doc
Description-md5: dcba4a296d61b480d1b0dfdaac6a28ce
Description-en: Typeclass and set of functions for working with newtypes; documentation
 Per Conor McBride, the Newtype typeclass represents the packing and unpacking
 of a newtype, and allows you to operatate under that newtype with functions
 such as ala.  Generics support was added in version 0.4, making this package a
 full replacement for the original newtype package, and a better alternative to
 newtype-th.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-newtype-generics-prof
Description-md5: 8a66364ef7f5f95d47d0f9e611d37855
Description-en: Typeclass and set of functions for working with newtypes; profiling libraries
 Per Conor McBride, the Newtype typeclass represents the packing and unpacking
 of a newtype, and allows you to operatate under that newtype with functions
 such as ala.  Generics support was added in version 0.4, making this package a
 full replacement for the original newtype package, and a better alternative to
 newtype-th.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-newtype-prof
Description-md5: d15a8394b8b50fdf4c77e7b702e1fda2
Description-en: A typeclass for working with newtypes; profiling libraries
 The Newtype typeclass represents the packing and unpacking of a newtype, and
 allows you to operatate under that newtype with functions such as ala.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nonce-dev
Description-md5: 62e8da2c111c7e93859959eb7ec2245a
Description-en: generate cryptographic nonces
  According to the Wikipedia, a nonce is an arbitrary number used
 only once in a cryptographic communication.  This package
 contain helper functions for generating nonces.
 .
 There are many kinds of nonces used in different situations.
 It's not guaranteed that by using the nonces from this package
 you won't have any security issues.  Please make sure that the
 nonces generated via this package are usable on your design.
  .
  This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nonce-doc
Description-md5: 4dafea34b06697fbae48b5a8932d2d98
Description-en: generate cryptographic nonces; documentation
  According to the Wikipedia, a nonce is an arbitrary number used
 only once in a cryptographic communication.  This package
 contain helper functions for generating nonces.
 .
 There are many kinds of nonces used in different situations.
 It's not guaranteed that by using the nonces from this package
 you won't have any security issues.  Please make sure that the
 nonces generated via this package are usable on your design.
  .
  This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-nonce-prof
Description-md5: aadf175e0dec9cd1d284365952bf24eb
Description-en: generate cryptographic nonces; profiling libraries
  According to the Wikipedia, a nonce is an arbitrary number used
 only once in a cryptographic communication.  This package
 contain helper functions for generating nonces.
 .
 There are many kinds of nonces used in different situations.
 It's not guaranteed that by using the nonces from this package
 you won't have any security issues.  Please make sure that the
 nonces generated via this package are usable on your design.
  .
  This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numbers-dev
Description-md5: a50b3650606b69335f74476699fe78db
Description-en: Various number types
 It contains instances of the numerical classes for a variety of
 different numbers: (computable) real numbers, arbitrary precision fixed
 numbers, arbitrary precision floating point numbers, differentiable
 numbers, symbolic numbers, natural numbers, interval arithmetic.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numbers-doc
Description-md5: 2aa36d9a23657affd89d605259d22a8f
Description-en: Various number types; documentation
 It contains instances of the numerical classes for a variety of
 different numbers: (computable) real numbers, arbitrary precision fixed
 numbers, arbitrary precision floating point numbers, differentiable
 numbers, symbolic numbers, natural numbers, interval arithmetic.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numbers-prof
Description-md5: dfcb5a49723275a52f5983980e753b4e
Description-en: Various number types; profiling libraries
 It contains instances of the numerical classes for a variety of
 different numbers: (computable) real numbers, arbitrary precision fixed
 numbers, arbitrary precision floating point numbers, differentiable
 numbers, symbolic numbers, natural numbers, interval arithmetic.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numeric-extras-dev
Description-md5: c1045c09388656a7882ce2eb7b2be74c
Description-en: Short description of numeric-extras
 Long description of numeric-extras
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numeric-extras-doc
Description-md5: 0fb098f6fdb01a000d4d3c06ba5b2c39
Description-en: Short description of numeric-extras; documentation
 Long description of numeric-extras
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numeric-extras-prof
Description-md5: 714a0d786235202b42a0e8f0056efb4c
Description-en: Short description of numeric-extras; profiling libraries
 Long description of numeric-extras
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numinstances-dev
Description-md5: cde554a4e16882f8400e3e01ee32d451
Description-en: Instances of numeric classes for functions and tuples
 This package provides instances of numeric classes for functions and
 tuples.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numinstances-doc
Description-md5: c08d6df732ccb84864ed965af7e4a7b0
Description-en: Instances of numeric classes for functions and tuples; documentation
 This package provides instances of numeric classes for functions and
 tuples.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numinstances-prof
Description-md5: 0f2666e340f675722f404d736d60eb52
Description-en: Instances of numeric classes for functions and tuples; profiling libraries
 This package provides instances of numeric classes for functions and
 tuples.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numtype-dev
Description-md5: 3e43c088e78abc285b5824e4a7893222
Description-en: type-level (low cardinality) integers
 This package provides unary type level representations of the (positive
 and negative) integers and basic operations (addition, subtraction,
 multiplication, division) on these. Due to the unary implementation the
 practical size of the NumTypes is severely limited making them unsuitable
 for large-cardinality applications. If you will be working with integers
 beyond (-20, 20) this package probably isn't for you.
 .
 It is, however, eminently suitable for applications such as representing
 physical dimensions (see the Dimensional library).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numtype-dk-dev
Description-md5: 64b1ac6fd3ca1c56ef03d149519b95c3
Description-en: type-level integers without fundeps
 This package provides type level representations of the
 (positive and negative) integers and basic operations (addition,
 subtraction, multiplication, division, exponentiation) on these.
 The numtype-dk package differs from the numtype package in that
 the NumTypes are implemented using Data Kinds, TypeNats, and
 Closed Type Families rather than Functional Dependencies.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numtype-dk-doc
Description-md5: 39a8a9f594b8fe91083cb57feaf28486
Description-en: type-level integers without fundeps; documentation
 This package provides type level representations of the
 (positive and negative) integers and basic operations (addition,
 subtraction, multiplication, division, exponentiation) on these.
 The numtype-dk package differs from the numtype package in that
 the NumTypes are implemented using Data Kinds, TypeNats, and
 Closed Type Families rather than Functional Dependencies.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numtype-dk-prof
Description-md5: 0a37a761853015c173a8c60b832a4855
Description-en: type-level integers without fundeps; profiling libraries
 This package provides type level representations of the
 (positive and negative) integers and basic operations (addition,
 subtraction, multiplication, division, exponentiation) on these.
 The numtype-dk package differs from the numtype package in that
 the NumTypes are implemented using Data Kinds, TypeNats, and
 Closed Type Families rather than Functional Dependencies.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numtype-doc
Description-md5: 79c3dcd4c6fa2fb7900e167548cba68f
Description-en: type-level (low cardinality) integers; documentation
 This package provides unary type level representations of the (positive
 and negative) integers and basic operations (addition, subtraction,
 multiplication, division) on these. Due to the unary implementation the
 practical size of the NumTypes is severely limited making them unsuitable
 for large-cardinality applications. If you will be working with integers
 beyond (-20, 20) this package probably isn't for you.
 .
 It is, however, eminently suitable for applications such as representing
 physical dimensions (see the Dimensional library).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-numtype-prof
Description-md5: 60e972691b9e90d2791637739398c149
Description-en: type-level (low cardinality) integers; profiling libraries
 This package provides unary type level representations of the (positive
 and negative) integers and basic operations (addition, subtraction,
 multiplication, division) on these. Due to the unary implementation the
 practical size of the NumTypes is severely limited making them unsuitable
 for large-cardinality applications. If you will be working with integers
 beyond (-20, 20) this package probably isn't for you.
 .
 It is, however, eminently suitable for applications such as representing
 physical dimensions (see the Dimensional library).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-objectname-dev
Description-md5: d216e5c692d91558867ebb0f42827ee4
Description-en: Explicitly handled object names
 This tiny package contains the class ObjectName, which corresponds to the
 general notion of explicitly handled identifiers for API objects, e.g. a
 texture object name in OpenGL or a buffer object name in OpenAL.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-objectname-doc
Description-md5: 82de5f15dd13374d0e9b1da572a0eebf
Description-en: Explicitly handled object names; documentation
 This tiny package contains the class ObjectName, which corresponds to the
 general notion of explicitly handled identifiers for API objects, e.g. a
 texture object name in OpenGL or a buffer object name in OpenAL.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-objectname-prof
Description-md5: 7a81a27623c7c63c7a168b6748107eff
Description-en: Explicitly handled object names; profiling libraries
 This tiny package contains the class ObjectName, which corresponds to the
 general notion of explicitly handled identifiers for API objects, e.g. a
 texture object name in OpenGL or a buffer object name in OpenAL.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-oeis-dev
Description-md5: c2aa52689664fc11a184abeb1bf48b3f
Description-en: Interface to the Online Encyclopedia of Integer Sequences
 It is an interface to the Online Encyclopedia of Integer Sequences.
 See http://oeis.org/
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-oeis-doc
Description-md5: 0961cf0936ac289e51344d271381e521
Description-en: Interface to the Online Encyclopedia of Integer Sequences; documentation
 It is an interface to the Online Encyclopedia of Integer Sequences.
 See http://oeis.org/
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-oeis-prof
Description-md5: d8cf42f15719a78ab769051f570fb5fd
Description-en: Interface to the Online Encyclopedia of Integer Sequences; profiling libraries
 It is an interface to the Online Encyclopedia of Integer Sequences.
 See http://oeis.org/
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ofx-dev
Description-md5: a4e7d98749f29e153be74a9e3b617f02
Description-en: parser for Open Financial Exchange (OFX) financial data
 A parser for Open Financial Exchange (OFX) financial data. This
 handles OFX version 1.03, which is documented at http://www.ofx.net/ .
 This also handles QFX, which is OFX plus some minor additions made by
 Intuit, the publishers of Quicken.
 .
 The parser will place all the data into a tree, which you can query
 for whatever data you may need, although you will need to be familiar
 with the OFX spec to do this. There is also a Transaction type which
 you can easily parse from the tree; this will contain most, if not
 all, of the data you will be interested in if your OFX file is from a
 bank or credit card account.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ofx-doc
Description-md5: 9358a572c376359c6f7ed2a574910b58
Description-en: parser for Open Financial Exchange (OFX) financial data; documentation
 A parser for Open Financial Exchange (OFX) financial data. This
 handles OFX version 1.03, which is documented at http://www.ofx.net/ .
 This also handles QFX, which is OFX plus some minor additions made by
 Intuit, the publishers of Quicken.
 .
 The parser will place all the data into a tree, which you can query
 for whatever data you may need, although you will need to be familiar
 with the OFX spec to do this. There is also a Transaction type which
 you can easily parse from the tree; this will contain most, if not
 all, of the data you will be interested in if your OFX file is from a
 bank or credit card account.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-ofx-prof
Description-md5: b2c381f7541f1127f275ffcf96b0543b
Description-en: parser for Open Financial Exchange (OFX) financial data; profiling libraries
 A parser for Open Financial Exchange (OFX) financial data. This
 handles OFX version 1.03, which is documented at http://www.ofx.net/ .
 This also handles QFX, which is OFX plus some minor additions made by
 Intuit, the publishers of Quicken.
 .
 The parser will place all the data into a tree, which you can query
 for whatever data you may need, although you will need to be familiar
 with the OFX spec to do this. There is also a Transaction type which
 you can easily parse from the tree; this will contain most, if not
 all, of the data you will be interested in if your OFX file is from a
 bank or credit card account.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-old-locale-dev
Description-md5: 8f9dcb024010bafd166af15616f1cce6
Description-en: locale library
 This package provides the ability to adapt to
 locale conventions such as date and time formats.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-old-locale-doc
Description-md5: 4844952d9b0c9867f8538507af12eaf0
Description-en: locale library; documentation
 This package provides the ability to adapt to
 locale conventions such as date and time formats.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-old-locale-prof
Description-md5: ff071d71fe52e48914ca0e9de6114c4d
Description-en: locale library; profiling libraries
 This package provides the ability to adapt to
 locale conventions such as date and time formats.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-old-time-dev
Description-md5: fb7b6b65538994a0fc56665d79b7603f
Description-en: time library
 This package provides the old time library.
 .
 For new projects, the newer "time" library is recommended.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-old-time-doc
Description-md5: 3036b179f2e4a372ae6ea3f4a91f1799
Description-en: time library; documentation
 This package provides the old time library.
 .
 For new projects, the newer "time" library is recommended.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-old-time-prof
Description-md5: a034aa1fde7a884c800e7ff082e356a5
Description-en: time library; profiling libraries
 This package provides the old time library.
 .
 For new projects, the newer "time" library is recommended.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-onetuple-dev
Description-md5: 5aa551ff1f167ab6745ede626aedb508
Description-en: singleton tuple
 OneTuple fills the tuple gap with a singleton tuple.
 .
 OneTuple does not support the usual parenthesized tuple syntax.
 .
 OneTuple
  * has the expected laziness properties
  * can be pattern-matched
  * ships with instances for several standard type classes, including
    all those supported by H98-standard tuples
  * requires no language extensions, except for hierarchical modules
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-onetuple-doc
Description-md5: 3d2d3bad2bc8ef9bd8b8686df77d50ad
Description-en: singleton tuple; documentation
 OneTuple fills the tuple gap with a singleton tuple.
 .
 OneTuple does not support the usual parenthesized tuple syntax.
 .
 OneTuple
  * has the expected laziness properties
  * can be pattern-matched
  * ships with instances for several standard type classes, including
    all those supported by H98-standard tuples
  * requires no language extensions, except for hierarchical modules
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-onetuple-prof
Description-md5: 2739abf14963a40368a7282b6b87a7ad
Description-en: singleton tuple; profiling libraries
 OneTuple fills the tuple gap with a singleton tuple.
 .
 OneTuple does not support the usual parenthesized tuple syntax.
 .
 OneTuple
  * has the expected laziness properties
  * can be pattern-matched
  * ships with instances for several standard type classes, including
    all those supported by H98-standard tuples
  * requires no language extensions, except for hierarchical modules
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-only-dev
Description-md5: b2fba63030ace4aeb4f11fbd3a0078ca
Description-en: 1-tuple type or single-value "collection"
 This package provides the canonical anonymous 1-tuple type missing
 from Haskell for attaching typeclass instances.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-only-doc
Description-md5: a4c9c43dc6ee7a74b6672d9971561b0f
Description-en: 1-tuple type or single-value "collection"; documentation
 This package provides the canonical anonymous 1-tuple type missing
 from Haskell for attaching typeclass instances.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-only-prof
Description-md5: 969465591d3b10a0aa5882bbd2cd3125
Description-en: 1-tuple type or single-value "collection"; profiling libraries
 This package provides the canonical anonymous 1-tuple type missing
 from Haskell for attaching typeclass instances.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-oo-prototypes-dev
Description-md5: 8cf821e741c0d09f5af01b523839dfa6
Description-en: Support for OO-like prototypes
 This is a Haskell library providing support for OO-like prototypes
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-oo-prototypes-doc
Description-md5: 448b248bf0a710134c11e4019033e40b
Description-en: Support for OO-like prototypes; documentation
 This is a Haskell library providing support for OO-like prototypes
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-oo-prototypes-prof
Description-md5: f627387068707e696c496efd481023a9
Description-en: Support for OO-like prototypes; profiling libraries
 This is a Haskell library providing support for OO-like prototypes
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-open-browser-dev
Description-md5: fad0a9a350e4aa4d9a11e1b68ca746ca
Description-en: open a web browser from Haskell
 This library provides a cross-platform way to open a web browser from Haskell
 code.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-open-browser-doc
Description-md5: 1438c9ff43f3a055c694f4e7d3ecac97
Description-en: open a web browser from Haskell; documentation
 This library provides a cross-platform way to open a web browser from Haskell
 code.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-open-browser-prof
Description-md5: 03eee29b786d8ecccc1599d657aafd5b
Description-en: open a web browser from Haskell; profiling libraries
 This library provides a cross-platform way to open a web browser from Haskell
 code.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-opengl-dev
Description-md5: 84fe6d5588a85eade7e842516a65acba
Description-en: Haskell OpenGL binding for GHC
 This package provides a library for the Haskell programming language.
 .
 A Haskell binding for the OpenGL graphics system (GL, version 3.2) and its
 accompanying utility library (GLU, version 1.3).

Package: libghc-opengl-doc
Description-md5: 515e3361620f0592cf7b631586ee3e87
Description-en: Haskell OpenGL binding for GHC; documentation
 This package provides the documentation for a library for the Haskell
 programming language.
 .
 A Haskell binding for the OpenGL graphics system (GL, version 3.2) and its
 accompanying utility library (GLU, version 1.3).

Package: libghc-opengl-prof
Description-md5: 0f560756c6b77cffd2228ec9355c47af
Description-en: Haskell OpenGL binding for GHC; profiling libraries
 This package provides a library for the Haskell programming language,
 compiled for profiling.
 .
 A Haskell binding for the OpenGL graphics system (GL, version 3.2) and its
 accompanying utility library (GLU, version 1.3).

Package: libghc-openglraw-dev
Description-md5: ecf6c0b8d471f6659651e1ac48058368
Description-en: Raw binding for the OpenGL graphics system
 OpenGLRaw is a raw Haskell binding for the OpenGL 3.2 graphics system and lots
 of OpenGL extensions. It is basically a 1:1 mapping of OpenGL's C API,
 intended as a basis for a nicer interface. OpenGLRaw offers access to all
 necessary functions, tokens and types plus a general facility for loading
 extension entries. The module hierarchy closely mirrors the naming structure
 of the OpenGL extensions, making it easy to find the right module to import.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-openglraw-doc
Description-md5: f04e4ea74d510d5b83f3f5146f4940e6
Description-en: Raw binding for the OpenGL graphics system; documentation
 OpenGLRaw is a raw Haskell binding for the OpenGL 3.2 graphics system and lots
 of OpenGL extensions. It is basically a 1:1 mapping of OpenGL's C API,
 intended as a basis for a nicer interface. OpenGLRaw offers access to all
 necessary functions, tokens and types plus a general facility for loading
 extension entries. The module hierarchy closely mirrors the naming structure
 of the OpenGL extensions, making it easy to find the right module to import.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-openglraw-prof
Description-md5: b5967ddb298b35b52b0b01c5bd6616b7
Description-en: Raw binding for the OpenGL graphics system; profiling libraries
 OpenGLRaw is a raw Haskell binding for the OpenGL 3.2 graphics system and lots
 of OpenGL extensions. It is basically a 1:1 mapping of OpenGL's C API,
 intended as a basis for a nicer interface. OpenGLRaw offers access to all
 necessary functions, tokens and types plus a general facility for loading
 extension entries. The module hierarchy closely mirrors the naming structure
 of the OpenGL extensions, making it easy to find the right module to import.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-openpgp-asciiarmor-dev
Description-md5: 8c2c36c933c2f107c44c1984877b4344
Description-en: OpenPGP (RFC4880) ASCII Armor codec
 This library does encoding and decoding of OpenPGP ASCII armor.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-openpgp-asciiarmor-doc
Description-md5: fabdb09f974b3dffc61c34750dba7102
Description-en: OpenPGP (RFC4880) ASCII Armor codec; documentation
 This library does encoding and decoding of OpenPGP ASCII armor.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-openpgp-asciiarmor-prof
Description-md5: 61407ad6d5ded9050d2bc5b724a4abfb
Description-en: OpenPGP (RFC4880) ASCII Armor codec; profiling libraries
 This library does encoding and decoding of OpenPGP ASCII armor.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-openssl-streams-dev
Description-md5: 553df315ac69fa239658fdc38a1fd3c8
Description-en: OpenSSL network support for io-streams
 The openssl-streams library contains io-streams routines for secure
 networking using OpenSSL (by way of HsOpenSSL).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-openssl-streams-doc
Description-md5: 26bc4a9a9c66cf3dd781690dd1cc038f
Description-en: OpenSSL network support for io-streams; documentation
 The openssl-streams library contains io-streams routines for secure
 networking using OpenSSL (by way of HsOpenSSL).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-openssl-streams-prof
Description-md5: bfa11b06913e29c4928ef9d9e6c4e22b
Description-en: OpenSSL network support for io-streams; profiling libraries
 The openssl-streams library contains io-streams routines for secure
 networking using OpenSSL (by way of HsOpenSSL).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-operational-dev
Description-md5: 2582856bc440180faf696d1611856c4a
Description-en: implementation of difficult monads made easy with operational semantics
 This library makes it easy to implement monads with tricky control
 flow.
 .
 This is useful for: writing web applications in a sequential style,
 programming games with a uniform interface for human and AI players
 and easy replay capababilities, implementing fast parser monads,
 designing monadic DSLs, etc.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-operational-doc
Description-md5: 3e0ab8619d0139ac8fa596a0edb54a4e
Description-en: implementation of difficult monads made easy with operational semantics; documentation
 This library makes it easy to implement monads with tricky control
 flow.
 .
 This is useful for: writing web applications in a sequential style,
 programming games with a uniform interface for human and AI players
 and easy replay capababilities, implementing fast parser monads,
 designing monadic DSLs, etc.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-operational-prof
Description-md5: f739caff3cc60e15685bc9cee1f948e7
Description-en: implementation of difficult monads made easy with operational semantics; profiling libraries
 This library makes it easy to implement monads with tricky control
 flow.
 .
 This is useful for: writing web applications in a sequential style,
 programming games with a uniform interface for human and AI players
 and easy replay capababilities, implementing fast parser monads,
 designing monadic DSLs, etc.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-options-dev
Description-md5: e7a7493763c9da836037f269302ba839
Description-en: Haskell library for parsing command-line options
 The options package lets library and application developers easily work
 with command-line options.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-options-doc
Description-md5: 52bb74009d049fa216f87572a27e392a
Description-en: Haskell library for parsing command-line options; documentation
 The options package lets library and application developers easily work
 with command-line options.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-options-prof
Description-md5: 2a51191417ae00d3b03b5e238d235f96
Description-en: Haskell library for parsing command-line options; profiling libraries
 The options package lets library and application developers easily work
 with command-line options.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-optparse-applicative-dev
Description-md5: e74949fbaf242693a01e16709986b0fa
Description-en: utilities and combinators for parsing command-line options
 This is a library for constructing command-line option parsers in the
 applicative style.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-optparse-applicative-doc
Description-md5: e8cd7c1170aa17ef9a972e083f7b0457
Description-en: utilities and combinators for parsing command-line options; documentation
 This is a library for constructing command-line option parsers in the
 applicative style.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-optparse-applicative-prof
Description-md5: 354b7bea077413d426468c80bfc29d0b
Description-en: utilities and combinators for parsing command-line options; profiling libraries
 This is a library for constructing command-line option parsers in the
 applicative style.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-optparse-simple-dev
Description-md5: 1f8b69a4cc155956d6681d7aa92cbc2e
Description-en: simple interface to optparse-applicative
 This package provides a simpler interface to optparse-applicative.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-optparse-simple-doc
Description-md5: 4da66eb93d03fdb7875b894b6631c0a7
Description-en: simple interface to optparse-applicative; documentation
 This package provides a simpler interface to optparse-applicative.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-optparse-simple-prof
Description-md5: a6d582779961053a8460c258b65db3de
Description-en: simple interface to optparse-applicative; profiling libraries
 This package provides a simpler interface to optparse-applicative.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pandoc-citeproc-data
Description-md5: 795363a195b78ae004e0593971184715
Description-en: Pandoc support for Citation Style Language - data files
 pandoc-citeproc is a Haskell implementation of the Citation Style Language
 (CSL).
 .
 pandoc-citeproc adds to pandoc, the famous Haskell text processing tool, a
 Bibtex like citation and bibliographic formatting and generation
 facility.
 .
 CSL is an XML language for specifying citation and bibliographic
 formatting, similar in principle to BibTeX .bst files or the binary
 style files in commercial products like Endnote or Reference Manager.
 .
 This package contains the data files needed to use the library.

Package: libghc-pandoc-citeproc-dev
Description-md5: 38e99599a114b7cfc1c69f5e960b68e4
Description-en: support for using pandoc with citeproc
 The pandoc-citeproc library exports functions for using the citeproc
 system with pandoc. It relies on citeproc-hs, a library for rendering
 bibliographic reference citations into a variety of styles using a
 macro language called Citation Style Language (CSL). More details on
 CSL can be found here: http://citationstyles.org/ .
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pandoc-citeproc-doc
Description-md5: 36d7b6b1defa97dd954b3c143ffe72d1
Description-en: support for using pandoc with citeproc; documentation
 The pandoc-citeproc library exports functions for using the citeproc
 system with pandoc. It relies on citeproc-hs, a library for rendering
 bibliographic reference citations into a variety of styles using a
 macro language called Citation Style Language (CSL). More details on
 CSL can be found here: http://citationstyles.org/ .
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pandoc-citeproc-prof
Description-md5: ab2cfd2c1d25268d12ad4783bd29efb9
Description-en: support for using pandoc with citeproc; profiling libraries
 The pandoc-citeproc library exports functions for using the citeproc
 system with pandoc. It relies on citeproc-hs, a library for rendering
 bibliographic reference citations into a variety of styles using a
 macro language called Citation Style Language (CSL). More details on
 CSL can be found here: http://citationstyles.org/ .
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pandoc-dev
Description-md5: 5970cf9c4caf43e71292c6eb78ffae7b
Description-en: general markup converter - libraries
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 It can read several dialects of Markdown and (subsets of) HTML,
 reStructuredText, LaTeX, DocBook,
 JATS, MediaWiki markup, TWiki markup, TikiWiki markup, Creole 1.0,
 Haddock markup, OPML, Emacs Org-mode, Emacs Muse,
 txt2tags, Vimwiki, Word Docx, ODT, EPUB, FictionBook2,
 roff man, and Textile,
 and it can write Markdown, reStructuredText, XHTML, HTML 5,
 LaTeX (including rendering as plain PDF or beamer slide shows),
 ConTeXt, DocBook, JATS, OPML, TEI,
 OpenDocument, ODT, Word docx, PowerPoint pptx, RTF,
 MediaWiki, DokuWiki, ZimWiki, Textile, roff man, roff ms, GNU Texinfo,
 plain text, Emacs Org-Mode, AsciiDoc, Haddock markup,
 EPUB (v2 and v3), FictionBook2, InDesign ICML,
 Muse, LaTeX beamer slides, PowerPoint,
 and several kinds of HTML/javaScript slide shows
 (S5, Slidy, Slideous, DZSlides, reveal.js).
 .
 In contrast to most existing tools for converting Markdown to HTML,
 pandoc has a modular design:
 it consists of a set of readers, which parse text in a given format
 and produce a native representation of the document,
 and a set of writers,
 which convert this native representation into a target format.
 Thus,
 adding an input or output format requires only adding a reader or writer.
 .
 This package contains the libraries compiled for GHC.

Package: libghc-pandoc-doc
Description-md5: c60f9f534adb96b617081b93b1d382fe
Description-en: general markup converter - library documentation
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 It can read several dialects of Markdown and (subsets of) HTML,
 reStructuredText, LaTeX, DocBook,
 JATS, MediaWiki markup, TWiki markup, TikiWiki markup, Creole 1.0,
 Haddock markup, OPML, Emacs Org-mode, Emacs Muse,
 txt2tags, Vimwiki, Word Docx, ODT, EPUB, FictionBook2,
 roff man, and Textile,
 and it can write Markdown, reStructuredText, XHTML, HTML 5,
 LaTeX (including rendering as plain PDF or beamer slide shows),
 ConTeXt, DocBook, JATS, OPML, TEI,
 OpenDocument, ODT, Word docx, PowerPoint pptx, RTF,
 MediaWiki, DokuWiki, ZimWiki, Textile, roff man, roff ms, GNU Texinfo,
 plain text, Emacs Org-Mode, AsciiDoc, Haddock markup,
 EPUB (v2 and v3), FictionBook2, InDesign ICML,
 Muse, LaTeX beamer slides, PowerPoint,
 and several kinds of HTML/javaScript slide shows
 (S5, Slidy, Slideous, DZSlides, reveal.js).
 .
 In contrast to most existing tools for converting Markdown to HTML,
 pandoc has a modular design:
 it consists of a set of readers, which parse text in a given format
 and produce a native representation of the document,
 and a set of writers,
 which convert this native representation into a target format.
 Thus,
 adding an input or output format requires only adding a reader or writer.
 .
 This package contains the library documentation for Pandoc.

Package: libghc-pandoc-prof
Description-md5: 78db5cef359b46a6cde36007a01b4211
Description-en: general markup converter - profiling libraries
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 It can read several dialects of Markdown and (subsets of) HTML,
 reStructuredText, LaTeX, DocBook,
 JATS, MediaWiki markup, TWiki markup, TikiWiki markup, Creole 1.0,
 Haddock markup, OPML, Emacs Org-mode, Emacs Muse,
 txt2tags, Vimwiki, Word Docx, ODT, EPUB, FictionBook2,
 roff man, and Textile,
 and it can write Markdown, reStructuredText, XHTML, HTML 5,
 LaTeX (including rendering as plain PDF or beamer slide shows),
 ConTeXt, DocBook, JATS, OPML, TEI,
 OpenDocument, ODT, Word docx, PowerPoint pptx, RTF,
 MediaWiki, DokuWiki, ZimWiki, Textile, roff man, roff ms, GNU Texinfo,
 plain text, Emacs Org-Mode, AsciiDoc, Haddock markup,
 EPUB (v2 and v3), FictionBook2, InDesign ICML,
 Muse, LaTeX beamer slides, PowerPoint,
 and several kinds of HTML/javaScript slide shows
 (S5, Slidy, Slideous, DZSlides, reveal.js).
 .
 In contrast to most existing tools for converting Markdown to HTML,
 pandoc has a modular design:
 it consists of a set of readers, which parse text in a given format
 and produce a native representation of the document,
 and a set of writers,
 which convert this native representation into a target format.
 Thus,
 adding an input or output format requires only adding a reader or writer.
 .
 This package contains the profiling libraries for Pandoc.

Package: libghc-pandoc-sidenote-dev
Description-md5: 55757d523084187f11af67d67d7c2a23
Description-en: footnotes-to-sidenotes converter for Pandoc - libraries
 pandoc-sidenote is a simple Pandoc filter
 to convert footnotes into a format that can be consumed by Tufte CSS.
 .
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 .
 This package provides the Haskell library for pandoc-sidenote.

Package: libghc-pandoc-sidenote-doc
Description-md5: 9dd03ef9d57e4c43dbf8fc9d88a1f718
Description-en: footnotes-to-sidenotes converter for Pandoc - library documentation
 pandoc-sidenote is a simple Pandoc filter
 to convert footnotes into a format that can be consumed by Tufte CSS.
 .
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 .
 This package provides library documentation for pandoc-sidenote.

Package: libghc-pandoc-sidenote-prof
Description-md5: 74bc61e78da83a47f639735335f8cdfb
Description-en: footnotes-to-sidenotes converter for Pandoc - profiling libraries
 pandoc-sidenote is a simple Pandoc filter
 to convert footnotes into a format that can be consumed by Tufte CSS.
 .
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 .
 This package provides profiling for the pandoc-sidenote library.

Package: libghc-pandoc-types-dev
Description-md5: 8db09283b46832335aae75e35a668ffb
Description-en: Haskell data types to represent structured documents
 This package ships the data types used by package Pandoc to internally
 represent structured documents. They've been split off from the main
 Pandoc package, so that other packages can use them without drawing in
 all the of Pandoc dependencies.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pandoc-types-doc
Description-md5: 91a3aa07501a591c3b5ad7a120a2d1ba
Description-en: Haskell data types to represent structured documents; documentation
 This package ships the data types used by package Pandoc to internally
 represent structured documents. They've been split off from the main
 Pandoc package, so that other packages can use them without drawing in
 all the of Pandoc dependencies.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pandoc-types-prof
Description-md5: 5a9e24e7db3ee11c9db49be042e4595c
Description-en: Haskell data types to represent structured documents; profiling libraries
 This package ships the data types used by package Pandoc to internally
 represent structured documents. They've been split off from the main
 Pandoc package, so that other packages can use them without drawing in
 all the of Pandoc dependencies.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pango-dev
Description-md5: c20ebefdf8b5a6e7630aaae308558c81
Description-en: binding to the Pango text rendering engine
 This package provides a wrapper around the Pango C library that allows
 high-quality rendering of Unicode text. It can be used either with Cairo to
 output text in PDF, PS or other documents or with Gtk+ to display text
 on-screen.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pango-doc
Description-md5: d5696107abcabb13c27b7573ae5ff08e
Description-en: Binding to the Pango text rendering engine; documentation
 This package provides a wrapper around the Pango C library that allows
 high-quality rendering of Unicode text. It can be used either with Cairo to
 output text in PDF, PS or other documents or with Gtk+ to display text
 on-screen.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pango-prof
Description-md5: b699420958675f2c4f3b6c39c156f1ba
Description-en: Binding to the Pango text rendering engine; profiling libraries
 This package provides a wrapper around the Pango C library that allows
 high-quality rendering of Unicode text. It can be used either with Cairo to
 output text in PDF, PS or other documents or with Gtk+ to display text
 on-screen.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-panic-dev
Description-md5: 5389afee3535f6e44185b72dd373601f
Description-en: convenient way to panic
 This provides a data type and function to throw an exception with
 a message and a call stack.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-panic-doc
Description-md5: 1c976e7c3212dc08be12de57bcab2ada
Description-en: convenient way to panic; documentation
 This provides a data type and function to throw an exception with
 a message and a call stack.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-panic-prof
Description-md5: 9d64dc5a306a1988061692a605991125
Description-en: convenient way to panic; profiling libraries
 This provides a data type and function to throw an exception with
 a message and a call stack.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parallel-dev
Description-md5: 1779bda769502acf1047c72f2c97d1d3
Description-en: Haskell parallel programming library for GHC
 Provides a library for parallel programming in Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parallel-doc
Description-md5: 5186a1f54badc36672c66260d277abca
Description-en: Haskell parallel programming library for GHC; documentation
 Provides a library for parallel programming in Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parallel-prof
Description-md5: a363b8edf3b480d68da2fc96a153f120
Description-en: Haskell parallel programming library for GHC; profiling libraries
 Provides a library for parallel programming in Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parallel-tree-search-dev
Description-md5: a8daa5e5af941c4b905161da00dbc724
Description-en: Parallel Tree Search
 This Haskell library provides an implementation of parallel search
 based on the search tree provided by the package tree-monad.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parallel-tree-search-doc
Description-md5: c0f3270a1292ca8053ced941256e4fcf
Description-en: Parallel Tree Search; documentation
 This Haskell library provides an implementation of parallel search
 based on the search tree provided by the package tree-monad.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parallel-tree-search-prof
Description-md5: 828f8668f7d6550c0abefac558d6a50f
Description-en: Parallel Tree Search; profiling libraries
 This Haskell library provides an implementation of parallel search
 based on the search tree provided by the package tree-monad.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parseargs-dev
Description-md5: 1566faaaf0ea26cfc83c9f2dc0ae830b
Description-en: Command-line argument parsing library
 It parses command-line arguments.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parseargs-doc
Description-md5: 596e18443d926972c798c772e5a5c0e1
Description-en: Command-line argument parsing library; documentation
 It parses command-line arguments.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parseargs-prof
Description-md5: 4fb4af55beb0ca302710154a42afc50d
Description-en: Command-line argument parsing library; profiling libraries
 It parses command-line arguments.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parsec-numbers-dev
Description-md5: f0b6c4fca64d4a7cceff042b6f50f189
Description-en: utilities for parsing numbers from strings
 parsec-numbers provides the number parsers without the need to
 use a large (and unportable) token parser
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parsec-numbers-doc
Description-md5: 988c5995103c46df959cc3771a4f38a5
Description-en: utilities for parsing numbers from strings; documentation
 parsec-numbers provides the number parsers without the need to
 use a large (and unportable) token parser
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parsec-numbers-prof
Description-md5: 79c9deb4af653567281612593d09c949
Description-en: utilities for parsing numbers from strings; profiling libraries
 parsec-numbers provides the number parsers without the need to
 use a large (and unportable) token parser
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parser-combinators-dev
Description-md5: ca9d80fcbff496e37ff3baed6f77264e
Description-en: commonly useful parser combinators
 Commonly useful parser combinators defined in terms of
 Applicative and Alternative, and defined in terms of
 Monad and MonadPlus.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parser-combinators-doc
Description-md5: 7c5665aa55b27ebe2d6fc5d08a4a71bd
Description-en: commonly useful parser combinators; documentation
 Commonly useful parser combinators defined in terms of
 Applicative and Alternative, and defined in terms of
 Monad and MonadPlus.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parser-combinators-prof
Description-md5: 507fd64a9f1e025c169217c006c23052
Description-en: commonly useful parser combinators; profiling libraries
 Commonly useful parser combinators defined in terms of
 Applicative and Alternative, and defined in terms of
 Monad and MonadPlus.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parsers-dev
Description-md5: 19bf96be136d6030fd1f0243d7fc4b48
Description-en: parsing combinators
 Parsers of various types
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parsers-doc
Description-md5: 243b341247aadc802db8393559eaf027
Description-en: parsing combinators; documentation
 Parsers of various types
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-parsers-prof
Description-md5: e555158a0e67dcb95ecbfa891ab57072
Description-en: parsing combinators; profiling libraries
 Parsers of various types
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-dev
Description-md5: 206fc0760787d3515d09a676407b06e9
Description-en: normalizing well-typed path type
 This packages provides support for well-typed paths in Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-doc
Description-md5: bc35d5d4b7cef5308a717a8d2ee53fee
Description-en: normalizing well-typed path type; documentation
 This packages provides support for well-typed paths in Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-io-dev
Description-md5: ab5fdc28e9c692c0c5ba165bda265820
Description-en: Interface to ‘directory’ package for users of ‘path’
 This package provides an interface to the ‘directory’ package for users of the
 library ‘path’, which provides statically ensured well-types file paths.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-io-doc
Description-md5: 70fd10d0d202dfd3038d6add3ba2686a
Description-en: Interface to ‘directory’ package for users of ‘path’; documentation
 This package provides an interface to the ‘directory’ package for users of the
 library ‘path’, which provides statically ensured well-types file paths.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-io-prof
Description-md5: ba3b7bf7292d6c9f36386c35a6f94e9f
Description-en: Interface to ‘directory’ package for users of ‘path’; profiling libraries
 This package provides an interface to the ‘directory’ package for users of the
 library ‘path’, which provides statically ensured well-types file paths.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-pieces-dev
Description-md5: 42eafd1ff00a5250b86d0f349ec52409
Description-en: components of paths
 It contains path pieces.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-pieces-doc
Description-md5: ff73c2b2a60c7e0a6bb6947fc7fde4ab
Description-en: components of paths; documentation
 It contains path pieces.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-pieces-prof
Description-md5: d54b00dba37cc0be083a64a91f35852a
Description-en: components of paths; profiling libraries
 It contains path pieces.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-path-prof
Description-md5: 26fcee9042143925b77f54a6035b09c0
Description-en: normalizing well-typed path type; profiling libraries
 This packages provides support for well-typed paths in Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-patience-dev
Description-md5: 279fc27e9641ba8a41b52f6a3ed6edf1
Description-en: Haskell implementation of the Patience Diff algorithm
 This library implements the "patience diff" algorithm, as well as the patience
 algorithm for the longest increasing subsequence problem.
 .
 Patience diff computes the difference between two lists, for example the lines
 of two versions of a source file. It provides a good balance of performance,
 nice output for humans, and implementation simplicity. For more information,
 see http://alfedenzo.livejournal.com/170301.html and
 http://bramcohen.livejournal.com/73318.html.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-patience-doc
Description-md5: fcb2a4a71272b89d618a12421a0ea9bb
Description-en: Haskell implementation of the Patience Diff algorithm; documentation
 This library implements the "patience diff" algorithm, as well as the patience
 algorithm for the longest increasing subsequence problem.
 .
 Patience diff computes the difference between two lists, for example the lines
 of two versions of a source file. It provides a good balance of performance,
 nice output for humans, and implementation simplicity. For more information,
 see http://alfedenzo.livejournal.com/170301.html and
 http://bramcohen.livejournal.com/73318.html.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-patience-prof
Description-md5: 28ebfea38f46e46ea3ac311200efdff7
Description-en: Haskell implementation of the Patience Diff algorithm; profiling libraries
 This library implements the "patience diff" algorithm, as well as the patience
 algorithm for the longest increasing subsequence problem.
 .
 Patience diff computes the difference between two lists, for example the lines
 of two versions of a source file. It provides a good balance of performance,
 nice output for humans, and implementation simplicity. For more information,
 see http://alfedenzo.livejournal.com/170301.html and
 http://bramcohen.livejournal.com/73318.html.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pcap-dev
Description-md5: b3c1d7d204b569a45bf8286b6ff9742f
Description-en: Haskell wrapper around the C libpcap library
 This library provides Haskell bindings for most of the libpcap API as of
 libpcap version 0.9.7. The bindings are divided into a very efficient
 low-level wrapper, Network.Pcap.Base, and a higher-level module, Network.Pcap,
 that's easier to use.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pcap-doc
Description-md5: ce512ef982fbbe069a828c6fc6ada2b9
Description-en: Haskell wrapper around the C libpcap library; documentation
 This library provides Haskell bindings for most of the libpcap API as of
 libpcap version 0.9.7. The bindings are divided into a very efficient
 low-level wrapper, Network.Pcap.Base, and a higher-level module, Network.Pcap,
 that's easier to use.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pcap-prof
Description-md5: 478108e392a76c9efbbbb797f59e8b8b
Description-en: Haskell wrapper around the C libpcap library; profiling libraries
 This library provides Haskell bindings for most of the libpcap API as of
 libpcap version 0.9.7. The bindings are divided into a very efficient
 low-level wrapper, Network.Pcap.Base, and a higher-level module, Network.Pcap,
 that's easier to use.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pcre-light-dev
Description-md5: df23e1e3cc3279edd40d5e97ba91b0d5
Description-en: Haskell library for Perl 5-compatible regular expressions
 The PCRE library is a set of functions that implement regular expression
 pattern matching using the same syntax and semantics as Perl 5.  The library
 aims to be light, efficient and portable.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pcre-light-doc
Description-md5: bd9d2f6c7020a56166111563c93661a5
Description-en: library documentation for pcre-light; documentation
 The PCRE library is a set of functions that implement regular expression
 pattern matching using the same syntax and semantics as Perl 5.  The library
 aims to be light, efficient and portable.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pcre-light-prof
Description-md5: c1ef0d8b9fcde1b0cea28cb8827b68c9
Description-en: pcre-light library with profiling enabled; profiling libraries
 The PCRE library is a set of functions that implement regular expression
 pattern matching using the same syntax and semantics as Perl 5.  The library
 aims to be light, efficient and portable.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pem-dev
Description-md5: 96dbdc105f67fdce69c44f06f69dcc4e
Description-en: Privacy Enhanced Mail (PEM) format reader and writer
 It is for reading and writing PEM (Privacy Enhanced Mail; see
 RFCs 1421 through 1424) structures.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pem-doc
Description-md5: 99106d3575f38c0f7c43996603012f82
Description-en: Privacy Enhanced Mail (PEM) format reader and writer; documentation
 It is for reading and writing PEM (Privacy Enhanced Mail; see
 RFCs 1421 through 1424) structures.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pem-prof
Description-md5: 21df12e4ed14f38969fe8f3f69d6b0ff
Description-en: Privacy Enhanced Mail (PEM) format reader and writer; profiling libraries
 It is for reading and writing PEM (Privacy Enhanced Mail; see
 RFCs 1421 through 1424) structures.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-permutation-dev
Description-md5: a07e002ba8fcfa6d8e13f5b7e84213d8
Description-en: library for permutations and combinations
 This library includes data types for storing permutations and
 combinations.  It implements pure and impure types, the latter of
 which can be modified in-place.  The library uses aggressive
 inlining and MutableByteArray#s internally, so it is very
 efficient.
 .
 The main utility of the library is converting between the linear
 representation of a permutation and a sequence of swaps.  This
 allows, for instance, applying a permutation or its inverse
 to an array with O(1) memory use.
 .
 Much of the interface for the library is based on the permutation
 and combination functions in the GNU Scientific Library (GSL).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-permutation-doc
Description-md5: 5d45a53b9bcebbf19e666336b6db864f
Description-en: library for permutations and combinations; documentation
 This library includes data types for storing permutations and
 combinations.  It implements pure and impure types, the latter of
 which can be modified in-place.  The library uses aggressive
 inlining and MutableByteArray#s internally, so it is very
 efficient.
 .
 The main utility of the library is converting between the linear
 representation of a permutation and a sequence of swaps.  This
 allows, for instance, applying a permutation or its inverse
 to an array with O(1) memory use.
 .
 Much of the interface for the library is based on the permutation
 and combination functions in the GNU Scientific Library (GSL).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-permutation-prof
Description-md5: 6be31fb346d5e64961d8223eb8d28eb1
Description-en: library for permutations and combinations; profiling libraries
 This library includes data types for storing permutations and
 combinations.  It implements pure and impure types, the latter of
 which can be modified in-place.  The library uses aggressive
 inlining and MutableByteArray#s internally, so it is very
 efficient.
 .
 The main utility of the library is converting between the linear
 representation of a permutation and a sequence of swaps.  This
 allows, for instance, applying a permutation or its inverse
 to an array with O(1) memory use.
 .
 Much of the interface for the library is based on the permutation
 and combination functions in the GNU Scientific Library (GSL).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistable-record-dev
Description-md5: 848e1ad3cc8373ff774b6606c4201445
Description-en: Binding between SQL database values and haskell records.
 This package contiains types to represent table constraints and
 interfaces to bind between SQL database values and Haskell records.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistable-record-doc
Description-md5: e92aaf8123d881f5e1453e6d55188db0
Description-en: Binding between SQL database values and haskell records.; documentation
 This package contiains types to represent table constraints and
 interfaces to bind between SQL database values and Haskell records.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistable-record-prof
Description-md5: 0ea22b25e270d9c4620f664f77f9f5f3
Description-en: Binding between SQL database values and haskell records.; profiling libraries
 This package contiains types to represent table constraints and
 interfaces to bind between SQL database values and Haskell records.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistable-types-hdbc-pg-dev
Description-md5: ba1e1fb66791e47ef2c413e3b231a75b
Description-en: Relational-Record instances of PostgreSQL extended types
 This package contains HDBC Convertible instances and
 Relational-Record persistable instances of PostgreSQL extended types
 Supported extended types: inet, cidr
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistable-types-hdbc-pg-doc
Description-md5: f889e8a4ee4e8a68df0cbe61c9d7ef27
Description-en: Relational-Record instances of PostgreSQL extended types; documentation
 This package contains HDBC Convertible instances and
 Relational-Record persistable instances of PostgreSQL extended types
 Supported extended types: inet, cidr
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistable-types-hdbc-pg-prof
Description-md5: 85f3e2185bacaf51d4b52106e0bb793b
Description-en: Relational-Record instances of PostgreSQL extended types; profiling libraries
 This package contains HDBC Convertible instances and
 Relational-Record persistable instances of PostgreSQL extended types
 Supported extended types: inet, cidr
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-dev
Description-md5: 5d95505e2f9ee63beb0350a212e41947
Description-en: type-safe, non-relational, multi-backend persistence
 This libraries allows Haskell applications to access a database or other data
 storage sytems in a type-safe, non-relational way.
 .
 This library provides just the general interface and helper functions.
 You must use a specific backend in order to make this useful.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-doc
Description-md5: ae3ee459b2f92bee33496d81256cee82
Description-en: type-safe, non-relational, multi-backend persistence; documentation
 This libraries allows Haskell applications to access a database or other data
 storage sytems in a type-safe, non-relational way.
 .
 This library provides just the general interface and helper functions.
 You must use a specific backend in order to make this useful.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-postgresql-dev
Description-md5: 392bee4fe6010029a3c378040455372f
Description-en: backend for the persistent library using PostgreSQL
 Based on the postgresql-simple package
 .
  Author: Felipe Lessa, Michael Snoyman <michael@snoyman.com>
  Upstream-Maintainer: Michael Snoyman <michael@snoyman.com>
 .
 This package contains the normal library files.

Package: libghc-persistent-postgresql-doc
Description-md5: bb41f17657d4cf616c4facc176d4aba9
Description-en: backend for the persistent library using PostgreSQL; documentation
 Based on the postgresql-simple package
 .
  Author: Felipe Lessa, Michael Snoyman <michael@snoyman.com>
  Upstream-Maintainer: Michael Snoyman <michael@snoyman.com>
 .
 This package contains the documentation files.

Package: libghc-persistent-postgresql-prof
Description-md5: fa465ca8e62131dfbf89311e06f86fe5
Description-en: backend for the persistent library using PostgreSQL; profiling libraries
 Based on the postgresql-simple package
 .
  Author: Felipe Lessa, Michael Snoyman <michael@snoyman.com>
  Upstream-Maintainer: Michael Snoyman <michael@snoyman.com>
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-persistent-prof
Description-md5: 1b5f6980dfb6310b1fe6af7b5107cf7e
Description-en: type-safe, non-relational, multi-backend persistence; profiling libraries
 This libraries allows Haskell applications to access a database or other data
 storage sytems in a type-safe, non-relational way.
 .
 This library provides just the general interface and helper functions.
 You must use a specific backend in order to make this useful.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-sqlite-dev
Description-md5: 859260e585f63243a60bcb1ad8b77eb7
Description-en: SQLite3 backend for the persistent library
 This library allows Haskell applications to access an SQLite database
 in a type-safe, non-relational way.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-sqlite-doc
Description-md5: 154f0708bb0e2338351623a08d8c377b
Description-en: SQLite3 backend for the persistent library; documentation
 This library allows Haskell applications to access an SQLite database
 in a type-safe, non-relational way.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-sqlite-prof
Description-md5: 08672fc1d13ac22d295dcc438db58038
Description-en: SQLite3 backend for the persistent library; profiling libraries
 This library allows Haskell applications to access an SQLite database
 in a type-safe, non-relational way.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-template-dev
Description-md5: 1a0dc341b49fc0974205df0eb37f0595
Description-en: type-safe, non-relational, multi-backend persistence
 This library provides just the general interface and helper functions.
 You must use a specific backend in order to make this useful.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-template-doc
Description-md5: 4dc3f1a3fc67a2d2d4f18b5891da23d0
Description-en: type-safe, non-relational, multi-backend persistence; documentation
 This library provides just the general interface and helper functions.
 You must use a specific backend in order to make this useful.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-persistent-template-prof
Description-md5: dba1d45904e04b1617198082ad7d0c22
Description-en: type-safe, non-relational, multi-backend persistence; profiling libraries
 This library provides just the general interface and helper functions.
 You must use a specific backend in order to make this useful.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pid1-dev
Description-md5: f3f4dfa92c942c9d7ebbe40f49e7aef1
Description-en: signal handling and orphan reaping for Unix PID1 init processes
 Library/executable for initializing signal handlers, spawning and
 child process, and reaping orphan processes. These are the
 responsibilities that must be fulfilled by the initial process in a
 Unix system, and in particular comes up when running Docker
 containers.
 .
 This library/executable will automatically detect if it is run as some
 process besides PID1 and, if so, use a straightforward exec system
 call instead.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pid1-doc
Description-md5: fdee0e2b41230863c846be5820ec9d81
Description-en: signal handling and orphan reaping for Unix PID1 init processes; documentation
 Library/executable for initializing signal handlers, spawning and
 child process, and reaping orphan processes. These are the
 responsibilities that must be fulfilled by the initial process in a
 Unix system, and in particular comes up when running Docker
 containers.
 .
 This library/executable will automatically detect if it is run as some
 process besides PID1 and, if so, use a straightforward exec system
 call instead.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pid1-prof
Description-md5: f165cc46190c4adcbb90503d118e45c6
Description-en: signal handling and orphan reaping for Unix PID1 init processes; profiling libraries
 Library/executable for initializing signal handlers, spawning and
 child process, and reaping orphan processes. These are the
 responsibilities that must be fulfilled by the initial process in a
 Unix system, and in particular comes up when running Docker
 containers.
 .
 This library/executable will automatically detect if it is run as some
 process besides PID1 and, if so, use a straightforward exec system
 call instead.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-aeson-dev
Description-md5: e7c5e9a2f8a8577bfdaeebdb3d279b47
Description-en: encode and decode JSON streams using Aeson and Pipes
 Utilities to encode and decode Pipes streams of JSON.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-aeson-doc
Description-md5: 6b2e7c27d3488b2cb05db90235ee08e4
Description-en: encode and decode JSON streams using Aeson and Pipes; documentation
 Utilities to encode and decode Pipes streams of JSON.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-aeson-prof
Description-md5: 6af7c6874ad1044c4d83a0f8cbed55df
Description-en: encode and decode JSON streams using Aeson and Pipes; profiling libraries
 Utilities to encode and decode Pipes streams of JSON.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-attoparsec-dev
Description-md5: 42d3f4a99bf8da56793bcb0be5bb22ce
Description-en: Attoparsec-Pipes integration
 Utilities to run Attoparsec parsers on Pipes input streams.
 .
 See libghc-attoparsec-dev and libghc-pipes-dev for respective descriptions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-attoparsec-doc
Description-md5: 7afdd16deea43a39f317dc054d6173af
Description-en: Attoparsec-Pipes integration; documentation
 Utilities to run Attoparsec parsers on Pipes input streams.
 .
 See libghc-attoparsec-dev and libghc-pipes-dev for respective descriptions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-attoparsec-prof
Description-md5: d6a05dabbfe76ccf5e3f163124397fc1
Description-en: Attoparsec-Pipes integration; profiling libraries
 Utilities to run Attoparsec parsers on Pipes input streams.
 .
 See libghc-attoparsec-dev and libghc-pipes-dev for respective descriptions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-bytestring-dev
Description-md5: 72f868e0d667b9073b61d532714f44e2
Description-en: ByteString support for pipes
 This library provides pipes (compositional stream processing
 pipelines) utilities for ByteStrings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-bytestring-doc
Description-md5: 3322b01eeb9f4660d38ace70d6776946
Description-en: ByteString support for pipes; documentation
 This library provides pipes (compositional stream processing
 pipelines) utilities for ByteStrings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-bytestring-prof
Description-md5: 84dfe083ef4f86d02e9e401d8cde9218
Description-en: ByteString support for pipes; profiling libraries
 This library provides pipes (compositional stream processing
 pipelines) utilities for ByteStrings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-dev
Description-md5: 8020050db6323f6e2219f72c1d641bbd
Description-en: compositional pipelines
 pipes is a clean and powerful stream processing library that lets you
 build and connect reusable streaming components
 .
 Advantages over traditional streaming libraries:
  * Concise API: Use simple commands like for, (>->), await, and yield
  * Blazing fast: Implementation tuned for speed
  * Lightweight Dependency: pipes is small and compiles very rapidly,
    including dependencies
  * Elegant semantics: Use practical category theory
  * ListT: Correct implementation of ListT that interconverts with pipes
  * Bidirectionality: Implement duplex channels
  * Extensive Documentation: Second to none!
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-doc
Description-md5: c9a23650404eb5c3d888d31996cbb75c
Description-en: compositional pipelines; documentation
 pipes is a clean and powerful stream processing library that lets you
 build and connect reusable streaming components
 .
 Advantages over traditional streaming libraries:
  * Concise API: Use simple commands like for, (>->), await, and yield
  * Blazing fast: Implementation tuned for speed
  * Lightweight Dependency: pipes is small and compiles very rapidly,
    including dependencies
  * Elegant semantics: Use practical category theory
  * ListT: Correct implementation of ListT that interconverts with pipes
  * Bidirectionality: Implement duplex channels
  * Extensive Documentation: Second to none!
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-group-dev
Description-md5: e02f9b9f67bee9a5ca2043a6e94efc84
Description-en: group streams into substreams
 pipes-group uses FreeT and lenses to group streams into sub-streams.
 Notable features include:
  * Perfect Streaming: Group elements without collecting them into memory
  * Lens Support: Use lenses to simplify many common operations
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-group-doc
Description-md5: 016788979e0fe5422634f5b6f1b86cd6
Description-en: group streams into substreams; documentation
 pipes-group uses FreeT and lenses to group streams into sub-streams.
 Notable features include:
  * Perfect Streaming: Group elements without collecting them into memory
  * Lens Support: Use lenses to simplify many common operations
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-group-prof
Description-md5: ead1affe9ec2fabc9f69e4b058408f93
Description-en: group streams into substreams; profiling libraries
 pipes-group uses FreeT and lenses to group streams into sub-streams.
 Notable features include:
  * Perfect Streaming: Group elements without collecting them into memory
  * Lens Support: Use lenses to simplify many common operations
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-parse-dev
Description-md5: 4b177d6b02a08a8e12d63cfa0ad6c9f9
Description-en: parsing infrastructure for the pipes ecosystem
 pipes-parse builds upon the pipes library to provide shared parsing
 idioms and utilities:
  * Perfect Streaming: Program in a list-like style in constant memory
  * Leftovers: Save unused input for later consumption
  * Connect and Resume: Use StateT to save unused input for later
  * Termination Safety: Detect and recover from end of input
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-parse-doc
Description-md5: 1624cfe383bee7f5bd2c91d7c77bcb10
Description-en: parsing infrastructure for the pipes ecosystem; documentation
 pipes-parse builds upon the pipes library to provide shared parsing
 idioms and utilities:
  * Perfect Streaming: Program in a list-like style in constant memory
  * Leftovers: Save unused input for later consumption
  * Connect and Resume: Use StateT to save unused input for later
  * Termination Safety: Detect and recover from end of input
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-parse-prof
Description-md5: 6e1a8bbc4ac7c573ae998cf3fa7b580d
Description-en: parsing infrastructure for the pipes ecosystem; profiling libraries
 pipes-parse builds upon the pipes library to provide shared parsing
 idioms and utilities:
  * Perfect Streaming: Program in a list-like style in constant memory
  * Leftovers: Save unused input for later consumption
  * Connect and Resume: Use StateT to save unused input for later
  * Termination Safety: Detect and recover from end of input
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-prof
Description-md5: f826a8d3a756691ddecd8b9d217c3604
Description-en: compositional pipelines; profiling libraries
 pipes is a clean and powerful stream processing library that lets you
 build and connect reusable streaming components
 .
 Advantages over traditional streaming libraries:
  * Concise API: Use simple commands like for, (>->), await, and yield
  * Blazing fast: Implementation tuned for speed
  * Lightweight Dependency: pipes is small and compiles very rapidly,
    including dependencies
  * Elegant semantics: Use practical category theory
  * ListT: Correct implementation of ListT that interconverts with pipes
  * Bidirectionality: Implement duplex channels
  * Extensive Documentation: Second to none!
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-safe-dev
Description-md5: f45ca876634b65848b8eccd55eacf23b
Description-en: safety for the pipes ecosystem
 This package adds resource management and exception handling to the
 pipes ecosystem.
 .
 Notable features include:
 .
  * Resource Safety: Guarantee finalization using `finally`, `bracket`
    and more
  * Exception Safety: Even against asynchronous exceptions!
  * Laziness: Only acquire resources when you need them
  * Promptness: Finalize resources early when you are done with them
  * Native Exception Handling: Catch and resume from exceptions
    inside pipes
  * No Buy-in: Mix resource-safe pipes with unmanaged pipes using
    `hoist`
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-safe-doc
Description-md5: 06f9a69dbe90dbacbe51079e8dbc4ac5
Description-en: safety for the pipes ecosystem; documentation
 This package adds resource management and exception handling to the
 pipes ecosystem.
 .
 Notable features include:
 .
  * Resource Safety: Guarantee finalization using `finally`, `bracket`
    and more
  * Exception Safety: Even against asynchronous exceptions!
  * Laziness: Only acquire resources when you need them
  * Promptness: Finalize resources early when you are done with them
  * Native Exception Handling: Catch and resume from exceptions
    inside pipes
  * No Buy-in: Mix resource-safe pipes with unmanaged pipes using
    `hoist`
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-safe-prof
Description-md5: b750e0b5533cd04f8e3e1e98c2d1fc8e
Description-en: safety for the pipes ecosystem; profiling libraries
 This package adds resource management and exception handling to the
 pipes ecosystem.
 .
 Notable features include:
 .
  * Resource Safety: Guarantee finalization using `finally`, `bracket`
    and more
  * Exception Safety: Even against asynchronous exceptions!
  * Laziness: Only acquire resources when you need them
  * Promptness: Finalize resources early when you are done with them
  * Native Exception Handling: Catch and resume from exceptions
    inside pipes
  * No Buy-in: Mix resource-safe pipes with unmanaged pipes using
    `hoist`
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-zlib-dev
Description-md5: 0afc7b01100ac2dd8730a78fa319f972
Description-en: Zlib compression and decompression for Pipes streams
 This module exports utility functions to compress and decompress
 pipes streams using the zlib compression codec.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-zlib-doc
Description-md5: 4dcd127d0c1397dac17e2394021d53d0
Description-en: Zlib compression and decompression for Pipes streams; documentation
 This module exports utility functions to compress and decompress
 pipes streams using the zlib compression codec.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pipes-zlib-prof
Description-md5: d8a892e11b933e8e5d34657a60e2d14f
Description-en: Zlib compression and decompression for Pipes streams; profiling libraries
 This module exports utility functions to compress and decompress
 pipes streams using the zlib compression codec.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pointed-dev
Description-md5: 54b34529be8ec9622baeeedfef6be2b4
Description-en: pointed and copointed data
 Haskell 98 pointed and copointed data types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pointed-doc
Description-md5: db013171fbfca1c4fbf39771846c24d8
Description-en: pointed and copointed data; documentation
 Haskell 98 pointed and copointed data types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pointed-prof
Description-md5: 3052a56ced3e96e55868b1f45a4b6305
Description-en: pointed and copointed data; profiling libraries
 Haskell 98 pointed and copointed data types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pointedlist-dev
Description-md5: 6d43870b3fc88971fcf660016d76c299
Description-en: zipper-like comonad which works as a list, tracking a position
 This Haskell library provides PointedList, which tracks the position in a
 non-empty list which works similarly to a zipper. A current item is always
 required, and therefore the list may never be empty. A circular PointedList
 wraps around to the other end when progressing past the actual edge.
 .
 This package contains the normal library files.

Package: libghc-pointedlist-doc
Description-md5: 493921d3fa29ced5e988e32780e7bfc3
Description-en: zipper-like comonad which works as a list, tracking a position.; documentation
 This Haskell library provides PointedList, which tracks the position in a
 non-empty list which works similarly to a zipper. A current item is always
 required, and therefore the list may never be empty. A circular PointedList
 wraps around to the other end when progressing past the actual edge.
 .
 This package contains the documentation files.

Package: libghc-pointedlist-prof
Description-md5: 1fc526d637054c1059db9b51a3bb367c
Description-en: zipper-like comonad which works as a list, tracking a position; profiling libraries
 This Haskell library provides PointedList, which tracks the position in a
 non-empty list which works similarly to a zipper. A current item is always
 required, and therefore the list may never be empty. A circular PointedList
 wraps around to the other end when progressing past the actual edge.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-polynomial-dev
Description-md5: 25b2b5cb66da063f4d13466d6b0b090c
Description-en: haskell types and functions for working with polynomials
 A haskell library providing a type for representing polynomials,
 several functions for manipulating and evaluating them, and several
 interesting polynomial sequences.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-polynomial-doc
Description-md5: 3a3c58009d9e0fbb388e861d9679b663
Description-en: haskell types and functions for working with polynomials; documentation
 A haskell library providing a type for representing polynomials,
 several functions for manipulating and evaluating them, and several
 interesting polynomial sequences.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-polynomial-prof
Description-md5: 78c76acacc4c7d871d3cab56116fc049
Description-en: haskell types and functions for working with polynomials; profiling libraries
 A haskell library providing a type for representing polynomials,
 several functions for manipulating and evaluating them, and several
 interesting polynomial sequences.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-polyparse-dev
Description-md5: fc1d3f23468a2adfc35204e220a4e2be
Description-en: alternative parser combinator libraries for Haskell
 Alternative parser combinator libraries, including the original
 HuttonMeijer set. The Poly sets have features like good error reporting,
 arbitrary token type, running state, lazy parsing, and so on. Finally,
 Text.Parse is a proposed replacement for the standard Read class, for
 better deserialisation of Haskell values from Strings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-polyparse-doc
Description-md5: d9dd9995bd74a7ca10fff4f1b9e0a423
Description-en: alternative parser combinator libraries for Haskell; documentation
 Alternative parser combinator libraries, including the original
 HuttonMeijer set. The Poly sets have features like good error reporting,
 arbitrary token type, running state, lazy parsing, and so on. Finally,
 Text.Parse is a proposed replacement for the standard Read class, for
 better deserialisation of Haskell values from Strings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-polyparse-prof
Description-md5: ea5d2a0842fd1be4355eca039e64639b
Description-en: alternative parser combinator libraries for Haskell; profiling libraries
 Alternative parser combinator libraries, including the original
 HuttonMeijer set. The Poly sets have features like good error reporting,
 arbitrary token type, running state, lazy parsing, and so on. Finally,
 Text.Parse is a proposed replacement for the standard Read class, for
 better deserialisation of Haskell values from Strings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-posix-pty-dev
Description-md5: 252e651dfe28486fb5d626b0a8c680be
Description-en: interact with pseudoterminals using subprocesses
 This Haskell library permits programs to interact with
 pseudoterminals, where those pseudoterminals are represented as
 subprocesses.  There are functions available to read and write to the
 terminals, and to resize them.
 .
 See pty(7) for more information on pseudoterminals.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-posix-pty-doc
Description-md5: b7a442271a3ae592a0c97d9c8123ee74
Description-en: interact with pseudoterminals using subprocesses; documentation
 This Haskell library permits programs to interact with
 pseudoterminals, where those pseudoterminals are represented as
 subprocesses.  There are functions available to read and write to the
 terminals, and to resize them.
 .
 See pty(7) for more information on pseudoterminals.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-posix-pty-prof
Description-md5: edec18c72a83dbdf7094e4791d68b161
Description-en: interact with pseudoterminals using subprocesses; profiling libraries
 This Haskell library permits programs to interact with
 pseudoterminals, where those pseudoterminals are represented as
 subprocesses.  There are functions available to read and write to the
 terminals, and to resize them.
 .
 See pty(7) for more information on pseudoterminals.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-postgresql-libpq-dev
Description-md5: c0a2240ed386eaf8c2b837608ca5ffdd
Description-en: low-level binding to libpq
 This is a binding to libpq: the C application programmer's interface to
 PostgreSQL. libpq is a set of library functions that allow client
 programs to pass queries to the PostgreSQL backend server and to receive
 the results of these queries.
 .
  Author: Grant Monroe, Leon P Smith, Joey Adams
  Upstream-Maintainer: Leon P Smith <leon@melding-monads.com>
 .
 This package contains the normal library files.

Package: libghc-postgresql-libpq-doc
Description-md5: 4a23442378759fcfaee27adee48590cd
Description-en: low-level binding to libpq; documentation
 This is a binding to libpq: the C application programmer's interface to
 PostgreSQL. libpq is a set of library functions that allow client
 programs to pass queries to the PostgreSQL backend server and to receive
 the results of these queries.
 .
  Author: Grant Monroe, Leon P Smith, Joey Adams
  Upstream-Maintainer: Leon P Smith <leon@melding-monads.com>
 .
 This package contains the documentation files.

Package: libghc-postgresql-libpq-prof
Description-md5: e4a89bc807bb37cecf663ff868407097
Description-en: low-level binding to libpq; profiling libraries
 This is a binding to libpq: the C application programmer's interface to
 PostgreSQL. libpq is a set of library functions that allow client
 programs to pass queries to the PostgreSQL backend server and to receive
 the results of these queries.
 .
  Author: Grant Monroe, Leon P Smith, Joey Adams
  Upstream-Maintainer: Leon P Smith <leon@melding-monads.com>
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-postgresql-simple-dev
Description-md5: 4d23c0438767a3d66a2d2f28b264dfad
Description-en: mid-level PostgreSQL client library
 Mid-level client library for the PostgreSQL database, aimed at ease of
 use and high performance.
 .
 This package contains the normal library files.

Package: libghc-postgresql-simple-doc
Description-md5: 69b2c18517db3ee6140efd16c9af6499
Description-en: mid-level PostgreSQL client library; documentation
 Mid-level client library for the PostgreSQL database, aimed at ease of
 use and high performance.
 .
 This package contains the documentation files.

Package: libghc-postgresql-simple-prof
Description-md5: 2736d87f1c46c69fe07c400bf317aab8
Description-en: mid-level PostgreSQL client library; profiling libraries
 Mid-level client library for the PostgreSQL database, aimed at ease of
 use and high performance.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-pqueue-dev
Description-md5: a17936561d9979f223a50c0eee5c53aa
Description-en: reliable, persistent, fast priority queues.
 A fast, reliable priority queue implementation based on a binomial heap.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pqueue-doc
Description-md5: d769dee954bbffeaf7aa2da710d413ba
Description-en: reliable, persistent, fast priority queues.; documentation
 A fast, reliable priority queue implementation based on a binomial heap.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pqueue-prof
Description-md5: 209ca56b2d4f8a09a9a2b8954ec3084b
Description-en: reliable, persistent, fast priority queues.; profiling libraries
 A fast, reliable priority queue implementation based on a binomial heap.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prelude-extras-dev
Description-md5: adc6a938da4fdea1ccc3279024b7a26e
Description-en: higher-order versions of Prelude classes
 Haskell 98 - higher order versions of Prelude classes to ease
 programming with polymorphic recursion and reduce
 UndecidableInstances
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prelude-extras-doc
Description-md5: 1612a1b19e40104504d5c1958a3f8609
Description-en: higher-order versions of Prelude classes; documentation
 Haskell 98 - higher order versions of Prelude classes to ease
 programming with polymorphic recursion and reduce
 UndecidableInstances
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prelude-extras-prof
Description-md5: 7fb0bde7e8e6d8a44ef9ffa6c7203762
Description-en: higher-order versions of Prelude classes; profiling libraries
 Haskell 98 - higher order versions of Prelude classes to ease
 programming with polymorphic recursion and reduce
 UndecidableInstances
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-presburger-dev
Description-md5: d2cf82876a32b1e247dedf100b045d3f
Description-en: decision procedure for quantifier-free linear arithmetic
 The decision procedure is based on the algorithm used in
 CVC4, which is itself based on the Omega test.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-presburger-doc
Description-md5: e434a1e32313ca6c5e662d5b6484a49c
Description-en: decision procedure for quantifier-free linear arithmetic; documentation
 The decision procedure is based on the algorithm used in
 CVC4, which is itself based on the Omega test.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-presburger-prof
Description-md5: 9851b74ea58875bc6ffa4c1b1c16fd50
Description-en: decision procedure for quantifier-free linear arithmetic; profiling libraries
 The decision procedure is based on the algorithm used in
 CVC4, which is itself based on the Omega test.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pretty-show-dev
Description-md5: ed22b736508256f38bd507839b8dcda5
Description-en: A Haskell library for pretty printing data structures
 A library that can parse derived Show instances into a generic data structure
 which can then be pretty printed. For complex data structures, the pretty
 printed version is significantly more readable that the standard Show output.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pretty-show-doc
Description-md5: ba096d05805aca165db21e8b9271b4e2
Description-en: Documentation for Haskell pretty printing library; documentation
 A library that can parse derived Show instances into a generic data structure
 which can then be pretty printed. For complex data structures, the pretty
 printed version is significantly more readable that the standard Show output.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pretty-show-prof
Description-md5: 3b1ca2472c6ada19232a69e14c19f74b
Description-en: Profiling libraries for pretty printing Haskell data structures; profiling libraries
 A library that can parse derived Show instances into a generic data structure
 which can then be pretty printed. For complex data structures, the pretty
 printed version is significantly more readable that the standard Show output.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pretty-simple-dev
Description-md5: 17dca24e7d63aa5d30dc73991e8bb8fc
Description-en: pretty printer for data types with a 'Show' instance
 Features:
  * Easy-to-read
   - Complex data types are simple to understand.
  * Color
   - Prints in color using ANSI escape codes.
   - It is possible to print without color by using the pPrintNoColor
     function
  * Rainbow Parentheses
   - Easy to understand deeply nested data types
  * Configurable Indentation
   - Amount of indentation is configurable with the pPrintOpt function
  * Fast
   - No problem pretty-printing data types thousands of lines long
  * Works with any data type with a Show instance
   - Some common Haskell data types have a Show instance that produces
     non-valid Haskell code. pretty-simple will pretty-print even these
     data types
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pretty-simple-doc
Description-md5: 1589723361633145cd47329af4887b71
Description-en: pretty printer for data types with a 'Show' instance; documentation
 Features:
  * Easy-to-read
   - Complex data types are simple to understand.
  * Color
   - Prints in color using ANSI escape codes.
   - It is possible to print without color by using the pPrintNoColor
     function
  * Rainbow Parentheses
   - Easy to understand deeply nested data types
  * Configurable Indentation
   - Amount of indentation is configurable with the pPrintOpt function
  * Fast
   - No problem pretty-printing data types thousands of lines long
  * Works with any data type with a Show instance
   - Some common Haskell data types have a Show instance that produces
     non-valid Haskell code. pretty-simple will pretty-print even these
     data types
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pretty-simple-prof
Description-md5: b87c2d7de126e013699de1e4adc5cc04
Description-en: pretty printer for data types with a 'Show' instance; profiling libraries
 Features:
  * Easy-to-read
   - Complex data types are simple to understand.
  * Color
   - Prints in color using ANSI escape codes.
   - It is possible to print without color by using the pPrintNoColor
     function
  * Rainbow Parentheses
   - Easy to understand deeply nested data types
  * Configurable Indentation
   - Amount of indentation is configurable with the pPrintOpt function
  * Fast
   - No problem pretty-printing data types thousands of lines long
  * Works with any data type with a Show instance
   - Some common Haskell data types have a Show instance that produces
     non-valid Haskell code. pretty-simple will pretty-print even these
     data types
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyclass-dev
Description-md5: 2b2adcf399e9d8b7cb3a45543e8d8b5f
Description-en: Pretty printing class similar to Show.
 Pretty printing class similar to Show, based on the HughesPJ
 pretty printing library.  Provides the pretty printing class
 and instances for the Prelude types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyclass-doc
Description-md5: c0e19081f0fedef5da1f876afe15c011
Description-en: Pretty printing class similar to Show.; documentation
 Pretty printing class similar to Show, based on the HughesPJ
 pretty printing library.  Provides the pretty printing class
 and instances for the Prelude types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyclass-prof
Description-md5: db21190d3bac43868d2ede9ae141fc83
Description-en: Pretty printing class similar to Show.; profiling libraries
 Pretty printing class similar to Show, based on the HughesPJ
 pretty printing library.  Provides the pretty printing class
 and instances for the Prelude types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-ansi-terminal-dev
Description-md5: f6c3f945d2d0ba93997942b5849b6573
Description-en: ANSI terminal backend for prettyprinter
 This package defines a renderer for documents generated by the
 prettyprinter package, suitable for displaying them on ANSI-compatible
 terminals, including colors, boldening, underlining and italication.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-ansi-terminal-doc
Description-md5: 28a16a88185cce4c674bb31ce3fe9cc0
Description-en: ANSI terminal backend for prettyprinter; documentation
 This package defines a renderer for documents generated by the
 prettyprinter package, suitable for displaying them on ANSI-compatible
 terminals, including colors, boldening, underlining and italication.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-ansi-terminal-prof
Description-md5: d7462aca353f5fc746672f6314c44b86
Description-en: ANSI terminal backend for prettyprinter; profiling libraries
 This package defines a renderer for documents generated by the
 prettyprinter package, suitable for displaying them on ANSI-compatible
 terminals, including colors, boldening, underlining and italication.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-convert-ansi-wl-pprint-dev
Description-md5: 7713fa0ef2a459f488104cecfed41f88
Description-en: convert documents between ansi-wl-pprint and prettyprinter
 This package defines a converter from the old ansi-wl-pprint document
 type to the new prettyprinter one. Its purpose is making packages
 that only generate ansi-wl-pprint data available to the prettyprinter
 ecosystem.
 .
 Note the difference to the prettyprinter-compat-ansi-wl-pprint
 package, which does not convert any data, and instead provides an API
 that mimics ansi-wl-pprint, while secretly being prettyprinter-based
 behind the curtains. This package on the other hand does a proper
 conversion.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-convert-ansi-wl-pprint-doc
Description-md5: 596b715db262464101d79dbeaf01c0b3
Description-en: convert documents between ansi-wl-pprint and prettyprinter; documentation
 This package defines a converter from the old ansi-wl-pprint document
 type to the new prettyprinter one. Its purpose is making packages
 that only generate ansi-wl-pprint data available to the prettyprinter
 ecosystem.
 .
 Note the difference to the prettyprinter-compat-ansi-wl-pprint
 package, which does not convert any data, and instead provides an API
 that mimics ansi-wl-pprint, while secretly being prettyprinter-based
 behind the curtains. This package on the other hand does a proper
 conversion.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-convert-ansi-wl-pprint-prof
Description-md5: ea00939f0bf2d7fad214558aac81f07c
Description-en: convert documents between ansi-wl-pprint and prettyprinter; profiling libraries
 This package defines a converter from the old ansi-wl-pprint document
 type to the new prettyprinter one. Its purpose is making packages
 that only generate ansi-wl-pprint data available to the prettyprinter
 ecosystem.
 .
 Note the difference to the prettyprinter-compat-ansi-wl-pprint
 package, which does not convert any data, and instead provides an API
 that mimics ansi-wl-pprint, while secretly being prettyprinter-based
 behind the curtains. This package on the other hand does a proper
 conversion.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-dev
Description-md5: 5c26d5d380695f8401634a7d78c3d545
Description-en: modern, easy-to-use, extensible prettyprinter
 Haskell, more specifically Hackage, has a zoo of Wadler/Leijen based
 prettyprinters already. Each of them addresses a different concern
 with the classic wl-pprint package. This package solves all these
 issues, and then some:
  * Text instead of String
  * No name clashes
  * Annotation support
  * Extensible backends
  * Performance
  * Open implementation
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-doc
Description-md5: fd63bbee5e5221db32f143775b704193
Description-en: modern, easy-to-use, extensible prettyprinter; documentation
 Haskell, more specifically Hackage, has a zoo of Wadler/Leijen based
 prettyprinters already. Each of them addresses a different concern
 with the classic wl-pprint package. This package solves all these
 issues, and then some:
  * Text instead of String
  * No name clashes
  * Annotation support
  * Extensible backends
  * Performance
  * Open implementation
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prettyprinter-prof
Description-md5: b9192916baaf6e7192ac66d079e6803c
Description-en: modern, easy-to-use, extensible prettyprinter; profiling libraries
 Haskell, more specifically Hackage, has a zoo of Wadler/Leijen based
 prettyprinters already. Each of them addresses a different concern
 with the classic wl-pprint package. This package solves all these
 issues, and then some:
  * Text instead of String
  * No name clashes
  * Annotation support
  * Extensible backends
  * Performance
  * Open implementation
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prim-uniq-dev
Description-md5: f3dae198172a601877934224e6434f74
Description-en: opaque unique identifiers in primitive state monads
 Opaque unique identifiers in primitive state monads
 and a GADT-like type using them as witnesses of type
 equality.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prim-uniq-doc
Description-md5: dc86af04817e0ac849e71cbe2d3bfd7b
Description-en: opaque unique identifiers in primitive state monads; documentation
 Opaque unique identifiers in primitive state monads
 and a GADT-like type using them as witnesses of type
 equality.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-prim-uniq-prof
Description-md5: 1d8d52eda803c1afc6d90691e3334c7e
Description-en: opaque unique identifiers in primitive state monads; profiling libraries
 Opaque unique identifiers in primitive state monads
 and a GADT-like type using them as witnesses of type
 equality.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-primes-dev
Description-md5: 5476cf62681466a035779d72eff28ae6
Description-en: efficient, purely functional generation of prime numbers
 This Haskell library provides an efficient lazy wheel sieve for prime
 generation inspired by Lazy wheel sieves and spirals of primes by Colin
 Runciman and The Genuine Sieve of Eratosthenes by Melissa O'Neil.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-primes-doc
Description-md5: 674aac96f8153128839b4b4b422624e8
Description-en: efficient, purely functional generation of prime numbers; documentation
 This Haskell library provides an efficient lazy wheel sieve for prime
 generation inspired by Lazy wheel sieves and spirals of primes by Colin
 Runciman and The Genuine Sieve of Eratosthenes by Melissa O'Neil.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-primes-prof
Description-md5: d999a607a0891ca2db0546097f548e54
Description-en: efficient, purely functional generation of prime numbers; profiling libraries
 This Haskell library provides an efficient lazy wheel sieve for prime
 generation inspired by Lazy wheel sieves and spirals of primes by Colin
 Runciman and The Genuine Sieve of Eratosthenes by Melissa O'Neil.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-primitive-dev
Description-md5: ac45cfb04b3f1a94a6d91e88b0ac5168
Description-en: Wrappers for primitive operations
 This package contains Haskell bindings for the functions and data structures
 defined in the primitive C library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-primitive-doc
Description-md5: 9ab2e876c359723baa632b9f964615c6
Description-en: Wrappers for primitive operations; documentation
 This package provides wrappers for primitive array operations from GHC.Prim.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-primitive-prof
Description-md5: 8c0268a81a4c430a31a6c66fed999351
Description-en: Wrappers for primitive operations; profiling libraries
 This package provides wrappers for primitive array operations from GHC.Prim.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-process-extras-dev
Description-md5: b08be414d2c9e7694003c3b1e6312a2a
Description-en: Extra functionality for the Process library
 This libraries provides variants of the System.Process module, based on lazy
 and strict ByteStrings resp. lazy and strict Text.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-process-extras-doc
Description-md5: 15791d28e31e58d998d735dfe6f2c43f
Description-en: Extra functionality for the Process library; documentation
 This libraries provides variants of the System.Process module, based on lazy
 and strict ByteStrings resp. lazy and strict Text.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-process-extras-prof
Description-md5: adcb1a9cb7685b6c7519a8b8b1431e34
Description-en: Extra functionality for the Process library; profiling libraries
 This libraries provides variants of the System.Process module, based on lazy
 and strict ByteStrings resp. lazy and strict Text.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-product-isomorphic-dev
Description-md5: c213359ebdce8f4913d6649cfe932ce2
Description-en: weaken applicative functor on products
 Weaken applicative functor which allows only product
 construction. Product constructions and deconstructions
 are always isomorphic.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-product-isomorphic-doc
Description-md5: ccc8b84f924ea3c4b7e986708b00a340
Description-en: weaken applicative functor on products; documentation
 Weaken applicative functor which allows only product
 construction. Product constructions and deconstructions
 are always isomorphic.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-product-isomorphic-prof
Description-md5: e05de2efe8ecd3d0f0a686c523f22434
Description-en: weaken applicative functor on products; profiling libraries
 Weaken applicative functor which allows only product
 construction. Product constructions and deconstructions
 are always isomorphic.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-profunctors-dev
Description-md5: b9a5305bde3fbb1f7e0695f556550a85
Description-en: Haskell 98 Profunctors
 Profunctors are a generalization of relations and also of bimodules.
 They are related to the notion of correspondences.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-profunctors-doc
Description-md5: eb50cd36e2e98aa85115c4f81713d93c
Description-en: Haskell 98 Profunctors; documentation
 Profunctors are a generalization of relations and also of bimodules.
 They are related to the notion of correspondences.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-profunctors-prof
Description-md5: a396048aa1f7fc61318f8c7c7ab28172
Description-en: Haskell 98 Profunctors; profiling libraries
 Profunctors are a generalization of relations and also of bimodules.
 They are related to the notion of correspondences.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-project-template-dev
Description-md5: 76b93b9a0be347013b96e618c793843c
Description-en: specify Haskell project templates and generate files
 Framework for project templates/scaffolding.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-project-template-doc
Description-md5: 38123b29ccffae85f5f106054a9de750
Description-en: specify Haskell project templates and generate files; documentation
 Framework for project templates/scaffolding.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-project-template-prof
Description-md5: 4a70188b6db44b18b9f1a2250dd5438f
Description-en: specify Haskell project templates and generate files; profiling libraries
 Framework for project templates/scaffolding.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-propellor-dev
Description-md5: 514698f178565f9c61a1b3769d9ece51
Description-en: property-based host configuration management in haskell
 Propellor ensures that the system it's run in satisfies a list of
 properties, taking action as necessary when a property is not yet met.
 .
 It is configured using haskell.
 .
 The easiest way to get started with propellor is to install the binary package
 `propellor' and run `propellor --init'.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-propellor-doc
Description-md5: 0236a3196b8a01d140d353a5138e2db9
Description-en: property-based host configuration management in haskell; documentation
 Propellor ensures that the system it's run in satisfies a list of
 properties, taking action as necessary when a property is not yet met.
 .
 It is configured using haskell.
 .
 The easiest way to get started with propellor is to install the binary package
 `propellor' and run `propellor --init'.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-propellor-prof
Description-md5: ca67ec81e90a01c783ad7a3e40616e02
Description-en: property-based host configuration management in haskell; profiling libraries
 Propellor ensures that the system it's run in satisfies a list of
 properties, taking action as necessary when a property is not yet met.
 .
 It is configured using haskell.
 .
 The easiest way to get started with propellor is to install the binary package
 `propellor' and run `propellor --init'.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-protobuf-dev
Description-md5: 5d3db01e1c02e2c415338aa09c4bfe5e
Description-en: Google Protocol Buffers for Haskell
 Protocol Buffers are a way of encoding structured data in an
 efficient yet extensible format.  This is an implementation of a
 subset of Google Protocol Buffers for Haskell.
 .
 Record specifications are built by defining records with specially
 defined fields that capture most of the Protocol Buffers
 specification language.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-protobuf-doc
Description-md5: 586004ab648d6d1ba07490039daa7012
Description-en: Google Protocol Buffers for Haskell; documentation
 Protocol Buffers are a way of encoding structured data in an
 efficient yet extensible format.  This is an implementation of a
 subset of Google Protocol Buffers for Haskell.
 .
 Record specifications are built by defining records with specially
 defined fields that capture most of the Protocol Buffers
 specification language.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-protobuf-prof
Description-md5: 40641c3d44adfccc977f3a4822909cb0
Description-en: Google Protocol Buffers for Haskell; profiling libraries
 Protocol Buffers are a way of encoding structured data in an
 efficient yet extensible format.  This is an implementation of a
 subset of Google Protocol Buffers for Haskell.
 .
 Record specifications are built by defining records with specially
 defined fields that capture most of the Protocol Buffers
 specification language.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-psqueue-dev
Description-md5: c1c5e2364f2e7887e6e9c659e36fa6b4
Description-en: Priority Search Queue
 A /priority search queue/ efficiently supports the
 opperations of both a search tree and a priority queue. A
 'Binding' is a product of a key and a priority.  Bindings
 can be inserted, deleted, modified and queried in
 logarithmic time, and the binding with the least priority
 can be retrieved in constant time.  A queue can be built
 from a list of bindings, sorted by keys, in linear time.
 .
 This package contains the normal library files.

Package: libghc-psqueue-doc
Description-md5: a8f5b1c07c0222236f02a1b0a396ed78
Description-en: Priority Search Queue; documentation
 A /priority search queue/ efficiently supports the
 opperations of both a search tree and a priority queue. A
 'Binding' is a product of a key and a priority.  Bindings
 can be inserted, deleted, modified and queried in
 logarithmic time, and the binding with the least priority
 can be retrieved in constant time.  A queue can be built
 from a list of bindings, sorted by keys, in linear time.
 .
 This package contains the documentation files.

Package: libghc-psqueue-prof
Description-md5: 25d521ee6f69144c34d63f4b6bee7658
Description-en: Priority Search Queue; profiling libraries
 A /priority search queue/ efficiently supports the
 opperations of both a search tree and a priority queue. A
 'Binding' is a product of a key and a priority.  Bindings
 can be inserted, deleted, modified and queried in
 logarithmic time, and the binding with the least priority
 can be retrieved in constant time.  A queue can be built
 from a list of bindings, sorted by keys, in linear time.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-psqueues-dev
Description-md5: 5e502d3d58398c849220d5279050be99
Description-en: Pure priority search queues
 This package provides Priority Search Queues in three different flavors.
 .
 * OrdPSQ k p v, which uses the Ord k instance to provide fast insertion,
 deletion and lookup. This implementation is based on Ralf Hinze's "A Simple
 Implementation Technique for Priority Search Queues".  Hence, it is similar to
 the PSQueue library, although it is considerably faster and provides a
 slightly different API.
 .
 * IntPSQ p v is a far more efficient implementation. It fixes the key type
 to Int and uses a radix tree (like IntMap) with an additional min-heap
 property.
 .
 * HashPSQ k p v is a fairly straightforward extension of IntPSQ: it
 simply uses the keys' hashes as indices in the IntPSQ. If there are any hash
 collisions, it uses an OrdPSQ to resolve those. The performance of this
 implementation is comparable to that of IntPSQ, but it is more widely
 applicable since the keys are not restricted to Int, but rather to any
 Hashable datatype.
 .
 Each of the three implementations provides the same API, so they can be used
 interchangeably.
 .
 Typical applications of Priority Search Queues include:
 .
 * Caches, and more specifically LRU Caches;
 .
 * Schedulers;
 .
 * Pathfinding algorithms, such as Dijkstra's and A*.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-psqueues-doc
Description-md5: 4b01bcba4a003fce5cc40f1ee117b50f
Description-en: Pure priority search queues; documentation
 This package provides Priority Search Queues in three different flavors.
 .
 * OrdPSQ k p v, which uses the Ord k instance to provide fast insertion,
 deletion and lookup. This implementation is based on Ralf Hinze's "A Simple
 Implementation Technique for Priority Search Queues".  Hence, it is similar to
 the PSQueue library, although it is considerably faster and provides a
 slightly different API.
 .
 * IntPSQ p v is a far more efficient implementation. It fixes the key type
 to Int and uses a radix tree (like IntMap) with an additional min-heap
 property.
 .
 * HashPSQ k p v is a fairly straightforward extension of IntPSQ: it
 simply uses the keys' hashes as indices in the IntPSQ. If there are any hash
 collisions, it uses an OrdPSQ to resolve those. The performance of this
 implementation is comparable to that of IntPSQ, but it is more widely
 applicable since the keys are not restricted to Int, but rather to any
 Hashable datatype.
 .
 Each of the three implementations provides the same API, so they can be used
 interchangeably.
 .
 Typical applications of Priority Search Queues include:
 .
 * Caches, and more specifically LRU Caches;
 .
 * Schedulers;
 .
 * Pathfinding algorithms, such as Dijkstra's and A*.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-psqueues-prof
Description-md5: 026258223cfeddd62b2ec9c69a99797a
Description-en: Pure priority search queues; profiling libraries
 This package provides Priority Search Queues in three different flavors.
 .
 * OrdPSQ k p v, which uses the Ord k instance to provide fast insertion,
 deletion and lookup. This implementation is based on Ralf Hinze's "A Simple
 Implementation Technique for Priority Search Queues".  Hence, it is similar to
 the PSQueue library, although it is considerably faster and provides a
 slightly different API.
 .
 * IntPSQ p v is a far more efficient implementation. It fixes the key type
 to Int and uses a radix tree (like IntMap) with an additional min-heap
 property.
 .
 * HashPSQ k p v is a fairly straightforward extension of IntPSQ: it
 simply uses the keys' hashes as indices in the IntPSQ. If there are any hash
 collisions, it uses an OrdPSQ to resolve those. The performance of this
 implementation is comparable to that of IntPSQ, but it is more widely
 applicable since the keys are not restricted to Int, but rather to any
 Hashable datatype.
 .
 Each of the three implementations provides the same API, so they can be used
 interchangeably.
 .
 Typical applications of Priority Search Queues include:
 .
 * Caches, and more specifically LRU Caches;
 .
 * Schedulers;
 .
 * Pathfinding algorithms, such as Dijkstra's and A*.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-publicsuffixlist-dev
Description-md5: 42f25c3dab9316c27cc95af1272ba1a3
Description-en: routines for testing whether something is a "public suffix"
 publicsuffix answers the question "Is a given string a domain suffix?".
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-publicsuffixlist-doc
Description-md5: 0b3d883340ca21a448878499d472361a
Description-en: routines for testing whether something is a "public suffix"; documentation
 publicsuffix answers the question "Is a given string a domain suffix?".
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-publicsuffixlist-prof
Description-md5: 9824ad6fc356e97144abeeb9db22144c
Description-en: routines for testing whether something is a "public suffix"; profiling libraries
 publicsuffix answers the question "Is a given string a domain suffix?".
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-punycode-dev
Description-md5: 80cb32c72e4f48b28388d7df5f4181c2
Description-en: Encode unicode strings to ascii forms according to RFC 3492
 Encode unicode strings to ascii forms according to RFC 3492. It is written in
 pure Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-punycode-doc
Description-md5: dbf2630b40ddfc5b8f5aed040ac7f334
Description-en: Encode unicode strings to ascii forms according to RFC 3492; documentation
 Encode unicode strings to ascii forms according to RFC 3492. It is written in
 pure Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-punycode-prof
Description-md5: 3335641e622b4c1184c87b9c55f7d1d4
Description-en: Encode unicode strings to ascii forms according to RFC 3492; profiling libraries
 Encode unicode strings to ascii forms according to RFC 3492. It is written in
 pure Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-puremd5-dev
Description-md5: ed621a8e58e049a77b3358135f64584e
Description-en: implementation of the MD5 digest (hash) algorithm
 It is a Haskell-only implementation of the MD5 digest (hash) algorithm.
 This now supports the crypto-api class interface.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-puremd5-doc
Description-md5: da99ea91d433ab545ef9d92b605f41f2
Description-en: implementation of the MD5 digest (hash) algorithm; documentation
 It is a Haskell-only implementation of the MD5 digest (hash) algorithm.
 This now supports the crypto-api class interface.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-puremd5-prof
Description-md5: 8afc43a6e09b1b3849c288a8841588f3
Description-en: implementation of the MD5 digest (hash) algorithm; profiling libraries
 It is a Haskell-only implementation of the MD5 digest (hash) algorithm.
 This now supports the crypto-api class interface.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pwstore-fast-dev
Description-md5: 681f60014f2e2299c8c29fc89abced57
Description-en: secure password storage
 To store passwords securely, they should be salted, then hashed with a
 slow hash function. This library uses PBKDF1-SHA256, and handles all
 the details. It uses the cryptohash package for speed; if you need a
 pure Haskell library, pwstore-purehaskell has the exact same API, but
 uses only pure Haskell. It is about 25 times slower than this package,
 but still quite usable.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pwstore-fast-doc
Description-md5: d64fabdfd8bb85f01cd1c69648f4f9c2
Description-en: secure password storage; documentation
 To store passwords securely, they should be salted, then hashed with a
 slow hash function. This library uses PBKDF1-SHA256, and handles all
 the details. It uses the cryptohash package for speed; if you need a
 pure Haskell library, pwstore-purehaskell has the exact same API, but
 uses only pure Haskell. It is about 25 times slower than this package,
 but still quite usable.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-pwstore-fast-prof
Description-md5: f5f8dc11978deee16a1d595dfdc6765d
Description-en: secure password storage; profiling libraries
 To store passwords securely, they should be salted, then hashed with a
 slow hash function. This library uses PBKDF1-SHA256, and handles all
 the details. It uses the cryptohash package for speed; if you need a
 pure Haskell library, pwstore-purehaskell has the exact same API, but
 uses only pure Haskell. It is about 25 times slower than this package,
 but still quite usable.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-qrencode-dev
Description-md5: d11c02b25bb4065cba003f1766e5cad2
Description-en: Haskell bindings for libqrencode
 Libqrencode is a C library for encoding data in a QR Code symbol, a kind
 of 2D symbology that can be scanned by handy terminals such as a mobile
 phone with CCD. The capacity of QR Code is up to 7000 digits or 4000
 characters, and is highly robust.
 .
 This package provides Haskell bindings for libqrencode.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-qrencode-doc
Description-md5: c4ebfac306da695db57f1a831d7e05f5
Description-en: Haskell bindings for libqrencode; documentation
 Libqrencode is a C library for encoding data in a QR Code symbol, a kind
 of 2D symbology that can be scanned by handy terminals such as a mobile
 phone with CCD. The capacity of QR Code is up to 7000 digits or 4000
 characters, and is highly robust.
 .
 This package provides Haskell bindings for libqrencode.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-qrencode-prof
Description-md5: 75916bec104c1d8b7c253c15bbe47b43
Description-en: Haskell bindings for libqrencode; profiling libraries
 Libqrencode is a C library for encoding data in a QR Code symbol, a kind
 of 2D symbology that can be scanned by handy terminals such as a mobile
 phone with CCD. The capacity of QR Code is up to 7000 digits or 4000
 characters, and is highly robust.
 .
 This package provides Haskell bindings for libqrencode.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-instances-dev
Description-md5: 88d38fed06319fdb0359bddfadedf883
Description-en: common QuickCheck instances
 QuickCheck instances.
 .
 The goal is to supply QuickCheck instances for
 types provided by the Haskell Platform.
 .
 For information on writing a test-suite with Cabal
 see <http://www.haskell.org/cabal/users-guide/#test-suites>
 .
  Author: Antoine Latter
  Upstream-Maintainer: aslatter@gmail.com
 .
 This package contains the normal library files.

Package: libghc-quickcheck-instances-doc
Description-md5: 6f865145b3d371791351404939a19181
Description-en: common QuickCheck instances; documentation
 QuickCheck instances.
 .
 The goal is to supply QuickCheck instances for
 types provided by the Haskell Platform.
 .
 For information on writing a test-suite with Cabal
 see <http://www.haskell.org/cabal/users-guide/#test-suites>
 .
  Author: Antoine Latter
  Upstream-Maintainer: aslatter@gmail.com
 .
 This package contains the documentation files.

Package: libghc-quickcheck-instances-prof
Description-md5: ac858f351162860bf1924a57c4b8706b
Description-en: common QuickCheck instances; profiling libraries
 QuickCheck instances.
 .
 The goal is to supply QuickCheck instances for
 types provided by the Haskell Platform.
 .
 For information on writing a test-suite with Cabal
 see <http://www.haskell.org/cabal/users-guide/#test-suites>
 .
  Author: Antoine Latter
  Upstream-Maintainer: aslatter@gmail.com
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-quickcheck-io-dev
Description-md5: c77a94382ab7a241cdca8ad20c4af2a8
Description-en: use HUnit assertions as QuickCheck properties
 This package provides an orphan instance that allows you to use HUnit
 assertions as QuickCheck properties.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-io-doc
Description-md5: 2a363dc4f89c43502b2179ce25d42e1e
Description-en: use HUnit assertions as QuickCheck properties; documentation
 This package provides an orphan instance that allows you to use HUnit
 assertions as QuickCheck properties.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-io-prof
Description-md5: d2bbd70e665658f8a8fac00cf6112e41
Description-en: use HUnit assertions as QuickCheck properties; profiling libraries
 This package provides an orphan instance that allows you to use HUnit
 assertions as QuickCheck properties.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-safe-dev
Description-md5: 0691e6a922bb92a4a6037301f26e4869
Description-en: Safe reimplementation of QuickCheck's core
 QuickCheck-safe reimplements the quickCheck functionality with a pure
 interface and a very small trusted base (see Test.QuickCheck.Safe.Trusted).
 .
 * uses the existing Arbitrary instances
 * implemented features: testing, result minimization (i.e., shrinking)
 * missing features: expected failures, label frequencies, coverage
 .
 The package is targeted at users who want to leverage SafeHaskell for
 sandboxing.
 .
   >>> putStr $ quickCheck (inventQCGen ()) (\x -> length (x :: [()]) < 10)
   *** Failed! Falsifiable (after 18 tests and 3 shrinks):
   [(),(),(),(),(),(),(),(),(),(),(),(),(),(),()]
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-safe-doc
Description-md5: 9b9fc2c290418b06aa4d08072959b339
Description-en: Safe reimplementation of QuickCheck's core; documentation
 QuickCheck-safe reimplements the quickCheck functionality with a pure
 interface and a very small trusted base (see Test.QuickCheck.Safe.Trusted).
 .
 * uses the existing Arbitrary instances
 * implemented features: testing, result minimization (i.e., shrinking)
 * missing features: expected failures, label frequencies, coverage
 .
 The package is targeted at users who want to leverage SafeHaskell for
 sandboxing.
 .
   >>> putStr $ quickCheck (inventQCGen ()) (\x -> length (x :: [()]) < 10)
   *** Failed! Falsifiable (after 18 tests and 3 shrinks):
   [(),(),(),(),(),(),(),(),(),(),(),(),(),(),()]
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-safe-prof
Description-md5: ff6782bc69541389a0506e3787db7479
Description-en: Safe reimplementation of QuickCheck's core; profiling libraries
 QuickCheck-safe reimplements the quickCheck functionality with a pure
 interface and a very small trusted base (see Test.QuickCheck.Safe.Trusted).
 .
 * uses the existing Arbitrary instances
 * implemented features: testing, result minimization (i.e., shrinking)
 * missing features: expected failures, label frequencies, coverage
 .
 The package is targeted at users who want to leverage SafeHaskell for
 sandboxing.
 .
   >>> putStr $ quickCheck (inventQCGen ()) (\x -> length (x :: [()]) < 10)
   *** Failed! Falsifiable (after 18 tests and 3 shrinks):
   [(),(),(),(),(),(),(),(),(),(),(),(),(),(),()]
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-simple-dev
Description-md5: 23ec73f2d48724f10de50735ed7e8565
Description-en: Test properties and default-mains for QuickCheck
 This package contains definitions of test properties and default-mains
 using QuickCheck library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-simple-doc
Description-md5: 58430feb5a5d59829e6568e4531223be
Description-en: Test properties and default-mains for QuickCheck; documentation
 This package contains definitions of test properties and default-mains
 using QuickCheck library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-simple-prof
Description-md5: d11bc7e65f4a19a364dd5f4d53567482
Description-en: Test properties and default-mains for QuickCheck; profiling libraries
 This package contains definitions of test properties and default-mains
 using QuickCheck library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-text-dev
Description-md5: 1998683ccd49d4449711055addcc6bb6
Description-en: alternative arbitrary instance for Text
 The usual Arbitrary instance for Text (in quickcheck-instances) only
 has single-byte instances and so isn't an ideal representation of a
 valid UTF-8 character. This package has generators for one-, two- and
 three-byte UTF-8 characters (all that are currently in use).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-text-doc
Description-md5: efb73a3008338583b5771c31ee1ae820
Description-en: alternative arbitrary instance for Text; documentation
 The usual Arbitrary instance for Text (in quickcheck-instances) only
 has single-byte instances and so isn't an ideal representation of a
 valid UTF-8 character. This package has generators for one-, two- and
 three-byte UTF-8 characters (all that are currently in use).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-text-prof
Description-md5: 0c565e70258adc41975d051455b32634
Description-en: alternative arbitrary instance for Text; profiling libraries
 The usual Arbitrary instance for Text (in quickcheck-instances) only
 has single-byte instances and so isn't an ideal representation of a
 valid UTF-8 character. This package has generators for one-, two- and
 three-byte UTF-8 characters (all that are currently in use).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-unicode-dev
Description-md5: 9643290bae5d7409ec68b7e4f2bf62e9
Description-en: Generator and shrink functions for testing Unicode-related software.
 The default Arbitrary instance for the Char type intentionally generates only
 ASCII values. This can lead to a false sense of security in cases where
 Unicode compliance is required, as encodings that span multiple bytes or code
 units will simply not be exercised at all.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-unicode-doc
Description-md5: ff8371caafc678ba16d408799c5313c1
Description-en: Generator and shrink functions for testing Unicode-related software.; documentation
 The default Arbitrary instance for the Char type intentionally generates only
 ASCII values. This can lead to a false sense of security in cases where
 Unicode compliance is required, as encodings that span multiple bytes or code
 units will simply not be exercised at all.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck-unicode-prof
Description-md5: 353256dfc69583ca4f6e556804986b4d
Description-en: Generator and shrink functions for testing Unicode-related software.; profiling libraries
 The default Arbitrary instance for the Char type intentionally generates only
 ASCII values. This can lead to a false sense of security in cases where
 Unicode compliance is required, as encodings that span multiple bytes or code
 units will simply not be exercised at all.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-quickcheck2-dev
Description-md5: fdc8a1a14b6a1fb648d28d110264b87f
Description-en: Haskell automatic testing library for GHC
 This package provides a library for the Haskell programming language.
 .
 QuickCheck is a library for testing Haskell programs automatically.
 The programmer provides a specification of the program, in
 the form of properties which functions should satisfy, and
 QuickCheck then tests that the properties hold in a large number
 of randomly generated cases.  Specifications are expressed in
 Haskell, using combinators defined in the QuickCheck library.
 QuickCheck provides combinators to define properties, observe
 the distribution of test data, and define test data generators.

Package: libghc-quickcheck2-doc
Description-md5: e8ce978b93ab8a9a472d4e6d339b211d
Description-en: Haskell automatic testing library for GHC; documentation
 This package provides the documentation for a library for the Haskell
 programming language.
 .
 QuickCheck is a library for testing Haskell programs automatically.
 The programmer provides a specification of the program, in
 the form of properties which functions should satisfy, and
 QuickCheck then tests that the properties hold in a large number
 of randomly generated cases.  Specifications are expressed in
 Haskell, using combinators defined in the QuickCheck library.
 QuickCheck provides combinators to define properties, observe
 the distribution of test data, and define test data generators.

Package: libghc-quickcheck2-prof
Description-md5: e361d15f8ac8ef17ec6d8fae2f281b1e
Description-en: Haskell automatic testing library for GHC; profiling libraries
 This package provides a library for the Haskell programming language,
 compiled for profiling.
 .
 QuickCheck is a library for testing Haskell programs automatically.
 The programmer provides a specification of the program, in
 the form of properties which functions should satisfy, and
 QuickCheck then tests that the properties hold in a large number
 of randomly generated cases.  Specifications are expressed in
 Haskell, using combinators defined in the QuickCheck library.
 QuickCheck provides combinators to define properties, observe
 the distribution of test data, and define test data generators.

Package: libghc-raaz-dev
Description-md5: 41827bd26b8a6572253174a93a7abcc5
Description-en: cryptographic networking library for Haskell
 Raaz uses strong typing to eliminate some common errors that occur in
 cryptographic settings, such as side channel attacks.
 .
 This package implements basic types and cryptographic primitives like
 hashes, macs etc. Actual network protocols are expected to use this
 library.  Common abstractions, such as packet parsing, are part of
 this library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-raaz-doc
Description-md5: 616dff241f2241735dcd718b455d5084
Description-en: cryptographic networking library for Haskell; documentation
 Raaz uses strong typing to eliminate some common errors that occur in
 cryptographic settings, such as side channel attacks.
 .
 This package implements basic types and cryptographic primitives like
 hashes, macs etc. Actual network protocols are expected to use this
 library.  Common abstractions, such as packet parsing, are part of
 this library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-raaz-prof
Description-md5: a28b915451131116404cddd4c806bba1
Description-en: cryptographic networking library for Haskell; profiling libraries
 Raaz uses strong typing to eliminate some common errors that occur in
 cryptographic settings, such as side channel attacks.
 .
 This package implements basic types and cryptographic primitives like
 hashes, macs etc. Actual network protocols are expected to use this
 library.  Common abstractions, such as packet parsing, are part of
 this library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-dev
Description-md5: e888122a7f5a99043b6bbd8f7b9a5aff
Description-en: random number generator for Haskell
 This package provides a basic random number generation library, including
 the ability to split random number generators.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-doc
Description-md5: f431b4ef302ecd092120907eb310ce66
Description-en: random number generator for Haskell; documentation
 This package provides a basic random number generation library, including
 the ability to split random number generators.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-fu-dev
Description-md5: a386d9283189035a30e78cc41fdc1fbc
Description-en: random number generation
 Random number generation based on modeling random variables in two
 complementary ways: first, by the parameters of standard mathematical
 distributions and, second, by an abstract type (RVar) which can be
 composed and manipulated monadically and sampled in either monadic
 or "pure" styles.
 .
 The primary purpose of this library is to support defining and
 sampling a wide variety of high quality random variables. Quality is
 prioritized over speed, but performance is an important goal too.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-fu-doc
Description-md5: 207139dbdc0de4bb9a1d4334648d0b21
Description-en: random number generation; documentation
 Random number generation based on modeling random variables in two
 complementary ways: first, by the parameters of standard mathematical
 distributions and, second, by an abstract type (RVar) which can be
 composed and manipulated monadically and sampled in either monadic
 or "pure" styles.
 .
 The primary purpose of this library is to support defining and
 sampling a wide variety of high quality random variables. Quality is
 prioritized over speed, but performance is an important goal too.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-fu-prof
Description-md5: 114c62edca43341db9fd133f7e4cdd7b
Description-en: random number generation; profiling libraries
 Random number generation based on modeling random variables in two
 complementary ways: first, by the parameters of standard mathematical
 distributions and, second, by an abstract type (RVar) which can be
 composed and manipulated monadically and sampled in either monadic
 or "pure" styles.
 .
 The primary purpose of this library is to support defining and
 sampling a wide variety of high quality random variables. Quality is
 prioritized over speed, but performance is an important goal too.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-prof
Description-md5: b862fde96742d28a270af464fefe289a
Description-en: random number generator for Haskell; profiling libraries
 This package provides a basic random number generation library, including
 the ability to split random number generators.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-shuffle-dev
Description-md5: a5f4add005f77e1bb68210ac470ec2c8
Description-en: random shuffle library
 Random shuffle implementation, on immutable lists. Based on "perfect
 shuffle" implementation by Oleg Kiselyov, available at
 http://okmij.org/ftp/Haskell/perfect-shuffle.txt
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-shuffle-doc
Description-md5: f98679d48167ad31b34688bb60485a8d
Description-en: random shuffle library; documentation
 Random shuffle implementation, on immutable lists. Based on "perfect
 shuffle" implementation by Oleg Kiselyov, available at
 http://okmij.org/ftp/Haskell/perfect-shuffle.txt
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-shuffle-prof
Description-md5: c885ca23b5a74b2c1b4186bdbf6458ad
Description-en: random shuffle library; profiling libraries
 Random shuffle implementation, on immutable lists. Based on "perfect
 shuffle" implementation by Oleg Kiselyov, available at
 http://okmij.org/ftp/Haskell/perfect-shuffle.txt
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-source-dev
Description-md5: 5613fa7c956b9f1d4581497e52f5a4b5
Description-en: generic basis for random number generators
 Random number generation based on entropy sources able to produce a
 small but well-defined set of primitive variates. Also includes
 facilities for "completing" partial implementations, making it easy
 to define new entropy sources in a way that is naturally
 forward-compatible.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-source-doc
Description-md5: 000969460b7c8f15bd2627b7e6522e26
Description-en: generic basis for random number generators; documentation
 Random number generation based on entropy sources able to produce a
 small but well-defined set of primitive variates. Also includes
 facilities for "completing" partial implementations, making it easy
 to define new entropy sources in a way that is naturally
 forward-compatible.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-random-source-prof
Description-md5: 8c3ec6fddfbf3f3f9ec0782f77d20483
Description-en: generic basis for random number generators; profiling libraries
 Random number generation based on entropy sources able to produce a
 small but well-defined set of primitive variates. Also includes
 facilities for "completing" partial implementations, making it easy
 to define new entropy sources in a way that is naturally
 forward-compatible.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rank2classes-dev
Description-md5: 8e4ada6eb116e8330d0a8ce42f4212ca
Description-en: rank-2-type standard type constructor class hierarchy
 A mirror image of the standard type constructor class hierarchy
 rooted in 'Functor', except with methods of rank 2 types and class
 instances of kind (k -> *) -> *. The classes enable generic handling
 of heterogenously typed data structures and other neat tricks.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rank2classes-doc
Description-md5: b4958537599f45d0898b2262c0e27866
Description-en: rank-2-type standard type constructor class hierarchy; documentation
 A mirror image of the standard type constructor class hierarchy
 rooted in 'Functor', except with methods of rank 2 types and class
 instances of kind (k -> *) -> *. The classes enable generic handling
 of heterogenously typed data structures and other neat tricks.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rank2classes-prof
Description-md5: 1bcb92fee30bab0e8bfe6ece904ebd11
Description-en: rank-2-type standard type constructor class hierarchy; profiling libraries
 A mirror image of the standard type constructor class hierarchy
 rooted in 'Functor', except with methods of rank 2 types and class
 instances of kind (k -> *) -> *. The classes enable generic handling
 of heterogenously typed data structures and other neat tricks.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rate-limit-dev
Description-md5: e7a74ee3bce5ef6bd664925572536c4b
Description-en: rate-limiting IO actions
 In many cases, it is useful, necessary, or simply nice to limit how
 frequently you perform some action. For example, you may want to limit
 how often your program makes a request of some web site. This library
 is intended as a general-purpose mechanism for rate-limiting IO actions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rate-limit-doc
Description-md5: c6f8076d7905dd812bf0c8d85f98a35f
Description-en: rate-limiting IO actions; documentation
 In many cases, it is useful, necessary, or simply nice to limit how
 frequently you perform some action. For example, you may want to limit
 how often your program makes a request of some web site. This library
 is intended as a general-purpose mechanism for rate-limiting IO actions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rate-limit-prof
Description-md5: 685a18ad78c4c3b7f2708c8fa7cb2bb9
Description-en: rate-limiting IO actions; profiling libraries
 In many cases, it is useful, necessary, or simply nice to limit how
 frequently you perform some action. For example, you may want to limit
 how often your program makes a request of some web site. This library
 is intended as a general-purpose mechanism for rate-limiting IO actions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-raw-strings-qq-dev
Description-md5: 081db47d949bb7d5bb38369c24c7016b
Description-en: Raw string literals for Haskell
 A quasiquoter for raw string literals - that is, string literals that don't
 recognise the standard escape sequences (such as '\n\). Basically, they
 make your code more readable by freeing you from the responsibility to
 escape backslashes. They are useful when working with regular expressions,
 DOS/Windows paths and markup languages (such as XML).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-raw-strings-qq-doc
Description-md5: ebdd0afb8e12a6823364efe16db3077a
Description-en: Raw string literals for Haskell; documentation
 A quasiquoter for raw string literals - that is, string literals that don't
 recognise the standard escape sequences (such as '\n\). Basically, they
 make your code more readable by freeing you from the responsibility to
 escape backslashes. They are useful when working with regular expressions,
 DOS/Windows paths and markup languages (such as XML).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-raw-strings-qq-prof
Description-md5: 65525e100fee81c954c18089b7fe202f
Description-en: Raw string literals for Haskell; profiling libraries
 A quasiquoter for raw string literals - that is, string literals that don't
 recognise the standard escape sequences (such as '\n\). Basically, they
 make your code more readable by freeing you from the responsibility to
 escape backslashes. They are useful when working with regular expressions,
 DOS/Windows paths and markup languages (such as XML).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reactive-banana-dev
Description-md5: 14a92540faeb29a9a268b51a085100bd
Description-en: Library for functional reactive programming (FRP)
 Reactive-banana is a library for Functional Reactive Programming (FRP).
 .
 FRP offers an elegant and concise way to express interactive programs
 such as graphical user interfaces, animations, computer music or
 robot controllers. It promises to avoid the spaghetti code that is
 all too common in traditional approaches to GUI programming.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reactive-banana-doc
Description-md5: 49a77083a63e72effeda89493c9bfeb9
Description-en: Library for functional reactive programming (FRP); documentation
 Reactive-banana is a library for Functional Reactive Programming (FRP).
 .
 FRP offers an elegant and concise way to express interactive programs
 such as graphical user interfaces, animations, computer music or
 robot controllers. It promises to avoid the spaghetti code that is
 all too common in traditional approaches to GUI programming.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reactive-banana-prof
Description-md5: f048673243700259e98751eb046c81c5
Description-en: Library for functional reactive programming (FRP); profiling libraries
 Reactive-banana is a library for Functional Reactive Programming (FRP).
 .
 FRP offers an elegant and concise way to express interactive programs
 such as graphical user interfaces, animations, computer music or
 robot controllers. It promises to avoid the spaghetti code that is
 all too common in traditional approaches to GUI programming.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readable-dev
Description-md5: e225e384773239bb2b0a8055c68d8546
Description-en: reading from Text and ByteString
 Provides a Readable type class for reading data types from ByteString and
 Text.  Also includes efficient implementations for common data types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readable-doc
Description-md5: 3f6a47efb3f2823b2bbbf035e37116fb
Description-en: reading from Text and ByteString; documentation
 Provides a Readable type class for reading data types from ByteString and
 Text.  Also includes efficient implementations for common data types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readable-prof
Description-md5: afe424ba8b476fbe48744e02b22b2bb5
Description-en: reading from Text and ByteString; profiling libraries
 Provides a Readable type class for reading data types from ByteString and
 Text.  Also includes efficient implementations for common data types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readargs-dev
Description-md5: 3b4c45eaa4637b05ff67a0ac3976a15f
Description-en: simple command-line argument parsing
 ReadArgs provides the readArgs IO action, which lets you tell the
 compiler to parse the command line arguments to fit the type signature
 you give.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readargs-doc
Description-md5: fae31f51475f7469f75af47fa94b9a3f
Description-en: simple command-line argument parsing; documentation
 ReadArgs provides the readArgs IO action, which lets you tell the
 compiler to parse the command line arguments to fit the type signature
 you give.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readargs-prof
Description-md5: 89a74d7bae881b2ef16328d0f0dd9867
Description-en: simple command-line argument parsing; profiling libraries
 ReadArgs provides the readArgs IO action, which lets you tell the
 compiler to parse the command line arguments to fit the type signature
 you give.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readline-dev
Description-md5: 145bd9251d9fbb9fd327052e27b8b53a
Description-en: Haskell bindings to GNU readline library
 This package provides Haskell bindings to the GNU readline library.
 See https://directory.fsf.org/wiki/Readline for more information on
 GNU readline.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readline-doc
Description-md5: 25a34f4120c65a56da2e6895a170155a
Description-en: Haskell bindings to GNU readline library; documentation
 This package provides Haskell bindings to the GNU readline library.
 See https://directory.fsf.org/wiki/Readline for more information on
 GNU readline.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-readline-prof
Description-md5: 3ed9e0d88cb77f19169e87b32fe6e57e
Description-en: Haskell bindings to GNU readline library; profiling libraries
 This package provides Haskell bindings to the GNU readline library.
 See https://directory.fsf.org/wiki/Readline for more information on
 GNU readline.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-recaptcha-dev
Description-md5: fb58149c9df9ec6605bf48a02e7ab7af
Description-en: Haskell interface to reCAPTCHA service
 reCAPTCHA (https://www.google.com/recaptcha/intro/) is a service that
 provides captchas for preventing automated spam in web applications, using
 the data people submit to digitize old books and newspapers.
 haskell-recaptcha provides functions for using reCAPTCHA in Haskell web
 applications.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-recaptcha-doc
Description-md5: ca729bbf243960d9f9a6b8b1b7c77c35
Description-en: Haskell interface to reCAPTCHA service; documentation
 reCAPTCHA (https://www.google.com/recaptcha/intro/) is a service that
 provides captchas for preventing automated spam in web applications, using
 the data people submit to digitize old books and newspapers.
 haskell-recaptcha provides functions for using reCAPTCHA in Haskell web
 applications.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-recaptcha-prof
Description-md5: 6390d22b9a135434a8b006fe412b7fdb
Description-en: Haskell interface to reCAPTCHA service; profiling libraries
 reCAPTCHA (https://www.google.com/recaptcha/intro/) is a service that
 provides captchas for preventing automated spam in web applications, using
 the data people submit to digitize old books and newspapers.
 haskell-recaptcha provides functions for using reCAPTCHA in Haskell web
 applications.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-recursion-schemes-dev
Description-md5: 3071169abb46ab0b13cfcfd55d03c818
Description-en: generalized bananas, lenses and barbed wire
 Base functors for fixed points, fixed points, folding, unfolding, refolding,
 Mendler-style iteration, Elgot (co)algebras, and Zygohistomorphic
 prepromorphisms.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-recursion-schemes-doc
Description-md5: 1555e0c8bdba8d6d4227cd88fd52c31b
Description-en: generalized bananas, lenses and barbed wire; documentation
 Base functors for fixed points, fixed points, folding, unfolding, refolding,
 Mendler-style iteration, Elgot (co)algebras, and Zygohistomorphic
 prepromorphisms.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-recursion-schemes-prof
Description-md5: db3f3e2ce14d468f3d7075298dd1cad3
Description-en: generalized bananas, lenses and barbed wire; profiling libraries
 Base functors for fixed points, fixed points, folding, unfolding, refolding,
 Mendler-style iteration, Elgot (co)algebras, and Zygohistomorphic
 prepromorphisms.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reducers-dev
Description-md5: 9ce8eac8de4a8c8d4ea0043de0688828
Description-en: semigroup-based containers and reductions
 Semigroups, specialized containers and a general map/reduce framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reducers-doc
Description-md5: 43374640fbde14c5dccb87c1f199390d
Description-en: semigroup-based containers and reductions; documentation
 Semigroups, specialized containers and a general map/reduce framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reducers-prof
Description-md5: c7408cd6234bf32cd09339831e77754b
Description-en: semigroup-based containers and reductions; profiling libraries
 Semigroups, specialized containers and a general map/reduce framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-refact-dev
Description-md5: 00b270a5aabfc4c49469f9f86064e6cc
Description-en: specify refactorings to perform with apply-refact
 This library provides a datatype which can be interpreted by
 apply-refact. It exists as a seperate library so that applications
 can specify refactorings without depending on GHC.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-refact-doc
Description-md5: 9d9b19cb0f9a17b06471188b909fe3de
Description-en: specify refactorings to perform with apply-refact; documentation
 This library provides a datatype which can be interpreted by
 apply-refact. It exists as a seperate library so that applications
 can specify refactorings without depending on GHC.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-refact-prof
Description-md5: f59ee9bb14fdee2ccf3aebc30fa57d80
Description-en: specify refactorings to perform with apply-refact; profiling libraries
 This library provides a datatype which can be interpreted by
 apply-refact. It exists as a seperate library so that applications
 can specify refactorings without depending on GHC.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reflection-dev
Description-md5: c88da085b1e62b030153991a8f0ce876
Description-en: reifies arbitrary terms into types that can be reflected back into terms
 This package provides an implementation of the ideas presented in the
 paper "Functional Pearl: Implicit Configurations" by Oleg Kiselyov and
 Chung-chieh Shan. However, the API has been streamlined to improve
 performance.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reflection-doc
Description-md5: 419cd07cbc92e6733d2fff552240f3fa
Description-en: reifies arbitrary terms into types that can be reflected; documentation
 This package provides an implementation of the ideas presented in the
 paper "Functional Pearl: Implicit Configurations" by Oleg Kiselyov and
 Chung-chieh Shan. However, the API has been streamlined to improve
 performance.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reflection-prof
Description-md5: 27b89f1e7a360a0887c30b7c84f1d079
Description-en: reifies arbitrary terms into types that can be reflected; profiling libraries
 This package provides an implementation of the ideas presented in the
 paper "Functional Pearl: Implicit Configurations" by Oleg Kiselyov and
 Chung-chieh Shan. However, the API has been streamlined to improve
 performance.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-dev
Description-md5: 83ef50147f27b03074d952033731271d
Description-en: HTML form generation and validation library
 reform follows in the footsteps of formlets and digestive-functors
 <= 0.2. It provides a type-safe and composable method for generating
 an HTML form that includes validation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-doc
Description-md5: ad454d0c5ab287682f23fe1f9225a79c
Description-en: HTML form generation and validation library; documentation
 reform follows in the footsteps of formlets and digestive-functors
 <= 0.2. It provides a type-safe and composable method for generating
 an HTML form that includes validation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-happstack-dev
Description-md5: 49f180d9924cf5c0e801f7f222b2c72e
Description-en: Happstack support for reform
 Reform is a library for building and validating forms using applicative
 functors. This package add support for using reform with Happstack.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-happstack-doc
Description-md5: 2cfad0e09cf22526b84c41c4763e9c16
Description-en: Happstack support for reform; documentation
 Reform is a library for building and validating forms using applicative
 functors. This package add support for using reform with Happstack.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-happstack-prof
Description-md5: 325c51bc51673e163a829d9335630dd7
Description-en: Happstack support for reform; profiling libraries
 Reform is a library for building and validating forms using applicative
 functors. This package add support for using reform with Happstack.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-hsp-dev
Description-md5: 953172e67034c0354f10060ea8b58cfb
Description-en: support for using HSP with Reform
 Reform is a library for building and validating forms using
 applicative functors. This package adds support for using
 reform with HSP.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-hsp-doc
Description-md5: 1b466927cea0b8108d16d8ac690d98f0
Description-en: support for using HSP with Reform; documentation
 Reform is a library for building and validating forms using
 applicative functors. This package adds support for using
 reform with HSP.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-hsp-prof
Description-md5: 33935285d9a6f19e67cc758be6ab304e
Description-en: support for using HSP with Reform; profiling libraries
 Reform is a library for building and validating forms using
 applicative functors. This package adds support for using
 reform with HSP.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reform-prof
Description-md5: a2aa114edcc503c6667eab0a715c28b0
Description-en: HTML form generation and validation library; profiling libraries
 reform follows in the footsteps of formlets and digestive-functors
 <= 0.2. It provides a type-safe and composable method for generating
 an HTML form that includes validation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-applicative-dev
Description-md5: 9c9e54f4c85e719f83f3d4a0041d7b04
Description-en: regex-based parsing with applicative interface
 regex-applicative is a Haskell library for parsing using regular expressions.
 Parsers can be built using Applicative interface.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-applicative-doc
Description-md5: c35989a5c777fe348ced718a2b9aa862
Description-en: regex-based parsing with applicative interface; documentation
 regex-applicative is a Haskell library for parsing using regular expressions.
 Parsers can be built using Applicative interface.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-applicative-prof
Description-md5: f8207affe54bd0356831b13ac1f883f3
Description-en: regex-based parsing with applicative interface; profiling libraries
 regex-applicative is a Haskell library for parsing using regular expressions.
 Parsers can be built using Applicative interface.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-applicative-text-dev
Description-md5: 68012e854c6df072bdb6543d4cbc95c2
Description-en: regex-applicative on text
 Wrapped regex-applicative primitives to work with the 'Text' data type.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-applicative-text-doc
Description-md5: b91c5c896c85d43aec13090932ce4115
Description-en: regex-applicative on text; documentation
 Wrapped regex-applicative primitives to work with the 'Text' data type.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-applicative-text-prof
Description-md5: fd096614fe1a90ce08017a4985b4e66f
Description-en: regex-applicative on text; profiling libraries
 Wrapped regex-applicative primitives to work with the 'Text' data type.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-base-dev
Description-md5: bf010074e766e71eef83914f7bd20962
Description-en: GHC library providing an API for regular expressions
 A library containing the interface API for the Haskell regular
 expressions library packages regex-posix, regex-pcre, regex-parsec,
 regex-tdfs, regex-dfa.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-base-doc
Description-md5: 22455e71caa7ac65e47df308960e3c1b
Description-en: GHC library providing an API for regular expressions; documentation
 A library containing the interface API for the Haskell regular
 expressions library packages regex-posix, regex-pcre, regex-parsec,
 regex-tdfs, regex-dfa.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-base-prof
Description-md5: 9f92f0a3aef5814fbe599b66e450b34c
Description-en: GHC library providing an API for regular expressions; profiling libraries
 A library containing the interface API for the Haskell regular
 expressions library packages regex-posix, regex-pcre, regex-parsec,
 regex-tdfs, regex-dfa.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-compat-dev
Description-md5: c4776b95acf22f9047c7c9aa947fa9cf
Description-en: GHC library providing old Text.Regex interface
 A library providing the old Text.Regex interface to the POSIX regular
 expression backend.
 .
 This package contains the libraries for use with GHC.

Package: libghc-regex-compat-doc
Description-md5: 62e5f98515140fc0f0d654d9265a9a71
Description-en: API documentation for regex-compat Haskell library
 A library containing a POSIX regular expression backend conforming to
 the interface API of regex-base.
 .
 This package contains the API documentation of the library.

Package: libghc-regex-compat-prof
Description-md5: 1f6b1e0aff9ecbe73609d15ff4bb2edd
Description-en: GHC profiling library providing old Text.Regex interface
 A library providing the old Text.Regex interface to the POSIX regular
 expression backend.
 .
 This package contains additional profiling libraries which can be
 used with ghc-prof.

Package: libghc-regex-compat-tdfa-dev
Description-md5: c55a1618690c3615393dc9c0b7d97de9
Description-en: Unicode Support version of Text.Regex, using regex-tdfa
 One module layer over regex-tdfa to replace Text.Regex.
 .
 regex-compat can't use Unicode characters correctly because
 of using regex-posix. This is not good for Unicode users.
 .
 This package provides a modified regex-compat that uses regex-tdfa for solving
 today's problem.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-compat-tdfa-doc
Description-md5: 4d16ca1047d0941e627b51468e2eb799
Description-en: Unicode Support version of Text.Regex, using regex-tdfa; documentation
 One module layer over regex-tdfa to replace Text.Regex.
 .
 regex-compat can't use Unicode characters correctly because
 of using regex-posix. This is not good for Unicode users.
 .
 This package provides a modified regex-compat that uses regex-tdfa for solving
 today's problem.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-compat-tdfa-prof
Description-md5: 3fe28872686435fef328699242584bee
Description-en: Unicode Support version of Text.Regex, using regex-tdfa; profiling libraries
 One module layer over regex-tdfa to replace Text.Regex.
 .
 regex-compat can't use Unicode characters correctly because
 of using regex-posix. This is not good for Unicode users.
 .
 This package provides a modified regex-compat that uses regex-tdfa for solving
 today's problem.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-pcre-dev
Description-md5: 4d5b52af6ef4131294caf0603d154859
Description-en: Perl-compatible regular expressions
 A library containing the PCRE backend to accompany regex-base.
 .
 This package contains the libraries for use with GHC.

Package: libghc-regex-pcre-doc
Description-md5: ce982f6d4f5f2c4f966e3af33e5b901d
Description-en: Perl-compatible regular expressions; documentation
 A library containing the PCRE backend to accompany regex-base.
 .
 This package contains the API documentation of the library.

Package: libghc-regex-pcre-prof
Description-md5: eb45533c3c3606d5fd1bb5b0f4395f28
Description-en: Perl-compatible regular expressions; profiling libraries
 A library containing the PCRE backend to accompany regex-base.
 .
 This package contains additional profiling libraries which can be
 used with ghc-prof.

Package: libghc-regex-posix-dev
Description-md5: d4699f604d7d20a59d809129246e1a72
Description-en: GHC library of the POSIX regex backend for regex-base
 A library containing a POSIX regular expression backend conforming to
 the interface API of regex-base.
 .
 This package contains the libraries for use with GHC.

Package: libghc-regex-posix-doc
Description-md5: 8ff2a00846e5fb1a189e982a6990e407
Description-en: API documentation for regex-posix Haskell library
 A library containing a POSIX regular expression backend conforming to
 the interface API of regex-base.
 .
 This package contains the API documentation of the library.

Package: libghc-regex-posix-prof
Description-md5: 0f8de89c6bc850a39777180b64546dc2
Description-en: GHC profiling library of the POSIX regex backend for regex-base
 A library containing a POSIX regular expression backend conforming to
 the interface API of regex-base.
 .
 This package contains additional profiling libraries which can be
 used with ghc-prof.

Package: libghc-regex-tdfa-dev
Description-md5: 12f71fd5f10e3c1616763256209bd507
Description-en: Haskell library for a tagged DFA regex engine
 A pure Haskell alternative to GHC's Text.Regex module (with some
 enhancements). The Text.Regex module marshalls the data back and forth to
 c-arrays to call libc and this is far too slow (and strict).
 .
 This module parses regular expression Strings using a Parsec parser and
 creates an internal data structure (Text.Regex.Lazy.Pattern). This is then
 transformed a DFA table for matching against the input String or
 FastPackedString. The input string is consumed lazily, so it may be an
 arbitrarily long or infinite source.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-tdfa-doc
Description-md5: 84447a2dc96aee585c65d093e08979fe
Description-en: Haskell library for a tagged DFA regex engine; documentation
 A pure Haskell alternative to GHC's Text.Regex module (with some
 enhancements). The Text.Regex module marshalls the data back and forth to
 c-arrays to call libc and this is far too slow (and strict).
 .
 This module parses regular expression Strings using a Parsec parser and
 creates an internal data structure (Text.Regex.Lazy.Pattern). This is then
 transformed a DFA table for matching against the input String or
 FastPackedString. The input string is consumed lazily, so it may be an
 arbitrarily long or infinite source.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-tdfa-prof
Description-md5: 32383deafe24648ddf815812157aae4c
Description-en: Haskell library for a tagged DFA regex engine; profiling libraries
 A pure Haskell alternative to GHC's Text.Regex module (with some
 enhancements). The Text.Regex module marshalls the data back and forth to
 c-arrays to call libc and this is far too slow (and strict).
 .
 This module parses regular expression Strings using a Parsec parser and
 creates an internal data structure (Text.Regex.Lazy.Pattern). This is then
 transformed a DFA table for matching against the input String or
 FastPackedString. The input string is consumed lazily, so it may be an
 arbitrarily long or infinite source.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-tdfa-text-dev
Description-md5: 5fe35c52a72c4b82bad7ff6d5ce92a45
Description-en: Text interface for regex-tdfa
 This provides a Text interface for regex-tdfa.
 .
 This should be part of regex-tdfa package, but is not.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-tdfa-text-doc
Description-md5: f01d6d19f5ecf3eec0d82778a0fa79cb
Description-en: Text interface for regex-tdfa; documentation
 This provides a Text interface for regex-tdfa.
 .
 This should be part of regex-tdfa package, but is not.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regex-tdfa-text-prof
Description-md5: 7b5eb610d7c06a71a796800539e1bbe5
Description-en: Text interface for regex-tdfa; profiling libraries
 This provides a Text interface for regex-tdfa.
 .
 This should be part of regex-tdfa package, but is not.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regexpr-dev
Description-md5: 29d8ff52e3869050a58f6d9862193909
Description-en: Regular expression library like Perl/Ruby
 This package contains Haskell functions for regular expressions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regexpr-doc
Description-md5: 25abea63465fa8c65d433f1e48c76dd6
Description-en: Regular expression library like Perl/Ruby; documentation
 This package contains Haskell functions for regular expressions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-regexpr-prof
Description-md5: 4f271e28f61fb25098d33fa297fd86c5
Description-en: Regular expression library like Perl/Ruby; profiling libraries
 This package contains Haskell functions for regular expressions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reinterpret-cast-dev
Description-md5: 48c8aae76a801a13292c054f4ba9e69d
Description-en: Memory reinterpretation casts for Float/Double/Word32/Word64
 This package provides memory reinterpretation casts for Float/Double and
 Word32/Word64 for Haskell. The implementations in the @.Internal@ package are
 different ways to tackle the problem; the @array@ method (current default) is
 about 5 times faster than the @FFI@ method.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reinterpret-cast-doc
Description-md5: fedb1438879895bb817416684b53c5c5
Description-en: Memory reinterpretation casts for Float/Double/Word32/Word64; documentation
 This package provides memory reinterpretation casts for Float/Double and
 Word32/Word64 for Haskell. The implementations in the @.Internal@ package are
 different ways to tackle the problem; the @array@ method (current default) is
 about 5 times faster than the @FFI@ method.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-reinterpret-cast-prof
Description-md5: 472e781a976958c8f8fed7d21cf6b86e
Description-en: Memory reinterpretation casts for Float/Double/Word32/Word64; profiling libraries
 This package provides memory reinterpretation casts for Float/Double and
 Word32/Word64 for Haskell. The implementations in the @.Internal@ package are
 different ways to tackle the problem; the @array@ method (current default) is
 about 5 times faster than the @FFI@ method.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-query-dev
Description-md5: 165cc6b57f33a4862c741979ab95676a
Description-en: Typeful, Modular, Relational, algebraic query engine
 This package contiains typeful relation structure and
 relational-algebraic query building DSL which can
 translate into SQL query.
 Supported query features are below:
 + Type safe query building
 + Restriction, Join, Aggregation
 + Modularized relations
 + Typed placeholders
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-query-doc
Description-md5: 6f7905a30b8739353574857bf3aa462f
Description-en: Typeful, Modular, Relational, algebraic query engine; documentation
 This package contiains typeful relation structure and
 relational-algebraic query building DSL which can
 translate into SQL query.
 Supported query features are below:
 + Type safe query building
 + Restriction, Join, Aggregation
 + Modularized relations
 + Typed placeholders
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-query-hdbc-dev
Description-md5: 25181afda32ed0ca7eabee2eb113568f
Description-en: relational-query instance and typed interface for HDBC
 This package contains the HDBC instance of relational-query and
 the typed query interface for HDBC.
 Generating Database table definitions and functions for
 relational-query by reading table and index definitions
 from Database system catalogs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-query-hdbc-doc
Description-md5: a7f7efd3dea0050aa3b4e99562410d0a
Description-en: relational-query instance and typed interface for HDBC; documentation
 This package contains the HDBC instance of relational-query and
 the typed query interface for HDBC.
 Generating Database table definitions and functions for
 relational-query by reading table and index definitions
 from Database system catalogs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-query-hdbc-prof
Description-md5: 686d0885fe64064133c1f60a03cc430f
Description-en: relational-query instance and typed interface for HDBC; profiling libraries
 This package contains the HDBC instance of relational-query and
 the typed query interface for HDBC.
 Generating Database table definitions and functions for
 relational-query by reading table and index definitions
 from Database system catalogs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-query-prof
Description-md5: 7601778dd721680438541ec4f110ba41
Description-en: Typeful, Modular, Relational, algebraic query engine; profiling libraries
 This package contiains typeful relation structure and
 relational-algebraic query building DSL which can
 translate into SQL query.
 Supported query features are below:
 + Type safe query building
 + Restriction, Join, Aggregation
 + Modularized relations
 + Typed placeholders
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-record-doc
Description-md5: 751339055010607fc5c9c1efdd7f77a0
Description-en: Documentation package of Haskell Relational Record
 This package includes documentation package of Haskell Relational Record
 library software stack.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-schemas-dev
Description-md5: b02bd71fe175c2970f7bdd7721f5d2fe
Description-en: RDBMSs' schema templates for relational-query
 This package contains some RDBMSs' schema structure definitions.
 Supported RDBMS schemas are below:
 + IBM DB2
 + PostgreSQL
 + Microsoft SQLServer
 + SQLite3
 + Oracle
 + MySQL
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-schemas-doc
Description-md5: ddacf0ce1108ccc5816c2786620f490a
Description-en: RDBMSs' schema templates for relational-query; documentation
 This package contains some RDBMSs' schema structure definitions.
 Supported RDBMS schemas are below:
 + IBM DB2
 + PostgreSQL
 + Microsoft SQLServer
 + SQLite3
 + Oracle
 + MySQL
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-relational-schemas-prof
Description-md5: a16bce203411df5a4e8f59627c4cd598
Description-en: RDBMSs' schema templates for relational-query; profiling libraries
 This package contains some RDBMSs' schema structure definitions.
 Supported RDBMS schemas are below:
 + IBM DB2
 + PostgreSQL
 + Microsoft SQLServer
 + SQLite3
 + Oracle
 + MySQL
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-repa-dev
Description-md5: 3adfc311712a41bb39d7f2ef84cfe7a6
Description-en: Regular parallel arrays for Haskell
 Repa provides high performance, regular, multi-dimensional, shape polymorphic
 parallel arrays. All numeric data is stored unboxed. Functions written with
 the Repa combinators are automatically parallel provided you supply +RTS -Nn
 on the command line when running the program.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-repa-doc
Description-md5: 9c7009bcbb856849d18f9cbb4b3e6034
Description-en: Regular parallel arrays for Haskell; documentation
 Repa provides high performance, regular, multi-dimensional, shape polymorphic
 parallel arrays. All numeric data is stored unboxed. Functions written with
 the Repa combinators are automatically parallel provided you supply +RTS -Nn
 on the command line when running the program.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-repa-prof
Description-md5: 29498f7d872414a8635067948f983954
Description-en: Regular parallel arrays for Haskell; profiling libraries
 Repa provides high performance, regular, multi-dimensional, shape polymorphic
 parallel arrays. All numeric data is stored unboxed. Functions written with
 the Repa combinators are automatically parallel provided you supply +RTS -Nn
 on the command line when running the program.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-repline-dev
Description-md5: a51573daaae50af3417edf734b563d68
Description-en: Haskeline wrapper for GHCi-like REPL interfaces.
 Haskeline wrapper for GHCi-like REPL interfaces. Composable with
 normal mtl transformers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-repline-doc
Description-md5: 24da87079b3ef67a9ed2e81e9a84b027
Description-en: Haskeline wrapper for GHCi-like REPL interfaces.; documentation
 Haskeline wrapper for GHCi-like REPL interfaces. Composable with
 normal mtl transformers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-repline-prof
Description-md5: e7af945329afb8e25f58d492cf8f0985
Description-en: Haskeline wrapper for GHCi-like REPL interfaces.; profiling libraries
 Haskeline wrapper for GHCi-like REPL interfaces. Composable with
 normal mtl transformers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resolv-dev
Description-md5: b581af2d5cd11e8f1b89ef8aec44b7f9
Description-en: Domain Name Service (DNS) lookup via libresolv
 This package implements an API for accessing the Domain Name Service (DNS)
 resolver service via the standard libresolv system library (whose
 API is often available directly via the standard libc C library) on
 Unix systems.
 .
 This package also includes support for decoding message record types
 as defined in the following RFCs:
 .
  - RFC 1035: Domain Names - Implementation And Specification
  - RFC 1183: New DNS RR Definitions
  - RFC 2782: A DNS RR for specifying the location of services (DNS SRV)
  - RFC 2915: The Naming Authority Pointer (NAPTR) DNS Resource Record
  - RFC 3596: DNS Extensions to Support IP Version 6
  - RFC 4034: Resource Records for the DNS Security Extensions
  - RFC 4255: Using DNS to Securely Publish Secure Shell (SSH) Key Fingerprints
  - RFC 4408: Sender Policy Framework (SPF) for Authorizing Use of Domains
    in E-Mail, Version 1
  - RFC 5155: DNS Security (DNSSEC) Hashed Authenticated Denial of Existence
  - RFC 6844: DNS Certification Authority Authorization (CAA) Resource Record
  - RFC 6891: Extension Mechanisms for DNS (EDNS(0))
  - RFC 7553: The Uniform Resource Identifier (URI) DNS Resource Record
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resolv-doc
Description-md5: 818b798024d56ecfc11817372a273d77
Description-en: Domain Name Service (DNS) lookup via libresolv; documentation
 This package implements an API for accessing the Domain Name Service (DNS)
 resolver service via the standard libresolv system library (whose
 API is often available directly via the standard libc C library) on
 Unix systems.
 .
 This package also includes support for decoding message record types
 as defined in the following RFCs:
 .
  - RFC 1035: Domain Names - Implementation And Specification
  - RFC 1183: New DNS RR Definitions
  - RFC 2782: A DNS RR for specifying the location of services (DNS SRV)
  - RFC 2915: The Naming Authority Pointer (NAPTR) DNS Resource Record
  - RFC 3596: DNS Extensions to Support IP Version 6
  - RFC 4034: Resource Records for the DNS Security Extensions
  - RFC 4255: Using DNS to Securely Publish Secure Shell (SSH) Key Fingerprints
  - RFC 4408: Sender Policy Framework (SPF) for Authorizing Use of Domains
    in E-Mail, Version 1
  - RFC 5155: DNS Security (DNSSEC) Hashed Authenticated Denial of Existence
  - RFC 6844: DNS Certification Authority Authorization (CAA) Resource Record
  - RFC 6891: Extension Mechanisms for DNS (EDNS(0))
  - RFC 7553: The Uniform Resource Identifier (URI) DNS Resource Record
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resolv-prof
Description-md5: bac1fe657b3a1343b85aa4c2cc8edf75
Description-en: Domain Name Service (DNS) lookup via libresolv; profiling libraries
 This package implements an API for accessing the Domain Name Service (DNS)
 resolver service via the standard libresolv system library (whose
 API is often available directly via the standard libc C library) on
 Unix systems.
 .
 This package also includes support for decoding message record types
 as defined in the following RFCs:
 .
  - RFC 1035: Domain Names - Implementation And Specification
  - RFC 1183: New DNS RR Definitions
  - RFC 2782: A DNS RR for specifying the location of services (DNS SRV)
  - RFC 2915: The Naming Authority Pointer (NAPTR) DNS Resource Record
  - RFC 3596: DNS Extensions to Support IP Version 6
  - RFC 4034: Resource Records for the DNS Security Extensions
  - RFC 4255: Using DNS to Securely Publish Secure Shell (SSH) Key Fingerprints
  - RFC 4408: Sender Policy Framework (SPF) for Authorizing Use of Domains
    in E-Mail, Version 1
  - RFC 5155: DNS Security (DNSSEC) Hashed Authenticated Denial of Existence
  - RFC 6844: DNS Certification Authority Authorization (CAA) Resource Record
  - RFC 6891: Extension Mechanisms for DNS (EDNS(0))
  - RFC 7553: The Uniform Resource Identifier (URI) DNS Resource Record
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resource-pool-dev
Description-md5: 8c43b90a218151148f5c7467afdbcc83
Description-en: high-performance striped resource-pooling implementation
 A high-performance striped pooling abstraction for managing flexibly-sized
 collections of resources such as database connections.  .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resource-pool-doc
Description-md5: fdf6afa02f849fc1cf1e93a1a18bbf78
Description-en: high-performance striped resource-pooling implementation; documentation
 A high-performance striped pooling abstraction for managing flexibly-sized
 collections of resources such as database connections.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resource-pool-prof
Description-md5: 938cd53c9524ab0316e6025a8ba2cd57
Description-en: high-performance striped resource-pooling implementation; profiling libraries
 A high-performance striped pooling abstraction for managing flexibly-sized
 collections of resources such as database connections.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resourcet-dev
Description-md5: 5b2e77647c053c56bf11b98237c84d25
Description-en: deterministic allocation and freeing of scarce resources
 Control.Monad.Trans.Resource allocates resources which are guaranteed
 to be released.  One point to note: all register cleanup actions live
 in the IO monad, not the main monad. This allows both more efficient
 code, and for monads to be transformed.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resourcet-doc
Description-md5: 72c894c4e1d0960ece3b4ea3e7f4b7f5
Description-en: deterministic allocation and freeing of scarce resources; documentation
 Control.Monad.Trans.Resource allocates resources which are guaranteed
 to be released.  One point to note: all register cleanup actions live
 in the IO monad, not the main monad. This allows both more efficient
 code, and for monads to be transformed.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-resourcet-prof
Description-md5: 33df798f339c685a978367bc172d11c0
Description-en: deterministic allocation and freeing of scarce resources; profiling libraries
 Control.Monad.Trans.Resource allocates resources which are guaranteed
 to be released.  One point to note: all register cleanup actions live
 in the IO monad, not the main monad. This allows both more efficient
 code, and for monads to be transformed.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-retry-dev
Description-md5: 4716386dc549aa1f6677960776c454e8
Description-en: Retry combinators for monadic actions that may fail
 This package exposes combinators that can wrap arbitrary monadic actions. They
 run the action and potentially retry running it with some configurable delay
 for a configurable number of times.
 .
 The purpose is to make it easier to work with IO and especially network IO
 actions that often experience temporary failure and warrant retrying of the
 original action. For example, a database query may time out for a while, in
 which case we should hang back for a bit and retry the query instead of simply
 raising an exception.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-retry-doc
Description-md5: a8e053a5734287af4f6e5ef178214069
Description-en: Retry combinators for monadic actions that may fail; documentation
 This package exposes combinators that can wrap arbitrary monadic actions. They
 run the action and potentially retry running it with some configurable delay
 for a configurable number of times.
 .
 The purpose is to make it easier to work with IO and especially network IO
 actions that often experience temporary failure and warrant retrying of the
 original action. For example, a database query may time out for a while, in
 which case we should hang back for a bit and retry the query instead of simply
 raising an exception.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-retry-prof
Description-md5: 65f215eb9a29e118eed6866a4fb96580
Description-en: Retry combinators for monadic actions that may fail; profiling libraries
 This package exposes combinators that can wrap arbitrary monadic actions. They
 run the action and potentially retry running it with some configurable delay
 for a configurable number of times.
 .
 The purpose is to make it easier to work with IO and especially network IO
 actions that often experience temporary failure and warrant retrying of the
 original action. For example, a database query may time out for a while, in
 which case we should hang back for a bit and retry the query instead of simply
 raising an exception.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rio-dev
Description-md5: b22185ecf7b24cfbf0e2fe8039a58cd1
Description-en: standard library for Haskell
 The goal of the rio library is to make it easier to adopt Haskell for writing
 production software. It is intended as a cross between:
  * Collection of well designed, trusted libraries
  * Useful Prelude replacement
  * A set of best practices for writing production quality Haskell code
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rio-doc
Description-md5: ce757a670b2dea4f4e4f0fc046e6420d
Description-en: standard library for Haskell; documentation
 The goal of the rio library is to make it easier to adopt Haskell for writing
 production software. It is intended as a cross between:
  * Collection of well designed, trusted libraries
  * Useful Prelude replacement
  * A set of best practices for writing production quality Haskell code
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rio-prof
Description-md5: 31b6a9b3311d905ab49da383e40243d6
Description-en: standard library for Haskell; profiling libraries
 The goal of the rio library is to make it easier to adopt Haskell for writing
 production software. It is intended as a cross between:
  * Collection of well designed, trusted libraries
  * Useful Prelude replacement
  * A set of best practices for writing production quality Haskell code
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rsa-dev
Description-md5: fec6d784e310766768694ca85f798a04
Description-en: implementation of RSA, using the padding schemes of PKCS#1 v2.1.
 This library implements the RSA encryption and signature algorithms for
 arbitrarily-sized ByteStrings. While the implementations work, they are not
 necessarily the fastest ones on the planet. Particularly key generation. The
 algorithms included are based of RFC 3447, or the Public-Key Cryptography
 Standard for RSA, version 2.1 (a.k.a, PKCS#1 v2.1).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rsa-doc
Description-md5: 3297894cd4cc7641a4e7a62e2664f7c5
Description-en: implementation of RSA, using padding schemes of PKCS#1 v2.1.; documentation
 This library implements the RSA encryption and signature algorithms for
 arbitrarily-sized ByteStrings. While the implementations work, they are not
 necessarily the fastest ones on the planet. Particularly key generation. The
 algorithms included are based of RFC 3447, or the Public-Key Cryptography
 Standard for RSA, version 2.1 (a.k.a, PKCS#1 v2.1).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rsa-prof
Description-md5: 8acc5a5ea31f9c445e6dbf770aedeba7
Description-en: implementation of RSA, using padding schemes of PKCS#1; profiling libraries
 This library implements the RSA encryption and signature algorithms for
 arbitrarily-sized ByteStrings. While the implementations work, they are not
 necessarily the fastest ones on the planet. Particularly key generation. The
 algorithms included are based of RFC 3447, or the Public-Key Cryptography
 Standard for RSA, version 2.1 (a.k.a, PKCS#1 v2.1).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rvar-dev
Description-md5: d89e395095ea461bf481f5c724b99008
Description-en: random variables
 Random number generation based on modeling random variables by an
 abstract type (RVar) which can be composed and manipulated
 monadically and sampled in either monadic or "pure" styles.
 .
 The primary purpose of this library is to support defining and
 sampling a wide variety of high quality random variables. Quality is
 prioritized over speed, but performance is an important goal too.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rvar-doc
Description-md5: fb10af5f166ee6cf6893e0e9863cbaef
Description-en: random variables; documentation
 Random number generation based on modeling random variables by an
 abstract type (RVar) which can be composed and manipulated
 monadically and sampled in either monadic or "pure" styles.
 .
 The primary purpose of this library is to support defining and
 sampling a wide variety of high quality random variables. Quality is
 prioritized over speed, but performance is an important goal too.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-rvar-prof
Description-md5: 2502fa9d6d46c20fb64c08c350b7dee6
Description-en: random variables; profiling libraries
 Random number generation based on modeling random variables by an
 abstract type (RVar) which can be composed and manipulated
 monadically and sampled in either monadic or "pure" styles.
 .
 The primary purpose of this library is to support defining and
 sampling a wide variety of high quality random variables. Quality is
 prioritized over speed, but performance is an important goal too.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safe-dev
Description-md5: 1bb380411da1d16e3150a00ac2ff9464
Description-en: GHC Library for safe (pattern match free) functions
 .
 Partial functions from the base library, such as head and !!, modified to
 return more descriptive error messages, programmer defined error messages,
 Maybe wrapped results and default values. These functions can be used to
 reduce the number of unsafe pattern matches in your code.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safe-doc
Description-md5: ab0bf255c2e114158eed0998cdb5b347
Description-en: Documentation for safe library for (pattern match free) functions; documentation
 .
 Partial functions from the base library, such as head and !!, modified to
 return more descriptive error messages, programmer defined error messages,
 Maybe wrapped results and default values. These functions can be used to
 reduce the number of unsafe pattern matches in your code.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safe-exceptions-dev
Description-md5: be6016a1e3b15844b74c17dc752299e7
Description-en: safe, consistent, and easy exception handling
 This package provides additional safety and simplicity versus
 Control.Exception by having its functions recognize the difference
 between synchronous and asynchronous exceptions. As described below,
 synchronous exceptions are treated as recoverable, allowing you to
 catch and handle them as well as clean up after them, whereas
 asynchronous exceptions can only be cleaned up after. In particular,
 this library prevents you from making the following mistakes:
 .
  * Catching and swallowing an asynchronous exception
  * Throwing an asynchronous exception synchronously
  * Throwing a synchronous exception asynchronously
  * Swallowing asynchronous exceptions via failing cleanup handlers
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safe-exceptions-doc
Description-md5: 8e465b415199ce0b6a6638fa9558694c
Description-en: safe, consistent, and easy exception handling; documentation
 This package provides additional safety and simplicity versus
 Control.Exception by having its functions recognize the difference
 between synchronous and asynchronous exceptions. As described below,
 synchronous exceptions are treated as recoverable, allowing you to
 catch and handle them as well as clean up after them, whereas
 asynchronous exceptions can only be cleaned up after. In particular,
 this library prevents you from making the following mistakes:
 .
  * Catching and swallowing an asynchronous exception
  * Throwing an asynchronous exception synchronously
  * Throwing a synchronous exception asynchronously
  * Swallowing asynchronous exceptions via failing cleanup handlers
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safe-exceptions-prof
Description-md5: ef99f2683faf0a051c57b1c017beb857
Description-en: safe, consistent, and easy exception handling; profiling libraries
 This package provides additional safety and simplicity versus
 Control.Exception by having its functions recognize the difference
 between synchronous and asynchronous exceptions. As described below,
 synchronous exceptions are treated as recoverable, allowing you to
 catch and handle them as well as clean up after them, whereas
 asynchronous exceptions can only be cleaned up after. In particular,
 this library prevents you from making the following mistakes:
 .
  * Catching and swallowing an asynchronous exception
  * Throwing an asynchronous exception synchronously
  * Throwing a synchronous exception asynchronously
  * Swallowing asynchronous exceptions via failing cleanup handlers
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safe-prof
Description-md5: 912192e6381ff3ce5bbaf83318076410
Description-en: Profiling library for safe (pattern match free) functions; profiling libraries
 .
 Partial functions from the base library, such as head and !!, modified to
 return more descriptive error messages, programmer defined error messages,
 Maybe wrapped results and default values. These functions can be used to
 reduce the number of unsafe pattern matches in your code.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safecopy-dev
Description-md5: 5b8b3ee00f6f2fb0afd19fa3162a244e
Description-en: Haskell serialization library with version control - GHC libraries
 SafeCopy is an extension to cereal, a Haskell serialization library:
 it adds the ability to define new versions of some data tpe and
 automatically convert variables serialized with an old format to a
 newer one.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safecopy-doc
Description-md5: f72256f3df8d0bbad8887354ea31e824
Description-en: Haskell serialization library with version control - documentation; documentation
 SafeCopy is an extension to cereal, a Haskell serialization library:
 it adds the ability to define new versions of some data tpe and
 automatically convert variables serialized with an old format to a
 newer one.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safecopy-prof
Description-md5: 432db5ca2fc3f383057c8002ef987f45
Description-en: Haskell serialization library with version control - GHC profiling libraries; profiling libraries
 SafeCopy is an extension to cereal, a Haskell serialization library:
 it adds the ability to define new versions of some data tpe and
 automatically convert variables serialized with an old format to a
 newer one.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safesemaphore-dev
Description-md5: 853c5a18597921f40c5053a49627c402
Description-en: exception safe alternatives to QSem, QSemN, and SampleVar - GHC libraries
 This is an alternative to the deprecated QSem, QSemN, and SampleVar in
 GHC base. Those base modules are not exception safe and can be broken
 by killThread.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safesemaphore-doc
Description-md5: ab5bf8224f8d99bb9c6a4cce93b17fa9
Description-en: exception safe alternatives to QSem, QSemN, and SampleVar - documentation; documentation
 This is an alternative to the deprecated QSem, QSemN, and SampleVar in
 GHC base. Those base modules are not exception safe and can be broken
 by killThread.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-safesemaphore-prof
Description-md5: 50eb022bfffd95dd6f98321ff0eeb366
Description-en: exception safe alternatives to QSem, QSemN, and SampleVar - GHC profiling data; profiling libraries
 This is an alternative to the deprecated QSem, QSemN, and SampleVar in
 GHC base. Those base modules are not exception safe and can be broken
 by killThread.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sandi-dev
Description-md5: 8f4423c05f31116009d73a9a67e39bc4
Description-en: Haskell library of data encoders and decoders
 Data encoding library currently providing Base16, Base32, Base32Hex, Base64,
 Base64Url, Base85, Python string escaping, Quoted-Printable, URL encoding,
 uuencode, xxencode, and yEncoding.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sandi-doc
Description-md5: a72f54447e41d1c92bf77ca6a557ef79
Description-en: Haskell library of data encoders and decoders; documentation
 Data encoding library currently providing Base16, Base32, Base32Hex, Base64,
 Base64Url, Base85, Python string escaping, Quoted-Printable, URL encoding,
 uuencode, xxencode, and yEncoding.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sandi-prof
Description-md5: b5c4181a398a8a023d4ffb7ee1cd92a9
Description-en: Haskell library of data encoders and decoders; profiling libraries
 Data encoding library currently providing Base16, Base32, Base32Hex, Base64,
 Base64Url, Base85, Python string escaping, Quoted-Printable, URL encoding,
 uuencode, xxencode, and yEncoding.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-say-dev
Description-md5: bcc8e6c9528f3b724ccdc3f407fb878f
Description-en: send textual messages to a Handle in a thread-friendly way
 When writing a line to a Handle,
 .
  * Properly handle character encoding settings on the Handle
  * For reasonably sized messages, ensure that the entire message
    is written in one chunk to avoid interleaving data with other threads
  * This includes the trailing newline character
  * Avoid unnecessary memory allocations and copies
  * Minimize locking
  * Provide a simple API
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-say-doc
Description-md5: 5f282e05c3c2364be66454fcdd6bb1de
Description-en: send textual messages to a Handle in a thread-friendly way; documentation
 When writing a line to a Handle,
 .
  * Properly handle character encoding settings on the Handle
  * For reasonably sized messages, ensure that the entire message
    is written in one chunk to avoid interleaving data with other threads
  * This includes the trailing newline character
  * Avoid unnecessary memory allocations and copies
  * Minimize locking
  * Provide a simple API
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-say-prof
Description-md5: 0bdfab99e51d3adcdaed10baafb03453
Description-en: send textual messages to a Handle in a thread-friendly way; profiling libraries
 When writing a line to a Handle,
 .
  * Properly handle character encoding settings on the Handle
  * For reasonably sized messages, ensure that the entire message
    is written in one chunk to avoid interleaving data with other threads
  * This includes the trailing newline character
  * Avoid unnecessary memory allocations and copies
  * Minimize locking
  * Provide a simple API
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sbv-dev
Description-md5: fb825dee1610b5f96cd67497fb87122e
Description-en: symbolic Haskell theorem prover using SMT solving
 Express properties about Haskell programs and automatically prove them
 using SMT (Satisfiability Modulo Theories) solvers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sbv-doc
Description-md5: 2e917835f8c05d89aaee90f956bb609f
Description-en: symbolic Haskell theorem prover using SMT solving; documentation
 Express properties about Haskell programs and automatically prove them
 using SMT (Satisfiability Modulo Theories) solvers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sbv-prof
Description-md5: 72226d4fbd831fbab742cc510773f81a
Description-en: symbolic Haskell theorem prover using SMT solving; profiling libraries
 Express properties about Haskell programs and automatically prove them
 using SMT (Satisfiability Modulo Theories) solvers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scanner-dev
Description-md5: e2019cfe235c92ce551f4b397cd1feb4
Description-en: fast incremental combinator parsing for bytestrings
 Parser combinator library designed to be fast. It doesn't
 support backtracking.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scanner-doc
Description-md5: 689a528dbecce37f7a39ff58a51a46c0
Description-en: fast incremental combinator parsing for bytestrings; documentation
 Parser combinator library designed to be fast. It doesn't
 support backtracking.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scanner-prof
Description-md5: 34e22ee2131cd916d9b2de464e2ca59a
Description-en: fast incremental combinator parsing for bytestrings; profiling libraries
 Parser combinator library designed to be fast. It doesn't
 support backtracking.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scientific-dev
Description-md5: 59c068b2a70fd5ec5eea88b7941cead9
Description-en: scientific notation for floating-point numbers
 This package provides a Haskell datatype for representing and pretty-printing
 arbitrary-precision numbers using scientific notation.
 .
 Its primary use-case is to serve as the target of parsing floating point
 numbers. Since the textual representation of floating point numbers use
 scientific notation they can be efficiently parsed to a Scientific number.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scientific-doc
Description-md5: 28399f64b2999da8461dd474bd8cd9a3
Description-en: scientific notation for floating-point numbers; documentation
 This package provides a Haskell datatype for representing and pretty-printing
 arbitrary-precision numbers using scientific notation.
 .
 Its primary use-case is to serve as the target of parsing floating point
 numbers. Since the textual representation of floating point numbers use
 scientific notation they can be efficiently parsed to a Scientific number.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scientific-prof
Description-md5: 1909a0fa8d25deded64447f3a629f56c
Description-en: scientific notation for floating-point numbers; profiling libraries
 This package provides a Haskell datatype for representing and pretty-printing
 arbitrary-precision numbers using scientific notation.
 .
 Its primary use-case is to serve as the target of parsing floating point
 numbers. Since the textual representation of floating point numbers use
 scientific notation they can be efficiently parsed to a Scientific number.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scotty-dev
Description-md5: 87ab6eebbd7d77be0c28585e4429c31d
Description-en: Haskell web framework inspired by Ruby's Sinatra
 A Haskell web framework inspired by Ruby's Sinatra, using WAI and Warp.
 .
 Scotty is the cheap and cheerful way to write RESTful, declarative web
 applications.
 .
 * A page is as simple as defining the verb, url pattern, and Text content.
 .
 * It is template-language agnostic. Anything that returns a Text value will do.
 .
 * Conforms to WAI Application interface.
 .
 * Uses very fast Warp webserver by default.
 .
 As for the name: Sinatra + Warp = Scotty.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scotty-doc
Description-md5: f754e5fff425f02bb2a0522015d1f604
Description-en: Haskell web framework inspired by Ruby's Sinatra; documentation
 A Haskell web framework inspired by Ruby's Sinatra, using WAI and Warp.
 .
 Scotty is the cheap and cheerful way to write RESTful, declarative web
 applications.
 .
 * A page is as simple as defining the verb, url pattern, and Text content.
 .
 * It is template-language agnostic. Anything that returns a Text value will do.
 .
 * Conforms to WAI Application interface.
 .
 * Uses very fast Warp webserver by default.
 .
 As for the name: Sinatra + Warp = Scotty.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-scotty-prof
Description-md5: 801b424aeb72df6fd529915f1830e420
Description-en: Haskell web framework inspired by Ruby's Sinatra; profiling libraries
 A Haskell web framework inspired by Ruby's Sinatra, using WAI and Warp.
 .
 Scotty is the cheap and cheerful way to write RESTful, declarative web
 applications.
 .
 * A page is as simple as defining the verb, url pattern, and Text content.
 .
 * It is template-language agnostic. Anything that returns a Text value will do.
 .
 * Conforms to WAI Application interface.
 .
 * Uses very fast Warp webserver by default.
 .
 As for the name: Sinatra + Warp = Scotty.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sdl-dev
Description-md5: 569efd20b259183e91406e0f0c2baddf
Description-en: Haskell SDL binding for GHC
 This package provides the SDL library bindings for the Haskell programming
 language. SDL is a library that allows programs portable low level access
 to a video framebuffer, audio output, mouse, and keyboard.

Package: libghc-sdl-doc
Description-md5: 6179ca44fb70a3db7d5476607f671f67
Description-en: Haskell SDL binding for GHC - documentation
 This package provides the documentation for the SDL library bindings for
 the Haskell programming language. SDL is a library that allows programs
 portable low level access to a video framebuffer, audio output, mouse, and
 keyboard.

Package: libghc-sdl-gfx-dev
Description-md5: 0b9ffcdde98a70c5148155d7ad66e1f2
Description-en: Haskell SDL gfx binding for GHC
 This package provides the SDL gfx library bindings for the Haskell
 programming language. SDL gfx is an extension to the SDL library which
 provides basic antialiased drawing routines such as lines, circles or
 polygons, an interpolating rotozoomer for SDL surfaces, framerate control
 and MMX image filters.

Package: libghc-sdl-gfx-doc
Description-md5: 867bd9825a56b6e322f07e80cdf95b83
Description-en: Haskell SDL gfx binding for GHC - documentation
 This package provides the documentation for the SDL gfx library bindings
 for the Haskell programming language. SDL gfx is an extension to the SDL
 library which provides basic antialiased drawing routines such as lines,
 circles or polygons, an interpolating rotozoomer for SDL surfaces,
 framerate control and MMX image filters.

Package: libghc-sdl-gfx-prof
Description-md5: d10daada19a8fdfacbc87e49d5626014
Description-en: Haskell SDL gfx binding for GHC - profiling libraries
 This package provides the SDL gfx library bindings for the Haskell
 programming language, compiled for profiling. SDL gfx is an extension
 to the SDL library which provides basic antialiased drawing routines
 such as lines, circles or polygons, an interpolating rotozoomer for SDL
 surfaces, framerate control and MMX image filters.

Package: libghc-sdl-image-dev
Description-md5: 934c7ea3bb3bd32b1562c398f42545ca
Description-en: Haskell SDL Image binding for GHC
 This package provides the SDL Image library bindings for the Haskell
 programming language. SDL Image is a simple library to load images of
 various formats as SDL surfaces.

Package: libghc-sdl-image-doc
Description-md5: 4e9a4dd29c37b91b7dad079958e38760
Description-en: Haskell SDL Image binding for GHC - documentation
 This package provides the documentation for the SDL Image library bindings
 for the Haskell programming language. SDL Image is a simple
 library to load images of various formats as SDL surfaces.

Package: libghc-sdl-image-prof
Description-md5: 5602091f053ff00196ba3f4afef5337f
Description-en: Haskell SDL Image binding for GHC - profiling libraries
 This package provides the SDL Image library bindings for the Haskell
 programming language, compiled for profiling. SDL Image is a simple
 library to load images of various formats as SDL surfaces.

Package: libghc-sdl-mixer-dev
Description-md5: a734393dc30554ffd4d09a52cd39fb41
Description-en: Haskell SDL Mixer binding for GHC
 This package provides the SDL Mixer library bindings for the Haskell
 programming language. SDL Mixer is sample multi-channel audio mixer library.

Package: libghc-sdl-mixer-doc
Description-md5: 4e2d17761bfeb4c7455cd7c12e73ab34
Description-en: Haskell SDL Mixer binding for GHC - documentation
 This package provides the documentation for the SDL Mixer library bindings
 for the Haskell programming language. SDL Mixer is a sample multi-channel
 audio mixer library.

Package: libghc-sdl-mixer-prof
Description-md5: 303a99beb5c99eafb5f6d0e3056e6644
Description-en: Haskell SDL Mixer binding for GHC - profiling libraries
 This package provides the SDL Mixer library bindings for the Haskell
 programming language, compiled for profiling. SDL Mixer is a sample
 multi-channel audio mixer library.

Package: libghc-sdl-prof
Description-md5: 1e756f421da25eeb449a8cf08e12dfb4
Description-en: Haskell SDL binding for GHC - profiling libraries
 This package provides the SDL library bindings for the Haskell programming
 language, compiled for profiling. SDL is a library that allows programs
 portable low level access to a video framebuffer, audio output, mouse, and
 keyboard.

Package: libghc-sdl-ttf-dev
Description-md5: d61c3bf9344cfbc7e8627df80c48d028
Description-en: Haskell SDL TTF binding for GHC
 This package provides the SDL TTF library bindings for the Haskell
 programming language. SDL TTF allows you to use TrueType fonts in SDL
 applications.

Package: libghc-sdl-ttf-doc
Description-md5: 071664f690a1d0989cc3ed447da18549
Description-en: Haskell SDL TTF binding for GHC - documentation
 This package provides the documentation for the SDL TTF library bindings
 for the Haskell programming language. SDL TTF allows you to use TrueType
 fonts in SDL applications.

Package: libghc-sdl-ttf-prof
Description-md5: 316b1b2c022a43e53a4c565176854af0
Description-en: Haskell SDL TTF binding for GHC - profiling libraries
 This package provides the SDL TTF library bindings for the Haskell
 programming language, compiled for profiling. SDL TTF allows you
 to use TrueType fonts in SDL applications.

Package: libghc-sdl2-dev
Description-md5: 57fd0fd6eef16dfdc985418693be55b2
Description-en: high- and low-level bindings to the SDL 2 library
 This package contains bindings to the SDL 2 library, in both high- and
 low-level forms:
 .
 The SDL namespace contains high-level bindings, where enumerations are split
 into sum types, and automatic error-checking is performed.
 .
 The SDL.Raw namespace contains an almost 1-1 translation of the C API into
 Haskell FFI calls. As such, this does not contain sum types nor error
 checking. Thus this namespace is suitable for building your own abstraction
 over SDL, but is not recommended for day-to-day programming.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sdl2-doc
Description-md5: 82b9e091c5783287d82f7d7bbae1aa08
Description-en: high- and low-level bindings to the SDL 2 library; documentation
 This package contains bindings to the SDL 2 library, in both high- and
 low-level forms:
 .
 The SDL namespace contains high-level bindings, where enumerations are split
 into sum types, and automatic error-checking is performed.
 .
 The SDL.Raw namespace contains an almost 1-1 translation of the C API into
 Haskell FFI calls. As such, this does not contain sum types nor error
 checking. Thus this namespace is suitable for building your own abstraction
 over SDL, but is not recommended for day-to-day programming.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sdl2-prof
Description-md5: f903d5f1b1173dd2b4fee65d21aa67df
Description-en: high- and low-level bindings to the SDL 2 library; profiling libraries
 This package contains bindings to the SDL 2 library, in both high- and
 low-level forms:
 .
 The SDL namespace contains high-level bindings, where enumerations are split
 into sum types, and automatic error-checking is performed.
 .
 The SDL.Raw namespace contains an almost 1-1 translation of the C API into
 Haskell FFI calls. As such, this does not contain sum types nor error
 checking. Thus this namespace is suitable for building your own abstraction
 over SDL, but is not recommended for day-to-day programming.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sdl2-ttf-dev
Description-md5: 1842fe0899969a8f9d8c726eaa4a4a24
Description-en: bindings to SDL2_ttf
 Haskell bindings to SDL2_ttf C++ library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sdl2-ttf-doc
Description-md5: ae59168b5036c50b10a0e3cb573fbbe4
Description-en: bindings to SDL2_ttf; documentation
 Haskell bindings to SDL2_ttf C++ library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sdl2-ttf-prof
Description-md5: 1739e53636055cc433e99decd691d80e
Description-en: bindings to SDL2_ttf; profiling libraries
 Haskell bindings to SDL2_ttf C++ library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-secret-sharing-dev
Description-md5: b329021051130cf8757aee26a8555e97
Description-en: (m, n)-threshold secret sharing scheme
 This library implements the secret sharing method described by Adi
 Shamir, "How to Share a Secret", Communications of the ACM 22 (11):
 612–613, 1979.
 .
 Given a bytestring and integers n and m, produces n strings, any m of
 which are sufficient to reconstruct the original bytestring.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-secret-sharing-doc
Description-md5: 134c8bba8e08eb5e30583683ab480c80
Description-en: (m, n)-threshold secret sharing scheme; documentation
 This library implements the secret sharing method described by Adi
 Shamir, "How to Share a Secret", Communications of the ACM 22 (11):
 612–613, 1979.
 .
 Given a bytestring and integers n and m, produces n strings, any m of
 which are sufficient to reconstruct the original bytestring.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-secret-sharing-prof
Description-md5: cdeb07615d162bb5f6461bf096672a09
Description-en: (m, n)-threshold secret sharing scheme; profiling libraries
 This library implements the secret sharing method described by Adi
 Shamir, "How to Share a Secret", Communications of the ACM 22 (11):
 612–613, 1979.
 .
 Given a bytestring and integers n and m, produces n strings, any m of
 which are sufficient to reconstruct the original bytestring.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-securemem-dev
Description-md5: 9675402b4862033d3c80c867267b6c4a
Description-en: auto-scrubbing and const-time-eq memory chunk abstraction
 SecureMem is similar to ByteString, except that it provides a memory
 chunk that will be auto-scrubbed after it run out of scope.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-securemem-doc
Description-md5: 9a3941b3059bf2fe3b3050b6892375c3
Description-en: auto-scrubbing and const-time-eq memory chunk abstraction; documentation
 SecureMem is similar to ByteString, except that it provides a memory
 chunk that will be auto-scrubbed after it run out of scope.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-securemem-prof
Description-md5: 2ca602d3515503407e9ec8fb763d91a2
Description-en: auto-scrubbing and const-time-eq memory chunk abstraction; profiling libraries
 SecureMem is similar to ByteString, except that it provides a memory
 chunk that will be auto-scrubbed after it run out of scope.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-semigroupoids-dev
Description-md5: f7dba4c02e4c0d94efb4aac5e9c91df9
Description-en: Haskell 98 semigroupoids: Category sans id
 A Semigroupoid is a Category without the requirement of identity arrows for
 every object in the category.
 .
 When working with comonads you often have the <*> portion of an Applicative,
 but not the pure. This was captured in Uustalu and Vene's "Essence of Dataflow
 Programming" in the form of the ComonadZip class in the days before
 Applicative. Apply provides a weaker invariant, but for the comonads used for
 data flow programming (found in the streams package), this invariant is
 preserved. Applicative function composition forms a semigroupoid.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-semigroupoids-doc
Description-md5: cf3f56c5edd3d17f6dc61c07fddcdf81
Description-en: Haskell 98 semigroupoids: Category sans id; documentation
 A Semigroupoid is a Category without the requirement of identity arrows for
 every object in the category.
 .
 When working with comonads you often have the <*> portion of an Applicative,
 but not the pure. This was captured in Uustalu and Vene's "Essence of Dataflow
 Programming" in the form of the ComonadZip class in the days before
 Applicative. Apply provides a weaker invariant, but for the comonads used for
 data flow programming (found in the streams package), this invariant is
 preserved. Applicative function composition forms a semigroupoid.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-semigroupoids-prof
Description-md5: 95d317fc6204af33adf94bb5d166c197
Description-en: Haskell 98 semigroupoids: Category sans id; profiling libraries
 A Semigroupoid is a Category without the requirement of identity arrows for
 every object in the category.
 .
 When working with comonads you often have the <*> portion of an Applicative,
 but not the pure. This was captured in Uustalu and Vene's "Essence of Dataflow
 Programming" in the form of the ComonadZip class in the days before
 Applicative. Apply provides a weaker invariant, but for the comonads used for
 data flow programming (found in the streams package), this invariant is
 preserved. Applicative function composition forms a semigroupoid.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-semigroups-dev
Description-md5: 772427a61117a35b1ea8a7c2116b8f33
Description-en: Haskell98 semigroups
 It contains a Haskell 98 logically-uninhabited data type used to
 indicate that a given term should not exist.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-semigroups-doc
Description-md5: aed13b065864606cba468db71eb31c62
Description-en: Haskell98 semigroups; documentation
 It contains a Haskell 98 logically-uninhabited data type used to
 indicate that a given term should not exist.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-semigroups-prof
Description-md5: 7c10bc6bfe42d07c1e4989e39b8a13e1
Description-en: Haskell98 semigroups; profiling libraries
 It contains a Haskell 98 logically-uninhabited data type used to
 indicate that a given term should not exist.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sendfile-dev
Description-md5: 5444d753ff8834509bde441ccceae683
Description-en: Haskell portable sendfile library
 This Haskell library exposes zero-copy sendfile functionality in a
 portable way. sendfile is a non standard system call that copies data
 between one file descriptor and another. This library uses the native
 implementations where possible (for example, under Linux or FreeBSD) and
 provides an Haskell replacement in other cases, thus providing a
 portable interface.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sendfile-doc
Description-md5: 0d0f5c72967eb0a83f78bee074a8deac
Description-en: Haskell portable sendfile library; documentation
 This Haskell library exposes zero-copy sendfile functionality in a
 portable way. sendfile is a non standard system call that copies data
 between one file descriptor and another. This library uses the native
 implementations where possible (for example, under Linux or FreeBSD) and
 provides an Haskell replacement in other cases, thus providing a
 portable interface.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sendfile-prof
Description-md5: 9ede0478213960158773845752c9a6ce
Description-en: Haskell portable sendfile library; profiling libraries
 This Haskell library exposes zero-copy sendfile functionality in a
 portable way. sendfile is a non standard system call that copies data
 between one file descriptor and another. This library uses the native
 implementations where possible (for example, under Linux or FreeBSD) and
 provides an Haskell replacement in other cases, thus providing a
 portable interface.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-serialise-dev
Description-md5: ad3bd7e5da3adf93947d7c7eddac8bd3
Description-en: binary serialisation library for Haskell values
 This package (formerly binary-serialise-cbor) provides pure, efficient
 serialization of Haskell values directly into ByteStrings for storage or
 transmission purposes. By providing a set of typeclass instances, you can
 also serialise any custom data type you have as well.
 .
 The underlying binary format used is the 'Concise Binary Object
 Representation', or CBOR, specified in RFC 7049. As a result,
 serialised Haskell values have implicit structure outside of the
 Haskell program itself, meaning they can be inspected or analyzed
 without custom tools.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-serialise-doc
Description-md5: 64ffad5f57e0406e37396164efbafd8b
Description-en: binary serialisation library for Haskell values; documentation
 This package (formerly binary-serialise-cbor) provides pure, efficient
 serialization of Haskell values directly into ByteStrings for storage or
 transmission purposes. By providing a set of typeclass instances, you can
 also serialise any custom data type you have as well.
 .
 The underlying binary format used is the 'Concise Binary Object
 Representation', or CBOR, specified in RFC 7049. As a result,
 serialised Haskell values have implicit structure outside of the
 Haskell program itself, meaning they can be inspected or analyzed
 without custom tools.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-serialise-prof
Description-md5: 5d766d99dc3f1c78a858cd20dfe582d6
Description-en: binary serialisation library for Haskell values; profiling libraries
 This package (formerly binary-serialise-cbor) provides pure, efficient
 serialization of Haskell values directly into ByteStrings for storage or
 transmission purposes. By providing a set of typeclass instances, you can
 also serialise any custom data type you have as well.
 .
 The underlying binary format used is the 'Concise Binary Object
 Representation', or CBOR, specified in RFC 7049. As a result,
 serialised Haskell values have implicit structure outside of the
 Haskell program itself, meaning they can be inspected or analyzed
 without custom tools.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-client-core-dev
Description-md5: 2cf9f75c07c5f2cb2d650f3ca32dc223
Description-en: Core functionality and class for client function generation for servant APIs
 This library provides backend-agnostic generation of client functions. For
 more information, see the README.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-client-core-doc
Description-md5: b5a9dca751c4c166618f8b292000b095
Description-en: Core functionality and class for client function generation for servant APIs; documentation
 This library provides backend-agnostic generation of client functions. For
 more information, see the README.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-client-core-prof
Description-md5: b07be6e590b73c4fb72974ff4ed32920
Description-en: Core functionality and class for client function generation for servant APIs; profiling libraries
 This library provides backend-agnostic generation of client functions. For
 more information, see the README.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-client-dev
Description-md5: f4adc49a00b627d96663a1dd2ae6cba3
Description-en: library for writing clients for servant webservices
 This library automatically derives functions for writing clients for
 webservices produced using the servant library.
 .
 See http://haskell-servant.readthedocs.org/en/stable/tutorial/Client.html
 for a tutorial.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-client-doc
Description-md5: 0232d5a6baeedbe285c259c0bcfdab34
Description-en: library for writing clients for servant webservices; documentation
 This library automatically derives functions for writing clients for
 webservices produced using the servant library.
 .
 See http://haskell-servant.readthedocs.org/en/stable/tutorial/Client.html
 for a tutorial.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-client-prof
Description-md5: 8fb40ad9252fb413cf9bb9bf2c952a3d
Description-en: library for writing clients for servant webservices; profiling libraries
 This library automatically derives functions for writing clients for
 webservices produced using the servant library.
 .
 See http://haskell-servant.readthedocs.org/en/stable/tutorial/Client.html
 for a tutorial.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-dev
Description-md5: 98d1b6d97c5eb85d54bcd6cebd4f55ed
Description-en: family of combinators for defining webservices APIs
 A family of combinators for defining webservices APIs and serving them.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-doc
Description-md5: 188d969b4db0abf682074cdc7722b908
Description-en: family of combinators for defining webservices APIs; documentation
 A family of combinators for defining webservices APIs and serving them.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-prof
Description-md5: e5c8eb73698422216cd909b54f8e0291
Description-en: family of combinators for defining webservices APIs; profiling libraries
 A family of combinators for defining webservices APIs and serving them.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-server-dev
Description-md5: e451e824ef518ab511769d7211f18817
Description-en: combinators for defining webservices APIs and serving them
 A family of combinators for defining web services APIs and serving them.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-server-doc
Description-md5: e8a043f9083b740a03079d2b75e033df
Description-en: combinators for defining webservices APIs and serving them; documentation
 A family of combinators for defining web services APIs and serving them.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-servant-server-prof
Description-md5: 9eee81b04ca43ace22056031f450f65f
Description-en: combinators for defining webservices APIs and serving them; profiling libraries
 A family of combinators for defining web services APIs and serving them.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-set-extra-dev
Description-md5: 51f0035431a2b1f97cf4ec23a44395f4
Description-en: utility functions for working with sets
 This package provides function that are commonly needed when working with
 sets, but are not part of the standard library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-set-extra-doc
Description-md5: 8cedc69e6d9ddc3e3d964e038f8d1908
Description-en: utility functions for working with sets; documentation
 This package provides function that are commonly needed when working with
 sets, but are not part of the standard library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-set-extra-prof
Description-md5: e834b21c9895f45da0c24f09975c6a94
Description-en: utility functions for working with sets; profiling libraries
 This package provides function that are commonly needed when working with
 sets, but are not part of the standard library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-setenv-dev
Description-md5: db534247f69cb5f50c2c33805a0e6f9a
Description-en: Cross-platform library for setting environment variables
 This package provides a cross-platform library for setting environment
 variables.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-setenv-doc
Description-md5: 8745a9f2730133b955b0a235eabbcab6
Description-en: Cross-platform library for setting environment variables; documentation
 This package provides a cross-platform library for setting environment
 variables.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-setenv-prof
Description-md5: 4ec7a77b07bcb2fd9d2f43a4e0bdb838
Description-en: Cross-platform library for setting environment variables; profiling libraries
 This package provides a cross-platform library for setting environment
 variables.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-setlocale-dev
Description-md5: ddb3478499e6e4fac7e2e084a14c3f75
Description-en: Haskell bindings to setlocale
 This package provides bingings to the setlocale function.
 For more information see setlocale(3)
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-setlocale-doc
Description-md5: 684bfd53f0c9caa88bb17be88fdd81d0
Description-en: Haskell bindings to setlocale; documentation
 This package provides bingings to the setlocale function.
 For more information see setlocale(3)
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-setlocale-prof
Description-md5: 9549a0b85edf21dfa0d6932715bd8fe7
Description-en: Haskell bindings to setlocale; profiling libraries
 This package provides bingings to the setlocale function.
 For more information see setlocale(3)
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sha-dev
Description-md5: f0fc508d2a3d35aab067b281602a94af
Description-en: Haskell SHA suite of message digest functions
 This library implements the SHA suite of message digest functions,
 according to NIST FIPS 180-2 (with the SHA-224 addendum), as well
 as the SHA-based HMAC routines.
 .
 The functions have been tested  against most of the NIST and RFC test
 vectors for the various functions. While some attention has been paid
 to performance, these do not presently reach the speed of well-tune
 libraries, like OpenSSL.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sha-doc
Description-md5: a2f3dfb36cd46dc12ae41df39b953fee
Description-en: Haskell SHA suite of message digest functions; documentation
 This library implements the SHA suite of message digest functions,
 according to NIST FIPS 180-2 (with the SHA-224 addendum), as well
 as the SHA-based HMAC routines.
 .
 The functions have been tested  against most of the NIST and RFC test
 vectors for the various functions. While some attention has been paid
 to performance, these do not presently reach the speed of well-tune
 libraries, like OpenSSL.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sha-prof
Description-md5: 567a21c4223206916d11113e382187fe
Description-en: Haskell SHA suite of message digest functions; profiling libraries
 This library implements the SHA suite of message digest functions,
 according to NIST FIPS 180-2 (with the SHA-224 addendum), as well
 as the SHA-based HMAC routines.
 .
 The functions have been tested  against most of the NIST and RFC test
 vectors for the various functions. While some attention has been paid
 to performance, these do not presently reach the speed of well-tune
 libraries, like OpenSSL.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shake-data
Description-md5: 202f487238f812e0e9fdb5bfd6e4884b
Description-en: Haskell library for writing build systems; data files
 Shake is a Haskell library for writing build systems - designed as a
 replacement for make.
 .
 To use Shake the user writes a Haskell program that imports
 Development.Shake, defines some build rules, and calls the
 Development.Shake.shakeArgs function. Thanks to do notation and infix
 operators, a simple Shake build system is not too dissimilar from a simple
 Makefile. However, as build systems get more complex, Shake is able to take
 advantage of the excellent abstraction facilities offered by Haskell and
 easily support much larger projects. The Shake library provides all the
 standard features available in other build systems, including automatic
 parallelism and minimal rebuilds. Shake also provides more accurate
 dependency tracking, including seamless support for generated files, and
 dependencies on system information (e.g. compiler version).
 .
 This package contains the data files needed to use the library.

Package: libghc-shake-dev
Description-md5: 05861d8bb816e7e0a3ccf5cdc9a9c873
Description-en: Haskell library for writing build systems
 Shake is a Haskell library for writing build systems - designed as a
 replacement for make.
 .
 To use Shake the user writes a Haskell program that imports
 Development.Shake, defines some build rules, and calls the
 Development.Shake.shakeArgs function. Thanks to do notation and infix
 operators, a simple Shake build system is not too dissimilar from a simple
 Makefile. However, as build systems get more complex, Shake is able to take
 advantage of the excellent abstraction facilities offered by Haskell and
 easily support much larger projects. The Shake library provides all the
 standard features available in other build systems, including automatic
 parallelism and minimal rebuilds. Shake also provides more accurate
 dependency tracking, including seamless support for generated files, and
 dependencies on system information (e.g. compiler version).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shake-doc
Description-md5: 5c720b66eddb6121a940bc2aa96a69d4
Description-en: Haskell library for writing build systems; documentation
 Shake is a Haskell library for writing build systems - designed as a
 replacement for make.
 .
 To use Shake the user writes a Haskell program that imports
 Development.Shake, defines some build rules, and calls the
 Development.Shake.shakeArgs function. Thanks to do notation and infix
 operators, a simple Shake build system is not too dissimilar from a simple
 Makefile. However, as build systems get more complex, Shake is able to take
 advantage of the excellent abstraction facilities offered by Haskell and
 easily support much larger projects. The Shake library provides all the
 standard features available in other build systems, including automatic
 parallelism and minimal rebuilds. Shake also provides more accurate
 dependency tracking, including seamless support for generated files, and
 dependencies on system information (e.g. compiler version).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shake-prof
Description-md5: cd99b7098a0710e1e8907c500b5acb51
Description-en: Haskell library for writing build systems; profiling libraries
 Shake is a Haskell library for writing build systems - designed as a
 replacement for make.
 .
 To use Shake the user writes a Haskell program that imports
 Development.Shake, defines some build rules, and calls the
 Development.Shake.shakeArgs function. Thanks to do notation and infix
 operators, a simple Shake build system is not too dissimilar from a simple
 Makefile. However, as build systems get more complex, Shake is able to take
 advantage of the excellent abstraction facilities offered by Haskell and
 easily support much larger projects. The Shake library provides all the
 standard features available in other build systems, including automatic
 parallelism and minimal rebuilds. Shake also provides more accurate
 dependency tracking, including seamless support for generated files, and
 dependencies on system information (e.g. compiler version).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shakespeare-dev
Description-md5: 1811c2486d0b3c52a84cd87beb8feabe
Description-en: toolkit for making compile-time interpolated templates
 Shakespeare is a template family for type-safe, efficient templates
 with simple variable interpolation . Shakespeare templates can be
 used inline with a quasi-quoter or in an external file. Shakespeare
 interpolates variables according to the type being inserted.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shakespeare-doc
Description-md5: f2f92a4f01b885afb70bdcdc3218a843
Description-en: toolkit for making compile-time interpolated templates; documentation
 Shakespeare is a template family for type-safe, efficient templates
 with simple variable interpolation . Shakespeare templates can be
 used inline with a quasi-quoter or in an external file. Shakespeare
 interpolates variables according to the type being inserted.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shakespeare-prof
Description-md5: bdd0e2d2e7a9411b68c74651f836abe3
Description-en: toolkit for making compile-time interpolated templates; profiling libraries
 Shakespeare is a template family for type-safe, efficient templates
 with simple variable interpolation . Shakespeare templates can be
 used inline with a quasi-quoter or in an external file. Shakespeare
 interpolates variables according to the type being inserted.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shell-conduit-dev
Description-md5: e08d8ae5a2025038c3da2155f1fc49ce
Description-en: write shell scripts with Conduit
 Framework for using Conduits in the style of shell scripting.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shell-conduit-doc
Description-md5: b1cc4a6162cb4e8b1e23cf60ca337f83
Description-en: write shell scripts with Conduit; documentation
 Framework for using Conduits in the style of shell scripting.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shell-conduit-prof
Description-md5: 46909562eba3b0a00bbf589b96ffaa9d
Description-en: write shell scripts with Conduit; profiling libraries
 Framework for using Conduits in the style of shell scripting.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shelly-dev
Description-md5: 45278d0ecfd74960a781254588ecb2c9
Description-en: shell-like (systems) programming in Haskell
 Shelly provides convenient systems programming in Haskell,
 similar in spirit to POSIX shells. Shelly:
 .
  * is aimed at convenience and getting things done rather than
    being a demonstration of elegance
  * has detailed and useful error messages
  * maintains its own environment, making it thread-safe
  * is modern, using Text and system-filepath/system-fileio
 .
 Shelly is originally forked from the Shellish package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shelly-doc
Description-md5: afc17c294a701be3efd339f16b89ccbf
Description-en: shell-like (systems) programming in Haskell; documentation
 Shelly provides convenient systems programming in Haskell,
 similar in spirit to POSIX shells. Shelly:
 .
  * is aimed at convenience and getting things done rather than
    being a demonstration of elegance
  * has detailed and useful error messages
  * maintains its own environment, making it thread-safe
  * is modern, using Text and system-filepath/system-fileio
 .
 Shelly is originally forked from the Shellish package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-shelly-prof
Description-md5: 6905e9b45a3532ab6cd2fe8eab89ec99
Description-en: shell-like (systems) programming in Haskell; profiling libraries
 Shelly provides convenient systems programming in Haskell,
 similar in spirit to POSIX shells. Shelly:
 .
  * is aimed at convenience and getting things done rather than
    being a demonstration of elegance
  * has detailed and useful error messages
  * maintains its own environment, making it thread-safe
  * is modern, using Text and system-filepath/system-fileio
 .
 Shelly is originally forked from the Shellish package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-should-not-typecheck-dev
Description-md5: 7a0681ec53a844cef8315ac420be0eb9
Description-en: verify that an expression does not typecheck
 should-not-typecheck is a Haskell library which allows you to assert
 that an expression does not typecheck in your tests. It provides one
 function, shouldNotTypecheck, which takes an expression and will fail
 the test if it typechecks. shouldNotTypecheck returns an HUnit
 Assertion (so it can be used with both HUnit and hspec).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-should-not-typecheck-doc
Description-md5: 56d9a5e50400633e8034b1a1e0faaadc
Description-en: verify that an expression does not typecheck; documentation
 should-not-typecheck is a Haskell library which allows you to assert
 that an expression does not typecheck in your tests. It provides one
 function, shouldNotTypecheck, which takes an expression and will fail
 the test if it typechecks. shouldNotTypecheck returns an HUnit
 Assertion (so it can be used with both HUnit and hspec).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-should-not-typecheck-prof
Description-md5: 714f827d0b585c83a516c979fcf599b5
Description-en: verify that an expression does not typecheck; profiling libraries
 should-not-typecheck is a Haskell library which allows you to assert
 that an expression does not typecheck in your tests. It provides one
 function, shouldNotTypecheck, which takes an expression and will fail
 the test if it typechecks. shouldNotTypecheck returns an HUnit
 Assertion (so it can be used with both HUnit and hspec).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-show-dev
Description-md5: 29f899e50a530b37beee454ca508283f
Description-en: Show instances for lambdabot
 Show instances for functions and IO procedures.
 .
 ShowFun gives us Typeable instances for neutering IO expressions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-show-doc
Description-md5: 2b60bc9209246bc88604d0ce5e452152
Description-en: Show instances for lambdabot; documentation
 Show instances for functions and IO procedures.
 .
 ShowFun gives us Typeable instances for neutering IO expressions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-show-prof
Description-md5: 500b4fd9090f9783e195f75fdd10e573
Description-en: Show instances for lambdabot; profiling libraries
 Show instances for functions and IO procedures.
 .
 ShowFun gives us Typeable instances for neutering IO expressions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-silently-dev
Description-md5: eaeae2407f9f8eed303340476e309224
Description-en: prevent or capture writing to stdout and other handles
 Need to prevent output to the terminal, a file, or stderr? Need to
 capture it and use it for your own means? Now you can, with silence
 and capture.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-silently-doc
Description-md5: 2fdfe71a2996aaad60ccabf1c5598f33
Description-en: prevent or capture writing to stdout and other handles; documentation
 Need to prevent output to the terminal, a file, or stderr? Need to
 capture it and use it for your own means? Now you can, with silence
 and capture.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-silently-prof
Description-md5: d6681a93900656b51010f153d759ca0e
Description-en: prevent or capture writing to stdout and other handles; profiling libraries
 Need to prevent output to the terminal, a file, or stderr? Need to
 capture it and use it for your own means? Now you can, with silence
 and capture.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-dev
Description-md5: 2d67bea518d8ec99e21c2ba87cdf9bf0
Description-en: minimalist web framework for the WAI server interface
 Simple is a "framework-less" web framework for Haskell web applications
 based on the WAI server interface (f.ex. for use with the warp server).
 Simple does not enforce a particular structure or paradigm for web
 applications. Rather, Simple contains tools to help you create your own
 patterns (or re-create existing ones). Simple is minimalist, providing a
 lightweight base - the most basic Simple app is little more than a WAI
 'Application' with some routing logic. Everything else (f.ex. authentication,
 controllers, persistence, caching, etc.) is provided in composable units, so
 you can include only the ones you need in your app, and easily replace
 them with your own components.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-doc
Description-md5: d1fa5830f9ff516f6e8640efb8adf8e4
Description-en: minimalist web framework for the WAI server interface; documentation
 Simple is a "framework-less" web framework for Haskell web applications
 based on the WAI server interface (f.ex. for use with the warp server).
 Simple does not enforce a particular structure or paradigm for web
 applications. Rather, Simple contains tools to help you create your own
 patterns (or re-create existing ones). Simple is minimalist, providing a
 lightweight base - the most basic Simple app is little more than a WAI
 'Application' with some routing logic. Everything else (f.ex. authentication,
 controllers, persistence, caching, etc.) is provided in composable units, so
 you can include only the ones you need in your app, and easily replace
 them with your own components.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-prof
Description-md5: 1564610aa4eb792f79703ce018860e82
Description-en: minimalist web framework for the WAI server interface; profiling libraries
 Simple is a "framework-less" web framework for Haskell web applications
 based on the WAI server interface (f.ex. for use with the warp server).
 Simple does not enforce a particular structure or paradigm for web
 applications. Rather, Simple contains tools to help you create your own
 patterns (or re-create existing ones). Simple is minimalist, providing a
 lightweight base - the most basic Simple app is little more than a WAI
 'Application' with some routing logic. Everything else (f.ex. authentication,
 controllers, persistence, caching, etc.) is provided in composable units, so
 you can include only the ones you need in your app, and easily replace
 them with your own components.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-reflect-dev
Description-md5: 839458ef5a9978701e1747693f77fc2f
Description-en: simple reflection of expressions containing variables
 This package allows simple reflection of expressions containing variables.
 Reflection here means that a Haskell expression is turned into a string.
 .
 The primary aim of this package is teaching and understanding;
 there are no options for manipulating the reflected expressions beyond
 showing them.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-reflect-doc
Description-md5: 409cbe6dea74f92e5f6ffd31a9c916f2
Description-en: simple reflection of expressions containing variables; documentation
 This package allows simple reflection of expressions containing variables.
 Reflection here means that a Haskell expression is turned into a string.
 .
 The primary aim of this package is teaching and understanding;
 there are no options for manipulating the reflected expressions beyond
 showing them.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-reflect-prof
Description-md5: 9d3eaf5bda490d2e02da4e13a46e2030
Description-en: simple reflection of expressions containing variables; profiling libraries
 This package allows simple reflection of expressions containing variables.
 Reflection here means that a Haskell expression is turned into a string.
 .
 The primary aim of this package is teaching and understanding;
 there are no options for manipulating the reflected expressions beyond
 showing them.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-sendfile-dev
Description-md5: 2a8a0a000ec6899f94331db9a7ed89da
Description-en: Cross platform library for the sendfile system call
 Cross platform library for the sendfile system call. This library tries to
 call minimum system calls which are the bottleneck of web servers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-sendfile-doc
Description-md5: 33cf0074a3c4b09611c8348079a0408e
Description-en: Cross platform library for the sendfile system call; documentation
 Cross platform library for the sendfile system call. This library tries to
 call minimum system calls which are the bottleneck of web servers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-sendfile-prof
Description-md5: 931a58185df2d7199664670cebb3c558
Description-en: Cross platform library for the sendfile system call; profiling libraries
 Cross platform library for the sendfile system call. This library tries to
 call minimum system calls which are the bottleneck of web servers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-smt-dev
Description-md5: 0707b18a20b500c0bdfb0b10fd95bb7f
Description-en: A simple way to interact with an SMT solver process.
 This package provides a simple way to interact with an SMT solver process,
 using the SmtLib-2 format.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-smt-doc
Description-md5: 4e1c0f24d54977f3c09ec42252d03762
Description-en: A simple way to interact with an SMT solver process.; documentation
 This package provides a simple way to interact with an SMT solver process,
 using the SmtLib-2 format.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-smt-prof
Description-md5: 0676ef3f86f363d5800c333c66078c90
Description-en: A simple way to interact with an SMT solver process.; profiling libraries
 This package provides a simple way to interact with an SMT solver process,
 using the SmtLib-2 format.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-templates-dev
Description-md5: c8f01fd311b4e9fd5c91d76c5b5e5382
Description-en: basic template language for the Simple web framework
 Basic template language for the Simple web framework. The language supports
 variable substitution, function invocation, loops and conditionals.
 .
 Web.Simple.Templates documents how to integrate into an app, while
 Web.Simple.Templates.Language documents the templating language syntax
 and semantics.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-templates-doc
Description-md5: 0d02a04520d98cbd4cd1758f400a3eb5
Description-en: basic template language for the Simple web framework; documentation
 Basic template language for the Simple web framework. The language supports
 variable substitution, function invocation, loops and conditionals.
 .
 Web.Simple.Templates documents how to integrate into an app, while
 Web.Simple.Templates.Language documents the templating language syntax
 and semantics.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-simple-templates-prof
Description-md5: 4425993b9f6b27937933964e10c60b9c
Description-en: basic template language for the Simple web framework; profiling libraries
 Basic template language for the Simple web framework. The language supports
 variable substitution, function invocation, loops and conditionals.
 .
 Web.Simple.Templates documents how to integrate into an app, while
 Web.Simple.Templates.Language documents the templating language syntax
 and semantics.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-singleton-bool-dev
Description-md5: 85166120d09db95239774b609dc601c2
Description-en: type-level booleans
 Type level booleans.  The singletons package provides similar
 functionality, but it has tight dependency constraints.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-singleton-bool-doc
Description-md5: b1043c5bf4c8f14edb5d2fcccf523905
Description-en: type-level booleans; documentation
 Type level booleans.  The singletons package provides similar
 functionality, but it has tight dependency constraints.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-singleton-bool-prof
Description-md5: dfb186a86d3ae2cf56ecd3d6065b8f76
Description-en: type-level booleans; profiling libraries
 Type level booleans.  The singletons package provides similar
 functionality, but it has tight dependency constraints.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-singletons-dev
Description-md5: 85c86126f1126f1ab361f54d83351ec0
Description-en: Haskell framework for generating singleton types
 This library generates singleton types, promoted functions, and singleton
 functions using Template Haskell. It is useful for programmers who wish
 to use dependently typed programming techniques. The library was originally
 presented in /Dependently Typed Programming with Singletons/, published
 at the Haskell Symposium, 2012.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-singletons-doc
Description-md5: 370ed05603c0d4ca8d62f421ae82f9e4
Description-en: Haskell framework for generating singleton types; documentation
 This library generates singleton types, promoted functions, and singleton
 functions using Template Haskell. It is useful for programmers who wish
 to use dependently typed programming techniques. The library was originally
 presented in /Dependently Typed Programming with Singletons/, published
 at the Haskell Symposium, 2012.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-singletons-prof
Description-md5: 8357160a742e463ad1eb11771ae95062
Description-en: Haskell framework for generating singleton types; profiling libraries
 This library generates singleton types, promoted functions, and singleton
 functions using Template Haskell. It is useful for programmers who wish
 to use dependently typed programming techniques. The library was originally
 presented in /Dependently Typed Programming with Singletons/, published
 at the Haskell Symposium, 2012.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skein-dev
Description-md5: 0e773d8905d09738e64dfdce220f17df
Description-en: family of cryptographic hash functions
 Skein is a family of fast secure cryptographic hash functions designed by
 Niels Ferguson, Stefan Lucks, Bruce Schneier, Doug Whiting, Mihir Bellare,
 Tadayoshi Kohno, Jon Callas and Jesse Walker.
 .
 This package uses bindings to an optimized C implementation of Skein. It
 provides a high-level interface to some of the Skein use cases. It also
 provides a low-level interface in the module "Crypto.Skein.Internal" should
 you need to use Skein in a different way.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skein-doc
Description-md5: dbc46d383f1e6263e95c5fda44c045cb
Description-en: family of cryptographic hash functions; documentation
 Skein is a family of fast secure cryptographic hash functions designed by
 Niels Ferguson, Stefan Lucks, Bruce Schneier, Doug Whiting, Mihir Bellare,
 Tadayoshi Kohno, Jon Callas and Jesse Walker.
 .
 This package uses bindings to an optimized C implementation of Skein. It
 provides a high-level interface to some of the Skein use cases. It also
 provides a low-level interface in the module "Crypto.Skein.Internal" should
 you need to use Skein in a different way.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skein-prof
Description-md5: 3a3e8df1f9c27398f41c2f9518344da3
Description-en: family of cryptographic hash functions; profiling libraries
 Skein is a family of fast secure cryptographic hash functions designed by
 Niels Ferguson, Stefan Lucks, Bruce Schneier, Doug Whiting, Mihir Bellare,
 Tadayoshi Kohno, Jon Callas and Jesse Walker.
 .
 This package uses bindings to an optimized C implementation of Skein. It
 provides a high-level interface to some of the Skein use cases. It also
 provides a low-level interface in the module "Crypto.Skein.Internal" should
 you need to use Skein in a different way.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skylighting-core-dev
Description-md5: 66e36387e66e42ff32d1ead99232e367
Description-en: syntax highlighting library
 Skylighting is a syntax highlighting library. It derives its tokenizers
 from XML syntax definitions used by KDE's KSyntaxHighlighting framework,
 so any syntax supported by that framework can be added. An optional
 command-line program is provided. Skylighting is intended to be the
 successor to highlighting-kate.
 .
 This package provides the core highlighting functionality under a permissive
 license. It also bundles XML parser definitions licensed under the GPL.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skylighting-core-doc
Description-md5: 9f0e58fb8496c78c0283d728f5873c0d
Description-en: syntax highlighting library; documentation
 Skylighting is a syntax highlighting library. It derives its tokenizers
 from XML syntax definitions used by KDE's KSyntaxHighlighting framework,
 so any syntax supported by that framework can be added. An optional
 command-line program is provided. Skylighting is intended to be the
 successor to highlighting-kate.
 .
 This package provides the core highlighting functionality under a permissive
 license. It also bundles XML parser definitions licensed under the GPL.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skylighting-core-prof
Description-md5: bdf7aa4b42d380cccbb3ac9e1365a0d2
Description-en: syntax highlighting library; profiling libraries
 Skylighting is a syntax highlighting library. It derives its tokenizers
 from XML syntax definitions used by KDE's KSyntaxHighlighting framework,
 so any syntax supported by that framework can be added. An optional
 command-line program is provided. Skylighting is intended to be the
 successor to highlighting-kate.
 .
 This package provides the core highlighting functionality under a permissive
 license. It also bundles XML parser definitions licensed under the GPL.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skylighting-dev
Description-md5: 6688f265a30879d1d45d667e9e9ebe22
Description-en: syntax highlighting library
 Skylighting is a syntax highlighting library with
 support for over one hundred languages.  It derives
 its tokenizers from XML syntax definitions used
 by KDE's KSyntaxHighlighting framework, so any
 syntax supported by that framework can be added.
 An optional command-line program is provided.
 Skylighting is intended to be the successor to
 highlighting-kate.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skylighting-doc
Description-md5: b12970e42fd30f9a33b7e7cce7ac8c92
Description-en: syntax highlighting library; documentation
 Skylighting is a syntax highlighting library with
 support for over one hundred languages.  It derives
 its tokenizers from XML syntax definitions used
 by KDE's KSyntaxHighlighting framework, so any
 syntax supported by that framework can be added.
 An optional command-line program is provided.
 Skylighting is intended to be the successor to
 highlighting-kate.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-skylighting-prof
Description-md5: 6e6a59e6cc458a704053a4d56c637d0e
Description-en: syntax highlighting library; profiling libraries
 Skylighting is a syntax highlighting library with
 support for over one hundred languages.  It derives
 its tokenizers from XML syntax definitions used
 by KDE's KSyntaxHighlighting framework, so any
 syntax supported by that framework can be added.
 An optional command-line program is provided.
 Skylighting is intended to be the successor to
 highlighting-kate.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smallcheck-dev
Description-md5: 298fa50905f07569ee051dea8350c51a
Description-en: Another lightweight testing library
 SmallCheck is similar to QuickCheck (Claessen and Hughes 2000-) but
 instead of testing for a sample of randomly generated values, SmallCheck
 tests properties for all the finitely many values up to some depth,
 progressively increasing the depth used.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smallcheck-doc
Description-md5: e0dedf5b9ab6f3827bcbb83d95796bcc
Description-en: Another lightweight testing library; documentation
 SmallCheck is similar to QuickCheck (Claessen and Hughes 2000-) but
 instead of testing for a sample of randomly generated values, SmallCheck
 tests properties for all the finitely many values up to some depth,
 progressively increasing the depth used.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smallcheck-prof
Description-md5: f38572fc00a76ca829c026348f68fef1
Description-en: Another lightweight testing library; profiling libraries
 SmallCheck is similar to QuickCheck (Claessen and Hughes 2000-) but
 instead of testing for a sample of randomly generated values, SmallCheck
 tests properties for all the finitely many values up to some depth,
 progressively increasing the depth used.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smtlib-dev
Description-md5: dd571ef0c36dd122b05179bd0bbb8c70
Description-en: A library for working with the SMTLIB format.
 .
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smtlib-doc
Description-md5: a11ea97faf3eed3767c41290adb23042
Description-en: A library for working with the SMTLIB format.; documentation
 .
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smtlib-prof
Description-md5: 2830b4d6e104ca002170659b37feefea
Description-en: A library for working with the SMTLIB format.; profiling libraries
 .
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smtp-mail-dev
Description-md5: 05539c6f632a36c51ef226e4221bdde5
Description-en: Simple email sending via SMTP
 Simple SMTP client implementation for Haskell applications.
 .
 SMTP with and without authentication are supported, also sending
 of attachments.
 .
 Optionally, mails can also be handed over to sendmail.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smtp-mail-doc
Description-md5: 6fff361c01753750e39292f0a628539e
Description-en: Simple email sending via SMTP; documentation
 Simple SMTP client implementation for Haskell applications.
 .
 SMTP with and without authentication are supported, also sending
 of attachments.
 .
 Optionally, mails can also be handed over to sendmail.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-smtp-mail-prof
Description-md5: ed01bda986695e412673ab5abd979157
Description-en: Simple email sending via SMTP; profiling libraries
 Simple SMTP client implementation for Haskell applications.
 .
 SMTP with and without authentication are supported, also sending
 of attachments.
 .
 Optionally, mails can also be handed over to sendmail.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-snap-core-dev
Description-md5: cf247452e8f65870e5779cf18e879ecf
Description-en: Snap: A Haskell Web Framework (Core)
 Snap is a simple and fast web development framework and server written in
 Haskell. For more information or to download the latest version, you can
 visit the Snap project website at <http://snapframework.com/>.
 .
 This library contains the core definitions and types for the Snap framework,
 including:
 .
 1. Primitive types and functions for HTTP (requests, responses, cookies,
 post/query parameters, etc)
 .
 2. Type aliases and helper functions for Iteratee I/O
 .
 3. A monad for programming web handlers called \"Snap\", inspired by
 happstack's (<http://happstack.com/index.html>), which allows:
 .
 o Stateful access to the HTTP request and response objects
 .
 o Monadic failure (i.e. MonadPlus/Alternative instances) for declining
 to handle requests and chaining handlers together
 .
 o Early termination of the computation if you know early what you want
 to return and want to prevent further monadic processing
 .
 This package contains the normal library files.

Package: libghc-snap-core-doc
Description-md5: edde063ac241baf5ab01ae4884ad27b8
Description-en: Snap: A Haskell Web Framework (Core); documentation
 Snap is a simple and fast web development framework and server written in
 Haskell. For more information or to download the latest version, you can
 visit the Snap project website at <http://snapframework.com/>.
 .
 This library contains the core definitions and types for the Snap framework,
 including:
 .
 1. Primitive types and functions for HTTP (requests, responses, cookies,
 post/query parameters, etc)
 .
 2. Type aliases and helper functions for Iteratee I/O
 .
 3. A monad for programming web handlers called \"Snap\", inspired by
 happstack's (<http://happstack.com/index.html>), which allows:
 .
 o Stateful access to the HTTP request and response objects
 .
 o Monadic failure (i.e. MonadPlus/Alternative instances) for declining
 to handle requests and chaining handlers together
 .
 o Early termination of the computation if you know early what you want
 to return and want to prevent further monadic processing
 .
 This package contains the documentation files.

Package: libghc-snap-core-prof
Description-md5: 7e223748f4b56c345efb035c10be3d94
Description-en: Snap: A Haskell Web Framework (Core); profiling libraries
 Snap is a simple and fast web development framework and server written in
 Haskell. For more information or to download the latest version, you can
 visit the Snap project website at <http://snapframework.com/>.
 .
 This library contains the core definitions and types for the Snap framework,
 including:
 .
 1. Primitive types and functions for HTTP (requests, responses, cookies,
 post/query parameters, etc)
 .
 2. Type aliases and helper functions for Iteratee I/O
 .
 3. A monad for programming web handlers called \"Snap\", inspired by
 happstack's (<http://happstack.com/index.html>), which allows:
 .
 o Stateful access to the HTTP request and response objects
 .
 o Monadic failure (i.e. MonadPlus/Alternative instances) for declining
 to handle requests and chaining handlers together
 .
 o Early termination of the computation if you know early what you want
 to return and want to prevent further monadic processing
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-snap-dev
Description-md5: 495533dcc38cac99d0ab4292877362d9
Description-en: Snap Web Framework
 This is the top-level package for the official Snap Framework
 libraries. It includes:
 .
  * The Snaplets API
 .
  * Snaplets for sessions, authentication, and templates
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-snap-doc
Description-md5: 071ac50bd57c8469291bc90800195fe8
Description-en: Snap Web Framework; documentation
 This is the top-level package for the official Snap Framework
 libraries. It includes:
 .
  * The Snaplets API
 .
  * Snaplets for sessions, authentication, and templates
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-snap-prof
Description-md5: d15891dda151d6877d87166c064afca2
Description-en: Snap Web Framework; profiling libraries
 This is the top-level package for the official Snap Framework
 libraries. It includes:
 .
  * The Snaplets API
 .
  * Snaplets for sessions, authentication, and templates
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-snap-server-dev
Description-md5: e5ff3243eaaabdcab9dec2d2483b0ae4
Description-en: iteratee-based, epoll-enabled web server for the Snap Framework
 Snap is a simple and fast web development framework and server written in
 Haskell. For more information or to download the latest version, you can
 visit the Snap project website at <http://snapframework.com/>.
 .
 The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web
 server library written in Haskell. Together with the @snap-core@ library upon
 which it depends, it provides a clean and efficient Haskell programming
 interface to the HTTP protocol.
 .
 Higher-level facilities for building web applications (like user/session
 management, component interfaces, data modeling, etc.) are planned but not
 yet implemented, so this release will mostly be of interest for those who:
 .
 o need a fast and minimal HTTP API at roughly the same level of abstraction
 as Java servlets, or
 .
 o are interested in contributing to the Snap Framework project.
 .
 This package contains the normal library files.

Package: libghc-snap-server-doc
Description-md5: ababe7f626240c3f35d4441f9a9db32d
Description-en: iteratee-based, epoll-enabled web server for the Snap Framework; documentation
 Snap is a simple and fast web development framework and server written in
 Haskell. For more information or to download the latest version, you can
 visit the Snap project website at <http://snapframework.com/>.
 .
 The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web
 server library written in Haskell. Together with the @snap-core@ library upon
 which it depends, it provides a clean and efficient Haskell programming
 interface to the HTTP protocol.
 .
 Higher-level facilities for building web applications (like user/session
 management, component interfaces, data modeling, etc.) are planned but not
 yet implemented, so this release will mostly be of interest for those who:
 .
 o need a fast and minimal HTTP API at roughly the same level of abstraction
 as Java servlets, or
 .
 o are interested in contributing to the Snap Framework project.
 .
 This package contains the documentation files.

Package: libghc-snap-server-prof
Description-md5: b0a624f91c71da52d0eaa97ca04dd113
Description-en: iteratee-based, epoll-enabled web server for the Snap Framework; profiling lib
 Snap is a simple and fast web development framework and server written in
 Haskell. For more information or to download the latest version, you can
 visit the Snap project website at <http://snapframework.com/>.
 .
 The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web
 server library written in Haskell. Together with the @snap-core@ library upon
 which it depends, it provides a clean and efficient Haskell programming
 interface to the HTTP protocol.
 .
 Higher-level facilities for building web applications (like user/session
 management, component interfaces, data modeling, etc.) are planned but not
 yet implemented, so this release will mostly be of interest for those who:
 .
 o need a fast and minimal HTTP API at roughly the same level of abstraction
 as Java servlets, or
 .
 o are interested in contributing to the Snap Framework project.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-soap-dev
Description-md5: 49ee1bd39b7cd7eb11ecc16311b2f299
Description-en: SOAP client library
 Tools to build SOAP clients using xml-conduit.
 .
 Note: to invoke HTTPS services you need to initialize a transport
 from soap-tls.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-soap-doc
Description-md5: 8b395f790900433e1039f1fb5680f9b5
Description-en: SOAP client library; documentation
 Tools to build SOAP clients using xml-conduit.
 .
 Note: to invoke HTTPS services you need to initialize a transport
 from soap-tls.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-soap-prof
Description-md5: d7744e7fee47d12ffe349cac37d03ee5
Description-en: SOAP client library; profiling libraries
 Tools to build SOAP clients using xml-conduit.
 .
 Note: to invoke HTTPS services you need to initialize a transport
 from soap-tls.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-soap-tls-dev
Description-md5: e85103087fcebbe049143913dfe7d595
Description-en: TLS-enabled SOAP transport (using tls package)
 This enables HTTPS support for SOAP queries (using the soap and tls
 packages).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-soap-tls-doc
Description-md5: 2f8dc287626494bf1c37308fcad7bd2f
Description-en: TLS-enabled SOAP transport (using tls package); documentation
 This enables HTTPS support for SOAP queries (using the soap and tls
 packages).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-soap-tls-prof
Description-md5: a1cf6d09e1a30a03e54f56fad0636475
Description-en: TLS-enabled SOAP transport (using tls package); profiling libraries
 This enables HTTPS support for SOAP queries (using the soap and tls
 packages).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sockaddr-dev
Description-md5: 5667e3309f44cb69728740a61104a8d1
Description-en: printing SockAddr value
 This package provides code to convert a SockAddr to its human readable
 representation. If the address is an IPv4-embedded IPv6 address, the IPv4 is
 extracted.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sockaddr-doc
Description-md5: 0db4f83155f0595c49c94c176cdab9c1
Description-en: printing SockAddr value; documentation
 This package provides code to convert a SockAddr to its human readable
 representation. If the address is an IPv4-embedded IPv6 address, the IPv4 is
 extracted.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sockaddr-prof
Description-md5: 781fe238c97c4e132cb14cc36c8b87ef
Description-en: printing SockAddr value; profiling libraries
 This package provides code to convert a SockAddr to its human readable
 representation. If the address is an IPv4-embedded IPv6 address, the IPv4 is
 extracted.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-socks-dev
Description-md5: 2ecd5e6a345b1532f2cd08c872bd28ed
Description-en: SOCKS proxy library
 This is a native Haskell implementation of a SOCKS5 proxy.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-socks-doc
Description-md5: 1baa63792940ed790a521649a3d59fd1
Description-en: SOCKS proxy library; documentation
 This is a native Haskell implementation of a SOCKS5 proxy.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-socks-prof
Description-md5: 735da09dd12d11d084b7e24aa1f382bd
Description-en: SOCKS proxy library; profiling libraries
 This is a native Haskell implementation of a SOCKS5 proxy.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sop-core-dev
Description-md5: 119c1e406b9ad4180732aefef8074705
Description-en: true sums of products
 Implementation of n-ary sums and n-ary products.
 .
 The main purpose of this package is to serve as the core of
 generics-sop.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sop-core-doc
Description-md5: 2e1f4c631a5024592427ba1252b574eb
Description-en: true sums of products; documentation
 Implementation of n-ary sums and n-ary products.
 .
 The main purpose of this package is to serve as the core of
 generics-sop.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sop-core-prof
Description-md5: 382646d58b7e3f97653718c0fac4f334
Description-en: true sums of products; profiling libraries
 Implementation of n-ary sums and n-ary products.
 .
 The main purpose of this package is to serve as the core of
 generics-sop.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-split-dev
Description-md5: 2623b1776ad2e95b7cd1d8c4210bc881
Description-en: Haskell library for splitting lists
 This Haskell library provides a wide range of strategies and a unified
 combinator framework for splitting lists with respect to some sort of
 delimiter.
 .
 For example, it can split a list every N elements, or on elements that
 satisfy some condition.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-split-doc
Description-md5: af4464d370726795eb85f1455eed6ba7
Description-en: Haskell library for splitting lists; documentation
 This Haskell library provides a wide range of strategies and a unified
 combinator framework for splitting lists with respect to some sort of
 delimiter.
 .
 For example, it can split a list every N elements, or on elements that
 satisfy some condition.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-split-prof
Description-md5: c3c5de4210d3d5f6c45314de9d86ca48
Description-en: Haskell library for splitting lists; profiling libraries
 This Haskell library provides a wide range of strategies and a unified
 combinator framework for splitting lists with respect to some sort of
 delimiter.
 .
 For example, it can split a list every N elements, or on elements that
 satisfy some condition.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-splitmix-dev
Description-md5: 70102d153cc0a8c8fe0847758d067184
Description-en: Fast Splittable PRNG
 Pure Haskell implementation of SplitMix, a splittable
 pseudorandom number generator that is quite fast.
 .
 It should not be used for cryptographic or security applications,
 because generated sequences of pseudorandom values are too predictable
 (the mixing functions are easily inverted, and two successive outputs
 suffice to reconstruct the internal state).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-splitmix-doc
Description-md5: 380c1041b27aef1998a306da1b6f3695
Description-en: Fast Splittable PRNG; documentation
 Pure Haskell implementation of SplitMix, a splittable
 pseudorandom number generator that is quite fast.
 .
 It should not be used for cryptographic or security applications,
 because generated sequences of pseudorandom values are too predictable
 (the mixing functions are easily inverted, and two successive outputs
 suffice to reconstruct the internal state).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-splitmix-prof
Description-md5: 892fd20964eea41cf2437cb5e4f324de
Description-en: Fast Splittable PRNG; profiling libraries
 Pure Haskell implementation of SplitMix, a splittable
 pseudorandom number generator that is quite fast.
 .
 It should not be used for cryptographic or security applications,
 because generated sequences of pseudorandom values are too predictable
 (the mixing functions are easily inverted, and two successive outputs
 suffice to reconstruct the internal state).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-spool-dev
Description-md5: c54cdf04ec90f7b576cb0bb96a5db965
Description-en: copyless conversion between ByteString and Vector.Storable
 This library allows conversion between the types from Data.ByteString
 Data.Vector.Storable without copying the underlying data.  This is
 useful, for example, when ByteString IO produces or consumes vectors
 of numbers in native byte order.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-spool-doc
Description-md5: 0aeae1edd981a84e3ea34e6e6d236f66
Description-en: copyless conversion between ByteString and Vector.Storable; documentation
 This library allows conversion between the types from Data.ByteString
 Data.Vector.Storable without copying the underlying data.  This is
 useful, for example, when ByteString IO produces or consumes vectors
 of numbers in native byte order.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-spool-prof
Description-md5: 8f37ff6d512ce70af2bf228657030716
Description-en: copyless conversion between ByteString and Vector.Storable; profiling libraries
 This library allows conversion between the types from Data.ByteString
 Data.Vector.Storable without copying the underlying data.  This is
 useful, for example, when ByteString IO produces or consumes vectors
 of numbers in native byte order.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sql-words-dev
Description-md5: 8123e35b2a6f4bc797c3c937d1814b9a
Description-en: SQL keywords data constructor into OverloadedString
 This package contiains SQL keywords constructors defined as
 OverloadedString literals and helper functions to concate these.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sql-words-doc
Description-md5: 96015c43433798e96d5811a71b14e848
Description-en: SQL keywords data constructor into OverloadedString; documentation
 This package contiains SQL keywords constructors defined as
 OverloadedString literals and helper functions to concate these.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-sql-words-prof
Description-md5: 2fa81e66d967f325cb0eb9259616c901
Description-en: SQL keywords data constructor into OverloadedString; profiling libraries
 This package contiains SQL keywords constructors defined as
 OverloadedString literals and helper functions to concate these.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-dev
Description-md5: c3ef9534afa29a676dd2872f4301fa3f
Description-en: Haskell-Source with eXtensions library for GHC
 haskell-src-exts (HSX, haskell-source with extensions) is an extension of the
 standard haskell-src package, and handles most common syntactic extensions to
 Haskell, including:
  * Multi-parameter type classes with functional dependencies
  * Indexed type families (including associated types)
  * Empty data declarations
  * GADTs
  * Implicit parameters (ghc and hugs style)
  * Template Haskell
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-doc
Description-md5: 051985cd26aebc6758ef761007439558
Description-en: API documentation of the haskell-src-exts library; documentation
 haskell-src-exts (HSX, haskell-source with extensions) is an extension of the
 standard haskell-src package, and handles most common syntactic extensions to
 Haskell, including:
   * Multi-parameter type classes with functional dependencies
   * Indexed type families (including associated types)
   * Empty data declarations
   * GADTs
   * Implicit parameters (ghc and hugs style)
   * Template Haskell
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-prof
Description-md5: bedbe3be04a38c26d12b06466cdb588f
Description-en: Haskell-Source with eXtensions library for GHC; profiling libraries
 haskell-src-exts (HSX, haskell-source with extensions) is an extension of the
 standard haskell-src package, and handles most common syntactic extensions to
 Haskell, including:
  * Multi-parameter type classes with functional dependencies
  * Indexed type families (including associated types)
  * Empty data declarations
  * GADTs
  * Implicit parameters (ghc and hugs style)
  * Template Haskell
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-simple-dev
Description-md5: 43fcca151fce238b9ffc491d75b04bc1
Description-en: simplified view on the haskell-src-exts AST
 This package provides a shim for haskell-src-exts (HSE), exposing the
 same AST but without annotations, using ghc's pattern synonyms.
 .
 This package is useful for synthesizing and manipulating HSE ASTs that
 don't carry source location information. It may also aid in porting
 packages from haskell-src-exts 1.17 to 1.18, but it is not a drop-in
 replacement for the old annotation-free AST.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-simple-doc
Description-md5: 750130c1ffea666069259337296490da
Description-en: simplified view on the haskell-src-exts AST; documentation
 This package provides a shim for haskell-src-exts (HSE), exposing the
 same AST but without annotations, using ghc's pattern synonyms.
 .
 This package is useful for synthesizing and manipulating HSE ASTs that
 don't carry source location information. It may also aid in porting
 packages from haskell-src-exts 1.17 to 1.18, but it is not a drop-in
 replacement for the old annotation-free AST.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-simple-prof
Description-md5: 8b186f3c9c0e510afcaa41f72b9618b5
Description-en: simplified view on the haskell-src-exts AST; profiling libraries
 This package provides a shim for haskell-src-exts (HSE), exposing the
 same AST but without annotations, using ghc's pattern synonyms.
 .
 This package is useful for synthesizing and manipulating HSE ASTs that
 don't carry source location information. It may also aid in porting
 packages from haskell-src-exts 1.17 to 1.18, but it is not a drop-in
 replacement for the old annotation-free AST.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-util-dev
Description-md5: e288e01127636c05e336b3be06b9e6f4
Description-en: helper functions for working with haskell-src-exts trees
 A small suite of helper functions for working with haskell-src-exts:
  * Free variables
  * Bound variables
  * Minimal (approximate) rebracketing of AST values such that
    prettyprint roundtrips.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-util-doc
Description-md5: 80dbe4c6803598af2e98ca448e76cd52
Description-en: helper functions for working with haskell-src-exts trees; documentation
 A small suite of helper functions for working with haskell-src-exts:
  * Free variables
  * Bound variables
  * Minimal (approximate) rebracketing of AST values such that
    prettyprint roundtrips.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-exts-util-prof
Description-md5: bb317ad75b90bf31520935d0e312fd31
Description-en: helper functions for working with haskell-src-exts trees; profiling libraries
 A small suite of helper functions for working with haskell-src-exts:
  * Free variables
  * Bound variables
  * Minimal (approximate) rebracketing of AST values such that
    prettyprint roundtrips.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-meta-dev
Description-md5: 67f3f83b46f32fed69008cf4b79da8e3
Description-en: parse source to Template Haskell abstract syntax
 The translation from haskell-src-exts abstract syntax to Template Haskell
 abstract syntax isn't 100% complete yet.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-meta-doc
Description-md5: 3f7b8fedac1bad8c5aa26614fbf86be3
Description-en: parse source to Template Haskell abstract syntax; documentation
 The translation from haskell-src-exts abstract syntax to Template Haskell
 abstract syntax isn't 100% complete yet.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-src-meta-prof
Description-md5: c5c183d9130fe48f5bbc7b4eebdf0bd1
Description-en: parse source to Template Haskell abstract syntax; profiling libraries
 The translation from haskell-src-exts abstract syntax to Template Haskell
 abstract syntax isn't 100% complete yet.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stateref-dev
Description-md5: 1c2daadf46ea088d89bd09f4fe2ee488
Description-en: abstraction for things that work like IORef
 A collection of type-classes generalizing the read/write/modify
 operations for stateful variables provided by things like IORef,
 TVar, &c. Note that The interface has changed a bit from the 0.2.*
 version. "*Ref" functions are now called "*Reference" and new "*Ref"
 function exist with simpler signatures. The new Ref existential type
 provides a convenient monad-indexed reference type, and the HasRef
 class indicates monads for which there is a default reference type
 for every referent.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stateref-doc
Description-md5: dd6e9cbf2e2153d68ebd51bd9f90ee99
Description-en: abstraction for things that work like IORef; documentation
 A collection of type-classes generalizing the read/write/modify
 operations for stateful variables provided by things like IORef,
 TVar, &c. Note that The interface has changed a bit from the 0.2.*
 version. "*Ref" functions are now called "*Reference" and new "*Ref"
 function exist with simpler signatures. The new Ref existential type
 provides a convenient monad-indexed reference type, and the HasRef
 class indicates monads for which there is a default reference type
 for every referent.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stateref-prof
Description-md5: 2b3ca52b569a27198b832915e558b3ed
Description-en: abstraction for things that work like IORef; profiling libraries
 A collection of type-classes generalizing the read/write/modify
 operations for stateful variables provided by things like IORef,
 TVar, &c. Note that The interface has changed a bit from the 0.2.*
 version. "*Ref" functions are now called "*Reference" and new "*Ref"
 function exist with simpler signatures. The new Ref existential type
 provides a convenient monad-indexed reference type, and the HasRef
 class indicates monads for which there is a default reference type
 for every referent.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statestack-dev
Description-md5: 6f1ac558acacc5632053f66dc1b16dde
Description-en: simple State-like monad transformer state push/pop
 Simple State-like monad transformer where states can be saved to and
 restored from an internal stack.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statestack-doc
Description-md5: bbf9136416cd947f894265709aaa6307
Description-en: simple State-like monad transformer state push/pop; documentation
 Simple State-like monad transformer where states can be saved to and
 restored from an internal stack.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statestack-prof
Description-md5: cbd9a677d6e8ef4e63a2b03b19a89378
Description-en: simple State-like monad transformer state push/pop; profiling libraries
 Simple State-like monad transformer where states can be saved to and
 restored from an internal stack.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statevar-dev
Description-md5: 7eb142eb5a50cf961f0e1e7df574b6c4
Description-en: state variables in Haskell
 This library provides a common abstraction of stateful variables, e.g. IORef
 and OpenGL's StateVar.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statevar-doc
Description-md5: 03f81b17046a3ddc9639daea5cf51849
Description-en: state variables in Haskell; documentation
 This library provides a common abstraction of stateful variables, e.g. IORef
 and OpenGL's StateVar.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statevar-prof
Description-md5: 541a7a549c3c1de95489f096e289d314
Description-en: state variables in Haskell; profiling libraries
 This library provides a common abstraction of stateful variables, e.g. IORef
 and OpenGL's StateVar.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-static-hash-dev
Description-md5: efe8f2fc66921797fe330495d4153199
Description-en: pure immutable hash
 Pure immutable hash whose lookup is O(1) on the average, but O(N) in the
 worst case.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-static-hash-doc
Description-md5: b5dced23e885d7b60a7c34de6b61d64f
Description-en: pure immutable hash; documentation
 Pure immutable hash whose lookup is O(1) on the average, but O(N) in the
 worst case.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-static-hash-prof
Description-md5: 34edb3361e6fab09e5aa577483ad1990
Description-en: pure immutable hash; profiling libraries
 Pure immutable hash whose lookup is O(1) on the average, but O(N) in the
 worst case.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statistics-dev
Description-md5: fdef0f09d1e44ef5e2c6e764cdee80dc
Description-en: A library of statistical types, data, and functions
 This library provides a number of common functions and types useful
 in statistics.  Our focus is on high performance, numerical
 robustness, and use of good algorithms.  Where possible, we provide
 references to the statistical literature.
 .
 The library's facilities can be divided into three broad categories:
 .
 Working with widely used discrete and continuous probability
 distributions.  (There are dozens of exotic distributions in use; we
 focus on the most common.)
 .
 Computing with sample data: quantile estimation, kernel density
 estimation, bootstrap methods, regression and autocorrelation analysis.
 .
 Random variate generation under several different distributions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statistics-doc
Description-md5: c02e66a35d02ee578723b8968d648a4f
Description-en: A library of statistical types, data, and functions; documentation
 This library provides a number of common functions and types useful
 in statistics.  Our focus is on high performance, numerical
 robustness, and use of good algorithms.  Where possible, we provide
 references to the statistical literature.
 .
 The library's facilities can be divided into three broad categories:
 .
 Working with widely used discrete and continuous probability
 distributions.  (There are dozens of exotic distributions in use; we
 focus on the most common.)
 .
 Computing with sample data: quantile estimation, kernel density
 estimation, bootstrap methods, and autocorrelation analysis.
 .
 Random variate generation under several different distributions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-statistics-prof
Description-md5: 7428867a440f8abe033562bed8c0b323
Description-en: A library of statistical types, data, and functions; profiling libraries
 This library provides a number of common functions and types useful
 in statistics.  Our focus is on high performance, numerical
 robustness, and use of good algorithms.  Where possible, we provide
 references to the statistical literature.
 .
 The library's facilities can be divided into three broad categories:
 .
 Working with widely used discrete and continuous probability
 distributions.  (There are dozens of exotic distributions in use; we
 focus on the most common.)
 .
 Computing with sample data: quantile estimation, kernel density
 estimation, bootstrap methods, and autocorrelation analysis.
 .
 Random variate generation under several different distributions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-status-notifier-item-dev
Description-md5: 1bc24236d9fad91ce525af51613a595a
Description-en: StatusNotifierItem/libappindicator dbus implementation
 A Haskell implementation of the StatusNotifierItem protocol
 (https://www.freedesktop.org/wiki/Specifications/StatusNotifierItem/).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-status-notifier-item-doc
Description-md5: eb8f5860f495ac77e1099f758dd6eb2c
Description-en: StatusNotifierItem/libappindicator dbus implementation; documentation
 A Haskell implementation of the StatusNotifierItem protocol
 (https://www.freedesktop.org/wiki/Specifications/StatusNotifierItem/).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-status-notifier-item-prof
Description-md5: 17eca159d7ececb86b86a62c7651e423
Description-en: StatusNotifierItem/libappindicator dbus implementation; profiling libraries
 A Haskell implementation of the StatusNotifierItem protocol
 (https://www.freedesktop.org/wiki/Specifications/StatusNotifierItem/).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stm-chans-dev
Description-md5: eeb6be29b8a8efeb6c0e70058e716230
Description-en: additional types of channels for STM
 Additional types of STM channels:
  * TBChan: a version of TChan where the queue is bounded in length
  * TBMChan: a version of TChan where the queue is bounded in length
    and closeable.
  * TBMQueue: a version of TQueue where the queue is bounded in length
    and closeable.
  * TMChan: a version of TChan where the queue is closeable. This is
    similar to a TChan (Maybe a) with a monotonicity guarantee that
    once there's a Nothing there will always be Nothing.
  * TMQueue: a version of TQueue where the queue is closeable. This is
    similar to a TQueue (Maybe a) with a monotonicity guarantee that
    once there's a Nothing there will always be Nothing.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stm-chans-doc
Description-md5: da4e36682f990d3cc11e5471abe7304f
Description-en: additional types of channels for STM; documentation
 Additional types of STM channels:
  * TBChan: a version of TChan where the queue is bounded in length
  * TBMChan: a version of TChan where the queue is bounded in length
    and closeable.
  * TBMQueue: a version of TQueue where the queue is bounded in length
    and closeable.
  * TMChan: a version of TChan where the queue is closeable. This is
    similar to a TChan (Maybe a) with a monotonicity guarantee that
    once there's a Nothing there will always be Nothing.
  * TMQueue: a version of TQueue where the queue is closeable. This is
    similar to a TQueue (Maybe a) with a monotonicity guarantee that
    once there's a Nothing there will always be Nothing.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stm-chans-prof
Description-md5: 1e4e15a10a3d4f038a19a9932bf7a6fe
Description-en: additional types of channels for STM; profiling libraries
 Additional types of STM channels:
  * TBChan: a version of TChan where the queue is bounded in length
  * TBMChan: a version of TChan where the queue is bounded in length
    and closeable.
  * TBMQueue: a version of TQueue where the queue is bounded in length
    and closeable.
  * TMChan: a version of TChan where the queue is closeable. This is
    similar to a TChan (Maybe a) with a monotonicity guarantee that
    once there's a Nothing there will always be Nothing.
  * TMQueue: a version of TQueue where the queue is closeable. This is
    similar to a TQueue (Maybe a) with a monotonicity guarantee that
    once there's a Nothing there will always be Nothing.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stmonadtrans-dev
Description-md5: c65a41de02a6f1a5709a6364f30bff02
Description-en: monad transformer version of the ST monad
 This package provides a monad transformer version of the ST monad.
 .
 Warning! This monad transformer should not be used with monads that can
 contain multiple answers, like the list monad. The reason is that the will be
 duplicated across the different answers and this cause Bad Things to happen
 (such as loss of referential transparency). Safe monads include the monads
 State, Reader, Writer, Maybe and combinations of their corresponding monad
 transformers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stmonadtrans-doc
Description-md5: 7bda010d6255fadd25a9b3481860b937
Description-en: monad transformer version of the ST monad; documentation
 This package provides a monad transformer version of the ST monad.
 .
 Warning! This monad transformer should not be used with monads that can
 contain multiple answers, like the list monad. The reason is that the will be
 duplicated across the different answers and this cause Bad Things to happen
 (such as loss of referential transparency). Safe monads include the monads
 State, Reader, Writer, Maybe and combinations of their corresponding monad
 transformers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stmonadtrans-prof
Description-md5: dd49439cf1623ee0f8345c7d55f12b97
Description-en: monad transformer version of the ST monad; profiling libraries
 This package provides a monad transformer version of the ST monad.
 .
 Warning! This monad transformer should not be used with monads that can
 contain multiple answers, like the list monad. The reason is that the will be
 duplicated across the different answers and this cause Bad Things to happen
 (such as loss of referential transparency). Safe monads include the monads
 State, Reader, Writer, Maybe and combinations of their corresponding monad
 transformers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-complex-dev
Description-md5: 30480d7dc5b1051c5d408c1d25a43bbd
Description-en: Storable instance for Complex
 Provides a Storable instance for Complex which is binary
 compatible with C99, C++ and Fortran complex data types.
 .
 The only purpose of this package is to provide a standard
 location for this instance so that other packages needing
 this instance can play nicely together.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-complex-doc
Description-md5: 966623eeec57362b7475490223e9fa18
Description-en: Storable instance for Complex; documentation
 Provides a Storable instance for Complex which is binary
 compatible with C99, C++ and Fortran complex data types.
 .
 The only purpose of this package is to provide a standard
 location for this instance so that other packages needing
 this instance can play nicely together.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-complex-prof
Description-md5: cef9184f4db70e63660e503ed172e2b4
Description-en: Storable instance for Complex; profiling libraries
 Provides a Storable instance for Complex which is binary
 compatible with C99, C++ and Fortran complex data types.
 .
 The only purpose of this package is to provide a standard
 location for this instance so that other packages needing
 this instance can play nicely together.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-record-dev
Description-md5: 57263be3f9fafdeed45583f9f61eb1c3
Description-en: elegant definition of Storable instances for records
 With this package you can build a Storable instance of a record type
 from Storable instances of its elements in an elegant way.
 It does not do any magic, just a bit arithmetic to compute the right
 offsets that would be otherwise done manually or by a preprocessor
 like C2HS.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-record-doc
Description-md5: 07bb58f6f6d90ce0128a4d25a5c06c6e
Description-en: elegant definition of Storable instances for records; documentation
 With this package you can build a Storable instance of a record type
 from Storable instances of its elements in an elegant way.
 It does not do any magic, just a bit arithmetic to compute the right
 offsets that would be otherwise done manually or by a preprocessor
 like C2HS.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-record-prof
Description-md5: 4a6c5ee916a5edc620d519c4919f82c9
Description-en: elegant definition of Storable instances for records; profiling libraries
 With this package you can build a Storable instance of a record type
 from Storable instances of its elements in an elegant way.
 It does not do any magic, just a bit arithmetic to compute the right
 offsets that would be otherwise done manually or by a preprocessor
 like C2HS.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-tuple-dev
Description-md5: 87efe08ae5a7cb1dda14ef7ca1b23e1e
Description-en: Storable instance for pairs and triples
 Provides a Storable instance for pair and triple
 which should be binary compatible with C99 and C++.
 The only purpose of this package is to provide a standard location
 for this instance so that other packages needing this instance
 can play nicely together.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-tuple-doc
Description-md5: f2a316d408b81cdc2a9ab439b1e6e48e
Description-en: Storable instance for pairs and triples; documentation
 Provides a Storable instance for pair and triple
 which should be binary compatible with C99 and C++.
 The only purpose of this package is to provide a standard location
 for this instance so that other packages needing this instance
 can play nicely together.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-storable-tuple-prof
Description-md5: edaf453358fce6d2787b1e9c21fdc2d6
Description-en: Storable instance for pairs and triples; profiling libraries
 Provides a Storable instance for pair and triple
 which should be binary compatible with C99 and C++.
 The only purpose of this package is to provide a standard location
 for this instance so that other packages needing this instance
 can play nicely together.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-store-core-dev
Description-md5: e4eaa2b3edaf90e1b85ced9dabd90ad6
Description-en: fast and lightweight binary serialization
 This is a lightweight core used by the store package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-store-core-doc
Description-md5: 84ba2d64400f7e0233042d351e6b753d
Description-en: fast and lightweight binary serialization; documentation
 This is a lightweight core used by the store package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-store-core-prof
Description-md5: e343ef2eb1b24a9ffcc5f016ab4db3e9
Description-en: fast and lightweight binary serialization; profiling libraries
 This is a lightweight core used by the store package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-store-dev
Description-md5: 1f4f502e09bc1b3b49fd9c15b8658461
Description-en: Fast binary serialization
 The 'store' package provides efficient binary serialization. There are a
 couple features that particularly distinguish it from most prior Haskell
 serialization libraries:
 .
  * Its primary goal is speed. By default, direct machine representations
    are used for things like numeric values (Int, Double, Word32, etc)
    and buffers (Text, ByteString, Vector, etc). This means that much of
    serialization uses the equivalent of memcpy.
  * Instead of implementing lazy serialization / deserialization involving
    multiple input / output buffers, peek and poke always work with a
    single buffer. This buffer is allocated by asking the value for its
    size before encoding. This simplifies the encoding logic, and allows
    for highly optimized tight loops.
  * store can optimize size computations by knowing when some types always
    use the same number of bytes. This allows for computing the byte size
    of a `Vector Int32` by just doing `length v * 4`.
 .
 It also features:
 .
  * Optimized serialization instances for many types from base, vector,
    bytestring, text, containers, time, template-haskell, and more.
  * TH and GHC Generics based generation of Store instances for datatypes
  * TH generation of testcases
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-store-doc
Description-md5: 0ee514910f5e93d1c30ede2be91429af
Description-en: Fast binary serialization; documentation
 The 'store' package provides efficient binary serialization. There are a
 couple features that particularly distinguish it from most prior Haskell
 serialization libraries:
 .
  * Its primary goal is speed. By default, direct machine representations
    are used for things like numeric values (Int, Double, Word32, etc)
    and buffers (Text, ByteString, Vector, etc). This means that much of
    serialization uses the equivalent of memcpy.
  * Instead of implementing lazy serialization / deserialization involving
    multiple input / output buffers, peek and poke always work with a
    single buffer. This buffer is allocated by asking the value for its
    size before encoding. This simplifies the encoding logic, and allows
    for highly optimized tight loops.
  * store can optimize size computations by knowing when some types always
    use the same number of bytes. This allows for computing the byte size
    of a `Vector Int32` by just doing `length v * 4`.
 .
 It also features:
 .
  * Optimized serialization instances for many types from base, vector,
    bytestring, text, containers, time, template-haskell, and more.
  * TH and GHC Generics based generation of Store instances for datatypes
  * TH generation of testcases
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-store-prof
Description-md5: afdd568d65f07176aa2b0b307455e242
Description-en: Fast binary serialization; profiling libraries
 The 'store' package provides efficient binary serialization. There are a
 couple features that particularly distinguish it from most prior Haskell
 serialization libraries:
 .
  * Its primary goal is speed. By default, direct machine representations
    are used for things like numeric values (Int, Double, Word32, etc)
    and buffers (Text, ByteString, Vector, etc). This means that much of
    serialization uses the equivalent of memcpy.
  * Instead of implementing lazy serialization / deserialization involving
    multiple input / output buffers, peek and poke always work with a
    single buffer. This buffer is allocated by asking the value for its
    size before encoding. This simplifies the encoding logic, and allows
    for highly optimized tight loops.
  * store can optimize size computations by knowing when some types always
    use the same number of bytes. This allows for computing the byte size
    of a `Vector Int32` by just doing `length v * 4`.
 .
 It also features:
 .
  * Optimized serialization instances for many types from base, vector,
    bytestring, text, containers, time, template-haskell, and more.
  * TH and GHC Generics based generation of Store instances for datatypes
  * TH generation of testcases
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stream-dev
Description-md5: 5fbaf6ebb31e507e47378e7a6e1af02e
Description-en: Haskell stream (infinite list) library for GHC
 Provides functions, analogous to those from Data.List, to create and
 manipulate infinite lists.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stream-doc
Description-md5: e8f7ab15c2b25200156218aa9f60d067
Description-en: Haskell stream (infinite list) library for GHC; documentation
 Provides functions, analogous to those from Data.List, to create and
 manipulate infinite lists.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stream-prof
Description-md5: b4a1db2a37cf5151a986b4658fe9b5fa
Description-en: Haskell stream (infinite list) library for GHC; profiling libraries
 Provides functions, analogous to those from Data.List, to create and
 manipulate infinite lists.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-streaming-commons-dev
Description-md5: 44bfab6e939c3729eb5183e840a97378
Description-en: common lower-level functions for various streaming data libraries
 Provides low-dependency functionality commonly needed by various
 streaming data libraries, such as conduit and pipes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-streaming-commons-doc
Description-md5: a9718dc31d423697b5d6b8adb8c4fce2
Description-en: common lower-level functions for various streaming data libraries; documentation
 Provides low-dependency functionality commonly needed by various
 streaming data libraries, such as conduit and pipes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-streaming-commons-prof
Description-md5: f8e32ce57dfd2acb1ab6cd9dc59db17c
Description-en: common lower-level functions for various streaming data libraries; profiling libraries
 Provides low-dependency functionality commonly needed by various
 streaming data libraries, such as conduit and pipes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-strict-dev
Description-md5: 983993f124f0b77da0d5a81be7c7c2d9
Description-en: Strict variants of standard Haskell datatypes
 This package provides strict versions of some standard Haskell data types
 (pairs, Maybe and Either). It also contains strict IO operations.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-strict-doc
Description-md5: 5c9bc0acbe6c5e78a415ddb311c2074c
Description-en: Strict variants of standard Haskell datatypes; documentation
 This package provides strict versions of some standard Haskell data types
 (pairs, Maybe and Either). It also contains strict IO operations.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-strict-prof
Description-md5: eb3a0220dc655fbcd310472e603b9e83
Description-en: Strict variants of standard Haskell datatypes; profiling libraries
 This package provides strict versions of some standard Haskell data types
 (pairs, Maybe and Either). It also contains strict IO operations.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-string-conversions-dev
Description-md5: 8d17fc056dad7e4947231c6403731393
Description-en: simplifies dealing with different types for strings
 Provides a simple type class for converting values
 of different string types into values of other string types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-string-conversions-doc
Description-md5: b1c01dca1a4cc86227fa58c821e99d0d
Description-en: simplifies dealing with different types for strings; documentation
 Provides a simple type class for converting values
 of different string types into values of other string types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-string-conversions-prof
Description-md5: 56a41019e5bd0bc108f0ac3343dbbcad
Description-en: simplifies dealing with different types for strings; profiling libraries
 Provides a simple type class for converting values
 of different string types into values of other string types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stringbuilder-dev
Description-md5: 205c579c0b3e3483f9517cdf44002ec1
Description-en: writer monad for multi-line string literals
 This allows the construction of multi-line string literals in a
 monadic way.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stringbuilder-doc
Description-md5: b0974fdbb2eb04caad08493d00e73b5c
Description-en: writer monad for multi-line string literals; documentation
 This allows the construction of multi-line string literals in a
 monadic way.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stringbuilder-prof
Description-md5: bfbf8dc923dfbe9359b58cc6aa4a5fe4
Description-en: writer monad for multi-line string literals; profiling libraries
 This allows the construction of multi-line string literals in a
 monadic way.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stringprep-dev
Description-md5: bd18af63a68793e9309bf465dc70e216
Description-en: Implements the "StringPrep" algorithm
 RFC 3454 describes a framework for preparing Unicode text strings in order to
 increase the likelihood that string input and string comparison work in ways
 that make sense for typical users throughout the world.  The stringprep
 protocol is useful for protocol identifier values, company and personal names,
 internationalized domain names, and other text strings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stringprep-doc
Description-md5: aa851b05c71842739bce43d7fa4ec78a
Description-en: Implements the "StringPrep" algorithm; documentation
 RFC 3454 describes a framework for preparing Unicode text strings in order to
 increase the likelihood that string input and string comparison work in ways
 that make sense for typical users throughout the world.  The stringprep
 protocol is useful for protocol identifier values, company and personal names,
 internationalized domain names, and other text strings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stringprep-prof
Description-md5: 48943a0116e4d9f056e07795a351014f
Description-en: Implements the "StringPrep" algorithm; profiling libraries
 RFC 3454 describes a framework for preparing Unicode text strings in order to
 increase the likelihood that string input and string comparison work in ways
 that make sense for typical users throughout the world.  The stringprep
 protocol is useful for protocol identifier values, company and personal names,
 internationalized domain names, and other text strings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-stringsearch-dev
Description-md5: ee27e0e372e32162d6a9f4b7f07cc40c
Description-en: Fast searching, splitting and replacing of ByteStrings
 This package provides several functions to quickly
 search for substrings in strict or lazy ByteStrings.
 It also provides functions for breaking or splitting
 on substrings and replacing all occurrences of a
 substring (the first in case of overlaps) with another.
 .
  Author: Daniel Fischer, Chris Kuklewicz, Justin Bailey
  Upstream-Maintainer: daniel.is.fischer@googlemail.com
 .
 This package contains the normal library files.

Package: libghc-stringsearch-doc
Description-md5: 6ae03042c74569a77c81eeb34bc76a51
Description-en: Fast searching, splitting and replacing of ByteStrings; documentation
 This package provides several functions to quickly
 search for substrings in strict or lazy ByteStrings.
 It also provides functions for breaking or splitting
 on substrings and replacing all occurrences of a
 substring (the first in case of overlaps) with another.
 .
  Author: Daniel Fischer, Chris Kuklewicz, Justin Bailey
  Upstream-Maintainer: daniel.is.fischer@googlemail.com
 .
 This package contains the documentation files.

Package: libghc-stringsearch-prof
Description-md5: 4c2b51a4b6b448cf813c89fcad3dc50c
Description-en: Fast searching, splitting and replacing of ByteStrings; profiling libraries
 This package provides several functions to quickly
 search for substrings in strict or lazy ByteStrings.
 It also provides functions for breaking or splitting
 on substrings and replacing all occurrences of a
 substring (the first in case of overlaps) with another.
 .
  Author: Daniel Fischer, Chris Kuklewicz, Justin Bailey
  Upstream-Maintainer: daniel.is.fischer@googlemail.com
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-svg-builder-dev
Description-md5: ec41935c954963123ba65d5cab11394e
Description-en: DSL for building SVG
 Fast, easy to write SVG.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-svg-builder-doc
Description-md5: 1409b349e6589b4d1ad6e47e5916d4de
Description-en: DSL for building SVG; documentation
 Fast, easy to write SVG.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-svg-builder-prof
Description-md5: c032d16ac46f3c5d53782fe5173ca68f
Description-en: DSL for building SVG; profiling libraries
 Fast, easy to write SVG.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-swish-dev
Description-md5: 19fa03937864bbbac36359c67bad22c2
Description-en: semantic web toolkit - libraries
 Swish is a framework for performing deductions in RDF data using a
 variety of techniques. Swish is conceived as a toolkit for
 experimenting with RDF inference, and for implementing stand-alone RDF
 file processors (usable in similar style to CWM, but with a view to
 being extensible in declarative style through added Haskell function
 and data value declarations). It explores Haskell as "a scripting
 language for the Semantic Web".
 .
 Swish is a work-in-progress, and currently incorporates:
 .
  * Turtle, Notation3 and NTriples input and output. The N3 support is
    incomplete (no handling of @forAll).
  * RDF graph isomorphism testing and merging.
  * Display of differences between RDF graphs.
  * Inference operations in forward chaining, backward chaining and
    proof-checking modes.
  * Simple Horn-style rule implementations, extendable through variable
    binding modifiers and filters.
  * Class restriction rule implementation, primarily for datatype
    inferences.
  * RDF formal semantics entailment rule implementation.
  * Complete, ready-to-run, command-line and script-driven programs.
 .
 This package contains the libraries compiled for GHC.

Package: libghc-swish-doc
Description-md5: 1b0045785d552591e1a6a6e177e35bd3
Description-en: semantic web toolkit - library documentation
 Swish is a framework for performing deductions in RDF data using a
 variety of techniques. Swish is conceived as a toolkit for
 experimenting with RDF inference, and for implementing stand-alone RDF
 file processors (usable in similar style to CWM, but with a view to
 being extensible in declarative style through added Haskell function
 and data value declarations). It explores Haskell as "a scripting
 language for the Semantic Web".
 .
 Swish is a work-in-progress, and currently incorporates:
 .
  * Turtle, Notation3 and NTriples input and output. The N3 support is
    incomplete (no handling of @forAll).
  * RDF graph isomorphism testing and merging.
  * Display of differences between RDF graphs.
  * Inference operations in forward chaining, backward chaining and
    proof-checking modes.
  * Simple Horn-style rule implementations, extendable through variable
    binding modifiers and filters.
  * Class restriction rule implementation, primarily for datatype
    inferences.
  * RDF formal semantics entailment rule implementation.
  * Complete, ready-to-run, command-line and script-driven programs.
 .
 This package contains the library documentation for swish.

Package: libghc-swish-prof
Description-md5: b173f25b77f9cc86753785bb95174583
Description-en: semantic web toolkit - profiling libraries
 Swish is a framework for performing deductions in RDF data using a
 variety of techniques. Swish is conceived as a toolkit for
 experimenting with RDF inference, and for implementing stand-alone RDF
 file processors (usable in similar style to CWM, but with a view to
 being extensible in declarative style through added Haskell function
 and data value declarations). It explores Haskell as "a scripting
 language for the Semantic Web".
 .
 Swish is a work-in-progress, and currently incorporates:
 .
  * Turtle, Notation3 and NTriples input and output. The N3 support is
    incomplete (no handling of @forAll).
  * RDF graph isomorphism testing and merging.
  * Display of differences between RDF graphs.
  * Inference operations in forward chaining, backward chaining and
    proof-checking modes.
  * Simple Horn-style rule implementations, extendable through variable
    binding modifiers and filters.
  * Class restriction rule implementation, primarily for datatype
    inferences.
  * RDF formal semantics entailment rule implementation.
  * Complete, ready-to-run, command-line and script-driven programs.
 .
 This package contains the profiling libraries for swish.

Package: libghc-syb-dev
Description-md5: 383e0057f2bc03c979afeeff09920218
Description-en: Generic programming library for Haskell
 This package contains the generics system known as "Scrap Your Boilerplate".
 It defines the "Data" class of types permitting folding and unfolding of
 constructor applications, instances of this class for primitive types, and a
 variety of traversals.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-syb-doc
Description-md5: 8f24fe306945e92749ed337757960775
Description-en: Generic programming library for Haskell; documentation
 This package contains the generics system known as "Scrap Your Boilerplate".
 It defines the "Data" class of types permitting folding and unfolding of
 constructor applications, instances of this class for primitive types, and a
 variety of traversals.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-syb-prof
Description-md5: a9fe6f214ff4ac6cedf7f89455b0fc35
Description-en: Generic programming library for Haskell; profiling libraries
 This package contains the generics system known as "Scrap Your Boilerplate".
 It defines the "Data" class of types permitting folding and unfolding of
 constructor applications, instances of this class for primitive types, and a
 variety of traversals.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-syb-with-class-dev
Description-md5: e8c869ed64a0d83e42839af930ae5f49
Description-en: Haskell library for generic programming
 The "Scrap your boilerplate" approach is a lightweight generic
 programming approach for Haskell.  Using this approach, you can write
 generic functions such as traversal schemes (e.g., everywhere and
 everything), as well as generic read, generic show and generic
 equality (i.e., gread, gshow, and geq). This approach is based on
 just a few primitives for type-safe cast and processing constructor
 applications.

Package: libghc-syb-with-class-doc
Description-md5: 9f79675f30a1f0f9e4d0e02f28eb9565
Description-en: Haskell library for generic programming; documentation
 The "Scrap your boilerplate" approach is a lightweight generic
 programming approach for Haskell.  Using this approach, you can write
 generic functions such as traversal schemes (e.g., everywhere and
 everything), as well as generic read, generic show and generic
 equality (i.e., gread, gshow, and geq). This approach is based on
 just a few primitives for type-safe cast and processing constructor
 applications.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-syb-with-class-prof
Description-md5: cfe5e8f1f9bde1be0d6cc1bc0ad09783
Description-en: Haskell library for generic programming; profiling libraries
 The "Scrap your boilerplate" approach is a lightweight generic
 programming approach for Haskell.  Using this approach, you can write
 generic functions such as traversal schemes (e.g., everywhere and
 everything), as well as generic read, generic show and generic
 equality (i.e., gread, gshow, and geq). This approach is based on
 just a few primitives for type-safe cast and processing constructor
 applications.
 .
 This package contains the profiling libraries.

Package: libghc-system-fileio-dev
Description-md5: 62d29865624479ddc06313c37ca59d28
Description-en: consistent filesystem interaction across GHC versions
 This is a small wrapper around the "directory", "unix", and "Win32"
 packages, for use with "system-filepath". It provides a consistent
 API to the various versions of these packages distributed with
 different versions of GHC.
 .
 In particular, this library supports working with POSIX files that
 have paths which can't be decoded in the current locale encoding.
 .
 This package contains the normal library files.

Package: libghc-system-fileio-doc
Description-md5: 41a897c9c6a6c4e87841723f94cdac4e
Description-en: consistent filesystem interaction across GHC versions; documentation
 This is a small wrapper around the "directory", "unix", and "Win32"
 packages, for use with "system-filepath". It provides a consistent
 API to the various versions of these packages distributed with
 different versions of GHC.
 .
 In particular, this library supports working with POSIX files that
 have paths which can't be decoded in the current locale encoding.
 .
 This package contains the documentation files.

Package: libghc-system-fileio-prof
Description-md5: 96f45159caae2010b947b7a6a083a467
Description-en: consistent filesystem interaction across GHC versions; profiling libraries
 This is a small wrapper around the "directory", "unix", and "Win32"
 packages, for use with "system-filepath". It provides a consistent
 API to the various versions of these packages distributed with
 different versions of GHC.
 .
 In particular, this library supports working with POSIX files that
 have paths which can't be decoded in the current locale encoding.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-system-filepath-dev
Description-md5: 3a09f1bf994d40459f099aaeac4ffef1
Description-en: high-level, byte-based file and directory path manipulations
 Functions for portable file path manipulation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-system-filepath-doc
Description-md5: 2b48b153cf250edd46e2394e508156d9
Description-en: high-level, byte-based file and directory path manipulations; documentation
 Functions for portable file path manipulation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-system-filepath-prof
Description-md5: de215bf9d6743af96c60f06d1dfc1fb3
Description-en: high-level, byte-based file and directory path manipulations; profiling libraries
 Functions for portable file path manipulation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-system-posix-redirect-dev
Description-md5: c6599806b1924c76cb0480cc7ae22465
Description-en: toy module to temporarily redirect a program's stdout
 Due to the design of POSIX, it is possible to temporarily overload the
 file descriptors corresponding to stdout and stderr to point to an
 arbitrary pipe. It is, however, tricky to get right. This module gets
 it right, as far as such a terrible hack can be made right. It can be
 used to make misbehaving third-party C libraries stop spewing to
 standard output.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-system-posix-redirect-doc
Description-md5: 4a6feba303275124fb6fdd120772719f
Description-en: toy module to temporarily redirect a program's stdout; documentation
 Due to the design of POSIX, it is possible to temporarily overload the
 file descriptors corresponding to stdout and stderr to point to an
 arbitrary pipe. It is, however, tricky to get right. This module gets
 it right, as far as such a terrible hack can be made right. It can be
 used to make misbehaving third-party C libraries stop spewing to
 standard output.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-system-posix-redirect-prof
Description-md5: 2b2a8c1806550b730328d5cd7d8fdcad
Description-en: toy module to temporarily redirect a program's stdout; profiling libraries
 Due to the design of POSIX, it is possible to temporarily overload the
 file descriptors corresponding to stdout and stderr to point to an
 arbitrary pipe. It is, however, tricky to get right. This module gets
 it right, as far as such a terrible hack can be made right. It can be
 used to make misbehaving third-party C libraries stop spewing to
 standard output.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tabular-dev
Description-md5: 82fa4ddcef300cecb946fb587ceabefb
Description-en: two-dimensional data tables with rendering functions
 Tabular provides a Haskell representation of two-dimensional data
 tables, the kind that you might find in a spreadsheet or a
 research report. It also comes with some default rendering functions
 for turning those tables into ASCII art, simple text with an
 arbitrary delimiter, CSV, HTML or LaTeX.
 .
 The tabular package can group rows and columns, each group having one
 of three separators (no line, single line, double line) between its
 members.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tabular-doc
Description-md5: 2e1e0bf77e3582232ea69d1fe97d168c
Description-en: two-dimensional data tables with rendering functions; documentation
 Tabular provides a Haskell representation of two-dimensional data
 tables, the kind that you might find in a spreadsheet or a
 research report. It also comes with some default rendering functions
 for turning those tables into ASCII art, simple text with an
 arbitrary delimiter, CSV, HTML or LaTeX.
 .
 The tabular package can group rows and columns, each group having one
 of three separators (no line, single line, double line) between its
 members.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tabular-prof
Description-md5: ccab220cff592524c7246d71993164cc
Description-en: two-dimensional data tables with rendering functions; profiling libraries
 Tabular provides a Haskell representation of two-dimensional data
 tables, the kind that you might find in a spreadsheet or a
 research report. It also comes with some default rendering functions
 for turning those tables into ASCII art, simple text with an
 arbitrary delimiter, CSV, HTML or LaTeX.
 .
 The tabular package can group rows and columns, each group having one
 of three separators (no line, single line, double line) between its
 members.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-taffybar-dev
Description-md5: e2a9c1cbc2980e420cb32ecf2a7217df
Description-en: desktop bar extensible in Haskell
 This bar is based on gtk2hs and provides several widgets (including a few
 graphical ones).
 It also sports an optional snazzy system tray.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-taffybar-doc
Description-md5: b068aeb313988a661a882308ea1dbe64
Description-en: desktop bar extensible in Haskell; documentation
 This bar is based on gtk2hs and provides several widgets (including a few
 graphical ones).
 It also sports an optional snazzy system tray.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-taffybar-prof
Description-md5: 23ce08f0fb6d2950e1e75d2a8079aa51
Description-en: desktop bar extensible in Haskell; profiling libraries
 This bar is based on gtk2hs and provides several widgets (including a few
 graphical ones).
 It also sports an optional snazzy system tray.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tagged-dev
Description-md5: 887f5ee3a49446fe3df5960398b6c251
Description-en: newtype wrappers for phantom types
 It provides newtype wrappers for phantom types to avoid unsafely
 passing dummy arguments.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tagged-doc
Description-md5: 2546eb6cee09e0bf78f498938db6c602
Description-en: newtype wrappers for phantom types; documentation
 It provides newtype wrappers for phantom types to avoid unsafely
 passing dummy arguments.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tagged-prof
Description-md5: 28c04b0686c10d518bc577efa0779089
Description-en: newtype wrappers for phantom types; profiling libraries
 It provides newtype wrappers for phantom types to avoid unsafely
 passing dummy arguments.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tagshare-dev
Description-md5: 66c195969297ff48c5c9cbc289482935
Description-en: explicit sharing with tags
 TagShare supplies a monad for sharing values based on tags
 and types. Each tag and type is bound to at most one value
 in a dynamic map.
 .
 The principal use of this package is to ensure that
 constant class members or other overloaded values are
 shared.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tagshare-doc
Description-md5: 63391fb5573b2af714d0b3a0b8f85b10
Description-en: explicit sharing with tags; documentation
 TagShare supplies a monad for sharing values based on tags
 and types. Each tag and type is bound to at most one value
 in a dynamic map.
 .
 The principal use of this package is to ensure that
 constant class members or other overloaded values are
 shared.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tagshare-prof
Description-md5: 2734c9fd412719d8627935e8cbee5fb5
Description-en: explicit sharing with tags; profiling libraries
 TagShare supplies a monad for sharing values based on tags
 and types. Each tag and type is bound to at most one value
 in a dynamic map.
 .
 The principal use of this package is to ensure that
 constant class members or other overloaded values are
 shared.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tagsoup-dev
Description-md5: 9e7b8da978a1af82a01a785ae1be1585
Description-en: Haskell library to robustly parse unstructured HTML
 TagSoup extracts information out of unstructured HTML code, sometimes
 known as tag soup. TagSoup does not require well-formed or
 standards-compliant HTML, or HTML that renders correctly in any
 particular rendering engine.  TagSoup transforms HTML into a list of
 open tags with attributes, close tags, and text, but makes no attempt
 to group these together into any kind of structure.
 .
 This package is not related to the Java TagSoup library.

Package: libghc-tagsoup-doc
Description-md5: c61326ffa7176e76f35578a3249fb3b3
Description-en: Documentation for Haskell TagSoup library
 TagSoup extracts information out of unstructured HTML code, sometimes
 known as tag soup. TagSoup does not require well-formed or
 standards-compliant HTML, or HTML that renders correctly in any
 particular rendering engine.  TagSoup transforms HTML into a list of
 open tags with attributes, close tags, and text, but makes no attempt
 to group these together into any kind of structure.
 .
 This package contains the API documentation and example for the TagSoup
 library.

Package: libghc-tagsoup-prof
Description-md5: 2fec70ca460bedfcd5a5409c2cda9581
Description-en: Profiling support for TagSoup Haskell library
 TagSoup extracts information out of unstructured HTML code, sometimes
 known as tag soup. TagSoup does not require well-formed or
 standards-compliant HTML, or HTML that renders correctly in any
 particular rendering engine.  TagSoup transforms HTML into a list of
 open tags with attributes, close tags, and text, but makes no attempt
 to group these together into any kind of structure.
 .
 This package contains the profiling support for the TagSoup library.

Package: libghc-tagstream-conduit-dev
Description-md5: 5a2dda94062bc93294f60e8ef240dd00
Description-en: streamlined HTML tag parser
 Tag-stream is a library for parsing HTMLXML to a token stream. It
 can parse unstructured and malformed HTML from the web. It also
 provides an Enumeratee which can parse streamline html, which means
 it consumes constant memory.
 .
 This package contains the normal library files.

Package: libghc-tagstream-conduit-doc
Description-md5: 72843c96e2e3f5eb8c27db530c2a2c98
Description-en: streamlined HTML tag parser; documentation
 Tag-stream is a library for parsing HTMLXML to a token stream. It
 can parse unstructured and malformed HTML from the web. It also
 provides an Enumeratee which can parse streamline html, which means
 it consumes constant memory.
 .
 This package contains the documentation files.

Package: libghc-tagstream-conduit-prof
Description-md5: 540f3675a1bfa74eb561841b2f71e19b
Description-en: streamlined HTML tag parser; profiling libraries
 Tag-stream is a library for parsing HTMLXML to a token stream. It
 can parse unstructured and malformed HTML from the web. It also
 provides an Enumeratee which can parse streamline html, which means
 it consumes constant memory.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-tar-dev
Description-md5: 969482f0f187cb656a73c0590e93b5bf
Description-en: reading, writing and manipulating ".tar" archive files
 This library is for working with ".tar" archive files. It can read and write a
 range of common variations of archive format including V7, USTAR, POSIX and
 GNU formats. It provides support for packing and unpacking portable archives.
 This makes it suitable for distribution but not backup because details like
 file ownership and exact permissions are not preserved.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tar-doc
Description-md5: d73e54c3113c06b3ca0d7e8c4fdf8512
Description-en: reading, writing and manipulating ".tar" archive files; documentation
 This library is for working with ".tar" archive files. It can read and write a
 range of common variations of archive format including V7, USTAR, POSIX and
 GNU formats. It provides support for packing and unpacking portable archives.
 This makes it suitable for distribution but not backup because details like
 file ownership and exact permissions are not preserved.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tar-prof
Description-md5: 3471d4e9d21ab726918df70f0d53a589
Description-en: reading, writing and manipulating ".tar" archive files; profiling libraries
 This library is for working with ".tar" archive files. It can read and write a
 range of common variations of archive format including V7, USTAR, POSIX and
 GNU formats. It provides support for packing and unpacking portable archives.
 This makes it suitable for distribution but not backup because details like
 file ownership and exact permissions are not preserved.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-dev
Description-md5: e90c3769e75029cdc82bb58b4cbbcfbf
Description-en: Haskell testing framework
 A modern and extensible testing framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-doc
Description-md5: a403b1dbe3e1faac91c71eabc340a04e
Description-en: Haskell testing framework; documentation
 A modern and extensible testing framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-expected-failure-dev
Description-md5: 66e6d9ca028106f0ff0ebdf9e3358cab
Description-en: mark tasty tests as failure-expected
 With the function 'expectFail' in the provided module
 Test.Tasty.ExpectedFailure, you can mark that you expect
 test cases to fail, and not to pass.
 .
 This can for example be used for test-driven development: Create the
 tests, mark them with 'Test.Tasty.ExpectedFailure.expectFail', and
 you can still push to the main branch, without your continuous
 integration branch failing.
 .
 Once someone implements the feature or fixes the bug (maybe
 unknowingly), the test suite will tell him so, due to the now
 unexpectedly passing test, and he can remove the
 'Test.Tasty.ExpectedFailure.expectFail' marker.
 .
 The module also provides 'Test.Tasty.ExpectedFailure.ignoreTest' to
 avoid running a test. Both functions are implemented via the more
 general 'Test.Tasty.ExpectedFailure.wrapTest', which is also
 provided.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-expected-failure-doc
Description-md5: cd2be78962c78b5aeb270780305a24f4
Description-en: mark tasty tests as failure-expected; documentation
 With the function 'expectFail' in the provided module
 Test.Tasty.ExpectedFailure, you can mark that you expect
 test cases to fail, and not to pass.
 .
 This can for example be used for test-driven development: Create the
 tests, mark them with 'Test.Tasty.ExpectedFailure.expectFail', and
 you can still push to the main branch, without your continuous
 integration branch failing.
 .
 Once someone implements the feature or fixes the bug (maybe
 unknowingly), the test suite will tell him so, due to the now
 unexpectedly passing test, and he can remove the
 'Test.Tasty.ExpectedFailure.expectFail' marker.
 .
 The module also provides 'Test.Tasty.ExpectedFailure.ignoreTest' to
 avoid running a test. Both functions are implemented via the more
 general 'Test.Tasty.ExpectedFailure.wrapTest', which is also
 provided.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-expected-failure-prof
Description-md5: e6b2ec204f63e5ea8dbc3d32fc088e8b
Description-en: mark tasty tests as failure-expected; profiling libraries
 With the function 'expectFail' in the provided module
 Test.Tasty.ExpectedFailure, you can mark that you expect
 test cases to fail, and not to pass.
 .
 This can for example be used for test-driven development: Create the
 tests, mark them with 'Test.Tasty.ExpectedFailure.expectFail', and
 you can still push to the main branch, without your continuous
 integration branch failing.
 .
 Once someone implements the feature or fixes the bug (maybe
 unknowingly), the test suite will tell him so, due to the now
 unexpectedly passing test, and he can remove the
 'Test.Tasty.ExpectedFailure.expectFail' marker.
 .
 The module also provides 'Test.Tasty.ExpectedFailure.ignoreTest' to
 avoid running a test. Both functions are implemented via the more
 general 'Test.Tasty.ExpectedFailure.wrapTest', which is also
 provided.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-golden-dev
Description-md5: f96c3f548b12af715c53b33c66389dba
Description-en: Golden test support for Tasty test framework
 This library provides support for golden testing. A golden test is an
 IO action that writes its result to a file. To pass the test, this
 output file should be identical to the corresponding golden file,
 which contains the correct result for the test.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-golden-doc
Description-md5: 8d559d051b33d9b7a275192fc09e31af
Description-en: Golden test support for Tasty test framework; documentation
 This library provides support for golden testing. A golden test is an
 IO action that writes its result to a file. To pass the test, this
 output file should be identical to the corresponding golden file,
 which contains the correct result for the test.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-golden-prof
Description-md5: 4b7505cf9a0fa05a5a73871b1aead115
Description-en: Golden test support for Tasty test framework; profiling libraries
 This library provides support for golden testing. A golden test is an
 IO action that writes its result to a file. To pass the test, this
 output file should be identical to the corresponding golden file,
 which contains the correct result for the test.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hedgehog-dev
Description-md5: d8576907896c44a7337215b2e6557327
Description-en: hedgehog-tasty integration
 Integrates the hedgehog testing library with the tasty testing
 framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hedgehog-doc
Description-md5: 44f608104121fa9a53116ac113b6c318
Description-en: hedgehog-tasty integration; documentation
 Integrates the hedgehog testing library with the tasty testing
 framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hedgehog-prof
Description-md5: c95391364ae2642ca33f17775168b8a1
Description-en: hedgehog-tasty integration; profiling libraries
 Integrates the hedgehog testing library with the tasty testing
 framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hspec-dev
Description-md5: 1a614d4a0f1f49ef5fb281ac7a42b957
Description-en: Tasty test framework, Hspec support
 Hspec support for the Tasty test framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hspec-doc
Description-md5: be0e4ce30d8f8c9b921ca90f0f3644fc
Description-en: Tasty test framework, Hspec support; documentation
 Hspec support for the Tasty test framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hspec-prof
Description-md5: a764b27f77cfe5213565ea186d89ffb7
Description-en: Tasty test framework, Hspec support; profiling libraries
 Hspec support for the Tasty test framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hunit-dev
Description-md5: 9109a3274241cf4b3c4a42f18902931b
Description-en: Haskell testing framework, HUnit support
 HUnit support for the Tasty test framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hunit-doc
Description-md5: f795ea94eace101f73012a06f4f0d858
Description-en: Haskell testing framework, HUnit support; documentation
 HUnit support for the Tasty test framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-hunit-prof
Description-md5: 3c3e219ca194e19a52b0f932c0e20e9a
Description-en: Haskell testing framework, HUnit support; profiling libraries
 HUnit support for the Tasty test framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-kat-dev
Description-md5: c33c094cb7658fca0443688a5c6a6cbd
Description-en: Known Answer Tests (KAT) framework for tasty
 Tests running from simple KATs file (different formats/helper supported)
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-kat-doc
Description-md5: ba1da72e46f872acbdbc81c7ccc3ad96
Description-en: Known Answer Tests (KAT) framework for tasty; documentation
 Tests running from simple KATs file (different formats/helper supported)
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-kat-prof
Description-md5: 4d100d8429ba29f22ea8789c407bddb3
Description-en: Known Answer Tests (KAT) framework for tasty; profiling libraries
 Tests running from simple KATs file (different formats/helper supported)
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-prof
Description-md5: 300a4eb96368c36355df475128d3c2ed
Description-en: Haskell testing framework; profiling libraries
 A modern and extensible testing framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-quickcheck-dev
Description-md5: 15dccba4daf23365eed6b5e4f37d59f7
Description-en: Haskell testing framework, QuickCheck support
 QuickCheck support for the Tasty test framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-quickcheck-doc
Description-md5: 6b6640ddaead5739305448512ab716bf
Description-en: Haskell testing framework, QuickCheck support; documentation
 QuickCheck support for the Tasty test framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-quickcheck-prof
Description-md5: 4c0662c0e35832d7e382c3dade1787a2
Description-en: Haskell testing framework, QuickCheck support; profiling libraries
 QuickCheck support for the Tasty test framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-rerun-dev
Description-md5: b5fda895a8eadfeecc4e45bc38c21f8e
Description-en: Haskell testing framework, rerun support
 This ingredient adds the ability to run tests by first filtering the
 test tree based on the result of a previous test run.
 For example, you can use this to run only those tests that failed
 in the last run, or to run only tests that have been added since
 tests were last ran.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-rerun-doc
Description-md5: 6188c37c5598e418e5b86f0c2bf2ff64
Description-en: Haskell testing framework, rereun support; documentation
 This ingredient adds the ability to run tests by first filtering the
 test tree based on the result of a previous test run.
 For example, you can use this to run only those tests that failed
 in the last run, or to run only tests that have been added since
 tests were last ran.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-rerun-prof
Description-md5: 8d53c2611efc36fa2a7cd44d0e93c6ee
Description-en: Haskell testing framework, rerun support; profiling libraries
 This ingredient adds the ability to run tests by first filtering the
 test tree based on the result of a previous test run.
 For example, you can use this to run only those tests that failed
 in the last run, or to run only tests that have been added since
 tests were last ran.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-smallcheck-dev
Description-md5: d4077c58704fdd5583f5fe29e508ff34
Description-en: SmallCheck support for the Tasty test framework
 This packages contains the glue code to run SmallCheck tests within the Tasty
 test framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-smallcheck-doc
Description-md5: 6a21bf6cec467ea5f26181441114a12e
Description-en: SmallCheck support for the Tasty test framework; documentation
 This packages contains the glue code to run SmallCheck tests within the Tasty
 test framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-smallcheck-prof
Description-md5: 17cfb54160a7c58498c5075736404684
Description-en: SmallCheck support for the Tasty test framework; profiling libraries
 This packages contains the glue code to run SmallCheck tests within the Tasty
 test framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-th-dev
Description-md5: bd60025afeaadb1e90422b8a4775f9a0
Description-en: TH code generation for Tasty test framework
 Automagically generate the HUnit- and Quickcheck-bulk-code using
 Template Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-th-doc
Description-md5: 5e51959531c8beac3ca05aed448f8346
Description-en: TH code generation for Tasty test framework; documentation
 Automagically generate the HUnit- and Quickcheck-bulk-code using
 Template Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tasty-th-prof
Description-md5: 9ee36796122110bbb1d02c5c24bf07b9
Description-en: TH code generation for Tasty test framework; profiling libraries
 Automagically generate the HUnit- and Quickcheck-bulk-code using
 Template Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-template-dev
Description-md5: 17303b2ed8dbaa10965397510e709584
Description-en: string substitution library
 This is a simple string substitution library that supports "$"-based
 substitution. It is meant to be used when Text.Printf or string concatenation
 would lead to code that is hard to read but when a full blown templating
 system is overkill.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-template-doc
Description-md5: 68394b151a9c7dc061c91877eb110414
Description-en: string substitution library; documentation
 This is a simple string substitution library that supports "$"-based
 substitution. It is meant to be used when Text.Printf or string concatenation
 would lead to code that is hard to read but when a full blown templating
 system is overkill.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-template-prof
Description-md5: 025e4523d8c28bc3bc2f2317c43e2a6e
Description-en: string substitution library; profiling libraries
 This is a simple string substitution library that supports "$"-based
 substitution. It is meant to be used when Text.Printf or string concatenation
 would lead to code that is hard to read but when a full blown templating
 system is overkill.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-temporary-dev
Description-md5: b717775f10f14d831ace456e90acb20a
Description-en: Temporary files and directories
 This library provide functions to create temporary files and directories. The
 code comes from the Cabal library, and is extracted into this package so that
 it can be used idependently of Cabal.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-temporary-doc
Description-md5: 134fabfe5abcec8c264262889e0dcd7e
Description-en: Temporary files and directories; documentation
 This library provide functions to create temporary files and directories. The
 code comes from the Cabal library, and is extracted into this package so that
 it can be used idependently of Cabal.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-temporary-prof
Description-md5: 79efc1a45992d6c98cab09aed36d14cc
Description-en: Temporary files and directories; profiling libraries
 This library provide functions to create temporary files and directories. The
 code comes from the Cabal library, and is extracted into this package so that
 it can be used idependently of Cabal.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-terminal-progress-bar-dev
Description-md5: dcf70856fbb7217a704a7170dcb09cda
Description-en: A simple progress bar in the terminal
 A progress bar is used to convey the progress of a task. This
 package implements a very simple textual progress bar.
 .
 The animated progress bar depends entirely on the interpretation of
 the carriage return character (\'\r\'). If your terminal interprets
 it as something else then "move cursor to beginning of line", the
 animation won't work.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-terminal-progress-bar-doc
Description-md5: f5e3f915487ea83751ecb151c1d332d4
Description-en: A simple progress bar in the terminal; documentation
 A progress bar is used to convey the progress of a task. This
 package implements a very simple textual progress bar.
 .
 The animated progress bar depends entirely on the interpretation of
 the carriage return character (\'\r\'). If your terminal interprets
 it as something else then "move cursor to beginning of line", the
 animation won't work.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-terminal-progress-bar-prof
Description-md5: 58559e18f320313be8ed46c008d6f6aa
Description-en: A simple progress bar in the terminal; profiling libraries
 A progress bar is used to convey the progress of a task. This
 package implements a very simple textual progress bar.
 .
 The animated progress bar depends entirely on the interpretation of
 the carriage return character (\'\r\'). If your terminal interprets
 it as something else then "move cursor to beginning of line", the
 animation won't work.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-terminal-size-dev
Description-md5: 890c977d94406c17e9a26f2bd68c4148
Description-en: get terminal window height and width
 Get terminal window height and width without ncurses dependency.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-terminal-size-doc
Description-md5: 2adefeafc76544b450688ea6270ccc6f
Description-en: get terminal window height and width; documentation
 Get terminal window height and width without ncurses dependency.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-terminal-size-prof
Description-md5: 4b1a2408220d45558f72e5381088910f
Description-en: get terminal window height and width; profiling libraries
 Get terminal window height and width without ncurses dependency.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-termonad-dev
Description-md5: b193af792d3417dfdcd9b5e6ffaf600b
Description-en: terminal emulator configurable in Haskell
 Termonad is a terminal emulator configurable in Haskell. It is
 extremely customizable and provides hooks to modify the default
 behavior. It can be thought of as the "XMonad" of terminal
 emulators.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-termonad-doc
Description-md5: 4bd053b4035df1f6828436d7cfa93c60
Description-en: terminal emulator configurable in Haskell; documentation
 Termonad is a terminal emulator configurable in Haskell. It is
 extremely customizable and provides hooks to modify the default
 behavior. It can be thought of as the "XMonad" of terminal
 emulators.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-termonad-prof
Description-md5: 91f5ae8e0843e7f9c37c4ecdd14f0050
Description-en: terminal emulator configurable in Haskell; profiling libraries
 Termonad is a terminal emulator configurable in Haskell. It is
 extremely customizable and provides hooks to modify the default
 behavior. It can be thought of as the "XMonad" of terminal
 emulators.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-test-framework-dev
Description-md5: 2dd22871389530ff80e4f6ddcf86f407
Description-en: Framework for running and organising tests
 Allows tests such as QuickCheck properties and HUnit test cases to be
 assembled into test groups, run in parallel (but reported in deterministic
 order, to aid diff interpretation) and filtered and controlled by command
 line options. All of this comes with colored test output, progress reporting
 and test statistics output.
 .
 You should install libghc-test-framework-{hunit,quickcheck2}-* package
 to use this with {HUnit,QuickCheck2}.
 .
 This package contains the normal library files.

Package: libghc-test-framework-doc
Description-md5: 76a92b0d567693ebad27eaa34090dd7a
Description-en: Framework for running and organising tests; documentation
 Allows tests such as QuickCheck properties and HUnit test cases to be
 assembled into test groups, run in parallel (but reported in deterministic
 order, to aid diff interpretation) and filtered and controlled by command
 line options. All of this comes with colored test output, progress reporting
 and test statistics output.
 .
 You should install libghc-test-framework-{hunit,quickcheck2}-* package
 to use this with {HUnit,QuickCheck2}.
 .
 This package contains the documentation files.

Package: libghc-test-framework-hunit-dev
Description-md5: 9b46c53342ea6be4534e38bbfa183696
Description-en: HUnit support for the test-framework package.
 Allows HUnit test cases to be used with the test-framework package.
 .
 This package contains the normal library files.

Package: libghc-test-framework-hunit-doc
Description-md5: 4fd6d4752302367e85c217f8546fcffc
Description-en: HUnit support for the test-framework package.; documentation
 Allows HUnit test cases to be used with the test-framework package.
 .
 This package contains the documentation files.

Package: libghc-test-framework-hunit-prof
Description-md5: dd26825a2855e65c6b7cc4c2b446dc01
Description-en: HUnit support for the test-framework package.; profiling libraries
 Allows HUnit test cases to be used with the test-framework package.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-test-framework-prof
Description-md5: b5d4de95f8ee1921cc1ad8e093d0fef9
Description-en: Framework for running and organising tests; profiling libraries
 Allows tests such as QuickCheck properties and HUnit test cases to be
 assembled into test groups, run in parallel (but reported in deterministic
 order, to aid diff interpretation) and filtered and controlled by command
 line options. All of this comes with colored test output, progress reporting
 and test statistics output.
 .
 You should install libghc-test-framework-{hunit,quickcheck2}-* package
 to use this with {HUnit,QuickCheck2}.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-test-framework-quickcheck2-dev
Description-md5: 46d19d75234299a055d3bc3efec82487
Description-en: QuickCheck2 support for the test-framework package.
 Allows QuickCheck2 properties to be used with the test-framework package.
 .
 This package contains the normal library files.

Package: libghc-test-framework-quickcheck2-doc
Description-md5: 6643ed32475d1142ee39cc71354c8bb4
Description-en: QuickCheck2 support for the test-framework package.; documentation
 Allows QuickCheck2 properties to be used with the test-framework package.
 .
 This package contains the documentation files.

Package: libghc-test-framework-quickcheck2-prof
Description-md5: f0493b6160403b883110f2fc8d6029f5
Description-en: QuickCheck2 support for the test-framework package.; profiling libraries
 Allows QuickCheck2 properties to be used with the test-framework package.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-test-framework-th-dev
Description-md5: 8b9c3a95c524a1f9b72ab22b95756233
Description-en: Automagically generate the {HUnit,Quickcheck}-bulk-code
 test-framework-th contains two interesting functions:
 defaultMainGenerator and testGroupGenerator.
 .
 defaultMainGenerator will extract all functions beginning with
 "case_" or "prop_" in the module and put them in a testGroup.
 .
 testGroupGenerator is like defaultMainGenerator but without defaultMain.
 It is useful if you need a function for the testgroup
 (e.g. if you want to be able to call the testgroup from another module).
 .
 This package contains the normal library files.

Package: libghc-test-framework-th-doc
Description-md5: 8efa2a826bff3211c02232d018a8bcb0
Description-en: Automagically generate the {HUnit,Quickcheck}-bulk-code; documentation
 test-framework-th contains two interesting functions:
 defaultMainGenerator and testGroupGenerator.
 .
 defaultMainGenerator will extract all functions beginning with
 "case_" or "prop_" in the module and put them in a testGroup.
 .
 testGroupGenerator is like defaultMainGenerator but without defaultMain.
 It is useful if you need a function for the testgroup
 (e.g. if you want to be able to call the testgroup from another module).
 .
 This package contains the documentation files.

Package: libghc-test-framework-th-prime-dev
Description-md5: 2eb4cdfc321e50e6a5c098a7a8aa5b88
Description-en: Template Haskell for test framework
 Automatically generates a Test list for
 HUnit, doctest and QuickCheck2.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-test-framework-th-prime-doc
Description-md5: d4be45188fa499c0c0e0375e3411cf94
Description-en: Template Haskell for test framework; documentation
 Automatically generates a Test list for
 HUnit, doctest and QuickCheck2.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-test-framework-th-prime-prof
Description-md5: 12ad06079cefd11bc5bfb226232d238c
Description-en: Template Haskell for test framework; profiling libraries
 Automatically generates a Test list for
 HUnit, doctest and QuickCheck2.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-test-framework-th-prof
Description-md5: dae1a1247a37134c84d081ef15aa1066
Description-en: Automagically generate the {HUnit,Quickcheck}-bulk-code; profiling libraries
 test-framework-th contains two interesting functions:
 defaultMainGenerator and testGroupGenerator.
 .
 defaultMainGenerator will extract all functions beginning with
 "case_" or "prop_" in the module and put them in a testGroup.
 .
 testGroupGenerator is like defaultMainGenerator but without defaultMain.
 It is useful if you need a function for the testgroup
 (e.g. if you want to be able to call the testgroup from another module).
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-texmath-dev
Description-md5: 424e84d60f47ee2ad3ae478d81f8b499
Description-en: Haskell library to convert LaTeX to MathML
 The texmath Haskell library provides functions to convert LaTeX math
 formulas to presentation MathML. It supports basic LaTeX and AMS
 extensions, but not macros.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-texmath-doc
Description-md5: dfcf04be8280da5b4889209e0be3083e
Description-en: Haskell library to convert LaTeX to MathML; documentation
 The texmath Haskell library provides functions to convert LaTeX math
 formulas to presentation MathML. It supports basic LaTeX and AMS
 extensions, but not macros.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-texmath-prof
Description-md5: 83a4d457f5aef428126f32951cfd1e35
Description-en: Haskell library to convert LaTeX to MathML; profiling libraries
 The texmath Haskell library provides functions to convert LaTeX math
 formulas to presentation MathML. It supports basic LaTeX and AMS
 extensions, but not macros.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-binary-dev
Description-md5: 6d8e135a896569da8d80db5bd10434fc
Description-en: Binary instances for text types
 A compatibility layer providing Binary instances for strict
 and lazy text types for versions older than 1.2.1 of 'text'
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-binary-doc
Description-md5: 26ac9d3993b910c8bb15b0e4cc756b27
Description-en: Binary instances for text types; documentation
 A compatibility layer providing Binary instances for strict
 and lazy text types for versions older than 1.2.1 of 'text'
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-binary-prof
Description-md5: b2529bdb777f4174e51ae32db6be18da
Description-en: Binary instances for text types; profiling libraries
 A compatibility layer providing Binary instances for strict
 and lazy text types for versions older than 1.2.1 of 'text'
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-conversions-dev
Description-md5: d5c757ef4cc89e6248d9306ad7ca5db4
Description-en: Haskell library for safe conversions between textual types
 This is a small library to ease the pain when converting between the
 many different string types in Haskell.  Unlike some other libraries
 that attempt to solve the same problem, text-conversions is:
 .
 Safe: This library treats binary data (aka `ByteString`) like binary
 data, and it does not assume a particular encoding, nor does it ever
 throw exceptions when failing to decode. It does, however, provide
 failable conversions between binary data and textual data.
 .
 Extensible: It’s easy to add or derive your own instances of the
 typeclasses to use your own types through the same interface.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-conversions-doc
Description-md5: d2579dc9c42ca358aae9d4f29c3e51f3
Description-en: Haskell library for safe conversions between textual types; documentation
 This is a small library to ease the pain when converting between the
 many different string types in Haskell.  Unlike some other libraries
 that attempt to solve the same problem, text-conversions is:
 .
 Safe: This library treats binary data (aka `ByteString`) like binary
 data, and it does not assume a particular encoding, nor does it ever
 throw exceptions when failing to decode. It does, however, provide
 failable conversions between binary data and textual data.
 .
 Extensible: It’s easy to add or derive your own instances of the
 typeclasses to use your own types through the same interface.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-conversions-prof
Description-md5: 6c0638f087a50ae245de1769c83af48a
Description-en: Haskell library for safe conversions between textual types; profiling libraries
 This is a small library to ease the pain when converting between the
 many different string types in Haskell.  Unlike some other libraries
 that attempt to solve the same problem, text-conversions is:
 .
 Safe: This library treats binary data (aka `ByteString`) like binary
 data, and it does not assume a particular encoding, nor does it ever
 throw exceptions when failing to decode. It does, however, provide
 failable conversions between binary data and textual data.
 .
 Extensible: It’s easy to add or derive your own instances of the
 typeclasses to use your own types through the same interface.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-format-dev
Description-md5: 519012eadba542580d6f4af923164c05
Description-en: text formatting
 A text formatting library optimized for both ease of use and high
 performance.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-format-doc
Description-md5: 4f0ccf2e871e4d47f6b281e90bced2b5
Description-en: text formatting; documentation
 A text formatting library optimized for both ease of use and high
 performance.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-format-prof
Description-md5: eb07e0fc3def9b5dd8373a3983e46227
Description-en: text formatting; profiling libraries
 A text formatting library optimized for both ease of use and high
 performance.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-icu-dev
Description-md5: 9ef0683e2a1db8cdec8ec71d55519b9b
Description-en: bindings to the ICU library
 Haskell bindings to the International Components for Unicode (ICU)
 libraries. These libraries provide robust and full-featured Unicode
 services on a wide variety of platforms.
 .
 Features include:
 .
 Both pure and impure bindings, to allow for fine control over efficiency
 and ease of use.
 .
 Breaking of strings on character, word, sentence, and line boundaries.
 .
 Access to the Unicode Character Database (UCD) of character metadata.
 .
 String collation functions, for locales where the conventions for
 lexicographic ordering differ from the simple numeric ordering of
 character codes.
 .
 Character set conversion functions, allowing conversion between
 Unicode and over 220 character encodings.
 .
 Unicode normalization. (When implementations keep strings in a
 normalized form, they can be assured that equivalent strings have a
 unique binary representation.)
 .
 Regular expression search and replace.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-icu-doc
Description-md5: bf2c51912020067dfe66005656356963
Description-en: bindings to the ICU library; documentation
 Haskell bindings to the International Components for Unicode (ICU)
 libraries. These libraries provide robust and full-featured Unicode
 services on a wide variety of platforms.
 .
 Features include:
 .
 Both pure and impure bindings, to allow for fine control over efficiency
 and ease of use.
 .
 Breaking of strings on character, word, sentence, and line boundaries.
 .
 Access to the Unicode Character Database (UCD) of character metadata.
 .
 String collation functions, for locales where the conventions for
 lexicographic ordering differ from the simple numeric ordering of
 character codes.
 .
 Character set conversion functions, allowing conversion between
 Unicode and over 220 character encodings.
 .
 Unicode normalization. (When implementations keep strings in a
 normalized form, they can be assured that equivalent strings have a
 unique binary representation.)
 .
 Regular expression search and replace.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-icu-prof
Description-md5: fb41209a8e0c591022a3b37a739c7717
Description-en: bindings to the ICU library; profiling libraries
 Haskell bindings to the International Components for Unicode (ICU)
 libraries. These libraries provide robust and full-featured Unicode
 services on a wide variety of platforms.
 .
 Features include:
 .
 Both pure and impure bindings, to allow for fine control over efficiency
 and ease of use.
 .
 Breaking of strings on character, word, sentence, and line boundaries.
 .
 Access to the Unicode Character Database (UCD) of character metadata.
 .
 String collation functions, for locales where the conventions for
 lexicographic ordering differ from the simple numeric ordering of
 character codes.
 .
 Character set conversion functions, allowing conversion between
 Unicode and over 220 character encodings.
 .
 Unicode normalization. (When implementations keep strings in a
 normalized form, they can be assured that equivalent strings have a
 unique binary representation.)
 .
 Regular expression search and replace.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-metrics-dev
Description-md5: 8f93c17593d51e9999f22c7fd7721197
Description-en: Calculate various string metrics efficiently
 This library provides efficient implementations of various strings metric
 algorithms. It works with strict Text values.
 .
 The current version implements the following algorithms:
 .
  * Levenshtein distance
  * Normalized Levenshtein distance
  * Damerau-Levenshtein distance
  * Normalized Damerau-Levenshtein distance
  * Hamming distance
  * Jaro distance
  * Jaro-Winkler distance
  * Overlap coefficient
  * Jaccard similarity coefficient
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-metrics-doc
Description-md5: 1d54f2b8dbc6a0babda765fd41dad5f8
Description-en: Calculate various string metrics efficiently; documentation
 This library provides efficient implementations of various strings metric
 algorithms. It works with strict Text values.
 .
 The current version implements the following algorithms:
 .
  * Levenshtein distance
  * Normalized Levenshtein distance
  * Damerau-Levenshtein distance
  * Normalized Damerau-Levenshtein distance
  * Hamming distance
  * Jaro distance
  * Jaro-Winkler distance
  * Overlap coefficient
  * Jaccard similarity coefficient
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-metrics-prof
Description-md5: 9d7bfbda186f72946ab16effeaebb30b
Description-en: Calculate various string metrics efficiently; profiling libraries
 This library provides efficient implementations of various strings metric
 algorithms. It works with strict Text values.
 .
 The current version implements the following algorithms:
 .
  * Levenshtein distance
  * Normalized Levenshtein distance
  * Damerau-Levenshtein distance
  * Normalized Damerau-Levenshtein distance
  * Hamming distance
  * Jaro distance
  * Jaro-Winkler distance
  * Overlap coefficient
  * Jaccard similarity coefficient
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-postgresql-dev
Description-md5: 8c350c602317371e121e735082269026
Description-en: Parser and Printer of PostgreSQL extended types
 This package involves parser and printer for
 text expressions of PostgreSQL extended types.
 - inet type, cidr type
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-postgresql-doc
Description-md5: 9a53aa5d8f2ca90d1d2ddf4260b80469
Description-en: Parser and Printer of PostgreSQL extended types; documentation
 This package involves parser and printer for
 text expressions of PostgreSQL extended types.
 - inet type, cidr type
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-postgresql-prof
Description-md5: 2ae21729ab67605ceabfd36e9335f4bd
Description-en: Parser and Printer of PostgreSQL extended types; profiling libraries
 This package involves parser and printer for
 text expressions of PostgreSQL extended types.
 - inet type, cidr type
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-short-dev
Description-md5: 805fa717b116efe33962150ddad331b5
Description-en: memory-efficient representation of Unicode text strings
 This Haskell library provides the 'ShortText' type which is suitable
 for keeping many short strings in memory. This is similiar to the
 relationship between 'ShortByteString' and 'ByteString'.
 .
 The main differences between 'Text' and 'ShortText' is that
 'ShortText' uses UTF-8 instead of UTF-16 internally and 'ShortText'
 doesn't support zero-copy slicing (thereby saving 2 words).
 Consequently, the memory footprint of a (boxed) 'ShortText' value is 4
 words (2 words when unboxed) plus the length of the UTF-8 encoded
 payload.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-short-doc
Description-md5: 9cf3e966092737ded5dfd363696b594f
Description-en: memory-efficient representation of Unicode text strings; documentation
 This Haskell library provides the 'ShortText' type which is suitable
 for keeping many short strings in memory. This is similiar to the
 relationship between 'ShortByteString' and 'ByteString'.
 .
 The main differences between 'Text' and 'ShortText' is that
 'ShortText' uses UTF-8 instead of UTF-16 internally and 'ShortText'
 doesn't support zero-copy slicing (thereby saving 2 words).
 Consequently, the memory footprint of a (boxed) 'ShortText' value is 4
 words (2 words when unboxed) plus the length of the UTF-8 encoded
 payload.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-short-prof
Description-md5: 8f9f256213b9a08a1afdf03fb78f8865
Description-en: memory-efficient representation of Unicode text strings; profiling libraries
 This Haskell library provides the 'ShortText' type which is suitable
 for keeping many short strings in memory. This is similiar to the
 relationship between 'ShortByteString' and 'ByteString'.
 .
 The main differences between 'Text' and 'ShortText' is that
 'ShortText' uses UTF-8 instead of UTF-16 internally and 'ShortText'
 doesn't support zero-copy slicing (thereby saving 2 words).
 Consequently, the memory footprint of a (boxed) 'ShortText' value is 4
 words (2 words when unboxed) plus the length of the UTF-8 encoded
 payload.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-show-dev
Description-md5: f132089112330b2ae9c64f5616938dcc
Description-en: efficient conversion of values into Text
 A replacement for the Show typeclass intended for use with Text
 instead of Strings, in the spirit of bytestring-show.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-show-doc
Description-md5: fb951317e79e28b0ea4c6167377483d8
Description-en: efficient conversion of values into Text; documentation
 A replacement for the Show typeclass intended for use with Text
 instead of Strings, in the spirit of bytestring-show.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-show-prof
Description-md5: 1d64f03064a0889d094496c5bd27d550
Description-en: efficient conversion of values into Text; profiling libraries
 A replacement for the Show typeclass intended for use with Text
 instead of Strings, in the spirit of bytestring-show.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-zipper-dev
Description-md5: fb5e08fba335300c6d4c33ab8f63cc51
Description-en: text editor zipper library
 This library provides a zipper and API for editing text.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-zipper-doc
Description-md5: 24f8b1325975ef851f4caeb9923a5ac1
Description-en: text editor zipper library; documentation
 This library provides a zipper and API for editing text.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-text-zipper-prof
Description-md5: afe55cef90b450d5dcb2a0a869c00c39
Description-en: text editor zipper library; profiling libraries
 This library provides a zipper and API for editing text.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tf-random-dev
Description-md5: b2275701fe7e60a32b9db11872f8b596
Description-en: high-quality splittable pseudorandom number generator
 This package contains an implementation of a high-quality splittable
 pseudorandom number generator. The generator is based on a cryptographic hash
 function built on top of the ThreeFish block cipher. See the paper Splittable
 Pseudorandom Number Generators Using Cryptographic Hashing by Claessen, Pałka
 for details and the rationale of the design.
 .
 The package provides the following:
 .
  * A splittable PRNG that implements the standard System.Random.RandomGen class.
 .
  * The generator also implements an alternative version of the
    System.Random.TF.Gen.RandomGen class (exported from System.Random.TF.Gen),
    which requires the generator to return pseudorandom integers from the full
    32-bit range, and contains an n-way split function.
 .
  * An alternative version of the Random class is provided, which is linked to
    the new RandomGen class, together with Random instances for some integral
    types.
 .
  * Two functions for initialising the generator with a non-deterministic
    seed: one using the system time, and one using the /dev/urandom UNIX
    special file.
 .
 Please note that even though the generator provides very high-quality
 pseudorandom numbers, it has not been designed with cryptographic applications
 in mind.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tf-random-doc
Description-md5: d14026f161d06bd5a569aebd407d4034
Description-en: high-quality splittable pseudorandom number generator; documentation
 This package contains an implementation of a high-quality splittable
 pseudorandom number generator. The generator is based on a cryptographic hash
 function built on top of the ThreeFish block cipher. See the paper Splittable
 Pseudorandom Number Generators Using Cryptographic Hashing by Claessen, Pałka
 for details and the rationale of the design.
 .
 The package provides the following:
 .
  * A splittable PRNG that implements the standard System.Random.RandomGen class.
 .
  * The generator also implements an alternative version of the
    System.Random.TF.Gen.RandomGen class (exported from System.Random.TF.Gen),
    which requires the generator to return pseudorandom integers from the full
    32-bit range, and contains an n-way split function.
 .
  * An alternative version of the Random class is provided, which is linked to
    the new RandomGen class, together with Random instances for some integral
    types.
 .
  * Two functions for initialising the generator with a non-deterministic
    seed: one using the system time, and one using the /dev/urandom UNIX
    special file.
 .
 Please note that even though the generator provides very high-quality
 pseudorandom numbers, it has not been designed with cryptographic applications
 in mind.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tf-random-prof
Description-md5: c2dcc0da28ce93c1e377ea783254516b
Description-en: high-quality splittable pseudorandom number generator; profiling libraries
 This package contains an implementation of a high-quality splittable
 pseudorandom number generator. The generator is based on a cryptographic hash
 function built on top of the ThreeFish block cipher. See the paper Splittable
 Pseudorandom Number Generators Using Cryptographic Hashing by Claessen, Pałka
 for details and the rationale of the design.
 .
 The package provides the following:
 .
  * A splittable PRNG that implements the standard System.Random.RandomGen class.
 .
  * The generator also implements an alternative version of the
    System.Random.TF.Gen.RandomGen class (exported from System.Random.TF.Gen),
    which requires the generator to return pseudorandom integers from the full
    32-bit range, and contains an n-way split function.
 .
  * An alternative version of the Random class is provided, which is linked to
    the new RandomGen class, together with Random instances for some integral
    types.
 .
  * Two functions for initialising the generator with a non-deterministic
    seed: one using the system time, and one using the /dev/urandom UNIX
    special file.
 .
 Please note that even though the generator provides very high-quality
 pseudorandom numbers, it has not been designed with cryptographic applications
 in mind.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-abstraction-dev
Description-md5: 95046c4b74d748e3a361a3fd9f741f5d
Description-en: nicer interface for reified information about data types
 This package normalizes variations in the interface for
 inspecting datatype information via Template Haskell
 so that packages and support a single, easier to use
 informational datatype while supporting many versions
 of Template Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-abstraction-doc
Description-md5: 0c604e1661b9b19e76c8f98a3dabc458
Description-en: nicer interface for reified information about data types; documentation
 This package normalizes variations in the interface for
 inspecting datatype information via Template Haskell
 so that packages and support a single, easier to use
 informational datatype while supporting many versions
 of Template Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-abstraction-prof
Description-md5: ce4b365a9204491b672eb0584c636d44
Description-en: nicer interface for reified information about data types; profiling libraries
 This package normalizes variations in the interface for
 inspecting datatype information via Template Haskell
 so that packages and support a single, easier to use
 informational datatype while supporting many versions
 of Template Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-data-compat-dev
Description-md5: d670da8d9327a2abecd9e0e736208755
Description-en: compatibility for data definition template of TH
 This package contains wrapped name definitions of
 data definition template
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-data-compat-doc
Description-md5: a352c22ea37f91256ce80ce4158e4fc8
Description-en: compatibility for data definition template of TH; documentation
 This package contains wrapped name definitions of
 data definition template
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-data-compat-prof
Description-md5: bc6b277e592f2fad440b358e8250774e
Description-en: compatibility for data definition template of TH; profiling libraries
 This package contains wrapped name definitions of
 data definition template
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-desugar-dev
Description-md5: 53320535aa1735f6efc56b1f8c2d3360
Description-en: functions to desugar Template Haskell
 This package provides the Language.Haskell.TH.Desugar module, which desugars
 Template Haskell's rich encoding of Haskell syntax into a simpler encoding.
 This desugaring discards surface syntax information (such as the use of infix
 operators) but retains the original meaning of the TH code. The intended use
 of this package is as a preprocessor for more advanced code manipulation
 tools. Note that the input to any of the ds... functions should be produced
 from a TH quote, using the syntax [| ... |]. If the input to these functions
 is a hand-coded TH syntax tree, the results may be unpredictable. In
 particular, it is likely that promoted datatypes will not work as expected.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-desugar-doc
Description-md5: 46d46aef020737fb5c729769976a939b
Description-en: functions to desugar Template Haskell; documentation
 This package provides the Language.Haskell.TH.Desugar module, which desugars
 Template Haskell's rich encoding of Haskell syntax into a simpler encoding.
 This desugaring discards surface syntax information (such as the use of infix
 operators) but retains the original meaning of the TH code. The intended use
 of this package is as a preprocessor for more advanced code manipulation
 tools. Note that the input to any of the ds... functions should be produced
 from a TH quote, using the syntax [| ... |]. If the input to these functions
 is a hand-coded TH syntax tree, the results may be unpredictable. In
 particular, it is likely that promoted datatypes will not work as expected.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-desugar-prof
Description-md5: dfae9c57164b6de704b11a0ece7ac91d
Description-en: functions to desugar Template Haskell; profiling libraries
 This package provides the Language.Haskell.TH.Desugar module, which desugars
 Template Haskell's rich encoding of Haskell syntax into a simpler encoding.
 This desugaring discards surface syntax information (such as the use of infix
 operators) but retains the original meaning of the TH code. The intended use
 of this package is as a preprocessor for more advanced code manipulation
 tools. Note that the input to any of the ds... functions should be produced
 from a TH quote, using the syntax [| ... |]. If the input to these functions
 is a hand-coded TH syntax tree, the results may be unpredictable. In
 particular, it is likely that promoted datatypes will not work as expected.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-expand-syns-dev
Description-md5: e600f899713ab52b7da18f12f9449fa6
Description-en: expands type synonyms in Template Haskell ASTs
  Expands type synonyms in Template Haskell ASTs
  .
  This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-expand-syns-doc
Description-md5: 98a6facf544940e3430589f2158ec8b2
Description-en: expands type synonyms in Template Haskell ASTs; documentation
  Expands type synonyms in Template Haskell ASTs
  .
  This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-expand-syns-prof
Description-md5: c196ecf296cc96d95667c25ec03a1de2
Description-en: expands type synonyms in Template Haskell ASTs; profiling libraries
  Expands type synonyms in Template Haskell ASTs
  .
  This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-extras-dev
Description-md5: 8017789449f0c11238a4703fbfe5a031
Description-en: grab bag of functions for use with Template Haskell
 Various ugly CPP hacks to support the ever-changing interface of the template
 haskell system by providing high-level operations and making sure they work on
 as many versions of Template Haskell as possible.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-extras-doc
Description-md5: c3d7f266ba9d20b920f67aa4ab756fc9
Description-en: grab bag of functions for use with Template Haskell; documentation
 Various ugly CPP hacks to support the ever-changing interface of the template
 haskell system by providing high-level operations and making sure they work on
 as many versions of Template Haskell as possible.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-extras-prof
Description-md5: b4b070e46fb10ba6b9dd6e5133a3fdc0
Description-en: grab bag of functions for use with Template Haskell; profiling libraries
 Various ugly CPP hacks to support the ever-changing interface of the template
 haskell system by providing high-level operations and making sure they work on
 as many versions of Template Haskell as possible.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-lift-dev
Description-md5: dcd37bffd93e2743cd4c84ffdb5718f1
Description-en: derive Template Haskell's Lift class for datatypes.
 This library provides Template Haskell's functions to derive Lift instances, as
 well as several instances itself.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-lift-doc
Description-md5: 0e56e5058b9dcf2e9ad950a3755e5373
Description-en: derive Template Haskell's Lift class for datatypes.; documentation
 This library provides Template Haskell's functions to derive Lift instances, as
 well as several instances itself.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-lift-instances-dev
Description-md5: 9622985e33cac05217f7ab35d42afaf4
Description-en: Lift instances for template-haskell for common data types
 Most data types in haskell platform do not have Lift instances. This
 package provides orphan instances for containers, text, bytestring and
 vector.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-lift-instances-doc
Description-md5: 2c079f1786e296d731792726068a5ac5
Description-en: Lift instances for template-haskell for common data types; documentation
 Most data types in haskell platform do not have Lift instances. This
 package provides orphan instances for containers, text, bytestring and
 vector.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-lift-instances-prof
Description-md5: 86d15a51a870c25b30f947f904267417
Description-en: Lift instances for template-haskell for common data types; profiling libraries
 Most data types in haskell platform do not have Lift instances. This
 package provides orphan instances for containers, text, bytestring and
 vector.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-lift-prof
Description-md5: 54e30c88acbfd883f5e858d0a1db6611
Description-en: derive Template Haskell's Lift class for datatypes.; profiling libraries
 This library provides Template Haskell's functions to derive Lift instances, as
 well as several instances itself.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-orphans-dev
Description-md5: 5d8ae3e47c6eab2f3485a0c8c0cc161b
Description-en: orphan instances for TH datatypes
 Orphan instances for TH datatypes. In particular, instances for Ord
 and Lift, as well as a few missing Show / Eq (Show Eq for Loc, and
 Ppr for Loc Lit)
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-orphans-doc
Description-md5: b849760c8c4afa37c81e950de3290f8c
Description-en: orphan instances for TH datatypes; documentation
 Orphan instances for TH datatypes. In particular, instances for Ord
 and Lift, as well as a few missing Show / Eq (Show Eq for Loc, and
 Ppr for Loc Lit)
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-orphans-prof
Description-md5: 52417da02362134d6c53431a9f05407c
Description-en: orphan instances for TH datatypes; profiling libraries
 Orphan instances for TH datatypes. In particular, instances for Ord
 and Lift, as well as a few missing Show / Eq (Show Eq for Loc, and
 Ppr for Loc Lit)
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-reify-compat-dev
Description-md5: 31d5daa02485e12a936051c253918f5d
Description-en: compatibility for the result type of TH reify
 This package contains compatible interfaces against
 the result type of TH reify function.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-reify-compat-doc
Description-md5: 51f7348d5beeb99cb9dfc1bc0c6d4077
Description-en: compatibility for the result type of TH reify; documentation
 This package contains compatible interfaces against
 the result type of TH reify function.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-reify-compat-prof
Description-md5: 1dc7613548c67aa8e6f02d877afe48fb
Description-en: compatibility for the result type of TH reify; profiling libraries
 This package contains compatible interfaces against
 the result type of TH reify function.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-reify-many-dev
Description-md5: 008e0520fd63f45d382472bc991da35b
Description-en: recurseively reify template haskell datatype info
  This package provides functions for recursively reifying top
 level declarations.  The main intended use case is for enumerating
 the names of datatypes reachable from an initial datatype, and
 passing these names to some function which generates instances.
  .
  This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-reify-many-doc
Description-md5: 41e014b93848084da7f145d0d3dc05b3
Description-en: recurseively reify template haskell datatype info; documentation
  This package provides functions for recursively reifying top
 level declarations.  The main intended use case is for enumerating
 the names of datatypes reachable from an initial datatype, and
 passing these names to some function which generates instances.
  .
  This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-reify-many-prof
Description-md5: 2d2c4d365075ee2e0fbf70d802cca72a
Description-en: recurseively reify template haskell datatype info; profiling libraries
  This package provides functions for recursively reifying top
 level declarations.  The main intended use case is for enumerating
 the names of datatypes reachable from an initial datatype, and
 passing these names to some function which generates instances.
  .
  This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-utilities-dev
Description-md5: 9516499056c2c98c4c4dc5d104295da7
Description-en: useful functions for use with Template Haskell
 The 'th-utilities' package provides a number of useful utilities for
 Template Haskell. In particular:
 .
  * TH.Derive provides a convenient system for using TH to derive
    typeclass instances. It allows for open registration of TH
    derivers, and reuses instance syntax for invoking them.
      * TH.Derive.Storable defines derivation of Storable for ADTs.
  * TH.ReifyDataType provides utilities for reifying simplified datatype
    info. It omits details that you don't usually want to handle, making
    it much more straightforward to generate code based on datatype
    structure.
  * TH.RelativePaths provides utilities for loading files based on paths
    relative to the cabal file. This is particularly handy for loading
    code into ghci even when its current dir isn't the package dir.
    Ideally, this module would be used by everyone who currently uses
    qAddDependentFile.
  * TH.Utilities provides a miscellaneous set of utilities that are
    useful within this package and elsewhere.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-utilities-doc
Description-md5: 453e2c4ba4c00c003a57c2128ff76401
Description-en: useful functions for use with Template Haskell; documentation
 The 'th-utilities' package provides a number of useful utilities for
 Template Haskell. In particular:
 .
  * TH.Derive provides a convenient system for using TH to derive
    typeclass instances. It allows for open registration of TH
    derivers, and reuses instance syntax for invoking them.
      * TH.Derive.Storable defines derivation of Storable for ADTs.
  * TH.ReifyDataType provides utilities for reifying simplified datatype
    info. It omits details that you don't usually want to handle, making
    it much more straightforward to generate code based on datatype
    structure.
  * TH.RelativePaths provides utilities for loading files based on paths
    relative to the cabal file. This is particularly handy for loading
    code into ghci even when its current dir isn't the package dir.
    Ideally, this module would be used by everyone who currently uses
    qAddDependentFile.
  * TH.Utilities provides a miscellaneous set of utilities that are
    useful within this package and elsewhere.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-th-utilities-prof
Description-md5: 6bb719bce572d9f7b25e94024ecbf8f5
Description-en: useful functions for use with Template Haskell; profiling libraries
 The 'th-utilities' package provides a number of useful utilities for
 Template Haskell. In particular:
 .
  * TH.Derive provides a convenient system for using TH to derive
    typeclass instances. It allows for open registration of TH
    derivers, and reuses instance syntax for invoking them.
      * TH.Derive.Storable defines derivation of Storable for ADTs.
  * TH.ReifyDataType provides utilities for reifying simplified datatype
    info. It omits details that you don't usually want to handle, making
    it much more straightforward to generate code based on datatype
    structure.
  * TH.RelativePaths provides utilities for loading files based on paths
    relative to the cabal file. This is particularly handy for loading
    code into ghci even when its current dir isn't the package dir.
    Ideally, this module would be used by everyone who currently uses
    qAddDependentFile.
  * TH.Utilities provides a miscellaneous set of utilities that are
    useful within this package and elsewhere.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-these-dev
Description-md5: 8b5bfb267e786658018d451dbc5177a1
Description-en: either-or-both data type
 Package provides a data type `These a b' which can hold a value of either
 type or values of each type. This is usually thought of as an "inclusive or"
 type (contrasting `Either a b' as "exclusive or") or as an "outer join" type
 (contrasting `(a, b)' as "inner join").
 .
 The major use case of this is provided by the Align class, representing a
 generalized notion of "zipping with padding" that combines structures without
 truncating to the size of the smaller input.
 .
 Also included is ChronicleT, a monad transformer based on the Monad instance
 for `These a', along with the usual monad transformer bells and whistles.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-these-doc
Description-md5: fdc9b9f47d13e277b675d8c0ea880592
Description-en: either-or-both data type; documentation
 Package provides a data type `These a b' which can hold a value of either
 type or values of each type. This is usually thought of as an "inclusive or"
 type (contrasting `Either a b' as "exclusive or") or as an "outer join" type
 (contrasting `(a, b)' as "inner join").
 .
 The major use case of this is provided by the Align class, representing a
 generalized notion of "zipping with padding" that combines structures without
 truncating to the size of the smaller input.
 .
 Also included is ChronicleT, a monad transformer based on the Monad instance
 for `These a', along with the usual monad transformer bells and whistles.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-these-prof
Description-md5: 61d20ac5d54999849e65b65b28d740b4
Description-en: either-or-both data type; profiling libraries
 Package provides a data type `These a b' which can hold a value of either
 type or values of each type. This is usually thought of as an "inclusive or"
 type (contrasting `Either a b' as "exclusive or") or as an "outer join" type
 (contrasting `(a, b)' as "inner join").
 .
 The major use case of this is provided by the Align class, representing a
 generalized notion of "zipping with padding" that combines structures without
 truncating to the size of the smaller input.
 .
 Also included is ChronicleT, a monad transformer based on the Monad instance
 for `These a', along with the usual monad transformer bells and whistles.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-threads-dev
Description-md5: 044c7df7fea53771647029db29715456
Description-en: Fork threads and wait for their result
 This package provides functions to fork threads and wait for their result,
 whether it's an exception or a normal value.
 .
 Besides waiting for the termination of a single thread this package also
 provides functions to wait for a group of threads to terminate.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-threads-doc
Description-md5: b9cec6dafe01bb6880e5c2d713692be8
Description-en: Fork threads and wait for their result; documentation
 This package provides functions to fork threads and wait for their result,
 whether it's an exception or a normal value.
 .
 Besides waiting for the termination of a single thread this package also
 provides functions to wait for a group of threads to terminate.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-threads-prof
Description-md5: 576b1f2cd405d80a076d4df803cb179b
Description-en: Fork threads and wait for their result; profiling libraries
 This package provides functions to fork threads and wait for their result,
 whether it's an exception or a normal value.
 .
 Besides waiting for the termination of a single thread this package also
 provides functions to wait for a group of threads to terminate.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-thyme-dev
Description-md5: 9d4fe34511a096b451872395aae7cbc4
Description-en: faster time library
 Thyme is a rewrite of the fine time library, with a particular focus
 on performance for applications that make heavy use of timestamps. For
 example, UTCTime is represented with μs precision as an Int64, which
 gives a usable range from -290419-11-07 19:59:05.224192 UTC to
 294135-11-26 04:00:54.775807 UTC in the future.
 .
 Conversions are provided as Iso's from the lens package, while
 AdditiveGroup, VectorSpace and AffineSpace from vector-space allow for
 more principled operations instead of Num, Fractional & al.
 .
 Thyme uses strict and unpacked tuples throughout, e.g. YearMonthDay or
 WeekDate. Descriptive Int synonyms such as Year and DayOfMonth are
 also provided.
 .
 On platforms where Int is 64-bits wide, types with an Enum instance
 can be used as Keys for IntMap, preferably via the EnumMap wrapper
 provided by enummapset-th. In any case the Ord instances are much
 faster, if you must use Map.
 .
 Data.Thyme.Time is a drop-in compatibility module for existing code.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-thyme-doc
Description-md5: 87243cb06bd5a525bf62a57a7d21d789
Description-en: faster time library; documentation
 Thyme is a rewrite of the fine time library, with a particular focus
 on performance for applications that make heavy use of timestamps. For
 example, UTCTime is represented with μs precision as an Int64, which
 gives a usable range from -290419-11-07 19:59:05.224192 UTC to
 294135-11-26 04:00:54.775807 UTC in the future.
 .
 Conversions are provided as Iso's from the lens package, while
 AdditiveGroup, VectorSpace and AffineSpace from vector-space allow for
 more principled operations instead of Num, Fractional & al.
 .
 Thyme uses strict and unpacked tuples throughout, e.g. YearMonthDay or
 WeekDate. Descriptive Int synonyms such as Year and DayOfMonth are
 also provided.
 .
 On platforms where Int is 64-bits wide, types with an Enum instance
 can be used as Keys for IntMap, preferably via the EnumMap wrapper
 provided by enummapset-th. In any case the Ord instances are much
 faster, if you must use Map.
 .
 Data.Thyme.Time is a drop-in compatibility module for existing code.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-thyme-prof
Description-md5: 7970b498bdf43d5d03b5a0d183beb970
Description-en: faster time library; profiling libraries
 Thyme is a rewrite of the fine time library, with a particular focus
 on performance for applications that make heavy use of timestamps. For
 example, UTCTime is represented with μs precision as an Int64, which
 gives a usable range from -290419-11-07 19:59:05.224192 UTC to
 294135-11-26 04:00:54.775807 UTC in the future.
 .
 Conversions are provided as Iso's from the lens package, while
 AdditiveGroup, VectorSpace and AffineSpace from vector-space allow for
 more principled operations instead of Num, Fractional & al.
 .
 Thyme uses strict and unpacked tuples throughout, e.g. YearMonthDay or
 WeekDate. Descriptive Int synonyms such as Year and DayOfMonth are
 also provided.
 .
 On platforms where Int is 64-bits wide, types with an Enum instance
 can be used as Keys for IntMap, preferably via the EnumMap wrapper
 provided by enummapset-th. In any case the Ord instances are much
 faster, if you must use Map.
 .
 Data.Thyme.Time is a drop-in compatibility module for existing code.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tidal-dev
Description-md5: fb61e0ae9ee1dea2dd5a4ac0a034f009
Description-en: pattern language for improvised music
 TidalCycles (or Tidal for short) is a domain-specific language for
 live coding patterns.  It allows you to make musical patterns with
 text, describing sequences and ways of transforming and combining
 them, exploring complex interactions between simple parts.
 .
 Tidal allows you to express music with very flexible timing, providing
 a little language for describing patterns as step sequences (which
 can be polyphonic and polymetric), some generators of continuous
 patterns (e.g. sinewaves, sawtooths) and a wide range of pattern
 transformations.
 .
 Tidal is highly composable in that pattern transformations can be
 easily combined together, allowing you to quickly create complex
 patterns from simple ingredients.
 .
 Tidal does not make sound itself, but is designed for use with the
 SuperDirt synth, and can control other synths over Open Sound Control
 or MIDI.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tidal-doc
Description-md5: 7008c9d6d016dd8e8aee7f74e21d8874
Description-en: pattern language for improvised music; documentation
 TidalCycles (or Tidal for short) is a domain-specific language for
 live coding patterns.  It allows you to make musical patterns with
 text, describing sequences and ways of transforming and combining
 them, exploring complex interactions between simple parts.
 .
 Tidal allows you to express music with very flexible timing, providing
 a little language for describing patterns as step sequences (which
 can be polyphonic and polymetric), some generators of continuous
 patterns (e.g. sinewaves, sawtooths) and a wide range of pattern
 transformations.
 .
 Tidal is highly composable in that pattern transformations can be
 easily combined together, allowing you to quickly create complex
 patterns from simple ingredients.
 .
 Tidal does not make sound itself, but is designed for use with the
 SuperDirt synth, and can control other synths over Open Sound Control
 or MIDI.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tidal-prof
Description-md5: 8b4790e311b19d3c7239401ba77fce79
Description-en: pattern language for improvised music; profiling libraries
 TidalCycles (or Tidal for short) is a domain-specific language for
 live coding patterns.  It allows you to make musical patterns with
 text, describing sequences and ways of transforming and combining
 them, exploring complex interactions between simple parts.
 .
 Tidal allows you to express music with very flexible timing, providing
 a little language for describing patterns as step sequences (which
 can be polyphonic and polymetric), some generators of continuous
 patterns (e.g. sinewaves, sawtooths) and a wide range of pattern
 transformations.
 .
 Tidal is highly composable in that pattern transformations can be
 easily combined together, allowing you to quickly create complex
 patterns from simple ingredients.
 .
 Tidal does not make sound itself, but is designed for use with the
 SuperDirt synth, and can control other synths over Open Sound Control
 or MIDI.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-compat-dev
Description-md5: 3463df425ab099ea534313c7b5584d11
Description-en: Compatibility with old-time for the time package
 This package provides a compatibility layer with the old-time package for the
 "new" time package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-compat-doc
Description-md5: 1ae89560f624a5564b33c282fffe20a3
Description-en: Compatibility with old-time for the time package; documentation
 This package provides a compatibility layer with the old-time package for the
 "new" time package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-compat-prof
Description-md5: 5b9d148d18790f520147bea910c0087b
Description-en: Compatibility with old-time for the time package; profiling libraries
 This package provides a compatibility layer with the old-time package for the
 "new" time package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-locale-compat-dev
Description-md5: 15cd3f68dd2738664685fbd1696cda8f
Description-en: compatibility of TimeLocale between old-locale and time-1.5
 This package provides the module Data.Time.Locale.Compat, which contains the
 defaultTimeLocale from either old-time or time-1.5.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-locale-compat-doc
Description-md5: f67b9265f7818e8207f5b599b025a1d1
Description-en: compatibility of TimeLocale between old-locale and time-1.5; documentation
 This package provides the module Data.Time.Locale.Compat, which contains the
 defaultTimeLocale from either old-time or time-1.5.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-locale-compat-prof
Description-md5: 263efdc7294873ad0a9b0f68bb003fec
Description-en: compatibility of TimeLocale between old-locale and time-1.5; profiling libraries
 This package provides the module Data.Time.Locale.Compat, which contains the
 defaultTimeLocale from either old-time or time-1.5.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-manager-dev
Description-md5: a0922f0c2edd498e6a7ddf291556ac48
Description-en: scalable timer
 Scalable timer functions provided by a timer manager.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-manager-doc
Description-md5: b190b3fd4a02a370471192255b54af27
Description-en: scalable timer; documentation
 Scalable timer functions provided by a timer manager.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-manager-prof
Description-md5: c2d2017b3f86cb37249fe18161e14bc4
Description-en: scalable timer; profiling libraries
 Scalable timer functions provided by a timer manager.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-parsers-dev
Description-md5: c2ff5e07fc1f0864a1fe10dbb30f33a2
Description-en: parsers for types in the time library
 Parsers for parsing dates and times: Day, LocalTime, TimeOfDay,
 TimeZone, UTCTime, ZonedTime
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-parsers-doc
Description-md5: eb360556c9260529b67e7e1fcaaff642
Description-en: parsers for types in the time library; documentation
 Parsers for parsing dates and times: Day, LocalTime, TimeOfDay,
 TimeZone, UTCTime, ZonedTime
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-parsers-prof
Description-md5: d6b6bc6d2dae8e3e2c9374b95cb1286d
Description-en: parsers for types in the time library; profiling libraries
 Parsers for parsing dates and times: Day, LocalTime, TimeOfDay,
 TimeZone, UTCTime, ZonedTime
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-units-dev
Description-md5: bd50bb07c9ca33d2b9156a51e10bb27b
Description-en: basic library for defining units of time as types
 In many cases, it is useful (either for error checking or documentation
 reasons) to define input and output types as having a particular unit of
 time. In addition, by creating a type class defining type units, this
 library should make it easier to separate the units of time the developer
 wants to think in versus the units of time the library author wants to
 think in.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-units-doc
Description-md5: d4dad6beb079ea6daa7d584e7f0e1652
Description-en: basic library for defining units of time as types; documentation
 In many cases, it is useful (either for error checking or documentation
 reasons) to define input and output types as having a particular unit of
 time. In addition, by creating a type class defining type units, this
 library should make it easier to separate the units of time the developer
 wants to think in versus the units of time the library author wants to
 think in.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-time-units-prof
Description-md5: 4864eb0ef742e42cd8411a96ddba2f72
Description-en: basic library for defining units of time as types; profiling libraries
 In many cases, it is useful (either for error checking or documentation
 reasons) to define input and output types as having a particular unit of
 time. In addition, by creating a type class defining type units, this
 library should make it easier to separate the units of time the developer
 wants to think in versus the units of time the library author wants to
 think in.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tldr-dev
Description-md5: f78a2f1aad436245738b861a20f0a23e
Description-en: Haskell tldr client
 Haskell tldr client with support for updating and viewing tldr pages.
 .
 The TLDR pages are a community effort to simplify the beloved man
 pages with practical examples.  See https://tldr.sh/
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tldr-doc
Description-md5: aa01eaecabe9d0150f06e29916635ce2
Description-en: Haskell tldr client; documentation
 Haskell tldr client with support for updating and viewing tldr pages.
 .
 The TLDR pages are a community effort to simplify the beloved man
 pages with practical examples.  See https://tldr.sh/
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tldr-prof
Description-md5: df7e526f1facc37904ec65b98cb219bd
Description-en: Haskell tldr client; profiling libraries
 Haskell tldr client with support for updating and viewing tldr pages.
 .
 The TLDR pages are a community effort to simplify the beloved man
 pages with practical examples.  See https://tldr.sh/
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tls-dev
Description-md5: 325ef32d8a31c9ec636af6fd8be91268
Description-en: native Haskell implementation of TLS/SSL protocol
 Native Haskell TLS and SSL protocol implementation for server and
 client.
 .
 This provides a high-level implementation of a sensitive security
 protocol, eliminating a common set of security issues through the use
 of the advanced type system, high level constructions and common
 Haskell features.
 .
 It currently implements the SSL3.0, TLS1.0, TLS1.1 and TLS1.2
 protocols, and supports RSA and Ephemeral (Elliptic curve and
 regular) Diffie Hellman key exchanges, and many extensions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tls-doc
Description-md5: 2c798d2c9037230cbcff61e48338a578
Description-en: native Haskell implementation of TLS/SSL protocol; documentation
 Native Haskell TLS and SSL protocol implementation for server and
 client.
 .
 This provides a high-level implementation of a sensitive security
 protocol, eliminating a common set of security issues through the use
 of the advanced type system, high level constructions and common
 Haskell features.
 .
 It currently implements the SSL3.0, TLS1.0, TLS1.1 and TLS1.2
 protocols, and supports RSA and Ephemeral (Elliptic curve and
 regular) Diffie Hellman key exchanges, and many extensions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tls-prof
Description-md5: 25828b0cdb0483ce892c5b670f03be58
Description-en: native Haskell implementation of TLS/SSL protocol; profiling libraries
 Native Haskell TLS and SSL protocol implementation for server and
 client.
 .
 This provides a high-level implementation of a sensitive security
 protocol, eliminating a common set of security issues through the use
 of the advanced type system, high level constructions and common
 Haskell features.
 .
 It currently implements the SSL3.0, TLS1.0, TLS1.1 and TLS1.2
 protocols, and supports RSA and Ephemeral (Elliptic curve and
 regular) Diffie Hellman key exchanges, and many extensions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tls-session-manager-dev
Description-md5: e81718b0fb982895e5843ce03687820f
Description-en: in-memory TLS session manager
 TLS session manager with limitation, automatic pruning, energy saving
 and replay resistance.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tls-session-manager-doc
Description-md5: 57d860dd8746f8fd64d6984341440b17
Description-en: in-memory TLS session manager; documentation
 TLS session manager with limitation, automatic pruning, energy saving
 and replay resistance.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tls-session-manager-prof
Description-md5: 5375a8f90a84373a0d5eed5b72f97be5
Description-en: in-memory TLS session manager; profiling libraries
 TLS session manager with limitation, automatic pruning, energy saving
 and replay resistance.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-token-bucket-dev
Description-md5: 522b109c4aaa2b36aa74c2a6b721fdda
Description-en: Haskell implementation of leaky bucket rate limiting
 This library implements a lazy leaky token bucket rate-limiting
 algorithm in Haskell.
 .
 You can read more about this kind of algorithm at Wikipedia:
 https://en.wikipedia.org/wiki/Token_bucket
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-token-bucket-doc
Description-md5: e8771bae07666fa91f86d01d15834692
Description-en: Haskell implementation of leaky bucket rate limiting; documentation
 This library implements a lazy leaky token bucket rate-limiting
 algorithm in Haskell.
 .
 You can read more about this kind of algorithm at Wikipedia:
 https://en.wikipedia.org/wiki/Token_bucket
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-token-bucket-prof
Description-md5: 4cbf921f456c7425a0632c8a9f294aeb
Description-en: Haskell implementation of leaky bucket rate limiting; profiling libraries
 This library implements a lazy leaky token bucket rate-limiting
 algorithm in Haskell.
 .
 You can read more about this kind of algorithm at Wikipedia:
 https://en.wikipedia.org/wiki/Token_bucket
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-topograph-dev
Description-md5: f725bac15c17245d3d36b491de3e4df6
Description-en: directed acyclic graphs.
 Directed acyclic graphs can be sorted topographically.
 Existence of topographic ordering allows writing many graph
 algorithms efficiently.  Many graphs, including most
 dependency graphs, are acyclic.
 .
 There are some algorithms built in: dfs, transpose, transitive
 closure, transitive reduction, etc.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-topograph-doc
Description-md5: ba272a818a7d74364149f5a20fae1051
Description-en: directed acyclic graphs.; documentation
 Directed acyclic graphs can be sorted topographically.
 Existence of topographic ordering allows writing many graph
 algorithms efficiently.  Many graphs, including most
 dependency graphs, are acyclic.
 .
 There are some algorithms built in: dfs, transpose, transitive
 closure, transitive reduction, etc.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-topograph-prof
Description-md5: 546c82988068c6eb947ef507d2c11bc6
Description-en: directed acyclic graphs.; profiling libraries
 Directed acyclic graphs can be sorted topographically.
 Existence of topographic ordering allows writing many graph
 algorithms efficiently.  Many graphs, including most
 dependency graphs, are acyclic.
 .
 There are some algorithms built in: dfs, transpose, transitive
 closure, transitive reduction, etc.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-torrent-dev
Description-md5: 2a3007dd4bc9ae748c6713e49e5c33be
Description-en: BitTorrent file parser and generator
 BitTorrent file parser and generator.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-torrent-doc
Description-md5: 960b1ea0b3425af2fec7a7f6ec24337d
Description-en: BitTorrent file parser and generator; documentation
 BitTorrent file parser and generator.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-torrent-prof
Description-md5: 2a77d4b5d7baaf000d42e4977cad3983
Description-en: BitTorrent file parser and generator; profiling libraries
 BitTorrent file parser and generator.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-transformers-base-dev
Description-md5: 54d3df87ab0b12263838f9f744815c1c
Description-en: lift computations from the bottom of a transformer stack
 It provides a straightforward port of monadLib's BaseM typeclass to
 transformers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-transformers-base-doc
Description-md5: a24ec8cdf61ffb9417e3ef77887b4c38
Description-en: lift computations from the bottom of a transformer stack; documentation
 It provides a straightforward port of monadLib's BaseM typeclass to
 transformers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-transformers-base-prof
Description-md5: 61dff7e85283ab0a6ad76bf257fd8150
Description-en: lift computations from the bottom of a transformer stack; profiling libraries
 It provides a straightforward port of monadLib's BaseM typeclass to
 transformers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-transformers-compat-dev
Description-md5: 0a5406cbd92f2715ad1070ee30375f22
Description-en: small compatibility shim for older versions of transformers.
 This package includes backported versions of types that were added
 to transformers in transformers 0.3 an 0.4 for users who need strict
 transformers 0.2 or 0.3 compatibility to run on old versions of the
 platform, but also need those types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-transformers-compat-doc
Description-md5: ec6c498540577fa4e82d45a81025da69
Description-en: small compatibility shim for older versions of transformers.; documentation
 This package includes backported versions of types that were added
 to transformers in transformers 0.3 an 0.4 for users who need strict
 transformers 0.2 or 0.3 compatibility to run on old versions of the
 platform, but also need those types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-transformers-compat-prof
Description-md5: 2fc59f494601dd7e81372a5787f998e0
Description-en: small compatibility shim for older versions of transformers.; profiling libraries
 This package includes backported versions of types that were added
 to transformers in transformers 0.3 an 0.4 for users who need strict
 transformers 0.2 or 0.3 compatibility to run on old versions of the
 platform, but also need those types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tree-monad-dev
Description-md5: c3965fcfe2b5d7e213689815f4d36291
Description-en: Non-Determinism Monad for Tree Search
 This Haskell library provides an implementation of the MonadPlus
 type class that represents the search space as a tree whose
 constructors represent mzero, return, and mplus.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tree-monad-doc
Description-md5: 04b440f9791883a537c7b885b0d783d8
Description-en: Non-Determinism Monad for Tree Search; documentation
 This Haskell library provides an implementation of the MonadPlus
 type class that represents the search space as a tree whose
 constructors represent mzero, return, and mplus.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tree-monad-prof
Description-md5: ce1bb019df8780fb2e81fed1a846cff3
Description-en: Non-Determinism Monad for Tree Search; profiling libraries
 This Haskell library provides an implementation of the MonadPlus
 type class that represents the search space as a tree whose
 constructors represent mzero, return, and mplus.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-trifecta-dev
Description-md5: 781010cbe84bc1082ed521fae099009f
Description-en: modern parser combinator library with convenient diagnostics
 A modern parser combinator library with slicing and Clang-style
 colored diagnostics.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-trifecta-doc
Description-md5: 7bcd53406fc83adc282e043a3b55319b
Description-en: modern parser combinator library with convenient diagnostics; documentation
 A modern parser combinator library with slicing and Clang-style
 colored diagnostics.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-trifecta-prof
Description-md5: f94390c0bbe56b0998d150a412a7692d
Description-en: modern parser combinator library with convenient diagnostics; profiling libraries
 A modern parser combinator library with slicing and Clang-style
 colored diagnostics.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tuple-dev
Description-md5: d0871e6c0e54883d567025af0b302077
Description-en: various functions on tuples
 Various useful functions on tuples, overloaded on tuple size.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tuple-doc
Description-md5: fc26ca03924c80da985e5ad91e1e1a2b
Description-en: various functions on tuples; documentation
 Various useful functions on tuples, overloaded on tuple size.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-tuple-prof
Description-md5: 8dd53c73bdbde3b7ba93e5b9980dacef
Description-en: various functions on tuples; profiling libraries
 Various useful functions on tuples, overloaded on tuple size.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-conduit-dev
Description-md5: 85f7bf1370084119088f8eb986118128
Description-en: Twitter API package with conduits and streaming
 This library provides a conduit-based interface to Twitter's APIs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-conduit-doc
Description-md5: 0f3e8ccb68b4c859e239163411ecabaa
Description-en: Twitter API package with conduits and streaming; documentation
 This library provides a conduit-based interface to Twitter's APIs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-conduit-prof
Description-md5: 7cd75eaf3c89744f3703255707a40e4b
Description-en: Twitter API package with conduits and streaming; profiling libraries
 This library provides a conduit-based interface to Twitter's APIs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-types-dev
Description-md5: 723564b8167da1b441ba4d65911439c9
Description-en: Twitter JSON parser and types
 Haskell types for interacting with the Twitter JSON API.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-types-doc
Description-md5: f1d86d55ebe8ae939cab3f10b18af78d
Description-en: Twitter JSON parser and types; documentation
 Haskell types for interacting with the Twitter JSON API.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-types-lens-dev
Description-md5: 12b19616d68f5b8253a2ae381a18ee3f
Description-en: Twitter JSON types (lens powered)
 Lenses for Twitter JSON API types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-types-lens-doc
Description-md5: 3c24981b913e8ade42940f8a4ca0e91c
Description-en: Twitter JSON types (lens powered); documentation
 Lenses for Twitter JSON API types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-types-lens-prof
Description-md5: 059e69d2a77953529246bbc2c6c3022e
Description-en: Twitter JSON types (lens powered); profiling libraries
 Lenses for Twitter JSON API types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-twitter-types-prof
Description-md5: e1a5ea20cdedda03451cb980ee322e01
Description-en: Twitter JSON parser and types; profiling libraries
 Haskell types for interacting with the Twitter JSON API.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-type-errors-dev
Description-md5: e40e0009c0e25aaad74fc7a64e87ed1c
Description-en: tools for writing better Haskell type errors
 This is a collection of tools for writing better, more ergonomic type
 errors for Haskell libraries.  Some of the more interesting features
 are the ability to observe stuckedness and phantomness, as well as
 perform substitutions over types.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-type-errors-doc
Description-md5: f9a1fcf80b0e9e0d3d4d33f244bfb811
Description-en: tools for writing better Haskell type errors; documentation
 This is a collection of tools for writing better, more ergonomic type
 errors for Haskell libraries.  Some of the more interesting features
 are the ability to observe stuckedness and phantomness, as well as
 perform substitutions over types.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-type-errors-prof
Description-md5: 419e083031a8ebd8e8eb1404b5e3751a
Description-en: tools for writing better Haskell type errors; profiling libraries
 This is a collection of tools for writing better, more ergonomic type
 errors for Haskell libraries.  Some of the more interesting features
 are the ability to observe stuckedness and phantomness, as well as
 perform substitutions over types.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-type-level-numbers-dev
Description-md5: 5074bf6cef1baa36b3b8d2d9f1ea9d81
Description-en: library representing integers using Haskell type families
 This library represents numbers in Haskell at the level of types.
 This is achieved by means of type families.
 .
 Numbers up to 2^18-1 can be represented.
 .
 In this version of the package, comparison of numbers, subtraction
 and multiplication of numbers is supported.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-type-level-numbers-doc
Description-md5: e18591d4cabf16ec9ee40f84fa83b65a
Description-en: library representing integers using Haskell type families; documentation
 This library represents numbers in Haskell at the level of types.
 This is achieved by means of type families.
 .
 Numbers up to 2^18-1 can be represented.
 .
 In this version of the package, comparison of numbers, subtraction
 and multiplication of numbers is supported.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-type-level-numbers-prof
Description-md5: b323dd7293736d46cde34c519434f5a9
Description-en: library representing integers using Haskell type families; profiling libraries
 This library represents numbers in Haskell at the level of types.
 This is achieved by means of type families.
 .
 Numbers up to 2^18-1 can be represented.
 .
 In this version of the package, comparison of numbers, subtraction
 and multiplication of numbers is supported.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-typed-process-dev
Description-md5: a96d319d6c3f183a0ada295568a730b7
Description-en: run external processes, with strong typing of streams
 This library provides the ability to launch and interact with
 external processes. It wraps around the process library, and intends
 to improve upon it by:
 .
  * Using type variables to represent the standard streams, making
    them easier to manipulate
  * Use proper concurrency (e.g., the async library) in place of the
    weird lazy I/O tricks for such things as consuming output streams
  * Allow for more complex concurrency by providing STM-based functions
  * Using binary I/O correctly
  * Providing a more composable API, designed to be easy to use for
    both simple and complex use cases
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-typed-process-doc
Description-md5: 42dc2944a736952f31e1bf074d5931fa
Description-en: run external processes, with strong typing of streams; documentation
 This library provides the ability to launch and interact with
 external processes. It wraps around the process library, and intends
 to improve upon it by:
 .
  * Using type variables to represent the standard streams, making
    them easier to manipulate
  * Use proper concurrency (e.g., the async library) in place of the
    weird lazy I/O tricks for such things as consuming output streams
  * Allow for more complex concurrency by providing STM-based functions
  * Using binary I/O correctly
  * Providing a more composable API, designed to be easy to use for
    both simple and complex use cases
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-typed-process-prof
Description-md5: e905417e2420906d48a935980d2efc75
Description-en: run external processes, with strong typing of streams; profiling libraries
 This library provides the ability to launch and interact with
 external processes. It wraps around the process library, and intends
 to improve upon it by:
 .
  * Using type variables to represent the standard streams, making
    them easier to manipulate
  * Use proper concurrency (e.g., the async library) in place of the
    weird lazy I/O tricks for such things as consuming output streams
  * Allow for more complex concurrency by providing STM-based functions
  * Using binary I/O correctly
  * Providing a more composable API, designed to be easy to use for
    both simple and complex use cases
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uglymemo-dev
Description-md5: 27764daa2590ce2631b9769380047d87
Description-en: simple (but internally ugly) memoization function
 This provides a simple memoization function in pure and IO forms.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uglymemo-doc
Description-md5: 123ae896de43d6db4b7889999ef0de82
Description-en: simple (but internally ugly) memoization function; documentation
 This provides a simple memoization function in pure and IO forms.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uglymemo-prof
Description-md5: 10ba87f0285a39756f35038a1369da66
Description-en: simple (but internally ugly) memoization function; profiling libraries
 This provides a simple memoization function in pure and IO forms.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unbounded-delays-dev
Description-md5: fc846d1fb70a73e2d40677bad559a9fa
Description-en: Unbounded thread delays and timeouts
 The threadDelay and timeout functions from the base library use the bounded
 Int type for specifying the delay or timeout period. This packages provides
 alternatives which use the unbounded Integer type.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unbounded-delays-doc
Description-md5: c6650c89bfebc7ae644d1cbfb196b51a
Description-en: Unbounded thread delays and timeouts; documentation
 The threadDelay and timeout functions from the base library use the bounded
 Int type for specifying the delay or timeout period. This packages provides
 alternatives which use the unbounded Integer type.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unbounded-delays-prof
Description-md5: 450466a856c637127578ffee56ad1a59
Description-en: Unbounded thread delays and timeouts; profiling libraries
 The threadDelay and timeout functions from the base library use the bounded
 Int type for specifying the delay or timeout period. This packages provides
 alternatives which use the unbounded Integer type.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unexceptionalio-dev
Description-md5: 4a4ebdd7fab85f49948230ce9d120fc4
Description-en: IO without any non-error, synchronous exceptions
 When you've caught all the exceptions that can be handled safely,
 this is what you're left with.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unexceptionalio-doc
Description-md5: 4bd4739bc39099361ab542ae2deca168
Description-en: IO without any non-error, synchronous exceptions; documentation
 When you've caught all the exceptions that can be handled safely,
 this is what you're left with.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unexceptionalio-prof
Description-md5: b51a8aea9a0d4ed28fc9b2e153c9379e
Description-en: IO without any non-error, synchronous exceptions; profiling libraries
 When you've caught all the exceptions that can be handled safely,
 this is what you're left with.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unicode-transforms-dev
Description-md5: a15c257e6bec42bacce3e3478921e017
Description-en: Fast Unicode 9.0 normalization in Haskell
 Unicode characters with adornments (e.g. Á) can be represented in two
 different forms, as a single composed character (U+00C1 = Á) or as
 multiple decomposed characters (U+0041(A) U+0301( ́ ) = Á). They are
 differently encoded byte sequences but for humans they have exactly
 the same visual appearance.
 .
 A regular byte comparison may tell that two strings are different even
 though they might be equivalent. Both strings need to be converted in
 a normalized form using the Unicode Character Database before they can
 be compared for equivalence.
 .
 This library implements fast Unicode 9.0 normalization in Haskell (NFC,
 NFKC, NFD, NFKD).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unicode-transforms-doc
Description-md5: 9ee47abe893d0c4d6b50da439d917375
Description-en: Fast Unicode 9.0 normalization in Haskell; documentation
 Unicode characters with adornments (e.g. Á) can be represented in two
 different forms, as a single composed character (U+00C1 = Á) or as
 multiple decomposed characters (U+0041(A) U+0301( ́ ) = Á). They are
 differently encoded byte sequences but for humans they have exactly
 the same visual appearance.
 .
 A regular byte comparison may tell that two strings are different even
 though they might be equivalent. Both strings need to be converted in
 a normalized form using the Unicode Character Database before they can
 be compared for equivalence.
 .
 This library implements fast Unicode 9.0 normalization in Haskell (NFC,
 NFKC, NFD, NFKD).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unicode-transforms-prof
Description-md5: 80e245fc2536e02d06056d3946d6e23f
Description-en: Fast Unicode 9.0 normalization in Haskell; profiling libraries
 Unicode characters with adornments (e.g. Á) can be represented in two
 different forms, as a single composed character (U+00C1 = Á) or as
 multiple decomposed characters (U+0041(A) U+0301( ́ ) = Á). They are
 differently encoded byte sequences but for humans they have exactly
 the same visual appearance.
 .
 A regular byte comparison may tell that two strings are different even
 though they might be equivalent. Both strings need to be converted in
 a normalized form using the Unicode Character Database before they can
 be compared for equivalence.
 .
 This library implements fast Unicode 9.0 normalization in Haskell (NFC,
 NFKC, NFD, NFKD).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uniplate-dev
Description-md5: 57f217170a997b5a34f6e60029d16792
Description-en: A Haskell library for uniform type generic traversals
 .
 The Haskell Uniplate library abstracts over common traversals and queries in a
 simple manner allowing the user to scrap their boilerplate code.
 .
 A more complete document on the Uniplate class was published at the Haskell
 Workshop 2007 (http://www-users.cs.york.ac.uk/~ndm/uniplate/),  along with a
 video presentation, and the associated thesis chapter.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uniplate-doc
Description-md5: 57d90ee3f0e8643a18b16a1d832d4229
Description-en: Documentation for uniform type generic traversals; documentation
 .
 The Haskell Uniplate library abstracts over common traversals and queries in a
 simple manner allowing the user to scrap their boilerplate code.
 .
 A more complete document on the Uniplate class was published at the Haskell
 Workshop 2007 (http://www-users.cs.york.ac.uk/~ndm/uniplate/),  along with a
 video presentation, and the associated thesis chapter.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uniplate-prof
Description-md5: b3163942d4f1c7e2e6e30c94ececd8ea
Description-en: Profiling libraries for uniform type generic traversals; profiling libraries
 .
 The Haskell Uniplate library abstracts over common traversals and queries in a
 simple manner allowing the user to scrap their boilerplate code.
 .
 A more complete document on the Uniplate class was published at the Haskell
 Workshop 2007 (http://www-users.cs.york.ac.uk/~ndm/uniplate/),  along with a
 video presentation, and the associated thesis chapter.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-universe-base-dev
Description-md5: a4827f384f0196d412ff16f679575256
Description-en: a class for finite and recursively enumerable type
 A class for finite and recursively enumerable types and some helper
 functions for enumerating them.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-universe-base-doc
Description-md5: 68d9ff1f93b012b29aba2dea670deed6
Description-en: a class for finite and recursively enumerable type; documentation
 A class for finite and recursively enumerable types and some helper
 functions for enumerating them.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-universe-base-prof
Description-md5: 9800f5637badaadf06028aae12e70619
Description-en: a class for finite and recursively enumerable type; profiling libraries
 A class for finite and recursively enumerable types and some helper
 functions for enumerating them.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unix-compat-dev
Description-md5: 8319125b2c70cb25a5cb7cadcde274cc
Description-en: Haskell portable POSIX-compatible layer
 This package provides portable Haskell implementations of some POSIX
 system calls contained in the unix package. This package re-exports the
 unix package when available. When it isn't available, portable
 implementations are used.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unix-compat-doc
Description-md5: 223c24f07cd943202ad8fe4937aad5bb
Description-en: Haskell portable POSIX-compatible layer; documentation
 This package provides portable Haskell implementations of some POSIX
 system calls contained in the unix package. This package re-exports the
 unix package when available. When it isn't available, portable
 implementations are used.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unix-compat-prof
Description-md5: cdd52c709af64ef9d56871401af9770c
Description-en: Haskell portable POSIX-compatible layer; profiling libraries
 This package provides portable Haskell implementations of some POSIX
 system calls contained in the unix package. This package re-exports the
 unix package when available. When it isn't available, portable
 implementations are used.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unix-time-dev
Description-md5: 8d130a6f6793e7df409a45d25b48759c
Description-en: Unix time parser/formatter and utilities
 Fast parser/formatter/utilities for Unix time
 .
  Author: Kazu Yamamoto <kazu@iij.ad.jp>
  Upstream-Maintainer: Kazu Yamamoto <kazu@iij.ad.jp>
 .
 This package contains the normal library files.

Package: libghc-unix-time-doc
Description-md5: 03ec56fed64aa34b03060b66193e1634
Description-en: Unix time parser/formatter and utilities; documentation
 Fast parser/formatter/utilities for Unix time
 .
  Author: Kazu Yamamoto <kazu@iij.ad.jp>
  Upstream-Maintainer: Kazu Yamamoto <kazu@iij.ad.jp>
 .
 This package contains the documentation files.

Package: libghc-unix-time-prof
Description-md5: 8417ea13bfa7b693476cd4e44358e85d
Description-en: Unix time parser/formatter and utilities; profiling libraries
 Fast parser/formatter/utilities for Unix time
 .
  Author: Kazu Yamamoto <kazu@iij.ad.jp>
  Upstream-Maintainer: Kazu Yamamoto <kazu@iij.ad.jp>
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-unixutils-dev
Description-md5: e42c91fbff24ef8cef97c4af69c451d3
Description-en: interface between Haskell and Unix-like operating systems
 Unixutils is a collection of useful and mildly useful functions that
 you might expect to find in System.* with a heavy bias towards
 Unix-type operating systems.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unixutils-doc
Description-md5: 1977ad7cbc45c0962a195cd9412ddcb8
Description-en: interface between Haskell and Unix-like operating systems; documentation
 Unixutils is a collection of useful and mildly useful functions that
 you might expect to find in System.* with a heavy bias towards
 Unix-type operating systems.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unixutils-prof
Description-md5: c44df558293c94ba5a7d67272b4afcaa
Description-en: interface between Haskell and Unix-like operating systems; profiling libraries
 Unixutils is a collection of useful and mildly useful functions that
 you might expect to find in System.* with a heavy bias towards
 Unix-type operating systems.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unlambda-dev
Description-md5: 27e09c97b455371564f826d691f356a7
Description-en: Unlambda interpreter library
 It is an interpreter of the Unlambda language, written in the pure,
 lazy, functional language Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unlambda-doc
Description-md5: da327c756e2b3f70e9f9cf178e7efff5
Description-en: Unlambda interpreter library; documentation
 It is an interpreter of the Unlambda language, written in the pure,
 lazy, functional language Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unlambda-prof
Description-md5: 629363c9e548920cf4db3e3ad9ad1c12
Description-en: Unlambda interpreter library; profiling libraries
 It is an interpreter of the Unlambda language, written in the pure,
 lazy, functional language Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unliftio-core-dev
Description-md5: 63f74997e9cd70fa9a82f474d2676243
Description-en: MonadUnliftIO typeclass for unlifting monads to IO
 Provides the core MonadUnliftIO typeclass, instances for base and
 transformers, and basic utility functions. Typically, you'll want
 to use the unliftio library, which provides more functionality (and
 a much better description).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unliftio-core-doc
Description-md5: 9abc068367a08e19c9495f31da8b520d
Description-en: MonadUnliftIO typeclass for unlifting monads to IO; documentation
 Provides the core MonadUnliftIO typeclass, instances for base and
 transformers, and basic utility functions. Typically, you'll want
 to use the unliftio library, which provides more functionality (and
 a much better description).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unliftio-core-prof
Description-md5: d65425882c9e27c362d8f5734cb10253
Description-en: MonadUnliftIO typeclass for unlifting monads to IO; profiling libraries
 Provides the core MonadUnliftIO typeclass, instances for base and
 transformers, and basic utility functions. Typically, you'll want
 to use the unliftio library, which provides more functionality (and
 a much better description).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unliftio-dev
Description-md5: 5757133c021532a5aaf4ae502c0279f9
Description-en: MonadUnliftIO typeclass for unlifting monads to IO
 Provides the core MonadUnliftIO typeclass, a number of common
 instances, and a collection of common functions working with it.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unliftio-doc
Description-md5: ce438e4ce0eafb0e6240871e912af103
Description-en: MonadUnliftIO typeclass for unlifting monads to IO; documentation
 Provides the core MonadUnliftIO typeclass, a number of common
 instances, and a collection of common functions working with it.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unliftio-prof
Description-md5: 47c26b0b50de6993c4ee3deff2eef244
Description-en: MonadUnliftIO typeclass for unlifting monads to IO; profiling libraries
 Provides the core MonadUnliftIO typeclass, a number of common
 instances, and a collection of common functions working with it.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unordered-containers-dev
Description-md5: eb7e12eb4c328fda9dc6e91a6effd7ad
Description-en: Efficient hashing-based container types
 It contains efficient hashing-based container types. The containers
 have been optimized for performance critical use, both in terms of
 large data quantities and high speed.
 .
 The declared cost of each operation is either worst-case or
 amortized, but remains valid even if structures are shared.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unordered-containers-doc
Description-md5: 37ef02f8ed30e3fa8b83f375c99bc2ce
Description-en: Efficient hashing-based container types; documentation
 It contains efficient hashing-based container types. The containers
 have been optimized for performance critical use, both in terms of
 large data quantities and high speed.
 .
 The declared cost of each operation is either worst-case or
 amortized, but remains valid even if structures are shared.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-unordered-containers-prof
Description-md5: f4c66cf0a10b3debe7d868d4d81637cd
Description-en: Efficient hashing-based container types; profiling libraries
 It contains efficient hashing-based container types. The containers
 have been optimized for performance critical use, both in terms of
 large data quantities and high speed.
 .
 The declared cost of each operation is either worst-case or
 amortized, but remains valid even if structures are shared.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-bytestring-aeson-dev
Description-md5: ea17f025bfe987e4e644a88f8e8d62c9
Description-en: Aeson instances for URI Bytestring
 Aeson ToJSON and FromJSON instances for URIRefs.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-bytestring-aeson-doc
Description-md5: 8064d134d87bbe3ca3fbd8a286920fd0
Description-en: Aeson instances for URI Bytestring; documentation
 Aeson ToJSON and FromJSON instances for URIRefs.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-bytestring-aeson-prof
Description-md5: 125f3a21eb769b2437be57eeb083b319
Description-en: Aeson instances for URI Bytestring; profiling libraries
 Aeson ToJSON and FromJSON instances for URIRefs.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-bytestring-dev
Description-md5: b938d6845df289286323457355d3b3c0
Description-en: Haskell URI parsing as ByteStrings
 uri-bytestring aims to be an RFC3986 compliant URI parser that uses efficient ByteStrings for parsing and representing the URI data.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-bytestring-doc
Description-md5: b449e8fd82510c52601b39b2d804bc97
Description-en: Haskell URI parsing as ByteStrings; documentation
 uri-bytestring aims to be an RFC3986 compliant URI parser that uses efficient ByteStrings for parsing and representing the URI data.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-bytestring-prof
Description-md5: bd2e5184ff8dbd3b17992ad2b33a2080
Description-en: Haskell URI parsing as ByteStrings; profiling libraries
 uri-bytestring aims to be an RFC3986 compliant URI parser that uses efficient ByteStrings for parsing and representing the URI data.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-dev
Description-md5: 0f80aabfcb12a485424d0c1f42e2a352
Description-en: Haskell Text.URI library
 This is a Haskell library for working with URIs, including parsing,
 rendering, merging, escaping
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-doc
Description-md5: bb14093a994c75b19e1cb977d1a31e68
Description-en: Haskell Text.URI library; documentation
 This is a Haskell library for working with URIs, including parsing,
 rendering, merging, escaping
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-encode-dev
Description-md5: bf4d45fbea8ee1c2496fa71c6c5f0dcc
Description-en: Unicode aware uri-encoding.
 Unicode aware uri-encoding.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-encode-doc
Description-md5: 23de60b8ebcfe593cb625aed7045ec7f
Description-en: Unicode aware uri-encoding.; documentation
 Unicode aware uri-encoding.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-encode-prof
Description-md5: 25ded080c3ad18d3467521a6638ad098
Description-en: Unicode aware uri-encoding.; profiling libraries
 Unicode aware uri-encoding.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uri-prof
Description-md5: 171ce0baa1d55abe64cf1fd43e8a8c47
Description-en: Haskell Text.URI library; profiling libraries
 This is a Haskell library for working with URIs, including parsing,
 rendering, merging, escaping
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-url-dev
Description-md5: 6aece56853cc47f26ef1333e7a2a1c15
Description-en: Haskell library for working with URLs
 This library provides simple tools to parse and build URL strings.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-url-doc
Description-md5: c837b9a66458c102d82fc81fde31bd60
Description-en: Haskell library for working with URLs; documentation
 This library provides simple tools to parse and build URL strings.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-url-prof
Description-md5: e1f6002087940d8b5fcc78dccb53ca37
Description-en: Haskell library for working with URLs; profiling libraries
 This library provides simple tools to parse and build URL strings.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-userid-dev
Description-md5: 3abfdd5f39b9d8072597ca11d74a8e0b
Description-en: The UserId type and useful instances for web development
 Includes instances for SafeCopy, Lens, Boomerang, PathInfo and more
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-userid-doc
Description-md5: d42357183dc777a6f4996cf2f32f8194
Description-en: The UserId type and useful instances for web development; documentation
 Includes instances for SafeCopy, Lens, Boomerang, PathInfo and more
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-userid-prof
Description-md5: a1da466231d843cc5e69560b0d8876da
Description-en: The UserId type and useful instances for web development; profiling libraries
 Includes instances for SafeCopy, Lens, Boomerang, PathInfo and more
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-utf8-light-dev
Description-md5: baac976a0a2bb42e5ae00586a1a8b289
Description-en: Lightweight UTF-8 handling library
 It is a fast parser combinator library, aimed particularly at dealing
 efficiently with network protocols and complicated text/binary file
 formats.
 .
 It provides Codec.Binary.UTF8.Light for lightweight UTF-8 handling.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-utf8-light-doc
Description-md5: 6cf9405a7ba09cba74d1fb37da5f2662
Description-en: Lightweight UTF-8 handling library; documentation
 It is a fast parser combinator library, aimed particularly at dealing
 efficiently with network protocols and complicated text/binary file
 formats.
 .
 It provides Codec.Binary.UTF8.Light for lightweight UTF-8 handling.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-utf8-light-prof
Description-md5: 328a427be5cce92e23e09a6bd19608ed
Description-en: Lightweight UTF-8 handling library; profiling libraries
 It is a fast parser combinator library, aimed particularly at dealing
 efficiently with network protocols and complicated text/binary file
 formats.
 .
 It provides Codec.Binary.UTF8.Light for lightweight UTF-8 handling.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-utf8-string-dev
Description-md5: eaae9b089454a75be5276c35dbd930fd
Description-en: GHC libraries for the Haskell UTF-8 library
 UTF8 layer for Strings. The utf8-string package provides operations
 for encoding UTF8 strings to Word8 lists and back, and for reading and
 writing UTF8 without truncation.
 .
 This package contains the libraries compiled for GHC.

Package: libghc-utf8-string-doc
Description-md5: a55ed42a17ae06e79c4aab8edcc58c3b
Description-en: GHC libraries for the Haskell UTF-8 library (documentation)
 UTF8 layer for Strings. The utf8-string package provides operations
 for encoding UTF8 strings to Word8 lists and back, and for reading and
 writing UTF8 without truncation.
 .
 This package contains the GHC library documentation.

Package: libghc-utf8-string-prof
Description-md5: bae65b51cc93c55ad6c16b3473f4122f
Description-en: Profiling support for the GHC Haskell UTF-8 library
 UTF8 layer for Strings. The utf8-string package provides operations
 for encoding UTF8 strings to Word8 lists and back, and for reading and
 writing UTF8 without truncation.
 .
 This package contains additional GHC profiling libraries which can be used with
 libghc-utf8-string-dev.

Package: libghc-utility-ht-dev
Description-md5: baa7ca54f2fb35826a1dce05037f8cd6
Description-en: small helper functions for Lists, Maybes, Tuples and Functions
 This library provides various small helper functions for Lists, Maybes,
 Tuples and Functions. Some of these functions are improved implementations
 of standard functions. They have the same name as their standard
 counterparts.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-utility-ht-doc
Description-md5: 5b522a3f843b0b9364d31046ba26ee21
Description-en: small helper functions for Lists, Maybes, Tuples and Functions; documentation
 This library provides various small helper functions for Lists, Maybes,
 Tuples and Functions. Some of these functions are improved implementations
 of standard functions. They have the same name as their standard
 counterparts.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-utility-ht-prof
Description-md5: 2b17d249505191f28c11473cb0b986df
Description-en: profiling libraries for libghc-utility-ht-dev; profiling libraries
 This library provides various small helper functions for Lists, Maybes,
 Tuples and Functions. Some of these functions are improved implementations
 of standard functions. They have the same name as their standard
 counterparts.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuagc-cabal-dev
Description-md5: 48105143908578f8ff0990a384d8a7d6
Description-en: Cabal plugin for UUAGC
 Cabal plugin for the Universiteit Utrecht Attribute Grammar System.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuagc-cabal-doc
Description-md5: 24463affcbb4051b2c236beb52d9ff0d
Description-en: Cabal plugin for UUAGC; documentation
 Cabal plugin for the Universiteit Utrecht Attribute Grammar System.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuagc-cabal-prof
Description-md5: 54e2b9c18c4509f182a5b2ef5742a483
Description-en: Cabal plugin for UUAGC; profiling libraries
 Cabal plugin for the Universiteit Utrecht Attribute Grammar System.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuid-dev
Description-md5: f474d497ac52dbf8171048d774dc33b1
Description-en: create, compare, parse and print Universally Unique Identifiers
 This library is useful for creating, comparing, parsing and printing
 Universally Unique Identifiers. See http://en.wikipedia.org/wiki/UUID
 for the general idea.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuid-doc
Description-md5: cf52e5b8cfe86804b38a4e91c0344547
Description-en: create, compare, parse and print UUIDs; documentation
 This library is useful for creating, comparing, parsing and printing
 Universally Unique Identifiers. See http://en.wikipedia.org/wiki/UUID
 for the general idea.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuid-prof
Description-md5: b689db9d0f6cfc67e42d773d1759eeb8
Description-en: create, compare, parse and print UUIDs; profiling libraries
 This library is useful for creating, comparing, parsing and printing
 Universally Unique Identifiers. See http://en.wikipedia.org/wiki/UUID
 for the general idea.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuid-types-dev
Description-md5: f2160e3b10e79fbdb454d34931dc0fb1
Description-en: Type definitions for Universally Unique Identifiers
 This library contains type definitions for Universally Unique Identifiers
 and basic conversion functions.
 See <http://en.wikipedia.org/wiki/UUID> for the general idea.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuid-types-doc
Description-md5: 459a08ea475aa91f2ea0cfdeec978734
Description-en: Type definitions for Universally Unique Identifiers; documentation
 This library contains type definitions for Universally Unique Identifiers
 and basic conversion functions.
 See <http://en.wikipedia.org/wiki/UUID> for the general idea.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uuid-types-prof
Description-md5: ba5d755133a0cea06bec2de0067dbbdc
Description-en: Type definitions for Universally Unique Identifiers; profiling libraries
 This library contains type definitions for Universally Unique Identifiers
 and basic conversion functions.
 See <http://en.wikipedia.org/wiki/UUID> for the general idea.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uulib-dev
Description-md5: 2e8183b8a00d0e0983e66ca6a80840ff
Description-en: parser and pretty print combinator library for GHC
 The uulib Haskell library contains fast, error repairing parser
 combinators (UU.Parsing), pretty print combinators (UU.Pretty) and a
 set of data structures and algorithms for working with sets and
 sequences (UU.DData) for Haskell.
 .
 The library is developed at Utrecht University and is part of the
 Haskell Utrecht Tools.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uulib-doc
Description-md5: 28f06fe7fcb987a333fe2ed2ae308db0
Description-en: parser and pretty print combinator library for GHC; documentation
 The uulib Haskell library contains fast, error repairing parser
 combinators (UU.Parsing), pretty print combinators (UU.Pretty) and a
 set of data structures and algorithms for working with sets and
 sequences (UU.DData) for Haskell.
 .
 The library is developed at Utrecht University and is part of the
 Haskell Utrecht Tools.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-uulib-prof
Description-md5: 21d426ea213b164640ee761ef96211c0
Description-en: parser and pretty print combinator library for GHC; profiling libraries
 The uulib Haskell library contains fast, error repairing parser
 combinators (UU.Parsing), pretty print combinators (UU.Pretty) and a
 set of data structures and algorithms for working with sets and
 sequences (UU.DData) for Haskell.
 .
 The library is developed at Utrecht University and is part of the
 Haskell Utrecht Tools.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-validity-containers-dev
Description-md5: c513e7a59ee7552f2d025042e9d1d90c
Description-en: Validity instances for containers
 Validity instances for maps, sequences, sets, trees
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-validity-containers-doc
Description-md5: ed970ce8dbbfa0dcf15a6e67828290b0
Description-en: Validity instances for containers; documentation
 Validity instances for maps, sequences, sets, trees
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-validity-containers-prof
Description-md5: e79f60abd84b872d6381f0edd427ca27
Description-en: Validity instances for containers; profiling libraries
 Validity instances for maps, sequences, sets, trees
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-validity-dev
Description-md5: d4180b8402083db859b34629e56f56e5
Description-en: validity typeclass
 Note: There are companion instance packages for this library:
  * validity-aeson
  * validity-bytestring
  * validity-containers
  * validity-path
  * validity-scientific
  * validity-text
  * validity-time
  * validity-unordered-containers
  * validity-uuid
  * validity-vector
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-validity-doc
Description-md5: 08a27a701793097d77752bf13a68cd56
Description-en: validity typeclass; documentation
 Note: There are companion instance packages for this library:
  * validity-aeson
  * validity-bytestring
  * validity-containers
  * validity-path
  * validity-scientific
  * validity-text
  * validity-time
  * validity-unordered-containers
  * validity-uuid
  * validity-vector
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-validity-prof
Description-md5: 5cc96dbee481945edb7f0a9bda814838
Description-en: validity typeclass; profiling libraries
 Note: There are companion instance packages for this library:
  * validity-aeson
  * validity-bytestring
  * validity-containers
  * validity-path
  * validity-scientific
  * validity-text
  * validity-time
  * validity-unordered-containers
  * validity-uuid
  * validity-vector
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vault-dev
Description-md5: fa6d99e3750b60e22f125f4c6cef7158
Description-en: typed, persistent store for values of arbitrary types
 A vault is a typed, persistent store for values of arbitrary types. It's
 like having first-class access to the storage space behind IORefs.
 .
 The data structure is analogous to a bank vault, where you can access
 different bank boxes with different keys; hence the name.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vault-doc
Description-md5: dc94a6d5eaa58e8dfe5b466053a9b4f9
Description-en: typed, persistent store for values of arbitrary types; documentation
 A vault is a typed, persistent store for values of arbitrary types. It's
 like having first-class access to the storage space behind IORefs.
 .
 The data structure is analogous to a bank vault, where you can access
 different bank boxes with different keys; hence the name.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vault-prof
Description-md5: f1f5b39f927a18ca532e08d5a9f49f35
Description-en: typed, persistent store for values of arbitrary types; profiling libraries
 A vault is a typed, persistent store for values of arbitrary types. It's
 like having first-class access to the storage space behind IORefs.
 .
 The data structure is analogous to a bank vault, where you can access
 different bank boxes with different keys; hence the name.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-algorithms-dev
Description-md5: 03f0bd20e5bcef792f4cb758885d401d
Description-en: efficient algorithms for vector arrays
 This package provides efficient algorithms for Haskell vector arrays.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-algorithms-doc
Description-md5: 06328abe1acc8ad728f63116881c3ca1
Description-en: efficient algorithms for vector arrays; documentation
 This package provides efficient algorithms for Haskell vector arrays.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-algorithms-prof
Description-md5: 2e8d0c3e0c4073040b013ab908062d5c
Description-en: efficient algorithms for vector arrays; profiling libraries
 This package provides efficient algorithms for Haskell vector arrays.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-binary-instances-dev
Description-md5: a50a30f3d9a32e26642204e738c0a3e3
Description-en: instances of Data.Binary and Data.Serialize for vector
  This package provides instances for Binary for the types defined in the
  vector package, making it easy to serialize vectors to and from disk. It uses
  the generic interface to vectors, so all vector types are supported.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-binary-instances-doc
Description-md5: 2137f68c5ec5bae804094da5ba9d162a
Description-en: instances of Data.Binary and Data.Serialize for vector; documentation
  This package provides instances for Binary for the types defined in the
  vector package, making it easy to serialize vectors to and from disk. It uses
  the generic interface to vectors, so all vector types are supported.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-binary-instances-prof
Description-md5: 1824b0502c9cd1321cd014f90fb91283
Description-en: instances of Data.Binary and Data.Serialize for vector; profiling libraries
  This package provides instances for Binary for the types defined in the
  vector package, making it easy to serialize vectors to and from disk. It uses
  the generic interface to vectors, so all vector types are supported.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-builder-dev
Description-md5: 0006687171fda045e4e49ff8ee343bba
Description-en: Vector builder
 An API for efficient and convenient construction of vectors.
 It provides the composable Builder abstraction, which has instances
 of the Monoid and Semigroup classes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-builder-doc
Description-md5: 87e2844e6af027327082592526455fd7
Description-en: Vector builder; documentation
 An API for efficient and convenient construction of vectors.
 It provides the composable Builder abstraction, which has instances
 of the Monoid and Semigroup classes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-builder-prof
Description-md5: f91ccf239f3ed64f406f9b34b22c235e
Description-en: Vector builder; profiling libraries
 An API for efficient and convenient construction of vectors.
 It provides the composable Builder abstraction, which has instances
 of the Monoid and Semigroup classes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-dev
Description-md5: 05eb7a2c1dd485532d6d1e7c5b3cd0f7
Description-en: Efficient Arrays for Haskell
 An efficient implementation of Int-indexed arrays (both mutable and
 immutable), with a powerful loop fusion optimization framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-doc
Description-md5: 16b216e46e289b98c0a5a5c71aadd962
Description-en: Efficient Arrays for Haskell; documentation
 An efficient implementation of Int-indexed arrays (both mutable and
 immutable), with a powerful loop fusion optimization framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-instances-dev
Description-md5: 43aed51e34c9488e1fe24f91fd7572aa
Description-en: orphan instances for Data.Vector
 Keyed, Zip, ZipWithKey, Indexable, Lookup, Adjustable, FoldableWithKey,
 Apply, Pointed, Bind, Semigroup, Alt, Plus, TraversableWithKey, Extend,
 Unbox, Storable, and Prim instances for Vector.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-instances-doc
Description-md5: a637ad494f788d6fc6b505d16a3eb4a8
Description-en: orphan instances for Data.Vector; documentation
 Keyed, Zip, ZipWithKey, Indexable, Lookup, Adjustable, FoldableWithKey,
 Apply, Pointed, Bind, Semigroup, Alt, Plus, TraversableWithKey, Extend,
 Unbox, Storable, and Prim instances for Vector.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-instances-prof
Description-md5: 23b186e0daf97350dd1e53fce96af9f0
Description-en: orphan instances for Data.Vector; profiling libraries
 Keyed, Zip, ZipWithKey, Indexable, Lookup, Adjustable, FoldableWithKey,
 Apply, Pointed, Bind, Semigroup, Alt, Plus, TraversableWithKey, Extend,
 Unbox, Storable, and Prim instances for Vector.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-prof
Description-md5: d3f0e5610d3bd5859a1cec752197256d
Description-en: Efficient Arrays for Haskell; profiling libraries
 An efficient implementation of Int-indexed arrays (both mutable and
 immutable), with a powerful loop fusion optimization framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-space-dev
Description-md5: de48a736ded4a2f8f5440512d95cf73f
Description-en: Vector & affine spaces, linear maps, and derivatives library
 vector-space provides classes and generic operations for vector spaces
 and affine spaces. It also defines a type of infinite towers of generalized
 derivatives. A generalized derivative is a linear transformation rather than
 one of the common concrete representations (scalars, vectors, matrices, ...).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-space-doc
Description-md5: ef100255e072f64dfc05e142e7cbab63
Description-en: Vector & affine spaces, linear maps, and derivatives library; documentation
 vector-space provides classes and generic operations for vector spaces
 and affine spaces. It also defines a type of infinite towers of generalized
 derivatives. A generalized derivative is a linear transformation rather than
 one of the common concrete representations (scalars, vectors, matrices, ...).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-space-points-dev
Description-md5: 85dd36b3cca5663c09054a2361675a20
Description-en: A type for points in a vector space
 A type for points, as distinct from vectors, built on top of Data.AffineSpace.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-space-points-doc
Description-md5: c4a6b7c6f5bbc3d7e8fd99c88ebe95e8
Description-en: A type for points in a vector space; documentation
 A type for points, as distinct from vectors, built on top of Data.AffineSpace.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-space-points-prof
Description-md5: 9e64e07f4e4a83154224f6bfe55d7a84
Description-en: A type for points in a vector space; profiling libraries
 A type for points, as distinct from vectors, built on top of Data.AffineSpace.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-space-prof
Description-md5: 6b8b340ec9f92dae8a179efb41642e99
Description-en: Vector & affine spaces, linear maps, and derivatives library; profiling libraries
 vector-space provides classes and generic operations for vector spaces
 and affine spaces. It also defines a type of infinite towers of generalized
 derivatives. A generalized derivative is a linear transformation rather than
 one of the common concrete representations (scalars, vectors, matrices, ...).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-th-unbox-dev
Description-md5: 37485ceab26d33676304d1dd8cc25dce
Description-en: deriver for Data.Vector.Unboxed using Template Haskell
 This Haskell library package provides a Template Haskell deriver for unboxed
 vectors, given a pair of coercion functions to and from some existing type
 with an Unbox instance.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-th-unbox-doc
Description-md5: 79a1b6f9ca57fffd0fd85b5ce0e8b07c
Description-en: deriver for Data.Vector.Unboxed using Template Haskell; documentation
 This Haskell library package provides a Template Haskell deriver for unboxed
 vectors, given a pair of coercion functions to and from some existing type
 with an Unbox instance.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vector-th-unbox-prof
Description-md5: 1007caceb6669681faccab1f6703eb73
Description-en: deriver for Data.Vector.Unboxed using Template Haskell; profiling libraries
 This Haskell library package provides a Template Haskell deriver for unboxed
 vectors, given a pair of coercion functions to and from some existing type
 with an Unbox instance.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-void-dev
Description-md5: fc836ec2196e941e28b8ff79e1470fb0
Description-en: Haskell 98 logically uninhabited data type
 Haskell 98 logically uninhabited data type. Used to indicate that a given
 term should not exist.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-void-doc
Description-md5: 7b6c5a1c6894f4381b3745695c988ee1
Description-en: Haskell 98 logically uninhabited data type; documentation
 Haskell 98 logically uninhabited data type. Used to indicate that a given
 term should not exist.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-void-prof
Description-md5: be3539ca2290057e0a62f532e10124fa
Description-en: Haskell 98 logically uninhabited data type; profiling libraries
 Haskell 98 logically uninhabited data type. Used to indicate that a given
 term should not exist.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vty-dev
Description-md5: 76b810dac083fdc34c8f5326cdda4a95
Description-en: Terminal interface library for ghc
 Vty is a terminal interface/control library for Haskell. It has a
 very easy API.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vty-doc
Description-md5: c4d419871299bd30341500332dc237c0
Description-en: Terminal interface library for ghc; documentation
 Vty is a terminal interface/control library for Haskell. It has a
 very easy API.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-vty-prof
Description-md5: 7a087d0ba86d70ef6db86e64ca7824f1
Description-en: Terminal interface library for ghc; profiling libraries
 Vty is a terminal interface/control library for Haskell. It has a
 very easy API.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-app-file-cgi-dev
Description-md5: 8503dd91a8b4d775c768681c019a6efc
Description-en: file/CGI app of WAI
 This WAI application handles static files and executes CGI scripts.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-app-file-cgi-doc
Description-md5: f4ef831d4c2292302ad33ba512797293
Description-en: file/CGI app of WAI; documentation
 This WAI application handles static files and executes CGI scripts.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-app-file-cgi-prof
Description-md5: 75a934122a864a4b6f86329ebc6485f5
Description-en: file/CGI app of WAI; profiling libraries
 This WAI application handles static files and executes CGI scripts.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-app-static-dev
Description-md5: 36103ac9effb0f33a22ed1caa8a0898d
Description-en: framework for type-safe, RESTful web applications
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-app-static-doc
Description-md5: 152554b907b9d4f378b6ba9aff5b0266
Description-en: framework for type-safe, RESTful web applications; documentation
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-app-static-prof
Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b
Description-en: framework for type-safe, RESTful web applications; profiling libraries
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-conduit-dev
Description-md5: ba6a92ff81211d34202e39bb40a35d7e
Description-en: conduit wrappers for WAI
 Since version 3.0.0, WAI has no built-in streaming data abstraction.
 This library provides similar functionality to what existed in WAI
 2.x.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-conduit-doc
Description-md5: b0dbcbc96f51c2b256e57af016adb235
Description-en: conduit wrappers for WAI; documentation
 Since version 3.0.0, WAI has no built-in streaming data abstraction.
 This library provides similar functionality to what existed in WAI
 2.x.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-conduit-prof
Description-md5: b58dccfdb00a372d1601e1777dc57123
Description-en: conduit wrappers for WAI; profiling libraries
 Since version 3.0.0, WAI has no built-in streaming data abstraction.
 This library provides similar functionality to what existed in WAI
 2.x.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-dev
Description-md5: 0eafec3bb45290cf53a5539abd08c1a2
Description-en: web application interface
 It provides a common protocol for communication between web
 applications and web servers.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-doc
Description-md5: 948eaf80658bdcb7d7c10e2ecd0efd9c
Description-en: web application interface; documentation
 It provides a common protocol for communication between web
 applications and web servers.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-extra-dev
Description-md5: 176ab107db463b475aae2c3379d6d0da
Description-en: some basic WAI handlers and middleware
 wai is a common protocol for communication between web aplications and web
 servers.
 .
 This library provides some common features not tied to a particular web
 application or web server.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-extra-doc
Description-md5: 84c007665ea7805da71e34d554c3a543
Description-en: some basic WAI handlers and middleware; documentation
 wai is a common protocol for communication between web aplications and web
 servers.
 .
 This library provides some common features not tied to a particular web
 application or web server.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-extra-prof
Description-md5: 6312cb4018937e3075b2f84fb18d4296
Description-en: some basic WAI handlers and middleware; profiling libraries
 wai is a common protocol for communication between web aplications and web
 servers.
 .
 This library provides some common features not tied to a particular web
 application or web server.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-handler-launch-dev
Description-md5: b82807c729187455f54f4a367cbd6587
Description-en: WAI handler for launching in a web browser
 This WAI handler for launching in a web browser handles cross-platform
 launching and inserts JavaScript code to ping the server. When the
 server no longer receives pings, it shuts down.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-handler-launch-doc
Description-md5: 9cd0c7fe6eb07b254fd5e85b53842741
Description-en: Short description of wai-handler-launch; documentation
 This WAI handler for launching in a web browser handles cross-platform
 launching and inserts JavaScript code to ping the server. When the
 server no longer receives pings, it shuts down.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-handler-launch-prof
Description-md5: 8c29eb6c2645cfdf5395928c2dd49640
Description-en: Short description of wai-handler-launch; profiling libraries
 This WAI handler for launching in a web browser handles cross-platform
 launching and inserts JavaScript code to ping the server. When the
 server no longer receives pings, it shuts down.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-http2-extra-dev
Description-md5: a17813e2d534af35c220c515ec484f61
Description-en: WAI utilities for HTTP/2
 WAI utilities for HTTP/2, such as middleware for a server push
 learning dependency based on the Referer header.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-http2-extra-doc
Description-md5: 9bb6b44100a3074a5ee46597a971e1ad
Description-en: WAI utilities for HTTP/2; documentation
 WAI utilities for HTTP/2, such as middleware for a server push
 learning dependency based on the Referer header.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-http2-extra-prof
Description-md5: 703cd947f5e435f067d28db240a25f89
Description-en: WAI utilities for HTTP/2; profiling libraries
 WAI utilities for HTTP/2, such as middleware for a server push
 learning dependency based on the Referer header.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-logger-dev
Description-md5: 93c84f09d11f542a5686ebc2e9c86f9d
Description-en: logging system for WAI
 This is a logging system for WAI application.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-logger-doc
Description-md5: 46223a07b37ac74f79757544e91e50f0
Description-en: logging system for WAI; documentation
 This is a logging system for WAI application.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-logger-prof
Description-md5: b09d1627258eb5a15b455054f1305e58
Description-en: logging system for WAI; profiling libraries
 This is a logging system for WAI application.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-middleware-static-dev
Description-md5: e441ff6d3139d3aaa44d89f7b31a63c2
Description-en: WAI middleware that serves requests to static files.
 WAI middleware that intercepts requests to static files and serves them
 if they exist.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-middleware-static-doc
Description-md5: f32535dfad34d3da02c87c7d8ef4ae66
Description-en: WAI middleware that serves requests to static files.; documentation
 WAI middleware that intercepts requests to static files and serves them
 if they exist.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-middleware-static-prof
Description-md5: deb8b7b5b6f8b79d695b22b3f65a429e
Description-en: WAI middleware that serves requests to static files.; profiling libraries
 WAI middleware that intercepts requests to static files and serves them
 if they exist.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-prof
Description-md5: eb546455b7935fc1a1a0dedfb14acde6
Description-en: web application interface; profiling libraries
 It provides a common protocol for communication between web
 applications and web servers.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-websockets-dev
Description-md5: 8b5bc76a4fcdb74bac8e574c21dec134
Description-en: bridge between WAI and the haskell websockets library
 The Web Application Interface provides a common protocol for communication
 between web applications and web servers. This package provides a bridge
 between WAI and the haskell websockets library.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-websockets-doc
Description-md5: e6a7565104aa308de3b351ed82570cf5
Description-en: bridge between WAI and the haskell websockets library; documentation
 The Web Application Interface provides a common protocol for communication
 between web applications and web servers. This package provides a bridge
 between WAI and the haskell websockets library.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wai-websockets-prof
Description-md5: 84d98c7d915fa1477aa3a51b90744c27
Description-en: bridge between WAI and the haskell websockets library; profiling libraries
 The Web Application Interface provides a common protocol for communication
 between web applications and web servers. This package provides a bridge
 between WAI and the haskell websockets library.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-warp-dev
Description-md5: 9edd36ee031d2e529ca2e25c4f63eb89
Description-en: fast, light-weight web server for WAI applications
 It contains the premier WAI handler. For more information, see
 http://steve.vinoski.net/blog/2011/05/01/warp-a-haskell-web-server/ .
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-warp-doc
Description-md5: 4d120c35f353a80472b4c4907c8ddbb1
Description-en: fast, light-weight web server for WAI applications; documentation
 It contains the premier WAI handler. For more information, see
 http://steve.vinoski.net/blog/2011/05/01/warp-a-haskell-web-server/ .
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-warp-prof
Description-md5: 5234c55f42c579b0f06a3e0bc06ef9fd
Description-en: fast, light-weight web server for WAI applications; profiling libraries
 It contains the premier WAI handler. For more information, see
 http://steve.vinoski.net/blog/2011/05/01/warp-a-haskell-web-server/ .
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-warp-tls-dev
Description-md5: 4ab5556ac0fcf040a7d6f6bd82bcabb8
Description-en: SSL/TLS support for Warp
 It contains SSL/TLS support for Warp, the premier WAI handler, via
 the native Haskell TLS implementation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-warp-tls-doc
Description-md5: 8fd2e5261d755b6a6ed050e90c76d14f
Description-en: SSL/TLS support for Warp; documentation
 It contains SSL/TLS support for Warp, the premier WAI handler, via
 the native Haskell TLS implementation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-warp-tls-prof
Description-md5: faa04db10902670b3089737b3225a3b3
Description-en: SSL/TLS support for Warp; profiling libraries
 It contains SSL/TLS support for Warp, the premier WAI handler, via
 the native Haskell TLS implementation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wcwidth-dev
Description-md5: 6abc339732964bd0884901e31f539f1c
Description-en: bindings for system's native wcwidth
 This package provides the wcwidth function which can be used to learn, for
 most of Unicode, how wide the individual Char code points will come out on the
 terminal.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wcwidth-doc
Description-md5: 9cfd2cac6bfb04bda617e73e6b695ab3
Description-en: bindings for system's native wcwidth; documentation
 This package provides the wcwidth function which can be used to learn, for
 most of Unicode, how wide the individual Char code points will come out on the
 terminal.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wcwidth-prof
Description-md5: 5695e68575f075651ad1fc0fb31d17f7
Description-en: bindings for system's native wcwidth; profiling libraries
 This package provides the wcwidth function which can be used to learn, for
 most of Unicode, how wide the individual Char code points will come out on the
 terminal.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-boomerang-dev
Description-md5: fc22950a3bb20ca796da8ee9d0735bd3
Description-en: correctness and composability of application URLs
 This module adds support for creating url parsers/printers using a
 single unified grammar specification.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-boomerang-doc
Description-md5: b6142e92055fbc8ac6516128d1d8598b
Description-en: correctness and composability of application URLs; documentation
 This module adds support for creating url parsers/printers using a
 single unified grammar specification.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-boomerang-prof
Description-md5: 4bef935c9e81435bf167dd978e87e996
Description-en: correctness and composability of application URLs; profiling libraries
 This module adds support for creating url parsers/printers using a
 single unified grammar specification.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-dev
Description-md5: 3b8d170851bec02bd71e7667b95eedc8
Description-en: library for correctness/composability of URLs
 It is a collection of types and functions that ensure that URLs
 generated by an application are valid.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-doc
Description-md5: 6c0ad7a4b922da60a07e6ebb3b422ac3
Description-en: library for correctness/composability of URLs; documentation
 It is a collection of types and functions that ensure that URLs
 generated by an application are valid.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-happstack-dev
Description-md5: c6605984115409ca4c48e99aafd1b38e
Description-en: web-routes support for Happstack
 Includes functions for converting web-routes Sites to normal Happstack
 routes.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-happstack-doc
Description-md5: 7184d37ec63efde1fb4b4af9d3d62c24
Description-en: web-routes support for Happstack; documentation
 Includes functions for converting web-routes Sites to normal Happstack
 routes.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-happstack-prof
Description-md5: daf32cb1251c011ea62e14b8918a2921
Description-en: web-routes support for Happstack; profiling libraries
 Includes functions for converting web-routes Sites to normal Happstack
 routes.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-hsp-dev
Description-md5: 7067eea63500c801bd72c3341a65cb06
Description-en: XMLGenerator instance for RouteT monad
 Provides HSP and web-routes integration.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-hsp-doc
Description-md5: fe68c99c8dcc820f6a5cbbb4966a8822
Description-en: XMLGenerator instance for RouteT monad; documentation
 Provides HSP and web-routes integration.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-hsp-prof
Description-md5: d96f08d72a29f107ed85a0acdf920dce
Description-en: XMLGenerator instance for RouteT monad; profiling libraries
 Provides HSP and web-routes integration.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-prof
Description-md5: 21d3402ec08b2d87ceb75ac9eed8025e
Description-en: library for correctness/composability of URLs; profiling libraries
 It is a collection of types and functions that ensure that URLs
 generated by an application are valid.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-th-dev
Description-md5: ef0f0ae90c2083e04d4e0e7f8d0d8b9f
Description-en: support for deriving PathInfo using Template Haskell
 web-routes-th provides Template Haskell functions for creating PathInfo
 instances for a type, optionally using a transformation function
 supplied by the caller.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-th-doc
Description-md5: 7837df46d9a28fa79f6d3504eb343688
Description-en: support for deriving PathInfo using Template Haskell; documentation
 web-routes-th provides Template Haskell functions for creating PathInfo
 instances for a type, optionally using a transformation function
 supplied by the caller.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-web-routes-th-prof
Description-md5: a52ac97ad0892c72235fd4dcf971bb89
Description-en: support for deriving PathInfo using Template Haskell; profiling libraries
 web-routes-th provides Template Haskell functions for creating PathInfo
 instances for a type, optionally using a transformation function
 supplied by the caller.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-websockets-dev
Description-md5: 9488905026fcb9bae49872dedbfd8477
Description-en: write WebSocket-capable servers
 Provides a sensible, clean and simple way to write
 WebSocket-capable servers in Haskell.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-websockets-doc
Description-md5: 649bd8512b39708dbd95b505fb28c8bf
Description-en: write WebSocket-capable servers; documentation
 Provides a sensible, clean and simple way to write
 WebSocket-capable servers in Haskell.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-websockets-prof
Description-md5: e6f23d9bfa12a99407ae5a490eebde0c
Description-en: write WebSocket-capable servers; profiling libraries
 Provides a sensible, clean and simple way to write
 WebSocket-capable servers in Haskell.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-weigh-dev
Description-md5: 30946e07c27ac379411c585c9ae0eb50
Description-en: measure allocations of a Haskell functions/values
 Weigh is a framework for seeing how much a function allocates.
 It can weigh pure functions as well as IO actions.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-weigh-doc
Description-md5: f10249cef697fb6a1756fb50e750b701
Description-en: measure allocations of a Haskell functions/values; documentation
 Weigh is a framework for seeing how much a function allocates.
 It can weigh pure functions as well as IO actions.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-weigh-prof
Description-md5: 476dba3cdf542eb84b95b1e08e4c593c
Description-en: measure allocations of a Haskell functions/values; profiling libraries
 Weigh is a framework for seeing how much a function allocates.
 It can weigh pure functions as well as IO actions.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-with-location-dev
Description-md5: e9e2031907caba1e8f6cd03071150dcf
Description-en: ImplicitParams-based source locations
 Location type and function to use ImplicitParams-based source locations
 in a backward-compatible way.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-with-location-doc
Description-md5: e838bb8a98175b061c8df51fd10c2848
Description-en: ImplicitParams-based source locations; documentation
 Location type and function to use ImplicitParams-based source locations
 in a backward-compatible way.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-with-location-prof
Description-md5: 62b6d99a1061dc32ee159b03d4cecc45
Description-en: ImplicitParams-based source locations; profiling libraries
 Location type and function to use ImplicitParams-based source locations
 in a backward-compatible way.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wizards-dev
Description-md5: 1d737fb9f8a1955c48f1bda2b1c3b721
Description-en: library for interrogative programs
 This library is a package designed for the quick and painless development of
 interrogative programs, which revolve around a "dialogue" with the user, who
 is asked a series of questions in a sequence much like an installation wizard.
 .
 Everything from interactive system scripts, to installation wizards, to
 full-blown shells can be implemented with the support of wizards.
 .
 It is developed transparently on top of a free monad, which separates out the
 semantics of the program from any particular interface. A variety of backends
 exist, including console-based System.Console.Wizard.Haskeline and
 System.Console.Wizard.BasicIO, and the pure System.Console.Wizard.Pure. It is
 also possible to write your own backends, or extend existing back-ends with
 new features. While both built-in IO backends operate on a console, there is
 no reason why wizards cannot also be used for making GUI wizard interfaces.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wizards-doc
Description-md5: 3cc865cc9d81987c2c2219af62c518a7
Description-en: library for interrogative programs; documentation
 This library is a package designed for the quick and painless development of
 interrogative programs, which revolve around a "dialogue" with the user, who
 is asked a series of questions in a sequence much like an installation wizard.
 .
 Everything from interactive system scripts, to installation wizards, to
 full-blown shells can be implemented with the support of wizards.
 .
 It is developed transparently on top of a free monad, which separates out the
 semantics of the program from any particular interface. A variety of backends
 exist, including console-based System.Console.Wizard.Haskeline and
 System.Console.Wizard.BasicIO, and the pure System.Console.Wizard.Pure. It is
 also possible to write your own backends, or extend existing back-ends with
 new features. While both built-in IO backends operate on a console, there is
 no reason why wizards cannot also be used for making GUI wizard interfaces.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wizards-prof
Description-md5: 321b5f4de6dcb8fe65fb39b86e00b6ce
Description-en: library for interrogative programs; profiling libraries
 This library is a package designed for the quick and painless development of
 interrogative programs, which revolve around a "dialogue" with the user, who
 is asked a series of questions in a sequence much like an installation wizard.
 .
 Everything from interactive system scripts, to installation wizards, to
 full-blown shells can be implemented with the support of wizards.
 .
 It is developed transparently on top of a free monad, which separates out the
 semantics of the program from any particular interface. A variety of backends
 exist, including console-based System.Console.Wizard.Haskeline and
 System.Console.Wizard.BasicIO, and the pure System.Console.Wizard.Pure. It is
 also possible to write your own backends, or extend existing back-ends with
 new features. While both built-in IO backends operate on a console, there is
 no reason why wizards cannot also be used for making GUI wizard interfaces.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-annotated-dev
Description-md5: b05ed5e96996b94a7e6f93a736cedcaf
Description-en: Wadler/Leijen pretty printer with annotations and slightly modernized API
 Wadler/Leijen pretty printer with annotations and slightly modernized API
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-annotated-doc
Description-md5: 4f8995b557e2269f7d469a3e24f87ab1
Description-en: Wadler/Leijen pretty printer with annotations and slightly modernized API; documentation
 Wadler/Leijen pretty printer with annotations and slightly modernized API
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-annotated-prof
Description-md5: 8be12abf143b59bea1fb73a45af7d622
Description-en: Wadler/Leijen pretty printer with annotations and slightly modernized API; profiling libraries
 Wadler/Leijen pretty printer with annotations and slightly modernized API
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-extras-dev
Description-md5: 8c92b365c6e1e94f8799646f072c7919
Description-en: free monad based on the Wadler/Leijen pretty printer
 This is an implementation of the pretty printing combinators described
 by Philip Wadler (1997). In their bare essence, the combinators of
 Wadler are not expressive enough to describe some commonly occurring
 layouts. The PPrint library adds new primitives to describe these
 layouts and works well in practice.
 .
 The library is based on a single way to concatenate documents, which
 is associative and has both a left and right unit. This simple design
 leads to an efficient and short implementation. The simplicity is
 reflected in the predictable behaviour of the combinators which make
 them easy to use in practice.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-extras-doc
Description-md5: 54c18109dc43050113278151c74732fd
Description-en: free monad based on the Wadler/Leijen pretty printer; documentation
 This is an implementation of the pretty printing combinators described
 by Philip Wadler (1997). In their bare essence, the combinators of
 Wadler are not expressive enough to describe some commonly occurring
 layouts. The PPrint library adds new primitives to describe these
 layouts and works well in practice.
 .
 The library is based on a single way to concatenate documents, which
 is associative and has both a left and right unit. This simple design
 leads to an efficient and short implementation. The simplicity is
 reflected in the predictable behaviour of the combinators which make
 them easy to use in practice.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-extras-prof
Description-md5: b18f0788637635b33756686a5b3cfad1
Description-en: free monad based on the Wadler/Leijen pretty printer; profiling libraries
 This is an implementation of the pretty printing combinators described
 by Philip Wadler (1997). In their bare essence, the combinators of
 Wadler are not expressive enough to describe some commonly occurring
 layouts. The PPrint library adds new primitives to describe these
 layouts and works well in practice.
 .
 The library is based on a single way to concatenate documents, which
 is associative and has both a left and right unit. This simple design
 leads to an efficient and short implementation. The simplicity is
 reflected in the predictable behaviour of the combinators which make
 them easy to use in practice.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-terminfo-dev
Description-md5: 0cc28cb920c013b2cfd896646740909e
Description-en: color pretty printer with terminfo support
 Pretty printing with terminfo effects including colors and bells.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-terminfo-doc
Description-md5: d29d9b85590a736c1e1fd13b3b87b414
Description-en: color pretty printer with terminfo support; documentation
 Pretty printing with terminfo effects including colors and bells.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-terminfo-prof
Description-md5: b3ae048acf949020dfd5f32b225d30d9
Description-en: color pretty printer with terminfo support; profiling libraries
 Pretty printing with terminfo effects including colors and bells.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wl-pprint-text-dev
Description-md5: fdbfb978214409acbfe17dd408b6e668
Description-en: Wadler/Leijen Pretty Printer for Text values in Haskell
 This Haskell library provides a clone of wl-pprint for use with the
 text library.
 .
 This package contains the normal library files.

Package: libghc-wl-pprint-text-doc
Description-md5: 52ee8a3feaf688a7f1f26171685cf132
Description-en: Wadler/Leijen Pretty Printer for Text values in Haskell; documentation
 This Haskell library provides a clone of wl-pprint for use with the
 text library.
 .
 This package contains the documentation files.

Package: libghc-wl-pprint-text-prof
Description-md5: 9dc38769978ecb66bd1d64ca38834760
Description-en: Wadler/Leijen Pretty Printer for Text values in Haskell; profiling libraries
 This Haskell library provides a clone of wl-pprint for use with the
 text library.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-word-trie-dev
Description-md5: a5ea28af58e2b980df3bb3cde50b627a
Description-en: implementation of a finite trie over words
 This is a Haskell library providing an implementation of a finite trie
 over words.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-word-trie-doc
Description-md5: 69ce55ace79a7e1afbaf8d9e3482b393
Description-en: implementation of a finite trie over words; documentation
 This is a Haskell library providing an implementation of a finite trie
 over words.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-word-trie-prof
Description-md5: 2ad18ef2e391ab9ba16ef0ad8de3f80f
Description-en: implementation of a finite trie over words; profiling libraries
 This is a Haskell library providing an implementation of a finite trie
 over words.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-word-wrap-dev
Description-md5: 52f4fdcf220b3db29b3f1f37340df65c
Description-en: library for word-wrapping
 A library for wrapping long lines of text.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-word-wrap-doc
Description-md5: c4e9a28ae5998864b1eeb974bea56a95
Description-en: library for word-wrapping; documentation
 A library for wrapping long lines of text.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-word-wrap-prof
Description-md5: 17fcf9304be9d62d5a7a6d3e4fbe1e7b
Description-en: library for word-wrapping; profiling libraries
 A library for wrapping long lines of text.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-word8-dev
Description-md5: 5e1015ad8fd3d35c1fec6d4349077ed8
Description-en: Char-like utility functions for Word8s to be used with ByteStrings
 This is a Word8 library to be used with Data.ByteString. All function
 assumes that Word8 is encoded in Latin-1 (ISO-8859-1). All utility
 functions are supposed to work as if those of Char. Exceptions are
 described in the function documentations.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-word8-doc
Description-md5: af6035e5e1baf2a5554dc6fd1ee35f1b
Description-en: functions for Word8s to be used with ByteStrings; documentation
 This is a Word8 library to be used with Data.ByteString. All function
 assumes that Word8 is encoded in Latin-1 (ISO-8859-1). All utility
 functions are supposed to work as if those of Char. Exceptions are
 described in the function documentations.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-word8-prof
Description-md5: 83c53efb69454ae2e94350ae7911162f
Description-en: functions for Word8s to be used with ByteStrings; profiling libraries
 This is a Word8 library to be used with Data.ByteString. All function
 assumes that Word8 is encoded in Latin-1 (ISO-8859-1). All utility
 functions are supposed to work as if those of Char. Exceptions are
 described in the function documentations.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wreq-dev
Description-md5: 27ff035d58ac688b6de1ff37f59b1e6d
Description-en: easy-to-use HTTP client library
 A web client library that is designed for ease of use.
 .
 Features include:
  * Simple but powerful `lens`-based API
  * A solid test suite, and built on reliable libraries like
    http-client and lens
  * Session handling includes connection keep-alive and pooling, and
    cookie persistence
  * Automatic response body decompression
  * Powerful multipart form and file upload handling
  * Support for JSON requests and responses, including navigation of
    schema-less responses
  * Basic and OAuth2 bearer authentication
  * Early TLS support via the tls package
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wreq-doc
Description-md5: d9923d20334e4bd748138f6be04d14a3
Description-en: easy-to-use HTTP client library; documentation
 A web client library that is designed for ease of use.
 .
 Features include:
  * Simple but powerful `lens`-based API
  * A solid test suite, and built on reliable libraries like
    http-client and lens
  * Session handling includes connection keep-alive and pooling, and
    cookie persistence
  * Automatic response body decompression
  * Powerful multipart form and file upload handling
  * Support for JSON requests and responses, including navigation of
    schema-less responses
  * Basic and OAuth2 bearer authentication
  * Early TLS support via the tls package
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-wreq-prof
Description-md5: 641dbabc6a45cc73269e7fa558b1f661
Description-en: easy-to-use HTTP client library; profiling libraries
 A web client library that is designed for ease of use.
 .
 Features include:
  * Simple but powerful `lens`-based API
  * A solid test suite, and built on reliable libraries like
    http-client and lens
  * Session handling includes connection keep-alive and pooling, and
    cookie persistence
  * Automatic response body decompression
  * Powerful multipart form and file upload handling
  * Support for JSON requests and responses, including navigation of
    schema-less responses
  * Basic and OAuth2 bearer authentication
  * Early TLS support via the tls package
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x11-dev
Description-md5: 03f08778af5b67472b45be4347af0d95
Description-en: Haskell X11 binding for GHC
 This library is a binding to the X11 graphics library.
 The binding is a direct translation of the C binding; for
 documentation of these calls, refer to "The Xlib Programming
 Manual", available online at <http://tronche.com/gui/x/xlib/>.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x11-doc
Description-md5: e1c0169cc96bd17c8fad44624565450f
Description-en: Haskell X11 binding for GHC; documentation
 This library is a binding to the X11 graphics library.
 The binding is a direct translation of the C binding; for
 documentation of these calls, refer to "The Xlib Programming
 Manual", available online at <http://tronche.com/gui/x/xlib/>.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x11-prof
Description-md5: d7eafcc06a005d711c7e733584431184
Description-en: Haskell X11 binding for GHC; profiling libraries
 This library is a binding to the X11 graphics library.
 The binding is a direct translation of the C binding; for
 documentation of these calls, refer to "The Xlib Programming
 Manual", available online at <http://tronche.com/gui/x/xlib/>.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x11-xft-dev
Description-md5: c1c14be06741a8788109e69363950b2c
Description-en: Haskell Xft binding for GHC
 This library is a binding to the Xft library.
 .
 Xft provides a client-side font API for X applications, making the FreeType
 font rasterizer available to X clients. Fontconfig is used for font
 specification resolution. Where available, the RENDER extension handles glyph
 drawing; otherwise, the core X protocol is used.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x11-xft-doc
Description-md5: 2d694498055ce3e5cd43c892cd8e2968
Description-en: Haskell Xft binding for GHC; documentation
 This library is a binding to the Xft library.
 .
 Xft provides a client-side font API for X applications, making the FreeType
 font rasterizer available to X clients. Fontconfig is used for font
 specification resolution. Where available, the RENDER extension handles glyph
 drawing; otherwise, the core X protocol is used.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x11-xft-prof
Description-md5: 201138e4451e122ae35b81fb3e859383
Description-en: Haskell Xft binding for GHC; profiling libraries
 This library is a binding to the Xft library.
 .
 Xft provides a client-side font API for X applications, making the FreeType
 font rasterizer available to X clients. Fontconfig is used for font
 specification resolution. Where available, the RENDER extension handles glyph
 drawing; otherwise, the core X protocol is used.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-dev
Description-md5: 1d4ebbcb46ac08266f428dadf0b0b93e
Description-en: X.509 reader and writer
 Reads/writes X.509 Certificate, CRLs and their signed equivalents.
 Follows RFC5280 / RFC6818.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-doc
Description-md5: a076cecfa4fd76673022bc09e9eaaedb
Description-en: X.509 reader and writer; documentation
 Reads/writes X.509 Certificate, CRLs and their signed equivalents.
 Follows RFC5280 / RFC6818.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-prof
Description-md5: 063557e82bc78ea6525ff13800141056
Description-en: X.509 reader and writer; profiling libraries
 Reads/writes X.509 Certificate, CRLs and their signed equivalents.
 Follows RFC5280 / RFC6818.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-store-dev
Description-md5: 62a2b7c277f7a362acc99d1328606d8a
Description-en: X.509 collection access and storage methods
 X.509 collection access and storage methods for certificates,
 CRLs, and exception lists.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-store-doc
Description-md5: 83310ba678a6dd37bac03678386505bd
Description-en: X.509 collection access and storage methods; documentation
 X.509 collection access and storage methods for certificates,
 CRLs, and exception lists.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-store-prof
Description-md5: d70a704806ee847c3a8b889c5be827d7
Description-en: X.509 collection access and storage methods; profiling libraries
 X.509 collection access and storage methods for certificates,
 CRLs, and exception lists.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-system-dev
Description-md5: c432b185f5b5e1b88f084f9a6d677baf
Description-en: per-operating-system X.509 accessors and storage
 This is meant to be a portable way of finding and accessing
 the system X.509 certificate store.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-system-doc
Description-md5: 1784606ea4875203f63f4c2b43455e95
Description-en: per-operating-system X.509 accessors and storage; documentation
 This is meant to be a portable way of finding and accessing
 the system X.509 certificate store.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-system-prof
Description-md5: d769f65ee383e297c2b5e8a4d2f51795
Description-en: per-operating-system X.509 accessors and storage; profiling libraries
 This is meant to be a portable way of finding and accessing
 the system X.509 certificate store.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-validation-dev
Description-md5: 99fa622b3421fbdd3eaa5e66d8fd2194
Description-en: X.509 certificate and CRL validation
 X.509 certificate/CRL checks and validations routines, following
 RFC5280 / RFC6818.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-validation-doc
Description-md5: c632db270009abcdbd0b081cf88979b8
Description-en: X.509 certificate and CRL validation; documentation
 X.509 certificate/CRL checks and validations routines, following
 RFC5280 / RFC6818.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-x509-validation-prof
Description-md5: e11189e66ad39bf596b2fcd7b3a9915c
Description-en: X.509 certificate and CRL validation; profiling libraries
 X.509 certificate/CRL checks and validations routines, following
 RFC5280 / RFC6818.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xcb-types-dev
Description-md5: 86f0ea685fba2799b27db4f4b00d1bc5
Description-en: parses XML files used by the XCB project
 This package provides types which mirror the structures used in the XCB code
 generation XML files.
 .
 See project http://xcb.freedesktop.org/ for more information about the XCB
 project.
 .
 The XML files describe the data-types, events and requests used by the X
 Protocol, and are used to auto-generate large parts of the XCB project.
 .
 This package parses these XML files into Haskell data structures.
 .
 If you want to do something with these XML descriptions but don't want to
 learn XSLT, this package should help.
 .
 This version of xcb-types is intended to fully parse the X Protocol
 description version 1.4.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xcb-types-doc
Description-md5: 07052c8378551ccd0efe1cfb35a44f7c
Description-en: parses XML files used by the XCB project; documentation
 This package provides types which mirror the structures used in the XCB code
 generation XML files.
 .
 See project http://xcb.freedesktop.org/ for more information about the XCB
 project.
 .
 The XML files describe the data-types, events and requests used by the X
 Protocol, and are used to auto-generate large parts of the XCB project.
 .
 This package parses these XML files into Haskell data structures.
 .
 If you want to do something with these XML descriptions but don't want to
 learn XSLT, this package should help.
 .
 This version of xcb-types is intended to fully parse the X Protocol
 description version 1.4.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xcb-types-prof
Description-md5: e210054dc3b70b4ae23760021614f92b
Description-en: parses XML files used by the XCB project; profiling libraries
 This package provides types which mirror the structures used in the XCB code
 generation XML files.
 .
 See project http://xcb.freedesktop.org/ for more information about the XCB
 project.
 .
 The XML files describe the data-types, events and requests used by the X
 Protocol, and are used to auto-generate large parts of the XCB project.
 .
 This package parses these XML files into Haskell data structures.
 .
 If you want to do something with these XML descriptions but don't want to
 learn XSLT, this package should help.
 .
 This version of xcb-types is intended to fully parse the X Protocol
 description version 1.4.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xdg-basedir-dev
Description-md5: 21f90c6536b55cd88911c2156ba0ac9a
Description-en: basic implementation of the XDG Base Directory specification
 This should be a very straightforward implementation of the XDG Base
 Directory spec.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xdg-basedir-doc
Description-md5: edcd48d2ced8ec1e43f0732e400499e5
Description-en: basic implementation of the XDG Base Directory specification; documentation
 This should be a very straightforward implementation of the XDG Base
 Directory spec.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xdg-basedir-prof
Description-md5: 71835e2df1c97c83b94b28bc0dfe815c
Description-en: basic implementation of the XDG Base Directory spec; profiling libraries
 This should be a very straightforward implementation of the XDG Base
 Directory spec.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-conduit-dev
Description-md5: 31f05e92091af9349f59312434c2d4ca
Description-en: HTTP client package with conduit interface and HTTPS support
 This package uses attoparsec for parsing the actual contents of the HTTP
 connection. It also provides higher-level functions which allow you to avoid
 direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit
 for more information.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-conduit-doc
Description-md5: 3f877feec0efbcb17c998e93cd241ecd
Description-en: HTTP client package with conduit interface and HTTPS support; documentation
 This package uses attoparsec for parsing the actual contents of the HTTP
 connection. It also provides higher-level functions which allow you to avoid
 direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit
 for more information.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-conduit-prof
Description-md5: d6b34f6d63b191f08f219b68c0a21d40
Description-en: HTTP/HTTPS client package with conduit interface; profiling libraries
 This package uses attoparsec for parsing the actual contents of the HTTP
 connection. It also provides higher-level functions which allow you to avoid
 direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit
 for more information.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-conduit-writer-dev
Description-md5: 96fbf82cefead1987c27c7e52ba2fe01
Description-en: warm and fuzzy creation of XML documents
 Functions for creating an XML document tree representation that can
 then be rendered to XML.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-conduit-writer-doc
Description-md5: 21f1c590b6d8b886a9461bd3f0e671ee
Description-en: warm and fuzzy creation of XML documents; documentation
 Functions for creating an XML document tree representation that can
 then be rendered to XML.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-conduit-writer-prof
Description-md5: 9b8040b259d1fce74587390dec8f8d92
Description-en: warm and fuzzy creation of XML documents; profiling libraries
 Functions for creating an XML document tree representation that can
 then be rendered to XML.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-dev
Description-md5: 7c2c9e6fdcf25522cbedbfaf3bcdefcd
Description-en: A simple Haskell XML library
 This library provides lightweight tools to parse, filter and generate XML.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-doc
Description-md5: f56adc96a3526e80cb50565e256ff1d2
Description-en: A simple Haskell XML library; documentation
 This library provides lightweight tools to parse, filter and generate XML.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-hamlet-dev
Description-md5: 269e97bfeb981bc67fecc1c42f03a2e9
Description-en: Hamlet-style quasiquoter for XML content
 This library provides a type-safe tool for generating XML code. It works
 via Quasi-Quoting, and generates extremely efficient output code.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-hamlet-doc
Description-md5: 50d5df79b46847f0abc207212585b63e
Description-en: Hamlet-style quasiquoter for XML content; documentation
 This library provides a type-safe tool for generating XML code. It works
 via Quasi-Quoting, and generates extremely efficient output code.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-hamlet-prof
Description-md5: 70c0f602cd1c0c47892082c7aa14ed27
Description-en: Hamlet-style quasiquoter for XML content; profiling libraries
 This library provides a type-safe tool for generating XML code. It works
 via Quasi-Quoting, and generates extremely efficient output code.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-helpers-dev
Description-md5: 21d12ca94c58bffcbc9133b49151897b
Description-en: helper functions for the xml library
 Included are some folds and maps potentially useful in parsing XML data.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-helpers-doc
Description-md5: 84fa15a420fa09a51ccd86abb1f170f4
Description-en: helper functions for the xml library; documentation
 Included are some folds and maps potentially useful in parsing XML data.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-helpers-prof
Description-md5: fb74884c5ac6e17d0359320076111346
Description-en: helper functions for the xml library; profiling libraries
 Included are some folds and maps potentially useful in parsing XML data.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-html-qq-dev
Description-md5: 80b72a8406251c59bd0c44c28637b2fd
Description-en: quasi-quoters for XML and HTML Documents
 This package provides quasi-quoters for HTML and XML, both
 with and without variable interpolation and control statements.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-html-qq-doc
Description-md5: 91c386428b72f2638ccbbbd2ab2dab61
Description-en: quasi-quoters for XML and HTML Documents; documentation
 This package provides quasi-quoters for HTML and XML, both
 with and without variable interpolation and control statements.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-html-qq-prof
Description-md5: 8feae6415588fcf64c8609a9bcbc5b0c
Description-en: quasi-quoters for XML and HTML Documents; profiling libraries
 This package provides quasi-quoters for HTML and XML, both
 with and without variable interpolation and control statements.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-prof
Description-md5: 310049bbd882463c94db537f75d5ca2e
Description-en: A simple Haskell XML library; profiling libraries
 This library provides lightweight tools to parse, filter and generate XML.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-types-dev
Description-md5: 933d99034a84f3b3f47849322a26c65c
Description-en: basic types for representing XML
 The idea is to have a full set of appropriate types, which various
 XML libraries can share. Instead of having equivalent-but-incompatible
 types for every binding, parser, or client, they all share the same
 types can thus interoperate easily.
 .
 This library contains complete types for most parts of an XML
 document, including the prologue, node tree, and doctype. Some basic
 combinators are included for common tasks, including traversing the
 node tree and filtering children.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-types-doc
Description-md5: 7b47e7ecf279a428ba471091a8f8ad1b
Description-en: basic types for representing XML; documentation
 The idea is to have a full set of appropriate types, which various
 XML libraries can share. Instead of having equivalent-but-incompatible
 types for every binding, parser, or client, they all share the same
 types can thus interoperate easily.
 .
 This library contains complete types for most parts of an XML
 document, including the prologue, node tree, and doctype. Some basic
 combinators are included for common tasks, including traversing the
 node tree and filtering children.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xml-types-prof
Description-md5: 93162781ffcc5854814578688b5c6b1a
Description-en: basic types for representing XML; profiling libraries
 The idea is to have a full set of appropriate types, which various
 XML libraries can share. Instead of having equivalent-but-incompatible
 types for every binding, parser, or client, they all share the same
 types can thus interoperate easily.
 .
 This library contains complete types for most parts of an XML
 document, including the prologue, node tree, and doctype. Some basic
 combinators are included for common tasks, including traversing the
 node tree and filtering children.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmlgen-dev
Description-md5: 39a6dffd0ee4abcd1afe191dec315384
Description-en: fast XML generation library
 Library for high-performance XML generation.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmlgen-doc
Description-md5: 64f09a6dad58a588ac466994e5a6ad0c
Description-en: fast XML generation library; documentation
 Library for high-performance XML generation.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmlgen-prof
Description-md5: 621d01705aeba40a4b3573cecd14fe12
Description-en: fast XML generation library; profiling libraries
 Library for high-performance XML generation.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmlhtml-dev
Description-md5: 39e7b76f58f46eced3e94f2f071c9574
Description-en: XML parser and renderer with HTML 5 quirks mode
 Contains renderers and parsers for both XML and HTML 5 document
 fragments, which share data structures so that it's easy to work with
 both. Document fragments are bits of documents, which are not
 constrained by some of the high-level structure rules (in particular,
 they may contain more than one root element).
 .
 Note that this is not a compliant HTML 5 parser. Rather, it is a
 parser for HTML 5 compliant documents. It does not implement the HTML
 5 parsing algorithm, and should generally be expected to perform
 correctly only on documents that you trust to conform to HTML 5. This
 is not a suitable library for implementing web crawlers or other
 software that will be exposed to documents from outside sources. The
 result is also not the HTML 5 node structure, but rather something
 closer to the physical structure. For example, omitted start tags are
 not inserted (and so, their corresponding end tags must also be
 omitted).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmlhtml-doc
Description-md5: dd7faa836df1da44489af6736b6a837e
Description-en: XML parser and renderer with HTML 5 quirks mode; documentation
 Contains renderers and parsers for both XML and HTML 5 document
 fragments, which share data structures so that it's easy to work with
 both. Document fragments are bits of documents, which are not
 constrained by some of the high-level structure rules (in particular,
 they may contain more than one root element).
 .
 Note that this is not a compliant HTML 5 parser. Rather, it is a
 parser for HTML 5 compliant documents. It does not implement the HTML
 5 parsing algorithm, and should generally be expected to perform
 correctly only on documents that you trust to conform to HTML 5. This
 is not a suitable library for implementing web crawlers or other
 software that will be exposed to documents from outside sources. The
 result is also not the HTML 5 node structure, but rather something
 closer to the physical structure. For example, omitted start tags are
 not inserted (and so, their corresponding end tags must also be
 omitted).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmlhtml-prof
Description-md5: 62d6273bf18c18689ebb0d2392f3f1da
Description-en: XML parser and renderer with HTML 5 quirks mode; profiling libraries
 Contains renderers and parsers for both XML and HTML 5 document
 fragments, which share data structures so that it's easy to work with
 both. Document fragments are bits of documents, which are not
 constrained by some of the high-level structure rules (in particular,
 they may contain more than one root element).
 .
 Note that this is not a compliant HTML 5 parser. Rather, it is a
 parser for HTML 5 compliant documents. It does not implement the HTML
 5 parsing algorithm, and should generally be expected to perform
 correctly only on documents that you trust to conform to HTML 5. This
 is not a suitable library for implementing web crawlers or other
 software that will be exposed to documents from outside sources. The
 result is also not the HTML 5 node structure, but rather something
 closer to the physical structure. For example, omitted start tags are
 not inserted (and so, their corresponding end tags must also be
 omitted).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-contrib-dev
Description-md5: 974fe40f0fb1e57fa65270d1e9506790
Description-en: Extensions to xmonad
 This is a huge extensions library for xmonad, providing alternative
 layout algorithms, key bindings, extended operations, and much more.
 .
 Xmonad is a minimalist tiling window manager for X, written in
 Haskell.  Windows are managed using automatic layout algorithms,
 which can be dynamically reconfigured. At any time windows are
 arranged so as to maximise the use of screen real estate. All
 features of the window manager are accessible purely from the
 keyboard: a mouse is entirely optional.  Xmonad is configured in
 Haskell, and custom layout algorithms may be implemented by the user
 in config files. A principle of Xmonad is predictability: the user
 should know in advance precisely the window arrangement that will
 result from any action.
 .
 This package is what you need to build your custom configured xmonad
 binary.

Package: libghc-xmonad-contrib-doc
Description-md5: 93a68a39d8db9e574cfea7042d22786c
Description-en: Extensions to xmonad; documentation
 This is a huge extensions library for xmonad, providing alternative
 layout algorithms, key bindings, extended operations, and much more.
 .
 Xmonad is a minimalist tiling window manager for X, written in
 Haskell.  Windows are managed using automatic layout algorithms,
 which can be dynamically reconfigured. At any time windows are
 arranged so as to maximise the use of screen real estate. All
 features of the window manager are accessible purely from the
 keyboard: a mouse is entirely optional.  Xmonad is configured in
 Haskell, and custom layout algorithms may be implemented by the user
 in config files. A principle of Xmonad is predictability: the user
 should know in advance precisely the window arrangement that will
 result from any action.
 .
 This package contains the documentation for building your custom
 configured xmonad binary as well as example configuration files.

Package: libghc-xmonad-contrib-prof
Description-md5: dc4a6b1eabfe7f0ac86c6a1d9c5b8860
Description-en: Extensions to xmonad; profiling libraries
 This is a huge extensions library for xmonad, providing alternative
 layout algorithms, key bindings, extended operations, and much more.
 .
 Xmonad is a minimalist tiling window manager for X, written in
 Haskell.  Windows are managed using automatic layout algorithms,
 which can be dynamically reconfigured. At any time windows are
 arranged so as to maximise the use of screen real estate. All
 features of the window manager are accessible purely from the
 keyboard: a mouse is entirely optional.  Xmonad is configured in
 Haskell, and custom layout algorithms may be implemented by the user
 in config files. A principle of Xmonad is predictability: the user
 should know in advance precisely the window arrangement that will
 result from any action.
 .
 This package is what you need to profile your custom configured xmonad
 binary.

Package: libghc-xmonad-dev
Description-md5: bdf0987921d4b9e7778995694cd01337
Description-en: Lightweight X11 window manager
 Xmonad is a minimalist tiling window manager for X, written in
 Haskell.  Windows are managed using automatic layout algorithms,
 which can be dynamically reconfigured. At any time windows are
 arranged so as to maximise the use of screen real estate. All
 features of the window manager are accessible purely from the
 keyboard: a mouse is entirely optional.  Xmonad is configured in
 Haskell, and custom layout algorithms may be implemented by the user
 in config files. A principle of Xmonad is predictability: the user
 should know in advance precisely the window arrangement that will
 result from any action.
 .
 This package is what you need to build your custom configured xmonad
 binary.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-doc
Description-md5: 3e58cea26774e4927ecd5b6e33cd8cbb
Description-en: Lightweight X11 window manager; documentation
 Xmonad is a minimalist tiling window manager for X, written in
 Haskell.  Windows are managed using automatic layout algorithms,
 which can be dynamically reconfigured. At any time windows are
 arranged so as to maximise the use of screen real estate. All
 features of the window manager are accessible purely from the
 keyboard: a mouse is entirely optional.  Xmonad is configured in
 Haskell, and custom layout algorithms may be implemented by the user
 in config files. A principle of Xmonad is predictability: the user
 should know in advance precisely the window arrangement that will
 result from any action.
 .
 This package contains the documentation for building your custom
 configured xmonad binary as well as example configuration files.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-extras-dev
Description-md5: 97e61bfd58347c6f7e193e4f0bc2a6c5
Description-en: third party extensions for xmonad with wacky dependencies
 Various modules for xmonad that cannot be added to xmonad-contrib
 because of additional dependencies.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-extras-doc
Description-md5: 2972822cd346710a90d326c3a55221a7
Description-en: third party extensions for xmonad with wacky dependencies; documentation
 Various modules for xmonad that cannot be added to xmonad-contrib
 because of additional dependencies.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-extras-prof
Description-md5: f98d8191c02cfc4ed2b829f641a6d191
Description-en: third party extensions for xmonad with wacky dependencies; profiling libraries
 Various modules for xmonad that cannot be added to xmonad-contrib
 because of additional dependencies.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-prof
Description-md5: 1022d6ae13afbcb5cfd9be973454c43f
Description-en: Lightweight X11 window manager; profiling libraries
 Xmonad is a minimalist tiling window manager for X, written in
 Haskell.  Windows are managed using automatic layout algorithms,
 which can be dynamically reconfigured. At any time windows are
 arranged so as to maximise the use of screen real estate. All
 features of the window manager are accessible purely from the
 keyboard: a mouse is entirely optional.  Xmonad is configured in
 Haskell, and custom layout algorithms may be implemented by the user
 in config files. A principle of Xmonad is predictability: the user
 should know in advance precisely the window arrangement that will
 result from any action.
 .
 This package is what you need to profile your custom configured xmonad
 binary.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-wallpaper-dev
Description-md5: 2f10232042dff319ecc0ba7b298ea32d
Description-en: xmonad wallpaper extension
 Designed for xmonad users who rely on feh to setup wallpaper. It allows user
 to setup a random image as the wallpaper chosen from user specified image
 directories.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-wallpaper-doc
Description-md5: d0507ebef5b47defdac13d2453fb8b97
Description-en: xmonad wallpaper extension; documentation
 Designed for xmonad users who rely on feh to setup wallpaper. It allows user
 to setup a random image as the wallpaper chosen from user specified image
 directories.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xmonad-wallpaper-prof
Description-md5: d2e88e0f4c34a7b0adab945df5bf4b73
Description-en: xmonad wallpaper extension; profiling libraries
 Designed for xmonad users who rely on feh to setup wallpaper. It allows user
 to setup a random image as the wallpaper chosen from user specified image
 directories.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xss-sanitize-dev
Description-md5: 3db851cf55d8ce06e5c4765ce4c68eaa
Description-en: Library to sanitize HTML and prevent XSS attacks
 This library is able to parse strings that contain HTML code and check
 for the presence of potentially malicious tags that could trigger XSS
 (Cross-Site Scripting). It can be used in a Web application when using
 HTML code coming from an untrusted party.
 .
 Moreover, this library is also able to check for unbalanced tags and
 tries to fix them (even though it could produce invalid HTML).
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xss-sanitize-doc
Description-md5: 2ed79808f4ad62e75dc1ceb4f9d51f92
Description-en: Library to sanitize HTML and prevent XSS attacks; documentation
 This library is able to parse strings that contain HTML code and check
 for the presence of potentially malicious tags that could trigger XSS
 (Cross-Site Scripting). It can be used in a Web application when using
 HTML code coming from an untrusted party.
 .
 Moreover, this library is also able to check for unbalanced tags and
 tries to fix them (even though it could produce invalid HTML).
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-xss-sanitize-prof
Description-md5: 6e241caed4116f90c817d58c32907f85
Description-en: Library to sanitize HTML and prevent XSS attacks; profiling libraries
 This library is able to parse strings that contain HTML code and check
 for the presence of potentially malicious tags that could trigger XSS
 (Cross-Site Scripting). It can be used in a Web application when using
 HTML code coming from an untrusted party.
 .
 Moreover, this library is also able to check for unbalanced tags and
 tries to fix them (even though it could produce invalid HTML).
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yaml-dev
Description-md5: c52d32b6d684c0670eafd3c06a7f93d3
Description-en: interface to LibYAML
 Provides support for parsing and emitting Yaml documents.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yaml-doc
Description-md5: 55ef3120ddfe7615696cfeeed401468f
Description-en: interface to LibYAML; documentation
 Provides support for parsing and emitting Yaml documents.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yaml-prof
Description-md5: a21686e61c32acedae4a323847bcf139
Description-en: interface to LibYAML; profiling libraries
 Provides support for parsing and emitting Yaml documents.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-dev
Description-md5: 403b8876fc915768044c10300e9e69c4
Description-en: authentication for Yesod
 yesod-auth is the authentication component of Yesod.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-doc
Description-md5: 64af917cff8f1826f883fc583f4559bb
Description-en: authentication for Yesod; documentation
 yesod-auth is the authentication component of Yesod.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-hashdb-dev
Description-md5: bee7a6344b4a1e5c7da3e095ac21a767
Description-en: HashDB authentication plugin for Yesod web framework
 This package is the Yesod.Auth.HashDB plugin, originally included in
 yesod-auth, but now modified to be more secure and placed in a
 separate package.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-hashdb-doc
Description-md5: 76b39dde1c4701eaa843b4ba6ba8072c
Description-en: HashDB authentication plugin for Yesod web framework; documentation
 This package is the Yesod.Auth.HashDB plugin, originally included in
 yesod-auth, but now modified to be more secure and placed in a
 separate package.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-hashdb-prof
Description-md5: 78dbf5fdb66763f6469245a8d140c381
Description-en: HashDB authentication plugin for Yesod web framework; profiling libraries
 This package is the Yesod.Auth.HashDB plugin, originally included in
 yesod-auth, but now modified to be more secure and placed in a
 separate package.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-oauth-dev
Description-md5: 8e1fadea7dfdcac8c3a12d56b874a09e
Description-en: OAuth Authentication for Yesod
 OAuth authentication for Yesod.
 .
 This package contains the normal library files.

Package: libghc-yesod-auth-oauth-doc
Description-md5: 675aeb3e4c7b5348e823225f11eac9d4
Description-en: OAuth Authentication for Yesod; documentation
 OAuth authentication for Yesod.
 .
 This package contains the documentation files.

Package: libghc-yesod-auth-oauth-prof
Description-md5: 785abeb22e835b92ae7c45b679e7d3f7
Description-en: OAuth Authentication for Yesod; profiling libraries
 OAuth authentication for Yesod.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-yesod-auth-oauth2-dev
Description-md5: 91b933b1c1aaa200ebb3c8d7edc426b7
Description-en: OAuth 2.0 authentication plugins
 Library to authenticate with OAuth 2.0 for Yesod web applications.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-oauth2-doc
Description-md5: f5be89297b3f2fc7d1d764d924d417fa
Description-en: OAuth 2.0 authentication plugins; documentation
 Library to authenticate with OAuth 2.0 for Yesod web applications.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-oauth2-prof
Description-md5: 15ebcf5b67ba18616093381695869307
Description-en: OAuth 2.0 authentication plugins; profiling libraries
 Library to authenticate with OAuth 2.0 for Yesod web applications.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-auth-prof
Description-md5: a17bc25b2506a968225615d547c37908
Description-en: authentication for Yesod; profiling libraries
 yesod-auth is the authentication component of Yesod.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-core-dev
Description-md5: 36103ac9effb0f33a22ed1caa8a0898d
Description-en: framework for type-safe, RESTful web applications
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-core-doc
Description-md5: 152554b907b9d4f378b6ba9aff5b0266
Description-en: framework for type-safe, RESTful web applications; documentation
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-core-prof
Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b
Description-en: framework for type-safe, RESTful web applications; profiling libraries
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-default-dev
Description-md5: 71eb7823b1b379701a4e934c6940d9a7
Description-en: default config and main functions for your Yesod application
 This is a temporary shim to make things easier for git-annex.
 It serves no other purpose.
 .
 This package contains the normal library files.

Package: libghc-yesod-default-doc
Description-md5: e544e438efbdf7e24646614d52d4c1cc
Description-en: default config and main functions for your Yesod application; documentation
 This is a temporary shim to make things easier for git-annex.
 It serves no other purpose.
 .
 This package contains the documentation files.

Package: libghc-yesod-default-prof
Description-md5: eaf5c7c902b7b2c2f87c0ac784f9dfd8
Description-en: default config/main functions for your Yesod application; profiling libraries
 This is a temporary shim to make things easier for git-annex.
 It serves no other purpose.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-yesod-dev
Description-md5: 36103ac9effb0f33a22ed1caa8a0898d
Description-en: framework for type-safe, RESTful web applications
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-doc
Description-md5: 152554b907b9d4f378b6ba9aff5b0266
Description-en: framework for type-safe, RESTful web applications; documentation
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-form-dev
Description-md5: 36103ac9effb0f33a22ed1caa8a0898d
Description-en: framework for type-safe, RESTful web applications
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-form-doc
Description-md5: 152554b907b9d4f378b6ba9aff5b0266
Description-en: framework for type-safe, RESTful web applications; documentation
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-form-prof
Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b
Description-en: framework for type-safe, RESTful web applications; profiling libraries
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-newsfeed-dev
Description-md5: dd11ece21b1aefee3a29792edbd2e8cf
Description-en: helper functions and data types for producing newsfeeds
 Helper functions and data types for producing newsfeeds (Atom and RSS).
 .
 This package contains the normal library files.

Package: libghc-yesod-newsfeed-doc
Description-md5: 4336b82fccd9ab3581886d85f245333c
Description-en: helper functions and data types for producing newsfeeds; documentation
 Helper functions and data types for producing newsfeeds (Atom and RSS).
 .
 This package contains the documentation files.

Package: libghc-yesod-newsfeed-prof
Description-md5: 1822df69fc1f8968dcbdfb7f66fc7e05
Description-en: helper functions and data types for producing newsfeeds; profiling libraries
 Helper functions and data types for producing newsfeeds (Atom and RSS).
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-yesod-persistent-dev
Description-md5: 36103ac9effb0f33a22ed1caa8a0898d
Description-en: framework for type-safe, RESTful web applications
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-persistent-doc
Description-md5: 152554b907b9d4f378b6ba9aff5b0266
Description-en: framework for type-safe, RESTful web applications; documentation
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-persistent-prof
Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b
Description-en: framework for type-safe, RESTful web applications; profiling libraries
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-prof
Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b
Description-en: framework for type-safe, RESTful web applications; profiling libraries
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-static-dev
Description-md5: bcfcc2680f86466f569fbe5e7e1f4200
Description-en: Static file serving subsite for Yesod Web Framework.
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides static file serving functionality for the Yesod
 Web Framework.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-static-doc
Description-md5: 9b307ad78648126c52d62116d33df6d9
Description-en: Static file serving subsite for Yesod Web Framework; documentation
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides static file serving functionality for the Yesod
 Web Framework.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-static-prof
Description-md5: 35cfe99dc2822366ebf77cedf7464c72
Description-en: Static file serving subsite for Yesod Web Framework; profiling libraries
 Yesod is a framework designed to foster creation of RESTful web
 application that have strong compile-time guarantees of correctness.
 It also affords space efficient code and portability to many
 deployment backends, from CGI to stand-alone serving.
 .
 This package provides static file serving functionality for the Yesod
 Web Framework.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yesod-test-dev
Description-md5: 7e7f4a4f5a20c321a1064d2dc8a24638
Description-en: integration testing for WAI/Yesod applications
 Behavior-oriented integration testing for Yesod applications.
 .
 This package contains the normal library files.

Package: libghc-yesod-test-doc
Description-md5: 759b19a853f6eef1ccba29e9c4daa483
Description-en: integration testing for WAI/Yesod applications; documentation
 Behavior-oriented integration testing for Yesod applications.
 .
 This package contains the documentation files.

Package: libghc-yesod-test-prof
Description-md5: 9a165bcc6d02054ec0cb82e4c0bcfe8d
Description-en: integration testing for WAI/Yesod applications; profiling libraries
 Behavior-oriented integration testing for Yesod applications.
 .
 This package contains the libraries compiled with profiling enabled.

Package: libghc-yi-core-dev
Description-md5: 82fc8fd1c08e776fc9c19f9b32d49e8b
Description-en: Yi editor core library
 Core types and functions for the Yi editor.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-core-doc
Description-md5: 31d7a5a82a4808631d29fdbca394d140
Description-en: Yi editor core library; documentation
 Core types and functions for the Yi editor.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-core-prof
Description-md5: 201e7fc752eca239ea766134e076aeef
Description-en: Yi editor core library; profiling libraries
 Core types and functions for the Yi editor.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-frontend-pango-dev
Description-md5: 8b71662d97eb82f371935af536b62c4e
Description-en: Pango frontend for Yi editor
 This package contains the Pango frontend for Yi editor.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-frontend-pango-doc
Description-md5: ab7e785fd34c886b16d6fa95343c8547
Description-en: Pango frontend for Yi editor; documentation
 This package contains the Pango frontend for Yi editor.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-frontend-pango-prof
Description-md5: 59200e9d6b3f69f5d31a6f7d8d8b26fc
Description-en: Pango frontend for Yi editor; profiling libraries
 This package contains the Pango frontend for Yi editor.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-frontend-vty-dev
Description-md5: e35c8525fe8df316b6947f9bc73ddc60
Description-en: Vty frontend for Yi editor
 .
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-frontend-vty-doc
Description-md5: 237bb240f475550804851acee0ba37a5
Description-en: Vty frontend for Yi editor; documentation
 .
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-frontend-vty-prof
Description-md5: 6fd861b71a53bf1f2834a61d8b71c8c2
Description-en: Vty frontend for Yi editor; profiling libraries
 .
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-keymap-emacs-dev
Description-md5: 91a741f61a5b4decbb3637d1d7c45648
Description-en: Emacs keymap for Yi editor
 Emacs key bindings for the Yi editor.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-keymap-emacs-doc
Description-md5: 19422e8047de579eb913b9245497bceb
Description-en: Emacs keymap for Yi editor; documentation
 Emacs key bindings for the Yi editor.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-keymap-emacs-prof
Description-md5: 68b9a2d5ad92d5e2255ac07e06ae19b6
Description-en: Emacs keymap for Yi editor; profiling libraries
 Emacs key bindings for the Yi editor.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-keymap-vim-dev
Description-md5: 7a03f889e6b69f1cbbe845c586fec0aa
Description-en: Vim keymap for Yi editor
 VIm key bindings for the Yi editor.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-keymap-vim-doc
Description-md5: f6015cf8db99202dfb0a2faa1c9f3851
Description-en: Vim keymap for Yi editor; documentation
 VIm key bindings for the Yi editor.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-keymap-vim-prof
Description-md5: 6f2249e5c0218ca07279475f6e3f2f2d
Description-en: Vim keymap for Yi editor; profiling libraries
 VIm key bindings for the Yi editor.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-language-dev
Description-md5: c9e4e704b476f7d35f5ace6e4adfa4e2
Description-en: collection of language-related Yi libraries.
 This is a Haskell library providing a collection of language-related Yi
 libraries: lexers, scanners…
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-language-doc
Description-md5: f56164d9cf7960174d8fcaf1293bbeca
Description-en: collection of language-related Yi libraries.; documentation
 This is a Haskell library providing a collection of language-related Yi
 libraries: lexers, scanners…
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-language-prof
Description-md5: d9ee67d9c9b5b0fd8b3490be1fd2fcdf
Description-en: collection of language-related Yi libraries.; profiling libraries
 This is a Haskell library providing a collection of language-related Yi
 libraries: lexers, scanners…
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-misc-modes-dev
Description-md5: b3f33f12f1d84365818e0c17b5a2228f
Description-en: Yi editor miscellaneous modes
 Yi modes for C, ObjC, C++, Cabal, Clojure, Srmc, Git, SVN, OCaml,
 Perl, Ruby, Python, JSON, GNU Make, Ott, and Whitespace.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-misc-modes-doc
Description-md5: 8e82f1b13fc1c216db1d46b9364d8342
Description-en: Yi editor miscellaneous modes; documentation
 Yi modes for C, ObjC, C++, Cabal, Clojure, Srmc, Git, SVN, OCaml,
 Perl, Ruby, Python, JSON, GNU Make, Ott, and Whitespace.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-misc-modes-prof
Description-md5: ec2d4dd4872bdd0155eec6a6bc36cc04
Description-en: Yi editor miscellaneous modes; profiling libraries
 Yi modes for C, ObjC, C++, Cabal, Clojure, Srmc, Git, SVN, OCaml,
 Perl, Ruby, Python, JSON, GNU Make, Ott, and Whitespace.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-mode-haskell-dev
Description-md5: 84206df97992737c1824cebee7956597
Description-en: Yi editor haskell mode
 .
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-mode-haskell-doc
Description-md5: e42c74c007f240dca4d7364c12abc27b
Description-en: Yi editor haskell mode; documentation
 .
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-mode-haskell-prof
Description-md5: 6e676040930338cd10b9690c1adb56a7
Description-en: Yi editor haskell mode; profiling libraries
 .
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-mode-javascript-dev
Description-md5: 5e9030d232b53ad5705393c817773206
Description-en: Yi editor javascript mode
 JavaScript mode for Yi.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-mode-javascript-doc
Description-md5: aac66b07b8395128acdab6c03ef806db
Description-en: Yi editor javascript mode; documentation
 JavaScript mode for Yi.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-mode-javascript-prof
Description-md5: 0a0bfbb63544bc19d93a25e5d5e5288c
Description-en: Yi editor javascript mode; profiling libraries
 JavaScript mode for Yi.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-rope-dev
Description-md5: 548c0074fa246736da729d2a38422c97
Description-en: Rope data structure used by Yi
 This is a Haskell library providing a rope data structure used by Yi
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-rope-doc
Description-md5: 6cfe0eceda2562c6985487e91f049caa
Description-en: Rope data structure used by Yi; documentation
 This is a Haskell library providing a rope data structure used by Yi
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-yi-rope-prof
Description-md5: e8a48edd618d73c793a0e135037e0cf8
Description-en: Rope data structure used by Yi; profiling libraries
 This is a Haskell library providing a rope data structure used by Yi
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zeromq4-haskell-dev
Description-md5: 87198de538e59abf8cca81ba0d034567
Description-en: bindings to ZeroMQ 4.x
 The 0MQ lightweight messaging kernel is a library which extends the standard
 socket interfaces with features traditionally provided by specialised
 messaging middleware products.
 .
 0MQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zeromq4-haskell-doc
Description-md5: c9f7911b1786e25eca991458ea2de367
Description-en: bindings to ZeroMQ 4.x; documentation
 The 0MQ lightweight messaging kernel is a library which extends the standard
 socket interfaces with features traditionally provided by specialised
 messaging middleware products.
 .
 0MQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zeromq4-haskell-prof
Description-md5: 87850b15141153d69b29c3308f225cdb
Description-en: bindings to ZeroMQ 4.x; profiling libraries
 The 0MQ lightweight messaging kernel is a library which extends the standard
 socket interfaces with features traditionally provided by specialised
 messaging middleware products.
 .
 0MQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zip-archive-dev
Description-md5: e88d913ad0eed98ba0296ddbe0dc75a8
Description-en: library to create, modify and extract files from zip archives
 The zip-archive library provides functions for creating, modifying, and
 extracting files from zip archives.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zip-archive-doc
Description-md5: 1c1e56324a5210ca97c9fea553c78e96
Description-en: library to create, modify and extract files from zip archives; documentation
 The zip-archive library provides functions for creating, modifying, and
 extracting files from zip archives.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zip-archive-prof
Description-md5: 920174d2a71d53c759b8da979dd964b3
Description-en: library to create and modify zip archives; profiling libraries
 The zip-archive library provides functions for creating, modifying, and
 extracting files from zip archives.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zlib-bindings-dev
Description-md5: 6d24fee6dafedcfc9b17d2515217bf0f
Description-en: low-level bindings to zlib
 It provides necessary functions for producing a streaming interface.
 This is used, for example, by zlib-conduit and zlib-enum.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zlib-bindings-doc
Description-md5: 05eef75ea0a1db422fa6de104fdaf109
Description-en: low-level bindings to zlib; documentation
 It provides necessary functions for producing a streaming interface.
 This is used, for example, by zlib-conduit and zlib-enum.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zlib-bindings-prof
Description-md5: 68c663383751dd3c3932ccb33dcad142
Description-en: low-level bindings to zlib; profiling libraries
 It provides necessary functions for producing a streaming interface.
 This is used, for example, by zlib-conduit and zlib-enum.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zlib-dev
Description-md5: d0db86528298031ce59135b40dde1867
Description-en: Compression and decompression in the gzip and zlib formats
 This package provides a pure interface for compressing and decompressing
 streams of data represented as lazy ByteStrings. It uses the zlib C library so
 it has high performance. It supports the "zlib", "gzip" and "raw"
 compression formats.
 .
 It provides a convenient high level API suitable for most tasks and for the
 few cases where more control is needed it provides access to the full zlib
 feature set.
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zlib-doc
Description-md5: 7ca9d31f21d056c85c786bf1a6af8a11
Description-en: Compression and decompression in the gzip and zlib formats; documentation
 This package provides a pure interface for compressing and decompressing
 streams of data represented as lazy ByteStrings. It uses the zlib C library so
 it has high performance. It supports the "zlib", "gzip" and "raw"
 compression formats.
 .
 It provides a convenient high level API suitable for most tasks and for the
 few cases where more control is needed it provides access to the full zlib
 feature set.
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zlib-prof
Description-md5: 9ef0fb741251bfdf6356a8c7053d2a0b
Description-en: Compression and decompression in the gzip and zlib formats; profiling libraries
 This package provides a pure interface for compressing and decompressing
 streams of data represented as lazy ByteStrings. It uses the zlib C library so
 it has high performance. It supports the "zlib", "gzip" and "raw"
 compression formats.
 .
 It provides a convenient high level API suitable for most tasks and for the
 few cases where more control is needed it provides access to the full zlib
 feature set.
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zxcvbn-c-dev
Description-md5: 026bf3355990ed302217243af19931f9
Description-en: Haskell bindings to password strength estimation library
 This library provides Haskell bindings to a C/C++ implementation of
 the zxcvbn password strength estimator.  It provides a function to
 rate password strength, by comparing the password to several word
 lists, including English first and last names.
 .
 An article discussing zxcvbn's purpose and method:
 https://tech.dropox.com/2012/04/zxcvbn-realistic-password-strength-estimation
 .
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zxcvbn-c-doc
Description-md5: c88c628c26f3a7b837ac45e40a84ba0a
Description-en: Haskell bindings to password strength estimation library; documentation
 This library provides Haskell bindings to a C/C++ implementation of
 the zxcvbn password strength estimator.  It provides a function to
 rate password strength, by comparing the password to several word
 lists, including English first and last names.
 .
 An article discussing zxcvbn's purpose and method:
 https://tech.dropox.com/2012/04/zxcvbn-realistic-password-strength-estimation
 .
 This package provides the documentation for a library for the Haskell
 programming language.
 See http://www.haskell.org/ for more information on Haskell.

Package: libghc-zxcvbn-c-prof
Description-md5: 8d358f87a19092411d6ab72853fb85c8
Description-en: Haskell bindings to password strength estimation library; profiling libraries
 This library provides Haskell bindings to a C/C++ implementation of
 the zxcvbn password strength estimator.  It provides a function to
 rate password strength, by comparing the password to several word
 lists, including English first and last names.
 .
 An article discussing zxcvbn's purpose and method:
 https://tech.dropox.com/2012/04/zxcvbn-realistic-password-strength-estimation
 .
 This package provides a library for the Haskell programming language, compiled
 for profiling.  See http://www.haskell.org/ for more information on Haskell.

Package: libghemical-data
Description-md5: b48fadd13f0ac99ba69009d1bc2d7e03
Description-en: Molecular Modelling Library (data files)
 Libghemical is the basis of Ghemical, a GNOME Molecular Modelling
 Application.
 .
 This package contains the parameter files for libghemicals
 semi-empirical methods.

Package: libghemical-dev
Description-md5: 9476828b89fdf9013745568978e87b77
Description-en: Molecular Modelling Library (development files)
 Libghemical is the basis of Ghemical, a GNOME Molecular Modelling
 Application.
 .
 This package includes the static library and the header files.

Package: libghemical5v5
Description-md5: 5d9c24b1d0cb1511b0f51d6643bf63d5
Description-en: Molecular Modelling Library
 Libghemical is the basis of Ghemical, a GNOME Molecular Modelling
 Application.
 .
 This package includes the dynamically linked library.

Package: libghmm-dev
Description-md5: e0a96dd77984f6464adf97c9ba9a761b
Description-en: General Hidden-Markov-Model library - header files
 The General Hidden Markov Model Library (GHMM) is a C library with
 additional Python bindings implementing a wide range of types of
 Hidden Markov Models and algorithms: discrete, continuous emissions,
 basic training, HMM clustering, HMM mixtures.
 .
 Header files and static library to compile against the library.

Package: libghmm1
Description-md5: f81c845f411f6a46eb0403e1d3261cf1
Description-en: General Hidden-Markov-Model library
 The General Hidden Markov Model Library (GHMM) is a C library with
 additional Python bindings implementing a wide range of types of
 Hidden Markov Models and algorithms: discrete, continuous emissions,
 basic training, HMM clustering, HMM mixtures.
 .
 The dynamic library.

Package: libgiac-dev
Description-md5: 04197725f1e671dc86119f9caf1f1052
Description-en: Computer Algebra System C++ library - Development files
 Giac is a computer algebra system, following the development of the CAS for HP
 calculators. It has fast implementation of algorithms for polynomial
 operations, and compatibility mode with Maple or Mupad CAS as well as TI
 calculators.
 .
 This package contains the header files, static libraries, and other
 development files needed to build software that uses giac.

Package: libgiac0
Description-md5: a727c8756b9edbe721f0272dd6525cb7
Description-en: Computer Algebra System C++ library
 Giac is a computer algebra system, following the development of the CAS for HP
 calculators. It has fast implementation of algorithms for polynomial
 operations, and compatibility mode with Maple or Mupad CAS as well as TI
 calculators.
 .
 This package contains the shared libraries needed to run programs using giac.

Package: libgiftiio-dev
Description-md5: 2788343ac2e8b61f7092d1441ed94d78
Description-en: IO library for the GIFTI cortical surface data format
 GIFTI is an XML-based file format for cortical surface data. This reference
 IO implementation is developed by the Neuroimaging Informatics Technology
 Initiative (NIfTI).
 .
 This package provides the header files and static library.

Package: libgiftiio0
Description-md5: 6f491ee0b7909a7861cfc23304fdc57f
Description-en: IO library for the GIFTI cortical surface data format
 GIFTI is an XML-based file format for cortical surface data. This reference
 IO implementation is developed by the Neuroimaging Informatics Technology
 Initiative (NIfTI).
 .
 This package contains the shared library.

Package: libgig-dev
Description-md5: 762f931ba52e3090336a18ec5c5fba13
Description-en: development files for libgig
 libgig is a C++ library for loading, modifying and creating Gigasampler
 files and DLS (Downloadable Sounds) Level 1/2 files.
 .
 Since the Gigasampler / GigaStudio and DLS formats are based ontop
 of the binary RIFF container format, this library also provides
 convenient access to RIFF files in general.
 .
 This package also contains a Linux/POSIX ported version of libakai,
 which provides support for reading Akai formatted medias (CDRoms, HDs,
 Zip disks).
 Currently Akai S1000, S01, S2000 and S3000 series are supported.
 .
 This package contains the header files needed for development with
 libgig. You will need this only if you intend to compile programs
 that use this library.

Package: libgig-doc
Description-md5: d7d52b41a9be74faf53d54b6bab44c88
Description-en: HTML documentation for libgig
 libgig is a C++ library for loading, modifying and creating Gigasampler
 files and DLS (Downloadable Sounds) Level 1/2 files.
 .
 This package contains exhaustive HTML documentation for the development with
 libgig.

Package: libgig9
Description-md5: bf71ba5dd62a1f9dc0e7ac5020b2f2e5
Description-en: library for loading and modifying Gigasampler and DLS files
 libgig is a C++ library for loading, modifying and creating Gigasampler
 files and DLS (Downloadable Sounds) Level 1/2 files.
 .
 This package contains the shared library files.

Package: libgimp2.0
Description-md5: 87c9f62281987fda19082f1b5cc2c95c
Description-en: Libraries for the GNU Image Manipulation Program
 This package includes the libgimp libraries, which are
 necessary to run GIMP and third-party GIMP plugins.

Package: libgimp2.0-dev
Description-md5: c7c7d24560c6f749d332154868167ed5
Description-en: Headers and other files for compiling plugins for GIMP
 This package contains the header files for the GNU Image Manipulation
 Program, along with the static versions of libgimp.
 It also includes the gimptool-2.0 utility.
 .
 Install this package if you wish to compile your own plugins,
 or if you wish to develop packages that use libgimp.

Package: libgimp2.0-doc
Description-md5: 8acaa3767b69bc8f021740c983e4e23a
Description-en: Developers' Documentation for the GIMP library
 This package contains the HTML documentation for the GIMP library in
 /usr/share/gtk-doc/html/ .

Package: libginac-dev
Description-md5: 7ca59d2845632e42b26d759d4f7b8ffa
Description-en: GiNaC symbolic framework development files
 GiNaC (which stands for "GiNaC is Not a CAS (Computer Algebra System)") is a
 library for doing symbolic (i.e. non-numeric) computation directly in the C++
 programming language.
 .
 This package provides header files, a static library plus a tutorial as info
 file.

Package: libginac6
Description-md5: e16119802eeb80e7da697c5cd886d66e
Description-en: GiNaC symbolic framework runtime library
 GiNaC (which stands for "GiNaC is Not a CAS (Computer Algebra System)") is a
 library for doing symbolic (i.e. non-numeric) computation directly in the C++
 programming language.
 .
 This package provides the runtime library.

Package: libgio-cil
Description-md5: 874c407fd242a4d06ade9e088b8686e1
Description-en: CLI binding for the GIO I/O stack 2.22
 This package provides the gio-sharp assembly that allows CLI (.NET) programs
 to use the GIO I/O stack version 2.22.
 .
 This package contains the gio-sharp assembly.

Package: libgio2.0-cil-dev
Description-md5: d8e9dd73f7419a3928ae0bb72c4e4491
Description-en: CLI binding for the GIO I/O stack 2.22
 This package provides the gio-sharp assembly that allows CLI (.NET) programs
 to use the GIO I/O stack 2.22.
 .
 This package contains development files for the gio-sharp library, and should
 be used for compilation.

Package: libgio3.0-cil
Description-md5: 0f926a0af05b19e1d852a1f1944ddcc8
Description-en: CLI binding for the GIO libraries 2.24
 This package provides the gio-sharp assembly that allows CLI (.NET) programs
 to use the GIO libraries 2.24.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libgio3.0-cil-dev
Description-md5: 1e5e14a8c220bc8757b52fe20b403e82
Description-en: CLI binding for GIO 2.24
 This package provides the gio-sharp assembly that allows CLI (.NET) programs
 to use the GIO libraries 2.24.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.
 .
 This package contains development files for the gio-sharp library, and should
 be used for compilation.

Package: libgirara-dev
Description-md5: 0094c63fb07e41c8014c0b1505ebecdd
Description-en: library for minimalistic user interfaces (development files)
 A library focused at implementing minimalistic user interfaces. It provides
 three main components: a view widget that represents the actual application,
 an input bar to execute application specific commands and a status bar which
 provides information to the user.
 .
 This package contains the development files.

Package: libgirara-doc
Description-md5: 327b5e9a0e0be158ff05b79b3979387d
Description-en: library for minimalistic user interfaces (documentation)
 A library focused at implementing minimalistic user interfaces. It provides
 three main components: a view widget that represents the actual application,
 an input bar to execute application specific commands and a status bar which
 provides information to the user.
 .
 This package contains the documentation.

Package: libgirara-gtk3-3
Description-md5: 3485db33edd41388927cc0fbabc9bca6
Description-en: library for minimalistic user interfaces (shared libraries)
 A library focused at implementing minimalistic user interfaces. It provides
 three main components: a view widget that represents the actual application,
 an input bar to execute application specific commands and a status bar which
 provides information to the user.
 .
 This package contains the shared libraries.

Package: libgisi-dev
Description-md5: 7797de24fe74b540a653279b3a54857b
Description-en: low-level communication library for ISI modems (devel files)
 libgisi is a low level library for communicating with
 ISI devices, such as the modem found in some Nokia devices.
 .
 The library is used by the freesmartphone.org's GSM daemon
 for supporting the Nokia N900.
 .
 This package contains the development files for the library.

Package: libgisi0
Description-md5: a949fe722f757a4b1b0cdb636f3a6106
Description-en: low-level communication library for ISI modems
 libgisi is a low level library for communicating with
 ISI devices, such as the modem found in some Nokia devices.
 .
 The library is used by the freesmartphone.org's GSM daemon
 for supporting the Nokia N900.

Package: libgisicomm-dev
Description-md5: 0752fbe2201fbb58a1095de7ce0f3565
Description-en: high-level communication library for ISI modems (devel files)
 libgisicomm is a high level library for communicating with
 ISI devices, such as the modem found in some Nokia devices.
 .
 The library is used by the freesmartphone.org's GSM daemon
 for supporting the Nokia N900.
 .
 This package contains the development files for the library.

Package: libgisicomm0
Description-md5: 6ee0f6b4f903176b22827c8b41cd6bfd
Description-en: high-level communication library for ISI modems
 libgisicomm is a high level library for communicating with
 ISI devices, such as the modem found in some Nokia devices.
 .
 The library is used by the freesmartphone.org's GSM daemon
 for supporting the Nokia N900.

Package: libgit-annex-perl
Description-md5: 8e72d34ecb3c0b45f8f25b550b763989
Description-en: Perl interface to git-annex repositories
 Perl interface to git-annex repositories, and some scripts which make
 use of this interface.  Requires git-annex installed to be useful.
 .
 Git::Annex -- class representing a git-annex repository
 .
 annex-to-annex -- use hardlinks to migrate files between git annex repos
 .
 annex-review-unused -- interactively process `git annex unused` output

Package: libgit-objectstore-perl
Description-md5: fd372e75bfa22dc2517c4ac59bab5ab1
Description-en: abstraction layer for Git::Raw and libgit2
 Git::ObjectStore provides an abstraction layer on top of Git::Raw, a Perl
 wrapper for libgit2, in order to use a bare Git repository as an object
 store. The objects are written into a mempack, and then flushed to disk, so
 thousands of objects can be created without polluting your filesystem and
 exhausting its inode pool.

Package: libgit-pureperl-perl
Description-md5: 6c1a4c1f4b1f78d44929727f0a3d4a82
Description-en: Pure Perl interface to Git repositories
 Git::PurePerl is a Pure Perl object oriented interface to access git
 repositories. The main goals for Git::PurePerl are stability and performance.
 .
 This software was developed to power Gitalist.
 .
 It was mostly based on Grit http://grit.rubyforge.org/.

Package: libgit-raw-perl
Description-md5: 5e4edf7e9772225a9c357baff12ce59e
Description-en: Perl bindings to the Git linkable library (libgit2)
 libgit2 is a pure C implementation of the Git core methods provided as a
 re-entrant linkable library designed to be fast and portable with a
 solid API. This module provides Perl bindings to the libgit2 API.

Package: libgit-repository-perl
Description-md5: d9b5880a92215c854d450ec883d96129
Description-en: Perl interface to Git repositories
 Git::Repository is a Perl interface to Git, for scripted interactions with
 repositories. It's a low-level interface that allows calling any Git command,
 whether porcelain or plumbing, including bidirectional commands such as git
 commit-tree.

Package: libgit-repository-plugin-log-perl
Description-md5: 86971784a5572794092cd07d0ae6899e
Description-en: Git::Repository plugin adding log items
 Git::Repository::Plugin::Log adds a log() method to Git::Repository,
 which will return Git::Repository::Log objects representing the commit
 information provided by `git log`.

Package: libgit-sub-perl
Description-md5: 9bda2413c1b302df12277cd5ac7956cc
Description-en: git commands imported as System::Sub subs in the git:: namespace
 Git::Sub enables calling git commands
 easily from your Perl program.
 Each git command is imported as a System::Sub DWIM sub.

Package: libgit-version-compare-perl
Description-md5: 7ced47a7609993420b3293f0759455d9
Description-en: module to compare Git versions
 Git::Version::Compare contains a selection of subroutines that make dealing
 with Git-related things (like versions) a little bit easier.
 .
 The strings to compare can be version numbers, tags from git.git or the
 output of git version or git describe.
 .
 These routines collect the knowledge about Git versions that was accumulated
 while developing Git::Repository.

Package: libgit2-28
Description-md5: 21ccc509818a651f24c1dc0ed5907a4c
Description-en: low-level Git library
 libgit2 is a portable, pure C implementation of the Git
 distributed version control system core methods provided as a
 re-entrant link-able library with a solid API.

Package: libgit2-dev
Description-md5: 0ecd9e5d81e77e4aa1198aab98818ccd
Description-en: low-level Git library (development files)
 libgit2 is a portable, pure C implementation of the Git
 distributed version control system core methods provided as a
 re-entrant link-able library with a solid API.
 .
 This package contains the development files for libgit2.

Package: libgit2-glib-1.0-0
Description-md5: 11756b996ede7a9103d2300a91a1eebb
Description-en: glib wrapper library around the libgit2 git access library
 libgit2-glib is a glib wrapper library around the libgit2 git access library.

Package: libgit2-glib-1.0-dev
Description-md5: ade42256d1619250e0c25af34bb681cc
Description-en: glib wrapper library around the libgit2 git access library - development files
 libgit2-glib is a glib wrapper library around the libgit2 git access library.
 .
 This package contains the development files used in building applications
 that use the libgit2-glib Library.

Package: libgit2-glib-1.0-doc
Description-md5: e3f391a2bbdc5ead05e330c93d812b85
Description-en: glib wrapper library around the libgit2 git access library - documentation
 libgit2-glib is a glib wrapper library around the libgit2 git access library.
 .
 This package contains HTML documentation for libgit2-glib.

Package: libgivaro-dev
Description-md5: 0e42f6a905117860ade34bc07ff1d2c4
Description-en: arithmetic and algebraic computations - development files
 Givaro is a C++ library for arithmetic and algebraic computations.
 Its main features are implementations of the basic arithmetic of many
 mathematical entities: Primes fields, Extensions Fields, Finite
 Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary
 precision integers and rationals (C++ wrappers over gmp).
 .
 Givaro also provides data-structures and templated classes for the
 manipulation of basic algebraic objects, such as vectors, matrices
 (dense, sparse, structured), univariate polynomials (and therefore
 recursive multivariate).
 .
 It contains different program modules and is fully compatible with
 the LinBox linear algebra library and the Athapascan environment,
 which permits parallel programming.
 .
 This package contains development files for Givaro.

Package: libgivaro-doc
Description-md5: 12a2f14eda6bf68dce0b2b6d44f3b4e9
Description-en: Documentation for Givaro
 Givaro is a C++ library for arithmetic and algebraic computations.
 Its main features are implementations of the basic arithmetic of many
 mathematical entities: Primes fields, Extensions Fields, Finite
 Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary
 precision integers and rationals (C++ wrappers over gmp).
 .
 Givaro also provides data-structures and templated classes for the
 manipulation of basic algebraic objects, such as vectors, matrices
 (dense, sparse, structured), univariate polynomials (and therefore
 recursive multivariate).
 .
 It contains different program modules and is fully compatible with
 the LinBox linear algebra library and the Athapascan environment,
 which permits parallel programming.
 .
 This package contains Documentation for Givaro.

Package: libgivaro9
Description-md5: b7f149d55830e518aa74a913050808d7
Description-en: arithmetic and algebraic computations
 Givaro is a C++ library for arithmetic and algebraic computations.
 Its main features are implementations of the basic arithmetic of many
 mathematical entities: Primes fields, Extensions Fields, Finite
 Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary
 precision integers and rationals (C++ wrappers over gmp).
 .
 Givaro also provides data-structures and templated classes for the
 manipulation of basic algebraic objects, such as vectors, matrices
 (dense, sparse, structured), univariate polynomials (and therefore
 recursive multivariate).
 .
 It contains different program modules and is fully compatible with
 the LinBox linear algebra library and the Athapascan environment,
 which permits parallel programming.
 .
 This package contains runtime files for Givaro.

Package: libgiza0
Description-md5: 934ae026e8dbbe403f729cfa775328be
Description-en: Lightweight scientific plotting library (shared library)
 Giza is a lightweight scientific plotting library built on top of cairo that
 provides uniform output to multiple devices. Giza also provides a
 drop-in, modern replacement for the PGPLOT graphics library.
 .
 This package contains the shared library.

Package: libgkarrays-dev
Description-md5: 6ea178eeb8a29eb7d2af0420936ca959
Description-en: library to query large collection of NGS sequences (development)
 Gk-arrays are provided as a simple-to-use C++ library dedicated to
 queries on large collection of sequences as produced by high-throughput
 sequencers (e.g. HiSeq 2000 from Illumina, 454 from Roche).
 .
 Gk-arrays index k-mers of reads and allows one to answer different queries
 on that read collection (e.g. how many reads share this k-mer? where does
 this k-mer occur in the read collection?).
 .
 Gk-arrays consist of a space-efficient alternative to hash tables while
 being similar in terms of query times.
 .
 This is the development library for libgkarrays.

Package: libgkarrays1
Description-md5: 419718416189dfff5b383a8fad5a3815
Description-en: library to query large collection of NGS sequences
 Gk-arrays are provided as a simple-to-use C++ library dedicated to
 queries on large collection of sequences as produced by high-throughput
 sequencers (e.g. HiSeq 2000 from Illumina, 454 from Roche).
 .
 Gk-arrays index k-mers of reads and allows one to answer different queries
 on that read collection (e.g. how many reads share this k-mer? where does
 this k-mer occur in the read collection?).
 .
 Gk-arrays consist of a space-efficient alternative to hash tables while
 being similar in terms of query times.

Package: libgkeyfile-cil-dev
Description-md5: 470f97ad376556a626f3ca94245b05bd
Description-en: GObject-based wrapper library for GKeyFile -- CLI development files
 gkeyfile-sharp is a set of CLI bindings for the GKeyFile GObject class found in
 GLib. GKeyFile is a class that is used for parsing, editing, and creating key
 files, which are .ini like configuration files.
 .
 This package contains the development files needed to compile CLI applications
 which use this library.

Package: libgkeyfile1.0-cil
Description-md5: e439f8bbb289178c34de0c9eae56d7e4
Description-en: GObject-based wrapper library for GKeyFile -- CLI bindings
 gkeyfile-sharp is a set of CLI bindings for the GKeyFile GObject class found in
 GLib. GKeyFile is a class that is used for parsing, editing, and creating key
 files, which are .ini like configuration files.
 .
 This package contains the managed CLI bindings for gkeyfile-sharp, which are
 needed to run CLI applications which use this library.

Package: libgkl-java
Description-md5: 3d7e7e85b403761a78a34515014cfb3f
Description-en: Java library to manipulate SAM and BAM files
 Java library with optimized versions of compute kernels used in genomics
 applications like GATK and HTSJDK.
 These kernels are optimized to run on Intel Architecture
 (AVX, AVX2, AVX-512, multicore, and FPGA) under 64-bit Linux.

Package: libgkl-jni
Description-md5: 80624f2342c238124cf09bc7d15b1520
Description-en: native libraries of Java library to manipulate SAM and BAM files
 Java library with optimized versions of compute kernels used in genomics
 applications like GATK and HTSJDK.
 These kernels are optimized to run on Intel Architecture
 (AVX, AVX2, AVX-512, multicore, and FPGA) under 64-bit Linux.
 .
 This package contains the native libraries used by libglk-java

Package: libgl-gst
Description-md5: 97178f50d41eb64a07d46a17d7363848
Description-en: OpenGL bindings for GNU Smalltalk
 This package contains the OpenGL bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libgl2ps-dev
Description-md5: 875d8adab64a723e1e043df12a10ce02
Description-en: Lib providing high quality vector output for OpenGL application, dev files
 GL2PS is a C library providing high quality vector output for any OpenGL
 application. The main difference between GL2PS and other similar libraries
 is the use of sorting algorithms capable of handling intersecting and
 stretched polygons, as well as non manifold objects. GL2PS provides advanced
 smooth shading and text rendering, culling of invisible primitives, mixed
 vector/bitmap output, and much more...
 .
 GL2PS can currently create PostScript (PS), Encapsulated PostScript (EPS),
 Portable Document Format (PDF) and Scalable Vector Graphics (SVG) files,
 as well as LATEX files for the text fragments. GL2PS also provides limited,
 experimental support for Portable LaTeX Graphics (PGF). Adding new vector
 output formats should be relatively easy; you can also use the excellent
 pstoedit program to transform the PostScript files generated by GL2PS into
 many other vector formats such as xfig, cgm, wmf, etc.
 .
 This package contains the development files needed to compile software to
 use the libgl2ps API.

Package: libgl2ps-doc
Description-md5: 6c7bede039179ebe7566f243cb4280aa
Description-en: Lib providing high quality vector output for OpenGL application. Documentation
 GL2PS is a C library providing high quality vector output for any OpenGL
 application. The main difference between GL2PS and other similar libraries
 is the use of sorting algorithms capable of handling intersecting and
 stretched polygons, as well as non manifold objects. GL2PS provides advanced
 smooth shading and text rendering, culling of invisible primitives, mixed
 vector/bitmap output, and much more...
 .
 GL2PS can currently create PostScript (PS), Encapsulated PostScript (EPS),
 Portable Document Format (PDF) and Scalable Vector Graphics (SVG) files,
 as well as LATEX files for the text fragments. GL2PS also provides limited,
 experimental support for Portable LaTeX Graphics (PGF). Adding new vector
 output formats should be relatively easy; you can also use the excellent
 pstoedit program to transform the PostScript files generated by GL2PS into
 many other vector formats such as xfig, cgm, wmf, etc.
 .
 The package contains documentation

Package: libgl2ps1.4
Description-md5: 7a2d727b40b4538ad53d6f8764c28c6f
Description-en: Lib providing high quality vector output for OpenGL application
 GL2PS is a C library providing high quality vector output for any OpenGL
 application. The main difference between GL2PS and other similar libraries
 is the use of sorting algorithms capable of handling intersecting and
 stretched polygons, as well as non manifold objects. GL2PS provides advanced
 smooth shading and text rendering, culling of invisible primitives, mixed
 vector/bitmap output, and much more...
 .
 GL2PS can currently create PostScript (PS), Encapsulated PostScript (EPS),
 Portable Document Format (PDF) and Scalable Vector Graphics (SVG) files,
 as well as LATEX files for the text fragments. GL2PS also provides limited,
 experimental support for Portable LaTeX Graphics (PGF). Adding new vector
 output formats should be relatively easy; you can also use the excellent
 pstoedit program to transform the PostScript files generated by GL2PS into
 many other vector formats such as xfig, cgm, wmf, etc.

Package: libglade2-0
Description-md5: 6e56664fb6001810a1e64733986a86de
Description-en: library to load .glade files at runtime
 This library allows one to load externally stored user interfaces into
 programs. This allows alteration of the interface without recompilation
 of the program.
 .
 The interfaces can also be edited with GLADE.

Package: libglade2-dev
Description-md5: 00fc238e403e022fdf1a77940c605775
Description-en: development files for libglade
 This library allows one to load externally stored user interfaces into
 programs. This allows alteration of the interface without recompilation
 of the program.
 .
 The interfaces can also be edited with GLADE.

Package: libglade2.0-cil
Description-md5: 0faa79edc932878ece4f6691793afad3
Description-en: CLI binding for the Glade libraries 2.6
 This package provides the glade-sharp assembly that allows CLI (.NET) programs
 to use the Glade libraries 2.6.
 .
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 This package contains the glade-sharp assembly

Package: libglade2.0-cil-dev
Description-md5: 6b4b0183170e2855e04ceccbdcec3491
Description-en: CLI binding for the Glade libraries 2.6
 This package provides the glade-sharp assembly that allows CLI (.NET) programs
 to use the Glade libraries 2.6.
 .
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 This package contains development files for the glade-sharp library, and should
 be used for compilation

Package: libglademm-2.4-1v5
Description-md5: 0eb17d05533e62e555b25b8d089a9c36
Description-en: C++ wrappers for libglade2 (shared library)
 Libglade is a library which enables an application to build its graphical
 interface from an XML file (generated by Glade) at runtime. libglademm is
 the C++ wrapper for libglade.
 .
 libglade and libglademm have been deprecated since 2009. New code should
 use GtkBuilder (part of Gtk 3), or its C++ wrapper in gtkmm.
 .
 This package contains shared libraries.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libglademm-2.4-dev
Description-md5: caedf856cc9022232346ed8eb011a4f0
Description-en: C++ wrappers for libglade2 (development files)
 Libglade is a library which enables an application to build its graphical
 interface from an XML file (generated by Glade) at runtime. libglademm is
 the C++ wrapper for libglade.
 .
 libglade and libglademm have been deprecated since 2009. New code should
 use GtkBuilder (part of Gtk 3), or its C++ wrapper in gtkmm.
 .
 This package contains development files.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libglademm-2.4-doc
Description-md5: 47f550a5d65732b78b05de3ca3f7d5b8
Description-en: C++ wrappers for libglade2 (documentation)
 Libglade is a library which enables an application to build its graphical
 interface from an XML file (generated by Glade) at runtime. libglademm is
 the C++ wrapper for libglade.
 .
 libglade and libglademm have been deprecated since 2009. New code should
 use GtkBuilder (part of Gtk 3), or its C++ wrapper in gtkmm.
 .
 This package contains reference documentation and examples.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libgladeui-2-6
Description-md5: 715dec2c8acd12f4db222b35b00c8973
Description-en: GTK+ User Interface Build core library
 Glade is a RAD tool to enable quick and easy development of user
 interfaces for the GTK+ toolkit.
 .
 Glade is, since its "3.0" major version, highly modular, and composed
 of widgets which can be used by other applications to integrate
 functionality similar to the one provided by the Glade application
 itself.
 .
 This library provides all the widgets and core code, like parsing and
 saving files.

Package: libgladeui-common
Description-md5: 88409110f44042d9e0426a606647b036
Description-en: GTK+ User Interface Build core library (common files)
 Glade is a RAD tool to enable quick and easy development of user
 interfaces for the GTK+ toolkit.
 .
 Glade is, since its "3.0" major version, highly modular, and composed
 of widgets which can be used by other applications to integrate
 functionality similar to the one provided by the Glade application
 itself.
 .
 This library provides all the widgets and core code, like parsing and
 saving files.
 .
 This package contains the common files.

Package: libgladeui-dev
Description-md5: fb03358fc973ba5e6abda03b615d8640
Description-en: GTK+ User Interface Build core library (development files)
 Glade is a RAD tool to enable quick and easy development of user
 interfaces for the GTK+ toolkit.
 .
 Glade is, since its "3.0" major version, highly modular, and composed
 of widgets which can be used by other applications to integrate
 functionality similar to the one provided by the Glade application
 itself.
 .
 This library provides all the widgets and core code, like parsing and
 saving files.
 .
 This package contains the development files and documentation for the
 library.

Package: libgladeui-doc
Description-md5: c3b00de69ef3a31852a6b4b9622628b3
Description-en: GTK+ User Interface Build core library (documentation)
 Glade is a RAD tool to enable quick and easy development of user
 interfaces for the GTK+ toolkit.
 .
 Glade is, since its "3.0" major version, highly modular, and composed
 of widgets which can be used by other applications to integrate
 functionality similar to the one provided by the Glade application
 itself.
 .
 This library provides all the widgets and core code, like parsing and
 saving files.
 .
 This package contains the documentation for the library.

Package: libglazedlists-java
Description-md5: 739577aa917abb55f77513aab82a915d
Description-en: java list transformation library
 Glazed Lists features:
  * API Compatibility with ArrayList
  * Generic TableModels
  * Easy dynamic filtering & sorting
  * High performance
  * Designed for concurrency
  * Swing or SWT
  * Free and open

Package: libglazedlists-java-doc
Description-md5: 2d77dda94a3c6b2f1556031e8dce5d9e
Description-en: java list transformation library (documentation)
 Glazed Lists features:
  * API Compatibility with ArrayList
  * Generic TableModels
  * Easy dynamic filtering & sorting
  * High performance
  * Designed for concurrency
  * Swing or SWT
  * Free and open
 .
 This package contains the documentation for the library.

Package: libglbinding-dev
Description-md5: e575c08f170bdd00b18552b79aab111a
Description-en: development files for glbinding
 glbinding leverages modern C++11 features like enum classes,
 lambdas, and variadic templates, instead of relying on macros;
 all OpenGL symbols are real functions and variables.
 .
 It provides type-safe parameters, per feature API header, lazy
 function resolution, multi-context and multi-thread support,
 global and local function callbacks, meta information about the
 generated OpenGL binding and the OpenGL runtime, as well as tools
 and examples for quick-starting your projects.
 .
 This package provides the development files.

Package: libglbinding2
Description-md5: ccd3caf804718c1a8df6ebd3d7daf421
Description-en: cross-platform C++ binding for OpenGL
 glbinding leverages modern C++11 features like enum classes,
 lambdas, and variadic templates, instead of relying on macros;
 all OpenGL symbols are real functions and variables.
 .
 It provides type-safe parameters, per feature API header, lazy
 function resolution, multi-context and multi-thread support,
 global and local function callbacks, meta information about the
 generated OpenGL binding and the OpenGL runtime, as well as tools
 and examples for quick-starting your projects.
 .
 This package provides the shared libraries.

Package: libglbsp-dev
Description-md5: 6d33aa85caf9d7fca9c6d89d9c3b0600
Description-en: node builder library for OpenGL-based Doom-style games (headers)
 glBSP is a node builder specially designed to be used with OpenGL ports of
 the DOOM game engine. It adheres to the "GL-Friendly Nodes" specification,
 which means it adds some new special nodes to a WAD file that makes it very
 easy (and fast!) for an OpenGL DOOM engine to compute the polygons needed
 for drawing the levels.
 .
 There are many DOOM ports that understand the GL Nodes which glBSP creates,
 including EDGE, the Doomsday engine (JDOOM), Doom3D, PrBoom, and Vavoom.

Package: libglbsp3
Description-md5: 925a70fa1e6ad2b5d294f458b4e1cb3e
Description-en: node builder library for OpenGL-based Doom-style games
 glBSP is a node builder specially designed to be used with OpenGL ports of
 the DOOM game engine. It adheres to the "GL-Friendly Nodes" specification,
 which means it adds some new special nodes to a WAD file that makes it very
 easy (and fast!) for an OpenGL DOOM engine to compute the polygons needed
 for drawing the levels.
 .
 There are many DOOM ports that understand the GL Nodes which glBSP creates,
 including EDGE, the Doomsday engine (JDOOM), Doom3D, PrBoom, and Vavoom.

Package: libglc-dev
Description-md5: 69f963ba2f28864e1e4ef8ba9bbbf649
Description-en: Implementation of SGI's OpenGL Character Renderer (GLC)
 The OpenGL Character Renderer (GLC) is a state machine that provides OpenGL
 programs with character rendering services via an application programming
 interface (API).
 .
 This package contains the development files.

Package: libglc0
Description-md5: 09a7126cdb715baef9ab698ec6a0e082
Description-en: QuesoGLC OpenGL Character Renderer (GLC) implementation
 The OpenGL Character Renderer (GLC) is a state machine that provides OpenGL
 programs with character rendering services via an application programming
 interface (API).
 .
 This package contains the QuesoGLC OpenGL Character Renderer library

Package: libgle3
Description-md5: 75974fb06a150399c4e348feaf48a7cc
Description-en: OpenGL tubing and extrusion library
 The GLE Tubing and Extrusion library is an extension to OpenGL for drawing
 tubing and extrusions, including surfaces of revolution, sweeps, tubes,
 polycones, polycylinders, and helicoids.  Generally the extruded surface
 is specified with a 2D polyline that is extruded along a 3D path.  A local
 coordinate system allows for additional flexibility in the primitives
 drawn.  Extrusions may be texture mapped in a variety of ways.

Package: libgle3-dev
Description-md5: 6477fba1ce73a5d809f5a0d0079173d1
Description-en: OpenGL tubing and extrusion library development files
 The GLE Tubing and Extrusion library is an extension to OpenGL for drawing
 tubing and extrusions, including surfaces of revolution, sweeps, tubes,
 polycones, polycylinders, and helicoids.  Generally the extruded surface
 is specified with a 2D polyline that is extruded along a 3D path.  A local
 coordinate system allows for additional flexibility in the primitives
 drawn.  Extrusions may be texture mapped in a variety of ways.
 .
 This package contains header files and static libraries.

Package: libgles2-mesa
Description-md5: 635a93bcd1440d16621693fe064c2aa9
Description-en: transitional dummy package
 This is a transitional dummy package, it can be safely removed.

Package: libglew-dev
Description-md5: 7427fd4ce3a98efd3c555bb26ce0059c
Description-en: OpenGL Extension Wrangler - development environment
 The OpenGL Extension Wrangler, GLEW for short, is a library that
 handles initialization of OpenGL extensions in a portable and simple
 way. Once the program initializes the library and checks the
 availability of extensions, it can safely call the entry points defined
 by the extension. Currently GLEW supports almost all the extensions
 found in the OpenGL extension registry (http://www.opengl.org/registry).
 .
 This package contains the development documentation as well as the
 required header files.

Package: libglew2.1
Description-md5: 6745d43b8defe395b5a7da9eac9e1e7e
Description-en: OpenGL Extension Wrangler - runtime environment
 For more information about GLEW please refer to the description of the
 libglew-dev package.
 .
 This package contains the runtime support files.

Package: libglewmx-dev
Description-md5: 1f335e2fba3aa466ce34107ce6e92bee
Description-en: OpenGL Extension Wrangler MX - development environment
 The OpenGL Extension Wrangler, GLEW for short, is a library that
 handles initialization of OpenGL extensions in a portable and simple
 way. Once the program initializes the library and checks the
 availability of extensions, it can safely call the entry points defined
 by the extension. Currently GLEW supports almost all the extensions
 found in the OpenGL extension registry (https://www.opengl.org/registry).
 .
 This package contains the development libraries compiled with GLEW_MX.

Package: libglewmx1.13
Description-md5: e0a1d2c5e61300cfeae79ea23128afb5
Description-en: OpenGL Extension Wrangler (Multiple Rendering Contexts)
 For more information about GLEW please refer to the description of the
 libglewmx-dev package.
 .
 This package contains the runtime support files, built with GLEW_MX option,
 adding support for thread-safe usage of multiple rendering contexts.

Package: libglfw3
Description-md5: 45941c862180ae31af874737f162afe4
Description-en: portable library for OpenGL, window and input (x11 libraries)
 GLFW is an Open Source, multi-platform library for creating
 windows with OpenGL contexts and managing input and events.
 It is easy to integrate into existing applications and does
 not lay claim to the main loop.
 .
 This package provides the shared library built with the X11 backend.

Package: libglfw3-dev
Description-md5: d32f1f76941ab1185f332cadd1f7fa2b
Description-en: portable library for OpenGL, window and input (development files)
 GLFW is an Open Source, multi-platform library for creating
 windows with OpenGL contexts and managing input and events.
 It is easy to integrate into existing applications and does
 not lay claim to the main loop.
 .
 This package provides development files.

Package: libglfw3-doc
Description-md5: 297b158b4be1b5df4cd67e67a1bcb755
Description-en: portable library for OpenGL, window and input (documentation)
 GLFW is an Open Source, multi-platform library for creating
 windows with OpenGL contexts and managing input and events.
 It is easy to integrate into existing applications and does
 not lay claim to the main loop.
 .
 This package provides documentation.

Package: libglfw3-wayland
Description-md5: 3d098b3466722686388f2c543a339b54
Description-en: portable library for OpenGL, window and input (wayland libraries)
 GLFW is an Open Source, multi-platform library for creating
 windows with OpenGL contexts and managing input and events.
 It is easy to integrate into existing applications and does
 not lay claim to the main loop.
 .
 This package provides the shared library built with the Wayland backend.

Package: libglib2.0-cil
Description-md5: 3b6e979e1352e96a2f3eca3f708e29c7
Description-en: CLI binding for the GLib utility library 2.12
 This package provides the glib-sharp assembly that allows CLI (.NET) programs
 to use the GLib utility library 2.12. This is mostly useful for the GTK+ and
 GNOME bindings.
 .
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 This package contains the glib-sharp assembly

Package: libglib2.0-cil-dev
Description-md5: e7432bd7eb91c1c711c14150f81a3556
Description-en: CLI binding for the GLib utility library 2.12
 This package provides the glib-sharp assembly that allows CLI (.NET) programs
 to use the GLib utility library 2.12. This is mostly useful for the GTK+ and
 GNOME bindings.
 .
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 This package contains development files for the glib-sharp library, and should
 be used for compilation

Package: libglib2.0-tests
Description-md5: 08c6a283a0e7547a1f8014a0ba341a09
Description-en: GLib library of C routines - installed tests
 GLib is a library containing many useful C routines for things such
 as trees, hashes, lists, and strings.  It is a useful general-purpose
 C library used by projects such as GTK+, GIMP, and GNOME.
 .
 This package contains test programs, designed to be run as part of a
 regression testsuite.

Package: libglib3.0-cil
Description-md5: 822afca22624074d71bce561a85b1871
Description-en: CLI binding for the GLib library of C routines
 This package provides the glib-sharp assembly that allows CLI (.NET) programs
 to use the GLib library.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libglib3.0-cil-dev
Description-md5: 61ad45af595fb819d856ffafef52b145
Description-en: CLI binding for the GLib utility library 2.12
 This package provides the glib-sharp assembly that allows CLI (.NET) programs
 to use the GLib library.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.
 .
 This package contains development files for the glib-sharp library, and should
 be used for compilation.

Package: libglibd-2.0-0
Description-md5: eabb70fc71ac63f998a266ff57d5fd2f
Description-en: GLib library of C routines - D bindings
 GLib is a library containing many useful C routines for things such
 as trees, hashes, lists, and strings. It is a useful general-purpose
 C library.
 .
 This package contains bindings to GLib for software written in D.

Package: libglibd-2.0-dev
Description-md5: 33c0f0b1a9d9306c42aac144b6228d31
Description-en: GLib library of C routines - development files for D
 GLib is a library containing many useful C routines for things such
 as trees, hashes, lists, and strings. It is a useful general-purpose
 C library.
 .
 This package contains development files needed to write applications in
 the D programming language that use GLib.

Package: libglide3
Description-md5: 4a6491178b180bf100fc24f2c8af0b1a
Description-en: graphics library for 3Dfx Voodoo 3+ based cards - shared libraries
 This package allows you to use the 3D functions of cards based on
 3dfx Interactive, Inc's Voodoo Banshee, Voodoo 3, Voodoo 4, and
 Voodoo 5 chipsets.
 You should install it if you use such a card.
 .
 This package does not need the 3dfx kernel driver, as it uses DRI instead.

Package: libglide3-dev
Description-md5: 06d70ef27ebdb1fb57d111c5ae52af58
Description-en: graphics library for 3Dfx Voodoo 3+ based cards - development files
 This package contains the header files, example programs, and
 documentation necessary to develop software using libglide3.

Package: libglktermw-dev
Description-md5: b6dbabdf4b17b623882b1edad64324d8
Description-en: Curses-based interface library for interactive fiction
 Glk is a device-independent interface specification intended primarily for
 interactive fiction implementations.  This library provides an ncurses-based
 glk interface and includes Unicode support.  It is used by packages such
 as glulxe.

Package: libglobalarrays-dev
Description-md5: a9843c738e76c93c6ce1d79f9f0443a7
Description-en: Distributed Shared-Memory Programming Environment (development)
 Global Arrays is a portable Non-Uniform Memory Access (NUMA) shared-memory
 programming environment for distributed and shared memory computers. It
 augments the message-passing model by providing a shared-memory like access to
 distributed dense arrays.
 .
 ARMCI provides one-sided remote memory operations used by GA.
 .
 ComEx (Communication Runtime for Extreme Scale) provides vector and strided
 interfaces to optimize performance of remote memory copy operations for
 non-contiguous data.
 .
 DRA (Disk Resident Arrays) is a parallel I/O library that maintains dense 2-dim
 arrays on disk.
 .
 SF (Shared Files) is a parallel I/O library that allows noncollective I/O to a
 parallel file.
 .
 EAF (Exclusive Access Files) is parallel I/O library that supports I/O to
 private files.
 .
 TCGMSG is a simple, efficient, but becoming obsolete message-passing library.
 .
 TCGMSG-MPI is a TCGMSG interface implementation on top of MPI and ARMCI.
 .
 MA is a dynamic memory allocator/manager for Fortran and C programs.
 .
 GA++ is a C++ binding for global arrays.
 .
 This package contains the static libraries and header files.

Package: libglobjects-dev
Description-md5: c3d78ec41eeacfaf1ae6782261871a0d
Description-en: development files for globjects
 globjects provides object-oriented interfaces to the OpenGL API (3.0 and
 higher). The main goals are much reduced code to use OpenGL in your
 rendering software and fewer errors due to the underlying glbinding and
 further abstraction levels on top. Typical processes are automated and
 missing features in the used OpenGL driver are partially simulated or
 even emulated.
 .
 This package provides the development files.

Package: libglobjects1
Description-md5: 624c5b2a507847d0d4fb7f7f821e1a7d
Description-en: cross-platform C++ wrapper for OpenGL API objects
 globjects provides object-oriented interfaces to the OpenGL API (3.0 and
 higher). The main goals are much reduced code to use OpenGL in your
 rendering software and fewer errors due to the underlying glbinding and
 further abstraction levels on top. Typical processes are automated and
 missing features in the used OpenGL driver are partially simulated or
 even emulated.
 .
 This package provides the shared libraries.

Package: libglobus-authz-callout-error-dev
Description-md5: a4366b6994dd865aa2bcfcee48453de3
Description-en: Grid Community Toolkit - Globus authz error library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-authz-callout-error-dev package contains:
 Globus authz error library (used by globus authz callouts) Development Files

Package: libglobus-authz-callout-error-doc
Description-md5: bc7a6c73a9d1e3a7d9613485a0a10d99
Description-en: Grid Community Toolkit - Globus authz error library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-authz-callout-error-doc package contains:
 Globus authz error library (used by globus authz callouts) Documentation Files

Package: libglobus-authz-callout-error0
Description-md5: 6964e2321d72e9096d3362418f44cbac
Description-en: Grid Community Toolkit - Globus authz error library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-authz-callout-error0 package contains:
 Globus authz error library (used by globus authz callouts)

Package: libglobus-authz-dev
Description-md5: b097ae0e59fbc0e4357a5be192fa8f21
Description-en: Grid Community Toolkit - Globus authz library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-authz-dev package contains:
 Globus authz library Development Files

Package: libglobus-authz-doc
Description-md5: cc49dea10c50b9fd829a4facb6e48878
Description-en: Grid Community Toolkit - Globus authz library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-authz-doc package contains:
 Globus authz library Documentation Files

Package: libglobus-authz0
Description-md5: a8bf91b58d554d7a250bb2c5e7b1c3c0
Description-en: Grid Community Toolkit - Globus authz library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-authz0 package contains:
 Globus authz library

Package: libglobus-callout-dev
Description-md5: 2e0c77af19de774faa5ad57ceaac1a0a
Description-en: Grid Community Toolkit - Globus Callout Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-callout-dev package contains:
 Globus Callout Library Development Files

Package: libglobus-callout-doc
Description-md5: 496e78bd2c476dbc5a7a36a61dabfaa1
Description-en: Grid Community Toolkit - Globus Callout Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-callout-doc package contains:
 Globus Callout Library Documentation Files

Package: libglobus-callout0
Description-md5: d63f695d4289e0e7a922399ad22a3345
Description-en: Grid Community Toolkit - Globus Callout Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-callout0 package contains:
 Globus Callout Library - provides a platform independent way of
 dealing with runtime loadable functions.

Package: libglobus-common-dev
Description-md5: 26f9802a0897a7d169226316e94fd2f5
Description-en: Grid Community Toolkit - Common Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-common-dev package contains:
 Common Library Development Files

Package: libglobus-common-doc
Description-md5: 357df63392806f52ea69c629530da482
Description-en: Grid Community Toolkit - Common Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-common-doc package contains:
 Common Library Documentation Files

Package: libglobus-common0
Description-md5: 9c9be3bdc2101b434882cc69f6f0d5b0
Description-en: Grid Community Toolkit - Common Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-common0 package contains:
 Common Library

Package: libglobus-ftp-client-dev
Description-md5: e26aad1b0181d1a846f42924b5f43eb0
Description-en: Grid Community Toolkit - GridFTP Client Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-ftp-client-dev package contains:
 GridFTP Client Library Development Files

Package: libglobus-ftp-client-doc
Description-md5: 5e1aa538713b5afba96c640aa6ce1c37
Description-en: Grid Community Toolkit - GridFTP Client Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-ftp-client-doc package contains:
 GridFTP Client Library Documentation Files

Package: libglobus-ftp-client2
Description-md5: 75a939faded336dd9465a02a1a0a1fe3
Description-en: Grid Community Toolkit - GridFTP Client Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-ftp-client2 package contains:
 GridFTP Client Library

Package: libglobus-ftp-control-dev
Description-md5: 435485f991bc6c16a078f8f0d01112c7
Description-en: Grid Community Toolkit - GridFTP Control Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-ftp-control-dev package contains:
 GridFTP Control Library Development Files

Package: libglobus-ftp-control-doc
Description-md5: 247403720dd98da563873336017dd3b7
Description-en: Grid Community Toolkit - GridFTP Control Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-ftp-control-doc package contains:
 GridFTP Control Library Documentation Files

Package: libglobus-ftp-control1
Description-md5: 06e012a91bd08c102d04b0186b98be86
Description-en: Grid Community Toolkit - GridFTP Control Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-ftp-control1 package contains:
 GridFTP Control Library

Package: libglobus-gass-cache-dev
Description-md5: 0e5c2b8de096df7711f697269668adba
Description-en: Grid Community Toolkit - Globus Gass Cache Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-cache-dev package contains:
 Globus Gass Cache Development Files

Package: libglobus-gass-cache-doc
Description-md5: 9790e8b45a14cf4456e09fe8f119f463
Description-en: Grid Community Toolkit - Globus Gass Cache Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-cache-doc package contains:
 Globus Gass Cache Documentation Files

Package: libglobus-gass-cache5
Description-md5: b6e84d035e8e69147c55cfb0e5ceac66
Description-en: Grid Community Toolkit - Globus Gass Cache
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-cache5 package contains:
 Globus Gass Cache

Package: libglobus-gass-copy-dev
Description-md5: e47573a42f3b3b8b88d5a17e681099ea
Description-en: Grid Community Toolkit - Globus Gass Copy Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-copy-dev package contains:
 Globus Gass Copy Development Files

Package: libglobus-gass-copy-doc
Description-md5: b94b3819e8e0b1ebab228b085e5ea982
Description-en: Grid Community Toolkit - Globus Gass Copy Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-copy-doc package contains:
 Globus Gass Copy Documentation Files

Package: libglobus-gass-copy2
Description-md5: 1659c2b32d3e858b528458f67af1e1ee
Description-en: Grid Community Toolkit - Globus Gass Copy
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-copy2 package contains:
 Globus Gass Copy

Package: libglobus-gass-server-ez-dev
Description-md5: 7d503e93b021d479373a7f30e7a0614b
Description-en: Grid Community Toolkit - Globus Gass Server_ez Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-server-ez-dev package contains:
 Globus Gass Server_ez Development Files

Package: libglobus-gass-server-ez2
Description-md5: 1dd44f51e2df46e9e4806d8ab4c223a0
Description-en: Grid Community Toolkit - Globus Gass Server_ez
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-server-ez2 package contains:
 Globus Gass Server_ez

Package: libglobus-gass-transfer-dev
Description-md5: 4127d361ca2111422203f1a1a4a66075
Description-en: Grid Community Toolkit - Globus Gass Transfer Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-transfer-dev package contains:
 Globus Gass Transfer Development Files

Package: libglobus-gass-transfer-doc
Description-md5: bc5d9b756c2e6e59edc2d46ca3c03151
Description-en: Grid Community Toolkit - Globus Gass Transfer Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-transfer-doc package contains:
 Globus Gass Transfer Documentation Files

Package: libglobus-gass-transfer2
Description-md5: 872fe51f91190f5fbf59508e2ee39281
Description-en: Grid Community Toolkit - Globus Gass Transfer
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gass-transfer2 package contains:
 Globus Gass Transfer

Package: libglobus-gfork-dev
Description-md5: c51d0b3f9c4bbd385a02bf50afcaa771
Description-en: Grid Community Toolkit - GFork Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gfork-dev package contains:
 GFork Development Files

Package: libglobus-gfork0
Description-md5: abf159eac9fbb65a8367865a544d57d9
Description-en: Grid Community Toolkit - GFork
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gfork0 package contains:
 GFork Library

Package: libglobus-gram-client-dev
Description-md5: 8108aefd361691c0ff1964a0808866bc
Description-en: Grid Community Toolkit - GRAM Client Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-client-dev package contains:
 GRAM Client Library Development Files

Package: libglobus-gram-client-doc
Description-md5: bb9177d5425f0fd6d6197d7f843b36f6
Description-en: Grid Community Toolkit - GRAM Client Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-client-doc package contains:
 GRAM Client Library Documentation Files

Package: libglobus-gram-client3
Description-md5: d8127824513ca2b84385024e3533dcc3
Description-en: Grid Community Toolkit - GRAM Client Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-client3 package contains:
 GRAM Client Library

Package: libglobus-gram-job-manager-callout-error-dev
Description-md5: 605953ff3ce58cc16668585c73e85bc2
Description-en: Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-job-manager-callout-error-dev package contains:
 Globus GRAM Jobmanager Callout Errors Development Files

Package: libglobus-gram-job-manager-callout-error-doc
Description-md5: 023e98bb20da601f88de4da65f0a173e
Description-en: Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-job-manager-callout-error-doc package contains:
 Globus GRAM Jobmanager Callout Errors Documentation Files

Package: libglobus-gram-job-manager-callout-error0
Description-md5: 1571796f6a6e277bf23149fec1c09f52
Description-en: Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-job-manager-callout-error0 package contains:
 Globus GRAM Jobmanager Callout Errors

Package: libglobus-gram-protocol-dev
Description-md5: 0958764868a9d0ed61dd6b8dd743d4b0
Description-en: Grid Community Toolkit - GRAM Protocol Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-protocol-dev package contains:
 GRAM Protocol Library Development Files

Package: libglobus-gram-protocol-doc
Description-md5: 59f11cfbc4bc8089953b54bb7430acf4
Description-en: Grid Community Toolkit - GRAM Protocol Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-protocol-doc package contains:
 GRAM Protocol Library Documentation Files

Package: libglobus-gram-protocol3
Description-md5: fe0358c802593fdd06f9dc17844f8faf
Description-en: Grid Community Toolkit - GRAM Protocol Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gram-protocol3 package contains:
 GRAM Protocol Library

Package: libglobus-gridftp-server-control-dev
Description-md5: e38244a681fd8b904f680056951d3064
Description-en: Grid Community Toolkit - Globus GridFTP Server Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridftp-server-control-dev package contains:
 Globus GridFTP Server Library Development Files

Package: libglobus-gridftp-server-control0
Description-md5: 79530b91274b10b3e0740991e208aaf3
Description-en: Grid Community Toolkit - Globus GridFTP Server Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridftp-server-control0 package contains:
 Globus GridFTP Server Library

Package: libglobus-gridftp-server-dev
Description-md5: 9cc42ad37d22623aa1a62b4b073b3982
Description-en: Grid Community Toolkit - Globus GridFTP Server Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridftp-server-dev package contains:
 Globus GridFTP Server Development Files

Package: libglobus-gridftp-server6
Description-md5: dcda61d18f01ed851fdb6eb99f2b3f36
Description-en: Grid Community Toolkit - Globus GridFTP Server
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridftp-server6 package contains:
 Globus GridFTP Server

Package: libglobus-gridmap-callout-error-dev
Description-md5: 269fa9a8f757f46604dd920601647367
Description-en: Grid Community Toolkit - Globus Gridmap Callout Errors Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridmap-callout-error-dev package contains:
 Globus Gridmap Callout Errors Development Files

Package: libglobus-gridmap-callout-error-doc
Description-md5: 2d014396b002fabafa5e50a3da1a7972
Description-en: Grid Community Toolkit - Globus Gridmap Callout Errors Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridmap-callout-error-doc package contains:
 Globus Gridmap Callout Errors Documentation Files

Package: libglobus-gridmap-callout-error0
Description-md5: bbe9640b6e2237058ba4a41d4724cf65
Description-en: Grid Community Toolkit - Globus Gridmap Callout Errors
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridmap-callout-error0 package contains:
 Globus Gridmap Callout Errors

Package: libglobus-gridmap-eppn-callout
Description-md5: 7c7b9c831ed6adb006dc22c78ecaafae
Description-en: Grid Community Toolkit - Globus gridmap ePPN callout
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridmap-eppn-callout package contains:
 Globus gridmap ePPN callout

Package: libglobus-gridmap-verify-myproxy-callout
Description-md5: c41a606e657db70d0cd090ca71a68c83
Description-en: Grid Community Toolkit - Globus gridmap myproxy callout
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gridmap-verify-myproxy-callout package contains:
 Globus gridmap myproxy callout

Package: libglobus-gsi-callback-dev
Description-md5: 1fbf39756a1384e9d994dff53d78b441
Description-en: Grid Community Toolkit - Globus GSI Callback Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-callback-dev package contains:
 Globus GSI Callback Library Development Files

Package: libglobus-gsi-callback-doc
Description-md5: 6217953261a4b4063703622a36e601aa
Description-en: Grid Community Toolkit - Globus GSI Callback Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-callback-doc package contains:
 Globus GSI Callback Library Documentation Files

Package: libglobus-gsi-callback0
Description-md5: e2503996113b7b6b9eaea7c96532e44a
Description-en: Grid Community Toolkit - Globus GSI Callback Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-callback0 package contains:
 Globus GSI Callback Library

Package: libglobus-gsi-cert-utils-dev
Description-md5: 196692ba525ef047437186cd90ba0c06
Description-en: Grid Community Toolkit - Globus GSI Cert Utils Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-cert-utils-dev package contains:
 Globus GSI Cert Utils Library Development Files

Package: libglobus-gsi-cert-utils-doc
Description-md5: d4404835bcc5fc367db71fc6757c6b58
Description-en: Grid Community Toolkit - Globus GSI Cert Utils Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-cert-utils-doc package contains:
 Globus GSI Cert Utils Library Documentation Files

Package: libglobus-gsi-cert-utils0
Description-md5: 328f9a2c7f553f88ecd7dde5becbeda9
Description-en: Grid Community Toolkit - Globus GSI Cert Utils Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-cert-utils0 package contains:
 Globus GSI Cert Utils Library

Package: libglobus-gsi-credential-dev
Description-md5: a7db3c78cb492823e0988cbcabf671cd
Description-en: Grid Community Toolkit - Globus GSI Credential Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-credential-dev package contains:
 Globus GSI Credential Library Development Files

Package: libglobus-gsi-credential-doc
Description-md5: 8501b8cb16a552b8a03cc62b8a172f2e
Description-en: Grid Community Toolkit - Globus GSI Credential Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-credential-doc package contains:
 Globus GSI Credential Library Documentation Files

Package: libglobus-gsi-credential1
Description-md5: f74376a652a8696a7797579a4eaa4102
Description-en: Grid Community Toolkit - Globus GSI Credential Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-credential1 package contains:
 Globus GSI Credential Library

Package: libglobus-gsi-openssl-error-dev
Description-md5: a7cf468c00fb7b99dd641ac37aad52c9
Description-en: Grid Community Toolkit - Globus OpenSSL Error Handling Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-openssl-error-dev package contains:
 Globus OpenSSL Error Handling Development Files

Package: libglobus-gsi-openssl-error-doc
Description-md5: 4298415a68961a6b41c2d8d8daff2083
Description-en: Grid Community Toolkit - Globus OpenSSL Error Handling Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-openssl-error-doc package contains:
 Globus OpenSSL Error Handling Documentation Files

Package: libglobus-gsi-openssl-error0
Description-md5: 5add5e3a9e669ba4ccc6f6f39a1b9a43
Description-en: Grid Community Toolkit - Globus OpenSSL Error Handling
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-openssl-error0 package contains:
 Globus OpenSSL Error Handling

Package: libglobus-gsi-proxy-core-dev
Description-md5: ae8f2156ea63e8128f7682155ca7f6c7
Description-en: Grid Community Toolkit - Globus GSI Proxy Core Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-proxy-core-dev package contains:
 Globus GSI Proxy Core Library Development Files

Package: libglobus-gsi-proxy-core-doc
Description-md5: 19e14b87af7e3b4e27258d6957c3e9fd
Description-en: Grid Community Toolkit - Globus GSI Proxy Core Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-proxy-core-doc package contains:
 Globus GSI Proxy Core Library Documentation Files

Package: libglobus-gsi-proxy-core0
Description-md5: 028e4552923b163a4664cb45d7bb4b61
Description-en: Grid Community Toolkit - Globus GSI Proxy Core Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-proxy-core0 package contains:
 Globus GSI Proxy Core Library

Package: libglobus-gsi-proxy-ssl-dev
Description-md5: 49d6e1d78d725c2140aa801811d13f67
Description-en: Grid Community Toolkit - Globus GSI Proxy SSL Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-proxy-ssl-dev package contains:
 Globus GSI Proxy SSL Library Development Files

Package: libglobus-gsi-proxy-ssl-doc
Description-md5: f6590e319c1596cb9420c66758e07809
Description-en: Grid Community Toolkit - Globus GSI Proxy SSL Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-proxy-ssl-doc package contains:
 Globus GSI Proxy SSL Library Documentation Files

Package: libglobus-gsi-proxy-ssl1
Description-md5: 9f342cef6d1599cf98eb643fb0972503
Description-en: Grid Community Toolkit - Globus GSI Proxy SSL Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-proxy-ssl1 package contains:
 Globus GSI Proxy SSL Library

Package: libglobus-gsi-sysconfig-dev
Description-md5: 9a4ff96da3e0fd90cae06a74993daec7
Description-en: Grid Community Toolkit - Globus GSI System Config Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-sysconfig-dev package contains:
 Globus GSI System Config Library Development Files

Package: libglobus-gsi-sysconfig-doc
Description-md5: 2eb4cb8e3762cbd90ad964b55c4f81b0
Description-en: Grid Community Toolkit - Globus GSI System Config Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-sysconfig-doc package contains:
 Globus GSI System Config Library Documentation Files

Package: libglobus-gsi-sysconfig1
Description-md5: 32f07508e4aaa1e811233d3d3d93b675
Description-en: Grid Community Toolkit - Globus GSI System Config Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gsi-sysconfig1 package contains:
 Globus GSI System Config Library

Package: libglobus-gss-assist-dev
Description-md5: e9f4add45597b76575415a036437edd4
Description-en: Grid Community Toolkit - GSSAPI Assist library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gss-assist-dev package contains:
 GSSAPI Assist library Development Files

Package: libglobus-gss-assist-doc
Description-md5: b5c36f3b88185e82e914bacfba79b314
Description-en: Grid Community Toolkit - GSSAPI Assist library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gss-assist-doc package contains:
 GSSAPI Assist library Documentation Files

Package: libglobus-gss-assist3
Description-md5: 4af6f9c6b63c758959544badd5a1fde9
Description-en: Grid Community Toolkit - GSSAPI Assist library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gss-assist3 package contains:
 GSSAPI Assist library

Package: libglobus-gssapi-error-dev
Description-md5: ee2efa19188417f273cd4574ed8d1476
Description-en: Grid Community Toolkit - GSSAPI Error Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gssapi-error-dev package contains:
 GSSAPI Error Library Development Files

Package: libglobus-gssapi-error-doc
Description-md5: a7fc0a55ec8ca1f2d1074d084c76fb89
Description-en: Grid Community Toolkit - GSSAPI Error Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gssapi-error-doc package contains:
 GSSAPI Error Library Documentation Files

Package: libglobus-gssapi-error2
Description-md5: b6f039f63a3a2f28e86953c274dc8a65
Description-en: Grid Community Toolkit - GSSAPI Error Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gssapi-error2 package contains:
 GSSAPI Error Library

Package: libglobus-gssapi-gsi-dev
Description-md5: b50fe8b6025b4b0237f5e522e82fc350
Description-en: Grid Community Toolkit - GSSAPI library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gssapi-gsi-dev package contains:
 GSSAPI library Development Files

Package: libglobus-gssapi-gsi-doc
Description-md5: 43896f8887c3f3aae33d1718df87bfae
Description-en: Grid Community Toolkit - GSSAPI library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gssapi-gsi-doc package contains:
 GSSAPI library Documentation Files

Package: libglobus-gssapi-gsi4
Description-md5: fffe83ce73ca85f7c2e2234ee0fa1b9b
Description-en: Grid Community Toolkit - GSSAPI library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-gssapi-gsi4 package contains:
 GSSAPI library

Package: libglobus-io-dev
Description-md5: c13c849ff1ba26bf870327c711aa7468
Description-en: Grid Community Toolkit - uniform I/O interface Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-io-dev package contains:
 uniform I/O interface to stream and datagram style communications
 Development Files

Package: libglobus-io3
Description-md5: 5987e5aa1c384fc6c4f6ae49fae317dc
Description-en: Grid Community Toolkit - uniform I/O interface
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-io3 package contains:
 uniform I/O interface to stream and datagram style communications

Package: libglobus-net-manager-dev
Description-md5: 7821c7bfe00e51c26a3a036064139baa
Description-en: Grid Community Toolkit - Network Manager Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-net-manager-dev package contains:
 Network Manager Library Development Files

Package: libglobus-net-manager-doc
Description-md5: b42bd1ed985ed491f285a72c47eb5b68
Description-en: Grid Community Toolkit - Network Manager Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-net-manager-doc package contains:
 Network Manager Library Documentation Files

Package: libglobus-net-manager0
Description-md5: a4b78784fd39d667e87fd151508720e6
Description-en: Grid Community Toolkit - Network Manager Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-net-manager0 package contains:
 Network Manager Library
 .
 The Globus Network Manager Library is a plug-in point for network management
 tasks, such as:
  - selectively open ports in a firewall and allow these ports to be closed
    when transfers are complete
  - configure a virtual circuit based on a site policy and route traffic
    over this circuit
  - route network traffic related to a task over a particular network

Package: libglobus-openssl-module-dev
Description-md5: 39dd7b61f22b8f20740b549a66f2f446
Description-en: Grid Community Toolkit - Globus OpenSSL Module Wrapper Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-openssl-module-dev package contains:
 Globus OpenSSL Module Wrapper Development Files

Package: libglobus-openssl-module-doc
Description-md5: 4668c55b5be9790b039e558b71d8c1b1
Description-en: Grid Community Toolkit - Globus OpenSSL Module Wrapper Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-openssl-module-doc package contains:
 Globus OpenSSL Module Wrapper Documentation Files

Package: libglobus-openssl-module0
Description-md5: 447a2cd7b56b65d9c8207e4cde6a7dbd
Description-en: Grid Community Toolkit - Globus OpenSSL Module Wrapper
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-openssl-module0 package contains:
 Globus OpenSSL Module Wrapper

Package: libglobus-rsl-dev
Description-md5: a765c4cb2fa7d540a474c98188a8c698
Description-en: Grid Community Toolkit - Resource Specification Language Library Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-rsl-dev package contains:
 Resource Specification Language Library Development Files

Package: libglobus-rsl-doc
Description-md5: 157bd0510d0e393aefe77a1447fa1b89
Description-en: Grid Community Toolkit - Resource Specification Language Library Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-rsl-doc package contains:
 Resource Specification Language Library Documentation Files

Package: libglobus-rsl2
Description-md5: 9e3931e0b809b1d1b0527539e180c192
Description-en: Grid Community Toolkit - Resource Specification Language Library
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-rsl2 package contains:
 Resource Specification Language Library

Package: libglobus-scheduler-event-generator-dev
Description-md5: a014d9e01f930701c5eae4461952ade2
Description-en: Grid Community Toolkit - Scheduler Event Generator Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-scheduler-event-generator-dev package contains:
 Scheduler Event Generator Development Files

Package: libglobus-scheduler-event-generator-doc
Description-md5: c33105fb7e3a71c46e8aa3b54341316e
Description-en: Grid Community Toolkit - Scheduler Event Generator Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-scheduler-event-generator-doc package contains:
 Scheduler Event Generator Documentation Files

Package: libglobus-scheduler-event-generator0
Description-md5: d23002051d0796c6ffe38f46fb240eca
Description-en: Grid Community Toolkit - Scheduler Event Generator
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-scheduler-event-generator0 package contains:
 Scheduler Event Generator

Package: libglobus-xio-dev
Description-md5: 28a535eb0d22ed07f1b0faf82824609c
Description-en: Grid Community Toolkit - Globus XIO Framework Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-dev package contains:
 Globus XIO Framework Development Files

Package: libglobus-xio-doc
Description-md5: 947afdb86d707f405ff5f6e7f185a974
Description-en: Grid Community Toolkit - Globus XIO Framework Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-doc package contains:
 Globus XIO Framework Documentation Files

Package: libglobus-xio-gridftp-driver
Description-md5: 769d141eb4093addb365a09d9940ff34
Description-en: Grid Community Toolkit - Globus XIO GridFTP Driver
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-gridftp-driver package contains:
 Globus XIO GridFTP Driver

Package: libglobus-xio-gridftp-driver-dev
Description-md5: cd5a3232c4b29df0a4f59ea97acf0800
Description-en: Grid Community Toolkit - Globus XIO GridFTP Driver Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-gridftp-driver-dev package contains:
 Globus XIO GridFTP Driver Development Files

Package: libglobus-xio-gridftp-driver-doc
Description-md5: 235a1af1a614cbd874c6760749ab213c
Description-en: Grid Community Toolkit - Globus XIO GridFTP Driver Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-gridftp-driver-doc package contains:
 Globus XIO GridFTP Driver Documentation Files

Package: libglobus-xio-gridftp-multicast
Description-md5: d4c0f9382ce42abdf2338f57eb211712
Description-en: Grid Community Toolkit - Globus XIO GridFTP Multicast Driver
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-gridftp-multicast package contains:
 Globus XIO GridFTP Multicast Driver

Package: libglobus-xio-gridftp-multicast-dev
Description-md5: 0cd1752e786a5c120ed4917cb4e2fa32
Description-en: Grid Community Toolkit - Globus XIO GridFTP Multicast Driver Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-gridftp-multicast-dev package contains:
 Globus XIO GridFTP Multicast Driver Development Files

Package: libglobus-xio-gsi-driver
Description-md5: 621dd739b50be639b2f51cd83eec6a4c
Description-en: Grid Community Toolkit - Globus XIO GSI Driver
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-gsi-driver package contains:
 Globus XIO GSI Driver

Package: libglobus-xio-gsi-driver-dev
Description-md5: 86eeed1814a74aa890c1feac11ad0c73
Description-en: Grid Community Toolkit - Globus XIO GSI Driver Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-gsi-driver-dev package contains:
 Globus XIO GSI Driver Development Files

Package: libglobus-xio-gsi-driver-doc
Description-md5: b047280491d05809c63ad3cd367e87ab
Description-en: Grid Community Toolkit - Globus XIO GSI Driver Documentation Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-gsi-driver-doc package contains:
 Globus XIO GSI Driver Documentation Files

Package: libglobus-xio-net-manager-driver
Description-md5: d58b4ee5d0f47271c65f56b2f9052d70
Description-en: Grid Community Toolkit - Globus XIO Network Manager Driver
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-net-manager-driver package contains:
 Globus XIO Network Manager Driver

Package: libglobus-xio-net-manager-driver-dev
Description-md5: 34a30137a4134173004ab01d1e46db40
Description-en: Grid Community Toolkit - Globus XIO Network Manager Driver Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-net-manager-driver-dev package contains:
 Globus XIO Network Manager Driver Development Files

Package: libglobus-xio-pipe-driver
Description-md5: 4fbdd5cf36450a7b6a1ecf24570d1ca0
Description-en: Grid Community Toolkit - Globus Pipe Driver
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-pipe-driver package contains:
 Globus Pipe Driver

Package: libglobus-xio-pipe-driver-dev
Description-md5: bd6408dcca5d1676210fa0bc7ec4cc1d
Description-en: Grid Community Toolkit - Globus Pipe Driver Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-pipe-driver-dev package contains:
 Globus Pipe Driver Development Files

Package: libglobus-xio-popen-driver
Description-md5: e9f3a6460feef1076ceb30e2bc324937
Description-en: Grid Community Toolkit - Globus XIO Pipe Open Driver
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-popen-driver package contains:
 Globus XIO Pipe Open Driver - allows a user to execute a program and
 treat it as a transport driver by routing data through pipes

Package: libglobus-xio-popen-driver-dev
Description-md5: 515f02b488b6d1ccc2ada89c73468b30
Description-en: Grid Community Toolkit - Globus XIO Pipe Open Driver Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-popen-driver-dev package contains:
 Globus XIO Pipe Open Driver Development Files

Package: libglobus-xio-rate-driver
Description-md5: f8451507df11067fce9b9fd0600dea91
Description-en: Grid Community Toolkit - Globus XIO Rate Limiting Driver
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-rate-driver package contains:
 Globus XIO Rate Limiting Driver - allows a user to execute a program and
 treat it as a transport driver by routing data through pipes

Package: libglobus-xio-rate-driver-dev
Description-md5: 28b20d5739c2732d0664c246e822bf0f
Description-en: Grid Community Toolkit - Globus XIO Rate Limiting Driver Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-rate-driver-dev package contains:
 Globus XIO Rate Limiting Driver Development Files

Package: libglobus-xio-udt-driver
Description-md5: 0fea7850ed1e2a233b840aef541bdae2
Description-en: Grid Community Toolkit - Globus XIO UDT Driver
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-udt-driver package contains:
 Globus XIO UDT Driver - allows a user to execute a program and
 treat it as a transport driver by routing data through pipes

Package: libglobus-xio-udt-driver-dev
Description-md5: 28a5450b3f3703b1bade8aa0cef961dc
Description-en: Grid Community Toolkit - Globus XIO UDT Driver Development Files
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio-udt-driver-dev package contains:
 Globus XIO UDT Driver Development Files

Package: libglobus-xio0
Description-md5: ae7032d225c4660639e034f7a2109fe7
Description-en: Grid Community Toolkit - Globus XIO Framework
 The Grid Community Toolkit (GCT) is an open source software toolkit used for
 building grid systems and applications. It is a fork of the Globus Toolkit
 originally created by the Globus Alliance. It is supported by the Grid
 Community Forum (GridCF) that provides community-based support for core
 software packages in grid computing.
 .
 The libglobus-xio0 package contains:
 Globus XIO Framework

Package: libglom-1.30-0
Description-md5: 264c692eb7dfa680549be5d9b593d478
Description-en: Glom library (a database designer and user interface) - library
 With Glom you can design table definitions and the relationships between
 them, plus arrange the fields on the screen. You can edit and search the
 data in those tables, and specify field values in terms of other fields.
 It's as easy as it should be.
 The design is loosely based on FileMaker Pro, with the added advantage of
 separation between interface and data. Its simple framework should be enough
 to implement most database applications. Without Glom these systems normally
 consist of lots of repetitive, unmaintainable code.
 .
 This is the library package.

Package: libglom-1.30-dev
Description-md5: 39c5d22d57987a7f0e6d8ad14fd69a23
Description-en: Glom library (a database designer and user interface) - header files
 With Glom you can design table definitions and the relationships between
 them, plus arrange the fields on the screen. You can edit and search the
 data in those tables, and specify field values in terms of other fields.
 It's as easy as it should be.
 The design is loosely based on FileMaker Pro, with the added advantage of
 separation between interface and data. Its simple framework should be enough
 to implement most database applications. Without Glom these systems normally
 consist of lots of repetitive, unmaintainable code.
 .
 This package contains the library headers.

Package: libgloox-dbg
Description-md5: a879910393f543b39a4e31d4dd5af315
Description-en: C++ jabber/xmpp library (debug symbols)
 A C++ Jabber/XMPP library that takes care of low level protocol stuff.
 Additionally, it offers high level interfaces for interaction with an
 XMPP server.
 .
 It is a fully compliant XMPP Core and supports various JEPs, mainly
 those needed to implement a XMPP IM client.
 .
 This package contains symbols for debugging programs using this library.

Package: libgloox-dev
Description-md5: 1e3796cdb83f8ee1832c9c67012f3ac5
Description-en: C++ jabber/xmpp library (devel)
 A C++ Jabber/XMPP library that takes care of low level protocol stuff.
 Additionally, it offers high level interfaces for interaction with an
 XMPP server.
 .
 It is a fully compliant XMPP Core and supports various JEPs, mainly
 those needed to implement a XMPP IM client.
 .
 This package contains files needed for development with this library.

Package: libgloox-doc
Description-md5: 065a2b8b37d9d9f824aa60e1db2d71fd
Description-en: C++ jabber/xmpp library (API documentation)
 A C++ Jabber/XMPP library that takes care of low level protocol stuff.
 Additionally, it offers high level interfaces for interaction with an
 XMPP server.
 .
 It is a fully compliant XMPP Core and supports various JEPs, mainly
 those needed to implement a XMPP IM client.
 .
 This package contains API documentation for this library.

Package: libgloox17
Description-md5: a63fbaff1f36ed856644a56256029ad3
Description-en: C++ jabber/xmpp library
 A C++ Jabber/XMPP library that takes care of low level protocol stuff.
 Additionally, it offers high level interfaces for interaction with an
 XMPP server.
 .
 It is a fully compliant XMPP Core and supports various JEPs, mainly
 those needed to implement a XMPP IM client.

Package: libglpk-dev
Description-md5: 1a3290298c2e8e1d80e4bf1609c09ab9
Description-en: linear programming kit - development files
 GLPK (GNU Linear Programming Kit) is intended for solving large-scale
 linear programming (LP), mixed integer programming (MIP), and other
 related problems. It is a set of routines written in ANSI C and
 organized in the form of a callable library.
 .
 This package contains static library, headers, and the development
 manpage for libvc.

Package: libglpk-java
Description-md5: da7245878d323d71e6d7339168b72d61
Description-en: Java binding to the GNU Linear Programming Kit
 GLPK (GNU Linear Programming Kit) is intended for solving large-scale
 linear programming (LP), mixed integer programming (MIP), and other
 related problems. It is a set of routines written in ANSI C and
 organized in the form of a callable library.
 .
 GLPK supports the GNU MathProg language, which is a subset of the
 AMPL language. GLPK also supports the standard MPS and LP formats.
 .
 This package contains the Java binding to GLPK.

Package: libglpk40
Description-md5: a59dfd97ae7c98fa4132822ad0935832
Description-en: linear programming kit with integer (MIP) support
 GLPK (GNU Linear Programming Kit) is intended for solving large-scale
 linear programming (LP), mixed integer programming (MIP), and other
 related problems. It is a set of routines written in ANSI C and
 organized in the form of a callable library.
 .
 GLPK supports the GNU MathProg language, which is a subset of the
 AMPL language.  GLPK also supports the standard MPS and LP formats.
 .
 The GLPK package includes the following main components:
    * Revised simplex method.
    * Primal-dual interior point method.
    * Branch-and-bound method.
    * Translator for GNU MathProg modeling language.
    * Application program interface (API).
 .
 In order to get connections between the internal MathProg model
 objects and external database tables, please install the
 libiodbc2-dev (for the iODBC table driver) and libmysqlclient-dev
 (for the MySQL table driver).

Package: libgltf-0.1-1
Description-md5: 70f62d8a1049e73e44d967993555577d
Description-en: Library for rendering glTF models
 glTF, the GL Transmission Format, is the runtime asset format for the GL APIs:
 WebGL, OpenGL ES, and OpenGL. glTF bridges the gap between formats used by
 modeling tools and the GL APIs.
 .
 LIBGLTF provides methods to load the OpenGL scene from glTF format and render
 it into an existing OpenGL context. LIBGLTF also allows one to change the
 camera position so the scene can be displayed from different points of view.

Package: libgltf-dev
Description-md5: 43a9b47214fe9cb2c47e9b372c360bd4
Description-en: Library for rendering glTF models -- development
 glTF, the GL Transmission Format, is the runtime asset format for the GL APIs:
 WebGL, OpenGL ES, and OpenGL. glTF bridges the gap between formats used by
 modeling tools and the GL APIs.
 .
 LIBGLTF provides methods to load the OpenGL scene from glTF format and render
 it into an existing OpenGL context. LIBGLTF also allows one to change the
 camera position so the scene can be displayed from different points of view.
 .
 This package contains the files needed to build applications using libGLTF.

Package: libgluegen2-build-java
Description-md5: e7d3a58ba4366ceaa417efd7c363d941
Description-en: Tool to automatically generate the Java and JNI code
 Gluegen reads as input ANSI C header files and separate configuration files
 which provide control over many aspects of the glue code generation. GlueGen
 uses a complete ANSI C parser and an internal representation (IR) capable of
 representing all C types to represent the APIs for which it generates
 interfaces. It has the ability to perform significant transformations on the
 IR before glue code emission. GlueGen is currently powerful enough to bind
 even low-level APIs such as the Java Native Interface (JNI) and the AWT Native
 Interface (JAWT) back up to the Java programming language.
 .
 This package contains some Java sources necessary to build gluegen-based
 packages.

Package: libgluegen2-doc
Description-md5: bce8dad7ed52f2931bed6116b2d002f6
Description-en: Tool to automatically generate the Java and JNI code
 Gluegen reads as input ANSI C header files and separate configuration files
 which provide control over many aspects of the glue code generation. GlueGen
 uses a complete ANSI C parser and an internal representation (IR) capable of
 representing all C types to represent the APIs for which it generates
 interfaces. It has the ability to perform significant transformations on the
 IR before glue code emission. GlueGen is currently powerful enough to bind
 even low-level APIs such as the Java Native Interface (JNI) and the AWT Native
 Interface (JAWT) back up to the Java programming language.
 .
 This package includes the API documentation for Gluegen package.

Package: libgluegen2-jni
Description-md5: d1e395a15a54aef7af9c2374b7a81bac
Description-en: Tool to automatically generate the Java and JNI code
 Gluegen reads as input ANSI C header files and separate configuration files
 which provide control over many aspects of the glue code generation. GlueGen
 uses a complete ANSI C parser and an internal representation (IR) capable of
 representing all C types to represent the APIs for which it generates
 interfaces. It has the ability to perform significant transformations on the
 IR before glue code emission. GlueGen is currently powerful enough to bind
 even low-level APIs such as the Java Native Interface (JNI) and the AWT Native
 Interface (JAWT) back up to the Java programming language.
 .
 This package includes the architecture specific java native interface part.

Package: libgluegen2-rt-java
Description-md5: ba3b2527d680e913d6313b9198c4baae
Description-en: Tool to automatically generate the Java and JNI code
 Gluegen reads as input ANSI C header files and separate configuration files
 which provide control over many aspects of the glue code generation. GlueGen
 uses a complete ANSI C parser and an internal representation (IR) capable of
 representing all C types to represent the APIs for which it generates
 interfaces. It has the ability to perform significant transformations on the
 IR before glue code emission. GlueGen is currently powerful enough to bind
 even low-level APIs such as the Java Native Interface (JNI) and the AWT Native
 Interface (JAWT) back up to the Java programming language.
 .
 This package contains the gluegen 2 runtime files.

Package: libglusterfs-dev
Description-md5: 572e6b5c5824230e05799660f98c8cb5
Description-en: Development files for GlusterFS libraries
 GlusterFS is a clustered file-system capable of scaling to several
 petabytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package contains the development files.

Package: libglusterfs0
Description-md5: e193712a1326598b9106f58e985f3ef9
Description-en: GlusterFS shared library
 GlusterFS is a clustered file-system capable of scaling to several
 petabytes. It aggregates various storage bricks over Infiniband RDMA
 or TCP/IP interconnect into one large parallel network file
 system. GlusterFS is one of the most sophisticated file system in
 terms of features and extensibility. It borrows a powerful concept
 called Translators from GNU Hurd kernel. Much of the code in GlusterFS
 is in userspace and easily manageable.
 .
 This package contains libglusterfs.

Package: libglw1-mesa
Description-md5: 2a1b1716fbffade7436d66a7a10ec4cc
Description-en: GL widget library for Athena and Motif -- runtime
 This package provides a simple widgets library, libGLw, which
 allows Motif-based applications to embed an OpenGL drawing context.

Package: libglw1-mesa-dev
Description-md5: 7d2dcdc89de2f65a6c874ccba63fdbd2
Description-en: GL widget library for Athena and Motif -- development files
 This package provides the development environment required for
 compiling programs with the Mesa widgets library, libGLw, which
 allows Motif-based applications to embed an OpenGL drawing context.
 The headers and static libraries for compiling programs that use this
 library are included.

Package: libglyr-dev
Description-md5: b6427117c6b7fa34585db342e7b948e2
Description-en: search engine for music-related metadata - development files
 Glyr is a library to retrieve music-related metadata from several
 online providers (Amazon, Discogs, Musicbrainz...).
 .
 It can be used in media player programs to download cover art, lyrics,
 biography and much more.
 .
 This package contains the header files necessary to build applications using
 libglyr.

Package: libglyr-doc
Description-md5: a74d4f10b78150b972863aa3129afe17
Description-en: search engine for music-related metadata - documentation
 Glyr is a library to retrieve music-related metadata from several
 online providers (Amazon, Discogs, Musicbrainz...).
 .
 It can be used in media player programs to download cover art, lyrics,
 biography and much more.
 .
 This package contains the documentation for developing with libglyr.

Package: libglyr1
Description-md5: 48de1678bf50bdfe6c1c4f667ae15156
Description-en: search engine for music-related metadata
 Glyr is a library to retrieve music-related metadata from several
 online providers (Amazon, Discogs, Musicbrainz...).
 .
 It can be used in media player programs to download cover art, lyrics,
 biography and much more.

Package: libgm2-0
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-alpha-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-amd64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-arm64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-armel-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-armhf-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-hppa-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-i386-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-m68k-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-mips-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-mips64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-mips64el-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-mips64r6-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-mips64r6el-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-mipsel-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-mipsr6-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-mipsr6el-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-ppc64el-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-riscv64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-s390x-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-sparc64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-0-x32-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-alpha-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-amd64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-arm64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-armel-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-armhf-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-hppa-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-i386-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-m68k-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-mips-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-mips64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-mips64el-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-mips64r6-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-mips64r6el-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-mipsel-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-mipsr6-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-mipsr6el-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-ppc64el-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-riscv64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-s390x-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-sparc64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-10-dev-x32-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-alpha-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-amd64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-arm64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-armel-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-armhf-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-hppa-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-i386-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-m68k-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-mips-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-mips64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-mips64el-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-mips64r6-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-mips64r6el-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-mipsel-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-mipsr6-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-mipsr6el-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-ppc64el-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-riscv64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-s390x-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-sparc64-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-15-x32-cross
Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978
Description-en: GNU Modula-2 standard library (runtime library)
 This is the GNU Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-alpha-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-amd64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-arm64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-armel-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-armhf-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-hppa-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-i386-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-m68k-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-mips-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-mips64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-mips64el-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-mips64r6-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-mips64r6el-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-mipsel-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-mipsr6-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-mipsr6el-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-ppc64el-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-riscv64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-s390x-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-sparc64-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgm2-9-dev-x32-cross
Description-md5: e3610e2377e77eddbfb5ac76ab6b4834
Description-en: GNU Modula-2 standard library
 This is the Modula-2 standard library that comes with the gm2 compiler.

Package: libgmavenplus-java
Description-md5: dcc9eda0e4a6a05b1e344470fc818c7a
Description-en: GMavenPlus Plugin
 GMavenPlus Plugin is a rewrite of GMaven, a Maven plugin that allows
 one to integrate Groovy into Maven projects.

Package: libgmbal-commons-java
Description-md5: fdb982a55c8269ac91d26a6194412fb6
Description-en: GlassFish MBean Annotation Library (Common APIs)
 The GlassFish MBean Annotation Library (gmbal, pronounced as in "gumball")
 is a runtime annotation processor that creates Open MBeans. This is useful
 for creating a management API for existing code with minimal effort. It is
 intended to be applied to existing modules (which may be OSGi bundles in
 GlassFish v3, or any other packaging model including standard jar files),
 but could be used anywhere that it is desired to combine the definition of
 the management API with the module API and implementation.
 .
 Gmbal is not limited to use in GlassFish. Gmbal is completely independent
 of GlassFish, and may be used in a context where GlassFish is not present.
 .
 This package contains the common APIs used by Gmbal.

Package: libgmbal-java
Description-md5: 23c420069473fe71a7593986fbce1289
Description-en: GlassFish MBean Annotation Library
 The GlassFish MBean Annotation Library (gmbal, pronounced as in "gumball")
 is a runtime annotation processor that creates Open MBeans. This is useful
 for creating a management API for existing code with minimal effort. It is
 intended to be applied to existing modules (which may be OSGi bundles in
 GlassFish v3, or any other packaging model including standard jar files),
 but could be used anywhere that it is desired to combine the definition of
 the management API with the module API and implementation.
 .
 Gmbal is not limited to use in GlassFish. Gmbal is completely independent
 of GlassFish, and may be used in a context where GlassFish is not present.

Package: libgmbal-pfl-java
Description-md5: 2ba786c83e0aba1c4d27e367f17fe138
Description-en: GlassFish MBean Annotation Library (Primitive Function Library)
 The GlassFish MBean Annotation Library (gmbal, pronounced as in "gumball")
 is a runtime annotation processor that creates Open MBeans. This is useful
 for creating a management API for existing code with minimal effort. It is
 intended to be applied to existing modules (which may be OSGi bundles in
 GlassFish v3, or any other packaging model including standard jar files),
 but could be used anywhere that it is desired to combine the definition of
 the management API with the module API and implementation.
 .
 Gmbal is not limited to use in GlassFish. Gmbal is completely independent
 of GlassFish, and may be used in a context where GlassFish is not present.
 .
 This package contains the Primitive Function Library used by Gmbal (PFL)

Package: libgme-dev
Description-md5: b758c6a36acd0e78cad9a2319debd624
Description-en: Playback library for video game music files - development files
 game-music-emu is a collection of video game music file emulators that
 support the following formats and systems:
  * AY        ZX Spectrum/Amstrad CPC
  * GBS       Nintendo Game Boy
  * GYM       Sega Genesis/Mega Drive
  * HES       NEC TurboGrafx-16/PC Engine
  * KSS       MSX Home Computer/other Z80 systems (doesn't support FM sound)
  * NSF/NSFE  Nintendo NES/Famicom (with VRC 6, Namco 106, and FME-7 sound)
  * SAP       Atari systems using POKEY sound chip
  * SPC       Super Nintendo/Super Famicom
  * VGM/VGZ   Sega Master System/Mark III, Sega Genesis/Mega Drive,BBC Micro
 .
 This package contains the header files, static libraries
 and symbolic links that developers using libgme will need.

Package: libgme0
Description-md5: 24e219274f4789e9e9530f2c2958f7ef
Description-en: Playback library for video game music files - shared library
 game-music-emu is a collection of video game music file emulators that
 support the following formats and systems:
  * AY        ZX Spectrum/Amstrad CPC
  * GBS       Nintendo Game Boy
  * GYM       Sega Genesis/Mega Drive
  * HES       NEC TurboGrafx-16/PC Engine
  * KSS       MSX Home Computer/other Z80 systems (doesn't support FM sound)
  * NSF/NSFE  Nintendo NES/Famicom (with VRC 6, Namco 106, and FME-7 sound)
  * SAP       Atari systems using POKEY sound chip
  * SPC       Super Nintendo/Super Famicom
  * VGM/VGZ   Sega Master System/Mark III, Sega Genesis/Mega Drive,BBC Micro
 .
 This package contains the shared libraries necessary to run programs
 using libgme.

Package: libgmerlin-avdec-dev
Description-md5: ec7f2a028c76adea9b6dd5c6e5d8d25f
Description-en: general multimedia decoding library (development files)
 Gmerlin_avdecoder is a general purpose media decoding library. It was
 written as a support library for gmerlin, but it can also be used by other
 applications. You don't even need gmerlin installed, only gavl.
 .
 This package provides the files necessary to compile an application
 using Gmerlin_avdecoder.

Package: libgmerlin-avdec-doc
Description-md5: 3dd03ea28d770afed263b959ee6e2dc9
Description-en: general multimedia decoding library (documentation)
 Gmerlin_avdecoder is a general purpose media decoding library. It was
 written as a support library for gmerlin, but it can also be used by other
 applications. You don't even need gmerlin installed, only gavl.
 .
 This package provides the API documentation for Gmerlin_avdecoder.

Package: libgmerlin-avdec1
Description-md5: c7cd58abd374a06de511200d3375995a
Description-en: general multimedia decoding library
 Gmerlin_avdecoder is a general purpose media decoding library. It was
 written as a support library for gmerlin, but it can also be used by other
 applications. You don't even need gmerlin installed, only gavl.
 .
 This package provides the shared object necessary to run an application
 using Gmerlin_avdecoder.

Package: libgmerlin-common
Description-md5: da056f132ac055dc903fe86f3cbb32d6
Description-en: core library for gmerlin - common runtime files
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 This package contains the common files needed by libgmerlin.

Package: libgmerlin-dev
Description-md5: b6688786de0ba18c134d84abbf407b68
Description-en: core library for gmerlin - development files
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 This package contains the files needed to compile and statically link
 against libgmerlin.

Package: libgmerlin0
Description-md5: 3718be84d25055e7ff76a9fd864fcf94
Description-en: core library for gmerlin - runtime files
 Gmerlin is a multiformat media player with tree-like virtual directory
 structure, where you can save your files, webstreams or whatever. It
 handles even large media collections gracefully. Hardware devices appear
 also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and
 DVB-broadcasts.
 .
 This package contains the files needed to run a program compiled using
 libgmerlin.

Package: libgmetric4j-java
Description-md5: 1e7c3ee5bee75b0d9d8a3e0144aa03ae
Description-en: gmetric4j Ganglia metric transmission API
 gmetric4j is a 100% java, configurable Ganglia agent that periodically polls
 arbitrary attributes and reports their values to Ganglia.
 .
 It supports both the v3.1 wire format and the legacy wire format.

Package: libgmetrics-groovy-java
Description-md5: 9aff8ffeb9ed10f22082f237880ca23c
Description-en: Groovy library that provides reports and metrics for Groovy code
 GMetrics provides calculation and reporting of size and
 complexity metrics for Groovy source code, by scanning the
 code with an Ant Task, applying a set of metrics, and
 generating an HTML or XML report of the results.

Package: libgmetrics-groovy-java-doc
Description-md5: ba94d60f74001cd86037c69f719cd0a8
Description-en: Documentation for libgmetrics-groovy-java
 Documentation for GMetrics that is a library providing
 calculation and reporting of size and complexity metrics for
 Groovy source code, by scanning the code with an Ant Task,
 applying a set of metrics, and generating an HTML or XML
 report of the results.

Package: libgmic-dev
Description-md5: 4804366e56f7ce6281f558af3635f4e1
Description-en: GREYC's Magic for Image Computing - development files
 G'MIC is an open and full-featured framework for image processing,
 providing several different user interfaces to
 convert/manipulate/filter/visualize generic image datasets, from 1d
 scalar signals to 3d+t sequences of multi-spectral volumetric images.
 .
 This package contains the development files for libgmic.

Package: libgmic1
Description-md5: 4d6263ec41facfa9c6de9e783f002c1b
Description-en: GREYC's Magic for Image Computing - shared library
 G'MIC is an open and full-featured framework for image processing,
 providing several different user interfaces to
 convert/manipulate/filter/visualize generic image datasets, from 1d
 scalar signals to 3d+t sequences of multi-spectral volumetric images.
 .
 This package contains the shared library.

Package: libgmime-2.6-0
Description-md5: 3d75933fb938b215c8a012a7b81cd0d1
Description-en: MIME message parser and creator library (old 2.6 version)
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains the shared library of the older version of GMime.
 .
 It is recommended to use libgmime-3.0-0 instead of this package.

Package: libgmime-2.6-dev
Description-md5: 3751e7dd31e1d55701984dd2512669c1
Description-en: MIME message parser and creator library (old 2.6 version) - development files
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains the development files of the older version of
 GMime for programmers using C or Vala.
 .
 It is recommended to use libgmime-3.0-dev instead of this package.

Package: libgmime-2.6-doc
Description-md5: 13d5526206e53b5e1e0c3e312ac62e13
Description-en: MIME message parser and creator library (old 2.6 version) - documentation
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains the documentation and examples of the older
 version GMime.
 .
 It is recommended to use libgmime-3.0-doc instead of this package.

Package: libgmime-3.0-0
Description-md5: 005dcaefbc123c43f02896ea12b1d5e2
Description-en: MIME message parser and creator library
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains the shared library of GMime.

Package: libgmime-3.0-dev
Description-md5: 70d48d0240c16e9bce9ec67f0ccda782
Description-en: MIME message parser and creator library - development files
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains the development files of GMime for programmers
 using C or Vala.

Package: libgmime-3.0-doc
Description-md5: 22a37969f19bd66d18dc07c3c13a5719
Description-en: MIME message parser and creator library - documentation
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains the documentation and examples of GMime.

Package: libgmime2.6-cil
Description-md5: a564e00b5fa5d350f1fe127b9dce328c
Description-en: CLI binding for the GMime library (old 2.6 version)
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains the CLI binding for the older version of the
 GMime library.
 .
 This package is deprecated.  Users should switch to MimeKit instead.

Package: libgmime2.6-cil-dev
Description-md5: 1959bed61d2327624ac94405516d70e4
Description-en: CLI binding for the GMime library (old 2.6 version) -- development files
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This package contains development files for the older version of the
 gmime-sharp library, and should be used for compilation.
 .
 This package is deprecated.  Users should switch to MimeKit instead.

Package: libgmlib-dev
Description-md5: 95c20609641dbef806f72a50d72ccb99
Description-en: gnome-mplayer library (development files)
 A set of functions used by gnome-mplayer and gecko-mediaplayer. It provides
 functions to manipulate audio devices and manage configuration settings.
 .
 This package provides the development files.

Package: libgmlib1
Description-md5: 87dd5f55901250beae932c290611e8e1
Description-en: gnome-mplayer library (shared library)
 A set of functions used by gnome-mplayer and gecko-mediaplayer. It provides
 functions to manipulate audio devices and manage configuration settings.
 .
 This package provides the shared library.

Package: libgmlib1-dbg
Description-md5: 9f8b147f180b3855d58b572282513d2b
Description-en: gnome-mplayer library (debugging symbols)
 A set of functions used by gnome-mplayer and gecko-mediaplayer. It provides
 functions to manipulate audio devices and manage configuration settings.
 .
 This package provides the debugging symbols for the shared library.

Package: libgmm++-dev
Description-md5: 0bfec9c5aec6af1eabdad601b9e69a74
Description-en: Generic C++ template library for sparse, dense and skyline matrices
 GMM++ is a framework of pre-defined methods for matrix computation. It is built
 as a set of generic algorithms for any interfaced vector type or matrix type.
 .
 It can be viewed as a glue library allowing cooperation between several vector
 and matrix types. However, basic sparse, dense and skyline matrix/vector types
 are built-in, hence it can be used as a standalone linear algebra library.

Package: libgmock-dev
Description-md5: 802e748703d8cf2b5fa2f078623e1006
Description-en: Google's framework for writing C++ tests
 Inspired by jMock, EasyMock, and Hamcrest, and designed with C++'s
 specifics in mind, it can help you derive better designs of your
 system and write better tests.
 .
 Google Mock:
 .
  - provides a declarative syntax for defining mocks,
  - can easily define partial (hybrid) mocks, which are a cross of real
    and mock objects,
  - handles functions of arbitrary types and overloaded functions,
  - comes with a rich set of matchers for validating function arguments,
  - uses an intuitive syntax for controlling the behavior of a mock,
  - does automatic verification of expectations (no record-and-replay
    needed),
  - allows arbitrary (partial) ordering constraints on
    function calls to be expressed,
  - lets a user extend it by defining new matchers and actions.
  - does not use exceptions, and
  - is easy to learn and use.

Package: libgmp-ocaml
Description-md5: 640950983db2d27f5573cd78bd3d8924
Description-en: OCaml bindings for the GNU multiprecision arithmetic library
 This package provides bindings for the GNU multiprecision library
 (GNU MP) for the language OCaml (caml.inria.fr).  It is mostly a 1-1 mapping
 of the C functions into the OCaml namespace, but also includes some
 infix operators to make for a cleaner syntax.
 .
 This package provides the shared library for running applications linked
 against libgmp-ocaml

Package: libgmp-ocaml-dev
Description-md5: b4e61d7196c2d7ed9446b0a97dd435f0
Description-en: OCaml bindings for the GNU multiprecision arithmetic library
 This package provides bindings for the GNU multiprecision library
 (GNU MP) for the language OCaml(caml.inria.fr).  It is mostly a 1-1 mapping
 of the C functions into the OCaml namespace, but also includes some
 infix operators to make for a cleaner syntax.
 .
 This package provides the static library, OCaml library and interface
 for developing applications to use libgmp-ocaml

Package: libgmpada7
Description-md5: 7bea158d691018123ea67be2ac28d05b
Description-en: Ada binding to the GNU MultiPrecision library: shared library
 GMPAda allows programmers to use the GNU MultiPrecision library
 within the Ada language: unbounded integers, rationals and
 floats.
 .
 This package contains the runtime shared library.

Package: libgmpada9-dev
Description-md5: 8da58d210fa6380873b2251aa68ebd8e
Description-en: Ada binding to the GNU MultiPrecision library: development
 GMPAda allows programmers to use the GNU MultiPrecision library
 within the Ada language: unbounded integers, rationals and
 floats.
 .
 Install this package if you want to write programs that
 use libgmpada.

Package: libgmsh-dev
Description-md5: 14114da3fa0b899eded25d95ae9b1ea9
Description-en: Three-dimensional finite element mesh generator development files
 Gmsh is a 3D finite element grid generator with a build-in CAD engine
 and post-processor. Its design goal is to provide a fast, light and
 user-friendly meshing tool with parametric input and advanced
 visualization capabilities. Gmsh is built around four modules: geometry,
 mesh, solver and post-processing. The specification of any input to
 these modules is done either interactively using the graphical user
 interface or in ASCII text files using Gmsh's own scripting language.
 .
 See Gmsh's reference manual for a more thorough overview of Gmsh's
 capabilities.
 .
 The package contains development files.

Package: libgmsh4
Description-md5: 8c8acd23a2e0bcc020fb194e64086e7d
Description-en: Three-dimensional finite element mesh generator shared library
 Gmsh is a 3D finite element grid generator with a build-in CAD engine
 and post-processor. Its design goal is to provide a fast, light and
 user-friendly meshing tool with parametric input and advanced
 visualization capabilities. Gmsh is built around four modules: geometry,
 mesh, solver and post-processing. The specification of any input to
 these modules is done either interactively using the graphical user
 interface or in ASCII text files using Gmsh's own scripting language.
 .
 See Gmsh's reference manual for a more thorough overview of Gmsh's
 capabilities.
 .
 The package contains a shared library.

Package: libgmt-dev
Description-md5: 718e2e26474871bdb6b479cf8b0e93a5
Description-en: Generic Mapping Tools Library - Development files
 These set of libraries allow manipulating geographic and Cartesian data sets
 (including filtering, trend fitting, gridding, projecting, etc.) and
 producing Encapsulated PostScript File (EPS) illustrations ranging from
 simple x-y plots via contour maps to artificially illuminated surfaces
 and 3-D perspective views.
 .
 This package contains the files used for development of programs that
 use the GMT libraries (headers).

Package: libgmt6
Description-md5: 46ce4ae4113ab8e140ed6370cac94112
Description-en: Generic Mapping Tools Library
 GMT libraries allow manipulating geographic and Cartesian data sets
 (including filtering, trend fitting, gridding, projecting, etc.) and
 producing Encapsulated PostScript File (EPS) illustrations ranging from
 simple x-y plots via contour maps to artificially illuminated surfaces
 and 3-D perspective views.
 .
 This package contains the GMT library.

Package: libgmtk-dev
Description-md5: 39b547f4ab0e112eabe3ef17418d7573
Description-en: gnome-mplayer toolkit (development files)
 A set of GTK+ widgets used by gnome-mplayer. It provides, amongst others,
 gnome-mplayer's media player widget.
 .
 This package provides the development files.

Package: libgmtk1
Description-md5: 4f965dbe43a3f5618c4b7a9bf74241ca
Description-en: gnome-mplayer toolkit (shared library)
 A set of GTK+ widgets used by gnome-mplayer. It provides, amongst others,
 gnome-mplayer's media player widget.
 .
 This package provides the shared library.

Package: libgmtk1-data
Description-md5: 2c8409a9b3c203d2667fb0e0d80296d1
Description-en: gnome-mplayer toolkit (common files)
 A set of GTK+ widgets used by gnome-mplayer. It provides, amongst others,
 gnome-mplayer's media player widget.
 .
 This package is required for the shared library to display messages in
 languages other than English.

Package: libgmtk1-dbg
Description-md5: facda74d92a59af9ae1ab5c6c4485aca
Description-en: gnome-mplayer toolkit (debugging symbols)
 A set of GTK+ widgets used by gnome-mplayer. It provides, amongst others,
 gnome-mplayer's media player widget.
 .
 This package provides the debugging symbols for the shared library.

Package: libgnat-10
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-alpha-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-amd64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-arm64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-armel-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-armhf-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-hppa-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-i386-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-m68k-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-powerpc-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-ppc64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-ppc64el-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-riscv64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-s390x-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-sh4-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-sparc64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-10-x32-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-7
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-alpha-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-amd64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-arm64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-armel-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-armhf-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-hppa-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-i386-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-m68k-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-powerpc-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-ppc64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-ppc64el-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-s390x-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-sh4-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-sparc64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-8-x32-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-alpha-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-amd64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-arm64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-armel-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-armhf-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-hppa-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-i386-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-m68k-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-mips-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-mips64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-mips64el-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-mips64r6-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-mips64r6el-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-mipsel-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-mipsr6-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-mipsr6el-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-powerpc-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-ppc64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-ppc64el-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-riscv64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-s390x-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-sh4-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-sparc64-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-9-x32-cross
Description-md5: 27cdab3b846cfe71a546e1fbdc98570c
Description-en: runtime for applications compiled with GNAT (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat library provides runtime components needed by most
 applications produced with GNAT.
 .
 This package contains the runtime shared library.

Package: libgnat-util10
Description-md5: 779574a79756ce734b22ab6bfe737dcd
Description-en: GNU Ada compiler selected components (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat_util library exports selected GNAT components for use in other
 packages, most notably ASIS tools. It is licensed under the GNAT-Modified
 GPL, allowing to link proprietary programs with it.
 .
 This package contains the runtime shared library.

Package: libgnat-util10-dev
Description-md5: c608feb0a9e882c50bcdc53b09ab4987
Description-en: GNU Ada compiler selected components (development files)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnat_util library exports selected GNAT components for use in other
 packages, most notably ASIS tools. It is licensed under the GNAT-Modified
 GPL, allowing to link proprietary programs with it.
 .
 This package contains the development files and static library.

Package: libgnatcoll-doc
Description-md5: 26a398f3d961ac4a346672c87013b97e
Description-en: GNATColl, general purpose Ada library (documentation)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the documentation in text, PDF and HTML.

Package: libgnatcoll-gmp18-dev
Description-md5: 4b5123c2fe2d34a037bf524dfd2a1943
Description-en: GNATColl, general purpose Ada library (GMP)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 for the binding with the GNU Multiprecision arithmetic C library.

Package: libgnatcoll-gmp19
Description-md5: d26df4d0e49b152d361d1458e2e9dde7
Description-en: GNATColl, general purpose Ada library (GMP runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the GMP binding.

Package: libgnatcoll-iconv18-dev
Description-md5: 16ceda8383f42f8dd888f53e0ec5baa3
Description-en: GNATColl, general purpose Ada library (iconv)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 for the binding with the Iconv C library.

Package: libgnatcoll-iconv19
Description-md5: d390f0db1edaea839b06c6f75bcf3a6a
Description-en: GNATColl, general purpose Ada library (iconv runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the iconv
 binding.

Package: libgnatcoll-lzma1
Description-md5: e1df8e475b807c63a333123b076c2d98
Description-en: GNATColl, general purpose Ada library (lzma runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the lzma binding.

Package: libgnatcoll-lzma1-dev
Description-md5: 021d1f6dca8f2704f30e2d5b86293627
Description-en: GNATColl, general purpose Ada library (lzma)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 for the binding with the lzma C library.

Package: libgnatcoll-python18
Description-md5: c240cb49ffc75bf878b0a6822972c573
Description-en: GNATColl, general purpose Ada library (Python runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for Python
 scripting.

Package: libgnatcoll-python18-dev
Description-md5: 3f09522e819ec382a1d744acf8621b39
Description-en: GNATColl, general purpose Ada library (Python)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 adding Python as scripting language.

Package: libgnatcoll-readline18-dev
Description-md5: fe98d26e606eac28d63466fdeee1b033
Description-en: GNATColl, general purpose Ada library (readline)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 for the binding with GNU readline and history libraries.

Package: libgnatcoll-readline19
Description-md5: 4350794fe4bbf8bc5280097ca1ac7fde
Description-en: GNATColl, general purpose Ada library (readline runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the readline
 binding.

Package: libgnatcoll-sql2
Description-md5: 2f8e1c4415dadde031e4c2db24bad3b8
Description-en: GNATColl, general purpose Ada library (SQL runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the SQL
 extension.

Package: libgnatcoll-sql2-dev
Description-md5: 5ded2ed7178d6644458a348a63fb53d1
Description-en: GNATColl, general purpose Ada library (SQL)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 dedicated to SQL databases manipulation.
 .
 It connects to actual databases via a sqlite or a postgres backend,
 but only the former exists in Debian due to license reasons.

Package: libgnatcoll-sqlite-bin
Description-md5: 3b1d1f9c721c85ba936c8a7bb096be8a
Description-en: GNATColl, general purpose Ada library (SQLite tools)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the tools dedicated to SQLite database
 manipulation (gnatcoll_db2ada and gnatinspect).
 .
 The former only accepts the sqlite backend, because the PostGreSQL
 library and the non-commercial GNATColl carry incompatible licenses.

Package: libgnatcoll-sqlite18-dev
Description-md5: 5b32702940f4de2bfaccc48b11a8e20b
Description-en: GNATColl, general purpose Ada library (SQLite)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 dedicated to SQLite databases manipulation.

Package: libgnatcoll-sqlite19
Description-md5: 819bc3379f093fa5dbaadab6f96645e4
Description-en: GNATColl, general purpose Ada library (sqlite runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the SQLite
 extension.

Package: libgnatcoll-syslog2
Description-md5: 3ac26e85687e127aa9c8043450674830
Description-en: GNATColl, general purpose Ada library (syslog runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the syslog binding.

Package: libgnatcoll-syslog2-dev
Description-md5: c5b23484db2bcd3662f0b41a06d1fcd5
Description-en: GNATColl, general purpose Ada library (syslog)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 for the binding with the syslog C library.

Package: libgnatcoll-xref19
Description-md5: 8b000300b398786dce0e2f3a3d23a958
Description-en: GNATColl, general purpose Ada library (XRef runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the XRef
 extension.

Package: libgnatcoll-xref19-dev
Description-md5: 2290079f9d2481f27b733851fc3f33a9
Description-en: GNATColl, general purpose Ada library (XRef)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 for the XRef extension.

Package: libgnatcoll-zlib1
Description-md5: a41efa7d66b4bdfccc7ac188e4adbf9e
Description-en: GNATColl, general purpose Ada library (zlib runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library for the zlib binding.

Package: libgnatcoll-zlib1-dev
Description-md5: 1ddf1a3f98c1ad83224ee29a16bf3fa6
Description-en: GNATColl, general purpose Ada library (zlib)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications
 for the binding with the zlib C library.

Package: libgnatcoll18
Description-md5: b3d5d31a6df778005925d6d25de9b5df
Description-en: GNATColl, general purpose Ada library (runtime)
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the runtime shared library.

Package: libgnatcoll18-dev
Description-md5: 8488ab8cd5a7f49ffd58bed9bf38d9ae
Description-en: GNATColl, general purpose Ada library
 The GNAT Component Collection deals with: module tracing, efficient
 file IO, static string searching (Boyer-Moore), e-mails and
 mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging,
 shell scripting. Components relying on external dependencies are
 distributed in separate packages.
 .
 This package contains the static library and Ada specifications.

Package: libgnatprj7
Description-md5: 9991f16dad10eeea3a1ef31ba5dc237d
Description-en: support for programs processing GNAT projects (runtime)
 GNAT projects are human-readable text files used to drive tools
 building or inspecting lots of source files in several programming
 languages, like those provided by the gprbuild package.
 .
 This package contains the runtime shared library.

Package: libgnatprj7-dev
Description-md5: 0312c686dd6f58d9fd3e8c1000d21285
Description-en: support for programs processing GNAT projects (development)
 GNAT projects are human-readable text files used to drive tools
 building or inspecting lots of source files in several programming
 languages, like those provided by the gprbuild package.
 .
 This package contains the development tools.

Package: libgnatvsn7
Description-md5: 75e330fcf9a585cbfe2857d4468e3c84
Description-en: GNU Ada compiler selected components (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnatvsn library exports selected GNAT components for use in other
 packages, most notably ASIS tools. It is licensed under the GNAT-Modified
 GPL, allowing to link proprietary programs with it.
 .
 This package contains the runtime shared library.

Package: libgnatvsn7-dev
Description-md5: fa344c0d231c640dd6ef6c54f6b9640a
Description-en: GNU Ada compiler selected components (development files)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnatvsn library exports selected GNAT components for use in other
 packages, most notably ASIS tools. It is licensed under the GNAT-Modified
 GPL, allowing to link proprietary programs with it.
 .
 This package contains the development files and static library.

Package: libgnatvsn8
Description-md5: 75e330fcf9a585cbfe2857d4468e3c84
Description-en: GNU Ada compiler selected components (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnatvsn library exports selected GNAT components for use in other
 packages, most notably ASIS tools. It is licensed under the GNAT-Modified
 GPL, allowing to link proprietary programs with it.
 .
 This package contains the runtime shared library.

Package: libgnatvsn8-dev
Description-md5: fa344c0d231c640dd6ef6c54f6b9640a
Description-en: GNU Ada compiler selected components (development files)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnatvsn library exports selected GNAT components for use in other
 packages, most notably ASIS tools. It is licensed under the GNAT-Modified
 GPL, allowing to link proprietary programs with it.
 .
 This package contains the development files and static library.

Package: libgnatvsn9
Description-md5: 75e330fcf9a585cbfe2857d4468e3c84
Description-en: GNU Ada compiler selected components (shared library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnatvsn library exports selected GNAT components for use in other
 packages, most notably ASIS tools. It is licensed under the GNAT-Modified
 GPL, allowing to link proprietary programs with it.
 .
 This package contains the runtime shared library.

Package: libgnatvsn9-dev
Description-md5: fa344c0d231c640dd6ef6c54f6b9640a
Description-en: GNU Ada compiler selected components (development files)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 The libgnatvsn library exports selected GNAT components for use in other
 packages, most notably ASIS tools. It is licensed under the GNAT-Modified
 GPL, allowing to link proprietary programs with it.
 .
 This package contains the development files and static library.

Package: libgnokii-dbg
Description-md5: b35dee65d8eca45cfe6171317301f220
Description-en: Gnokii mobile phone interface library (development files)
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This package provides the debug symbols for libgnokii.

Package: libgnokii-dev
Description-md5: 437f57c3d3f964ef662b079c5312f15f
Description-en: Gnokii mobile phone interface library (development files)
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This package provides the devel headers used by applications that wish to link
 against libgnokii.

Package: libgnokii7
Description-md5: 63c8db5e14865c54e1651fb552e492ca
Description-en: Gnokii mobile phone interface library
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This package provides the library used by the gnokii suite to communicate
 with the phones.

Package: libgnome-panel-dev
Description-md5: 289c5ca32df886998f73de4d7ff453c9
Description-en: new library for GNOME Panel modules - development files
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package provides the development files for writing GNOME Panel modules.

Package: libgnome-panel-doc
Description-md5: 770d09e23d7ec5659e6576db7f125e81
Description-en: new library for GNOME Panel modules - documentation files
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package provides the documentation files for libgnome-panel.

Package: libgnome-panel0
Description-md5: 0b8b08077fef5d7496e8b68d3624dd74
Description-en: new library for GNOME Panel modules
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package contains the shared library, that is used by GNOME Panel
 modules.

Package: libgnomecanvas2-0
Description-md5: 2a48f9de3bcc2a09a1e0a39787605785
Description-en: powerful object-oriented display engine - runtime files
 The canvas widget is a powerful and extensible object-oriented display
 engine. A GnomeCanvasItem is a GtkObject representing some element of the
 display, such as an image, a rectangle, an ellipse, or some text. You can
 refer to this architecture as structured graphics; the canvas lets you deal
 with graphics in terms of items, rather than an undifferentiated grid of
 pixels.
 .
 This package is for legacy applications and no longer used by GNOME,
 please use GTK+ 4 for new development.

Package: libgnomecanvas2-common
Description-md5: b7fd50e7894f01bc14a18e45544b050d
Description-en: powerful object-oriented display engine - common files
 The canvas widget is a powerful and extensible object-oriented display
 engine. A GnomeCanvasItem is a GtkObject representing some element of the
 display, such as an image, a rectangle, an ellipse, or some text. You can
 refer to this architecture as structured graphics; the canvas lets you deal
 with graphics in terms of items, rather than an undifferentiated grid of
 pixels.
 .
 This package contains internationalization files.
 .
 This package is for legacy applications and no longer used by GNOME,
 please use GTK+ 4 for new development.

Package: libgnomecanvas2-dev
Description-md5: 1e1268cb5b7251a85db0007fbf653893
Description-en: powerful object-oriented display engine - development files
 The canvas widget is a powerful and extensible object-oriented display
 engine. A GnomeCanvasItem is a GtkObject representing some element of the
 display, such as an image, a rectangle, an ellipse, or some text. You can
 refer to this architecture as structured graphics; the canvas lets you deal
 with graphics in terms of items, rather than an undifferentiated grid of
 pixels.
 .
 This package is for legacy applications and no longer used by GNOME,
 please use GTK+ 4 for new development.

Package: libgnomecanvas2-doc
Description-md5: 5366102555e5f69b3d74d7ba9b82d5b9
Description-en: powerful object-oriented display engine - documentation files
 The canvas widget is a powerful and extensible object-oriented display
 engine. A GnomeCanvasItem is a GtkObject representing some element of the
 display, such as an image, a rectangle, an ellipse, or some text. You can
 refer to this architecture as structured graphics; the canvas lets you deal
 with graphics in terms of items, rather than an undifferentiated grid of
 pixels.
 .
 This package contains documentation files.
 .
 This package is provided for legacy applications and no longer used
 by GNOME, please use GTK+ 4 for new development.

Package: libgnomecanvasmm-2.6-1v5
Description-md5: 31390fa9c1d52a9f4b33c8ecc2ef32bc
Description-en: C++ wrappers for libgnomecanvas2 (shared library)
 libgnomecanvas is a high-level, structured graphics
 library. libgnomecanvasmm is the C++ wrapper for libgnomecanvas.
 .
 This package contains shared libraries.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libgnomecanvasmm-2.6-dev
Description-md5: 07039597b85872c39bfe5765a429b1e0
Description-en: C++ wrappers for libgnomecanvas2 (development files)
 libgnomecanvas is a high-level, structured graphics
 library. libgnomecanvasmm is the C++ wrapper for libgnomecanvas.
 .
 This package contains development files.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libgnomecanvasmm-2.6-doc
Description-md5: 0f314ce942177657463b3b9ef340dc2e
Description-en: C++ wrappers for libgnomecanvas2 (documentation)
 libgnomecanvas is a high-level, structured graphics
 library. libgnomecanvasmm is the C++ wrapper for libgnomecanvas.
 .
 This package contains reference documentation and examples.
 .
 This package is for legacy applications and no longer used by GNOME.

Package: libgnuastro-dev
Description-md5: 3ad4c629ec0b00d907efb033a36a4041
Description-en: GNU Astronomy Utilities development files
 GNU Astronomy Utilities (Gnuastro) is a collection of programs (the
 `gnuastro' package) and librarires (this package along with `libgnuastro9')
 for astronomical data analysis and manipulation. The programs can be run
 on the command-line for efficient and easy usage and the libraries can be
 used within C and C++ programs.
 .
 This package contains Gnuastro's headers and static libraries.

Package: libgnuastro9
Description-md5: 7290bd7609e6116dbeaa8df34c4b4630
Description-en: GNU Astronomy Utilities shared libraries
 GNU Astronomy Utilities (Gnuastro) is a collection of programs (the
 `gnuastro' package) and librarires (this package along with
 `libgnuastro-dev') for astronomical data analysis and manipulation.
 The programs can be run on the command-line for efficient and easy
 usage and the libraries can be used within C and C++ programs.
 .
 This package contains Gnuastro's shared librarires.

Package: libgnucap-dev
Description-md5: b372e129a230fcb54d56216f8b54b7bb
Description-en: GNU Circuit Analysis package, development library
 Gnucap is a general purpose circuit simulator. It performs nonlinear
 dc and transient analyses, Fourier analysis, and ac analysis
 linearized at an operating point. It is fully interactive and
 command driven. It can also be run in batch mode or as a server.
 .
 This package contains the symlink to the shared library.

Package: libgnucap0
Description-md5: 823e95bd390c2734c7213fd705910f51
Description-en: GNU Circuit Analysis package, the library
 Gnucap is a general purpose circuit simulator. It performs nonlinear
 dc and transient analyses, Fourier analysis, and ac analysis
 linearized at an operating point. It is fully interactive and
 command driven. It can also be run in batch mode or as a server.
 .
 This package contains the shared library.

Package: libgnudatalanguage0
Description-md5: 3f7e764b56df4e42f651f46a08f8b230
Description-en: Free IDL compatible incremental compiler shared library
 GNU Data Language (GDL) is a free IDL (Interactive Data Language)
 compatible incremental compiler. It has full syntax compatibility
 with IDL 7.1. IDL is a registered trademark of ITT Visual Information
 Solutions.
 .
 This package contains the shared library which is used by the different
 frontends (gdl binary, Python package).

Package: libgnuift0-dev
Description-md5: 3b5dae64847d27621b12b446a69b0e74
Description-en: libgnuift development files
 The GIFT (the GNU Image-Finding Tool) is a Content Based Image
 Retrieval System (CBIRS). It enables you to do Query By Example on
 images, giving you the opportunity to improve query results by
 relevance feedback. For processing your queries the program relies
 entirely on the content of the images, freeing you from the need
 to annotate all images before querying the collection.
 .
 The GIFT is an open framework. The communication protocol for
 client-server communication, MRML, is XML based and fully documented
 (http://www.mrml.net).
 .
 To avoid a name clash with the "gift" package (a fasttrack filesharing
 client), these packages have been named "gnuift" (also to stress that
 gnuift is a GNU project).

Package: libgnuift0v5
Description-md5: a21bcf100fe225b3285273bc97400968
Description-en: GNU Image Finding Tool - libraries
 The GIFT (the GNU Image-Finding Tool) is a Content Based Image
 Retrieval System (CBIRS). It enables you to do Query By Example on
 images, giving you the opportunity to improve query results by
 relevance feedback. For processing your queries the program relies
 entirely on the content of the images, freeing you from the need
 to annotate all images before querying the collection.
 .
 The GIFT is an open framework. The communication protocol for
 client-server communication, MRML, is XML based and fully documented
 (http://www.mrml.net).
 .
 To avoid a name clash with the "gift" package (a fasttrack filesharing
 client), these packages have been named "gnuift" (also to stress that
 gnuift is a GNU project).

Package: libgnupg-interface-perl
Description-md5: 70ef1402a8d8f6eed269773c063db8ae
Description-en: Perl interface to GnuPG
 GnuPG::Interface and its associated modules are designed
 to provide an object-oriented method for interacting with
 GnuPG, being able to perform functions such as but not
 limited to encrypting, signing, decryption, verification,
 and key-listing parsing.

Package: libgnupg-perl
Description-md5: 9c5a19b65600a238a20fc8d01e0c892e
Description-en: interface to GnuPG 1.4 using its coprocess interface
 GnuPG is a Perl module that provides a limited programmatic interface to the
 GNU Privacy Guard program. It uses the coprocess hook system provided by gpg
 and communicates using shared memory. It attempts to map the interactive
 interface offered by the gpg command-line tool to a more programmatic API.
 .
 This module is compatible with the "classic" 1.4 version of gnupg only. It
 does not work with modern gnupg 2.1x currently.

Package: libgnuplot-iostream-dev
Description-md5: 6ad993349cbfc62ed61a58179ed6c921
Description-en: C++ programming interface for gnuplot. Headers
 This interface allows gnuplot to be controlled from C++ and is designed to be
 the lowest hanging fruit. In other words, if you know how gnuplot works it
 should only take 30 seconds to learn this library. Basically it is just an
 iostream pipe to gnuplot with some extra functions for pushing data arrays and
 getting mouse clicks. Data sources include STL containers (eg. vector or map)
 and one or two dimensional Blitz++ arrays (of scalars or TinyVectors). Support
 for other data sources should be easy to add.
 .
 Basically there are two functions defined: send() sends arrays of data values
 (it is overloaded to do the right thing based upon what type of variable you
 pass) and getMouse() gets the position of a mouse click. Everything else is
 accomplished by sending commands manually to gnuplot via the iostream
 interface.

Package: libgnuplot-iostream-doc
Description-md5: 53b4c208396354cc1590eb591c9ad318
Description-en: C++ programming interface for gnuplot. Docs and examples
 This interface allows gnuplot to be controlled from C++ and is designed to be
 the lowest hanging fruit. In other words, if you know how gnuplot works it
 should only take 30 seconds to learn this library. Basically it is just an
 iostream pipe to gnuplot with some extra functions for pushing data arrays and
 getting mouse clicks. Data sources include STL containers (eg. vector or map)
 and one or two dimensional Blitz++ arrays (of scalars or TinyVectors). Support
 for other data sources should be easy to add.
 .
 Basically there are two functions defined: send() sends arrays of data values
 (it is overloaded to do the right thing based upon what type of variable you
 pass) and getMouse() gets the position of a mouse click. Everything else is
 accomplished by sending commands manually to gnuplot via the iostream

Package: libgnuplot-ocaml-dev
Description-md5: 58f07b7f197124366cc860b778af05df
Description-en: OCaml interface to the gnuplot utility
 Gnuplot is a portable command-line driven interactive data and function
 plotting utility that supports different output formats. This package
 provides an interface to Gnuplot from the Objective CAML programming
 language. All functions talk to gnuplot through a pipe, so crude animations
 are possible.

Package: libgnuradio-analog3.8.1
Description-md5: 3d88ee6c337e47267fede2494cb9f2e4
Description-en: gnuradio analog functions
 Library for handling analog signal processing functions.
 These functions are also in gnuradio-core.
 Part of the main gnuradio build.

Package: libgnuradio-audio3.8.1
Description-md5: f5bb32c4a24d434c08d8a973aa860840
Description-en: gnuradio audio functions
 This is the gr-audio library, used to connect to audio sources
 (mic-in) and sinks (speaker-out) ports on a computer. The underlying
 hardware driver is system and OS dependent and this module should
 automatically discover the correct one to use.  Part of the main
 gnuradio build.

Package: libgnuradio-blocks3.8.1
Description-md5: c2df21cc192bf1b929366d6340f78189
Description-en: gnuradio blocks functions
 Some non-signal processing blocks.
 These functions are also in gnuradio-core.
 Part of the main gnuradio build.

Package: libgnuradio-channels3.8.1
Description-md5: 9eb2ac8d51d697df58640b28e607df3c
Description-en: gnuradio channels functions
 Some channel oriented processing blocks.
 These functions are also in gnuradio-core.
 Part of the main gnuradio build.

Package: libgnuradio-dab3.8.0
Description-md5: d6e3d099bef12c79061b33f06781a15e
Description-en: Shared library for gr-dab (DAB/DAB+ receiver)
 Contains necessary DSP blocks for receiving DAB and DAB+ transmissions
 using a software defined radio such as hackrf, rtl-sdr, USRP etc.
 .
 This package contains the shared library for gr-dab.

Package: libgnuradio-digital3.8.1
Description-md5: 42026ba96232d8ed9bce33531e9feae8
Description-en: gnuradio digital communications functions
 All the functions for doing digital modulation and demodulation,
 including bpsk, qpsk, gmsk and ofdm signals.
 Part of the main gnuradio build.

Package: libgnuradio-dtv3.8.1
Description-md5: d8a125d35c8f558167b79c2abf61062b
Description-en: gnuradio digital TV signal processing blocks
 ATSC support, gr-atsc ported to a new framework, as well as
 DVB-S2, DVB-T, DVB-T2 digital video broadcast standards.
 Part of the main gnuradio build.

Package: libgnuradio-fcdproplus3.8.0
Description-md5: 68645f8381fa9efb34a53ab5439fdc6a
Description-en: Funcube Dongle Pro Plus controller for GNU Radio (runtime)
 Support hardware for software radio using the fcdproplus
 which provides sampled RF IQ data as a USB audio stereo
 data stream. Control is done over USB HID protocol.
 .
 Both the Funcube Dongle Pro and Pro+ are supported
 by this package. Previous Funcube Dongle device support
 is already included in gnuradio itself.
 .
 This package provides a shared library.

Package: libgnuradio-fec3.8.1
Description-md5: 4603e05c76586caedc5c05d4c7fcfe9b
Description-en: gnuradio forward error correction support
 Handle forward error correction processing in gnuradio.
 Implements the GNU Radio FEC API, supporting encoders and
 decoders for no-op dummmy, repetition, and convolutional classes.
 Part of the main gnuradio build.

Package: libgnuradio-fft3.8.1
Description-md5: 544f2ac48e471aa4593f6909f337d7da
Description-en: gnuradio fast Fourier transform functions
 Library for Fourier transform techniques used in gnuradio.
 Uses single precision FFT from libfftw3-single3.
 Part of the main gnuradio build.

Package: libgnuradio-filter3.8.1
Description-md5: 0f2aeac43193cf5b5151505285675492
Description-en: gnuradio filter functions
 Library of filter blocks used in gnuradio. Implements
 FIR, IIR and FFT filters, as well as Polyphase filterbank and
 PFB arbitrary resampler methods.
 Part of the main gnuradio build.

Package: libgnuradio-fosphor3.8.0
Description-md5: 614c73b6f47df0c22ec4d72415499cec
Description-en: gnuradio fosphor block (GPU spectrum display) (library)
 This implements a GNU Radio sink consisting of a GL display window
 that provides a Real Time Spectrum Analyzer (RTSA)-like spectrum
 visualization using OpenCL and OpenGL acceleration.
 .
 This package provides a shared library.

Package: libgnuradio-hpsdr1.2.1
Description-md5: 18c8ec4cc73ae1c5130eed57281c742e
Description-en: gnuradio library for OpenHPSDR protocol 1
 Hardware supported includes OpenHPSDR Hermes / Metis and Red Pitaya
 using the OpenHpsdr protocol.
 .
 The modules are compatible with version 3.7 of gnuradio and versions of
 Hermes firmware 1.8 through 3.2. (known as OpenHPSDR protocol 1). It
 is not compatible with the new OpenHPSDR protocol 2.
 .
 This package contains the shared library.

Package: libgnuradio-iio1
Description-md5: ad93bf099920d46beebc7b1e4247e361
Description-en: IIO blocks for GnuRadio (runtime library)
 Libiio is a library that has been conceived to ease the development of
 applications interfacing Industrial Input/Output (IIO) devices through
 the IIO subsystem of the Linux kernel.
 .
 The IIO blocks for GnuRadio can be used to create flowgraphs that interface
 IIO devices through libiio.
 .
 This package contains the shared library.

Package: libgnuradio-iqbalance3.8.0
Description-md5: f3fa21c85b7648b2254e19f56b652c7b
Description-en: GNU Radio Blind IQ imbalance estimator and correction (shared library)
 The general idea is to suppress symmetrical images caused by IQ
 imbalance in the RX path of quadrature receivers.
 It's composed of two subblocks: "IQ Bal Fix" and "IQ Bal Optimize".
 .
 This package provides a shared library for gr-iqbal.

Package: libgnuradio-limesdr3.0.1
Description-md5: c8895ebaefd5833b9a886b76e55de934
Description-en: LimeSDR blocks for GnuRadio (runtime library)
 LimeSDR is a low cost, open source software defined radio (SDR) platform that
 can be used to support just about any type of wireless communication standard.
 .
 Currently this plugin supports LimeSDR-USB and LimeSDR-Mini boards.
 .
 The gr-limesdr blocks for GnuRadio can be used to create flowgraphs that
 interface LimeSDR devices through liblimesuite.
 .
 This package contains the shared library.

Package: libgnuradio-osmosdr0.2.0
Description-md5: 7c3a435a2371549b7fbf60a9adeb6784
Description-en: Gnuradio blocks from the OsmoSDR project - library
 The Osmocom project is a family of projects regarding Open source
 mobile communications.
 .
 This package contains the shared library.

Package: libgnuradio-pmt3.8.1
Description-md5: 653aedd1567eba8b157188969da4c76b
Description-en: gnuradio pmt container library
 Polymorphic Types are opaque data types that are designed as generic
 containers of data that can be safely passed around between blocks
 and threads in GNU Radio.
 Part of the main gnuradio build.

Package: libgnuradio-qtgui3.8.1
Description-md5: 78840934166cde690f3708cac44c0689
Description-en: gnuradio Qt graphical user interface functions
 QT-based graphical sinks for gnuradio applications.
 Implements opengl, raster and native plotting methods, and
 supports a QT Style Sheet (QSS) file to adjust the look.
 Part of the main gnuradio build.

Package: libgnuradio-radar1.0.0
Description-md5: f7c6f8b5ce5b7919b9641017de16de97
Description-en: GNU Radio Radar Toolbox - library
 The *gr-radar* project provides a toolbox of commonly used radar
 algorithms. An important part is the *UHD Echotimer*, which enables a
 synchronized TX and RX stream from USRPs to ensure a constant phase
 relation in measurements. Example flowgraphs for CW, Dual CW, FSK,
 FMCW and OFDM radar are given and partly tested on hardware. GUI
 elements for target representation and further signal processing
 algorithms such as target tracking are implemented. Check out the
 project website for example videos and further information.
 .
 This package provides a shared library.

Package: libgnuradio-rds1
Description-md5: 720b1d3ef91dd3dca79a151a90f49fec
Description-en: GNU Radio FM RDS/TMC Transceiver (library)
 A Radio Data System - Traffic Message Channel (RDS-TMC) block
 for GNU Radio.
 .
 Radio Data System (RDS) is a communications protocol standard for
 embedding  small amounts of digital information in conventional
 FM radio broadcasts.
 .
 This package provides a shared library.

Package: libgnuradio-runtime3.8.1
Description-md5: e73009f780eee5fc595dc4da8d24cf71
Description-en: gnuradio core runtime
 Top level component library. Defines core blocks. Handles
 settings for logging, performance counters, and control port.
 Part of the main gnuradio build.

Package: libgnuradio-trellis3.8.1
Description-md5: 93ea87d97d5bf7a5d6272bbfd279ded5
Description-en: gnuradio trellis modulation functions
 Library for trellis coding modulation, including the Viterbi
 Algorithm, Concatenated Coding and Turbo Decoding
 based upon finite state machine (FSM) class.
 Part of the main gnuradio build.

Package: libgnuradio-uhd3.8.1
Description-md5: 99e0943e04dc3702c287f7beab797428
Description-en: gnuradio universal hardware driver functions
 The gnuradio interface to the UHD library to connect to and send and
 receive data between to the Ettus Research, LLC product line -
 including the USRP family of software radio peripheral devices.
 Part of the main gnuradio build.

Package: libgnuradio-video-sdl3.8.1
Description-md5: 81449e40176027f155aef2502ecffd77
Description-en: gnuradio video functions
 Library for handling SDL (Simple DirectMedia Layer) video data.
 Implements input and output blocks.
 Part of the main gnuradio build.

Package: libgnuradio-vocoder3.8.1
Description-md5: 58d0150c5fe739990bdb32a77526f016
Description-en: gnuradio vocoder functions
 Library of vocoder blocks, including ulaw, alaw, gsm and codec2.
 Debian uses external libraries for gsm and codec2.
 .
 Part of the main gnuradio build.

Package: libgnuradio-wavelet3.8.1
Description-md5: 1c51b1d67b8ca0587a908ff0217bc021
Description-en: gnuradio wavelet functions
 Library of Daubechies wavelet function blocks.
 wvps computes the Wavelet Power Spectrum from
 a set of wavelet coefficients.
 .
 Part of the main gnuradio build.

Package: libgnuradio-zeromq3.8.1
Description-md5: fad8379800018fcef6de6233eaff6ed5
Description-en: gnuradio zeromq functions
 0MQ messaging library support. Provide network socket
 endpoints for gnuradio data and message streams.
 PUB/SUB, PUSH/PULL, REP/REQ models supported.
 .
 Part of the main gnuradio build.

Package: libgnustep-base-dev
Description-md5: 8f8911005f8843b299642fc9bb55fc7d
Description-en: GNUstep Base header files and development libraries
 This package contains the header files and static libraries required
 to build applications against the GNUstep Base library.
 .
 Install this package if you wish to develop your own programs using
 the GNUstep Base Library.

Package: libgnustep-base1.26
Description-md5: a4b543c1cc35f94e4ce935f098456a7b
Description-en: GNUstep Base library
 The GNUstep Base Library is a powerful fast library of
 general-purpose, non-graphical Objective C classes, inspired by the
 OpenStep API but implementing Apple and GNU additions to the API as
 well.  It includes for example classes for unicode strings, arrays,
 dictionaries, sets, byte streams, typed coders, invocations,
 notifications, notification dispatchers, scanners, tasks, files,
 networking, threading, remote object messaging support (distributed
 objects), event loops, loadable bundles, attributed unicode strings,
 xml, mime, user defaults.

Package: libgnustep-dl2-0d
Description-md5: 8a6b640ade95f992d4f24de2cc8a8781
Description-en: bundle of runtime libraries for gnustep-dl2
 This package includes the EOAccess, EOInterface and EOControl runtime
 libraries.
 .
 GNUstep Database Library Version 2 is needed by GNUstep applications that
 communicate with databases.  It's an implementation of Apple's
 Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's
 WebObjects 4.5 interface.

Package: libgnustep-dl2-dev
Description-md5: 8eddaf9d470c256481c6f92de18e9647
Description-en: development files for gnustep-dl2 runtime libraries
 Beside the headers and so symlinks for EOAccess, EOInterface and
 EOControl libraries, the package includes the general gdl2.make
 .
 GNUstep Database Library Version 2 is needed by GNUstep applications that
 communicate with databases.  It's an implementation of Apple's
 Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's
 WebObjects 4.5 interface.

Package: libgnustep-gui-dev
Description-md5: f04369f3d91e18ed42cc133e64e25059
Description-en: GNUstep GUI header files and static libraries
 The GNUstep GUI Library is a powerful library of graphical user interface
 classes written completely in the Objective-C language; the classes are
 based upon the OpenStep specification, and provide the user with a
 traditional nextstep-like look and feel.
 .
 This package contains the header files and static libraries required
 to build applications against the GNUstep GUI library.
 .
 Install this package if you wish to develop your own programs using
 the GNUstep GUI Library.

Package: libgnustep-gui0.27
Description-md5: 26a0707edd4cd00c8f636eea36d88503
Description-en: GNUstep GUI Library
 The GNUstep GUI library is a powerful library of graphical user interface
 classes written completely in the Objective-C language; the classes are
 based upon the OpenStep specification, and provide the user with a
 traditional nextstep-like look and feel.  The classes include graphical
 objects such as windows, menus, buttons, text fields, popup lists, browsers,
 scrollviews, splitviews, fonts, colors, images, events, pasteboards...
 You need the corresponding backend library package (gnustep-back) to use
 this package.

Package: libgo-10-dev-alpha-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-amd64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-arm64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-armel-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-armhf-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-i386-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-mips-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-mips64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-mips64el-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-mips64r6-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-mips64r6el-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-mipsel-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-mipsr6-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-mipsr6el-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-powerpc-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-ppc64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-ppc64el-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-riscv64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-s390x-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-sh4-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-sparc64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-10-dev-x32-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-alpha-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-amd64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-arm64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-armel-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-armhf-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-i386-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-mips-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-mips64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-mips64el-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-mips64r6-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-mips64r6el-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-mipsel-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-mipsr6-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-mipsr6el-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-powerpc-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-ppc64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-ppc64el-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-riscv64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-s390x-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-sh4-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-sparc64-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-9-dev-x32-cross
Description-md5: 20a30e1e0e326264783897d4692e3ada
Description-en: Runtime library for GNU Go applications (development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libgo-perl
Description-md5: 061d6d23361cf985398907c955610467
Description-en: perl modules for GO and other OBO ontologies
 This is a collection of perl code for dealing with Gene Ontologies (GO) and
 Open Biomedical Ontologies (OBO) style ontologies. It is part of the ‘go-dev’
 distribution, but this Debian package is made from the CPAN archive. This
 package contains both scripts (which can be used with no knowledge of perl),
 and libraries which will be of use to perl programmers using GO or OBO.

Package: libgo11
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-alpha-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-amd64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-arm64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-armel-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-armhf-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-i386-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-powerpc-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-ppc64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-ppc64el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-riscv64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-s390x-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-sparc64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo13-x32-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-alpha-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-amd64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-arm64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-armel-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-armhf-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-i386-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-mips-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-mips64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-mips64el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-mips64r6-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-mips64r6el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-mipsel-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-mipsr6-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-mipsr6el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-powerpc-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-ppc64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-ppc64el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-riscv64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-s390x-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-sh4-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-sparc64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo14-x32-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-alpha-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-amd64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-arm64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-armel-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-armhf-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-i386-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-mips-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-mips64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-mips64el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-mips64r6-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-mips64r6el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-mipsel-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-mipsr6-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-mipsr6el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-powerpc-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-ppc64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-ppc64el-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-riscv64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-s390x-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-sh4-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-sparc64-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgo16-x32-cross
Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c
Description-en: Runtime library for GNU Go applications
 Library needed for GNU Go applications linked against the
 shared library.

Package: libgoffice-0.10-10
Description-md5: b7d4abcfd1ea6c42fd13392cbd81b11e
Description-en: Document centric objects library - runtime files
 GOffice is a library of document centric objects and utilities building on
 top of GLib and Gtk+.
 .
 These are the files needed to run applications that use GOffice.

Package: libgoffice-0.10-10-common
Description-md5: 37455cde2fc87041c4adf8dabb4678de
Description-en: Document centric objects library - common files
 GOffice is a library of document centric objects and utilities building on
 top of GLib and Gtk+.
 .
 These are the architecture independent files that are part of GOffice, like
 images and translations of messages.

Package: libgoffice-0.10-dev
Description-md5: 21974f31eff592b6ddf62810ac0c69ec
Description-en: Document centric objects library - development files
 GOffice is a library of document centric objects and utilities building on
 top of GLib and Gtk+.
 .
 These are the files needed when building applications that use GOffice.

Package: libgoffice-0.10-doc
Description-md5: eef950950a4c265be49aff0c19d0328f
Description-en: Document centric objects library - documentation
 GOffice is a library of document centric objects and utilities building on
 top of GLib and Gtk+.
 .
 This package contains HTML documentation for libgoffice-0.10.

Package: libgomp-plugin-amdgcn1
Description-md5: b4d23ce73f3592d1c5e0dc4540aca4d1
Description-en: GCC OpenMP v4.5 plugin for offloading to GCN
 This package contains libgomp plugin for offloading to AMD GCN.

Package: libgomp-plugin-hsa1
Description-md5: cac28c212c8913fef33e0d7e2a098ca9
Description-en: GCC OpenMP v4.5 plugin for offloading to HSA
 This package contains libgomp plugin for offloading to HSA.

Package: libgomp-plugin-nvptx1
Description-md5: f9c2e1e8b9ed7ce64aa263f868e92b29
Description-en: GCC OpenMP v4.5 plugin for offloading to NVPTX
 This package contains libgomp plugin for offloading to NVidia
 PTX.  The plugin needs libcuda.so.1 shared library that has to be
 installed separately.

Package: libgomp1-alpha-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-amd64-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-armel-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-hppa-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-i386-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-m68k-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-mips-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-mips64-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-mips64el-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-mips64r6-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-mips64r6el-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-mipsel-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-mipsr6-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-mipsr6el-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-powerpc-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-ppc64-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-riscv64-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-sh4-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-sparc64-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgomp1-x32-cross
Description-md5: 9651123458dd1bf3c162dfb6a6292ff4
Description-en: GCC OpenMP (GOMP) support library
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libgoocanvas-2.0-9
Description-md5: 39afc66e1c1493f9db63f7fe962dc4ce
Description-en: Canvas widget for GTK+ - shared library
 GooCanvas is a canvas widget for GTK+ that uses the Cairo 2D library for
 drawing. It has a model/view split, and uses interfaces for canvas items and
 views, so you can easily turn any application object into canvas items.
 .
 This package contains the shared library.

Package: libgoocanvas-2.0-common
Description-md5: 41eace8a1e383e0ba36703031ca87efa
Description-en: Canvas widget for GTK+ - common files
 GooCanvas is a canvas widget for GTK+ that uses the Cairo 2D library for
 drawing. It has a model/view split, and uses interfaces for canvas items and
 views, so you can easily turn any application object into canvas items.
 .
 This package contains the translations and the documentation.

Package: libgoocanvas-2.0-dev
Description-md5: e53394682bf2c8fc22bd82ced16f48ea
Description-en: Canvas widget for GTK+ - development files
 GooCanvas is a canvas widget for GTK+ that uses the Cairo 2D library for
 drawing. It has a model/view split, and uses interfaces for canvas items and
 views, so you can easily turn any application object into canvas items.
 .
 This package contains the development files.

Package: libgoocanvas2-perl
Description-md5: f3c256b3a3c043531edcf0a16cb9392d
Description-en: Perl bindings for the goocanvas-2.0 widget using Glib::Object::Introspection
 GooCanvas2 is a canvas widget for use with Gtk3 that uses the Cairo 2D
 library for drawing. This is a simple and basic implementation of this
 wonderful Canvas widget.
 .
 For more information see
 https://wiki.gnome.org/action/show/Projects/GooCanvas
 .
 For information on how to use GooCanvas2, please study the API reference at
 https://developer.gnome.org/goocanvas/unstable/

Package: libgoocanvasmm-2.0-6
Description-md5: 960a751a6997b3fd1ae2a8a8feab9f76
Description-en: C++ bindings for GooCanvas - shared library
 GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for
 drawing. It has a model/view split, and uses interfaces for canvas items and
 views, so you can easily turn any application object into canvas items.
 goocanvasmm is the C++ wrapper for GooCanvas
 .
 This package contains the shared library.

Package: libgoocanvasmm-2.0-dev
Description-md5: 0eb0fd243db15e9b3b642882e3837ace
Description-en: C++ bindings for GooCanvas - development files
 GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for
 drawing. It has a model/view split, and uses interfaces for canvas items and
 views, so you can easily turn any application object into canvas items.
 goocanvasmm is the C++ wrapper for GooCanvas
 .
 This package contains the development files.

Package: libgoocanvasmm-2.0-doc
Description-md5: 6073ed0ede844c511171d9e303150647
Description-en: C++ bindings for GooCanvas - documentation and examples
 GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for
 drawing. It has a model/view split, and uses interfaces for canvas items and
 views, so you can easily turn any application object into canvas items.
 goocanvasmm is the C++ wrapper for GooCanvas
 .
 This package contains the documentation and example files.

Package: libgoogle-glog-dev
Description-md5: b551db4318894659d49fe968ad2eb1f8
Description-en: library that implements application-level logging.
 This library provides logging APIs based on C++-style streams and
 various helper macros.
 .
 This package contains static and debug libraries and header files for
 developing applications.

Package: libgoogle-glog-doc
Description-md5: e3a25f367e3ae0367e8824c0fa6643d3
Description-en: documentation of gloogle-glog
 This library provides logging APIs based on C++-style streams and
 various helper macros.
 .
 This package contains documentation files.

Package: libgoogle-glog0v5
Description-md5: 829accffb10209e63959eb79e6ebd913
Description-en: library that implements application-level logging.
 This library provides logging APIs based on C++-style streams and
 various helper macros.
 .
 This package contains shared libraries.

Package: libgoogle-gson-java
Description-md5: 2b1754e95e8fb7186dca1aecb7efcf4b
Description-en: Converts Java objects into their JSON representation
 Gson is a Java library that can be used to convert Java Objects into their JSON
 representation. It can also be used to convert a JSON string to an equivalent
 Java object. Gson can work with arbitrary Java objects including pre-existing
 objects that you do not have source-code of.
 .
 Gson Goals
 .
  * Provide simple toJson() and fromJson() methods to convert Java objects to
    JSON and vice-versa
  * Allow pre-existing unmodifiable objects to be converted to and from JSON
  * Extensive support of Java Generics
  * Allow custom representations for objects
  * Support arbitrarily complex objects (with deep inheritance hierarchies and
    extensive use of generic types)

Package: libgoogle-protocolbuffers-perl
Description-md5: d9c8c72a1055199ad99f78578bf0a20d
Description-en: simple Perl interface to Google Protocol Buffers
 Google Protocol Buffers is a data serialization format. It is binary (and
 hence compact and fast for serialization) and as extendable as XML; its
 nearest analogues are Thrift and ASN.1. There are official mappings for C++,
 Java and Python languages; this library is a mapping for Perl.

Package: libgooglepinyin0
Description-md5: bcb31bbfb97500be61bcf586b0fdb208
Description-en: Pinyin engine fork from Google Pinyin on Android
 libgooglepinyin is a fork of Google Pinyin on Android, it features
 to have excellent input experience and uses little resource.
 .
 This package provides the library file.

Package: libgooglepinyin0-dev
Description-md5: 2ab057e9fe20c53c5d2c9aa57af97037
Description-en: Pinyin engine fork from Google Pinyin on Android - development files
 libgooglepinyin is a fork of Google Pinyin on Android, it features
 to have excellent input experience and uses little resource.
 .
 This package provides the library development files.

Package: libgorm-dev
Description-md5: 711b4147aa0631019d5433c0a8e18bda
Description-en: Clone of the InterfaceBuilder framework - development files
 Gorm, the GNUstep Object Relationship Modeler, is a tool to build GUI
 interfaces for the GNUstep system.  It is a clone of the NeXTStep
 "Interface Builder" app.
 .
 This package contains the development files of the Gorm library,
 allowing the creation of custom palettes and inspectors for Gorm.

Package: libgorm1
Description-md5: 785a3953b062e3c20e24a247fad3baab
Description-en: Clone of the InterfaceBuilder framework - runtime library
 Gorm, the GNUstep Object Relationship Modeler, is a tool to build GUI
 interfaces for the GNUstep system.  It is a clone of the NeXTStep
 "Interface Builder" app.
 .
 This package contains the shared Gorm library.

Package: libgossip-java
Description-md5: 6473c6a671286df3d65f5b4462181b65
Description-en: Small and fast logging provider for SLF4J
 Gossip is a plugin for SLF4J which has simple and flexible configuration.
 It features:
  * Small footprint ~75k (even smaller for gossip-bootstrap-slf4j ~20k)
  * Profile-based configuration
  * Profile activation triggers
  * Multiple source inputs
  * Console and rolling file listeners
  * ANSI color rendering
  * Internal logging
  * SLF4J support, helpers and utilities

Package: libgovirt-common
Description-md5: 8c88d15bd56b1887856f8f98733cd7df
Description-en: GObject-based library to access oVirt REST API (common files)
 libgovirt is a library that allows applications to use oVirt REST API
 to list VMs managed by an oVirt instance, and to get the connection
 parameters needed to make a SPICE/VNC connection to them.
 .
 This package contains the translation files.

Package: libgovirt-dev
Description-md5: 73b4ea3152f8edc1fe413c0ec92de227
Description-en: GObject-based library to access oVirt REST API (dev files)
 libgovirt is a library that allows applications to use oVirt REST API
 to list VMs managed by an oVirt instance, and to get the connection
 parameters needed to make a SPICE/VNC connection to them.
 .
 This package contains the development files.

Package: libgovirt2
Description-md5: 6735780968aa021086f224e9220c8f10
Description-en: GObject-based library to access oVirt REST API
 libgovirt is a library that allows applications to use oVirt REST API
 to list VMs managed by an oVirt instance, and to get the connection
 parameters needed to make a SPICE/VNC connection to them.

Package: libgpac-dev
Description-md5: 316544dd0e1538183bd40ed9a7866f0c
Description-en: GPAC Project on Advanced Content - development files
 GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is
 an Open Source multimedia framework for research and academic purposes. The
 project covers different aspects of multimedia, with a focus on presentation
 technologies (graphics, animation and interactivity).
 .
 This package contains files that are used for application development.

Package: libgpac4
Description-md5: e13d47211be1f8e7b4e3fbd270291eaa
Description-en: GPAC Project on Advanced Content - shared libraries
 GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is
 an Open Source multimedia framework for research and academic purposes. The
 project covers different aspects of multimedia, with a focus on presentation
 technologies (graphics, animation and interactivity).
 .
 This package contains the shared libraries used at runtime in depending
 packages.

Package: libgpars-groovy-java
Description-md5: 93eda5aa5ac62e105a810a51670a6023
Description-en: open-source concurrency library for Groovy
 Library that provides multiple high-level abstractions for
 writing concurrent code in Groovy, including: map/reduce,
 fork/join, asynchronous closures, actors, agents, dataflow
 concurrency and other concepts.

Package: libgpars-groovy-java-doc
Description-md5: befec2317e497213c3adc8a9892acad8
Description-en: documentation for libgpars-groovy-java
 Documentation for GPars that is a library that provides
 multiple high-level abstractions for writing concurrent code
 in Groovy, including: map/reduce, fork/join, asynchronous
 closures, actors, agents, dataflow concurrency and other
 concepts.

Package: libgpaste-common
Description-md5: a70572ec0cb8eb4e8cee5b5f30d961e5
Description-en: Clipboard management system for GNOME - shared files
 GPaste manages clipboard history and allows easy access to it using
 keyboard shortcuts, gnome-shell extension, command-line tools, gir
 bindings.
 .
 This package contains the common files, such as translations.

Package: libgpaste-dev
Description-md5: 593447fa0d610df658fe9118584a9330
Description-en: Clipboard management system for GNOME - development files
 GPaste manages clipboard history and allows easy access to it using
 keyboard shortcuts, gnome-shell extension, command-line tools, gir
 bindings.
 .
 This package contains headers and libraries for developing applications
 that use GPaste to manage the clipboard.

Package: libgpaste11
Description-md5: 3ccb63671b6dfc3bf66664274a507082
Description-en: Clipboard management system for GNOME - library
 GPaste manages clipboard history and allows easy access to it using
 keyboard shortcuts, gnome-shell extension, command-line tools, gir
 bindings.
 .
 This package contains the shared library used by gpaste.

Package: libgpg-error-mingw-w64-dev
Description-md5: 54ef1581cfd70d3ce42ad4ceb8fea360
Description-en: library of error values and messages in GnuPG (Windows development)
 Library that defines common error values, messages, and common
 runtime functionality for all GnuPG components.  Among these are GPG,
 GPGSM, GPGME, GPG-Agent, libgcrypt, pinentry, SmartCard Daemon and
 possibly more in the future.
 .
 It will likely be renamed "gpgrt" in the future.
 .
 This is a Windows version of libgpg-error.  It's meant to be used
 when cross-building software that targets the Windows platform,
 e.g. the win32-loader component of Debian-Installer.

Package: libgpgmepp-dev
Description-md5: d409b90b546df24f9e29efdc20935e54
Description-en: C++ and Qt bindings for GPGME (development files)
 GpgME++ (aka GpgMEpp) is a C++ wrapper (or C++ bindings) for the
 GnuPG project's GPGME (GnuPG Made Easy) library.
 .
 QGpgME is a library that provides GPGME integration with QEventLoop
 and some Qt datatypes (e.g. QByteArray).
 .
 This package contains the headers and other files needed to compile
 against either of these libraries.

Package: libgphobos-10-dev
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-amd64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-arm64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-armel-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-armhf-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-i386-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-mips-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-mips64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-mips64el-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-mips64r6-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-mips64r6el-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-mipsel-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-mipsr6-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-mipsr6el-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-riscv64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-s390x-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-10-dev-x32-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-7-dev
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-8-dev
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-8-dev-amd64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-8-dev-armel-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-8-dev-armhf-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-8-dev-i386-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-8-dev-x32-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-amd64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-arm64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-armel-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-armhf-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-i386-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-mips-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-mips64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-mips64el-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-mips64r6-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-mips64r6el-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-mipsel-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-mipsr6-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-mipsr6el-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-riscv64-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-s390x-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-9-dev-x32-cross
Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd
Description-en: Phobos D standard library
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos-dev
Description-md5: 0e07f99c6e306122dc9c7b0dbb7bdf2b
Description-en: Phobos D standard library
 This is a dependency package providing the default D standard library.

Package: libgphobos1
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-amd64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-arm64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-armel-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-armhf-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-i386-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-mips-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-mips64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-mips64el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-mips64r6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-mips64r6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-mipsel-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-mipsr6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-mipsr6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-riscv64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-s390x-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos1-x32-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos71
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-amd64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-arm64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-armel-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-armhf-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-i386-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-mips-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-mips64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-mips64el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-mips64r6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-mips64r6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-mipsel-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-mipsr6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-mipsr6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-riscv64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-s390x-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgphobos76-x32-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libgpiod-dev
Description-md5: 5a6a403dda9112f2f16376376324a36f
Description-en: C library for interacting with Linux GPIO device - static libraries and headers
 libgpiod encapsulates the ioctl calls and data structures
 behind a straightforward API. This new character device
 interface guarantees all allocated resources are freed after
 closing the device file descriptor and adds several new
 features that are not present in the obsolete sysfs interface
 (like event polling, setting/reading multiple values at once or
 open-source and open-drain GPIOs).
 .
 This package contains the required static libraries, headers, and C++ bindings.

Package: libgpiod-doc
Description-md5: 471a8312bc9baa04c2c418ef09bdf9ec
Description-en: C library for interacting with Linux GPIO device - library documentation
 libgpiod encapsulates the ioctl calls and data structures
 behind a straightforward API. This new character device
 interface guarantees all allocated resources are freed after
 closing the device file descriptor and adds several new
 features that are not present in the obsolete sysfs interface
 (like event polling, setting/reading multiple values at once or
 open-source and open-drain GPIOs).
 .
 This package contains the doxygen generated HTML documentation for the
 libraries.

Package: libgpiod2
Description-md5: fdc58e35bead39e38c167aaf93875f04
Description-en: C library for interacting with Linux GPIO device - shared libraries
 libgpiod encapsulates the ioctl calls and data structures
 behind a straightforward API. This new character device
 interface guarantees all allocated resources are freed after
 closing the device file descriptor and adds several new
 features that are not present in the obsolete sysfs interface
 (like event polling, setting/reading multiple values at once or
 open-source and open-drain GPIOs).
 .
 This package contains the required shared libraries.

Package: libgpiv-mpi3
Description-md5: 096864e840ebfad22ffc8b0daeb9610e
Description-en: library for Particle Image Velocimetry (PIV) - MPI version
 This library contains functions for evaluating images from a fluid
 flow by means of Particle Image Velocimetry (PIV).
 .
 PIV is a way to film the wind or liquid flows. It uses a sequence of
 at least two images that are recorded with a well controlled
 separation time in-between. Tracer particles that are resident in the
 fluid may be illuminated by a light source, mostly a laser, that has
 been re-shaped into a sheet of light. In this way, the average
 displacement and the deformation of the image texture is
 obtained. Applying this analyses on small samples of the images on a
 (rectangular) grid results into an instantaneous velocity field of
 the fluid flow. PIV may also be applied for other purposes, like
 deformations and vibrations of solid surfaces.
 .
 This package contains the parallelized library using Message Passing
 Interface (MPI).

Package: libgpiv3
Description-md5: a91f3cb33112a6a647ce2efa82fc9415
Description-en: library for Particle Image Velocimetry (PIV)
 This library contains functions for evaluating images from a fluid
 flow by means of Particle Image Velocimetry (PIV).
 .
 PIV is a way to film the wind or liquid flows. It uses a sequence of
 at least two images that are recorded with a well controlled
 separation time in-between. Tracer particles that are resident in the
 fluid may be illuminated by a light source, mostly a laser, that has
 been re-shaped into a sheet of light. In this way, the average
 displacement and the deformation of the image texture is
 obtained. Applying this analyses on small samples of the images on a
 (rectangular) grid results into an instantaneous velocity field of
 the fluid flow. PIV may also be applied for other purposes, like
 deformations and vibrations of solid surfaces.
 .
 This library includes the core functions for image recording,
 processing, interrogation, PIV data validation, post-processing,
 input/output functions and memory allocation.

Package: libgpiv3-common
Description-md5: 3e0d3e32f84ba566a144d8873ea1cc9b
Description-en: library for Particle Image Velocimetry (PIV) - common files
 This library contains functions for evaluating images from a fluid
 flow by means of Particle Image Velocimetry (PIV).
 .
 This package contains the files that the libgpiv3-* packages share,
 like the configuration file.

Package: libgpiv3-dev
Description-md5: 09d9f9994922d197ac6be2c4a7cc0440
Description-en: library for Particle Image Velocimetry (PIV) - development files
 This library contains functions for evaluating images from a fluid flow
 by means of Particle Image Velocimetry (PIV), resulting into a velocity
 field of the fluid flow. It includes the core functions for interrogation,
 data validation, post-processing, input/output and memory allocation.
 .
 This package contains the headers and statically linked libraries
 (serial as well as parallelized using MPI).

Package: libgpiv3-doc
Description-md5: fbad8444cf3a78a5a7f28897e7e1c52a
Description-en: library for Particle Image Velocimetry (PIV) - documentation
 This library contains functions for evaluating images from a fluid flow
 by means of Particle Image Velocimetry (PIV), resulting into a velocity
 field of the fluid flow. It includes the core functions for interrogation,
 data validation, post-processing, input/output and memory allocation.
 .
 This package contains the Application Programming Interface (API)
 documentation, generated by doxygen in html format.

Package: libgpod-cil
Description-md5: 131f461c9278ab268320d20d8b94825b
Description-en: CLI bindings for libgpod
 libgpod is a library meant to abstract access to an iPod's content. It
 provides an easy way to use API to retrieve the list of files and
 playlist storeed on an iPod, to modify them and to save them back to
 the iPod.
 .
 This package contains the managed CLI bindings for libgpod, which
 are needed to run CLI applications which use this library.

Package: libgpod-cil-dev
Description-md5: 7afe1fd9e9aa601e2f65edf80b266775
Description-en: CLI bindings for libgpod -- development files
 libgpod is a library meant to abstract access to an iPod's content. It
 provides an easy way to use API to retrieve the list of files and
 playlist storeed on an iPod, to modify them and to save them back to
 the iPod.
 .
 This package contains the development files for libgpod-sharp library,
 and should be used for compilation of CLI applications which use this
 library.

Package: libgportugol-dev
Description-md5: 222299235252cd6b54dfbcc784894052
Description-en: Development files for the G-Portugol library
 G-Portugol is a portuguese structured programming language, based on the
 popular, freeform, pseudocode known as portugol. The compiler features
 native compilation, translation to C code, and interpretation of algorithms.

Package: libgportugol0
Description-md5: c45ef3cbaa3184791680f17f03bdc903
Description-en: G-Portugol library
 G-Portugol is a portuguese structured programming language, based on the
 popular, freeform, pseudocode known as portugol. The compiler features
 native compilation, translation to C code, and interpretation of algorithms.

Package: libgpp4-0
Description-md5: 91a9049d39f1dfaed939e64dbd99ee43
Description-en: runtime library for CCP4 compatible programs
 The goal of the ggp4 project is to provide a standalone replacement for
 the CCP4 library for macromolecular crystallography. The library performs
 a number of common tasks in standardized manner. These tasks include file
 opening, parsing keyworded input, and reading and writing of standard data
 formats for electron density maps and X-Ray diffraction data. Programs may
 call this library to ensure compatibility with the CCP4 program suite, as
 well as a similar look-and-feel.
 .
 This package provides a shared library needed to run programs that
 have been linked to libgpp4.so.

Package: libgpp4-dev
Description-md5: ef00b76ad36d761b8e38b37b47dd36e1
Description-en: development environment for CCP4 compatible programs
 The goal of the ggp4 project is to provide a standalone replacement for
 the CCP4 library for macromolecular crystallography. The library performs
 a number of common tasks in standardized manner. These tasks include file
 opening, parsing keyworded input, and reading and writing of standard data
 formats for electron density maps and X-Ray diffraction data. Programs may
 call this library to ensure compatibility with the CCP4 program suite, as
 well as a similar look-and-feel.
 .
 This package provides header files and library for developing free
 CCP4 compatible programs.

Package: libgpp4-doc
Description-md5: 772083c6a2fdc15ceacff38d1cbf663a
Description-en: doxygen generated documentation for libgpp4
 The goal of the ggp4 project is to provide a standalone replacement for
 the CCP4 library for macromolecular crystallography. The library performs
 a number of common tasks in standardized manner. These tasks include file
 opening, parsing keyworded input, and reading and writing of standard data
 formats for electron density maps and X-Ray diffraction data. Programs may
 call this library to ensure compatibility with the CCP4 program suite, as
 well as a similar look-and-feel.
 .
 This package provides doxygen generated documentation for the library.

Package: libgpp4f-0
Description-md5: 8a8d3c1265bbf3fed3613e5844166078
Description-en: shared library for CCP4 compatible programs
 The goal of the ggp4 project is to provide a standalone replacement for
 the CCP4 library for macromolecular crystallography. The library performs
 a number of common tasks in standardized manner. These tasks include file
 opening, parsing keyworded input, and reading and writing of standard data
 formats for electron density maps and X-Ray diffraction data. Programs may
 call this library to ensure compatibility with the CCP4 program suite, as
 well as a similar look-and-feel.
 .
 This package provides a shared library needed to run programs that
 make use of libgpp4's FORTRAN API.

Package: libgpp4f-dev
Description-md5: 1e684c6de0a91f41c6620f4758faee61
Description-en: development environment for CCP4 compatible programs
 The goal of the ggp4 project is to provide a standalone replacement for
 the CCP4 library for macromolecular crystallography. The library performs
 a number of common tasks in standardized manner. These tasks include file
 opening, parsing keyworded input, and reading and writing of standard data
 formats for electron density maps and X-Ray diffraction data. Programs may
 call this library to ensure compatibility with the CCP4 program suite, as
 well as a similar look-and-feel.
 .
 This package provides the FOTRAN API library for developing free
 CCP4 compatible programs.

Package: libgps-point-perl
Description-md5: f33d1317b0f7d941ab12daa3a519e2ea
Description-en: module providing an object interface for a GPS point
 GPS::Point provides an object interface for a GPS fix (e.g. Position,
 Velocity and Time).
 .
 Note: Please use Geo::Point, if you want 2D or projection support.

Package: libgpuarray-dev
Description-md5: 5229fd5f990924bcfa8888b47163b223
Description-en: development files for libgpuarray
 libgpuarray provides a ndarray (multi-dimensional array) object which
 is computed on the GPU. It supports int, single and double precision
 floats.
 .
 libgpuarray supports Nvidia's CUDA interface as well as OpenCL. The
 Debian packages have been build against OpenCL. However, the source
 package could be rebuild locally also for CUDA (which is non-free)
 without changes, if that's needed.
 .
 This package provides the development files.

Package: libgpuarray-doc
Description-md5: 1c618ea0087cd20aeb755beb1880165d
Description-en: documentation for libgpuarray
 libgpuarray provides a ndarray (multi-dimensional array) object which
 is computed on the GPU. It supports int, single and double precision
 floats.
 .
 libgpuarray supports Nvidia's CUDA interface as well as OpenCL. The
 Debian packages have been build against OpenCL. However, the source
 package could be rebuild locally also for CUDA (which is non-free)
 without changes, if that's needed.
 .
 This package provides the documentation.

Package: libgpuarray3
Description-md5: 9cb2700e7a6148a264bbb2aa8a2efe33
Description-en: library to manipulate tensors on the GPU
 libgpuarray provides a ndarray (multi-dimensional array) object which
 is computed on the GPU. It supports int, single and double precision
 floats.
 .
 libgpuarray supports Nvidia's CUDA interface as well as OpenCL. The
 Debian packages have been build against OpenCL. However, the source
 package could be rebuild locally also for CUDA (which is non-free)
 without changes, if that's needed.
 .
 This package provides the shared library.

Package: libgrabcd-readconfig-perl
Description-md5: 24da2738dda3b4c408fde7dc963c2cc8
Description-en: rip and encode audio CDs - common files
 grabcd lets you rip and encode audio CDs.  Ripping and encoding can
 be done on different systems.
 .
 This package contains files needed by the other grabcd packages.

Package: libgradle-android-plugin-java
Description-md5: 2c1fa3908c63b2d8e373e42a6e694e8c
Description-en: Android Plugin for Gradle
 The Android build system uses the Android Plugin for Gradle to support building
 Android applications with the Gradle build toolkit. The plugin runs independent
 of Android Studio so the plugin and the Gradle build system can be updated
 independently of Android Studio. You can build your Android applications from
 within Android Studio or from the command line on your machine or on machines
 where Android Studio is not installed (such as continuous integration servers).
 .
 This package does not include gradle-experimental.jar because its dependencies
 are hard to resolve in Debian.
 .
 To build an Android application using the plugin in Debian, install
 `android-debian-helper` and use the init.gradle provided by that package.

Package: libgradle-android-plugin-java-doc
Description-md5: ef48a40198dccd961dce80fb2321c544
Description-en: Android Plugin for Gradle - Documentations
 The Android build system uses the Android Plugin for Gradle to support building
 Android applications with the Gradle build toolkit. The plugin runs independent
 of Android Studio so the plugin and the Gradle build system can be updated
 independently of Android Studio. You can build your Android applications from
 within Android Studio or from the command line on your machine or on machines
 where Android Studio is not installed (such as continuous integration servers).
 .
 This package provides some templates for using the Gradle plugin.

Package: libgradle-core-java
Description-md5: 0c6217316a01ebb760a13500b1ba8d3a
Description-en: Powerful build system for the JVM - Core libraries
 Gradle is a build tool with a focus on build automation and support for
 multi-language development. If you are building, testing, publishing, and
 deploying software on any platform, Gradle offers a flexible model that can
 support the entire development lifecycle from compiling and packaging code to
 publishing web sites. Gradle has been designed to support build automation
 across multiple languages and platforms including Java, Scala, Android, C/C++,
 and Groovy, and is closely integrated with development tools and continuous
 integration servers including Eclipse, IntelliJ, and Jenkins.
 .
 This package contains the Gradle Core API library.

Package: libgradle-gversion-plugin-java
Description-md5: d0bdaf8138ba5756ffc42724085aaff6
Description-en: Gradle plugin for auto generating a version class in multiple JVM Languages
 gversion-plugin is a Gradle plugin for auto generating a version class in
 multiple JVM Languages. Currently it support Java and Kotlin. The class will
 include information which can only be obtained at compile time, such as build
 time, git SHA, and Gradle version. Command line applications are used to gather
 most of this information. If a command line operation fails a default value
 will be used instead.

Package: libgradle-jflex-plugin-java
Description-md5: 284139373204f168e8a24ef93cff9a1d
Description-en: Gradle plugin for JFlex, a scanner generator
 The gradle-jflex-plugin makes it possible to integrate JFlex into the Gradle
 build system. JFlex itself is a lexical analyzer generator that takes as input
 a specification with a set of regular expressions and corresponding actions.
 It generates a program (a lexer) that reads input, matches the input against
 the regular expressions in the spec file, and runs the corresponding action if
 a regular expression matched.

Package: libgradle-plugins-java
Description-md5: 5d26540d5ad9b62edacb93637ecd29c9
Description-en: Powerful build system for the JVM - All plugins
 Gradle is a build tool with a focus on build automation and support for
 multi-language development. If you are building, testing, publishing, and
 deploying software on any platform, Gradle offers a flexible model that can
 support the entire development lifecycle from compiling and packaging code to
 publishing web sites. Gradle has been designed to support build automation
 across multiple languages and platforms including Java, Scala, Android, C/C++,
 and Groovy, and is closely integrated with development tools and continuous
 integration servers including Eclipse, IntelliJ, and Jenkins.
 .
 This package contains the standard Gradle plugins except:
 .
   * Zinc compiler
   * Kotlin DSL
   * AWS S3
   * Google APIs

Package: libgraflib1-dev
Description-md5: 3867a2c3b2c4dbfe4a55ba0f066d054e
Description-en: CERNLIB data analysis suite - graphical library (development files)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The graflib library includes the HPLOT and DZDOC systems. HPLOT is a
 graphing facility intended to produce drawings and slides of histograms
 (and other data) of a quality suitable to talks and publications. DZDOC
 provides a method of viewing CERNLIB's ZEBRA data structures.
 .
 This package includes a static version of graflib, as well as C and FORTRAN
 header files.

Package: libgraflib1-gfortran
Description-md5: 7911b9a0b6154c50f6b3dc2bc4803eef
Description-en: CERNLIB data analysis suite - graphical library
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The graflib library includes the HPLOT and DZDOC systems. HPLOT is a
 graphing facility intended to produce drawings and slides of histograms
 (and other data) of a quality suitable to talks and publications. DZDOC
 provides a method of viewing CERNLIB's ZEBRA data structures.
 .
 In order to compile and link programs against this library,
 you must also install the libgraflib1-dev package.

Package: libgrafx11-1-dev
Description-md5: f987f984129ac1fb148b596bbf2f6156
Description-en: CERNLIB data analysis suite - interface to X11 and PostScript (development)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes a static version of libgrafX11, also known as HIGZ
 (High-level Interface to Graphics and ZEBRA), an interface to the X Window
 System. In addition to basic drawing functions, HIGZ includes a PostScript
 interface. Also included are C and FORTRAN header files.

Package: libgrafx11-1-gfortran
Description-md5: b66b7cb3a13de3f881f88455154167c2
Description-en: CERNLIB data analysis suite - interface to X11 and PostScript
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes libgrafX11, also known as HIGZ (High-level Interface
 to Graphics and ZEBRA), an interface to the X Window System. In addition
 to basic drawing functions, HIGZ includes a PostScript interface.
 .
 Note that in order to compile and link programs against this library,
 you must also install the libgrafx11-1-dev package.

Package: libgrail-dev
Description-md5: aaa7fea4cee4953a0ab1a52e4a02990c
Description-en: Gesture Recognition And Instantiation Library - dev files
 This library consists of an interface and tools for handling gesture
 recognition and gesture instantiation. Applications can use the grail
 callbacks to receive gesture primitives and raw input events from the
 underlying kernel device.
 .
 This package contains files that are needed to build applications.

Package: libgrail6
Description-md5: 8a3d2f9d4700637341ac103638491767
Description-en: Gesture Recognition And Instantiation Library
 This library consists of an interface and tools for handling gesture
 recognition and gesture instantiation. Applications can use the grail
 callbacks to receive gesture primitives and raw input events from the
 underlying kernel device.

Package: libgral-java
Description-md5: 3a7fcc8f2514ac04de09fd8725cb19c0
Description-en: Java library for displaying plots (graphs, diagrams, and charts)
 GRAL is a free Java library for displaying plots (graphs, diagrams, and
 charts). The acronym GRAL simply stands for GRAphing Library.
 .
 Features
 .
  * Ready-to-use classes for data management
  * Data processing and filtering (smoothing, rescaling, statistics, histograms)
  * Many different plot types: xy/scatter plot, bubble plot, line plot,
    area plot, bar plot, pie plot, donut plot, box-and-whisker plot, raster plot
  * Legends: horizontal and vertical
  * Various axis types: linear axes, logarithmic axes, arbitrary number of axes
  * Several file formats are supported as data sources or data sinks (CSV,
    bitmap image data, audio file data)
  * Exporting plots in bitmap and vector file formats (PNG, GIF, JPEG, EPS, PDF,
    SVG)
  * Small footprint (about 300 kilobytes)

Package: libgrammatica-java
Description-md5: 0835bc8e14c24d8c17cd81a7c17460d0
Description-en: C# and Java parser generator (compiler compiler)
 Grammatica improves upon similar tools (like yacc and ANTLR):
 .
  * by creating well-commented and readable source code,
  * by having automatic error recovery and detailed error messages, and
  * by support for testing and debugging grammars without source code
    generation.

Package: libgranite-common
Description-md5: e44e0c8996c9ed118cc11657d3b1ac1a
Description-en: extension of GTK+ libraries (common files)
 Granite is an extension of GTK+. Among other things, it provides
 complex widgets and convenience functions designed for use in apps
 built for elementary OS.
 .
 This package contains common files needed by library.

Package: libgranite-dev
Description-md5: dee189c0f9cecdf7765b8c4e77b7b542
Description-en: extension of GTK+ libraries (development files)
 Granite is an extension of GTK+. Among other things, it provides
 complex widgets and convenience functions designed for use in apps
 built for elementary OS.
 .
 This package contains the static library and header files.

Package: libgranite5
Description-md5: fdacb6a14abd15188f48215825ba078f
Description-en: extension of GTK+ libraries
 Granite is an extension of GTK+. Among other things, it provides
 complex widgets and convenience functions designed for use in apps
 built for elementary OS.
 .
 This package contains the shared library.

Package: libgrantlee-templates5
Description-md5: a611276010e6da9d183ad32c166f9c77
Description-en: Grantlee templating library for Qt - Templates
 Grantlee is a string template engine based on the Django template system
 and written in Qt.
 .
 This package contains the Templates Grantlee library. It also contains the
 standard plugins.

Package: libgrantlee-textdocument5
Description-md5: cbbd8b5ab0558957010fc03fb9c2d9d0
Description-en: Grantlee templating library for Qt - TextDocument
 Grantlee is a string template engine based on the Django template system
 and written in Qt.
 .
 This package contains the TextDocument Grantlee library.

Package: libgrantlee5-dev
Description-md5: e07afc1e6e310f775ba8d324d30b04dd
Description-en: Grantlee templating library development files
 Grantlee is a string template engine based on the Django template system
 and written in Qt.
 .
 This package contains the development files for building applications
 using grantlee.

Package: libgraph-d3-perl
Description-md5: c7b14a15fdbd0e60da47e77ec599880b
Description-en: module to create ref/json to show node-edge graph with D3.js
 Graph::D3 is a moudle to covert Graph object to the format which is used in
 d3.js(http://d3js.org/). Graph::D3 simply supports node-edge graph.

Package: libgraph-easy-as-svg-perl
Description-md5: 808f0075884a06c3172aae9e2a950f71
Description-en: Perl module to output a Graph::Easy as Scalable Vector Graphics
 Graph::Easy::As_svg is a Perl module which contains just the code for
 converting a Graph::Easy object to a SVG (Scalable Vector Graphics)
 text.

Package: libgraph-easy-perl
Description-md5: 189d9deea9fff290df21d6445413ca76
Description-en: Perl module to convert or render graphs (as ASCII, HTML, SVG or via Graphviz)
 Graph::Easy lets you generate graphs consisting of various shaped nodes
 connected by edges (with optional labels).
 .
 It can read and write graphs in a variety of formats, as well as render
 them via its own grid-based layouter. It has export filters for Graphviz,
 VCG (Visualizing Compiler Graphs), GDL (Graph Description Language) and
 GraphML. Import filters are for Graphviz, VCG and GDL.
 .
 Since the layouter works on a grid (manhattan layout), the output is
 most useful for flow charts, network diagrams, or hierarchy trees.
 .
 Graph::Easy has an easy-to-understand, compact and human readable graph
 description language.

Package: libgraph-perl
Description-md5: 8ce81fe67c9b86ba007d1bab269692ec
Description-en: Perl module for graph data structures and algorithms
 This module contains the Graph module for Perl, a framework for
 creating abstract data structures called graphs and hypergraphs.
 (It is not for drawing any sort of graphics; for that, see the
 GD:: or Graphics:: set of modules.) It also contains implementations
 of several well-known algorithms that operate on graphs, such as
 finding transitive closures, strongly connected components
 (SCCs) and articulation points.

Package: libgraph-readwrite-perl
Description-md5: 99708b014d3ddfd218b0e18a11696aab
Description-en: module for reading and writing directed graphs
 Graph::ReadWrite is a collection of perl classes for reading and writing
 directed graphs in a variety of file formats. The graphs are represented in
 Perl using Jarkko Hietaniemi's Graph classes.
 .
 There are two base classes, Graph::Reader which is the Base class for classes
 which read a graph file and create an instance of the Graph class, and
 Graph::Writer which is the Base class for classes which take an instance of
 the Graph class and write it out in a specific file format.
 .
 Supported formats: XML, Dot, VCG, daVinci, HTK

Package: libgraph-writer-dsm-perl
Description-md5: 9e5f3b86a0b2c017ae4eee887bac0659
Description-en: Perl module to draw Graph object as a DSM matrix
 Graph::Writer::DSM writes Graph object as a quadractic matrix N x N, where N
 is the number of vertices in the graph. It uses Gnuplot and is useful to
 visualize graphs with huge number of vertices, graphs with 1k vertices for
 example.
 .
 See more about DSM: http://en.wikipedia.org/wiki/Design_structure_matrix

Package: libgraph-writer-graphviz-perl
Description-md5: 8f5f91b47ccc3d03980fadd32bc54914
Description-en: GraphViz Writer for Graph object
 Graph::Writer::GraphViz is a class for writing out a Graph object with GraphViz
 module. All GraphViz formats should be supported without a problem.
 .
 Unlike other Graph::Writer modules, this module provide an extra parameter
 '-format' to new() method, in order to save different format. Other supported
 GraphViz parameters are -layout, -ranksep, -shape, -fontsize, -arrowsize.

Package: libgraphics-color-perl
Description-md5: 7c56c14ba56011b38ff491400bd2e9f9
Description-en: module for manipulating colours in different colour spaces
 Graphics::Color is a Perl module that provides a device- and library-agnostic
 system for creating and manipulating colour definitions in various colour
 spaces. It currently supports colours specified as CMYK, HSL, RGB, YIQ and
 YUV.

Package: libgraphics-colornames-perl
Description-md5: 44ec272fe231b9efeea00065b4865d51
Description-en: defines RGB values for common color names
 This package defines RGB values for common color names. The intention
 is to:
   1. provide a common module that authors can use with other modules
      to specify colors; and
   2. free module authors from having to "re-invent the wheel"
      whenever they decide to give the users the option of specifying
      a color by name rather than RGB value.

Package: libgraphics-colornames-www-perl
Description-md5: ebd133fdf9d614ccb6fd7a362e4131aa
Description-en: module defining WWW color names and equivalent RGB values
 Graphics::ColorNames::WWW is a Perl module that defines color names and their
 associated color values (in RGB format) from various web specifications and
 implementations.

Package: libgraphics-colorobject-perl
Description-md5: 1743c1bf8f35fa199eb1cddf4723c07a
Description-en: convert color specifications between color spaces
 Use this package to convert between all the common color spaces. As a
 pure Perl module, it is not very fast, and so it you want to convert
 entire images, this is probably not what you want. The emphasis is on
 completeness and accurate conversion.
 .
 Supported color spaces are: RGB (including sRGB, Rec 601, Rec 709,
 ITU, and about a dozen other RGB spaces), CMY, CMYK, HSL, HSV, XYZ,
 xyY, Lab, LCHab, Luv, LCHuv, YPbPr, YCbCr. Future support is planned
 for YUV, YIQ, YCC and possibly others.
 .
 Conversion between different RGB working spaces, and between
 different white-points, is fully supported.

Package: libgraphics-colorutils-perl
Description-md5: 037dae58ca19e6e7f4c59bd8953cf7d2
Description-en: Perl module to handle colors and color space conversions
 Graphics::ColorUtilss provides some utility functions to handle colors and
 color space conversions.
 .
 The interface has been kept simple, so that most functions can be called
 "inline" when making calls to graphics libraries such as GD, Tk, or when
 generating HTML/CSS.

Package: libgraphics-gnuplotif-perl
Description-md5: 41112982bea18432f5140b639ab27781
Description-en: dynamic Perl interface to gnuplot
 Graphics::GnuplotIF is a simple and easy to use dynamic Perl interface to
 gnuplot.  It enables sending display requests asynchronously to gnuplot
 through simple Perl subroutine calls.
 .
 Several independent plots can be started from one script. Each plot has its
 own pipe. All pipes will be closed automatically by the destructor when the
 script terminates. The gnuplot processes terminate when the corresponding
 pipes are closed. Their graphical output will then disappear (but see
 parameter persist|new).

Package: libgraphics-libplot-perl
Description-md5: a32631a06b73f5587853a7d8014c91ee
Description-en: Perl interface to libplot
 Graphics::Libplot provides a Perl interface to libplot from plotutils.
 Only the C library routines are available with this package.

Package: libgraphics-magick-perl
Description-md5: 694d24fef1105bb316b3f9173117fd3c
Description-en: format-independent image processing - perl interface
 GraphicsMagick provides libraries in several programming languages to read,
 write and manipulate image files across a large number of formats, from the
 widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or
 image formats found on some photo CDs. There are functions for finegrained
 image processing tasks, as well as conversion routines between the various
 image formats.
 .
 The GraphicsMagick library is a fork of ImageMagick and therefore offers
 an interface that is similar in features, but intended to be more stable
 across releases. While compatibility does not go so far that the
 GraphicsMagick library serves as a drop-in replacement for ImageMagick,
 conversion can usually be done with little effort.
 .
 This package contains the classes to access GraphicsMagick functionality from
 Perl scripts. It is mostly similar to PerlMagick from the ImageMagick suite,
 but uses a different class name.

Package: libgraphics-primitive-driver-cairo-perl
Description-md5: b43d9092a8da9609bc3bffbcd7ff0f8e
Description-en: backend providing graphics support using Cairo
 Graphics::Primitive::Driver::Cairo is a backend implementation using Cairo
 that draws Graphics::Primitive objects (see libgraphics-primitive-perl). In
 most cases, this module will not be used directly, but rather as part of your
 application using Graphics::Primitive.

Package: libgraphics-primitive-perl
Description-md5: 4633d0d00d66b60320c651f64fe6fac8
Description-en: system to portably create and manipulate graphical components
 Graphics::Primitive is a Perl module that provides an abstraction layer for
 creating and manipulating graphical elements such as Borders, Fonts, Paths
 and the like. It provides mechanisms to create graphical layouts and pass it
 along to drivers for the actual on-screen rendering.

Package: libgraphicsmagick++-q16-12
Description-md5: 1fe23345dc7cd3338f53a708adf5ba04
Description-en: format-independent image processing - C++ shared library
 GraphicsMagick provides libraries in several programming languages to read,
 write and manipulate image files across a large number of formats, from the
 widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or
 image formats found on some photo CDs. There are functions for finegrained
 image processing tasks, as well as conversion routines between the various
 image formats.
 .
 The GraphicsMagick library is a fork of ImageMagick and therefore offers
 an interface that is similar in features, but intended to be more stable
 across releases. While compatibility does not go so far that the
 GraphicsMagick library serves as a drop-in replacement for ImageMagick,
 conversion can usually be done with little effort.
 .
 This package contains the C++ libraries needed to run executables that use
 the GraphicsMagick++ library.

Package: libgraphicsmagick++1-dev
Description-md5: c434d28c960294b4a0907771a5da8424
Description-en: format-independent image processing - C++ development files
 GraphicsMagick provides libraries in several programming languages to read,
 write and manipulate image files across a large number of formats, from the
 widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or
 image formats found on some photo CDs. There are functions for finegrained
 image processing tasks, as well as conversion routines between the various
 image formats.
 .
 The GraphicsMagick library is a fork of ImageMagick and therefore offers
 an interface that is similar in features, but intended to be more stable
 across releases. While compatibility does not go so far that the
 GraphicsMagick library serves as a drop-in replacement for ImageMagick,
 conversion can usually be done with little effort.
 .
 This package contains the C++ development headers and library files needed to
 compile programs using the GraphicsMagick++ library.

Package: libgraphicsmagick-q16-3
Description-md5: d06d6596f024f6bf4586132dd3ff4b7b
Description-en: format-independent image processing - C shared library
 GraphicsMagick provides libraries in several programming languages to read,
 write and manipulate image files across a large number of formats, from the
 widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or
 image formats found on some photo CDs. There are functions for finegrained
 image processing tasks, as well as conversion routines between the various
 image formats.
 .
 The GraphicsMagick library is a fork of ImageMagick and therefore offers
 an interface that is similar in features, but intended to be more stable
 across releases. While compatibility does not go so far that the
 GraphicsMagick library serves as a drop-in replacement for ImageMagick,
 conversion can usually be done with little effort.
 .
 This package contains the C libraries needed to run executables that use
 the GraphicsMagick library.

Package: libgraphicsmagick1-dev
Description-md5: 961bf066eabe097555c4949fc351c377
Description-en: format-independent image processing - C development files
 GraphicsMagick provides libraries in several programming languages to read,
 write and manipulate image files across a large number of formats, from the
 widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or
 image formats found on some photo CDs. There are functions for finegrained
 image processing tasks, as well as conversion routines between the various
 image formats.
 .
 The GraphicsMagick library is a fork of ImageMagick and therefore offers
 an interface that is similar in features, but intended to be more stable
 across releases. While compatibility does not go so far that the
 GraphicsMagick library serves as a drop-in replacement for ImageMagick,
 conversion can usually be done with little effort.
 .
 This package contains the C development headers and library files needed to
 compile programs using the GraphicsMagick library.

Package: libgraphite2-utils
Description-md5: 109a01973ba1063dc1ec4c471d88b064
Description-en: Font rendering engine for Complex Scripts -- utilities
 Graphite is a system that can be used to create and use "smart fonts" capable
 of displaying writing systems with various complex behaviors, such as:
 contextual shaping, ligatures, reordering, split glyphs, bidirectionality,
 stacking diacritics and complex positioning.
 .
 This package contains gr2fonttest.

Package: libgraphviz-dev
Description-md5: c3a8010bf765807229fbc858755d3ad0
Description-en: graphviz libs and headers against which to build applications
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package installs the libraries and headers necessary to link graphviz
 library routines into an application. It also installs additional man pages.
 .
 Note: A graphviz user doesn't need to install this package.

Package: libgraphviz-perl
Description-md5: 4cdfa61f0e39c0e278f668af0780c125
Description-en: Perl interface to the GraphViz graphing tool
 This module provides an interface to layout and image generation of
 directed and undirected graphs in a variety of formats (PostScript,
 PNG, etc.) using the "dot", "neato", "twopi", "circo" and "fdp"
 programs from the GraphViz project (http://www.graphviz.org/ or
 http://www.research.att.com/sw/tools/graphviz/).

Package: libgrapple-1.0-1
Description-md5: 9e66472a4465244438c46a4d9f93147d
Description-en: a network layer designed for games
 Grapple is designed to be a simple network layer, allowing the addition
 of multiplayer features to a game for as little as a dozen lines of code.
 .
 However it is also fully featured, so if you want more from your networking,
 you can have it.
 .
 Basic Features
  * Simple client-server networking
  * Keeps all clients aware of all other clients
  * Passworded servers
  * Data transfer via TCP, UDP, or reliable UDP
 .
 Advanced Features
  * Network messenging by either a push or a pull model, or a mixture of both
  * Multiple methods of querying users
  * User Groups for client bandwidth saving
  * Network load reacting data transmission and retransmission
  * Background pinging to monitor network states
  * Server failover
  * A fully functional lobby system
 .
 Homepage: http://grapple.linuxgamepublishing.com/

Package: libgrapple-dev
Description-md5: 36f1ea99520863c494c325d7f31764ff
Description-en: a network layer designed for games (development files)
 Grapple is designed to be a simple network layer, allowing the addition
 of multiplayer features to a game for as little as a dozen lines of code.
 .
 However it is also fully featured, so if you want more from your networking,
 you can have it.
 .
 Basic Features
  * Simple client-server networking
  * Keeps all clients aware of all other clients
  * Passworded servers
  * Data transfer via TCP, UDP, or reliable UDP
 .
 Advanced Features
  * Network messenging by either a push or a pull model, or a mixture of both
  * Multiple methods of querying users
  * User Groups for client bandwidth saving
  * Network load reacting data transmission and retransmission
  * Background pinging to monitor network states
  * Server failover
  * A fully functional lobby system
 .
 This package contains the header files and static libraries needed
 to build programs that use grapple.
 .
 Homepage: http://grapple.linuxgamepublishing.com/

Package: libgravatar-url-perl
Description-md5: ecb80ae07072473cf5131c24d3e1d951
Description-en: Perl interface to make URLs for Gravatars from an email address
 A Gravatar is a Globally Recognized Avatar for a given email address. This
 allows you to have a global picture associated with your email address. You
 can look up the Gravatar for any email address by constructing a URL to get
 the image from gravatar.com. Gravatar::URL does that.

Package: libgraxxia-java
Description-md5: 9931107e336b7a913c0d80db6ac6671c
Description-en: Wrappers for doing Mathematics in Groovy
 Graxxia is a library adds data analysis features to Groovy, similar
 to languages such as R, Julia, etc, and also to libraries such as
 Pandas for Python.
 .
 It should be emphasised that there is nearly nothing in Graxxia
 itself that is not just a wrapper around other existing libraries.
 For example Matrix support all comes from Apache Commons Math,
 parsing of CSV and TSV (tab separated) files comes from OpenCSV and
 GroovyCSV, etc.

Package: libgretl1
Description-md5: 280aca5449828146a212cd203161d06d
Description-en: GNU Regression, Econometric & Time-Series Library -- library package
 The GNU Regression, Econometric and Time-Series Library (gretl) is a
 software package for econometric analysis.  The package comprises a
 shared library, a command-line client program, and a graphical client
 built using GTK+.
 .
 This package provides the shared library.

Package: libgretl1-dev
Description-md5: 3c577cc7339db4dc97447db5388dd863
Description-en: GNU Regression, Econometric & Time-Series Library -- development package
 The GNU Regression, Econometric and Time-Series Library (gretl) is a
 software package for econometric analysis.  The package comprises a
 shared library, a command-line client program, and a graphical client
 built using GTK+.
 .
 This package provides the static library and header files.

Package: libgrib2c-dev
Description-md5: fcaacce425f1dfb95bd7c312d93f7654
Description-en: NCEP GRIB2 library - development files
 This is the libgrib2c library from NCEP for encoding and
 decoding 'GRIB2' data formats.
 .
 This package contains the static libraries and headers needed to build
 applications against libgrib2.

Package: libgrib2c0d
Description-md5: b53c0e12c3975d4782ffb64572720de6
Description-en: NCEP GRIB2 encoder/decoder library
 This is the libgrib2c library from NCEP for encoding and decoding 'GRIB2'
 data formats.

Package: libgridsite-dev
Description-md5: dd5d05b68a981a167b00f3f2c7d775b9
Description-en: Developers tools for gridsite
 GridSite was originally a web application developed for managing and
 formatting the content of the http://www.gridpp.ac.uk/ website. Over
 the past years it has grown into a set of extensions to the Apache
 web server and a toolkit for Grid credentials, GACL access control
 lists and HTTP(S) protocol operations.
 .
 This package, libgridsite-dev, contains developer tools for using
 gridsite.

Package: libgridsite6
Description-md5: c22400a0e0e2f7446b5a67098e64777b
Description-en: Run time libraries for mod_gridsite and gridsite-clients
 GridSite was originally a web application developed for managing and
 formatting the content of the http://www.gridpp.ac.uk/ website. Over
 the past years it has grown into a set of extensions to the Apache
 web server and a toolkit for Grid credentials, GACL access control
 lists and HTTP(S) protocol operations.
 .
 This package contains the runtime libraries.

Package: libgridtools-dev
Description-md5: 43c517bb95a8025adb6df15e730c8b6e
Description-en: Framework for storage and computation on Weather and Climate Grids
 The GridTools (GT) framework is a set of libraries and utilities to develop
 performance portable applications in which stencil operations on grids are
 central.  It provides regular and block-structured grids commonly found in the
  weather and climate application field, addresses the challenges that arise
 from integration into production code, such as the expression of boundaryi
 conditions, or conditional execution. The framework is structured such that
 it can be called from different weather models or programming interfaces,
 and can target various computer architectures. This is achieved by separating
 the GT core library in a user facing part (frontend) and architecture specific
 (backend) parts. The core library also abstracts various possible data layouts
 and applies optimizations on stages with multiple stencils.
 The core library is complemented by facilities to interoperate with other
 languages (such as C and Fortran), to aid code development and a communication
 layer.

Package: libgrilo-0.3-bin
Description-md5: 2c40ba71303b39aff11904c08bc1b5bb
Description-en: Framework for discovering and browsing media - Binaries
 Grilo is a framework focused on making media discovery and browsing
 easy for application developers.
 .
 More precisely, Grilo provides:
   * A single, high-level API that abstracts the differences among
     various media content providers, allowing application developers
     to integrate content from various services and sources easily.
   * A collection of plugins for accessing content from various media
     providers. Developers can share efforts and code by writing
     plugins for the framework that are application agnostic.
   * A flexible API that allows plugin developers to write plugins of
     various kinds.
 .
 This package contains the utilities.

Package: libgringotts-dev
Description-md5: 8e52e7e1dc497eef07e816368ef3c2d0
Description-en: development files for the gringotts data encapsulation library
 A small, easy-to-use, thread-safe C library originally developed
 for Gringotts; its purpose is to encapsulate data (generic: ASCII
 but also binary data) in an encrypted and compressed file. It
 uses strong encryption algorithms, to ensure the data is as safe as
 possible, and allows the user to have the complete control over all
 the algorithms used in the process.
 .
 For encryptions, libgringotts makes use of the MCrypt and MHash
 C libraries by Nikos Mavroyanopoulos.
 .
 This package contains the headers files needed for software development
 using the libgringotts data encapsulation library.

Package: libgringotts2
Description-md5: 47e6aeb293e0e2e69c1bd5be48170c3b
Description-en: gringotts data encapsulation and encryption library
 A small, easy-to-use, thread-safe C library originally developed
 for Gringotts; its purpose is to encapsulate data (generic: ASCII
 but also binary data) in an encrypted and compressed file. It
 uses strong encryption algorithms, to ensure the data is as safe as
 possible, and allows the user to have the complete control over all
 the algorithms used in the process.
 .
 For encryptions, libgringotts makes use of the MCrypt and MHash
 C libraries by Nikos Mavroyanopoulos.

Package: libgrok-dev
Description-md5: b97d0850d5837eb0fc62d2f4748a756f
Description-en: development files for grok
 Development files for the grok pattern matcher
 .
 Grok is simple software that allows you to easily parse logs and
 other files. With grok, you can turn unstructured log and event data
 into structured data.

Package: libgrok1
Description-md5: 5d85e5a19c8bd2cbe902be395a683aba
Description-en: shared libraries for grok
 The grok library provides the pattern matching features of grok in
 your own tools. There are currently C and Ruby APIs.
 .
 Grok is simple software that allows you to easily parse logs and
 other files. With grok, you can turn unstructured log and event data
 into structured data.

Package: libgromacs-dev
Description-md5: aab3304779c4ebcd0dbb20ae1eb40866
Description-en: GROMACS molecular dynamics sim, development kit
 GROMACS is a versatile package to perform molecular dynamics, i.e. simulate
 the Newtonian equations of motion for systems with hundreds to millions of
 particles.
 .
 It is primarily designed for biochemical molecules like proteins and lipids
 that have a lot of complicated bonded interactions, but since GROMACS is
 extremely fast at calculating the nonbonded interactions (that usually
 dominate simulations) many groups are also using it for research on non-
 biological systems, e.g. polymers.
 .
 This package contains header files and static libraries for development
 purposes, plus sample Makefiles.  Development components for MPI-enabled
 GROMACS builds also require their respective packages.

Package: libgromacs5
Description-md5: fe8a52ff85a0918122997c6ce3fd2d27
Description-en: GROMACS molecular dynamics sim, shared libraries
 GROMACS is a versatile package to perform molecular dynamics, i.e. simulate
 the Newtonian equations of motion for systems with hundreds to millions of
 particles.
 .
 It is primarily designed for biochemical molecules like proteins and lipids
 that have a lot of complicated bonded interactions, but since GROMACS is
 extremely fast at calculating the nonbonded interactions (that usually
 dominate simulations) many groups are also using it for research on non-
 biological systems, e.g. polymers.
 .
 This package contains the shared library, libgromacs.

Package: libgroonga-dev
Description-md5: 77da4e2df29cab4dc8de89620644b4ec
Description-en: Development files to use Groonga as a library
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides header files to use Groonga as a library.

Package: libgroonga0
Description-md5: 7130db3779a21e99fc1a1f8f5c49d817
Description-en: Library files for Groonga
 Groonga is an open-source fulltext search engine and column store.
 It lets you write high-performance applications that requires fulltext search.
 .
 This package provides library files.

Package: libgroove-dev
Description-md5: 48f8d731a1e3724f459002899c9a9561
Description-en: audio dispatching library (development files)
 This C library provides an sink-based API for decoding and encoding audio.
 It is intended to be used as a backend for music player applications, however
 it may also be used as a backend for any audio processing utility.
 .
 Features:
  * Uses libav for decoding and encoding.
  * Add and remove entries on a playlist for gapless playback.
  * Supports idempotent pause, play, and seek.
  * Per-playlist-item gain adjustment so you can implement loudness compensation
    without audio glitches.
  * Read and write metadata tags.
  * Extensible sink-based interface. A sink provides resampling and keeps its
    buffer full. This package contains the raw sink which provides
    reference-counted raw audio buffers. Other sinks are built on top of this
    one.
  * Thread-safe.
 .
 This package contains the development files.

Package: libgroove4
Description-md5: 500b639f4c19fbfe8a6c2b57aab1ca73
Description-en: music player backend
 This library provides decoding and encoding of audio on a playlist.
 It is intended to be used as a backend for music player applications, however
 it is generic enough to be used as a backend for any audio processing utility.
 .
 Features:
  * Uses libav for decoding and encoding.
  * Add and remove entries on a playlist for gapless playback.
  * Supports idempotent pause, play, and seek.
  * Per-playlist-item gain adjustment so you can implement loudness compensation
    without audio glitches.
  * Read and write metadata tags.
  * Extensible sink-based interface. A sink provides resampling and keeps its
    buffer full. This package contains the raw sink which provides
    reference-counted raw audio buffers. Other sinks are built on top of this
    one.
  * Thread-safe.
 .
 This package contains the shared library.

Package: libgroovefingerprinter-dev
Description-md5: b40f0155102282e12176b6140ba79d3c
Description-en: acoustid fingerprinter sink for libgroove (development files)
 This C library provides a GrooveFingerprinter object which attaches to a
 GroovePlaylist and chromaprint to generate a unique id for media. The id
 can be used with the acoustid.org service to find out metadata tags for
 the media.
 .
 This package contains the development files.

Package: libgroovefingerprinter4
Description-md5: 789183e2867dbbf49b7fdd799ecb6f71
Description-en: acoustid fingerprinter for libgroove
 This libgroove plugin generates audio fingerprints which can be used with the
 acoustid.org service to find out metadata tags for the media.
 .
 This package contains the shared library.

Package: libgrooveloudness-dev
Description-md5: aa81aef4306943a0908ed341939621ce
Description-en: loudness scanner sink for libgroove (development files)
 This C library provides a GrooveLoudness object which attaches to a
 GroovePlaylist and uses the EBU R128 standard to detect loudness. The values
 it produces are compatible with ReplayGain.
 .
 This package contains the development files.

Package: libgrooveloudness4
Description-md5: 09a5c7832c3bac11c54c4801c0e2f9a1
Description-en: loudness scanner for libgroove
 This libgroove plugin uses the EBU R128 standard to detect loudness.
 The values it produces are compatible with ReplayGain.
 .
 This package contains the shared library.

Package: libgrooveplayer-dev
Description-md5: 049a32ce70d0d3aa111d18c110be4eb0
Description-en: audio device playback sink for libgroove (development files)
 This C library provides a GroovePlayer struct which attaches to a
 GroovePlaylist and sends audio frames to a sound device. It also includes
 dummy player which can simulate playback without actually having access
 to a sound device.
 .
 This package contains the development files.

Package: libgrooveplayer4
Description-md5: 6b7783eaf42cefddf09e4f8c99080750
Description-en: hardware audio playback for libgroove
 This libgroove plugin plays audio via a sound device. It includes a dummy
 player which can simulate playback without actually having access to a sound
 device.
 .
 This package contains the shared library.

Package: libgroovycsv-java
Description-md5: 54093c45f281804ff6cd7fa78ebf3f1c
Description-en: CSV parsing library for Groovy
 GroovyCSV is a library to make CSV processing just a little bit
 Groovier. The library uses opencsv behind the scenes and merely
 tries to add a thin layer of “Groovy-ness” to the mix.

Package: libgroupsock8
Description-md5: a458974c94621e4bbd4f75192ef4c969
Description-en: multimedia RTSP streaming library (network interfaces and sockets)
 The live555.com streaming media code is a set of C++ libraries for multimedia
 streaming, using open standard protocols (RTP/RTCP, RTSP, SIP). These
 libraries can be used to build applications to stream, receive and process
 MPEG, H.263+ or JPEG video, several audio codecs, and can easily be extended
 to support additional codecs. They can also be used to build basic RTSP (Real
 Time Streaming Protocol) or SIP (Session Initiation Protocol) clients and
 servers.
 .
 This package contains the groupsock library. The classes in this library
 encapsulate network interfaces and sockets. In particular, the "Groupsock"
 class encapsulates a socket for sending (and/or receiving) multicast datagrams.

Package: libgrpc++-dev
Description-md5: 1979b9416c8eb285d062bff55a4b5a5e
Description-en: high performance general RPC framework (development)
 A modern, open source remote procedure call (RPC) framework that can
 run anywhere. It enables client and server applications to communicate
 transparently, and makes it easier to build connected systems.
 .
 This package contains the headers and the static library for libgrpc++1.

Package: libgrpc++1
Description-md5: 3f857bea859931b8956ff06b364de1b7
Description-en: high performance general RPC framework
 A modern, open source remote procedure call (RPC) framework that can
 run anywhere. It enables client and server applications to communicate
 transparently, and makes it easier to build connected systems.
 .
 This package provides the gRPC C++ bindings.

Package: libgrpc-dev
Description-md5: a5c4bff414e4d5e27f6d63c8d2018722
Description-en: high performance general RPC framework (development)
 A modern, open source remote procedure call (RPC) framework that can
 run anywhere. It enables client and server applications to communicate
 transparently, and makes it easier to build connected systems.
 .
 This package contains the headers and the static library for libgrpc6.

Package: libgrpc6
Description-md5: 4e6400512fbad389afeef58d717465fd
Description-en: high performance general RPC framework
 A modern, open source remote procedure call (RPC) framework that can
 run anywhere. It enables client and server applications to communicate
 transparently, and makes it easier to build connected systems.
 .
 This package provides the gRPC C bindings.

Package: libgrss-dev
Description-md5: b17dd94844382a071e77ed7a89252559
Description-en: Glib-based library to manage RSS and Atom feeds - development files
 libgrss is a Glib abstraction library for handling feeds in RSS, Atom and
 other formats. It is intended to be used to manage syndication of feeds in a
 convenient way.
 .
 Install this package if you wish to develop your own programs using the
 libgrss library.

Package: libgrss0
Description-md5: 4c08ef1cd1b51d3af6ccb92937cc20fd
Description-en: Glib-based library to manage RSS and Atom feeds
 libgrss is a Glib abstraction library for handling feeds in RSS, Atom and
 other formats. It is intended to be used to manage syndication of feeds in a
 convenient way.

Package: libgs-collections-java
Description-md5: a18861eda5f4bea19d2965e294ca09b7
Description-en: Goldman Sachs Collections
 GS Collections is a collections framework for Java. It has JDK-compatible
 List, Set and Map implementations with a rich API and set of utility classes
 that work with any JDK compatible Collections, Arrays, Maps or Strings.
 The iteration protocol was inspired by the Smalltalk collection framework.

Package: libgsasl7
Description-md5: ed39b31e74593a5134d8823aa4a43bb3
Description-en: GNU SASL library
 GNU SASL is an implementation of the Simple Authentication and
 Security Layer framework and a few common SASL mechanisms. SASL is
 used by network servers (e.g., IMAP, SMTP) to request authentication
 from clients, and in clients to authenticate against servers.
 .
 Supported mechanisms are ANONYMOUS, EXTERNAL, LOGIN, PLAIN, SECURID, NTLM,
 DIGEST-MD5, CRAM-MD5, SCRAM-SHA-1, SCRAM-SHA-1-PLUS, GS2-KRB5, GSSAPI.
 .
 This package includes the GNU SASL shared library that is required
 by applications using GNU SASL.  You normally don't need to install
 it manually.

Package: libgsasl7-dev
Description-md5: 3b78e80685ed99fab49e7571c4a89f15
Description-en: Development files for the GNU SASL library
 GNU SASL is an implementation of the Simple Authentication and
 Security Layer framework and a few common SASL mechanisms. SASL is
 used by network servers (e.g., IMAP, SMTP) to request authentication
 from clients, and in clients to authenticate against servers.
 .
 This package contain all files necessary for developing programs that
 use GNU SASL.  You will need this package if you want to build a
 program that uses the GNU SASL library.

Package: libgsecuredelete-dev
Description-md5: beafa4df4f77f9963d0dfc7b720ddf5b
Description-en: wrapper library for the secure-delete tools - development files
 GSecureDelete is a GObject wrapper library for the secure-delete tools
 (srm, sfill, sswap and smem), aiming to ease use of these tool from programs
 by providing a simple but complete API to invoke them.
 .
 This package provides the necessary development libraries and include
 files to develop and compile programs that use GSecureDelete.

Package: libgsecuredelete0
Description-md5: 0d3e3e74be4b3fa4da31e175562de886
Description-en: wrapper library for the secure-delete tools
 GSecureDelete is a GObject wrapper library for the secure-delete tools
 (srm, sfill, sswap and smem), aiming to ease use of these tool from programs
 by providing a simple but complete API to invoke them.

Package: libgsettings-qt-dev
Description-md5: 99c6074a59abc1162e6b9eb4b06e9479
Description-en: library to access GSettings from Qt (development files)
 Libgsettings-qt provides Qt binding to GSettings, a high-level API
 for application settings. This library can be used to access GSettings from Qt
 applications.
 .
 This package contains the development files needed to build applications using
 the GSettings Qt library.

Package: libgsettings-qt1
Description-md5: 1410ca8d3dba4ef115e9efc051b06e55
Description-en: library to access GSettings from Qt (shared libraries)
 Libgsettings-qt provides Qt binding to GSettings, a high-level API
 for application settings. This library can be used to access GSettings from Qt
 applications.
 .
 This package contains shared library files for libgsettings-qt.

Package: libgsf-bin
Description-md5: e555cb88781ef2e473ff3705e128bd5b
Description-en: Structured File Library - programs
 The GNOME Structured File Library aims to provide an efficient
 extensible I/O abstraction for dealing with different structured file
 formats.
 .
 These are the programs that are shipped as part of libgsf. They include
 a thumbnail generator, a tar-like archiver application and a VBA macro
 extractor.

Package: libgsl-dbg
Description-md5: e78425a1e971ceb839a9feec1918e3ed
Description-en: GNU Scientific Library (GSL) -- debug symbols package
 The GNU Scientific Library (GSL) is a collection of routines for
 numerical analysis.  The routines are written from scratch by the GSL
 team in C, and present a modern API for C programmers, while allowing
 wrappers to be written for very high level languages.
 .
 This package contains debugging symbol tables for the static  GSL libraries
 libgsl and libgslcblas from the libgsl-dev package, and the binaries
 gsl-randist and gsl-histogram from the gsl-bin package.
 .
 URL: http://www.gnu.org/software/gsl/

Package: libgsl-dev
Description-md5: 9984710152cbbca3caf2c1e4e16ef6db
Description-en: GNU Scientific Library (GSL) -- development package
 The GNU Scientific Library (GSL) is a collection of routines for
 numerical analysis.  The routines are written from scratch by the GSL
 team in C, and present a modern API for C programmers, while allowing
 wrappers to be written for very high level languages.
 .
 This package contains the header files, static libraries and symbolic
 links that developers using GNU GSL will need.
 .
 URL: http://www.gnu.org/software/gsl/

Package: libgsl23
Description-md5: 62750ac09428d38c26408b7d740e75a8
Description-en: GNU Scientific Library (GSL) -- library package
 The GNU Scientific Library (GSL) is a collection of routines for
 numerical analysis.  The routines are written from scratch by the GSL
 team in C, and present a modern API for C programmers, while allowing
 wrappers to be written for very high level languages.
 .
 GSL includes data types and routines for complex numbers, vectors,
 matrices, basic linear algebra subroutines (BLAS), eigensystems,
 simulated annealing, minimization, root finding, pseudo-random
 numbers, least-squares fitting, fast Fourier transforms (FFT),
 differential equations, quadrature, Monte Carlo integration, special
 functions, physical constants, and much more.
 .
 This package provides the shared libraries required to run programs
 compiled with GNU GSL. To compile your own programs you also need to
 install libgsl-dev.
 .
 URL: http://www.gnu.org/software/gsl/

Package: libgslcblas0
Description-md5: 14a49f7bd27b4049c731067d7809d0fb
Description-en: GNU Scientific Library (GSL) -- blas library package
 The GNU Scientific Library (GSL) is a collection of routines for
 numerical analysis.  The routines are written from scratch by the GSL
 team in C, and present a modern API for C programmers, while allowing
 wrappers to be written for very high level languages.
 .
 GSL includes data types and routines for complex numbers, vectors,
 matrices, basic linear algebra subroutines (BLAS), eigensystems,
 simulated annealing, minimization, root finding, pseudo-random
 numbers, least-squares fitting, fast Fourier transforms (FFT),
 differential equations, quadrature, Monte Carlo integration, special
 functions, physical constants, and much more.
 .
 This package provides the shared blas library required to run programs
 compiled with GNU GSL. To compile your own programs you also need to
 install libgsl-dev.
 .
 URL: http://www.gnu.org/software/gsl/

Package: libgsm-tools
Description-md5: 8f872e7c4ddf91c37b2c3db2fed2c6c8
Description-en: User binaries for a GSM speech compressor
 This package contains user binaries for libgsm, an implementation of
 the European GSM 06.10 provisional standard for full-rate speech
 transcoding, prI-ETS 300 036, which uses RPE/LTP (residual pulse
 excitation/long term prediction) coding at 13 kbit/s.
 .
 GSM 06.10 compresses frames of 160 13-bit samples (8 kHz sampling
 rate, i.e. a frame rate of 50 Hz) into 260 bits; for compatibility
 with typical UNIX applications, this implementation turns frames of
 160 16-bit linear samples into 33-byte frames (1650 Bytes/s).
 The quality of the algorithm is good enough for reliable speaker
 recognition; even music often survives transcoding in recognizable
 form (given the bandwidth limitations of 8 kHz sampling rate).
 .
 The interfaces offered are a front end modelled after compress(1), and
 a library API.  Compression and decompression run faster than realtime
 on most SPARCstations.  The implementation has been verified against the
 ETSI standard test patterns.

Package: libgsm1
Description-md5: 850b53b80d6b3097c0b767677916e50e
Description-en: Shared libraries for GSM speech compressor
 This package contains runtime shared libraries for libgsm, an
 implementation of the European GSM 06.10 provisional standard for
 full-rate speech transcoding, prI-ETS 300 036, which uses RPE/LTP
 (residual pulse excitation/long term prediction) coding at 13 kbit/s.
 .
 GSM 06.10 compresses frames of 160 13-bit samples (8 kHz sampling
 rate, i.e. a frame rate of 50 Hz) into 260 bits; for compatibility
 with typical UNIX applications, this implementation turns frames of
 160 16-bit linear samples into 33-byte frames (1650 Bytes/s).
 The quality of the algorithm is good enough for reliable speaker
 recognition; even music often survives transcoding in recognizable
 form (given the bandwidth limitations of 8 kHz sampling rate).
 .
 The interfaces offered are a front end modelled after compress(1), and
 a library API.  Compression and decompression run faster than realtime
 on most SPARCstations.  The implementation has been verified against the
 ETSI standard test patterns.

Package: libgsm1-dev
Description-md5: 9ab8566a451332a6c6560c9d55adf4e7
Description-en: Development libraries for a GSM speech compressor
 This package contains header files and development libraries for
 libgsm, an implementation of the European GSM 06.10 provisional
 standard for full-rate speech transcoding, prI-ETS 300 036, which
 uses RPE/LTP (residual pulse excitation/long term prediction) coding
 at 13 kbit/s.
 .
 GSM 06.10 compresses frames of 160 13-bit samples (8 kHz sampling
 rate, i.e. a frame rate of 50 Hz) into 260 bits; for compatibility
 with typical UNIX applications, this implementation turns frames of
 160 16-bit linear samples into 33-byte frames (1650 Bytes/s).
 The quality of the algorithm is good enough for reliable speaker
 recognition; even music often survives transcoding in recognizable
 form (given the bandwidth limitations of 8 kHz sampling rate).
 .
 The interfaces offered are a front end modelled after compress(1), and
 a library API.  Compression and decompression run faster than realtime
 on most SPARCstations.  The implementation has been verified against the
 ETSI standard test patterns.

Package: libgsmme-dev
Description-md5: 83baeb5e3ceafbc4f1836bf4760e037c
Description-en: Header files and static libraries for gsmlib
 Headers and static libraries for use when compiling programs with
 gsmlib.
 .
 gsmlib is a library for access to a GSM mobile phone using the
 standards ETSI GSM 07.07, ETSI GSM 07.05, and others.

Package: libgsmme1v5
Description-md5: d6bca1f4c8e2908bdc41bf3bd6e5ab9a
Description-en: GSM mobile phone access library
 Library to access GSM mobile phones through GSM modems or IrDA devices.
 Features include:
 .
  * modification of phone books stored in the mobile phone or on the
   SIM card
  * reading and writing of SMS messages stored in the mobile phone
  * sending and reception of SMS messages
 .
 gsmlib uses standard ETSI GSM 07.07, ETSI GSM 07.05, and others.

Package: libgsmsd8
Description-md5: 184df381819c3cd620ea38d91af3649c
Description-en: SMS daemon helper library
 Gammu is command line utility and library to work with mobile phones
 from many vendors. Support for different models differs, but basic
 functions should work with majority of them. Program can work with
 contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem,
 integrated radio, camera, etc. It also supports daemon mode to send and
 receive SMSes.
 .
 Currently supported phones include:
 .
  * Many Nokia models.
  * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735).
  * AT capable phones (Siemens, Nokia, Alcatel, IPAQ).
  * OBEX and IrMC capable phones (Sony-Ericsson, Motorola).
  * Symbian phones through gnapplet.
 .
 This package contains Gammu SMS daemon shared library.

Package: libgsoap-2.8.91
Description-md5: bac4fd402b3fc8e6b4ffafc8ba20ed8c
Description-en: Runtime libraries for gSOAP
 The gSOAP toolkit provides a unique SOAP-to-C/C++ language binding for the
 development of SOAP Web Services and clients. Runtime libraries.

Package: libgsoap-dev
Description-md5: edab02ed09e74088622054cf11f2e231
Description-en: Development libraries and headers for gSOAP
 The gSOAP toolkit provides a unique SOAP-to-C/C++ language binding for the
 development of SOAP Web Services and clients. Development libraries and
 headers.

Package: libgss-dev
Description-md5: e7d8e3b3b6825abc830f107e653995bb
Description-en: Development and documentation files for Generic Security Services
 The GNU Generic Security Service Library (GSSLib) is a free
 implementation of the GSS-API security framework.  GSSLib uses Shishi
 to implement the Kerberos V5 mechanism, but is flexible enough to
 support other mechanisms too.
 .
 This package contain files for developing programs with GSS support,
 including the header file and static library, and a tool to explain
 GSS-API error codes.

Package: libgss3
Description-md5: fe9bad5c6e2e47f73e9dee372ffc5b1a
Description-en: Library for Generic Security Services
 The GNU Generic Security Service Library (GSSLib) is a free
 implementation of the GSS-API security framework.  GSSLib uses Shishi
 to implement the Kerberos V5 mechanism, but is flexible enough to
 support other mechanisms too.
 .
 This package contains the GSS library.

Package: libgssglue-dev
Description-md5: 35720ad4288bc22005305dbcc9ea02a5
Description-en: header files and docs for libgssglue
 Contains the header files and documentation for libgssglue
 for use in developing applications that use the libgssglue library.
 .
 libgssglue provides a gssapi interface, but does not implement any
 gssapi mechanisms itself; instead it calls other gssapi functions
 (e.g., those provided by MIT Kerberos), depending on the requested
 mechanism, to do the work.

Package: libgssglue1
Description-md5: 33d237300a5fcf648297ee929270d1f1
Description-en: mechanism-switch gssapi library
 libgssglue provides a gssapi interface, but does not implement any
 gssapi mechanisms itself; instead it calls other gssapi functions
 (e.g., those provided by MIT Kerberos), depending on the requested
 mechanism, to do the work.

Package: libgst-dev
Description-md5: d53cf83133453cebfe3d6843d40846f8
Description-en: GNU Smalltalk virtual machine development files
 This package contains static library and headers files for the GNU
 Smalltalk virtual machine.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libgst7
Description-md5: 66d79ed6c60e62c739100489ccae4b8b
Description-en: GNU Smalltalk virtual machine shared library
 This package contains GNU Smalltalk virtual machine shared library.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libgstreamer-ocaml
Description-md5: b2f988c46eec123a830a5233b7f32d80
Description-en: OCaml interface to the GStreamer library -- runtime files
 This package provides an interface to the GStreamer library for
 OCaml programmers.
 .
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.
 .
 This package contains only the shared runtime stub libraries.

Package: libgstreamer-ocaml-dev
Description-md5: 3c3bdc97a8a8ee1227663b0f9231a031
Description-en: OCaml interface to the GStreamer library -- development files
 This package provides an interface to the GStreamer library for
 OCaml programmers.
 .
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-gstreamer.

Package: libgstreamer-opencv1.0-0
Description-md5: dafe2f24cb1bdb14d6d6b274151c793e
Description-en: GStreamer OpenCV libraries
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared
 to the rest. They might be close to being good quality, but they're missing
 something - be it a good code review, some documentation, a set of tests, a
 real live maintainer, or some actual wide use.
 .
 This package contains shared GStreamer libraries for OpenCV.

Package: libgstreamer-plugins-bad1.0-0
Description-md5: dbdd7ec6aeb78f2810dfd938e41a97bc
Description-en: GStreamer libraries from the "bad" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared
 to the rest. They might be close to being good quality, but they're missing
 something - be it a good code review, some documentation, a set of tests, a
 real live maintainer, or some actual wide use.
 .
 This package contains shared GStreamer libraries from the "bad" set. The API
 is not guaranteed to be stable.

Package: libgstreamer-plugins-bad1.0-dev
Description-md5: 3cf8ed7e757b9244dc8826f7bf3f2b29
Description-en: GStreamer development files for libraries from the "bad" set
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared
 to the rest. They might be close to being good quality, but they're missing
 something - be it a good code review, some documentation, a set of tests, a
 real live maintainer, or some actual wide use.
 .
 This package contains development files for GStreamer libraries from the
 "bad" set. The API is not guaranteed to be stable.

Package: libgstreamer1-perl
Description-md5: 12265db727e47d4c543d8b656270bb83
Description-en: Bindings for GStreamer 1.0, the open source multimedia framework
 GStreamer1 implements a framework that allows for processing and encoding of
 multimedia sources in a manner similar to a shell pipeline.
 .
 Because it's introspection-based, most of the classes follow directly from
 the C API. Therefore, most of the documentation is by example rather than a
 full breakdown of the class structure.

Package: libgstreamerd-3-0
Description-md5: ebaa56cc60adf277d67644666f0a807f
Description-en: GStreamer media framework - D bindings
 GStreamer is a streaming media framework, based on graphs of filters which
 operate on media data. Applications using this library can do anything from
 real-time sound processing to playing videos, and just about anything else
 media-related.
 .
 This package contains runtime files needed for applications written in D.

Package: libgstreamerd-3-dev
Description-md5: 02a65ea459abf054c99fa87e19d963ed
Description-en: GStreamer media framework - development files for D
 GStreamer is a streaming media framework, based on graphs of filters which
 operate on media data. Applications using this library can do anything from
 real-time sound processing to playing videos, and just about anything else
 media-related.
 .
 This package contains development files needed to write applications in
 the D programming language that use GStreamer.

Package: libgstreamermm-1.0-1
Description-md5: ad37ec58f9a0110006382cb8b8d16e36
Description-en: C++ wrapper library for GStreamer (shared libraries)
 GStreamer is a streaming media framework, based on graphs of filters which
 operate on media data. GStreamermm is a C++ wrapper library for the multimedia
 library GStreamer. It is designed to allow C++ development of applications that
 work with multi-media.
 .
 This package contains the shared libraries.

Package: libgstreamermm-1.0-dev
Description-md5: 92dd82e6a34472a2a65f61cd9b5f9d43
Description-en: C++ wrapper library for GStreamer (development files)
 GStreamer is a streaming media framework, based on graphs of filters which
 operate on media data. GStreamermm is a C++ wrapper library for the multimedia
 library GStreamer. It is designed to allow C++ development of applications that
 work with multi-media.
 .
 This package contains the development libraries and header
 files needed by C++ programs that want to compile with GStreamer.

Package: libgstreamermm-1.0-doc
Description-md5: a21cb9761d2d803f4d60b0b452a51c64
Description-en: C++ wrapper library for GStreamer (documentation)
 GStreamer is a streaming media framework, based on graphs of filters which
 operate on media data. GStreamermm is a C++ wrapper library for the multimedia
 library GStreamer. It is designed to allow C++ development of applications that
 work with multi-media.
 .
 This package contains the documentation and examples.

Package: libgstrtspserver-1.0-0
Description-md5: 0ea9e7419c3c2010a3995c527c7eb8c9
Description-en: GStreamer RTSP Server (shared library)
 gst-rtsp-server is a library on top of GStreamer for building an RTSP
 server or embedding RTSP server capabilities into applications.
 .
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains the shared library for the GStreamer RTSP Server.

Package: libgstrtspserver-1.0-0-dbg
Description-md5: 290eef003fca0135687e6701cb1d365b
Description-en: GStreamer RTSP Server (debug symbols)
 gst-rtsp-server is a library on top of GStreamer for building an RTSP
 server or embedding RTSP server capabilities into applications.
 .
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains unstripped shared libraries. It is provided primarily
 to provide a backtrace with names in a debugger, this makes it somewhat
 easier to interpret core dumps. The libraries are installed in
 /usr/lib/debug and are automatically used by gdb.

Package: libgstrtspserver-1.0-dev
Description-md5: 7ce729f941b78969393e0b9114c91c2d
Description-en: GStreamer RTSP Server (development files)
 gst-rtsp-server is a library on top of GStreamer for building an RTSP
 server or embedding RTSP server capabilities into applications.
 .
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains development files for the GStreamer RTSP Server.

Package: libgstrtspserver-1.0-doc
Description-md5: 69e2cb8a912371212eead0c8a32a4e26
Description-en: GStreamer RTSP Server (documentation)
 gst-rtsp-server is a library on top of GStreamer for building an RTSP
 server or embedding RTSP server capabilities into applications.
 .
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains the documentation for the GStreamer RTSP Server.

Package: libgtest-dev
Description-md5: 0f57efc74cf16270a96fc2540e04fd16
Description-en: Google's framework for writing C++ tests
 Google's framework for writing C++ tests on a variety of platforms. Based on
 the xUnit architecture. Supports automatic test discovery, a rich set of
 assertions, user-defined assertions, death tests, fatal and non-fatal failures,
 value- and type-parameterized tests, various options for running the tests, and
 XML test report generation.

Package: libgtextutils-dev
Description-md5: a16a583fde213098a3e6a6c7f06d523f
Description-en: Gordon Text_utils library (development files)
 The Gordon Text_utils (gtextutils) library is a text utilities library used by
 the FASTX-Toolkit, a suite of programs for biological sequence analysis.
 .
 Development files for the Gordon Text_utils (gtextutils) library.

Package: libgtextutils0v5
Description-md5: e146ef22737feb14ce23b4b0dcc627c7
Description-en: Gordon Text_utils library
 The Gordon Text_utils (gtextutils) library is a text utilities library used by
 the FASTX-Toolkit, a suite of programs for biological sequence analysis.
 .
 This package provides the dynamic library.

Package: libgtg-dev
Description-md5: c431ca1bec8438a7ed4539506a6c543a
Description-en: Generic Trace Generator (GTG) - development files
 The GTG library provides a low level library to generate execution
 traces in Paje or OTF formats.
 .
 This package contains the development files

Package: libgtg0
Description-md5: ea60e1713b3175548217f54740436f92
Description-en: Generic Trace Generator (GTG) - shared library
 The GTG library provides a low level library to generate execution
 traces in Paje or OTF formats.
 .
 This package contains the shared library.

Package: libgtk-dotnet3.0-cil
Description-md5: 4874a82b9a01bee2b3902251e4f56d04
Description-en: GTK.NET library
 This package provides the gtk-dotnet assembly which contains .NET extensions
 for GTK+.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libgtk-dotnet3.0-cil-dev
Description-md5: a81e84024278761b58cb73a7a0033568
Description-en: GTK.NET library - development files
 This package provides the gtk-dotnet assembly which contains .NET extensions
 for GTK+.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.
 .
 This package contains development files for GTK.NET, and should be used for
 compilation.

Package: libgtk-layer-shell-dev
Description-md5: b7f051c5aa86dd258ef8ba287e6b118e
Description-en: Wayland Layer Shell protocol desktop component library -- development files
 A library to write GTK applications that use Layer Shell. This library only
 makes sense on Wayland compositors that support Layer Shell, and will not work
 on X11. It supports all Layer Shell features including popups and popovers (GTK
 popups Just Work™). Please open issues for any bugs you come across.
 .
 This package contains the header and development files.

Package: libgtk-layer-shell0
Description-md5: 8c9d399ac0c1703991e28818e413346f
Description-en: Wayland Layer Shell protocol desktop component library
 A library to write GTK applications that use Layer Shell. This library only
 makes sense on Wayland compositors that support Layer Shell, and will not work
 on X11. It supports all Layer Shell features including popups and popovers (GTK
 popups Just Work™). Please open issues for any bugs you come across.
 .
 This package contains the shared libraries

Package: libgtk-sharp-beans-cil
Description-md5: 5fe26fb2216c46b476ea8d5773bbfd75
Description-en: Supplementary CLI bindings for GTK 2.14+
 This package provides the gtk-sharp-beans assembly that allows CLI (.NET)
 programs to use GTK+ methods from GTK+ 2.14 and above, which are not exposed
 by GTK#.
 .
 This package contains the gtk-sharp-beans assembly.

Package: libgtk-sharp-beans2.0-cil-dev
Description-md5: 70fd066d608f62fe411cd7dd97bc95d2
Description-en: Supplementary CLI bindings for GTK 2.14+ - development package
 This package provides the gtk-sharp-beans assembly that allows CLI (.NET)
 programs to use GTK+ methods from GTK+ 2.14 and above, which are not exposed
 by GTK#.
 .
 This package contains development files for the gtk-sharp-beans library, and
 should be used for compilation.

Package: libgtk-vnc-2.0-0
Description-md5: c75b76bee0970ec7a0dcfeef71bfa506
Description-en: VNC viewer widget for GTK+3 (runtime libraries)
 It is built using coroutines, allowing it to be completely asynchronous while
 remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the
 VeNCrypt authentication extension providing SSL/TLS encryption with x509
 certificate authentication.
 .
 The core library is written in C and a bindings for other languages are
 available via GObject introspection. The networking layer supports connections
 over both IPv4 and IPv6.
 .
 This package contains the shared library built for GTK+3.

Package: libgtk-vnc-2.0-dev
Description-md5: 0174175a8a727897d51087792378817d
Description-en: VNC viewer widget for GTK+3 (development files)
 It is built using coroutines, allowing it to be completely asynchronous while
 remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the
 VeNCrypt authentication extension providing SSL/TLS encryption with x509
 certificate authentication.
 .
 The core library is written in C and a bindings for other languages are
 available via GObject introspection. The networking layer supports connections
 over both IPv4 and IPv6.
 .
 This package contains the development headers for libgtk-vnc-2.0 and Vala
 bindings.

Package: libgtk2-ex-formfactory-perl
Description-md5: 6ec94735f09b00ee3f288209d0a3c4b6
Description-en: Makes building complex GUI's easy
 This is a framework which tries to make building complex GUI's easy, by
 offering these two main features:
 .
  * Consistent looking GUI without the need to code resp. tune each widget
    by hand. Instead you declare the structure of your GUI, connect it to
    the data of your program (which should be a well defined set of objects)
    and control how this structure is transformed into a specific layout in
    a very generic way.
 .
   * Automatically keep widget and object states in sync (in both
     directions), even with complex data structures with a lot of internal
     dependencies, object nesting etc.

Package: libgtk2-gst
Description-md5: 0eb7f15768418c385e35a7d3ed7821ef
Description-en: GTK+ bindings and environment for GNU Smalltalk
 This package contains the GTK+ bindings for GNU Smalltalk.
 .
 For more information on the browser see the gnu-smalltalk-browser package.

Package: libgtk2-perl
Description-md5: 4627cd6e8379908f1af7f65bfe9ab33a
Description-en: Perl interface to the 2.x series of the Gimp Toolkit library
 Gtk2 allows you to write graphical user interfaces in a Perlish and
 object-oriented way, freeing you from the casting and memory management in C,
 yet remaining very close in spirit to original API.
 .
 Gtk+ is a multi-platform toolkit for creating graphical user interfaces.
 Offering a complete set of widgets, the Gtk+ is suitable for projects ranging
 from small one-off tools to complete application suites. Find out more about
 Gtk+ at http://www.gtk.org.

Package: libgtk2-perl-doc
Description-md5: b0449acade9171e4541e8f7cb899bee6
Description-en: Perl interface to the Gtk 2.x series (documentation files)
 Gtk2 allows you to write graphical user interfaces in a Perlish and
 object-oriented way, freeing you from the casting and memory management in C,
 yet remaining very close in spirit to original API.
 .
 Gtk+ is a multi-platform toolkit for creating graphical user interfaces.
 Offering a complete set of widgets, the Gtk+ is suitable for projects ranging
 from small one-off tools to complete application suites. Find out more about
 Gtk+ at http://www.gtk.org.
 .
 This package contains the documentation for the Gtk2 perl modules and some
 examples.

Package: libgtk2.0-cil
Description-md5: 302b3671c7a7ae8862c5bf47e00cad6e
Description-en: CLI binding for the GTK+ toolkit 2.12
 This package provides the atk-sharp, gdk-sharp, gtk-dotnet, gtk-sharp and
 pango-sharp assemblies that allow CLI (.NET) programs to use the
 GTK+ user interface toolkit 2.12, ATK, Pango, GTK.NET and GDK.
 .
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 This package contains the GTK# assemblies

Package: libgtk2.0-cil-dev
Description-md5: 4ae2d98a41b4ac28fdcee18f721494a2
Description-en: CLI binding for the GTK+ toolkit 2.12
 This package provides the atk-sharp, gdk-sharp, gtk-dotnet, gtk-sharp and
 pango-sharp assemblies that allow CLI (.NET) programs to use the
 GTK+ user interface toolkit 2.12, ATK, Pango, GTK.NET and GDK.
 .
 GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit
 .
 This package contains development files for the GTK# library, and should
 be used for compilation

Package: libgtk2.0-dev
Description-md5: 27369c198da70271ed178d1415c3e8fe
Description-en: development files for the GTK library
 GTK is a multi-platform toolkit for creating graphical user
 interfaces. Offering a complete set of widgets, GTK is suitable
 for projects ranging from small one-off tools to complete application
 suites.
 .
 This package contains the header files and static libraries which are
 needed for developing GTK applications.

Package: libgtk3-nocsd0
Description-md5: 0c8cb3cf8154423b59945dd8bb53453a
Description-en: Library to disable Gtk+ 3 client side decorations (CSD)
 libgtk3-nocsd is a small LD_PRELOADable library used to disable the
 client side decorations (CSD) of Gtk+ 3.
 .
 Since Gtk+ 3.10, its developers added a so-called header bar or custom
 title bar. With this and the client-side decoration, the original
 title bar and window border provided by the window manager are
 disabled by Gtk+. This makes all Gtk+ 3 programs look like alike, but
 have different handling from other windows on non-GNOME desktops. Even
 worse, this may break some window manager or compositors.
 .
 Unfortunately, there is no reliable way of turning off CSDs in Gtk+
 directly. This library makes this possible.

Package: libgtk3-simplelist-perl
Description-md5: d02ebbce943318754649606030af4ec8
Description-en: Perl simple interface to GTK+ 3's complex MVC list widget
 Gtk3 has a powerful, but complex MVC (Model, View, Controller) system used to
 implement list and tree widgets. Gtk3::SimpleList automates the complex setup
 work and allows you to treat the list model as a more natural list of lists
 structure.
 .
 After creating a new Gtk3::SimpleList object with the desired columns you may
 set the list data with a simple Perl array assignment. Rows may be added or
 deleted with all of the normal array operations. You can treat the data
 member of the list simplelist object as an array reference, and manipulate
 the list data with perl's normal array operators.
 .
 A mechanism has also been put into place allowing columns to be Perl scalars.
 The scalar is converted to text through Perl's normal mechanisms and then
 displayed in the list. This same mechanism can be expanded by defining
 arbitrary new column types before calling the new function.

Package: libgtk3-webkit2-perl
Description-md5: 1f8f347709d623e460c0e35936fca99e
Description-en: WebKit2 bindings for Perl
 Gtk3::WebKit2 provides the Perl bindings for the Gtk3 port of WebKit2.
 .
 With this package you can easily write your own WebKit2 based web browser
 implementation in Perl.

Package: libgtk3.0-cil
Description-md5: 9f3f00d5ad615f18898d3eee4ad71d88
Description-en: CLI binding for the GTK+ 3.0 toolkit
 This package provides the gtk-sharp assembly that allows CLI (.NET) programs
 to use the GTK+ 3.0 user interface toolkit.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libgtk3.0-cil-dev
Description-md5: 3058140be2e798145422c8983ff65526
Description-en: CLI binding for the GTK+ toolkit 3.0
 This package provides the gtk-sharp assembly that allows CLI (.NET) programs
 to use the GTK+ 3.0 user interface toolkit.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.
 .
 This package contains development files for ATK#, Cairo#, GDK#, Glib#, GTK# and
 Pango#, and should be used for compilation.

Package: libgtkada-bin
Description-md5: 028c030d2a26667494462e8b85f75600
Description-en: Ada binding for the GTK+ GUI (development utilities)
 GtkAda is a library that allows programmers to write GTK+ applications in the
 Ada programming language.
 .
 This package contains the gtkada-dialog development utility.

Package: libgtkada-doc
Description-md5: 26abc9ce8ed81314d1931e06d9827a4c
Description-en: Ada binding for the GTK+ GUI (documentation)
 GtkAda is a library that allows programmers to write GTK+ applications in the
 Ada programming language.
 .
 This package contains examples, lists of known problems and comments
 about the Debian packaging.
 .
 The complete GTKAda user guide cannot be distributed by Debian
 because it is licensed under the GNU Free Documentation License with
 Invariant Sections and Cover Texts. The reference manual cannot be
 generated from source without the user guide.
 They are published by upstream authors at
 http://docs.adacore.com/gprbuild-docs/html/gprbuild_ug.html and
 http://docs.adacore.com/gtkada-docs/gtkada_ug/_build/html.

Package: libgtkada19
Description-md5: 14a86e57225dba2beefd9ce033b618cc
Description-en: Ada binding for the GTK+ GUI (dynamic library)
 GtkAda is a library that allows programmers to write GTK+ applications in the
 Ada programming language.
 .
 This library will let you run Ada applications compiled with GTK+.

Package: libgtkada19-dev
Description-md5: eb7f891547510c07e55f0ac7c51c8a3a
Description-en: Ada binding for the GTK+ GUI (development files)
 GtkAda is a library that allows programmers to write GTK+ applications in the
 Ada programming language.
 .
 This package contains the development files and static libraries.

Package: libgtkd-3-0
Description-md5: f169214d10fb9c994d38a421a94f2c85
Description-en: GTK+ graphical user interface library - D bindings
 GTK+ is a multi-platform toolkit for creating graphical user interfaces.
 Offering a complete set of widgets, GTK+ is suitable for projects ranging
 from small one-off tools to complete application suites.
 .
 This package contains runtime files needed for applications written in D.

Package: libgtkd-3-dev
Description-md5: 2012c05d423c4d29d8fcdfed1feb3a31
Description-en: GTK+ graphical user interface library - development files for D
 GTK+ is a multi-platform toolkit for creating graphical user interfaces.
 Offering a complete set of widgets, GTK+ is suitable for projects ranging
 from small one-off tools to complete application suites.
 .
 This package contains development files needed to write applications in
 the D programming language that use GTK+.

Package: libgtkdatabox-dev
Description-md5: 792dbd501273610f57e03036eae49cae
Description-en: Gtk+ library to display large amounts of numerical data (development)
 One or more data sets of thousands of data points (X and Y coordinate) may be
 displayed and updated in split seconds. The widget is therefore used in many
 scientific and private projects that need to show quickly changing data live.
 GtkDatabox offers the ability to zoom into and out of the data and to navigate
 through your data by scrolling.
 .
 In addition to rulers and a simple coordinate cross, GtkDatabox now also allows
 you to add one (or even more) configurable grids like on an oscilloscope.
 .
 Data may be presented as dots, lines connecting the data, or vertical bars.
 The widget allows you to easily transform pixel coordinates into data
 coordinates, thus allowing you to easily create powerful applications for
 data analysis.
 .
 Development package

Package: libgtkdatabox-doc
Description-md5: 77e085306f6672816bb1b135a1dcb688
Description-en: Gtk+ library to display large amounts of numerical data (API doc)
 One or more data sets of thousands of data points (X and Y coordinate) may be
 displayed and updated in split seconds. The widget is therefore used in many
 scientific and private projects that need to show quickly changing data live.
 GtkDatabox offers the ability to zoom into and out of the data and to navigate
 through your data by scrolling.
 .
 In addition to rulers and a simple coordinate cross, GtkDatabox now also allows
 you to add one (or even more) configurable grids like on an oscilloscope.
 .
 Data may be presented as dots, lines connecting the data, or vertical bars.
 The widget allows you to easily transform pixel coordinates into data
 coordinates, thus allowing you to easily create powerful applications for
 data analysis.
 .
 This package contains the API documentation.

Package: libgtkdatabox0
Description-md5: 2aca047c85c677f82432618eb18ad9ec
Description-en: Gtk+ library to display large amounts of numerical data
 One or more data sets of thousands of data points (X and Y coordinate) may be
 displayed and updated in split seconds. The widget is therefore used in many
 scientific and private projects that need to show quickly changing data live.
 GtkDatabox offers the ability to zoom into and out of the data and to navigate
 through your data by scrolling.
 .
 In addition to rulers and a simple coordinate cross, GtkDatabox now also allows
 you to add one (or even more) configurable grids like on an oscilloscope.
 .
 Data may be presented as dots, lines connecting the data, or vertical bars.
 The widget allows you to easily transform pixel coordinates into data
 coordinates, thus allowing you to easily create powerful applications for
 data analysis.

Package: libgtkdatabox0-glade
Description-md5: 401a094bef70cedecb6fd0e19466d49e
Description-en: Gtk+ library to display large amounts of numerical data (glade API)
 One or more data sets of thousands of data points (X and Y coordinate) may be
 displayed and updated in split seconds. The widget is therefore used in many
 scientific and private projects that need to show quickly changing data live.
 GtkDatabox offers the ability to zoom into and out of the data and to navigate
 through your data by scrolling.
 .
 In addition to rulers and a simple coordinate cross, GtkDatabox now also allows
 you to add one (or even more) configurable grids like on an oscilloscope.
 .
 Data may be presented as dots, lines connecting the data, or vertical bars.
 The widget allows you to easily transform pixel coordinates into data
 coordinates, thus allowing you to easily create powerful applications for
 data analysis.
 .
 Modules for GUI development with Glade3

Package: libgtkdatabox0-libglade
Description-md5: 9f509ccc6e0bf9a715f6c3148ae9ee94
Description-en: Gtk+ library to display large amounts of numerical data (glade lib)
 One or more data sets of thousands of data points (X and Y coordinate) may be
 displayed and updated in split seconds. The widget is therefore used in many
 scientific and private projects that need to show quickly changing data live.
 GtkDatabox offers the ability to zoom into and out of the data and to navigate
 through your data by scrolling.
 .
 In addition to rulers and a simple coordinate cross, GtkDatabox now also allows
 you to add one (or even more) configurable grids like on an oscilloscope.
 .
 Data may be presented as dots, lines connecting the data, or vertical bars.
 The widget allows you to easily transform pixel coordinates into data
 coordinates, thus allowing you to easily create powerful applications for
 data analysis.
 .
 Libraries for run-time GUI loading with libglade

Package: libgtkdsv-3-0
Description-md5: b356f4f480de27be893b8c3cecfe2574
Description-en: GTK+ syntax highlighting widget - D bindings
 GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains runtime files needed for applications written in D.

Package: libgtkdsv-3-dev
Description-md5: b467b148d1d43d4b64a32840f39e38e0
Description-en: GTK+ syntax highlighting widget - development files for D
 GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains development files needed to write applications in
 the D programming language that use GtkSourceView.

Package: libgtkextra-3.0
Description-md5: 71c10e57d82f019c837fa98fe5138b71
Description-en: useful set of widgets for creating GUI's for GTK+
 The library includes the following widgets:
 .
 GtkSheet, a matrix widget; GtkPlot, which allows you to draw high quality
 scientific plots; the package also includes GtkPlot3D and GtkPlotPolar;
 GtkPlotCanvas is a GtkFixed subclass and allows you to DnD plots etc.
 The distribution includes also a PostScript driver.
 GtkIconList, which allows you to display a table of xpm icons;
 GtkDirTree, which allows you to navigate the file-system; GtkFileList
 which displays the contents of a given directory using fancy icons
 for different types of files; GtkIconFileSelection, a file selection
 dialog using icons; GtkItemEntry, a GtkEntry subclass; GtkFontCombo,
 providing two combos to select among the 35 standard Adobe PostScript
 fonts; GtkComboBox, which allows you can pack whatever you want, from
 a calendar to a clist; GtkColorCombo,  to pickup the color you like
 the most, within a palette; GtkBorderCombo, a fancy GtkComboBox subclass;
 GtkCheckItem, a GtkCheckButton hack with the look and feel of
 the Redmond95 theme.

Package: libgtkextra-3.0-doc
Description-md5: 7ce678ec83d265eab58cd27af1a5ee83
Description-en: documentation for libgtkextra-x11-3.0
 set of HTML files.

Package: libgtkextra-dev
Description-md5: ba62536857363abc3da9eec47560caff
Description-en: development files for libgtkextra-x11-3.0
 libgtkextra-x11-3.0 provides a useful set of widgets for creating
 GUI's for GTK+

Package: libgtkgl2.0-1
Description-md5: 1e88a2d6bfbd31e29eec2a0722ec37a7
Description-en: OpenGL context support for GTK+ (shared libraries)
 The gtkgl library provides GtkGLArea (a GTK+ widget containing an OpenGL
 context for fast 2D and 3D graphics), GdkGLPixmap (an off-screen rendering
 context) and GdkGLContext (an OpenGL extension for virtually any drawable
 widget).
 .
 This package contains the shared library.

Package: libgtkgl2.0-dev
Description-md5: 13458bfb9ef3acff57f4f800c12e5c07
Description-en: OpenGL context support for GTK+ (development files)
 The gtkgl library provides GtkGLArea (a GTK+ widget containing an OpenGL
 context for fast 2D and 3D graphics), GdkGLPixmap (an off-screen rendering
 context) and GdkGLContext (an OpenGL extension for virtually any drawable
 widget).
 .
 This package contains the headers and static library.

Package: libgtkglext1
Description-md5: 739f563809fdf796ac6e801a4957eaa7
Description-en: OpenGL Extension to GTK+ (shared libraries)
 GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+,
 and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable.

Package: libgtkglext1-dev
Description-md5: bf8da8f7090befe40350bae84c33ab7c
Description-en: OpenGL Extension to GTK+ (development files)
 GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+,
 and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable.
 .
 This package contains files that you need to compile programs using
 libgtkglext.

Package: libgtkglext1-doc
Description-md5: 803a55958722bce3edd46608e679b991
Description-en: OpenGL Extension to GTK+ (documentation)
 GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+,
 and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable.
 .
 This package contains documentation for libgtkglext.

Package: libgtkglextmm-x11-1.2-0v5
Description-md5: b4e8f138af7941ee75ecc9c8c609806c
Description-en: C++ bindings for GtkGLExt (Shared libraries)
 GtkGLExtmm is a C++ wrapper for GtkGLExt. C++ programmers can use it to
 write GTK+-based OpenGL applications using Gtkmm 2.
 .
 This package contains the shared libraries.

Package: libgtkglextmm-x11-1.2-dev
Description-md5: 5af9a524c255e1533967702f3cf49644
Description-en: C++ bindings for GtkGLExt (Development files)
 GtkGLExtmm is a C++ wrapper for GtkGLExt. C++ programmers can use it to
 write GTK+-based OpenGL applications using Gtkmm 2.
 .
 This package contains the development files.

Package: libgtkglextmm-x11-1.2-doc
Description-md5: e2124e899c87371db76d1f4ed839dd08
Description-en: C++ bindings for GtkGLExt (Documentation)
 GtkGLExtmm is a C++ wrapper for GtkGLExt. C++ programmers can use it to
 write GTK+-based OpenGL applications using Gtkmm 2.
 .
 This package contains documentation and examples.

Package: libgtkhex-3-0
Description-md5: f81f777084af4f0e366139989583957c
Description-en: GNOME Hex editor for files (shared library)
 The GHex program can view and edit files in two ways, hex or ascii. Good
 for editing saved game files.
 .
 This package contains the shared library.

Package: libgtkhex-3-dev
Description-md5: c5000b405b171c424c6a09b37604a269
Description-en: GNOME Hex editor for files (development headers)
 The GHex program can view and edit files in two ways, hex or ascii. Good
 for editing saved game files.
 .
 This package contains the development headers.

Package: libgtkhotkey-dev
Description-md5: 9fcbc8bd6e958aa7c0ca92b318d28503
Description-en: Gtk hotkey static libraries and headers
 Cross platform library for using desktop wide hotkeys
 Platform independent hotkey handling for Gtk+ applications.
 .
 This package provides development file.

Package: libgtkhotkey1
Description-md5: 813952c37ff3c1c3a4b19f8720f7ac04
Description-en: Gtk hotkey shared library
 Cross platform library for using desktop wide hotkeys
 Platform independent hotkey handling for Gtk+ applications.

Package: libgtkmathview-bin
Description-md5: 6275317193bd3d2318829b619d0dc08f
Description-en: rendering engine for MathML documents
 GtkMathView is a C++ rendering engine for MathML documents. It
 provides an interactive view that can be used for browsing and
 editing MathML markup.
 .
 GtkMathView reads MathML documents by means of a frontend whose
 purpose is to traverse the MathML document (or part of it) and
 to appropriately instantiate GtkMathView's internal data
 structures that are suitable for rendering it.  Currently the
 following frontends are supported: libxml2 (tree view using
 libxml2 API), libxml2 reader (event view using libxml2 reader
 API), GMetaDOM (tree view using DOM API), custom
 (application-specific view with application-provided callbacks).
 .
 GtkMathView renders MathML documents through a backend whose
 purpose is to provide an interface between the portable
 rendering engine and the platform-specific capabilities (like
 retrieving fonts, drawing on the screen, and so on). Currently
 the following backends are provided: GTK+, GTK+ widget,
 PostScript, SVG, AbiWord.
 .
 This package includes utilities to view and render MathML
 documents.

Package: libgtkmathview-dev
Description-md5: 10c3b2b87eebae439deec8e8b4d13a39
Description-en: rendering engine for MathML documents
 GtkMathView is a C++ rendering engine for MathML documents. It
 provides an interactive view that can be used for browsing and
 editing MathML markup.
 .
 GtkMathView reads MathML documents by means of a frontend whose
 purpose is to traverse the MathML document (or part of it) and
 to appropriately instantiate GtkMathView's internal data
 structures that are suitable for rendering it.  Currently the
 following frontends are supported: libxml2 (tree view using
 libxml2 API), libxml2 reader (event view using libxml2 reader
 API), GMetaDOM (tree view using DOM API), custom
 (application-specific view with application-provided callbacks).
 .
 GtkMathView renders MathML documents through a backend whose
 purpose is to provide an interface between the portable
 rendering engine and the platform-specific capabilities (like
 retrieving fonts, drawing on the screen, and so on). Currently
 the following backends are provided: GTK+, GTK+ widget,
 PostScript, SVG, AbiWord.
 .
 This package includes the development support files.

Package: libgtkmathview0c2a
Description-md5: 7f862eff2622fac271b2ba0b8cd03a86
Description-en: rendering engine for MathML documents
 GtkMathView is a C++ rendering engine for MathML documents. It
 provides an interactive view that can be used for browsing and
 editing MathML markup.
 .
 GtkMathView reads MathML documents by means of a frontend whose
 purpose is to traverse the MathML document (or part of it) and
 to appropriately instantiate GtkMathView's internal data
 structures that are suitable for rendering it.  Currently the
 following frontends are supported: libxml2 (tree view using
 libxml2 API), libxml2 reader (event view using libxml2 reader
 API), GMetaDOM (tree view using DOM API), custom
 (application-specific view with application-provided callbacks).
 .
 GtkMathView renders MathML documents through a backend whose
 purpose is to provide an interface between the portable
 rendering engine and the platform-specific capabilities (like
 retrieving fonts, drawing on the screen, and so on). Currently
 the following backends are provided: GTK+, GTK+ widget,
 PostScript, SVG, AbiWord.
 .
 This package include the shared library.

Package: libgtkmm-2.4-1v5
Description-md5: ea61ee6bd6122b4aac6cb55da429d58f
Description-en: C++ wrappers for GTK+ 2 (shared libraries)
 Gtkmm is a C++ interface for the popular GUI library GTK+, with API version
 2.4.  Gtkmm provides a convenient interface for C++ programmers to create
 graphical user interfaces with GTK+'s flexible OO framework. Highlights
 include type safe callbacks, widgets extensible using inheritance and over 180
 classes that can be freely combined to quickly create complex user interfaces.
 .
 This package contains shared libraries.

Package: libgtkmm-2.4-dev
Description-md5: a4772c61b872c9b1375e8a16666b8fd8
Description-en: C++ wrappers for GTK+ 2 (development files)
 Gtkmm is a C++ interface for the popular GUI library GTK+, with API version
 2.4.  Gtkmm provides a convenient interface for C++ programmers to create
 graphical user interfaces with GTK+'s flexible OO framework. Highlights
 include type safe callbacks, widgets extensible using inheritance and over 180
 classes that can be freely combined to quickly create complex user interfaces.
 .
 This package is deprecated. Please see the libgtkmm-3.0-dev package for a
 newer version, which wraps GTK+ 3 instead.
 .
 This package contains development files and examples, as well as a gtkmm-demo
 program.

Package: libgtkmm-2.4-doc
Description-md5: c1648cf9c4b240e6c5a4646ec1757948
Description-en: C++ wrappers for GTK+ 2 (documentation)
 Gtkmm is a C++ interface for the popular GUI library GTK+, with API version
 2.4.  Gtkmm provides a convenient interface for C++ programmers to create
 graphical user interfaces with GTK+'s flexible OO framework. Highlights
 include type safe callbacks, widgets extensible using inheritance and over 180
 classes that can be freely combined to quickly create complex user interfaces.
 .
 This package is deprecated. Please see the libgtkmm-3.0-doc package for
 the documentation of a newer version, which wraps GTK+ 3 instead.
 .
 This package contains FAQ, and reference documentation.

Package: libgtkpod-dev
Description-md5: 0b1276780e402eaef123d11bb4486e91
Description-en: main library for the gtkpod package, development kit
 This is the core library and plugins for gtkpod.
 Library containing core gtk functions for the application gtkpod and
 the related gtkpod-data package.
 .
 This package contains the static library and headers for use by developers.

Package: libgtkpod1
Description-md5: 3c7d706473a9b924e11421ec4cf13d4b
Description-en: main library for the gtkpod package, shared library
 This is the core library and plugins for gtkpod.
 Library containing core gtk functions for the application gtkpod and
 the related gtkpod-data package.

Package: libgtksourceview-3.0-1
Description-md5: 86c0657d8cc2203a66f02fa09a5105a5
Description-en: shared libraries for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains the shared libraries required by applications to use
 this widget.

Package: libgtksourceview-3.0-common
Description-md5: 048429c0fa63ec73efc5c1e93957e6ca
Description-en: common files for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains the language specifications files for Ada, C, C++, C#,
 CSS, ".desktop", ".diff" (patch), Fortran 95, GtkRC, Haskell, HTML, IDL,
 ".ini", Java, JavaScript, LaTeX, Lua, MSIL, Nemerle, Pascal, Perl, PHP, ".po"
 (gettext), Python, R, Ruby, sh, SQL, Tcl, Texinfo, VB.NET, Verilog, VHDL and
 XML.

Package: libgtksourceview-3.0-dev
Description-md5: 63bb614e6573822ae0f4723474fb9ea4
Description-en: development files for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains the header files required if you wish to develop
 software that uses the GtkSourceView widget.

Package: libgtksourceview-3.0-doc
Description-md5: 7ee458d7d2be7ad3d17fbeefc5af1abf
Description-en: documentation for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains the GtkSourceView reference manual.

Package: libgtksourceview2.0-0
Description-md5: 4c67b116d67582aeade4f3dd06a36dd6
Description-en: shared libraries for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains the shared libraries required by applications to use
 this widget.

Package: libgtksourceview2.0-common
Description-md5: 4fbc7f71c219cb0f7964b0307b470e0b
Description-en: common files for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains the language specifications files for Ada, C, C++, C#,
 CSS, ".desktop", ".diff" (patch), Fortran 95, GtkRC, Haskell, HTML, IDL,
 ".ini", Java, JavaScript, LaTeX, Lua, MSIL, Nemerle, Pascal, Perl, PHP, ".po"
 (gettext), Python, R, Ruby, sh, SQL, Tcl, Texinfo, VB.NET, Verilog, VHDL and
 XML.

Package: libgtksourceview2.0-dev
Description-md5: 34140e245701eeec1bdaaf0cdaa81d38
Description-en: development files for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains the header files required if you wish to develop
 software that uses the GtkSourceView widget.

Package: libgtksourceview2.0-doc
Description-md5: 04ab12b5b1a7c225ffc677c10053d34d
Description-en: documentation for the GTK+ syntax highlighting widget
 GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget
 GtkTextView. It improves GtkTextView by implementing syntax highlighting and
 other features typical of a source editor.
 .
 This package contains the GtkSourceView reference manual.

Package: libgtksourceviewmm-3.0-0v5
Description-md5: 5967382153ceaa4fa1c01d2401bd4e21
Description-en: C++ binding of GtkSourceView
 GtkSourceViewMM is a C++ binding of GtkSourceView, an extension to
 the text widget included in GTK+ 3.x adding syntax highlighting and
 other features typical for a source file editor.
 .
 GtkSourceViewMM is crafted to integrate well into applications using
 the gtkmm framework.
 .
 These are the shared libraries for libgtksourceviewmm.

Package: libgtksourceviewmm-3.0-dev
Description-md5: 34f507477a82099dcaad788bceb77712
Description-en: C++ binding of GtkSourceView - development files
 GtkSourceViewMM is a C++ binding of GtkSourceView, an extension to
 the text widget included in GTK+ 3.x adding syntax highlighting and
 other features typical for a source file editor.
 .
 GtkSourceViewMM is crafted to integrate well into applications using
 the gtkmm framework.
 .
 These are the development files for libgtksourceviewmm, needed only
 if you wish to write or compile software which uses it.

Package: libgtksourceviewmm-3.0-doc
Description-md5: 3fb45645e33cce53a77624b259da3d9d
Description-en: C++ binding of GtkSourceView
 GtkSourceViewMM is a C++ binding of GtkSourceView, an extension to
 the text widget included in GTK+ 3.x adding syntax highlighting and
 other features typical for a source file editor.
 .
 GtkSourceViewMM is crafted to integrate well into applications using
 the gtkmm framework.
 .
 These are the reference documentation for libgtksourceviewmm.

Package: libgtkspell-dev
Description-md5: 1e3b6ed0827fd853c17cd8f846d1a124
Description-en: Development files for GtkSpell
 This package contains the headers and static libraries for developing
 applications with GtkSpell support. Also included are documentation and
 example code for developing GtkSpell applications.

Package: libgtkspell0
Description-md5: 71dfc9fc8fb43915ff5694a637d0877e
Description-en: spell-checking addon for GTK's TextView widget
 GtkSpell provides MSWord/MacOSX-style highlighting of misspelled words in a
 GtkTextView widget.  Right-clicking a misspelled word pops up a menu of
 suggested replacements.

Package: libgtkspell3-3-0
Description-md5: d6bae8d16129c3922c33ea551554ecdc
Description-en: spell-checking addon for GTK+'s TextView widget
 GtkSpell provides Microsoft Word/OS X-style highlighting of misspelled
 words in a GtkTextView widget.  Right-clicking a misspelled word pops up a
 menu of suggested replacements.

Package: libgtkspell3-3-dev
Description-md5: 1e3b6ed0827fd853c17cd8f846d1a124
Description-en: Development files for GtkSpell
 This package contains the headers and static libraries for developing
 applications with GtkSpell support. Also included are documentation and
 example code for developing GtkSpell applications.

Package: libgtkspellmm-3.0-0v5
Description-md5: 81539545f5e9aeab583bf910f4573e5c
Description-en: C++ wrapper library for GtkSpell (shared libraries)
 GtkSpellmm provides C++ bindings for the GtkSpell spell-checking library.
 GtkSpell provides LibreOffice-style highlighting of misspelled words in a
 GtkTextView widget. Right-clicking a misspelled word pops up a menu of
 suggested replacements.
 .
 This package contains the shared libraries.

Package: libgtkspellmm-3.0-dev
Description-md5: 904f79cf88758bc6a6ff6d4acd236c78
Description-en: C++ wrapper library for GtkSpell (development files)
 GtkSpellmm provides C++ bindings for the GtkSpell spell-checking library.
 GtkSpell provides LibreOffice-style highlighting of misspelled words in a
 GtkTextView widget. Right-clicking a misspelled word pops up a menu of
 suggested replacements.
 .
 This package contains the development libraries and header
 files needed by C++ programs that want to compile with GtkSpell.

Package: libgtkspellmm-3.0-doc
Description-md5: 26648e43f79f0a5fc3cd7804f2acc8ce
Description-en: C++ wrappers for GtkSpell (documentation)
 GtkSpellmm provides C++ bindings for the GtkSpell spell-checking library.
 GtkSpell provides LibreOffice-style highlighting of misspelled words in a
 GtkTextView widget. Right-clicking a misspelled word pops up a menu of
 suggested replacements.
 .
 This package contains the HTML reference documentation.

Package: libgtp-dev
Description-md5: a8f66eaf8ad8ee42cca490a1b559a441
Description-en: Development files for libgtp
 OsmoGGSN is a Gateway GPRS Support Node (GGSN). It is used by mobile
 operators as the interface between the Internet and the rest of the
 mobile network infrastructure.
 .
 The library libgtp implements the GTP protocol between SGSN and GGSN
 and this package contains the development files for this library.

Package: libgtp3
Description-md5: a239b3be3890024c8dfd1208977b204b
Description-en: library implementing the GTP protocol between SGSN and GGSN
 OsmoGGSN is a Gateway GPRS Support Node (GGSN). It is used by mobile
 operators as the interface between the Internet and the rest of the
 mobile network infrastructure.
 .
 This library is part of OsmoGGSN and implements the GTP protocol between
 SGSN (Serving GPRS support node) and GGSN.

Package: libgts-0.7-5
Description-md5: 6074afcc54a3906f2372b3e142a15afd
Description-en: library to deal with 3D computational surface meshes
 The GNU Triangulated Surface Library is intended to provide a simple and
 efficient library to scientists dealing with 3D surfaces meshed with
 interconnected triangles.
 .
 This package contains the shared libraries.

Package: libgts-bin
Description-md5: cede45ba9417854bd2ea51164cccc797
Description-en: utility binaries for libgts
 The GTS Library is intended to provide a set of useful functions to
 deal with 3D surfaces meshed with interconnected triangles.
 .
 This package contains some utility binaries.

Package: libgts-dbg
Description-md5: 52e417a27b90f690b972f9e36d406be3
Description-en: debugging symbols for libgts
 The GTS Library is intended to provide a set of useful functions to
 deal with 3D surfaces meshed with interconnected triangles.
 .
 This package contains the debugging symbols for GTS.

Package: libgts-dev
Description-md5: 68965fbf175635c7a79e11c874191966
Description-en: development files for libgts
 The GTS Library is intended to provide a set of useful functions to
 deal with 3D surfaces meshed with interconnected triangles.
 .
 This package contains the headers and development libraries needed to
 build applications using GTS.

Package: libguard-perl
Description-md5: 0a9143ff4f7bdbdfba62cc8ad64bd9ae
Description-en: Perl module providing safe cleanup using guard objects
 Guard is a Perl module implementing so-called "guards," which are things that
 "guard" a resource, ensuring that it is safely cleaned up when expected, even
 if exceptional conditions interrupt the normal control flow. This allows one
 to write code which executes an arbitrary code block or subroutine when either
 the related Guard Object is destroyed or when the current scope ends. In other
 languages, this sort of functionality is provided by the 'finally' keyword.

Package: libguava-java
Description-md5: d36327d9a6634b14bffa7b38648f0343
Description-en: Suite of Google common libraries for Java
 Guava is a suite of core and expanded libraries that include
 utility classes, google's collections, io classes, concurrency
 support and other features.
 .
 Guava has two code dependencies - javax.annotation per the JSR-305 spec
 and javax.inject per the JSR-330 spec.

Package: libguava-java-doc
Description-md5: b5630a494f1fb7a5608afc2c5702ef9c
Description-en: documentation for libguava-java
 Guava is a suite of core and expanded libraries that include
 utility classes, google's collections, io classes, concurrency
 support and other features.
 .
 Guava has two code dependencies - javax.annotation per the JSR-305 spec
 and javax.inject per the JSR-330 spec.
 .
 This package contains the javadocs of Guava library suite.

Package: libguava-mini-java
Description-md5: 99c624c1476cb9332319a40d51777609
Description-en: utilities from Guava
 Some popular utilities from Guava repackaged (with different package names
 but same class names and method names) into a little jar (11K) available on
 Maven Central.

Package: libgucharmap-2-90-7
Description-md5: 23d03262b30ea8c75f637ac989fdc205
Description-en: Unicode browser widget library (shared library)
 The libgucharmap library contains a Unicode browser widget; it is
 most prominently used by the "gucharmap" program.  See the package of
 the same name for more information.
 .
 This package contains the shared library.

Package: libgucharmap-2-90-dev
Description-md5: 3a5187f96ac5928425f930b46f048433
Description-en: Unicode browser widget library (development headers)
 The libgucharmap library contains a Unicode browser widget; it is
 most prominently used by the "gucharmap" program.  See the package of
 the same name for more information.
 .
 This package contains the development headers.

Package: libgudev1.0-cil
Description-md5: 907f38fe3ae3937cef5ad3c6b8a3fa70
Description-en: GObject-based wrapper library for libudev -- CLI bindings
 gudev-sharp is a set of CLI bindings for libgudev, which is a GObject-based
 wrapper library for libudev.
 .
 This package contains the managed CLI bindings for gudev-sharp, which are
 needed to run CLI applications which use this library.

Package: libgudev1.0-cil-dev
Description-md5: 5b63ee7954dfc6a49beb59138feaa2fc
Description-en: GObject-based wrapper library for libudev -- CLI development files
 gudev-sharp is a set of CLI bindings for libgudev, which is a GObject-based
 wrapper library for libudev.
 .
 This package contains the development files needed to compile CLI applications
 which use this library.

Package: libgudev3.0-cil
Description-md5: 907f38fe3ae3937cef5ad3c6b8a3fa70
Description-en: GObject-based wrapper library for libudev -- CLI bindings
 gudev-sharp is a set of CLI bindings for libgudev, which is a GObject-based
 wrapper library for libudev.
 .
 This package contains the managed CLI bindings for gudev-sharp, which are
 needed to run CLI applications which use this library.

Package: libgudev3.0-cil-dev
Description-md5: 5b63ee7954dfc6a49beb59138feaa2fc
Description-en: GObject-based wrapper library for libudev -- CLI development files
 gudev-sharp is a set of CLI bindings for libgudev, which is a GObject-based
 wrapper library for libudev.
 .
 This package contains the development files needed to compile CLI applications
 which use this library.

Package: libgudhi-dev
Description-md5: 9f0021a484724e5cee688fb051e0d386
Description-en: Generic open source C++ library for topological data analysis
 The GUDHI library is a generic open source C++ library for
 Topological Data Analysis (TDA) and Higher Dimensional Geometry
 Understanding. The library offers state-of-the-art data structures
 and algorithms to construct simplicial complexes and compute
 persistent homology.
 .
 The GUDHI library is developed as part of the GUDHI project supported
 by the European Research Council.
 .
 This package contains the header files. See the libgudhi-examples
 package for example programs using the library.

Package: libgudhi-doc
Description-md5: 2ae7621ced55dc75e56910912cc305d4
Description-en: Documentation for the GUDHI library
 The GUDHI library is a generic open source C++ library for
 Topological Data Analysis (TDA) and Higher Dimensional Geometry
 Understanding. The library offers state-of-the-art data structures
 and algorithms to construct simplicial complexes and compute
 persistent homology.
 .
 The GUDHI library is developed as part of the GUDHI project supported
 by the European Research Council.
 .
 This package contains documentation.

Package: libgudhi-examples
Description-md5: c9c1d0ab37ecd7a822d2b049a65f2870
Description-en: Example programs for the GUDHI library
 The GUDHI library is a generic open source C++ library for
 Topological Data Analysis (TDA) and Higher Dimensional Geometry
 Understanding. The library offers state-of-the-art data structures
 and algorithms to construct simplicial complexes and compute
 persistent homology.
 .
 The GUDHI library is developed as part of the GUDHI project supported
 by the European Research Council.
 .
 This package contains some source code examples.

Package: libguess-dev
Description-md5: 3c89cc61606c8c3afc40a0fa6e1edc9a
Description-en: high-speed character set detection library (development)
 libguess employs discrete-finite automata to deduce the character set of the
 input buffer.  The advantage of this is that all character sets can be checked
 in parallel, and quickly.
 .
 This package contains files needed for development with libguess.

Package: libguess1
Description-md5: 0db9ff46b0ce195b22b9b7124ea0ef06
Description-en: high-speed character set detection library
 libguess employs discrete-finite automata to deduce the character set of the
 input buffer.  The advantage of this is that all character sets can be checked
 in parallel, and quickly.

Package: libguestfs-dev
Description-md5: 702a85e8480fb1be78f543019a09580d
Description-en: guest disk image management system - development headers
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the development headers.

Package: libguestfs-gfs2
Description-md5: c6601ac5f6c9f98afb17a39f9fe6cff7
Description-en: guest disk image management system - GFS2 support
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains appliance fragments for Global Filesystem
 (GFS2) support.

Package: libguestfs-gobject-1.0-0
Description-md5: 297a8d150deaf545dc6377267e3c79b6
Description-en: guest disk image management system - GObject bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the GObject bindings.

Package: libguestfs-gobject-dev
Description-md5: b7113593b4d48f4550b08525cf492675
Description-en: guest disk image management system - GObject development headers
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the development headers and documentation for
 the GObject bindings.

Package: libguestfs-hfsplus
Description-md5: ea5906bfd147ee46a7f33416ae450088
Description-en: guest disk image management system - HFS+ support
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains appliance fragments for HFS+ support.

Package: libguestfs-java
Description-md5: 131958fceff780a08da5538a00119621
Description-en: guest disk image management system - Java bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains Java bindings to libguestfs.

Package: libguestfs-jfs
Description-md5: 2cf12cd0b9c814fb6d57655217a75732
Description-en: guest disk image management system - JFS support
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains appliance fragments for JFS support.

Package: libguestfs-nilfs
Description-md5: 0028109c85cb0cff3e8800bde38f5c2a
Description-en: guest disk image management system - NILFS v2 support
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains appliance fragments for NILFS v2 support.

Package: libguestfs-ocaml
Description-md5: a757fa6f9275c46da2de36c12b577c13
Description-en: guest disk image management system - OCaml bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package includes the OCaml shared runtime stub libraries.

Package: libguestfs-ocaml-dev
Description-md5: 7de7be7896c24ccd3ab979df88c80a31
Description-en: guest disk image management system - OCaml development files
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains all the files needed to develop OCaml programs
 which use OCaml bindings to libguestfs.

Package: libguestfs-perl
Description-md5: bf6bd60c46c6f0d533925be393d8e2ee
Description-en: guest disk image management system - Perl bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the Perl bindings.

Package: libguestfs-reiserfs
Description-md5: 69fd5415d4437d6e145f183d5f1ab76f
Description-en: guest disk image management system - ReiserFS support
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains appliance fragments for ReiserFS support.

Package: libguestfs-rescue
Description-md5: 0564f26c826835a8e12a9c593d42c856
Description-en: guest disk image management system - virt-rescue enhancements
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains additional tools to use inside the virt-rescue
 shell, such as ssh, network utilities, editors and debugging
 utilities.

Package: libguestfs-rsync
Description-md5: 28f9cb32a2c4450a59e2c89ec202f33d
Description-en: guest disk image management system - rsync support
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains appliance fragments for using rsync to/from
 guest filesystems.

Package: libguestfs-tools
Description-md5: ed6bd6bdc9905b545cffd9cdfa793d00
Description-en: guest disk image management system - tools
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the guestfish interactive shell and various
 virtualization tools, including virt-cat, virt-df, virt-edit,
 virt-filesystems, virt-inspector, virt-ls, virt-make-fs, virt-rescue,
 virt-resize, virt-tar, and virt-win-reg.

Package: libguestfs-xfs
Description-md5: 5c7d00c081f22eeb296ca33f9061d478
Description-en: guest disk image management system - XFS support
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains appliance fragments for XFS support.

Package: libguestfs-zfs
Description-md5: 5336f8f926ca7c5d82d8840667a73262
Description-en: guest disk image management system - ZFS support
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains appliance fragments for ZFS support.

Package: libguestfs0
Description-md5: 09eb4589f8a971622769ace74830dcb3
Description-en: guest disk image management system - shared library
 The libguestfs library allows accessing and modifying guest disk
 images. It offers the following features, among many others:
  * making batch configuration changes to guests;
  * viewing and editing files inside guests;
  * getting disk used/free statistics;
  * migrating between virtualization systems;
  * performing partial backups;
  * performing partial guest clones;
  * cloning guests and changing registry/UUID/hostname info.
 .
 It uses the Linux kernel and qemu code, and can access any type of guest
 file system that Linux and qemu can, including but not limited to: ext2/3/4,
 btrfs, FAT and NTFS, LVM, many different disk partition schemes, qcow, qcow2,
 vmdk.
 .
 The library provides ways to enumerate guest storage (such as partitions, LVs,
 what file system is in each LV, etc.); to run commands in the context of the
 guest; and to upload and download files and directories.

Package: libguice-java
Description-md5: 65b6fcb94455626f1b6d7eeab9693030
Description-en: lightweight dependency injection framework for Java 5 and above
 Guice provides support for dependency injection using annotations to
 configure Java objects. Dependency injection is a design pattern whose
 core principle is to separate behavior from dependency resolution.
 .
 Guice allows implementation classes to be programmatically bound to
 an interface, then injected into constructors, methods or fields
 using an @Inject annotation. When more than one implementation of
 the same interface is needed, the user can create custom annotations
 that identify an implementation, then use that annotation when
 injecting it.

Package: libguice-java-doc
Description-md5: 3148739939a65d1e0bfda8178bdb1132
Description-en: documentation for libguice-java
 Documentation for Guice that is a framework that provides support for
 dependency injection using annotations to configure Java objects.
 Dependency injection is a design pattern whose core principle is to
 separate behavior from dependency resolution.
 .
 Guice allows implementation classes to be programmatically bound to
 an interface, then injected into constructors, methods or fields
 using an @Inject annotation. When more than one implementation of
 the same interface is needed, the user can create custom annotations
 that identify an implementation, then use that annotation when
 injecting it.
 .
 This package provides javadocs for Guice framework and example code.

Package: libguichan-0.8.1-1v5
Description-md5: cdb4eea09ec3a805c50fad5865bcfbc5
Description-en: small, efficient C++ GUI library
 Guichan is a small and efficient C++ GUI library designed for games. It
 comes with a standard set of widgets and can use several different objects
 for displaying graphics and grabbing user input.
 .
 Guichan has a very abstract design which allows users of Guichan to use
 different objects for displaying of graphics and grabbing of user input.
 Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics,
 OpenGLGraphics and AllegroGraphics) and 2 implemented input objects
 (SDLInput and AllegroInput),
 .
 Guichan is designed in a very abstract way making it very easy to extend
 Guichan for your own needs. It is even very easy to implement new graphics
 objects making Guichan as portable as ansi C++ is.

Package: libguichan-allegro-0.8.1-1v5
Description-md5: cde0a0cf66d602c1a431a4b32b37d07b
Description-en: small, efficient C++ GUI library (allegro integration)
 Guichan is a small and efficient C++ GUI library designed for games. It
 comes with a standard set of widgets and can use several different objects
 for displaying graphics and grabbing user input.
 .
 Guichan has a very abstract design which allows users of Guichan to use
 different objects for displaying of graphics and grabbing of user input.
 Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics,
 OpenGLGraphics and AllegroGraphics) and 2 implemented input objects
 (SDLInput and AllegroInput),
 .
 Guichan is designed in a very abstract way making it very easy to extend
 Guichan for your own needs. It is even very easy to implement new graphics
 objects making Guichan as portable as ansi C++ is.
 .
 This package contains the allegro integration for guichan.

Package: libguichan-dev
Description-md5: 28473c65d93445318df6faf87dcdee72
Description-en: small, efficient C++ GUI library (development headers)
 Guichan is a small and efficient C++ GUI library designed for games. It
 comes with a standard set of widgets and can use several different objects
 for displaying graphics and grabbing user input.
 .
 Guichan has a very abstract design which allows users of Guichan to use
 different objects for displaying of graphics and grabbing of user input.
 Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics,
 OpenGLGraphics and AllegroGraphics) and 2 implemented input objects
 (SDLInput and AllegroInput),
 .
 Guichan is designed in a very abstract way making it very easy to extend
 Guichan for your own needs. It is even very easy to implement new graphics
 objects making Guichan as portable as ansi C++ is.
 .
 This package contains the development headers for the guichan library.

Package: libguichan-opengl-0.8.1-1v5
Description-md5: c8861188a6dec90e6c5e711a3a79d545
Description-en: small, efficient C++ GUI library (OpenGL integration)
 Guichan is a small and efficient C++ GUI library designed for games. It
 comes with a standard set of widgets and can use several different objects
 for displaying graphics and grabbing user input.
 .
 Guichan has a very abstract design which allows users of Guichan to use
 different objects for displaying of graphics and grabbing of user input.
 Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics,
 OpenGLGraphics and AllegroGraphics) and 2 implemented input objects
 (SDLInput and AllegroInput),
 .
 Guichan is designed in a very abstract way making it very easy to extend
 Guichan for your own needs. It is even very easy to implement new graphics
 objects making Guichan as portable as ansi C++ is.
 .
 This package contains the OpenGL integration for guichan.

Package: libguichan-sdl-0.8.1-1v5
Description-md5: 676635f4075ac7af9cb30e480d654d31
Description-en: small, efficient C++ GUI library (SDL integration)
 Guichan is a small and efficient C++ GUI library designed for games. It
 comes with a standard set of widgets and can use several different objects
 for displaying graphics and grabbing user input.
 .
 Guichan has a very abstract design which allows users of Guichan to use
 different objects for displaying of graphics and grabbing of user input.
 Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics,
 OpenGLGraphics and AllegroGraphics) and 2 implemented input objects
 (SDLInput and AllegroInput),
 .
 Guichan is designed in a very abstract way making it very easy to extend
 Guichan for your own needs. It is even very easy to implement new graphics
 objects making Guichan as portable as ansi C++ is.
 .
 This package contains the SDL integration for guichan.

Package: libguile-ssh11
Description-md5: e33dfcc51ec9043429aabe7718a53a7d
Description-en: guile bindings for libssl
 Guile-SSH is a library that provides access to the SSH protocol for programs
 written in GNU Guile interpreter.  It is built upon the libssh library.
 .
 This includes the C bindings.

Package: libgulkan-0.13-0
Description-md5: 5cc75fe35c7457a7febe028d371be470
Description-en: GLib Vulkan helper library
 GLib library for Vulkan abstraction. It provides classes for handling a
 Vulkan instances, devices, shaders and initialize textures from GLib image
 structures and DMA buffers.
 .
 This package includes the loader library.

Package: libgulkan-dev
Description-md5: cf1bc43a6658866636846b0e3466a482
Description-en: GLib Vulkan helper library -- development files
 GLib library for Vulkan abstraction. It provides classes for handling a
 Vulkan instances, devices, shaders and initialize textures from GLib image
 structures and DMA buffers.
 .
 This package includes files needed for development.

Package: libgulkan-doc
Description-md5: 2229452d07d89b7e332c6b7adc776908
Description-en: GLib Vulkan helper library -- documentation files
 GLib library for Vulkan abstraction. It provides classes for handling a
 Vulkan instances, devices, shaders and initialize textures from GLib image
 structures and DMA buffers.
 .
 This package includes gtk-doc files in html format.

Package: libgumbo-dev
Description-md5: d6db2ec86d3a7c2e1ce90933f2339dcc
Description-en: pure-C HTML5 parser development files
 Gumbo is an implementation of the HTML5 parsing algorithm implemented
 as a pure C99 library with no outside dependencies.  It's designed to serve
 as a building block for other tools and libraries such as linters,
 validators, templating languages, and refactoring and analysis tools.
 .
 This package contains development files for Gumbo.

Package: libgumbo1
Description-md5: b40f2af3fc1e4ddf9d2943d9c4b9fe9b
Description-en: pure-C HTML5 parser
 Gumbo is an implementation of the HTML5 parsing algorithm implemented
 as a pure C99 library with no outside dependencies.  It's designed to serve
 as a building block for other tools and libraries such as linters,
 validators, templating languages, and refactoring and analysis tools.

Package: libgupnp-igd-1.0-4
Description-md5: 74a5d9a3b17a4c9a3f09f20a026ef7a8
Description-en: library to handle UPnP IGD port mapping
 A GObject-based API for controlling UPnP Internet Gateway Devices
 port mapping.

Package: libgupnp-igd-1.0-dev
Description-md5: cc9838aa22efa61400a06c1c8a99867f
Description-en: library to handle UPnP IGD port mapping - development files
 A GObject-based API for controlling UPnP Internet Gateway Devices
 port mapping.
 .
 This package contains the development files.

Package: libgupnp-igd-1.0-doc
Description-md5: 683b6ab9372e37c4401a460d7e6a5733
Description-en: library to handle UPnP IGD port mapping - documentation
 A GObject-based API for controlling UPnP Internet Gateway Devices
 port mapping.
 .
 This package contains the documentation.

Package: libgutenprintui2-2
Description-md5: ba313e3852dc3f46728491c0c7f0f255
Description-en: runtime for the Gutenprint printer driver user interface library
 This package includes the libgutenprintui library, necessary to run
 gimp-gutenprint.  libgutenprintui contains GTK+ widgets which may be
 used for print dialogs etc. for use with libgutenprint.
 .
 Gutenprint is the print facility for the GIMP, and in addition a
 suite of drivers that may be used with common UNIX spooling systems
 using GhostScript or CUPS.  These drivers provide printing quality
 for UNIX/Linux on a par with proprietary vendor-supplied drivers in
 many cases, and can be used for many of the most demanding printing
 tasks.  Gutenprint was formerly known as Gimp-Print.

Package: libgutenprintui2-dev
Description-md5: dbef2766277542e854cf1e1c772d7063
Description-en: development files for the Gutenprint printer driver user interface library
 This package contains the header files for the libgutenprintui
 library, along with a static version of libgutenprintui.
 libgutenprintui contains GTK+ widgets which may be used for print
 dialogs etc. for use with libgutenprint.
 .
 Install this package if you wish to develop packages that use
 libgutenprintui.
 .
 Gutenprint is the print facility for the GIMP, and in addition a
 suite of drivers that may be used with common UNIX spooling systems
 using GhostScript or CUPS.  These drivers provide printing quality
 for UNIX/Linux on a par with proprietary vendor-supplied drivers in
 many cases, and can be used for many of the most demanding printing
 tasks.  Gutenprint was formerly known as Gimp-Print.

Package: libguvcview-2.0-2
Description-md5: a54cca276b3412f2e182aff47eca90cc
Description-en: GTK+ base UVC Viewer - runtime
 guvcview is a simple GTK+ interface for capturing and viewing video from
 devices supported by the Linux UVC driver.
 .
 This package includes the shared library.

Package: libguvcview-dev
Description-md5: 2446392d37ac70c7c1cf6c5f634e32a8
Description-en: GTK+ base UVC Viewer - development files
 guvcview is a simple GTK+ interface for capturing and viewing video from
 devices supported by the Linux UVC driver.
 .
 This package contains files that are needed to build applications.

Package: libguytools2
Description-md5: 2b6cb3cb3a54710ea31b9ff27d949409
Description-en: libguytools is a small programming toolbox
 Includes modules for configuration file handling, error handling, logging and
 system information retrieval. A signal handler enables applications to do stack
 backtracing in case of segmentation faults.

Package: libguytools2-dev
Description-md5: 69c6d54cc7b8244bce7a1f40e1ab64bf
Description-en: development files for libguytools being a small programming toolbox
 Includes modules for configuration file handling, error handling, logging and
 system information retrieval. A signal handler enables applications to do stack
 backtracing in case of segmentation faults. This package provides the
 development files for libguytools2.

Package: libgv-guile
Description-md5: a49a1a2f8a1211d30b4a65b4fbeebed5
Description-en: Guile bindings for graphviz
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the guile (2.0) bindings.

Package: libgv-lua
Description-md5: 0e64de3cb016ad670bbbb2773daf8c79
Description-en: Lua bindings for graphviz
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the lua5.2 bindings.

Package: libgv-perl
Description-md5: 50f9c9810e4cd1ff33ec004dadd5fd9a
Description-en: Perl bindings for graphviz
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the Perl bindings.

Package: libgv-php7
Description-md5: 73714c76b97100b9fb09a9714edb6d76
Description-en: PHP7 bindings for graphviz
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the PHP7 bindings.

Package: libgv-ruby
Description-md5: bc900a06ec5b5114246ccb0e255925c4
Description-en: Ruby bindings for graphviz
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the Ruby bindings.

Package: libgv-tcl
Description-md5: 0ab2c69c3d22314448ae2cd59acadf39
Description-en: Tcl bindings for graphviz
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the Tcl (8.6) bindings.

Package: libgvc6
Description-md5: 87da8308b382f4072b1022b48b3d7368
Description-en: rich set of graph drawing tools - gvc library
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the gvc library.

Package: libgvc6-plugins-gtk
Description-md5: a2d766af1019ed41a27a7b18b86cd03e
Description-en: rich set of graph drawing tools - gtk plugins
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the gtk and gdk-pixbuf plugins.

Package: libgvnc-1.0-0
Description-md5: 06fa496658789fbfc6514726e1dffdb5
Description-en: VNC GObject wrapper (runtime libraries)
 It is built using coroutines, allowing it to be completely asynchronous while
 remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the
 VeNCrypt authentication extension providing SSL/TLS encryption with x509
 certificate authentication.
 .
 The core library is written in C and a bindings for other languages are
 available via GObject introspection. The networking layer supports connections
 over both IPv4 and IPv6.
 .
 This package contains the shared library.

Package: libgvnc-1.0-dev
Description-md5: 6d0a556dcb8d27b59ace98a4439d94d0
Description-en: VNC GObject wrapper (development files)
 It is built using coroutines, allowing it to be completely asynchronous while
 remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the
 VeNCrypt authentication extension providing SSL/TLS encryption with x509
 certificate authentication.
 .
 The core library is written in C and a bindings for other languages are
 available via GObject introspection. The networking layer supports connections
 over both IPv4 and IPv6.
 .
 This package contains the development headers for libgvnc-1.0 and
 Vala bindings.

Package: libgvpr2
Description-md5: e7dafa90950ab7d270f04d6a2f98fc48
Description-en: rich set of graph drawing tools - gvpr library
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the gvpr library.

Package: libgwengui-cpp0
Description-md5: b332a525cc10620f78195f841886d5bc
Description-en: C++ bindings for the Gwenhywfar GUI implementation
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package provides C++ bindings for the Gwenhywfar GUI implementation.

Package: libgwengui-fox16-0
Description-md5: 48c462eccab803ea47392ad49c8d53a4
Description-en: Gwenhywfar GUI implementation for the FOX Toolkit
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains a Gwenhywfar GUI implementation for the FOX Toolkit.

Package: libgwengui-fox16-dev
Description-md5: e8b8c01b6273d45ccb57f38fa12d5ce5
Description-en: Gwenhywfar GUI implementation for the FOX Toolkit (development files)
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains development files of the Gwenhywfar GUI implementation
 for the FOX Toolkit.

Package: libgwengui-gtk3-0
Description-md5: 1ac73f0bed42fccc437e770534d56ae1
Description-en: Gwenhywfar GUI implementation for GTK3
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains a Gwenhywfar GUI implementation for GTK3.

Package: libgwengui-gtk3-dev
Description-md5: dc89aedaf53dfdd98741cfb4a2024e9e
Description-en: Gwenhywfar GUI implementation for GTK3 (development files)
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains development files of the Gwenhywfar GUI implementation
 for GTK3.

Package: libgwengui-qt5-0
Description-md5: 4e7b6b697d2239ae0a356f472f6091af
Description-en: Gwenhywfar GUI implementation for Qt5
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains a Gwenhywfar GUI implementation for Qt5.

Package: libgwengui-qt5-dev
Description-md5: e7ce387279dc665fae44059fec04742f
Description-en: Gwenhywfar GUI implementation for Qt 5 (development files)
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains development files of the Gwenhywfar GUI implementation
 for Qt 5.

Package: libgwenhywfar-core-dev
Description-md5: 2958c0d1f9d573c7cf7772bd7c1c021d
Description-en: OS abstraction layer (core development files)
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains the core development files without GUI components.

Package: libgwenhywfar-data
Description-md5: 82aaf731734e078be84681035dd8d9a2
Description-en: OS abstraction layer - data files
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains data files needed by Gwenhywfar.

Package: libgwenhywfar-doc
Description-md5: 2411fb452e747fc2a5ca1460e602cbee
Description-en: OS abstraction layer (API documentation)
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package contains the API documentation.

Package: libgwenhywfar79
Description-md5: 99f17f2b1214e23bdf68d7116b518077
Description-en: OS abstraction layer
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.

Package: libgwenhywfar79-dev
Description-md5: bf727cf31408452c2ccb0bdb27efa5be
Description-en: OS abstraction layer (meta package)
 Gwenhywfar allows porting of your software to different operating
 systems like Linux, *BSD, Windows etc. It also provides some often
 needed modules such as configuration file handling, simple XML file
 parsing, IPC etc.
 .
 This package is a meta package that depends on other packages containing all
 Gwenhywfar development files.

Package: libgwrap-runtime-dev
Description-md5: 24748cd5e1b1944106680102ef4f3f5e
Description-en: scripting interface generator for C - development files
 G-Wrap is a tool (and Guile library) for generating function wrappers
 for inter-language calls. It currently only supports generating Guile
 wrappers for C functions.
 .
 This package contains the development files for the runtime shared
 libraries.

Package: libgwrap-runtime2
Description-md5: 874eb9706a115d30f7184b08765c884e
Description-en: scripting interface generator for C - runtime
 G-Wrap is a tool (and Guile library) for generating function wrappers
 for inter-language calls. It currently only supports generating Guile
 wrappers for C functions.
 .
 This package contains the runtime shared library.

Package: libgwyddion2-0
Description-md5: 651fea643f768aceccbb40d344f2e84b
Description-en: libraries for Gwyddion SPM analysis tool
 Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data
 visualization and analysis. It is primarily intended for analysis of height
 field data obtained by microscopy techniques like
  * Atomic Force Microscopy (AFM),
  * Magnetic Force Microscopy (MFM),
  * Scanning Tunneling Microscopy (STM),
  * Near-field Scanning Optical Microscopy (SNOM or NSOM)
 and others. However, it can be used for arbitrary height field and
 image analysis.
 .
 This library package contains all the Gwyddion libraries.

Package: libgwyddion20-dev
Description-md5: 414fc08625a4c958aa67ae27e9ce2c5b
Description-en: header files for Gwyddion SPM analysis tool
 Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data
 visualization and analysis. It is primarily intended for analysis of height
 field data obtained by microscopy techniques like
  * Atomic Force Microscopy (AFM),
  * Magnetic Force Microscopy (MFM),
  * Scanning Tunneling Microscopy (STM),
  * Near-field Scanning Optical Microscopy (SNOM or NSOM)
 and others. However, it can be used for arbitrary height field and
 image analysis.
 .
 This package contains the header files for libgwyddion2-0.

Package: libgwyddion20-doc
Description-md5: 0d30282608bd6a8b92ce64f2aec3a145
Description-en: HTML library API documentation for Gwyddion SPM analysis tool
 Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data
 visualization and analysis. It is primarily intended for analysis of height
 field data obtained by microscopy techniques like
  * Atomic Force Microscopy (AFM),
  * Magnetic Force Microscopy (MFM),
  * Scanning Tunneling Microscopy (STM),
  * Near-field Scanning Optical Microscopy (SNOM or NSOM)
 and others. However, it can be used for arbitrary height field and
 image analysis.
 .
 This package contains the HTML API documentation of all the Gwyddion libraries.
 There is also a tutorial on writing Gwyddion modules included.

Package: libgxps-utils
Description-md5: cb1c065d55ad65f7d56116d9f9ea306e
Description-en: handling and rendering XPS documents (utilities)
 OpenXPS or XPS stands for XML Paper Specification. It is based on XML and it's
 a new electronic paper format originally developed by Microsoft and it serves
 as a PDF alternative. XPS files are usually created using "Microsoft XPS
 Document Writer" in Windows environments. It is now standardized as an open
 standard document format.
 .
 Quoting Wikipedia:
 An XPS file is in fact a Unicoded ZIP archive using the Open Packaging
 Conventions, containing the files which make up the document. These include an
 XML markup file for each page, text, embedded fonts, raster images, 2D vector
 graphics, as well as the digital rights management information. The contents
 of an XPS file can be examined simply by opening it in an application which
 supports ZIP files.
 .
 The OpenXPS document format specification supports features such as color
 gradients, transparencies, CMYK color spaces, printer calibration,
 multiple-ink systems and print schemas.
 .
 This package contains the binary utilities:
 xpstopng, xpstopdf, xpstosvg, xpstojpeg and xpstops

Package: libgxw-dev
Description-md5: 11ce97dcb5d9a7820f94f7245f771bac
Description-en: Guitarix - GTK+ library (development files)
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains development files for Guitarix's GTK+ library.

Package: libgxw0
Description-md5: 861184f1256ed6b129423207fabeda76
Description-en: Guitarix - GTK+ library
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains Guitarix's GTK+ library.

Package: libgxwmm-dev
Description-md5: c51e95520b61975088f41c6e7f333c37
Description-en: Guitarix - GTK+ C++ widget library (development files)
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains development files for Guitarix's GTK+ C++ widget library.

Package: libgxwmm0
Description-md5: 9a07d18aaf26ae7bf42d15745216ebf5
Description-en: Guitarix - GTK+ C++ widget library
 Guitarix is a rock guitar amplifier for the JACK Audio Connection Kit with
 one input and two outputs. It is designed to produce nice
 trash/metal/rock/blues guitar sounds.
 .
 Guitarix is available as a GTK+ interface and the project provides LV2 and
 LADSPA plugins (see the guitarix-lv2 and guitarix-ladspa packages).
 .
 This package contains Guitarix's GTK+ C++ widget library.

Package: libgyoto8
Description-md5: 251f695cf64c9e205147cccb7fd94010
Description-en: Gyoto framework main library an standard plug-in
 Gyoto aims at providing a framework for computing orbits and
 ray-traced images in General relativity. It consists in a shared
 library (this package), utility programs (in the gyoto package), and
 a plug-in for the Python 3 and Yorick programming languages (in
 python3-gyoto and yorick-gyoto respectively). Gyoto can be extended
 with plug-ins (see libgyoto8-dev).
 .
 The standard plugin (stdplug) is included in this package. A plug-in
 for extending Gyoto in the Python 3 language is shipped in the
 python3-gyoto packages.
 .
 MPI parallelization requires the gyoto-bin and mpi-default-bin packages.

Package: libgyoto8-dev
Description-md5: 149d1d42130d976ca3af1656592d0610
Description-en: development files for libgyoto
 Gyoto aims at providing a framework for computing orbits and
 ray-traced images in General relativity.
 .
 This package provides the files necessary to compile Gyoto plugins
 and codes using the libgyoto library.

Package: libgzstream-dev
Description-md5: 0d5f3f1844758d871482cc179322016c
Description-en: provide functionality of zlib C-library in a C++ iostream (development)
 Gzstream is a small C++ library, basically just a wrapper, that provides
 the functionality of the zlib C-library in a C++ iostream.
 .
 This package contains the static library and header files.

Package: libgzstream0
Description-md5: 25781160586e93aa72b484d8a32bdb7b
Description-en: provide functionality of zlib C-library in a C++ iostream
 Gzstream is a small C++ library, basically just a wrapper, that provides
 the functionality of the zlib C-library in a C++ iostream.
 .
 This package contains the dynamic library.

Package: libh2-java
Description-md5: eb5c4f1785cf58561d6ab65d36a5af47
Description-en: H2 Database Engine
 H2 is a relational database management system supporting a subset
 of the SQL standard. The main programming APIs are SQL and JDBC,
 however the database also supports using the PostgreSQL ODBC driver
 by acting like a PostgreSQL server.
 .
 The main features of H2 are:
 .
  - Very fast, open source, JDBC API.
  - Embedded and server modes; in-memory databases.
  - Browser based Console application.
  - Small footprint

Package: libh2-java-doc
Description-md5: 58450f809a421b1cad961bef42fbcce8
Description-en: H2 Database Engine (documentation)
 H2 is a relational database management system supporting a subset
 of the SQL standard. The main programming APIs are SQL and JDBC,
 however the database also supports using the PostgreSQL ODBC driver
 by acting like a PostgreSQL server.
 .
 The main features of H2 are:
 .
  - Very fast, open source, JDBC API.
  - Embedded and server modes; in-memory databases.
  - Browser based Console application.
  - Small footprint
 .
 This package includes the API documentation.

Package: libh2o-dev
Description-md5: a865098a29dcb0535b2a7a47ce95aaf7
Description-en: dev helpers of the H2O library compiled with libuv
 H2O is a new generation HTTP server that provides quicker response to users
 with less CPU utilization when compared to older generation of web servers.
 Designed from ground-up, the server takes full advantage of HTTP/2 features
 including prioritized content serving and server push, promising outstanding
 experience to the visitors of your web site.
 .
 This package provides the development helpers of the H2O library compiled with
 libuv.

Package: libh2o-dev-common
Description-md5: 88cafb905ac0f2bfcab9805fbfd0b525
Description-en: H2O library headers
 H2O is a new generation HTTP server that provides quicker response to users
 with less CPU utilization when compared to older generation of web servers.
 Designed from ground-up, the server takes full advantage of HTTP/2 features
 including prioritized content serving and server push, promising outstanding
 experience to the visitors of your web site.
 .
 This package provides the H2O library headers needed by developers.

Package: libh2o-evloop-dev
Description-md5: 6945e684d50d45a3eb03c163c6ea6ff5
Description-en: dev helpers of the H2O library compiled with its own event loop
 H2O is a new generation HTTP server that provides quicker response to users
 with less CPU utilization when compared to older generation of web servers.
 Designed from ground-up, the server takes full advantage of HTTP/2 features
 including prioritized content serving and server push, promising outstanding
 experience to the visitors of your web site.
 .
 This package provides the development helpers of the H2O library compiled with
 its own event loop.

Package: libh2o-evloop0.13
Description-md5: 52cc2a0a2fc08e542d591eb18145fa76
Description-en: H2O library compiled with its own event loop
 H2O is a new generation HTTP server that provides quicker response to users
 with less CPU utilization when compared to older generation of web servers.
 Designed from ground-up, the server takes full advantage of HTTP/2 features
 including prioritized content serving and server push, promising outstanding
 experience to the visitors of your web site.
 .
 This package provides the H2O library compiled with its own event loop which
 allows you to link your own software to H2O

Package: libh2o0.13
Description-md5: 14c018df8eedd9a951f460f37448b085
Description-en: H2O library compiled with libuv
 H2O is a new generation HTTP server that provides quicker response to users
 with less CPU utilization when compared to older generation of web servers.
 Designed from ground-up, the server takes full advantage of HTTP/2 features
 including prioritized content serving and server push, promising outstanding
 experience to the visitors of your web site.
 .
 This package provides the H2O library compiled with libuv which allows you to
 link your own software to H2O

Package: libhaapi-dev
Description-md5: 528286f038319c2aa671bfed60c50ca0
Description-en: SNIA HBAAPI library
 The SNIA HBA API library. C-level project to manage
 Fibre Channel Host Bust Adapters.
 .
 This package contains libraries and header files for
 developing applications that use libhaapi1

Package: libhaapi1
Description-md5: eec9df30399471d86cdc612f340542f8
Description-en: SNIA HBAAPI library
 The SNIA HBA API library. C-level project to manage
 Fibre Channel Host Bust Adapters.

Package: libhac-java
Description-md5: 2ca270acc8c1079fcf5bb0087ed58583
Description-en: hierarchical agglomerative clustering
 Hac is a simple library for hierarchical agglomerative clustering. The goal of
 Hac is to be easy to use in any context that might require a hierarchical
 agglomerative clustering approach. You can use Hac by bundling Hac with your
 application, and by implementing two interfaces:
  * Experiment (to tell Hac what to cluster), and
  * DissimilarityMeasure (to tell Hac how to compute the dissimilarity between
    two observations).

Package: libhac-java-doc
Description-md5: 4e0ab5cdf1ce122da28ac813f8d7e5e5
Description-en: API documentation for hierarchical agglomerative clustering
 Hac is a simple library for hierarchical agglomerative clustering. The goal of
 Hac is to be easy to use in any context that might require a hierarchical
 agglomerative clustering approach. You can use Hac by bundling Hac with your
 application, and by implementing two interfaces:
  * Experiment (to tell Hac what to cluster), and
  * DissimilarityMeasure (to tell Hac how to compute the dissimilarity between
    two observations).
 .
 This package contains the API documentation of libhac-java.

Package: libhackrf-dev
Description-md5: 5bc55960a89b50f3d1cc4144c3b322b2
Description-en: Software defined radio peripheral - development
 HackRF is an open source Software Defined Radio that can receive
 and transmit between 30 MHz and 6 GHz. HackRF has a 20 MHz bandwidth.
 It is a High Speed USB device powered by the USB bus.
 .
 This package contains development files.

Package: libhackrf0
Description-md5: 53e308db8215eca080042034a183f6c2
Description-en: Software defined radio peripheral - runtime library
 HackRF is an open source Software Defined Radio that can receive
 and transmit between 30 MHz and 6 GHz. HackRF has a 20 MHz bandwidth.
 It is a High Speed USB device powered by the USB bus.
 .
 This package contains the shared library.

Package: libhal1-flash
Description-md5: 364dda06914a62d22e16051f44539a92
Description-en: Compatibility library to allow playback of Flash DRM content
 A libhal stub library forwarding to UDisks specifically to satisfy the
 libflashplayer.so / libadobecp requirements.
 .
 It is loosely based upon libhal.[ch] from the hal-0.5.14 package
 for the external interface presented by the shared library libhal.
 Further information on HAL can be found here:
 http://www.freedesktop.org/wiki/Software/hal
 .
 The Adobe Flash web browser plugin for Linux relies upon libhal to provide
 information required by libadobecp (which libflashplayer.so retrieves
 from the internet) for playing back drm content.
 .
 Since HAL is no longer centric to most modern Linux systems (now there
 are succeeded product such as UDev, UDisks and so on).
 This library provides thin wrapper until such time as HTML5 becomes
 standard for online TV (many sites continue to use Flash).

Package: libhamcrest-java
Description-md5: e2634867fbacc3561cc2ca569f30a498
Description-en: library of matchers for building test expressions
 Provides a library of matcher objects (also known as constraints or
 predicates) allowing 'match' rules to be defined declaratively, to be
 used in other frameworks. Typical scenarios include testing
 frameworks, mocking libraries and UI validation rules.

Package: libhamcrest-java-doc
Description-md5: 9b389ccfeb28ae88c7ff56d8f59a9ca1
Description-en: library of matchers for building test expressions - documentation
 Provides a library of matcher objects (also known as constraints or
 predicates) allowing 'match' rules to be defined declaratively, to be
 used in other frameworks. Typical scenarios include testing
 frameworks, mocking libraries and UI validation rules.
 .
 This package contains Javadoc API documentation of Hamcrest.

Package: libhamcrest1.2-java
Description-md5: e2634867fbacc3561cc2ca569f30a498
Description-en: library of matchers for building test expressions
 Provides a library of matcher objects (also known as constraints or
 predicates) allowing 'match' rules to be defined declaratively, to be
 used in other frameworks. Typical scenarios include testing
 frameworks, mocking libraries and UI validation rules.

Package: libhamcrest1.2-java-doc
Description-md5: 9b389ccfeb28ae88c7ff56d8f59a9ca1
Description-en: library of matchers for building test expressions - documentation
 Provides a library of matcher objects (also known as constraints or
 predicates) allowing 'match' rules to be defined declaratively, to be
 used in other frameworks. Typical scenarios include testing
 frameworks, mocking libraries and UI validation rules.
 .
 This package contains Javadoc API documentation of Hamcrest.

Package: libhamlib++-dev
Description-md5: 90e5b6af80360e2b40d8ea5e91d198fb
Description-en: Development C++ library to control radio transceivers and receivers
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the development library with C++ bindings.
 If you wish to run applications developed using this library you'll need the
 'libhamlib2++c2' package.

Package: libhamlib-dev
Description-md5: 999c5b72535e417f68d6c79cc534219d
Description-en: Development library to control radio transceivers and receivers
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the development library with both C bindings.
 If you wish to run applications developed using this library you'll need the
 'libhamlib2' package.

Package: libhamlib-doc
Description-md5: 0c1cf87c1ec4e391547c2c66031c7038
Description-en: Documentation for the hamlib radio control library
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the developers documentation for the API.

Package: libhamlib-utils
Description-md5: 1c3e18c166bdd405cda5c39f9dfa54d2
Description-en: Utilities to support the hamlib radio control library
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides a command-line utility to test the hamlib library and
 to control transceivers if you're short of anything more sophisticated.

Package: libhamlib2
Description-md5: c67b2b377fead3c97a1110d16e3b74e3
Description-en: Run-time library to control radio transceivers and receivers
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the C run-time form of the library. If you wish to
 develop software using this library you need the 'libhamlib-dev' package.

Package: libhamlib2++c2
Description-md5: 09b01354ec5b873f75104929772949e9
Description-en: Run-time C++ library to control radio transceivers and receivers
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the C++ run-time form of the library. If you wish to
 develop software using this library you need the 'libhamlib++-dev' package.

Package: libhamlib2-perl
Description-md5: 11dcb610bf4028fb1307b4aef62038da
Description-en: Run-time perl library to control radio transceivers and receivers
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the perl bindings of the library.

Package: libhamlib2-tcl
Description-md5: 595d92ac5ae4d3a0a280913cce6611b7
Description-en: Run-time Tcl library to control radio transceivers and receivers
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the Tcl bindings of the library.

Package: libhandy-0.0-dev
Description-md5: e209fa6b2639822914057603cc4bf25a
Description-en: Development files for libhandy
 libhandy provides GTK widgets and GObjects to ease developing
 applications for mobile phones.
 .
 This package contains the development files and documentation.

Package: libharfbuzz-bin
Description-md5: 3b67b2fcd95a19f0fb4b9af3ea82d048
Description-en: OpenType text shaping engine (utility)
 HarfBuzz is an implementation of the OpenType Layout engine (aka layout
 engine) and the script-specific logic (aka shaping engine).
 .
 This package contains a command line interface for the HarfBuzz library.

Package: libharminv-dev
Description-md5: e8231970eb21d4a30a7fa4e8b8eaba79
Description-en: Library for using harminv, development version
 Libharminv is a free library to solve the problem of harmonic inversion,
 given a discrete-time, finite-length signal that consists of a sum of
 finitely-many sinusoids (possibly exponentially decaying) in a given
 bandwidth, it determines the frequencies, decay constants, amplitudes, and
 phases of those sinusoids.
 .
 This package contains the header files.

Package: libharminv3
Description-md5: 71027333758d7bd94a8655e02a5e5d32
Description-en: Library for using harminv
 Libharminv is a free library to solve the problem of harmonic inversion,
 given a discrete-time, finite-length signal that consists of a sum of
 finitely-many sinusoids (possibly exponentially decaying) in a given
 bandwidth, it determines the frequencies, decay constants, amplitudes, and
 phases of those sinusoids.
 .
 This package contains the library.

Package: libharp-dev
Description-md5: 0517e1d6492e1d3b11372995551d575e
Description-en: Data harmonisation toolset for Earth Observation formats
 HARP is a toolset for ingesting, processing and inter-comparing satellite or
 model data against correlative data. The toolset is composed of a set of
 command line tools, a C library of analysis functions, and import/export
 interfaces for Python. The main goal of HARP is to assist
 in the inter-comparison of data sets. By appropriatelty chaining calls to
 the HARP command line tools one can preprocess satellite, model, and/or
 correlative data such that two datasets that need to be compared end up
 having the same temporal/spatial grid, same data format/structure, and same
 physical units.
 .
 This package provides static libs and development files for HARP.

Package: libharp10
Description-md5: a4accf4bb901ff9a56f93d1c0d561447
Description-en: Data harmonisation toolset for Earth Observation formats
 HARP is a toolset for ingesting, processing and inter-comparing satellite or
 model data against correlative data. The toolset is composed of a set of
 command line tools, a C library of analysis functions, and import/export
 interfaces for Python. The main goal of HARP is to assist
 in the inter-comparison of data sets. By appropriatelty chaining calls to
 the HARP command line tools one can preprocess satellite, model, and/or
 correlative data such that two datasets that need to be compared end up
 having the same temporal/spatial grid, same data format/structure, and same
 physical units.
 .
 This package provides the HARP shared library.

Package: libhash-asobject-perl
Description-md5: 4b8ccb42a5299b1997486252263e4290
Description-en: Perl modules to treat hashes as objects
 A Hash::AsObject is a blessed hash that provides read-write
 access to its elements using accessors.  (Actually, they're both accessors
 and mutators.)
 .
 It's designed to act as much like a plain hash as possible; this means, for
 example, that you can use methods like DESTROY to get or set hash elements
 with that name.

Package: libhash-case-perl
Description-md5: 73fa87dcbbb90e080f847e08bed5783d
Description-en: base class for hashes with key-casing requirements
 Hash::Case is the base class for various classes which tie special
 treatment for the casing of keys. Be aware of the differences in
 implementation: Lower and Upper are tied native hashes: these
 hashes have no need for hidden fields or other assisting data
 structured. A case Preserve hash will actually create three hashes.

Package: libhash-diff-perl
Description-md5: 2ae137844ab2fadb8c23a7742aa63feb
Description-en: module for creating the difference between two hashes
 Hash::Diff returns the difference between two hashes as a hash.

Package: libhash-flatten-perl
Description-md5: 364f7878df598ca0554762ae372f3a38
Description-en: flatten/unflatten complex data hashes
 Hash::Flatten converts back and forth between a nested hash structure and
 a flat hash of delimited key-value pairs. Useful for protocols that only
 support key-value pairs (such as CGI and DBMs).

Package: libhash-merge-perl
Description-md5: 9086c036b1c5cc8628a77ea80f7f4e44
Description-en: Perl module for merging arbitrarily deep hashes into a single hash
 Hash::Merge merges two arbitrarily deep hashes into a single hash.  That
 is, at any level, it will add non-conflicting key-value pairs from one
 hash to the other, and follows a set of specific rules when there are key
 value conflicts (as outlined below).  The hash is followed recursively,
 so that deeply nested hashes that are at the same level will be merged
 when the parent hashes are merged.  Please note that self-referencing
 hashes, or recursive references, are not handled well by this method.
 .
 Values in hashes are considered to be either ARRAY references,
 HASH references, or otherwise are treated as SCALARs.  By default, the
 data passed to the merge function will be cloned using the Clone module;
 however, if necessary, this behavior can be changed to use as many of
 the original values as possible.  (See set_clone_behavior).

Package: libhash-merge-simple-perl
Description-md5: 7e23a989d60247ff2f6d07de4be27d5d
Description-en: Perl module to easily merge two or more hashes
 Hash::Merge::Simple will recursively merge two or more hashes and return the
 result as a new hash reference. The merge function will descend and merge
 hashes that exist under the same node in both the left and right hash, but
 doesn't attempt to combine arrays, objects, scalars, or anything else. The
 rightmost hash also takes precedence, replacing whatever was in the left hash
 if a conflict occurs.
 .
 This code is based on Catalyst::Utils, but was modified to handle merging more
 than two hashes simultaneously.

Package: libhash-moreutils-perl
Description-md5: 031473bd46336b7b1da9a8c5161ede06
Description-en: module with extra hash functions not found in Hash::Util
 Hash::MoreUtils provides some trivial but commonly used functionality
 on hashes which is not into Hash::Util. Similar to List::MoreUtils for
 lists.

Package: libhash-multivalue-perl
Description-md5: ebfc134ff33256366ff529ad21213187
Description-en: module for storing multiple values per key in a hash
 Hash::MultiValue is a Perl module that provides an object (and a plain hash
 reference) that may contain multiple values per key. The hash behaves like a
 single-value hash reference, but also provides an API to retrieve multiple
 values explicitly on demand.

Package: libhash-storediterator-perl
Description-md5: 90216b780bdc2eebb87d0d643b7d8a4f
Description-en: Perl module for accessing a hashes internal iterator
 Hash::StoredIterator gives access to get, set, and init the iterator inside
 a hash. This allows one to store the current iterator, use
 each/keys/values/etc, and then restore the iterator, this helps to ensure
 that nobody interacts badly with other users of the iterator.
 .
 In perl all hashes have an internal iterator. This iterator is used by the
 each() function, as well as by keys() and values(). Because these all share
 use of the same iterator, they tend to interact badly with eachother when
 nested.

Package: libhash-util-fieldhash-compat-perl
Description-md5: 262148c50d7730d888b18703a9a09acd
Description-en: Perl module providing a Hash::Util::FieldHash compatible API
 Hash::Util::FieldHash::Compat under older perls provides a drop in
 compatible API to Hash::Util::FieldHash using perltie. When
 Hash::Util::FieldHash is available it will use that instead.
 .
 This way code requiring field hashes can benefit from fast, robust field
 hashes on Perl 5.10 and newer, but still run on older perls that don't
 ship with that module.

Package: libhash-withdefaults-perl
Description-md5: a85c1f0c818d4e3ac41fa2e19ee0b492
Description-en: class for hashes with key-casing requirements supporting defaults
 Hash::WithDefaults implements hashes that support "defaults". That is, you may
 specify several more hashes in which the data will be looked up in case it is
 not found in the current hash.

Package: libhat-trie-dev
Description-md5: 91c0c11913c6c8b8b3920d908fae3a66
Description-en: Development headers and static libraries for HAT-trie library
 This package provides development headers and static libraries for libhat-trie,
 an ANSI C99 implementation of the HAT-trie data structure of Askitis and
 Sinha, which is an extremely efficient (space and time) modern variant of
 tries.

Package: libhat-trie0
Description-md5: a71e019cacfb27fd2c73d8a0d4ede086
Description-en: HAT-trie, an extremely efficient (space and time) modern variant of tries
 This is an ANSI C99 implementation of the HAT-trie data structure of Askitis
 and Sinha, an extremely efficient (space and time) modern variant of tries.
 .
 The version implemented here maps arrays of bytes to words (i.e., unsigned
 longs), which can be used to store counts, pointers, etc, or not used at all
 if you simply want to maintain a set of unique strings.

Package: libhavege-dev
Description-md5: c49a598f854a87b074e2d7bc5a4f89dd
Description-en: entropy source using the HAVEGE algorithm - development files
 libhavege is a library that implements the HAVEGE (HArdware Volatile Entropy
 Gathering and Expansion). It provides a random number generator and it's
 associated tuning and testing factilities.
 .
 More information about HAVEGE is available at
 http://www.irisa.fr/caps/projects/hipsor/
 .
 This package contains the development files.

Package: libhavege1
Description-md5: 791597097dfee31e0e57febdd3a0f02e
Description-en: entropy source using the HAVEGE algorithm - shared library
 libhavege is a library that implements the HAVEGE (HArdware Volatile Entropy
 Gathering and Expansion). It provides a random number generator and it's
 associated tuning and testing factilities.
 .
 More information about HAVEGE is available at
 http://www.irisa.fr/caps/projects/hipsor/
 .
 This package contains the shared library.

Package: libhavege1-dbg
Description-md5: 67a57a6d797932d274df85b7e38243ca
Description-en: entropy source using the HAVEGE algorithm - debug symbols
 libhavege is a library that implements the HAVEGE (HArdware Volatile Entropy
 Gathering and Expansion). It provides a random number generator and it's
 associated tuning and testing factilities.
 .
 More information about HAVEGE is available at
 http://www.irisa.fr/caps/projects/hipsor/
 .
 This package contains the debug symbols.

Package: libhawknl
Description-md5: b05a777a3baaafdf1e63b116cae01a0e
Description-en: Hawk game oriented network library API
 HawkNL is a free, open source, game oriented network API.
 .
 HawkNL (NL) is a fairly low level API, a wrapper over Berkeley/Unix
 Sockets and Winsock. But NL also provides other features
 including support for:
   * Multiple operating systems.
   * Groups of sockets
   * Socket statistics
   * High accuracy timer
   * CRC functions
   * Macros to read and write data to packets with endian conversion
   * Multiple network transports.

Package: libhawknl-dbg
Description-md5: 28576ec1c376727c1972a08b13f80b43
Description-en: Hawk game oriented network library API (debug package)
 HawkNL is a free, open source, game oriented network API.
 .
 HawkNL (NL) is a fairly low level API, a wrapper over Berkeley/Unix
 Sockets and Winsock. But NL also provides other features
 including support for:
   * Multiple operating systems.
   * Groups of sockets
   * Socket statistics
   * High accuracy timer
   * CRC functions
   * Macros to read and write data to packets with endian conversion
   * Multiple network transports.
 .
 This package contains the debug symbols.

Package: libhawknl-dev
Description-md5: aa5912ba7285893fe15e9c8678d1ede6
Description-en: Hawk game oriented network library API (development headers)
 HawkNL is a free, open source, game oriented network API.
 .
 HawkNL (NL) is a fairly low level API, a wrapper over Berkeley/Unix
 Sockets and Winsock. But NL also provides other features
 including support for:
   * Multiple operating systems.
   * Groups of sockets
   * Socket statistics
   * High accuracy timer
   * CRC functions
   * Macros to read and write data to packets with endian conversion
   * Multiple network transports.
 .
 This package contains the development libraries and headers.

Package: libhawtbuf-java
Description-md5: 8509000908d3ef06ddc880d22637665e
Description-en: HawtBuf rich byte buffer library
 The HawtBuf library implements a simple interface with working with
 byte arrays. The JDK doesn't come with a built in class that's simply
 a `byte[]`, `int offset`, `int length` class and providing a rich
 interface similar to what the String class does for `char` arrays.
 HawtBuf fills in that void by providing a Buffer class which does
 provide that rich interface.
 .
 HawtBuf also provides a Java protobuf code generator to make it easy
 to encode and decode objects to buffers and back.

Package: libhawtdispatch-java
Description-md5: 3882709e623c7b042194e6b6d7c9d526
Description-en: libdispatch style API for Java and Scala
 HawtDispatch is a small thread pooling and NIO handling framework API
 modeled after the libdispatch API. libdispatch is the API that Apple
 created to power the Grand Central Dispatch (GCD) technology in OS X.
 It allows one to more easily develop multi-threaded applications which
 can more easily scale to take advantage of all the processing cores
 on a machine. At the same time, it's development model simplifies
 solving many of the problems that plague multi-threaded NIO development.

Package: libhawtjni-generator-java
Description-md5: 2d0de9b2c7710d49899cb8e770a440c4
Description-en: HawtJNI Generator
 HawtJNI is a code generator that produces the JNI code
 needed to implement java native methods. It is based on the
 jnigen code generator that is part of the SWT Tools project
 which is used to generate all the JNI code which powers the
 eclipse platform.
 .
 This package contains the JNI code generation tools.

Package: libhawtjni-maven-plugin-java
Description-md5: 66ce1d048f44fb4c87b88dbcb99da8c2
Description-en: HawtJNI Maven Plugin
 HawtJNI is a code generator that produces the JNI code
 needed to implement java native methods. It is based on the
 jnigen code generator that is part of the SWT Tools project
 which is used to generate all the JNI code which powers the
 eclipse platform.
 .
 This package contains the Maven plugin.

Package: libhawtjni-runtime-java
Description-md5: 01c9eaf0b851bc29cf71a5b825fce301
Description-en: Java library that provide JNI code generation
 HawtJNI is a code generator that produces the JNI code
 needed to implement java native methods. It is based on the
 jnigen code generator that is part of the SWT Tools project
 which is used to generate all the JNI code which powers the
 eclipse platform.

Package: libhbaapi-dev
Description-md5: be410a9ab41eb137d65889526600abe4
Description-en: SNIA HBAAPI library (development files)
 The SNIA HBA API library. C-level project to manage
 Fibre Channel Host Bust Adapters.
 .
 This package contains libraries and header files for
 developing applications that use the HBAAPI library

Package: libhbaapi2
Description-md5: bb0e9ecc995a150e61d0c5391c2d3b72
Description-en: SNIA HBAAPI library
 The SNIA HBA API library. C-level project to manage
 Fibre Channel Host Bust Adapters.
 .
 This package contains libraries for developing applications to
 manage Fibre Channel Host Bus Adapters

Package: libhbalinux-dev
Description-md5: a34fcd3bfdfbbfe688efa1f655dcce10
Description-en: Library headers files for retrieving FCOE adapter information
 The SNIA HBA API library. C-level project to manage
 Fibre Channel Host Bus Adapters.
 .
 The libhalinux-dev package contains libraries and header files
 for developnig applications that use libhbalinux

Package: libhbalinux2
Description-md5: fb7898b2cc9086a7edf00cf287bffcef
Description-en: Library for retrieving FCOE adapter information
 The SNIA HBA API library. C-level project to manage
 Fibre Channel Host Bus Adapters.
 .
 This package contains libraries for developing applications to
 manage Fibre Channel Host Bus Adapters

Package: libhbci4j-core-java
Description-md5: ba9988948a1f1b32728037ec6b71b52c
Description-en: Java online banking client using the HBCI standard
 HBCI4Java is a Java library for homebanking and contains support for
 chipTAN, smsTAN, HHD, SEPA.

Package: libhbci4j-core-java-doc
Description-md5: 30d468582ac929f930aef9b856003a5c
Description-en: Documentation for Java online banking client using the HBCI standard
 HBCI4Java is a Java library for homebanking and contains support for
 chipTAN, smsTAN, HHD, SEPA.
 .
 This package contains the API documentation of libhbci4j-core-java.

Package: libhd-dev
Description-md5: 59c83d99599ac15262c22aee15952c73
Description-en: Hardware identification system library and headers
 hwinfo is the hardware detection tool used in SuSE Linux.
 .
 In Debian Edu (Skolelinux) hwinfo has shown better results than discover when
 detecting mouse, keyboard and monitor.
 .
 hwinfo collects information about the hardware installed on a system.  Among
 others, libhd contains information about cdrom, zip, floppy, disks and
 partitions, network card, graphics card, monitor, camera, mouse, sound, pppoe,
 isdn, modem, printer, scanner, bios, cpu, usb, memory and smp.
 .
 This package contains the static library and header files from the hwinfo
 package.

Package: libhd-doc
Description-md5: f0f47cbd1b70b413a4b71b6c7070a4f6
Description-en: Hardware identification system library documentation
 hwinfo is the hardware detection tool used in SuSE Linux.
 .
 In Debian Edu (Skolelinux) hwinfo has shown better results than discover when
 detecting mouse, keyboard and monitor.
 .
 hwinfo collects information about the hardware installed on a system.  Among
 others, libhd contains information about cdrom, zip, floppy, disks and
 partitions, network card, graphics card, monitor, camera, mouse, sound, pppoe,
 isdn, modem, printer, scanner, bios, cpu, usb, memory and smp.
 .
 This package contains the documentation for the libhd21-dev package.

Package: libhd21
Description-md5: e05daec8e9a04bdca77c25fbe97e5e96
Description-en: Hardware identification system library
 hwinfo is the hardware detection tool used in SuSE Linux.
 .
 In Debian Edu (Skolelinux) hwinfo has shown better results than discover when
 detecting mouse, keyboard and monitor.
 .
 hwinfo collects information about the hardware installed on a system.  Among
 others, libhd contains information about cdrom, zip, floppy, disks and
 partitions, network card, graphics card, monitor, camera, mouse, sound, pppoe,
 isdn, modem, printer, scanner, bios, cpu, usb, memory and smp.
 .
 This package contains the shared library from the hwinfo package.

Package: libhdate-dev
Description-md5: 6ee487fd9a907c3f991c40140112abfe
Description-en: Provides a library that help use Hebrew dates (development files)
 LibHdate is a small C,C++ library for Hebrew dates,
 holidays, and reading sequence (parasha). It is using
 the source code from Amos Shapir's "hdate" package fixed and
 patched by Nadav Har'El. The Torah reading sequence
 is from tables by Zvi Har'El.
 .
 This package contains headers and support files required
 to build new applications with libhdate.

Package: libhdate-perl
Description-md5: c402454a5e4ed5d5c42bd129461735ea
Description-en: Provides a library that help use Hebrew dates (perl bindings)
 LibHdate is a small C,C++ library for Hebrew dates,
 holidays, and reading sequence (parasha). It is using
 the source code from Amos Shapir's "hdate" package fixed
 and patched by Nadav Har'El. The Torah reading sequence
 is from tables by Zvi Har'El.
 .
 This package contains perl bindings to libhdate

Package: libhdate1
Description-md5: 6d8e6f1b188a9a1ae4b56268030bdcef
Description-en: Provides a library that help use Hebrew dates
 LibHdate is a small C,C++ library for Hebrew dates,
 holidays, and reading sequence (parasha). It is using
 the source code from Amos Shapir's "hdate" package fixed
 and patched by Nadav Har'El. The Torah reading sequence
 is from tables by Zvi Har'El.

Package: libhdf4-0
Description-md5: 3fb2ff4a8035b5aa41092dc719ef3e9b
Description-en: Hierarchical Data Format library (embedded NetCDF)
 HDF is a multi-object file format for storing and transferring
 graphical and numerical data mainly used in scientific computing. HDF
 supports several different data models, including multidimensional
 arrays, raster images, and tables. Each defines a specific aggregate
 data type and provides an API for reading, writing, and organizing the
 data and metadata. New data models can be added by the HDF developers
 or users.
 .
 This package contains the HDF run-time libraries.

Package: libhdf4-0-alt
Description-md5: 63fcdd4530ea24a12758a530823b96ee
Description-en: Hierarchical Data Format library (without NetCDF)
 HDF is a multi-object file format for storing and transferring
 graphical and numerical data mainly used in scientific computing. HDF
 supports several different data models, including multidimensional
 arrays, raster images, and tables. Each defines a specific aggregate
 data type and provides an API for reading, writing, and organizing the
 data and metadata. New data models can be added by the HDF developers
 or users.
 .
 This package contains the HDF run-time libraries which do not
 collide with the NetCDF library namespace. Fortran functions
 are missing in this flavor of the library set.

Package: libhdf4-alt-dev
Description-md5: 6b3c09f81e7ab673a33061feae09382f
Description-en: Hierarchical Data Format development files (without NetCDF)
 HDF is a multi-object file format for storing and transferring
 graphical and numerical data mainly used in scientific computing. HDF
 supports several different data models, including multidimensional
 arrays, raster images, and tables.
 Each defines a specific aggregate data type and provides an API for
 reading, writing, and organizing the data and metadata. New data models
 can be added by the HDF developers or users.
 .
 This package contains development stuff, including files and static
 library for the HDF package in the flavor compatible with the
 NetCDF library.

Package: libhdf4-dev
Description-md5: 7ad8655f67e2014ad11a187cfe281214
Description-en: Hierarchical Data Format development files (embedded NetCDF)
 HDF is a multi-object file format for storing and transferring
 graphical and numerical data mainly used in scientific computing. HDF
 supports several different data models, including multidimensional
 arrays, raster images, and tables.
 Each defines a specific aggregate data type and provides an API for
 reading, writing, and organizing the data and metadata. New data models
 can be added by the HDF developers or users.
 .
 This package contains development stuff, including files and static
 library for the HDF package and some HDF API reference manpages.

Package: libhdf4-doc
Description-md5: ad1f41c23cdcaf59574748283c048d08
Description-en: Hierarchical Data Format library -- documentation
 HDF  is  a  multi-object  file  format  for  storing  and  transferring
 graphical  and  numerical data mainly used in scientific computing.
 HDF  supports  several different  data models, including  multidimensional
 arrays, raster images,  and tables.
 Each defines  a specific aggregate  data type  and provides an  API for
 reading, writing, and organizing the data and metadata. New data models
 can be added by the HDF developers or users.
 .
 This package contains user guide and reference manual for HDF format
 and API

Package: libhdf5-103
Description-md5: dde4fa00c5e5039c670d9068db5a4fcb
Description-en: Hierarchical Data Format 5 (HDF5) - runtime files - serial version
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains runtime files for serial platforms.

Package: libhdf5-cpp-103
Description-md5: 5220ab2c3adec4af57bf8e6f98d3135f
Description-en: Hierarchical Data Format 5 (HDF5) - C++ libraries
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains C++ libraries.

Package: libhdf5-dev
Description-md5: 506ece6790efda2e032452dae5a8f21d
Description-en: Hierarchical Data Format 5 (HDF5) - development files - serial version
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains development files for serial platforms.

Package: libhdf5-doc
Description-md5: 8e4af9f4c7b0fade82a19961bc3504f0
Description-en: Hierarchical Data Format 5 (HDF5) - Documentation
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains documentation for HDF5.

Package: libhdf5-java
Description-md5: ded7ecc2372a3acec2b0d62680debb0e
Description-en: Hierarchical Data Format 5 (HDF5) - Java Wrapper Library
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains the java wrapper library for HDF5.

Package: libhdf5-jni
Description-md5: afbd108fc87cab0be63eaac66cb2cc73
Description-en: native library used by libhdf5-java
 This package is only useful with libhdf5-java.

Package: libhdf5-mpi-dev
Description-md5: a787133ed3c10615bf42e7ec78a60b12
Description-en: Hierarchical Data Format 5 (HDF5) - development files - default MPI version
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package depends on the default MPI version of HDF5 for each platform.

Package: libhdf5-mpich-103
Description-md5: 11101d8e6cc97f6f7e0a9bf7e308ef4b
Description-en: Hierarchical Data Format 5 (HDF5) - runtime files - MPICH2 version
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains runtime files for use with MPICH2. Warning: the
 C++ interface is not provided for this version.

Package: libhdf5-mpich-dev
Description-md5: 427543109444625a28aefd567e2de49d
Description-en: Hierarchical Data Format 5 (HDF5) - development files - MPICH version
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains development files for use with MPICH2. Warning:
 the C++ interface is not provided for this version.

Package: libhdf5-openmpi-103
Description-md5: 3376e98870883f2442509cbaf8917817
Description-en: Hierarchical Data Format 5 (HDF5) - runtime files - OpenMPI version
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains runtime files for use with OpenMPI.

Package: libhdf5-openmpi-dev
Description-md5: 747083bf31e746d97e3adab01b6de7f4
Description-en: Hierarchical Data Format 5 (HDF5) - development files - OpenMPI version
 HDF5 is a file format and library for storing scientific data.
 HDF5 was designed and implemented to address the deficiencies of
 HDF4.x. It has a more powerful and flexible data model, supports
 files larger than 2 GB, and supports parallel I/O.
 .
 This package contains development files for use with OpenMPI.

Package: libhdfeos-dev
Description-md5: e2b6a287c6caf3db0681a2c722dc3fc1
Description-en: Development files for the HDF-EOS4 library
 HDF-EOS4 is a software library designed built on HDF4 to support EOS-specific
 data structures, namely Grid, Point, and Swath. The new data structures
 are constructed from standard HDF data objects, using EOS conventions, through
 the use of a software library [1,4]. A key feature of HDF-EOS files is that
 instrument-independent services, such as subsetting by geolocation, can be
 applied to the files across a wide variety of data products.
 .
 This package contains include files and static libraries for HDF-EOS4.

Package: libhdfeos0
Description-md5: 549365dc14a2646abb06c15dc557d13d
Description-en: Earth Observation System extensions to HDF4
 HDF-EOS4 is a software library designed built on HDF4 to support EOS-specific
 data structures, namely Grid, Point, and Swath. The new data structures
 are constructed from standard HDF data objects, using EOS conventions, through
 the use of a software library [1,4]. A key feature of HDF-EOS files is that
 instrument-independent services, such as subsetting by geolocation, can be
 applied to the files across a wide variety of data products.
 The library is extensible and new data structures can be added.

Package: libhdhomerun-dev
Description-md5: 38c63292e78a46e3aa7653606f456ca5
Description-en: Development library for Silicon Dust HD HomeRun
 This package supports the Silicon Dust HDHomeRun.
 The HDHomeRun is a networked, two tuner digital TV tuner compatible with
 MythTV, SageTV, and VLC.
 .
 Development package

Package: libhdhomerun4
Description-md5: 79435849e7cf051dc826499522edea1f
Description-en: Library for Silicon Dust HD HomeRun
 This package supports the Silicon Dust HDHomeRun.
 The HDHomeRun is a networked, two tuner digital TV tuner compatible with
 MythTV, SageTV, and VLC.
 .
 Shared library

Package: libhdrhistogram-java
Description-md5: 69d4afec4e0f819c45ca475e660d774c
Description-en: High Dynamic Range (HDR) Histogram
 HdrHistogram is designed for recording histograms of value measurements in
 latency and performance sensitive applications. It supports the recording
 and analyzing of sampled data value counts across a configurable integer
 value range with configurable value precision within the range. Value
 precision is expressed as the number of significant digits in the value
 recording, and provides control over value quantization behavior across
 the value range and the subsequent value resolution at any given level.

Package: libhe5-hdfeos-dev
Description-md5: 5522c29bdbc8761ed82a47f18420823e
Description-en: Development files for the HDF-EOS5 library
 HDF-EOS5 is a software library designed built on HDF5 to support EOS-specific
 data structures, namely Grid, Point, and Swath. The new data structures
 are constructed from standard HDF data objects, using EOS conventions, through
 the use of a software library. A key feature of HDF-EOS files is that
 instrument-independent services, such as subsetting by geolocation, can be
 applied to the files across a wide variety of data products.
 .
 This package contains include files and static libraries for HDF-EOS5.

Package: libhe5-hdfeos0
Description-md5: d2710144476da7d31741172db112a312
Description-en: Earth Observation System extensions to HDF5
 HDF-EOS5 is a software library designed built on HDF5 to support EOS-specific
 data structures, namely Grid, Point, and Swath. The new data structures
 are constructed from standard HDF data objects, using EOS conventions, through
 the use of a software library [1,4]. A key feature of HDF-EOS files is that
 instrument-independent services, such as subsetting by geolocation, can be
 applied to the files across a wide variety of data products.
 The library is extensible and new data structures can be added.

Package: libheadius-options-java
Description-md5: 3004d4f47afbe8c9adcfd39af6062cd3
Description-en: Java library for JVM property-driven configuration
 headius-options provides a simple mechanism for defining JVM
 property-based configuration for an application or library.
 .
 Options are defined via a small DSL-like setup, supporting String,
 Integer, Boolean, and Enum-based configurations. Non-Boolean options
 support a set of supported values, and all options allow specifying a
 default value. In addition, options are created with an Enum-based
 category (provided by the user) and a documentation string, which
 allows grouping properties and printing out a full set of options as a
 valid, modifiable .properties file.

Package: libheadius-options-java-doc
Description-md5: ac6dd61bc1404814ae8df2a362a7152c
Description-en: Documentation for headius-options
 headius-options provides a simple mechanism for defining JVM
 property-based configuration for an application or library.
 .
 Options are defined via a small DSL-like setup, supporting String,
 Integer, Boolean, and Enum-based configurations. Non-Boolean options
 support a set of supported values, and all options allow specifying a
 default value. In addition, options are created with an Enum-based
 category (provided by the user) and a documentation string, which
 allows grouping properties and printing out a full set of options as a
 valid, modifiable .properties file.
 .
 This package contains the API documentation of libheadius-options-java.

Package: libhealpix-cxx-dev
Description-md5: a4adef0c9b59c35dc46ae2085a42b53f
Description-en: representation of spherical data - C++ development library
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This package provides the static library and headers for the C++ language
 implementation of HEALPix. The C++ library provides input/output, basic
 spatial operations such as conversion between spherical coordinates and
 HEALPix pixels, and also sophisticated spatial operations such as querying
 cones or polygons, as well as upsampling/downsampling, smoothing, and fast
 spherical harmonic transforms.

Package: libhealpix-cxx2
Description-md5: 45621d51f1b2c148696a87f871a75b1d
Description-en: representation of spherical data - C++ shared library
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This package provides the dynamic library for the C++ language implementation
 of HEALPix. It can be used interactively via Python bindings available in a
 separate package. The C++ library provides input/output, basic
 spatial operations such as conversion between spherical coordinates and
 HEALPix pixels, and also sophisticated spatial operations such as querying
 cones or polygons, as well as upsampling/downsampling, smoothing, and fast
 spherical harmonic transforms.

Package: libhealpix-java
Description-md5: 23e2c80602f16fbc523f98e896fb8630
Description-en: HEALPix representation of spherical data - Java library
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This package provides the Java language implementation of HEALPix.

Package: libhealpix-java-doc
Description-md5: 0f527aed851267674766d07718d24bd7
Description-en: HEALPix representation of spherical data - Java documentation
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This package provides documentation for the Java language implementation of
 HEALPix.

Package: libheap-perl
Description-md5: 49843651c79e36c52b7f4ae5ff981424
Description-en: Perl extensions for keeping data partially sorted
 The Heap collection of modules provide routines that manage
 a heap of elements.  A heap is a partially sorted structure
 that is always able to easily extract the smallest of the
 elements in the structure (or the largest if a reversed compare
 routine is provided).
 .
 If the collection of elements is changing dynamically, the
 heap has less overhead than keeping the collection fully
 sorted.

Package: libheaptrack
Description-md5: 3cb37c0d347ac3874fefe33ae7e7505a
Description-en: heap memory profiler for Linux
 Heap memory usage profiler. It uses LD_PRELOAD to track all calls
 to the core memory allocation functions and logs these occurrences.
 Additionally, backtraces are obtained and logged. It can also
 generate a historigram of allocation sizes over the number of
 calls. Heaptrack measures the following:
 .
   * Heap memory consumption (like Massif).
   * Number of calls to allocation functions (like callgrind).
   * Total amount of memory allocated, ignoring deallocations.
   * Leaked memory (like memcheck).
 .
 Heaptrack is notable for it's ability to attach to running processes,
 for consuming substantially less memory than Valgrind, and for not
 reducing an application's interactivity as much as Valgrind does.
 Heaptrack is useful for debugging memory leaks and memory ballooning.
 .
 The package contains the shared libraries.

Package: libheartbeat2
Description-md5: d4f0fa776e9069054e6b632ec6f5a777
Description-en: Subsystem for High-Availability Linux (libraries)
 heartbeat is a messaging subsystem for high availability which implements
 serial, UDP, and PPP/UDP heartbeats.
 .
 It is one of the messaging layers supported by the Pacemaker
 cluster resource manager.
 .
 This package contains the Heartbeat libraries to make them available
 to other programs like Pacemaker without having to install the whole
 Heartbeat suite.

Package: libheartbeat2-dev
Description-md5: cd55666b03ed8197035d3b10d3f8b496
Description-en: Subsystem for High-Availability Linux (development files)
 heartbeat is a messaging subsystem for high availability which implements
 serial, UDP, and PPP/UDP heartbeats.
 .
 It is one of the messaging layers supported by the Pacemaker
 cluster resource manager.
 .
 This package contains the Heartbeat library development files to make
 them available to other programs like Pacemaker.

Package: libheif-dev
Description-md5: 8824ba811ca0b88dcc5bd7ea19ef1ccc
Description-en: ISO/IEC 23008-12:2017 HEIF file format decoder - development files
 libheif is an ISO/IEC 23008-12:2017 HEIF file format decoder. HEIF is a new
 image file format employing HEVC (h.265) image coding for the best compression
 ratios currently possible.
 .
 The development headers for compiling programs that use libheif are provided
 by this package.

Package: libheif-examples
Description-md5: 3a4de5f652abb7d4bd1ee49395697304
Description-en: ISO/IEC 23008-12:2017 HEIF file format decoder - examples
 libheif is an ISO/IEC 23008-12:2017 HEIF file format decoder. HEIF is a new
 image file format employing HEVC (h.265) image coding for the best compression
 ratios currently possible.
 .
 Sample applications using libheif are provided by this package.

Package: libheif1
Description-md5: a1ff312bcd172802f8cfdb36a4145f3e
Description-en: ISO/IEC 23008-12:2017 HEIF file format decoder - shared library
 libheif is an ISO/IEC 23008-12:2017 HEIF file format decoder. HEIF is a new
 image file format employing HEVC (h.265) image coding for the best compression
 ratios currently possible.
 .
 This package contains the shared library.

Package: libheimdal-kadm5-perl
Description-md5: 111ed9cc4b79aa8f5962baabfe7b2e9d
Description-en: Perl module to administer a Heimdal Kerberos KDC
 Heimdal::Kadm5 is a Perl module that wraps the Heimdal libkadm5clnt
 library and allows administration of a Heimdal KDC inside Perl programs.
 It mimics the commands that would normally be sent to the server with the
 kadmin command.  Principal creation, deletion, modification, and
 searching and extraction of keytabs are supported.
 .
 This module is equivalent to Authen::Krb5::Admin except for a Heimdal KDC
 instead of an MIT Kerberos KDC.

Package: libhepmc-dev
Description-md5: d6935713eecc0a5b0dee857478492ad5
Description-en: Event Record for Monte Carlo Generators - development files
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package provides development files for HepMC.

Package: libhepmc3
Description-md5: 01be32d3597ed8c563aa290ad3cc8399
Description-en: Event Record for Monte Carlo Generators
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package ships the shared object for HepMC3.

Package: libhepmc3-dev
Description-md5: 74e9f3c14702d9f81577f27377e5126b
Description-en: Event Record for Monte Carlo Generators - development files
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package provides development files for HepMC3.

Package: libhepmc3-search
Description-md5: 49721752ca87d0b3eb785dbdc38fc8a3
Description-en: Monte Carlo event record FIO library -  search engine
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package ships the shared object for HepMC3 search engine.

Package: libhepmc3-search-dev
Description-md5: f112f13690cc3273eec68bf147e27be5
Description-en: Event Record for Monte Carlo Generators - development files for search engine
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package providesthe development files  for HepMC3 search engine.

Package: libhepmc4
Description-md5: c0d273b1488c331dff22619c6e061edc
Description-en: Event Record for Monte Carlo Generators
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package ships the shared object for HepMC.

Package: libhepmcfio-dev
Description-md5: 1b4d04c4296ae6f4ec01d746ea0acf84
Description-en: Monte Carlo event record FIO library - development files
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 This package provides development files for fio library of HepMC.

Package: libhepmcfio4
Description-md5: cc1c66b73c709e426909c78f82f7b551
Description-en: Monte Carlo event record FIO library
 The HepMC package is an object oriented event record written in C++ for
 High Energy Physics Monte Carlo Generators.
 .
 Many extensions from HEPEVT, the Fortran HEP standard, are supported: the
 number of entries is unlimited, spin density matrices can be stored with
 each vertex, flow patterns (such as color) can be stored and traced,
 integers representing random number generator states can be stored, and an
 arbitrary number of event weights can be included. Particles and vertices
 are kept separate in a graph structure, physically similar to a physics
 event.
 .
 The added information supports the modularisation of event generators.
 Event information is accessed by means of iterators supplied with the
 package.
 .
 The fio library of HepMC provides wrappers of HEPEVT, Pythia and Herwig.

Package: libhepmcinterface8
Description-md5: cad4281405d2e970ef332b2906981e46
Description-en: HepMC interface for PYTHIA8 (obsolete)
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 This is a transitional dummy package, HepMC interface has been moved into
 the package 'libpythia8'.

Package: libhepmcinterface8-dev
Description-md5: e6bf9f7cd3243cca37d6474317af32ae
Description-en: HepMC interface for PYTHIA8 - development files (obsolete)
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 This is a transitional dummy package, HepMC interface has been moved into
 the package 'libpythia8-dev'.

Package: libhersheyfont-dev
Description-md5: 6edb1df58ffa51dd11da3115e2e90ef3
Description-en: Hershey vector fonts library development files
 The Hershey fonts are a collection of vector fonts developed circa 1967
 by Dr. A. V. Hershey.
 .
 This package includes the headers and static library for libhersheyfont,
 a library of C routines for loading .jhf format Hershey font files.

Package: libhersheyfont0
Description-md5: 0c015e079e4eea2c7cb7cc95128b76e7
Description-en: Hershey vector fonts shared library
 The Hershey fonts are a collection of vector fonts developed circa 1967
 by Dr. A. V. Hershey.
 .
 This package includes the shared library for libhersheyfont,
 a library of C routines for loading .jhf format Hershey font files.

Package: libherwig59-2-dev
Description-md5: 18d5bb7b6ccee1496ada3d4ccc9cac2c
Description-en: [Physics] Monte Carlo event generator for hadrons (development)
 HERWIG is a general-purpose event generator for high energy hadronic
 processes, with particular emphasis on the detailed simulation of
 QCD parton showers.
 .
 This is the static version of the library.  Also included are a FORTRAN
 header file and some documentation.

Package: libherwig59-2-gfortran
Description-md5: b3fa058e1de3443e3dbf247f848d0b46
Description-en: [Physics] Monte Carlo event generator simulating hadronic events
 HERWIG is a general-purpose event generator for high energy hadronic
 processes, with particular emphasis on the detailed simulation of
 QCD parton showers.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libherwig59-2-dev package.

Package: libhesiod-dev
Description-md5: 2a4c00e41fd0b17bd205e9c26fd8593d
Description-en: Project Athena's DNS-based directory service - development files
 Hesiod is a name service library that can provide general name service
 for a variety of applications. It is derived from BIND, the Berkeley
 Internet Name Daemon, and leverages the existing DNS infrastructure of a
 network. It is used on a number of university networks, including MIT
 and Iowa State University.
 .
 This package contains Hesiod's include files and static library.

Package: libhesiod0
Description-md5: ea831c950063507e31bb33a46ae62f5f
Description-en: Project Athena's DNS-based directory service - libraries
 Hesiod is a name service library that can provide general name service
 for a variety of applications. It is derived from BIND, the Berkeley
 Internet Name Daemon, and leverages the existing DNS infrastructure of a
 network. It is used on a number of university networks, including MIT
 and Iowa State University.
 .
 This package contains Hesiod's shared library.

Package: libhessian-java
Description-md5: 297c3e23c1d55a225ccaa63f13ef29e6
Description-en: Java implementation of a binary protocol for web services
 Hessian provides compact binary and XML protocols for applications
 needing performance without protocol complexity.  It is well-suited to
 sending binary data without any need to extend the protocol with attachments.
 This library also includes Burlap that is a matching XML protocol.
 .
 With Hessian, providing a web service is as simple as creating a servlet and
 using a service is as simple as a JDK Proxy interface.

Package: libhessian-java-doc
Description-md5: ac2afb7ca7d37bc98e2c090a4be9ef11
Description-en: Documentation for libhessian-java
 Documentation for Hessian that is a Java library that provides compact binary
 and XML protocols for applications needing performance without protocol
 complexity. It is well-suited to sending binary data without any need to
 extend the protocol with attachments. This library also includes Burlap that
 is a matching XML protocol.
 .
 With Hessian, providing a web service is as simple as creating a servlet and
 using a service is as simple as a JDK Proxy interface.

Package: libhexbox1.5-cil
Description-md5: e29b9c09b0c0dcaf32018ed95c7074f0
Description-en: simple but powerful editor for binary files - libs
 Be.HexEditor the hex editor that opens every file.
 .
 Advantages:
 .
 Opens files of unlimited size.
 Multi-language support (English, German, Italian, Japanese, Russian,
 Simplified Chinese)

Package: libhfasan5-armel-cross
Description-md5: 09bf8c68db014d2e295e8f70edf1f99a
Description-en: AddressSanitizer -- a fast memory error detector (hard float ABI)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libhfasan6-armel-cross
Description-md5: 09bf8c68db014d2e295e8f70edf1f99a
Description-en: AddressSanitizer -- a fast memory error detector (hard float ABI)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libhfatomic1-armel-cross
Description-md5: c9356c86838148f6c7c3e87d70a777c8
Description-en: support library providing __atomic built-in functions (hard float ABI)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libhfgcc-10-dev-armel-cross
Description-md5: 137452806b755b182069e030eedeb66c
Description-en: GCC support library (hard float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libhfgcc-8-dev-armel-cross
Description-md5: 137452806b755b182069e030eedeb66c
Description-en: GCC support library (hard float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libhfgcc-9-dev-armel-cross
Description-md5: 137452806b755b182069e030eedeb66c
Description-en: GCC support library (hard float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libhfgcc-s1-armel-cross
Description-md5: 84896e6bc936faaf2f24f89e40210809
Description-en: GCC support library (armel) (hard float ABI)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libhfgfortran-10-dev-armel-cross
Description-md5: 8884fa3dfae1d8d6256da6f6242d6f68
Description-en: Runtime library for GNU Fortran applications (hard float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libhfgfortran-8-dev-armel-cross
Description-md5: 8884fa3dfae1d8d6256da6f6242d6f68
Description-en: Runtime library for GNU Fortran applications (hard float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libhfgfortran-9-dev-armel-cross
Description-md5: 8884fa3dfae1d8d6256da6f6242d6f68
Description-en: Runtime library for GNU Fortran applications (hard float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libhfgfortran5-armel-cross
Description-md5: d2b3075146355d3759544a1fc47bbf69
Description-en: Runtime library for GNU Fortran applications (hard float ABI)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libhfgomp1-armel-cross
Description-md5: b5303a6ef96adb96d71d7ff35b774341
Description-en: GCC OpenMP (GOMP) support library (hard float ABI)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libhfobjc-10-dev-armel-cross
Description-md5: 66ce7748417de77384120567f780ea68
Description-en: Runtime library for GNU Objective-C applications (hard float ABI development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libhfobjc-8-dev-armel-cross
Description-md5: 66ce7748417de77384120567f780ea68
Description-en: Runtime library for GNU Objective-C applications (hard float ABI development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libhfobjc-9-dev-armel-cross
Description-md5: 66ce7748417de77384120567f780ea68
Description-en: Runtime library for GNU Objective-C applications (hard float ABI development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libhfobjc4-armel-cross
Description-md5: 509998238abeb60c58effa376022140d
Description-en: Runtime library for GNU Objective-C applications (hard float ABI)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libhfst-dev
Description-md5: 44bcf6eb6f13fc7d87e1de069a9b37e8
Description-en: Helsinki Finite-State Transducer Technology Development files
 The Helsinki Finite-State Transducer software is intended for the
 implementation of morphological analysers and other tools which are
 based on weighted and unweighted finite-state transducer technology.
 .
 This package provides development headers and libraries for HFST

Package: libhfst52
Description-md5: 3747f074947bdb1dd6b5013f1ec28ea5
Description-en: Helsinki Finite-State Transducer Technology Libraries
 The Helsinki Finite-State Transducer software is intended for the
 implementation of morphological analysers and other tools which are
 based on weighted and unweighted finite-state transducer technology.
 .
 This package provides runtime libraries for HFST.

Package: libhfstdc++-10-dev-armel-cross
Description-md5: 808ac2bf597cb40590cf7d7a207e240b
Description-en: GNU Standard C++ Library v3 (development files) (armel
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libhfstdc++-8-dev-armel-cross
Description-md5: ab3bbd18acc1427942e370921d69bba6
Description-en: GNU Standard C++ Library v3 (development files) (armel)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libhfstdc++-9-dev-armel-cross
Description-md5: 808ac2bf597cb40590cf7d7a207e240b
Description-en: GNU Standard C++ Library v3 (development files) (armel
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libhfstdc++6-10-dbg-armel-cross
Description-md5: b74ada7586fcf49790198c7aab2c3fe5
Description-en: GNU Standard C++ Library v3 (debug build) (armel)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libhfstdc++6-8-dbg-armel-cross
Description-md5: b74ada7586fcf49790198c7aab2c3fe5
Description-en: GNU Standard C++ Library v3 (debug build) (armel)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libhfstdc++6-armel-cross
Description-md5: e7f441cd3391fd24809f111a42ae3a3f
Description-en: GNU Standard C++ Library v3 (armel) (hard float ABI)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libhfubsan1-armel-cross
Description-md5: 3696f3c908d1a6ea4de817a97d458ea3
Description-en: UBSan -- undefined behaviour sanitizer (hard float ABI)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libhibernate-commons-annotations-java
Description-md5: 323bbe8bc6497f526fc829802fd7d6e6
Description-en: Hibernate Commons Annotations
 Hibernate, like all other object/relational mapping tools, requires
 metadata that governs the transformation of data from one
 representation to the other (and vice versa). As an option, you can
 now use JDK 5.0 annotations for object/relational mapping with
 Hibernate 3.2. You can use annotations in addition to or as a
 replacement of XML mapping metadata.
 .
 You can use Hibernate extension annotations on top of standardized
 Java Persistence annotations to utilize all native Hibernate
 features.
 .
 This package ships the Hibernate Commons Annotations classes used by
 annotations based Hibernate sub-projects.

Package: libhibernate-validator-java
Description-md5: 91e0fac9bcd97cc00f06df4d08a7be8d
Description-en: Hibernate Validator
 Hibernate Validator aims at defining and checking a domain model
 level constraints. It supports custom constraints definitions as well
 as full internationalization. Annotations are used to define
 constraints on object level. The validation mechanism can be executed
 in different layers in your application without having to duplicate
 any of these rules (presentation layer, data access layer, Database
 schema).
 .
 Hibernate Validator integrates with Hibernate by applying the
 constraints on the database schema (DDL generation) and by checking
 entity validity before Hibernate inserts or updates instances. You
 can use Hibernate Validator with any Java Persistence provider, not
 only Hibernate, although you will not be able to use automatic DDL
 alteration for constraint generation outside of Hibernate
 EntityManager.

Package: libhibernate3-java
Description-md5: 012ac4088603cc0836ed18fb37384c93
Description-en: Relational Persistence for Idiomatic Java
 Hibernate is a powerful, high performance object/relational persistence
 and query service. Hibernate lets you develop persistent classes
 following object-oriented idiom - including association, inheritance,
 polymorphism, composition, and collections. Hibernate allows you to
 express queries in its own portable SQL extension (HQL), as well as in
 native SQL, or with an object-oriented Criteria and Example API.
 .
 Unlike many other persistence solutions, Hibernate does not hide the
 power of SQL from you and guarantees that your investment in relational
 technology and knowledge is as valid as always.

Package: libhiccup-clojure
Description-md5: d55dd2ac35fd6ac6c3de195301881415
Description-en: fast library for rendering HTML in Clojure
 Hiccup is a library for representing HTML in Clojure. It uses vectors to
 represent elements, and maps to represent an element's attributes.

Package: libhidapi-dev
Description-md5: c4262db76c6846ae58f28364dc7a188c
Description-en: Multi-Platform library for communication with HID devices (development files)
 HIDAPI is a multi-platform library enabling applications to easily
 interface with Bluetooth and USB HID-class devices.
 .
 This package contains the files needed to compile and link programs which
 use HIDAPI.

Package: libhidapi-hidraw0
Description-md5: 5c00020ffe38e949e3f4fdde323ec9cd
Description-en: Multi-Platform library for communication with HID devices (hidraw backend)
 HIDAPI is a multi-platform library enabling applications to easily
 interface with Bluetooth and USB HID-class devices.
 .
 This package contains the hidraw-based implementation of HIDAPI.

Package: libhidapi-libusb0
Description-md5: 858d0616ec887129e96fc82aba766ada
Description-en: Multi-Platform library for communication with HID devices (libusb backend)
 HIDAPI is a multi-platform library enabling applications to easily
 interface with Bluetooth and USB HID-class devices.
 .
 This package contains the libusb-based implementation of HIDAPI.

Package: libhighlight-perl
Description-md5: 368fb9117c0fe77abe6577c84bf4a81d
Description-en: perl bindings for highlight source code to formatted text converter
 A utility that converts sourcecode to HTML, XHTML, RTF, LaTeX, TeX,
 SVG, XML or terminal escape sequences with syntax highlighting.  It
 supports several programming and markup languages.  Language
 descriptions are configurable and support regular expressions.  The
 utility offers indentation and reformatting capabilities.  It is
 easily possible to create new language definitions and colour themes.
 This package contains the perl bindings.

Package: libhighwayhash-dev
Description-md5: 9fc10471391380be1529f711a050309e
Description-en: Fast strong hash functions: SipHash/HighwayHash (development)
 Highwayhash provides three 'strong' (well-distributed and unpredictable)
 hash functions: a faster version of SipHash, a data-parallel variant of
 SipHash using tree hashing, and an even faster algorithm called HighwayHash.
 .
 SipHash is a fast but 'cryptographically strong' pseudo-random function by
 Aumasson and Bernstein [https://www.131002.net/siphash/siphash.pdf].
 .
 SipTreeHash slices inputs into 8-byte packets and computes their SipHash in
 parallel, which is faster when processing at least 96 bytes.
 .
 HighwayHash is a new way of mixing inputs which may inspire new
 cryptographically strong hashes. Large inputs are processed at a rate of
 0.3 cycles per byte, and latency remains low even for small inputs.
 HighwayHash is faster than SipHash for all input sizes, with about 3.8 times
 higher throughput at 1 KiB.
 .
 This package ships the static library and development files.

Package: libhighwayhash0
Description-md5: 8a1629c71b2135ccc5047c68a0f3d60c
Description-en: Fast strong hash functions: SipHash/HighwayHash (library)
 Highwayhash provides three 'strong' (well-distributed and unpredictable)
 hash functions: a faster version of SipHash, a data-parallel variant of
 SipHash using tree hashing, and an even faster algorithm called HighwayHash.
 .
 SipHash is a fast but 'cryptographically strong' pseudo-random function by
 Aumasson and Bernstein [https://www.131002.net/siphash/siphash.pdf].
 .
 SipTreeHash slices inputs into 8-byte packets and computes their SipHash in
 parallel, which is faster when processing at least 96 bytes.
 .
 HighwayHash is a new way of mixing inputs which may inspire new
 cryptographically strong hashes. Large inputs are processed at a rate of
 0.3 cycles per byte, and latency remains low even for small inputs.
 HighwayHash is faster than SipHash for all input sizes, with about 3.8 times
 higher throughput at 1 KiB.
 .
 This package ships the shared object.

Package: libhijk-perl
Description-md5: ce9d6e35f2c427880597c05cb6b9e600
Description-en: simple perl module for easily accessing HTTP services
 Hijk is a specialized HTTP Client that does nothing but transport the response
 body back. It does not feature as a "user agent", but as a dumb client. It is
 suitable for connecting to data servers transporting via HTTP rather then web
 servers.
 .
 Most of HTTP features like proxy, redirect, Transfer-Encoding, or SSL are not
 supported at all. For those requirements there already are many good HTTP
 clients like HTTP::Tiny, Furl or LWP::UserAgent.

Package: libhikaricp-java
Description-md5: 77cdca28140922a6cab47ab2a040664f
Description-en: high-performance JDBC connection pool library
 HikariCP is a high performance, low overhead JDBC connection pool library for
 Java. It aims at being simple, robust, reliable and extremely fast. HikariCP
 comes with sane defaults that perform well in most deployments without
 additional tweaking.

Package: libhime
Description-md5: b1c6ada280d071606ce2d13c64257e9c
Description-en: library for HIME
 HIME Input Method Editor is a input method platform, which is forked
 from the famous gcin input method.
 .
 This package contains library for HIME.

Package: libhinawa-dev
Description-md5: a6a39414acadc7577eb0826b91e588aa
Description-en: I/O library for IEEE 1394 asynchronous transactions (development file)
 Libhinawa is an I/O library for units on IEEE 1394 bus. This library
 supports any types of asynchronous transactions over IEEE 1394 bus.
 Additionally, this library also supports some functionalities which
 ALSA firewire stack produces.
 This package contains development files including C headers and
 XML data for GIRepository.

Package: libhinawa1
Description-md5: 2480cc1a3bca8dee520077f81098e7bd
Description-en: I/O library for IEEE 1394 asynchronous transactions
 This is an I/O library for units on IEEE 1394 bus. This library
 supports any types of asynchronous transactions over IEEE 1394 bus.
 Additionally, this library also supports some functionalities which
 ALSA firewire stack produces.

Package: libhiredis-dev
Description-md5: e66ca6207edf03fce2e0fedb4fa9c230
Description-en: minimalistic C client library for Redis (development files)
 Hiredis is a minimalistic C client library for the Redis database. It is
 minimalistic because it just adds minimal support for the protocol, but
 at the same time it uses an high level printf-alike API in order to make
 it much higher level than otherwise suggested by its minimal code base
 and the lack of explicit bindings for every Redis command.
 .
 Apart from supporting sending commands and receiving replies, it comes
 with a reply parser that is decoupled from the I/O layer. It is a stream
 parser designed for easy reusability, which can for instance be used in
 higher level language bindings for efficient reply parsing.
 .
 Hiredis only supports the binary-safe Redis protocol, so you can use it
 with any Redis version >= 1.2.0.
 .
 The library comes with multiple APIs. There is the synchronous API, the
 asynchronous API and the reply parsing API.
 .
 This package provides the development files for hiredis.

Package: libhiredis0.14
Description-md5: 30ca4f8691338e6fc959dcd64d39335e
Description-en: minimalistic C client library for Redis
 Hiredis is a minimalistic C client library for the Redis database. It is
 minimalistic because it just adds minimal support for the protocol, but
 at the same time it uses an high level printf-alike API in order to make
 it much higher level than otherwise suggested by its minimal code base
 and the lack of explicit bindings for every Redis command.
 .
 Apart from supporting sending commands and receiving replies, it comes
 with a reply parser that is decoupled from the I/O layer. It is a stream
 parser designed for easy reusability, which can for instance be used in
 higher level language bindings for efficient reply parsing.
 .
 Hiredis only supports the binary-safe Redis protocol, so you can use it
 with any Redis version >= 1.2.0.
 .
 The library comes with multiple APIs. There is the synchronous API, the
 asynchronous API and the reply parsing API.

Package: libhivex-bin
Description-md5: 89fa267ea5ad3e7afa9180854b40559f
Description-en: utilities for reading and writing Windows Registry hives
 libhivex is a self-contained library for reading and writing Windows
 Registry "hive" binary files.
 .
 This package contains a few command line programs that utilize libhivex.

Package: libhivex-dev
Description-md5: a35a894e56b30e590b859ae01c6cf84e
Description-en: library for reading and writing Windows Registry hives
 libhivex is a self-contained library for reading and writing Windows
 Registry "hive" binary files.
 .
 This package provides static libraries and header files.

Package: libhivex-ocaml
Description-md5: 1623b0e7530f23ef553e4a918e9d5528
Description-en: OCaml bindings for hivex -- runtime files
 OCaml bindings for libhivex, a library for reading and writing
 Windows Registry "hive" binary files.
 .
 This package include only the shared runtime stub libraries.

Package: libhivex-ocaml-dev
Description-md5: 9ddfa7e26a1aeab261f075cfd0a8a0c0
Description-en: OCaml bindings for hivex -- development files
 OCaml bindings for libhivex, a library for reading and writing
 Windows Registry "hive" binary files.
 .
 This package contains all the files needed to develop OCaml programs
 which use OCaml bindings to libhivex.

Package: libhivex0
Description-md5: 3d0eb54ce30942f3cfec8dcf94168cd1
Description-en: library for reading and writing Windows Registry hives
 libhivex is a self-contained library for reading and writing Windows
 Registry "hive" binary files.
 .
 Unlike many other tools in this area, it doesn't use the textual .REG
 format for output, because parsing that is as much trouble as parsing
 the original binary format. Instead it makes the file available
 through a C API, or there is a separate program to export the hive as
 XML.

Package: libhkl-dev
Description-md5: 0d7481b9aaeb30c4b8cca68ba9845573
Description-en: diffractometer computation control library - development files
 The hkl library is a framework for diffraction computation and
 diffractometer control, heavily used at the SOLEIL synchrotron. It
 supports various types of diffractometer geometry: Eulerian 4-circle,
 Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis
 geometry. For each of these it provides several numerically computed
 modes, such as bisector and constant psi.
 .
 This package provides everything needed to link against hkl.

Package: libhkl-doc
Description-md5: 959ca03ab47e3989c31ce0e866188eb5
Description-en: diffractometer computation control library - documentation
 The hkl library is a framework for diffraction computation and
 diffractometer control, heavily used at the SOLEIL synchrotron. It
 supports various types of diffractometer geometry: Eulerian 4-circle,
 Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis
 geometry. For each of these it provides several numerically computed
 modes, such as bisector and constant psi.
 .
 This package provides the documentation for hkl.

Package: libhkl5
Description-md5: 4484aae662bf3580c01ca9a8a9e15855
Description-en: diffractometer computation control library
 The hkl library is a framework for diffraction computation and
 diffractometer control, heavily used at the SOLEIL synchrotron. It
 supports various types of diffractometer geometry: Eulerian 4-circle,
 Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis
 geometry. For each of these it provides several numerically computed
 modes, such as bisector and constant psi.
 .
 This package provides the runtime hkl library.

Package: libhmat-oss-dev
Description-md5: 7f697df6be18ad352c3d8fbcc42a8b91
Description-en: headers and development libraries for HMat
 A hierarchical matrix C/C++ library including a LU solver.

Package: libhmat-oss1
Description-md5: 0fc545636e5b000f963e47972b876a99
Description-en: dynamic libraries for HMat
 A hierarchical matrix C/C++ library including a LU solver.

Package: libhmat-oss1-dbg
Description-md5: c342c25fad06b53e2a8596d22be75ab5
Description-en: debug symbols for HMat
 A hierarchical matrix C/C++ library including a LU solver.

Package: libhmmer2-dev
Description-md5: b67279f939ec41c0014c7fee7a815d8f
Description-en: profile hidden Markov models for protein sequence analysis (devel)
 HMMER is an implementation of profile hidden Markov model methods for
 sensitive searches of biological sequence databases using multiple sequence
 alignments as queries.
 .
 Given a multiple sequence alignment as input, HMMER builds a statistical
 model called a "hidden Markov model" which can then be used as a query into
 a sequence database to find (and/or align) additional homologues of the
 sequence family.
 .
 This package contains header files and static library that can be used to
 link against libhmmer.

Package: libhmsbeagle-dev
Description-md5: e2cf134283793dc53c4584f6ab2c2591
Description-en: High-performance lib for Bayesian and Maximum Likelihood phylogenetics (devel)
 BEAGLE is a high-performance library that can perform the core calculations at
 the heart of most Bayesian and Maximum Likelihood phylogenetics packages. It
 can make use of highly-parallel processors such as those in graphics cards
 (GPUs) found in many PCs.
 .
 The project involves an open API and fast implementations of a library for
 evaluating phylogenetic likelihoods (continuous time Markov processes) of
 biomolecular sequence evolution.
 .
 The aim is to provide high performance evaluation 'services' to a wide range
 of phylogenetic software, both Bayesian samplers and Maximum Likelihood
 optimizers.  This allows these packages to make use of implementations that
 make use of optimized hardware such as graphics processing units.
 .
 This package contains development files needed to build against Beagle library.

Package: libhmsbeagle-java
Description-md5: 19e3721dbbb7bb8a6b2e6402430b80ec
Description-en: High-performance lib for Bayesian and Maximum Likelihood phylogenetics (java)
 BEAGLE is a high-performance library that can perform the core calculations at
 the heart of most Bayesian and Maximum Likelihood phylogenetics packages. It
 can make use of highly-parallel processors such as those in graphics cards
 (GPUs) found in many PCs.
 .
 The project involves an open API and fast implementations of a library for
 evaluating phylogenetic likelihoods (continuous time Markov processes) of
 biomolecular sequence evolution.
 .
 The aim is to provide high performance evaluation 'services' to a wide range
 of phylogenetic software, both Bayesian samplers and Maximum Likelihood
 optimizers.  This allows these packages to make use of implementations that
 make use of optimized hardware such as graphics processing units.
 .
 This package contains the Java interface.

Package: libhmsbeagle1v5
Description-md5: d1ca6965facda724668a6194b79a4004
Description-en: High-performance lib for Bayesian and Maximum Likelihood phylogenetics
 BEAGLE is a high-performance library that can perform the core calculations at
 the heart of most Bayesian and Maximum Likelihood phylogenetics packages. It
 can make use of highly-parallel processors such as those in graphics cards
 (GPUs) found in many PCs.
 .
 The project involves an open API and fast implementations of a library for
 evaluating phylogenetic likelihoods (continuous time Markov processes) of
 biomolecular sequence evolution.
 .
 The aim is to provide high performance evaluation 'services' to a wide range
 of phylogenetic software, both Bayesian samplers and Maximum Likelihood
 optimizers.  This allows these packages to make use of implementations that
 make use of optimized hardware such as graphics processing units.

Package: libhoel-dev
Description-md5: af95c77f58f0fdfcedf421f6508b8bc8
Description-en: database abstraction library written in C - development
 Simple and easy to use database access library. Works with SQLite 3,
 MariaDB/Mysql and (barely) PostgreSQL databases. Uses a json-based
 language with jansson to execute simples queries based on one table.
 .
 This package contains the development files.

Package: libhoel1.4
Description-md5: 710398b621924928de914901c251fc8c
Description-en: database abstraction library written in C
 Simple and easy to use database access library. Works with SQLite 3,
 MariaDB/Mysql and (barely) PostgreSQL databases. Uses a json-based
 language with jansson to execute simples queries based on one table.

Package: libhomfly-dev
Description-md5: 6c69e42e49aa288046dc226c7afe9558
Description-en: compute the homfly polynomial of a link (development files)
 libhomfly is a library to compute the homfly polynomial of knots and links.
 .
 It is based on a program written by Robert J Jenkins Jr.
 .
 This package contains the development files for the library.

Package: libhomfly0
Description-md5: 52ebb12988ab815ad0da75b8c7c5431a
Description-en: compute the homfly polynomial of a link
 libhomfly is a library to compute the homfly polynomial of knots and links.
 .
 It is based on a program written by Robert J Jenkins Jr.

Package: libhoneysql-clojure
Description-md5: 3f38049b6b3020f6dca0e5a54629d4aa
Description-en: library for mapping Clojure data structures to SQL
 honeysql allows building queries programmatically, even at runtime, without
 having to bash strings together.

Package: libhook-lexwrap-perl
Description-md5: 6962641f604bc0736fc1315e40cfbbb7
Description-en: module for lexically scoped subroutine wrappers
 Hook::LexWrap allows you to install a pre- or post-wrapper (or both)
 around an existing subroutine. Unlike other modules that provide this
 capacity (e.g. Hook::PreAndPost and Hook::WrapSub), Hook::LexWrap
 implements wrappers in such a way that the standard caller function
 works correctly within the wrapped subroutine.

Package: libhook-wrapsub-perl
Description-md5: e5ebbe0c6a26e9d362824e1e50959e15
Description-en: module to wrap subs with pre- and post-call hooks
 Hook::WrapSub lets you wrap a function, providing one or both of functions
 that are called just before and just after, whenever the wrapped function is
 called.
 .
 The wrap_subs() function enables intercepting a call to any named function;
 handlers may be added both before and after the call to the intercepted
 function.

Package: libhostfile-manager-perl
Description-md5: 0131d073804ef210c4e89e57d690c654
Description-en: manage a hostfile by composing multiple fragments into a whole
 Hostfile::Manager is a Perl library
 providing functions to read hostfile fragments
 and add them to a unified hostfile like /etc/hosts.

Package: libhpdf-2.3.0
Description-md5: d085cff27026c5e6617a4579690157f8
Description-en: C library for generating pdf files
 Haru is a free, cross platform, open-source C library for generating
 PDF files. It supports the following features:
  1. Generation of PDF files with lines, text and images.
  2. Outlines, text and link annotations.
  3. Document compression using deflate-decode.
  4. Embedded PNG and Jpeg images.
  5. Embedded Type1 and TrueType fonts.
  6. Creation of encrypted PDF files.
  7. Usage of various character sets (ISO8859-1~16, MSCP1250~8, KOI8-R).
  8. Support for CJK fonts and encodings.

Package: libhpdf-dev
Description-md5: 17f4bd01559ebb9d411379a085869a45
Description-en: C library for generating pdf files (development files)
 Haru is a free, cross platform, open-source C library for generating
 PDF files. It supports the following features:
  1. Generation of PDF files with lines, text and images.
  2. Outlines, text and link annotations.
  3. Document compression using deflate-decode.
  4. Embedded PNG and Jpeg images.
  5. Embedded Type1 and TrueType fonts.
  6. Creation of encrypted PDF files.
  7. Usage of various character sets (ISO8859-1~16, MSCP1250~8, KOI8-R).
  8. Support for CJK fonts and encodings.
 This package contains the header files for developing with libharu.

Package: libhpptools-dev
Description-md5: 24a613238200f307aeb775081b9f99a0
Description-en: various C++ header tools
 A collection of C++ (mostly, C++11) header-only utility libraries.
 .
  * thread-safe facility-based logging mechanism
  * ZLib wrapper
  * Collection of new and extended SL algorithms
  * Floating point additions in logarithmic space using table lookup
  * C++11-based parallel for, with optional output sorting
  * C++11-based thread pool

Package: libhsail-rt-10-dev
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-10-dev-amd64-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-10-dev-i386-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-10-dev-x32-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-7-dev
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-8-dev
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-8-dev-amd64-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-8-dev-i386-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-8-dev-x32-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-9-dev
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-9-dev-amd64-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-9-dev-i386-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt-9-dev-x32-cross
Description-md5: fdf9fe3239090741752f3b2eb4cff918
Description-en: HSAIL runtime library (development files)
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt0
Description-md5: 84a3c02391f5e02bf06f20db11410669
Description-en: HSAIL runtime library
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt0-amd64-cross
Description-md5: 84a3c02391f5e02bf06f20db11410669
Description-en: HSAIL runtime library
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt0-i386-cross
Description-md5: 84a3c02391f5e02bf06f20db11410669
Description-en: HSAIL runtime library
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsail-rt0-x32-cross
Description-md5: 84a3c02391f5e02bf06f20db11410669
Description-en: HSAIL runtime library
 This library implements the agent-side runtime functionality required
 to run HSA finalized programs produced by the BRIG frontend.
 .
 The library contains both the code required to run kernels on the agent
 and also functions implementing more complex HSAIL instructions.

Package: libhsdis0-fcml
Description-md5: 35f1653e7616ca7e55284fc5d53bc47f
Description-en: HotSpot disassembler plugin using FCML
 This HotSpot plugin enables the PrintAssembly series of options in
 the JRE's HotSpot VM. It allows the binary code generated by the JIT
 compiler to be disassembled and logged.
 .
 This plugin is based on the FCML library, not on binutils (unlike the
 OpenJDK hsdis plugin).

Package: libhsm-bin
Description-md5: 93c2bbf30eed36f3295c9a24613e95bb
Description-en: library for interfacing PKCS#11 Hardware Security Modules
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 Support library for interfacing PKCS#11 compatible Hardware Security
 Modules (HSM).  This library allows programs to use cryptografic
 secure storages for keying material such as softhsm2 (HSM implemented
 in software), SCA6000, Aladdin eToken, OpenSC, nCipher or AEP Keyper.
 .
 This package contains command line tools.

Package: libhsqldb-java
Description-md5: 14fbf72456caca34a30a0a8e72445104
Description-en: Java SQL database engine
 HSQLDB is an SQL relational database engine written in Java.  It has a
 JDBC driver and supports a rich subset of SQL-92 (BNF tree format) plus
 SQL:2.29 and SQL:2.23 enhancements.  It offers a small, fast database
 engine that offers both in-memory and disk-based tables.  Embedded and
 server modes are available.  Additionally, it includes tools such as a
 minimal web server, in-memory query and management tools (can be run as
 applets), and a number of demonstration examples.

Package: libhsqldb-java-doc
Description-md5: 6747e4900845c8ea75518580d62ba25b
Description-en: documentation for HSQLDB
 HSQLDB is an SQL relational database engine written in Java.  It has a
 JDBC driver and supports a rich subset of SQL-92 (BNF tree format) plus
 SQL:2.29 and SQL:2.23 enhancements.  It offers a small, fast database
 engine that offers both in-memory and disk-based tables.  Embedded and
 server modes are available.  Additionally, it includes tools such as a
 minimal web server, in-memory query and management tools (can be run as
 applets), and a number of demonstration examples.
 .
 This package contains the documentation of HSQLDB.

Package: libhsqldb1.8.0-java
Description-md5: 214a2c1ad31e54bb2dc0632a9a88f65a
Description-en: Java SQL database engine
 HSQLDB is an SQL relational database engine written in Java.  It has a
 JDBC driver and supports a rich subset of SQL-92 (BNF tree format) plus
 SQL:1999 and SQL:2003 enhancements.  It offers a small, fast database
 engine that offers both in-memory and disk-based tables.  Embedded and
 server modes are available.  Additionally, it includes tools such as a
 minimal web server, in-memory query and management tools (can be run as
 applets), and a number of demonstration examples.
 .
 Web site: http://hsqldb.org/

Package: libhtml-auto-perl
Description-md5: 1d35a344876a35f12f0b978d37434bca
Description-en: module for automatically writing HTML for common elements
 HTML::Auto provides easy access to some typical structures used in HTML,
 for example matrixes or horizontal and vertical composition of other
 elements.

Package: libhtml-autopagerize-perl
Description-md5: 20d9de176b2ba18f6bc8038b91fe58c5
Description-en: utility to load AutoPagerize SITEINFO stuff
 HTML::AutoPagerize is an utility module to load SITEINFO defined in
 AutoPagerize. AutoPagerize is an userscript to automatically figure out
 the "next link" of the current page, then fetch the content and insert
 the content by extracting the "page element".

Package: libhtml-calendarmonth-perl
Description-md5: 440bce19df8ffb0a848f6d215d97757d
Description-en: generate and manipulate calandar months in HTML
 This module enables you to generate and manipulate calendar
 months in HTML.
 .
 Useful for making calendars to display in web pages and other similar
 tasks.

Package: libhtml-calendarmonthsimple-perl
Description-md5: dce7cd71f42df44c39de3e46e9726f0f
Description-en: Perl module for generating HTML Calendars
 HTML::CalendarMonthSimple is a Perl module for generating, manipulating,
 and printing a HTML calendar grid for a specified month. It is intended
 as a faster and easier-to-use alternative to HTML::CalendarMonth.

Package: libhtml-clean-perl
Description-md5: 0d1daebac3177d4b359cd67ad79b44f0
Description-en: Cleans up HTML code for web browsers, not humans
 The HTML::Clean module encapsulates a number of HTML optimizations
 and cleanups.  The end result is HTML that loads faster, displays
 properly in more browsers.  Think of it as a compiler that
 translates HTML input into optimized machine readable code.

Package: libhtml-copy-perl
Description-md5: 5ef6b7ac994cdfe8ac73a229c2f06df4
Description-en: module to copy a HTML file without breaking links
 HTML::Copy copies a HTML file without breaking links in the file and is a sub
 class of HTML::Parser.
 .
 This package provide the Perl module "HTML::Copy" and a command line tool
 "htmlcopy".

Package: libhtml-dashboard-perl
Description-md5: 425fe75336169fcd94d0cb5e6839d03b
Description-en: module that tries to achieve spreadsheet-like formatting for HTML tables
 Rather than having to build up an HTML table from data, row by row and cell
 by cell, applying formatting rules at every step, HTML::Dashboard allows the
 user to specify a set of simple rules with the desired formatting options.
 HTML::Dashboard will evaluate the rules and apply the formatting options as
 necessary.

Package: libhtml-defang-perl
Description-md5: f54f5b38c125f0f9595662276bdf2907
Description-en: cleans HTML and CSS of scripting, executable contents and XSS attacks
 HTML::Defang accepts an input HTML and/or CSS string
 and removes any executable code
 including scripting, embedded objects, applets, etc.,
 and neutralises any XSS attacks.
 A whitelist based approach is used
 which means only HTML known to be safe is allowed through.
 .
 HTML::Defang uses a custom html tag parser.
 The parser has been designed and tested
 to work with nasty real world html
 and to try and emulate as close as possible
 what browsers actually do with strange looking constructs.
 The test suite has been built
 based on examples from a range of sources
 such as <http://ha.ckers.org/xss.html>
 and <http://imfo.ru/csstest/css_hacks/import.php>
 to ensure that as many as possible XSS attack scenarios
 have been dealt with.

Package: libhtml-diff-perl
Description-md5: f63e04a5d44636e9b2d88d6f2fc8b16c
Description-en: module for comparing two HTML documents
 HTML::Diff compares two strings of HTML and returns a list of a chunks which
 indicate the diff between the two input strings, where changes in formatting
 are considered changes.
 .
 HTML::Diff does not strictly parse the HTML. Instead, it uses regular
 expressions to make a decent effort at understanding the given HTML. As a
 result, there are many valid HTML documents for which it will not produce the
 correct answer. But there may be some invalid HTML documents for which it
 gives you the answer you're looking for. Your mileage may vary; test it on
 lots of inputs from your domain before relying on it.

Package: libhtml-display-perl
Description-md5: 804363ac070622c55106d6a307d734ef
Description-en: module for displaying HTML locally in a browser
 HTML::Display abstracts the task of displaying HTML to the user. The
 displaying is done by launching a browser and navigating it to either a
 temporary file with the HTML stored in it, or, if possible, by pushing the
 HTML directly into the browser window.
 .
 The module tries to automagically select the "correct" browser, but if it
 doesn't find a good browser, you can modify the behaviour by setting some
 environment variables.

Package: libhtml-element-extended-perl
Description-md5: fdfb1de3067b6c47608c12c0d6d38657
Description-en: extended HTML::Element classes
 A package of several enhanced HTML::Element classes, most of which
 arose during the effort to implement an HTML::Element based table
 class.

Package: libhtml-element-library-perl
Description-md5: 7a80b73dcb8ac1e5a161e61ec234b327
Description-en: Perl module providing HTML::Element convenience methods
 HTML::Element::Library provides convenience methods for working with
 HTML::Element and HTML::Tree objects. Methods are grouped into the
 following categories:
 .
   - Positional Querying
   - Element Decoration
   - Tree Rewriting
   - Tree-Building
   - Tree-Building: Table Generation
   - Tree-Killing
   - Loltrees
 .
 Please consult the documentation for HTML::Element::Library for details of
 the specific convenience methods provided and their usage.

Package: libhtml-embedded-turtle-perl
Description-md5: 87eccfa2cec59d268372b6d2bddfa36b
Description-en: embedding RDF in HTML the crazy way
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF can be embedded in (X)HTML using simple <script> tags. This is
 described at http://esw.w3.org/N3inHTML . This gives you a file format
 that can contain multiple (optionally named) graphs.
 .
 HTML::Embedded::Turtle parses HTML files containing such graphs, and
 allows you to access them each individually; as a union of all graphs
 on the page; or as a union of just the endorsed graphs.
 .
 Despite the module name, this module supports a variety of script
 types:
  * text/turtle
  * application/turtle
  * application/x-turtle
  * text/plain (N-Triples)
  * application/x-rdf+json (RDF/JSON)
  * application/json (RDF/JSON)
  * application/rdf+xml (RDF/XML)
 .
 Although it doesn't support full N3, it recognises the following as
 well, but treats them as Turtle:
  * text/n3
  * text/rdf+n3

Package: libhtml-encoding-perl
Description-md5: beba52f85261eebe0d39b56f64dee250
Description-en: perl module determining the encoding of HTML/XML/XHTML documents
 HTML::Encoding helps to determine the encoding of HTML and XML/XHTML
 documents. It can export the following functions:
 .
  encoding_from_content - Extracts charset from the Content-Type header value.
  encoding_from_byte_order_mark - Read byte order mark from an octet sequence.
  encoding_from_xml_declaration - Extract encoding XML or text declaration.
  encoding_from_first_chars - Can be useful to distinguish UTF-16LE from UTF-8.
  encoding_from_meta_element - Looks at <meta http-equiv=Content-Type.....>.
  encoding_from_xml_document - A best guess from a list of suspected encodings.
  encoding_from_html_document - A best guess from a list of suspected encodings.
  encoding_from_http_message - Takes an HTTP::Message and returns the encoding.

Package: libhtml-entities-numbered-perl
Description-md5: 44dddb6e37eb39818bdf3e90016b0291
Description-en: Conversion of numbered HTML entities
 HTML::Entities::Numbered is a content conversion filter for named HTML
 entities (symbols, mathmetical symbols, Greek letters, Latin letters,
 etc.).

Package: libhtml-escape-perl
Description-md5: 072d9cd68e864a28fc783e06273345ec
Description-en: provides extremely fast HTML escaping
 HTML::Escapes provides a function which escapes HTML's special characters. It
 performs a similar function to PHP's htmlspecialchars.
 .
 This module uses XS for better performance, but it also provides a pure perl
 version.

Package: libhtml-fillinform-perl
Description-md5: 3abd0a15a383c1649829e6b57425afb8
Description-en: module for populating HTML forms with data
 HTML::FillInForm automatically inserts data from a previous HTML form into
 the HTML input, textarea, radio buttons, checkboxes and select tags. It is a
 subclass of HTML::Parser and uses it to parse the HTML and insert the values
 into the form tags.
 .
 One useful application is after a user submits an HTML form without
 filling out a required field.  HTML::FillInForm can be used to
 redisplay the HTML form with all the form elements containing the
 submitted info.

Package: libhtml-formatexternal-perl
Description-md5: f953f574dc3075079832e1d8e46a5827
Description-en: HTML to text formatting using external programs
 HTML::FormatExternal is a collection of formatter modules turning HTML into
 plain text using external programs such as elinks, html2text, links, lynx,
 w3m.
 .
 The programming interface is compatible with HTML::FormatText and
 HTML::FormatText::WithLinks, so you can fairly easily switch how you
 want the formatting done.

Package: libhtml-formattext-withlinks-andtables-perl
Description-md5: 719344e248e3039bcd26dcae58cf2a54
Description-en: Perl module to converts HTML to Text with tables intact
 HTML::FormatText::WithLinks::AndTables was inspired by
 HTML::FormatText::WithLinks which has proven to be a useful `lynx -dump`
 work-alike.
 .
 This module can in a rudimentary sense do so. The aim was to provide
 facility to take a simple HTML based email template, and to also convert
 it to text with the <TABLE> structure intact for inclusion as
 "multipart/alternative" content.
 .
 Further, it will preserve both the formatting specified by the <TD> tag's
 "align" attribute, and will also preserve multiline text inside of a <TD>
 element provided it is broken using <BR/> tags.

Package: libhtml-formattext-withlinks-perl
Description-md5: cdecbf1ea80ef4fdcb032eb45116179e
Description-en: Perl module to convert HTML to text with links as footnotes
 HTML::FormatText::WithLinks takes HTML and turns it into plain text
 but prints all the links in the HTML as footnotes. By default, it attempts
 to mimic the format of the lynx text based web browser's --dump option.

Package: libhtml-formfu-model-dbic-perl
Description-md5: 5cfa6d5b1af344d611f7c795bdafd015
Description-en: module to integrate HTML::FormFu with DBIx::Class
 HTML::FormFu is a HTML form creation, rendering and validation framework.
 .
 This package contains the HTML::FormFu::Model::DBIC module, providing
 integration with DBIx::Class. It allows one to set a form's default values
 from a DBIx::Class row object and to update the database from a submitted
 form.

Package: libhtml-formfu-perl
Description-md5: cee39e3f9ae3c1bd2a876231f80741d5
Description-en: HTML form creation, rendering and validation framework
 HTML::FormFu is a HTML form framework which aims to be as easy as
 possible to use for basic web forms, but with the power and flexibility
 to do anything else you might want to do (as long as it involves forms).
 .
 You can configure almost any part of formfu's behaviour and output. By
 default formfu renders "XHTML 1.0 Strict" compliant markup, with as
 little extra markup as possible, but with sufficient CSS class names to
 allow for a wide-range of output styles to be generated by changing only
 the CSS.

Package: libhtml-formhandler-model-dbic-perl
Description-md5: 75d85d3cf3314a0371956f9d2e215e73
Description-en: base class that holds DBIC model role
 HTML::FormHandler::Model::DBIC and
 HTML::FormHandler::TraitFor::Model::DBIC provide an interface between
 HTML::FormHandler and DBIx::Class.
 .
 This interface is available as a regular class or as a Moose role.  It
 will save form fields automatically to the database.

Package: libhtml-formhandler-perl
Description-md5: 74e127d23c66c54781109528e606a36c
Description-en: form handler written in Moose
 HTML::FormHandler maintains a clean separation between form construction and
 form rendering. It allows you to define your forms and fields in a number of
 flexible ways. Although it provides renderers for HTML, you can define custom
 renderers for any kind of presentation.

Package: libhtml-fromtext-perl
Description-md5: 53bba2b7a0a2eebedd1714b254a971e6
Description-en: module to marks up plain text as HTML
 This is the CPAN Perl module HTML::FromText.
 .
 By default it converts HTML metacharacters into the corresponding entities.
 More sophisticated transformations, such as splitting the text into
 paragraphs or marking up bulleted lists, can be carried out by setting
 the appropriate options.

Package: libhtml-gentoc-perl
Description-md5: 5da8e1dd7832a82432e4e4dbd34fb088
Description-en: module that generates a Table of Contents for HTML documents
 HTML::GenToc generates anchors and a table of contents for HTML documents.
 Depending on the arguments, it will insert the information it generates, or
 output to a string, a separate file or STDOUT.
 .
 While it defaults to taking H1 and H2 elements as the significant elements to
 put into the table of contents, any tag can be defined as a significant
 element. Also, it doesn't matter if the input HTML code is complete, pure
 HTML, one can input pseudo-html or page-fragments, which makes it suitable
 for using on templates and HTML meta-languages such as WML.
 .
 Also included in the distrubution is hypertoc, a script which uses the module
 so that one can process files on the command-line in a user-friendly manner.

Package: libhtml-gumbo-perl
Description-md5: ac57ce516c1e51b99d842daddea38bfe
Description-en: HTML5 parser based on gumbo C library
 Gumbo is an implementation of the HTML5 parsing algorithm implemented as a
 pure C99 library with no outside dependencies.
 .
 HTML::Gumbo provides perl bindings for the C library.

Package: libhtml-highlight-perl
Description-md5: a4468cd600a73295c6394b15e563e86a
Description-en: Perl module for highlighting words or patterns in HTML documents
 HTML::Highlight provides Google-like highlighting of words or patterns
 in HTML documents. This feature is typically used to highlight search
 results. Each specified pattern or word is highlighted using a
 different color.

Package: libhtml-html5-builder-perl
Description-md5: e1872f92aa46c21f59edc8e81e472721
Description-en: erect some scaffolding for your documents
 HTML::HTML5::Builder can export function names corresponding to any
 HTML5 element.
 .
 Each function returns an XML::LibXML::Element with the same name as the
 function. The arguments to each function are processed as a list, and
 used to set the attributes and contents of that element.

Package: libhtml-html5-entities-perl
Description-md5: e1fbda56ebe4eb0afce68dec1038a75e
Description-en: module to encode and decode character entities defined in HTML5
 HTML::HTML5::Entities is a drop-in replacement for HTML::Entities,
 providing the character entities defined in HTML5. It is a pure Perl
 implementation and hence slower, especially decoding.

Package: libhtml-html5-microdata-parser-perl
Description-md5: 8d781ad998d9614f072469c2316c7b1d
Description-en: fairly experimental parser for HTML 'microdata'
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 Microdata is an experimental metadata format, not in wide use, for
 extending HTML5 to support RDF.
 .
 HTML::HTML5::Microdata::Parser is a Perl library providing a flexible
 microdata parser for working with an RDF::Trine::Model that can be
 queried with SPARQL.

Package: libhtml-html5-outline-perl
Description-md5: ce15364feb02fa2c4f2453f06d79994a
Description-en: implementation of the HTML5 Outline algorithm
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 HTML::HTML5::Outline is an implementation of the HTML5 Outline
 algorithm, as per http://www.w3.org/TR/html5/sections.html#outlines .
 .
 The module can output a JSON-friendly hashref, or an RDF model.

Package: libhtml-html5-parser-perl
Description-md5: 6430093213e58b6fe2ff28ee613fafdc
Description-en: parse HTML reliably
 HTML::HTML5::Parser is an HTML parser, similar to the non-CPAN module
 Whatpm::HTML with some changes including:
 .
  * Provides an XML::LibXML-like DOM interface. If you usually use
    XML::LibXML's DOM parser, this should be a drop-in solution for tag
    soup HTML.
 .
  * Constructs an XML::LibXML::Document as the result of parsing.
 .
  * Via bundling and modifications, removed external dependencies on
    non-CPAN packages.

Package: libhtml-html5-sanity-perl
Description-md5: d96e6ae661e7aa3d6271cac23ce804ac
Description-en: make HTML5 DOM trees less insane
 HTML::HTML5::Sanity is a Perl library tidying HTML::HTML5::Parser.
 .
 The Document Object Model (DOM) generated by HTML::HTML5::Parser meets
 the requirements of the HTML5 spec, but will probably catch a lot of
 people by surprise.
 .
 The main oddity is that elements and attributes which appear to be
 namespaced are not really. For example, the following element:
 .
  <div xml:lang="fr">...</div>
 .
 Looks like it should be parsed so that it has an attribute "lang" in
 the XML namespace. Not so. It will really be parsed as having the
 attribute "xml:lang" in the null namespace.

Package: libhtml-html5-writer-perl
Description-md5: 4161609a870a6ce683f7257a40e634d3
Description-en: output a DOM as HTML5
 HTML::HTML5::Writer outputs XML::LibXML::Node objects as HTML5 strings.
 It works well on DOM trees that represent valid HTML/XHTML documents;
 less well on other DOM trees.

Package: libhtml-linkextractor-perl
Description-md5: 325e8b33e67592881556aee38ac0a9ec
Description-en: Perl module used to extract links from HTML documents
 HTML::LinkExtractor is used to extract links from HTML documents.  It is very
 similar to HTML::LinkExtor, except that besides getting the URL, you also get
 the link-text.

Package: libhtml-linklist-perl
Description-md5: d275647efbe5ebb0069c2468e9f5cbe9
Description-en: module that creates a 'smart' list of HTML links
 HTML::LinkList contains a number of functions for taking sets of URLs and
 labels and creating suitably formatted HTML. These links are "smart" because,
 if given the url of the current page, if any of the links in the list equal
 it, that item in the list will be formatted as a special label, not as a
 link; this is a Good Thing, since the user would be confused by clicking on a
 link back to the current page.
 .
 While many website systems have plugins for "smart" navbars, they are
 specialized for that system only, and can't be reused elsewhere, forcing
 people to reinvent the wheel. This is one of the wheels, free to be reused
 by anybody; just the simple functions, a backend, which can be plugged into
 whatever system you want.
 .
 The default format for the HTML is to make an unordered list, but there are
 many options, enabling one to have a flatter layout with any separators you
 desire, or a more complicated list with differing formats for different
 levels.

Package: libhtml-lint-perl
Description-md5: b6995b66782555d361e5980a9da956d4
Description-en: checker of HTML errors in strings or files
 HTML::Lint is a pure-Perl HTML parser and checker for syntactic legitmacy.
 .
 The "weblint" script - provided in the separate package "weblint-perl" -
 is a wrapper around the HTML::Lint.
 .
 You can also look into Apache::Lint which is a mod_perl wrapper around
 HTML::Lint.
 .
 Finally, for those of you doing automated testing with Test::More and
 its brethren, Test::HTML::Lint lets you automate HTML checking.

Package: libhtml-mason-perl
Description-md5: 4cc6abef00c165dcaaea6224422fac50
Description-en: HTML::Mason Perl module
 Mason allows web pages and sites to be constructed from shared,
 reusable building blocks called components. Components contain a mix
 of Perl and HTML, and can call each other and pass values back and
 forth like subroutines. Components increase modularity and eliminate
 repetitive work: common design elements (headers, footers, menus,
 logos) can be extracted into their own components where they need be
 changed only once to affect the whole site.
 .
 Other Mason features include a graphical site previewing utility, an
 HTML/data caching model, and the ability to walk through requests with
 the Perl debugger.

Package: libhtml-mason-perl-doc
Description-md5: 2d0527af3443dc59639eac51d92ccf2b
Description-en: HTML::Mason examples
 Mason allows web pages and sites to be constructed from shared,
 reusable building blocks called components. Components contain a mix
 of Perl and HTML, and can call each other and pass values back and
 forth like subroutines. Components increase modularity and eliminate
 repetitive work: common design elements (headers, footers, menus,
 logos) can be extracted into their own components where they need be
 changed only once to affect the whole site.
 .
 This package contains the HTML::Mason examples.

Package: libhtml-mason-psgihandler-perl
Description-md5: 3d044f7c7b69e964655b5b66964d397d
Description-en: PSGI handler for HTML::Mason
 HTML::Mason::PSGIHandler is a PSGI handler for HTML::Mason. It's based on
 HTML::Mason::CGIHandler and allows you to process Mason templates on any web
 servers that support PSGI.

Package: libhtml-microformats-perl
Description-md5: af2be90588660236ce8726681a0bddca
Description-en: parse microformats in HTML
 The HTML::Microformats module is a wrapper for parser and handler
 modules of various individual microformats (each of those modules has a
 name like HTML::Microformats::Format::Foo).
 .
 The general pattern of usage is to create an HTML::Microformats object
 (which corresponds to an HTML document) using the "new_document"
 method; then ask for the data, as a Perl hashref, a JSON string, or an
 RDF::Trine model.

Package: libhtml-packer-perl
Description-md5: 0b8a07c363ba1487ce19a9adba32e900
Description-en: perl module for cleaning the HTML code
 HTML::Packer is a Perl library for HTML cleanup that does comment and
 newline removal in order to reduce HTML code size to its minimum.
 To use compression for JavaScript parts install libjavascript-packer-perl.
 To use compression for CSS parts install libcss-packer-perl.

Package: libhtml-popuptreeselect-perl
Description-md5: eb354453f95c2f6a902c022e69455cd3
Description-en: Perl module for HTML popup tree widget
 This module creates an HTML popup tree selector.  The HTML and
 Javascript produced will work in Mozilla 1+ (Netscape 6+) on all
 operating systems, Microsoft IE 5+ and Safari 1.0. The design of
 these widgets are based on the xTree widget from WebFX.

Package: libhtml-prettyprinter-perl
Description-md5: d612ea59b24c4d35874b87ba8aa695d5
Description-en: module that generates nice HTML files from HTML syntax trees
 HTML::PrettyPrinter produces nicely formatted HTML code from a HTML syntax
 tree. It is especially useful if the produced HTML file shall be read or
 edited manually afterwards. Various parameters let you adapt the output to
 different styles and requirements.
 .
 If you don't care how the HTML source looks like as long as it is valid and
 readable by browsers, you should use the as_HTML() method of HTML::Element
 instead of the pretty printer. It is about five times faster.
 .
 The pretty printer will handle line wrapping, indention and structuring by
 the way the whitespace in the tree is represented in the output. Furthermore
 upper/lowercase markup and markup minimization, quoting of attribute values,
 the encoding of entities and the presence of optional end tags are
 configurable.

Package: libhtml-prototype-perl
Description-md5: 19ad04442a1c50a0bd212bec19584864
Description-en: module to generate HTML and Javascript for the Prototype library
 HTML::Prototype contains some code generators for Prototype, the famous
 JavaScript OO library and the script.aculous extensions.
 .
 The Prototype library (http://prototype.conio.net/) is designed to make
 AJAX easy. Catalyst::Plugin::Prototype makes it easy to connect to the
 Prototype library.
 .
 This is mostly a port of the Ruby on Rails helper tags for JavaScript
 for use in Catalyst.

Package: libhtml-quoted-perl
Description-md5: a3c667b8fc6bad5872a0300b3c7c4b2e
Description-en: extract structure of quoted HTML mail message
 Like Text::Quoted, this module extracts the structure of quoted
 text in mail messages, but for HTML email.

Package: libhtml-restrict-perl
Description-md5: 2cf787534607d787ef3bc762d6f1c2bb
Description-en: module to strip unwanted HTML tags and attributes
 HTML::Restrict uses HTML::Parser to strip HTML from text in a restrictive
 manner. By default all HTML is restricted. You may alter the default
 behaviour by supplying your own tag rules.

Package: libhtml-rewriteattributes-perl
Description-md5: b1e9ebc26e2b4c8b8718c8f3b8c49526
Description-en: Perl extension for HTML attribute rewriting
 HTML::RewriteAttributes is designed for simple yet powerful HTML attribute
 rewriting. It runs a given callback function, potentially many times,
 for each attribute encountered.

Package: libhtml-scrubber-perl
Description-md5: 0b0d27657f90740a175c0ef6084b41b5
Description-en: Perl extension for scrubbing/sanitizing html
 If you want to "scrub" or "sanitize" html input in a reliable and
 flexible fashion, then HTML::Scrubber is for you.
 .
 The author was not satisfied with HTML::Sanitizer because it is based
 on HTML::TreeBuilder, so he wrote something similar that works
 directly with HTML::Parser.

Package: libhtml-selector-xpath-perl
Description-md5: 9c66902b011b0d43d24e80717a1bc1ad
Description-en: module for compiling CSS Selectors to XPath
 HTML::Selector::XPath is a Perl module that provides simple utility functions
 for compiling CSS Selectors to the equivalent XPath expression. It provides
 full support for CSS2 and partial support for CSS3 selectors.

Package: libhtml-simpleparse-perl
Description-md5: c7e202eae0a46a12ce164f010b36498b
Description-en: bare-bones HTML parser
 HTML::SimpleParse is a bare-bones HTML parser, similar to HTML::Parser,
 but with a couple important distinctions:
 .
 First, HTML::Parser knows which tags can contain other tags, which start
 tags have corresponding end tags, which tags can exist only in the <HEAD>
 portion of the document, and so forth.  HTML::SimpleParse does not know any
 of these things.  It just finds tags and text in the HTML you give it, it
 does not care about the specific content of these tags (though it does
 distinguish between different _types_ of tags, such as comments, starting
 tags like <b>, ending tags like </b>, and so on).
 .
 Second, HTML::SimpleParse does not create a hierarchical tree of HTML
 content, but rather a simple linear list.  It does not pay any attention to
 balancing start tags with corresponding end tags, or which pairs of tags are
 inside other pairs of tags.

Package: libhtml-stream-perl
Description-md5: cd0ae8db61f7e67b6f2641bb05a2e663
Description-en: HTML output stream class, and some markup utilities
 The HTML::Stream module provides you with an object-oriented
 (and subclassable) way of outputting HTML.  Basically, you open up
 an "HTML stream" on an existing filehandle, and then do all of your
 output to the HTML stream.  You can intermix HTML-stream-output and
 ordinary-print-output, if you like.

Package: libhtml-strip-perl
Description-md5: 0e96972cd4515bdfedae42736da8b002
Description-en: module to strip HTML markup from text
 HTML::Strip simply strips HTML-like markup from text in a very quick and
 brutal manner. It could quite easily be used to strip XML or SGML from text
 as well.
 .
 It is written in XS, and thus about five times quicker than using regular
 expressions for the same task.
 .
 It does not do any syntax checking (if you want that, use HTML::Parser),

Package: libhtml-stripscripts-parser-perl
Description-md5: 75745ff02fd4fafefc9c4c9b5498d9af
Description-en: module to filter scripts out of HTML
 HTML::StripScripts::Parser is a Perl module that provides an easy interface
 to HTML::StripScripts, using HTML::Parser to parse the HTML. See HTML::Parser
 (see libhtml-parser-perl) for details of how to customise how the raw HTML is
 parsed into tags, and HTML::StripScripts (see libhtml-stripscripts-perl) for
 details of how to customise the way those tags are filtered.

Package: libhtml-stripscripts-perl
Description-md5: 5630c87178afcfe3f49d16005cba6177
Description-en: module for removing scripts from HTML
 HTML::StripScripts is a Perl module for neutralizes scripting constructs in
 HTML, leaving as much non-scripting markup in place as possible. This allows
 web applications to display HTML originating from an untrusted source without
 introducing cross-site scripting (XSS) vulnerabilities.
 .
 The process is based on whitelists of tags, attributes and attribute values.
 This approach is the most secure against disguised scripting constructs hidden
 in malicious HTML documents.
 .
 As well as removing scripting constructs, this module ensures that there is a
 matching end for each start tag, and that the tags are properly nested.
 .
 You will probably use HTML::StripScripts::Parser rather than using this module
 directly (see libhtml-stripscripts-parser-perl).

Package: libhtml-table-perl
Description-md5: b06b2f27567c06ff5b244596c9730373
Description-en: Perl module for creating HTML tables
 HTML::Table is used to generate HTML tables for
 CGI scripts.  By using the methods provided fairly
 complex tables can be created, manipulated, then printed
 from Perl scripts.  The module also greatly simplifies
 creating tables within tables from Perl.  It is possible
 to create an entire table using the methods provided and
 never use an HTML tag.
 .
 HTML::Table also allows for creating dynamically sized
 tables via its addRow and addCol methods.  These methods
 automatically resize the table if passed more cell values
 than will fit in the current table grid.
 .
 Methods are provided for nearly all valid table, row, and
 cell tags specified for HTML 3.0.

Package: libhtml-tableextract-perl
Description-md5: bbae31a5369280c94eb26d180ee210cf
Description-en: module for extracting the content contained in HTML tables
 HTML::TableExtract is a module that simplifies the extraction of information
 contained in tables within HTML documents, either as text or encoded element
 trees.
 .
 For extracting a tree structure of element objects, the additional package
 libhtml-element-extended-perl might be needed.

Package: libhtml-tableparser-perl
Description-md5: 112bd239c8c93b43a9224f0309f9d271
Description-en: Perl module to extract data from an HTML table
 HTML::TableParser uses HTML::Parser to extract data from an HTML
 table.  The data is returned via a series of user defined callback
 functions or methods.  Specific tables may be selected either by a
 matching a unique table id or by matching against the column names.
 Multiple (even nested) tables may be parsed in a document in one pass.

Package: libhtml-tagcloud-perl
Description-md5: 0a936f4fd380960628f036d4e024dc3d
Description-en: module for generating HTML indexes of popular tags
 HTML::TagCloud enables the generation of "tag clouds" on HTML pages.
 Tag clouds serve as a textual way to visualize terms and topics that
 are used most frequently. The tags are sorted alphabetically and a larger
 font is used to indicate more frequent term usage.
 .
 Example sites with tag clouds: <http://www.43things.com/>,
 <http://www.astray.com/recipes/> and <http://www.flickr.com/photos/tags/>.

Package: libhtml-tagfilter-perl
Description-md5: 09a640ff9f335d89c160f320e7aaf9d9
Description-en: fine-grained HTML-filter, XSS-blocker and mailto-obfuscator
 HTML::TagFilter is a subclass of HTML::Parser with a single purpose: it
 will remove unwanted HTML tags and attributes from a piece of text. It can
 act in a more or less fine-grained way: you can specify permitted tags,
 permitted attributes of each tag, and permitted values for each attribute
 in as much detail as you like.
 .
 Tags which are not allowed are removed. Tags which are allowed are trimmed
 down to only the attributes which are allowed for each tag. It is possible
 to allow all or no attributes from a tag, or to allow all or no values for
 an attribute, and so on.
 .
 The filter will also guard against cross-site scripting attacks and
 obfuscate any mailto:email addresses, unless you tell it not to.

Package: libhtml-tagtree-perl
Description-md5: d4c609bbe87222b263dc03d276ad4f7c
Description-en: module that generates HTML via a tree of tag objects
 HTLM::TagTrees allows easy building of a tree objects where
 each object represents: 1) a tag 2) its value and 3) any
 tag attributes. Valid HTML is build of the tree via a method call.

Package: libhtml-template-compiled-perl
Description-md5: 182490856f978bc5c101565adcd43bef
Description-en: Perl template system compiling HTML::Template files to Perl code
 HTML::Template::Compiled is a template system which can be used for
 HTML::Template templates with the same API. It offers more flexible template
 delimiters, additional tags and features, and by compiling the template into
 perl code it can run significantly faster in persistent environments such as
 FastCGI or mod_perl.

Package: libhtml-template-dumper-perl
Description-md5: 5517264c59ce04b203f7650b8702ac3f
Description-en: module to output template data in a test-friendly format
 HTML::Template::Dumper helps you to test HTML::Template-based programs by
 printing only the information used to fill-in the template data. This makes
 it much easier to automatically parse the output of your program. Currently,
 data can be outputed by Data::Dumper (default) or YAML.
 .
 Note that the underlying HTML::Template methods are still called, so options
 like strict and die_on_bad_params will still throw errors.

Package: libhtml-template-expr-perl
Description-md5: 9f0c0ddb6529ba692660e20bab5a02be
Description-en: HTML::Template extension adding expression support
 HTML::Template::Expr provides an extension to HTML::Template which allows
 expressions in the template syntax. This is purely an addition - all the
 normal HTML::Template options, syntax and behaviors will still work.

Package: libhtml-template-pluggable-perl
Description-md5: 064aade848a6a283e59858327e10408e
Description-en: extension of HTML::Template with plugin support
 By overriding the 'param' and 'output' methods from HTML::Template,
 HTML::Template::Pluggable provides support for HTML::Template plugins.
 .
 HTML::Template::Plugin::Dot adds support for the magic dot notation to
 HTML::Template. Thus objects can be supplied to the template via the 'param'
 method and object methods can be accessed in the template.
 .
 HTML::Template::Plugin::Dot::Helpers adds a number of convenience methods
 to handle strings and numbers.

Package: libhtml-template-pro-perl
Description-md5: b18aa5002afde82b0e3b2df4d2dea367
Description-en: Perl module to use HTML Templates from CGI scripts
 HTML::Template::Pro attempts to make using HTML templates simple and natural.
 It extends standard HTML with a few new HTML-esque tags that provide control
 structures, such as allowing for simple variable manipulation, looping, file
 inclusion and conditionals (if, else, unless). HTML::Template::Pro is intended
 to be a drop-in replacement for HTML::Template (and some of its extensions)
 but written in C below the Perl Interface and so faster.
 .
 The file written with HTML and these new tags is called a template, which is
 usually saved separately from your script. Using this module, you fill in the
 values for the variables declared in the template, allowing you to separate
 the web site design from the data you generate inside your Perl script.

Package: libhtml-tidy-perl
Description-md5: ff2e3ec437c9ea199083e5393db83d8c
Description-en: module for (X)HTML validation
 HTML::Tidy is a Perl module useful for performing cleanup and providing basic
 validation of input. It is based on Perl bindings to the HTML Tidy Project,
 also known as libtidy; for details, see: <URL:http://tidy.sourceforge.net/>.
 .
 This module is intended to replace HTML::Lint and includes documentation for
 easing the migration from that module.

Package: libhtml-tidy5-perl
Description-md5: f8d828707a55488a64695b766e4bc552
Description-en: HTML validation in a Perl object
 HTML::Tidy5 is an HTML checker object using libtidy. It's meant as a
 replacement for HTML::Lint or HTML::Tidy.

Package: libhtml-tiny-perl
Description-md5: e6e2a6f84690f172fe7adf4dd8b3f001
Description-en: HTML::Tiny - Lightweight, dependency free HTML/XML generation
 HTML::Tiny is a simple, dependency free module for
 generating HTML (and XML). It concentrates on generating
 syntactically correct XHTML using a simple Perl notation.
 In addition to the HTML generation functions utility functions are
 provided to
  - encode and decode URL encoded strings
  - entity encode HTML
  - build query strings
  - JSON encode data structures

Package: libhtml-toc-perl
Description-md5: 1013553ff6d0268953b6a687ffd103bc
Description-en: module for creating and updating an HTML Table of Contents
 HTML::Toc is a Perl module that provides a mechanism for creating an HTML
 Table of Contents (ToC) and for keeping it up-to-date. Because it models the
 information in memory, updating the table becomes very simple. The framework
 also includes helper modules to write the table as HTML and keep it updated.

Package: libhtml-tokeparser-simple-perl
Description-md5: c0ba6293bda8fbe36f6a936b89b72c41
Description-en: Perl module used to tokenize HTML documents
 HTML::TokeParser::Simple is a subclass of HTML::TokeParser that uses
 easy-to-remember method calls to work with tokens.  For instance,
 rather than writing code like this:
 .
    $token->[0] eq 'S' and $token->[1] eq 'form' .
 .
 you can instead write something simpler like this:
 .
    $token->is_start_tag( 'form' )

Package: libhtml-treebuilder-libxml-perl
Description-md5: 4d2dcd028b2a05ed2178586a52e12d39
Description-en: HTML::TreeBuilder and XPath compatible interface with libxml
 HTML::TreeBuilder::XPath is libxml based compatible interface
 to HTML::TreeBuilder,
 which could be slow for a large document.
 .
 HTML::TreeBuilder::LibXML is drop-in-replacement
 for HTML::TreeBuilder::XPath.
 .
 This module doesn't implement all of HTML::TreeBuilder
 and HTML::Element APIs,
 but enough methods are defined so modules like Web::Scraper work.

Package: libhtml-treebuilder-xpath-perl
Description-md5: 2c9c7be65e785e464bf941e816c02400
Description-en: Perl module to add XPath support to HTML::TreeBuilder
 HTML::TreeBuilder::XPath is a drop-in replacement for HTML::TreeBuilder (see
 libhtml-treebuilder-perl) that adds typical XPath methods, making it easy to
 query a document and extract information about nodes and values.
 .
 It is also capable of generating two representations of the XML output: a
 compact version, intended for machine readable output and minimal space
 requirements (in terms of disk space and network bandwidth); and a human
 readable, indented version.

Package: libhtml-truncate-perl
Description-md5: cf5f5cc89fe6b669c488751b17201b69
Description-en: Perl module to truncate HTML by percentage or character count
 When working with text it is common to want to truncate strings to make them
 fit a desired context. E.g., you might have a menu that is only 100px wide and
 prefer text doesn't wrap so you'd truncate it around 15-30 characters,
 depending on preference and typeface size. This is trivial with plain text
 using substr but with HTML it is somewhat difficult because whitespace has
 fluid significance and open tags that are not properly closed destroy
 well-formedness and can wreck an entire layout.
 .
 HTML::Truncate attempts to account for those two problems by padding truncation
 for spacing and entities and closing any tags that remain open at the point of
 truncation.

Package: libhtml-widget-perl
Description-md5: 882237f918b7da973942c3dc68aaf552
Description-en: HTML widget and validation framework
 HTML::Widget makes creating and maintaining HTML widgets easy.
 .
 Everything is optional, use validation only or just generate forms, you can
 embed and merge them later.
 .
 The API was designed similar to other popular modules like
 Data::FormValidator and FormValidator::Simple, HTML::FillInForm is also
 built in (and much faster).

Package: libhtml-widgets-navmenu-perl
Description-md5: ab90703984b1061b85417788fc7fcf0f
Description-en: module for generating HTML navigation menus
 HTML::Widgets::NavMenu generates a navigation menu for a site. It can also
 generate a complete site map, a path of leading components, and also keeps
 track of navigation links ("Next", "Prev", "Up", etc.)

Package: libhtml-widgets-selectlayers-perl
Description-md5: ed77aa74c7dfbea9b5fa4347a936481d
Description-en: Perl extension for selectable HTML layers
 HTML::Widgets::SelectLayers implements an HTML widget with multiple layers.
 Only one layer is visible at any given time, controlled by a <SELECT> box.
 For an example see http://www.420.am/selectlayers/
 .
 This HTML generated by this module uses JavaScript, but nevertheless attempts
 to be as cross-browser as possible. The 0.05 release drops Navigator 4
 compatibility and has been tested under Mozilla Firefox 1.0.6, MSIE 6.0,
 Konqueror 3.3.2, and Opera 8.0.2.

Package: libhtml-wikiconverter-dokuwiki-perl
Description-md5: 47ee6c82d85bfe0e6b52baf5aa667de3
Description-en: HTML to wiki markup converter - DokuWiki dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 This package provides the DokuWiki dialect.

Package: libhtml-wikiconverter-kwiki-perl
Description-md5: f3f8ebd68e1e7ac8559d6a599fb9bcc9
Description-en: HTML to wiki markup converter - Kwiki dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 HTML::WikiConverter::Kwiki provides the Kwiki dialect.

Package: libhtml-wikiconverter-markdown-perl
Description-md5: e5bc07841692ca61a8763abfc861c82f
Description-en: HTML to wiki markup converter - Markdown dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 This package provides the Markdown dialect.

Package: libhtml-wikiconverter-mediawiki-perl
Description-md5: a966102cf2406e422ee802dbc3cf9f60
Description-en: Perl module to add MediaWiki markup support to HTML::WikiConverter
 HTML::WikiConverter::MediaWiki contains rules for converting HTML into
 MediaWiki markup, used by HTML::WikiConverter and 'html2wiki' command.
 See the libhtml-wikiconverter-perl package for more information.

Package: libhtml-wikiconverter-moinmoin-perl
Description-md5: 992191bdfdc2246079cd3a6281b008af
Description-en: HTML to wiki markup converter - MoinMoin dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 This package provides the MoinMoin dialect.

Package: libhtml-wikiconverter-oddmuse-perl
Description-md5: 6acdbc462a7492f0984b78c69814ccbc
Description-en: HTML to wiki markup converter - Oddmuse dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
  HTML::WikiConverter::Oddmuse provides the Oddmuse dialect.

Package: libhtml-wikiconverter-perl
Description-md5: a8c80c90b774ca52b057caf033930e42
Description-en: HTML to wiki markup converter
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 This package contains the core modules. You will need at least one of the
 dialect packages for this package to be of any real use.

Package: libhtml-wikiconverter-phpwiki-perl
Description-md5: f39bba9c6bbe3b786b703381b37d5208
Description-en: HTML to wiki markup converter - PhpWiki dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 HTML::WikiConverter::PhpWiki provides the PhpWiki dialect.

Package: libhtml-wikiconverter-pmwiki-perl
Description-md5: 7fc0eeb9346e806709cbbc3f415e53d2
Description-en: HTML to wiki markup converter - PmWiki dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 HTML::WikiConverter::PmWiki provides the PmWiki dialect.

Package: libhtml-wikiconverter-snipsnap-perl
Description-md5: 8fdb62684eadf01490fc8fd3e3d0c4df
Description-en: HTML to wiki markup converter - SnipSnap dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 HTML::WikiConverter::SnipSnap provides the SnipSnap dialect.

Package: libhtml-wikiconverter-tikiwiki-perl
Description-md5: 176d8951884b0e292c5e96ba6242f53d
Description-en: HTML to wiki markup converter - TikiWiki dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 HTML::WikiConverter::TikiWiki provides the TikiWiki dialect.

Package: libhtml-wikiconverter-usemod-perl
Description-md5: 6629950278517a58fc2bb30b32f22ce6
Description-en: HTML to wiki markup converter - UseMod dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 HTML::WikiConverter::UseMod provides the UseMod dialect.

Package: libhtml-wikiconverter-wakkawiki-perl
Description-md5: cbc9a2f966dcf402721909acb8b39e4d
Description-en: HTML to wiki markup converter - WakkaWiki dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 HTML::WikiConverter::WakkaWiki provides the WakkaWiki dialect.

Package: libhtml-wikiconverter-wikkawiki-perl
Description-md5: 38ee93341b6543e01a1e4a14eaf73c50
Description-en: HTML to wiki markup converter - WikkaWiki dialect
 HTML::WikiConverter is an HTML to wiki converter. It can convert HTML
 source into a variety of wiki markups, called wiki "dialects".
 .
 HTML::WikiConverter::WikkaWiki provides the WikkaWiki dialect.

Package: libhtml5parser-java
Description-md5: 5dc66e76c507c198d5024d47673abbd0
Description-en: validator.nu HTML parser implementation in Java
 The Validator.nu HTML Parser is an implementation of the HTML5 parsing
 algorithm in Java for applications. The parser is designed to work as a
 drop-in replacement for the XML parser in applications that already support
 XHTML 1.x content with an XML parser and use SAX, DOM or XOM to interface with
 the parser.

Package: libhtml5parser-java-doc
Description-md5: 1a6faca4365368911989706002e46cdb
Description-en: Documentation for libhtml5parser-java
 The Validator.nu HTML Parser is an implementation of the HTML5 parsing
 algorithm in Java for applications. The parser is designed to work as a
 drop-in replacement for the XML parser in applications that already support
 XHTML 1.x content with an XML parser and use SAX, DOM or XOM to interface with
 the parser.
 .
 This package contains the API documentation of libhtml5parser-java.

Package: libhtmlcleaner-java
Description-md5: 784696a687c29e3f73d4318639971044
Description-en: Java HTML Parser library
 HtmlCleaner can be used in java code, as command line tool or as Ant task.
 It is designed to be small, independent (no runtime dependencies except
 JRE 1.5+), fast and flexible (its behavior is configurable through number of
 parameters). Although the main motive was to prepare ordinary HTML for XML
 processing with XPath, XQuery and XSLT, structured data produced by
 HtmlCleaner may be consumed and handled in many other ways.
 .
 This package contains de library itself.

Package: libhtmlcleaner-java-doc
Description-md5: 76799b74ab23f712a937526f33b6fbf2
Description-en: Java HTML Parser library (documentation)
 HtmlCleaner can be used in java code, as command line tool or as Ant task.
 It is designed to be small, independent (no runtime dependencies except
 JRE 1.5+), fast and flexible (its behavior is configurable through number of
 parameters). Although the main motive was to prepare ordinary HTML for XML
 processing with XPath, XQuery and XSLT, structured data produced by
 HtmlCleaner may be consumed and handled in many other ways.
 .
 This package contains the documentation for the library.

Package: libhtmlcxx-dev
Description-md5: 8e84fc6644f97628f4a0f36a704b5a1d
Description-en: simple HTML/CSS1 parser library for C++ (development)
 htmlcxx is a simple non-validating CSS1 and HTML parser for C++. Although
 there are several other html parsers available, htmlcxx has some
 characteristics that make it unique:
 .
  * STL like navigation of DOM tree, using excellent tree.hh library from
    Kasper Peeters
  * It is possible to reproduce exactly, character by character, the original
    document from the parse tree
  * Bundled CSS parser
  * Optional parsing of attributes
  * C++ code that looks like C++ (not so true anymore)
  * Offsets of tags/elements in the original document are stored in the nodes
    of the DOM tree
 .
 The parsing politics of htmlcxx were created trying to mimic Mozilla Firefox
 (http://www.mozilla.org) behavior. So you should expect parse trees similar to
 those create by Firefox. However, differently from Firefox, htmlcxx does not
 insert non-existent stuff in your html. Therefore, serializing the DOM tree
 gives exactly the same bytes contained in the original HTML document.
 .
 This package contains files required for developing software that makes use of
 htmlcxx.

Package: libhtmlcxx3v5
Description-md5: e0050d08030d97dacace237e03292de6
Description-en: simple HTML parser library for C++
 htmlcxx is a simple non-validating CSS1 and HTML parser for C++. Although
 there are several other html parsers available, htmlcxx has some
 characteristics that make it unique:
 .
  * STL like navigation of DOM tree, using excellent tree.hh library from
    Kasper Peeters
  * It is possible to reproduce exactly, character by character, the original
    document from the parse tree
  * Bundled CSS parser
  * Optional parsing of attributes
  * C++ code that looks like C++ (not so true anymore)
  * Offsets of tags/elements in the original document are stored in the nodes
    of the DOM tree
 .
 The parsing politics of htmlcxx were created trying to mimic Mozilla Firefox
 (http://www.mozilla.org) behavior. So you should expect parse trees similar to
 those create by Firefox. However, differently from Firefox, htmlcxx does not
 insert non-existent stuff in your html. Therefore, serializing the DOM tree
 gives exactly the same bytes contained in the original HTML document.

Package: libhtmlparser-java
Description-md5: 3f0e4645b2e05951abee3261ece8b194
Description-en: java library to parse html
 HTML Parser is a Java library used to parse HTML in either a linear
 or nested fashion. Primarily used for transformation or extraction,
 it features filters, visitors, custom tags and easy to use
 JavaBeans.
 .
 The two fundamental use-cases that are handled by the parser are
 extraction and transformation (the syntheses use-case, where HTML
 pages are created from scratch, is better handled by other tools
 closer to the source of data).
 .
 In general, to use the HTMLParser you will need to be able to write
 code in the Java programming language. Although some example programs
 are provided that may be useful as they stand, it's more than likely
 you will need (or want) to create your own programs or modify the
 ones provided to match your intended application.

Package: libhtmlparser-java-doc
Description-md5: 289b8788e34f9e4801ab6ef44e222ad8
Description-en: java library to parse html - doc
 HTML Parser is a Java library used to parse HTML in either a linear
 or nested fashion. Primarily used for transformation or extraction,
 it features filters, visitors, custom tags and easy to use
 JavaBeans.
 .
 This package contains the documentation for the library.

Package: libhtp-dev
Description-md5: a441ee5702ccec3f2d27e5a864ae7745
Description-en: HTTP normalizer and parser library (devel)
 The HTP Library is an HTTP normalizer and parser.  This integrates and
 provides very advanced processing of HTTP streams for Suricata. The HTP
 library is required by the engine, but may also be used independently in a
 range of applications and tools.
 .
 This package provides the development files for libhtp.

Package: libhtp2
Description-md5: 81e13c00da67ee5fd708fb19040a04d9
Description-en: HTTP normalizer and parser library
 The HTP Library is an HTTP normalizer and parser.  This integrates and
 provides very advanced processing of HTTP streams for Suricata. The HTP
 library is required by the engine, but may also be used independently in a
 range of applications and tools.
 .
 This package provides the runtime files for libhtp.

Package: libhtrace-core-java
Description-md5: 84eb6c4be0e630d7733cc0f310f8bd53
Description-en: Tracing framework for distributed systems written in Java
 HTrace is a tracing framework recording spans (basic units of work, like
 a remote procedure call) and traces (set of spans forming a tree-like
 structure) to measure execution times in distributed systems.

Package: libhts-dev
Description-md5: 30d1a006dd398011c2db12913279a80b
Description-en: development files for the HTSlib
 HTSlib is an implementation of a unified C library for accessing common file
 formats, such as SAM (Sequence Alignment/Map), CRAM and VCF (Variant Call
 Format), used for high-throughput sequencing data, and is the core library
 used by samtools and bcftools. HTSlib only depends on zlib. It is known to be
 compatible with gcc, g++ and clang.
 .
 HTSlib implements a generalized BAM (binary SAM) index, with file extension
 ‘csi’ (coordinate-sorted index). The HTSlib file reader first looks for the
 new index and then for the old if the new index is absent.
 .
 This package contains development files for the HTSlib: headers, static
 library, manual pages, etc.

Package: libhts3
Description-md5: 6bcc3eaaf96941c9a7a6476850863056
Description-en: C library for high-throughput sequencing data formats
 HTSlib is an implementation of a unified C library for accessing common file
 formats, such as SAM (Sequence Alignment/Map), CRAM and VCF (Variant Call
 Format), used for high-throughput sequencing data, and is the core library
 used by samtools and bcftools. HTSlib only depends on zlib. It is known to be
 compatible with gcc, g++ and clang.
 .
 HTSlib implements a generalized BAM (binary SAM) index, with file extension
 ‘csi’ (coordinate-sorted index). The HTSlib file reader first looks for the
 new index and then for the old if the new index is absent.

Package: libhtscodecs-dev
Description-md5: 50b1e2cdbddcc92e7c547f84466dbc17
Description-en: Development headers for custom compression for CRAM and others
 This library implements the custom CRAM codecs used for "EXTERNAL" block
 types. These consist of two variants of the rANS codec (8-bit and 16-bit
 renormalisation, with run-length encoding and bit-packing also supported
 in the latter), a dynamic arithmetic coder, and custom codecs for name/ID
 compression and quality score compression derived from fqzcomp.
 .
 They come with small command line test tools to act as both compression
 exploration programs and as part of the test harness.
 .
 This package contains the development headers

Package: libhtscodecs2
Description-md5: d0b2006f32571b1844ba4d0fa0feef2a
Description-en: Custom compression for CRAM and others
 This library implements the custom CRAM codecs used for "EXTERNAL" block
 types. These consist of two variants of the rANS codec (8-bit and 16-bit
 renormalisation, with run-length encoding and bit-packing also supported
 in the latter), a dynamic arithmetic coder, and custom codecs for name/ID
 compression and quality score compression derived from fqzcomp.
 .
 They come with small command line test tools to act as both compression
 exploration programs and as part of the test harness.

Package: libhtsengine-dev
Description-md5: a81d6491c10a1136e2a035b18e344eb6
Description-en: Development files for HMM-based speech synthesis engine API
 This engine is software to synthesize speech waveform from Hidden Markov
 Models (HMM) trained by the HMM-based speech synthesis system (a.k.a. HTS).
 .
 This package contains the development libraries and header files needed by
 programs that want to compile with HTS engine.

Package: libhtsengine1
Description-md5: 805305d8397d75be024ecd4e1ceb05e7
Description-en: HMM-based speech synthesis engine API
 This engine is software to synthesize speech waveform from Hidden Markov
 Models (HMM) trained by the HMM-based speech synthesis system (a.k.a. HTS).
 .
 This package contains shared libraries of HTS engine.

Package: libhtsjdk-java
Description-md5: af3d0552d0d6d0c31899271354b48622
Description-en: Java API for high-throughput sequencing data (HTS) formats
 HTSJDK is an implementation of a unified Java library for accessing common
 file formats, such as SAM (Sequence Alignment/Map) and VCF, used for
 high-throughput sequencing data. There are also an number of useful utilities
 for manipulating HTS data.

Package: libhtsjdk-java-doc
Description-md5: 5e0932d2eb6a6dc4be29061ea1f6b199
Description-en: Documentation for the java HTSJDK library
 HTSJDK is an implementation of a unified Java library for accessing common
 file formats, such as SAM (Sequence Alignment/Map) and VCF, used for
 high-throughput sequencing data. There are also an number of useful utilities
 for manipulating HTS data.
 .
 This package contains the javadoc of the library.

Package: libhttp-async-perl
Description-md5: 971c51841bf591f0816f16ffbe216a61
Description-en: module for parallel non-blocking processing of multiple HTTP requests
 Although using the conventional LWP::UserAgent is fast and easy it does have
 some drawbacks - the code execution blocks until the request has been
 completed and it is only possible to process one request at a time.
 HTTP::Async attempts to address these limitations.
 .
 It gives you a 'Async' object that you can add requests to, and then get the
 requests off as they finish. The actual sending and receiving of the requests
 is abstracted. As soon as you add a request it is transmitted, if there are
 too many requests in progress at the moment they are queued. There is no
 concept of starting or stopping - it runs continuously.
 .
 Whilst it is waiting to receive data it returns control to the code that
 called it meaning that you can carry out processing whilst fetching data from
 the network. All without forking or threading - it is actually done using
 select lists.

Package: libhttp-body-perl
Description-md5: f22d3925bc6149441e066b0ea9ef4f03
Description-en: module for processing data from HTTP POST requests
 HTTP::Body is a Perl module for processing data from HTTP POST requests. It
 supports application/x-www-form-urlencoded, application/octet-stream, and
 multipart/form-data.

Package: libhttp-browserdetect-perl
Description-md5: 9a8a958dbffdb5e8deb58def9a749d94
Description-en: module to extract system data from an HTTP User Agent string
 HTTP::BrowserDetect is a Perl module that attempts to identify the browser,
 software version and platform associated with a given HTTP User Agent string.
 This module is based upon the JavaScript browser detection code available at
 <URL:http://www.mozilla.org/docs/web-developer/sniffer/browser_type.html>.

Package: libhttp-cache-transparent-perl
Description-md5: 1df869e2d08e17b09ed4e11dc095afac
Description-en: Perl module used to transparently cache HTTP requests
 HTTP::Cache::Transparent is an implementation of HTTP GET that keeps a
 local cache of fetched pages to avoid fetching the same data from the
 server if it hasn't been updated. The cache is stored on disk and is
 thus persistent between invocations.
 .
 The HTTP headers If-Modified-Since and ETag are used to let the server
 decide if the version in the cache is up-to-date or not.  All
 HTTP requests are made through the LWP module. Data is stored on disk
 by the Storable module. Digest::MD5 is used for creating a hash of the
 URL.

Package: libhttp-cookiejar-perl
Description-md5: d87e96b1ae911a6199af29a432fe95d0
Description-en: minimalist HTTP user agent cookie jar
 HTTP::CookieJar implements a minimalist HTTP user agent cookie jar in
 conformance with RFC 6265|http://tools.ietf.org/html/rfc6265.
 .
 Unlike the commonly used HTTP::Cookies module, this module does not require
 use of HTTP::Request and HTTP::Response objects. An LWP-compatible adapter is
 available as HTTP::CookieJar::LWP.

Package: libhttp-cookiemonster-perl
Description-md5: 19c66988cf792c6a2378710f5b2fae80
Description-en: module for easy read/write access to HTTP::Cookies jar
 HTTP::CookieMonster was created because messing around with HTTP::Cookies is
 non-trivial. HTTP::Cookies is a very useful module, but using it is not always
 as easy and clean as it could be. HTTP::CookieMonster gives you a simple
 interface for getting and setting cookies.
 .
 Warning: this is BETA code which is still subject to change.

Package: libhttp-daemon-ssl-perl
Description-md5: 6ab2a0c0127deb63f4dc4f1fcde27420
Description-en: Simple HTTP server class with SSL support
 HTTP::Daemon::SSL is a descendant of HTTP::Daemon that uses SSL sockets
 (via IO::Socket::SSL) instead of cleartext sockets.  It also handles
 SSL-specific problems, such as dealing with HTTP clients that attempt
 to connect to it without using SSL.

Package: libhttp-dav-perl
Description-md5: e55bc133d6475ca7f1285e734a6ec0aa
Description-en: WebDAV client library for Perl, and "dave" CLI client
 HTTP::DAV provides client-side access to a DAV server.  DAV is a
 protocol for remote web-site authoring and management.  Now you can
 LOCK, DELETE and PUT files and much more on a DAV-enabled webserver.
 .
 The PerlDAV library consists of:
 .
  * HTTP::DAV - an object-oriented Web-DAV client API.
  * dave - the DAV Explorer, an end-user Unix console program for
    interacting with WebDAV servers. dave looks and feels like a
    standard Unix ftp program.
 .
 Learn more about WebDAV at http://www.webdav.org/

Package: libhttp-entity-parser-perl
Description-md5: 9b261aa14167a1462b679dc344e90181
Description-en: PSGI compliant HTTP Entity Parser
 HTTP::Entity::Parser is a PSGI-compliant HTTP Entity parser. This module also
 is compatible with HTTP::Body. Unlike HTTP::Body, HTTP::Entity::Parser reads
 HTTP entities from PSGI's environment $env->{'psgi.input'} and parses it.
 This module supports application/x-www-form-urlencoded, multipart/form-data
 and application/json.

Package: libhttp-exception-perl
Description-md5: d4cb4de377a7df9041ed85b54c9bc34f
Description-en: module for throwing HTTP-Errors as (Exception::Class-) Exceptions
 HTTP::Exception lets you throw HTTP-Errors as Exceptions.
 Every HTTP::Exception is an Exception::Class class. So the same mechanisms
 apply as with Exception::Class classes.
 .
 Exception::Class' docs provide more general information on exceptions.

Package: libhttp-headers-actionpack-perl
Description-md5: f0c6a3017fe26cf43f1f6b1b7bb1a848
Description-en: HTTP Action, Adventure and Excitement
 HTTP::Headers::ActionPack is a module to handle the inflation and
 deflation of complex HTTP header types. In many cases header values are
 simple strings, but in some cases they are complex values with a lot of
 information encoded in them. The goal of this module is to make the
 parsing and analysis of these headers as easy as calling inflate on a
 compatible object.

Package: libhttp-headers-fast-perl
Description-md5: feaa4934fae43f80dcb0e3ed44852854
Description-en: faster implementation of HTTP::Headers
 HTTP::Headers::Fast is a perl class for parsing/writing HTTP headers.
 .
 It provides the same interface as HTTP::Headers but is a faster
 implementation.

Package: libhttp-link-parser-perl
Description-md5: ae1467afa7aa71e6a79e77e8e0fc896b
Description-en: parse HTTP Link headers
 HTTP::Link::Parser parses HTTP "Link" headers found in an
 HTTP::Response object. Headers should conform to the format described
 in RFC 5988.

Package: libhttp-lite-perl
Description-md5: 4a6778d0a5753752943ddc926cb1b572
Description-en: lightweight HTTP implementation for perl
 HTTP::Lite is a stand-alone lightweight HTTP/1.1 implementation
 for perl.  It is not intended as a replacement for the
 fully-features LWP module.  Instead, it is intended for use in
 situations where it is desirable to install the minimal number of
 modules to achieve HTTP support, or where LWP is not a good
 candidate due to CPU overhead, such as slower processors.
 HTTP::Lite is also significantly faster than LWP
 .
 HTTP::Lite is ideal for CGI (or mod_perl) programs or for bundling
 for redistribution with larger packages where only HTTP GET and
 POST functionality are necessary.
 .
 HTTP::Lite supports basic POST and GET operations only.  As of
 0.2.1, HTTP::Lite supports HTTP/1.1 and is compliant with the Host
 header, necessary for name based virtual hosting.  Additionally,
 HTTP::Lite now supports Proxies.
 .
 As of 2.0.0 HTTP::Lite now supports a callback to allow processing
 of request data as it arrives.  This is useful for handling very
 large files without consuming memory.

Package: libhttp-lrdd-perl
Description-md5: 869b91034b03a0cb33c5cc2963376c1d
Description-en: link-based resource descriptor discovery
 HTTP::LRDD is a Perl library to handle Link-based Resource Descriptor
 Discovery (LRRD - pronounced "lard").
 .
 Note: the LRDD specification has ceased to be, with some parts being
 merged into the host-meta Internet Draft. This CPAN module will go in
 its own direction, bundling up best-practice techniques for discovering
 links and descriptors for a given URI.

Package: libhttp-multipartparser-perl
Description-md5: 3878b1c90dbad23bb3844f058d84f83f
Description-en: HTTP multipart MIME parser
 The HTTP::MultiPartParser module provides a low-level API for processing
 multipart MIME data streams conforming to multipart types as defined in RFC
 2616 <http://tools.ietf.org/html/rfc2616#section-3.7.2>.

Package: libhttp-oai-perl
Description-md5: ce18644fdb4e4e48fc56065a8f731e0c
Description-en: API for the OAI-PMH
 HTTP::OAI is a Perl library implementing an API to use the Open Archives
 Initiative Protocol for Metadata Harvesting (OAI-PMH). See
 http://www.openarchives.org/OAI/openarchivesprotocol.html for
 more information.

Package: libhttp-ocaml-dev
Description-md5: 335d43f7d6f38b27406d80f1523eed6f
Description-en: OCaml library for writing HTTP servers
 OCaml HTTP is a library for the Objective Caml programming language,
 used to build simple HTTP servers, largely inspired to Perl's
 HTTP::Daemon module.
 .
 In order to implement an HTTP servers the programmer has to provide a
 daemon specification which contains, among other parameters, a callback
 function invoked by OCaml HTTP on well formed HTTP requests received.
 HTTP responses could be sent over an out_channel connected with client
 socket, accessible from the callback.
 .
 The library contains also facility functions that helps in creating
 well formed HTTP responses and a tiny HTTP client.

Package: libhttp-parser-dev
Description-md5: d2a0cdebaa9f4f47d2b54faf0b215f83
Description-en: parser for HTTP messages: development libraries and header files
 It parses both requests and responses. The parser is designed to be used in
 performance HTTP applications. It does not make any syscalls nor allocations,
 it does not buffer data, it can be interrupted at anytime. Depending on your
 architecture, it only requires about 40 bytes of data per message stream (in
 a web server that is per connection).
 .
 This package contains development libraries and header files.

Package: libhttp-parser-perl
Description-md5: 55202e5479750f1be7c177412c38a5b8
Description-en: module for parsing HTTP requests and responses
 HTTP::Parser is a Perl module for parsing HTTP requests and responses out of
 streams, returning an HTTP::Request or HTTP::Response object as appropriate.
 It also supports HTTP/1.1's chunked encoding.

Package: libhttp-parser-xs-perl
Description-md5: d7e519c3df5423dde73d5942b6c41502
Description-en: simple and fast HTTP request parser
 HTTP::Parser::XS is a fast, primitive HTTP request parser that can be used
 either for writing a synchronous HTTP server or a event-driven server. It is
 designed primarily for use with the Plack toolkit.

Package: libhttp-parser2.9
Description-md5: 71568011c2fa676ccd1abdf1461d51d6
Description-en: parser for HTTP messages written in C
 It parses both requests and responses. The parser is designed to be used in
 performance HTTP applications. It does not make any syscalls nor allocations,
 it does not buffer data, it can be interrupted at anytime. Depending on your
 architecture, it only requires about 40 bytes of data per message stream (in
 a web server that is per connection).

Package: libhttp-proxy-perl
Description-md5: 784e31e267bdfb84e48ef28ee080c8e2
Description-en: pure Perl HTTP proxy
 HTTP::Proxy implements the methods needed to run a pure-perl HTTP
 proxy using LWP. Useful for lightweight, easy to configure proxies.
 .
 The most interesting feature of this proxy object is its ability to
 filter the HTTP requests and responses through user-defined filters.

Package: libhttp-recorder-perl
Description-md5: 133c5af5be0cbb56d3e7f82c4f536c04
Description-en: Perl module to record interaction with websites
 HTTP::Recorder allows your Perl program to record the interaction with
 websites (SSL and non-SSL). It acts as a proxy agent for HTTP::Proxy,
 rewriting HTTP requests and responses while recording the interaction in a
 script suitable for WWW::Mechanize. If a JavaScript-enabled browser is being
 used, the script can be examined and modified as it is being recorded.
 .
 Since version 0.06, libhttp-recorder-perl includes a script httprecorder to
 quickly set up a proxy and start recording traffic.

Package: libhttp-request-ascgi-perl
Description-md5: 257289b704dc8d006c09b5aec84bbd4c
Description-en: module to setup a CGI environment from a HTTP::Request
 HTTP::Request::AsCGI is a Perl module that provides a convenient mechanism
 for setting up a CGI environment using information from an HTTP::Request
 object.

Package: libhttp-request-params-perl
Description-md5: ea485260b7c10d0ce0f39d3e40ea15a6
Description-en: Perl extension for retrieving HTTP request parameters
 The HTTP::Request::Params module parses the GET and POST parameters of
 an HTTP request.  It may return the result either as a hash reference
 or as an Email::MIME object for easier parsing.

Package: libhttp-response-encoding-perl
Description-md5: 86f9f07eaa845e112ebf1296201d1537
Description-en: Perl module extending HTTP::Response encoding handling
 HTTP::Response::Encoding is a Perl module that extends HTTP::Response class,
 providing a simple and convenient way to extract various information about
 encoded responses, including its character set. It can extract the character
 set exactly as it appears in the Content-Type header and it also understands
 the meta http-equiv tag in the response body.

Package: libhttp-server-simple-authen-perl
Description-md5: d55e2efdc0355a8c36b55da3ae2a8c40
Description-en: Authentication plugin for HTTP::Server::Simple
 HTTP::Server::Simple::Authen is an HTTP::Server::Simple plugin to allow HTTP
 authentication. Authentication scheme is pluggable and you can use whatever
 Authentication protocol that Authen::Simple supports.

Package: libhttp-server-simple-cgi-prefork-perl
Description-md5: 5b57b225079b02ff0a8f9116d418111a
Description-en: module to make HTTP::Server::Simple::CGI preforking and turn on SSL
 HTTP::Server::Simple::CGI::PreFork extends HTTP::Server::Simple::CGI to handle
 multiple connections at once and also enables SSL.
 .
 HTTP::Server::Simple::CGI::PreFork should be fully IPv6 compliant.

Package: libhttp-server-simple-mason-perl
Description-md5: f1982f1cb1e658ed5fecfa1389a15917
Description-en: abstract baseclass for a standalone mason server
 HTTP::Server::Simple::Mason is a simple standalone mason HTTP server.
 By default, it doesn't thread or fork. It does, however, act as a
 simple frontend which can be used to build a standalone web-based
 application or turn a CGI into one.

Package: libhttp-server-simple-perl
Description-md5: 60bdf2dc7956a01197e284d0a740ef78
Description-en: simple stand-alone HTTP server
 HTTP::Server::Simple is a simple standalone HTTP server. By default, it does
 not thread or fork. It does, however, act as a simple frontend which can be
 used to build a standalone web-based application or turn a CGI into one. (It
 is possible to use Net::Server to get threading, forking, preforking, etc.)

Package: libhttp-server-simple-psgi-perl
Description-md5: 6012b1619769d41fb403dff7e8dcd5f7
Description-en: simple HTTP server with PSGI application support
 HTTP::Server::Simple::PSGI is a simple standalone HTTP server, based on the
 HTTP::Server::Simple module (see libhttp-server-simple-perl). This module can
 be easily used as an embedded web server for development purposes.

Package: libhttp-server-simple-recorder-perl
Description-md5: 6d6745c4fb747eaf08c2b17680a73004
Description-en: Mixin to record HTTP::Server::Simple's sockets
 HTTP::Server::Simple::Recorder allows you to record all HTTP communication
 between an HTTP::Server::Simple-derived server and its clients.

Package: libhttp-server-simple-static-perl
Description-md5: 906661fa8229ad1c0a5c580cc0e12468
Description-en: Serve static files with HTTP::Server::Simple
 HTTP::Server::Simple::Static is a mixin that adds a method to serve
 static files from your HTTP::Server::Simple subclass.
 .
 The Date and Last-Modified HTTP headers are set when sending a response
 for a valid file. The method supports clients which send an If-Modified-Since
 HTTP header in the request, returning a 304 Not Modified response if the file
 is unchanged. If the client makes a HEAD request no message body will be
 returned in the response.

Package: libhttp-thin-perl
Description-md5: f1b509b84e80effb074bc5b8bad4c783
Description-en: Thin Wrapper around HTTP::Tiny to play nice with HTTP::Message
 HTTP::Thin is a thin wrapper around HTTP::Tiny adding the ability to pass in
 HTTP::Request objects and get back HTTP::Response objects. The maintainers of
 HTTP::Tiny, justifiably, don't want to have to maintain compatibility but
 many other projects already consume the HTTP::Message objects. This is just
 glue code doing what it does best.

Package: libhttp-throwable-perl
Description-md5: 07f6d04a6131c496227a023d4b043cb6
Description-en: strongly-typed, PSGI-friendly HTTP 1.1 exception libraries
 HTTP::Throwable provides a set of strongly-typed, PSGI-friendly
 exception implementations corresponding to the HTTP error status code
 (4xx-5xx) as well as the redirection codes (3xx).

Package: libhttp-tiny-perl
Description-md5: 73290beec859d109080486ffb8f515e2
Description-en: Perl module that implements a small, simple, correct HTTP/1.1 client
 HTTP::Tiny is a very simple HTTP/1.1 client, designed primarily for doing
 simple GET requests without the overhead of a large framework like
 LWP::UserAgent.
 .
 It is more correct and more complete than HTTP::Lite. It supports proxies
 (currently only non-authenticating ones) and redirection. It also correctly
 resumes after EINTR.

Package: libhttp-tinyish-perl
Description-md5: d7718b71dbf59ebb5649fc46f37593da
Description-en: HTTP::Tiny compatible HTTP client wrappers
 HTTP::Tinyish is a wrapper module for HTTP client modules LWP, HTTP::Tiny and
 HTTP client software curl and wget.
 .
 It provides an API compatible to HTTP::Tiny, and the implementation has been
 extracted out of App::cpanminus. HTTP::Tinyish can be useful in a restrictive
 environment where you need to be able to download CPAN modules without an
 HTTPS support in built-in HTTP library.

Package: libhttpasyncclient-java
Description-md5: a8a73290a5563762cc67afbb2c06d616
Description-en: HTTP/1.1 compliant asynchronous HTTP agent implementation
 HttpAsyncClient is a HTTP/1.1 compliant HTTP agent implementation. It is
 designed to build HTTP-aware client applications based on asynchronous,
 event driven I/O model.

Package: libhttpclient-java
Description-md5: 43e612e7d0fd5b14fc10cac6a5cee874
Description-en: HTTP/1.1 compliant HTTP agent implementation
 HttpClient is a HTTP/1.1 compliant HTTP agent implementation based on
 HttpCore. It also provides reusable components for client-side
 authentication, HTTP state management, and HTTP connection management.
 .
 HttpComponents Client is a successor of and replacement for Commons
 HttpClient 3.x. Users of Commons HttpClient are strongly encouraged to
 upgrade.

Package: libhttpcore-java
Description-md5: 74bf53db6fa449487b8c71c4126d44b9
Description-en: set of low level HTTP transport components for Java
 HttpCore is a set of low level HTTP transport components that can be used
 to build custom client and server side HTTP services with a minimal
 footprint. HttpCore supports two I/O models:
 .
  - blocking I/O model, based on the classic Java I/O;
  - non-blocking, event driven I/O model based on Java NIO.
 .
 The blocking I/O model may be more appropriate for data intensive, low
 latency scenarios, whereas the non-blocking model may be more appropriate
 for high latency scenarios where raw data throughput is less important
 than the ability to handle thousands of simultaneous HTTP connections in
 a resource efficient manner.

Package: libhttpmime-java
Description-md5: aba0da7fc26b2a368be2ea3fcdf12956
Description-en: HTTP/1.1 compliant HTTP agent implementation - MIME extension
 HttpClient is a HTTP/1.1 compliant HTTP agent implementation based on
 HttpCore. It also provides reusable components for client-side
 authentication, HTTP state management, and HTTP connection management.
 .
 HttpComponents Client is a successor of and replacement for Commons
 HttpClient 3.x. Users of Commons HttpClient are strongly encouraged to
 upgrade.
 .
 This package provides support for MIME multipart encoded entities
 to be used with HttpComponents Client.

Package: libhttpunit-java
Description-md5: fd8b039c08edc886ef0a60b85b9b3008
Description-en: automated web site testing toolkit
 HttpUnit emulates the relevant portions of browser behavior, including form
 submission, JavaScript, basic http authentication, cookies and automatic page
 redirection, and allows Java test code to examine returned pages either as
 text, an XML DOM, or containers of forms, tables, and links.

Package: libhttpunit-java-doc
Description-md5: f4b5ad842e04e308e758f906735cfbe2
Description-en: documentation for libhttpunit-java
 Documentation for HttpUnit which is an automated web site testing toolkit.
 .
 HttpUnit emulates the relevant portions of browser behavior, including form
 submission, JavaScript, basic http authentication, cookies and automatic page
 redirection, and allows Java test code to examine returned pages either as
 text, an XML DOM, or containers of forms, tables, and links.
 .
 This package includes a small manual, the javadoc API, a tutorial and examples.

Package: libhttrack-dev
Description-md5: a0ea87843d35d1e1a7a369940197edd8
Description-en: Httrack website copier includes and development files
 This package adds supplemental files for using the httrack website copier
 library

Package: libhttrack2
Description-md5: 0e38d54985bcc9c621487b405dc9445e
Description-en: Httrack website copier library
 This package is the library part of httrack, website copier and mirroring
 utility

Package: libhud-client2
Description-md5: 2c7610c9e83ed3355a63288f0ec01a74
Description-en: private library for implementing a HUD frontend
 Interface for querying the HUD and getting results.
 .
 This package contains shared libraries to be used by applications.

Package: libhud-client2-dev
Description-md5: c972b61dbcd93d1ee4ec32841380278a
Description-en: private library for implementing a HUD frontend
 Interface for querying the HUD and getting results.
 .
 This package contains files that are needed to build applications.

Package: libhud-client2-doc
Description-md5: be36e1ce1035bc219738d87ad6e9c7ef
Description-en: private library for implementing a HUD frontend
 Interface for querying the HUD and getting results.
 .
 This package contains developer documentation.

Package: libhud-gtk1
Description-md5: 24e8d2c7323878f17d964317bcb09587
Description-en: GTK helpers for exporting items to the Unity HUD
 Allows applications to add items into the HUD without requiring a
 menubar or other parsed GUI elements using standard GTK features.
 .
 This package contains shared libraries to be used by applications.

Package: libhud-gtk1-dev
Description-md5: 9c17652244df3cbbbc3a143ea9ba8cfd
Description-en: GTK helpers for exporting items to the Unity HUD
 Allows applications to add items into the HUD without requiring a
 menubar or other parsed GUI elements using standard GTK features.
 .
 This package contains files that are needed to build applications.

Package: libhud-gtk1-doc
Description-md5: 7e11e2cb6c06b23481c1a630bdec8d15
Description-en: GTK helpers for exporting items to the Unity HUD
 Allows applications to add items into the HUD without requiring a
 menubar or other parsed GUI elements using standard GTK features.
 .
 This package contains developer documentation.

Package: libhud2
Description-md5: a7907b4514fa5355f84bc89a20c27aa4
Description-en: library for exporting items to the Unity HUD
 Allows applications to add items into the HUD without requiring a
 menubar or other parsed GUI elements.
 .
 This package contains shared libraries to be used by applications.

Package: libhud2-dev
Description-md5: 9cd92e06f6758a2a7b79099816edfc3c
Description-en: library for exporting items to the Unity HUD
 Allows applications to add items into the HUD without requiring a
 menubar or other parsed GUI elements.
 .
 This package contains files that are needed to build applications.

Package: libhud2-doc
Description-md5: c29f9b6554b89d87d21bf40b550d0e8a
Description-en: library for exporting items to the Unity HUD
 Allows applications to add items into the HUD without requiring a
 menubar or other parsed GUI elements.
 .
 This package contains developer documentation.

Package: libhugetlbfs-bin
Description-md5: 52e80b2a60869d7abb85d337642d1a6a
Description-en: Tools to ease use of hugetlbfs
 libhugetlbfs is a library which provides easy access to huge pages of
 memory. It is a wrapper for the hugetlbfs file system. Applications
 can use huge pages to fulfill malloc() requests without being
 recompiled by using LD_PRELOAD.
 .
 The package provies several userspace tools to help with huge page
 usability, environment setup, and control.

Package: libhugetlbfs-dev
Description-md5: f93146a4261a30f811e319eebef0438b
Description-en: Development files to build programs using libhugetlbfs
 libhugetlbfs is a library which provides easy access to huge pages of
 memory. It is a wrapper for the hugetlbfs file system. Applications
 can use huge pages to fulfill malloc() requests without being
 recompiled by using LD_PRELOAD. Alternatively, applications can be
 linked against libhugetlbfs without source modifications to load text
 or BSS or BSS, data, and text segments into large pages. The library
 also comes with several userspace tools to help with huge page
 usability, environment setup, and control.
 .
 This package ships the library development files.

Package: libhugetlbfs0
Description-md5: 0652a3f4b9d5c8e2431eb462121093cb
Description-en: Preload library to back program memory with hugepages
 libhugetlbfs is a library which provides easy access to huge pages of
 memory. It is a wrapper for the hugetlbfs file system. Applications
 can use huge pages to fulfill malloc() requests without being
 recompiled by using LD_PRELOAD. Alternatively, applications can be
 linked against libhugetlbfs without source modifications to load text
 or BSS or BSS, data, and text segments into large pages. The library
 also comes with several userspace tools to help with huge page
 usability, environment setup, and control.

Package: libhugs-alut-bundled
Description-md5: 120aca13f94add24699f5aa76696c8f5
Description-en: A binding for the OpenAL Utility Toolkit
 A Haskell binding for the OpenAL Utility Toolkit, which makes
 managing of OpenAL contexts, loading sounds in various formats
 and creating waveforms very easy. For more information about the
 C library on which this binding is based, please see:
 <http://www.openal.org/openal_webstf/specs/alut.html>.
 .
 This is the version bundled with the interpreter.

Package: libhugs-base-bundled
Description-md5: 7d3681fa81560bb283c72f714c9397d3
Description-en: Basic libraries
 This package contains the Prelude and its support libraries,
 and a large collection of useful libraries ranging from data
 structures to parsing combinators and debugging utilities.
 .
 This is the version bundled with the interpreter.

Package: libhugs-cabal-bundled
Description-md5: f7e8677a3e42de62933be31b6e5f2b37
Description-en: A framework for packaging Haskell software
 The Haskell Common Architecture for Building Applications and
 Libraries: a framework defining a common interface for authors to more
 easily build their Haskell applications in a portable way.
 .
 The Haskell Cabal is meant to be a part of a larger infrastructure
 for distributing, organizing, and cataloging Haskell libraries
 and tools.
 .
 This is the version bundled with the interpreter.

Package: libhugs-fgl-bundled
Description-md5: 4a27a3eacf11e4fe728a9081f393c2fa
Description-en: Martin Erwig's Functional Graph Library
 .
 This is the version bundled with the interpreter.

Package: libhugs-glut-bundled
Description-md5: da58a1bb94f55391961491b58e0ce1db
Description-en: A binding for the OpenGL Utility Toolkit
 A Haskell binding for the OpenGL Utility Toolkit, a window
 system independent toolkit for writing OpenGL programs. For more
 information about the C library on which this binding is based,
 please see: <http://www.opengl.org/resources/libraries/glut/>.
 .
 This is the version bundled with the interpreter.

Package: libhugs-haskell-src-bundled
Description-md5: 52957fac28e2963706e8ed5b554eb874
Description-en: Manipulating Haskell source code
 Facilities for manipulating Haskell source code:
 an abstract syntax, lexer, parser and pretty-printer.
 .
 This is the version bundled with the interpreter.

Package: libhugs-haskell98-bundled
Description-md5: 63733e150d7b1a882386147f55000077
Description-en: Compatibility with Haskell 98
 This package provides compatibility with the modules of Haskell
 98 and the FFI addendum, by means of wrappers around modules from
 the base package (which in many cases have additional features).
 However Prelude, Numeric and Foreign are provided directly by
 the base package.
 .
 This is the version bundled with the interpreter.

Package: libhugs-haxml-bundled
Description-md5: d2f1a3fe38c350949dca0e7cc01c4256
Description-en: Utilities for manipulating XML documents
 Haskell utilities for parsing, filtering, transforming and
 generating XML documents.
 .
 This is the version bundled with the interpreter.

Package: libhugs-hgl-bundled
Description-md5: a8eb21b392e1fa0008732b36ddb60b4e
Description-en: A simple graphics library based on X11 or Win32
 A simple graphics library, designed to give the programmer access
 to most interesting parts of the Win32 Graphics Device Interface
 and X11 library without exposing the programmer to the pain and
 anguish usually associated with using these interfaces.
 .
 The library also includes a module Graphics.SOE providing the
 interface used in "The Haskell School of Expression", by Paul
 Hudak, cf <http://www.haskell.org/soe/>.
 .
 This is the version bundled with the interpreter.

Package: libhugs-hunit-bundled
Description-md5: c63de556c59c6abc1b17a971244e66a1
Description-en: A unit testing framework for Haskell
 HUnit is a unit testing framework for Haskell, inspired by the
 JUnit tool for Java, see: <http://www.junit.org>.
 .
 This is the version bundled with the interpreter.

Package: libhugs-mtl-bundled
Description-md5: 2c70dc109336fe13b7f3d8589f702200
Description-en: Monad transformer library
 A monad transformer library, inspired by the paper "Functional
 Programming with Overloading and Higher-Order Polymorphism",
 by Mark P Jones (<http://www.cse.ogi.edu/~mpj/>), Advanced School
 of Functional Programming, 1995.
 .
 This is the version bundled with the interpreter.

Package: libhugs-network-bundled
Description-md5: 43134e28c0e0465b183a0da5d811500d
Description-en: Networking-related facilities
 .
 This is the version bundled with the interpreter.

Package: libhugs-openal-bundled
Description-md5: 0bb2a04a037190e7288d362d9d240c20
Description-en: A binding to the OpenAL cross-platform 3D audio API
 A Haskell binding for the OpenAL cross-platform 3D audio API,
 appropriate for use with gaming applications and many other
 types of audio applications.  For more information about OpenAL,
 please see: <http://www.openal.org/>.
 .
 This is the version bundled with the interpreter.

Package: libhugs-opengl-bundled
Description-md5: 712f3a458bac2ea25de64db95fb1b6f0
Description-en: A binding for the OpenGL graphics system
 A Haskell binding for the OpenGL graphics system (GL, version
 1.5) and its accompanying utility library (GLU, version 1.3).
 OpenGL is the industry's most widely used and supported 2D and 3D
 graphics application programming interface (API), incorporating
 a broad set of rendering, texture mapping, special effects, and
 other powerful visualization functions.  For more information
 about OpenGL, please see: <http://www.opengl.org/>.
 .
 This is the version bundled with the interpreter.

Package: libhugs-parsec-bundled
Description-md5: 4edb018eb0f888b60df8c273bf13159d
Description-en: Monadic parser combinators
 Parsec is designed from scratch as an industrial-strength parser
 library.  It is simple, safe, well documented (on the package
 homepage), has extensive libraries and good error messages,
 and is also fast.
 .
 This is the version bundled with the interpreter.

Package: libhugs-quickcheck-bundled
Description-md5: 06c3870ee504ffdd86ff01916eb4d69a
Description-en: Automatic testing of Haskell programs
 A library for testing Haskell programs automatically.
 The programmer provides a specification of the program, in
 the form of properties which functions should satisfy, and
 QuickCheck then tests that the properties hold in a large number
 of randomly generated cases.  Specifications are expressed in
 Haskell, using combinators defined in the QuickCheck library.
 QuickCheck provides combinators to define properties, observe
 the distribution of test data, and define test
 data generators.
 .
 This is the version bundled with the interpreter.

Package: libhugs-stm-bundled
Description-md5: f5ccdd0c55ac2fad665bcdee0e6b690f
Description-en: Software Transactional Memory
 A modular composable concurrency abstraction.
 .
 This is the version bundled with the interpreter.

Package: libhugs-time-bundled
Description-md5: c2c66a3a7eceb13b81144e86153220d8
Description-en: time library
 .
 This is the version bundled with the interpreter.

Package: libhugs-unix-bundled
Description-md5: fa5e73b63a0f8ac96398b4c707fa2065
Description-en: POSIX functionality
 This package gives you access to the set of operating system
 services standardised by POSIX 1003.1b (or the IEEE Portable
 Operating System Interface for Computing Environments -
 IEEE Std. 1003.1).
 .
 The package is not supported under Windows (except under Cygwin).
 .
 This is the version bundled with the interpreter.

Package: libhugs-x11-bundled
Description-md5: 07244fdc9e44662220d44aa08439e5b1
Description-en: A binding to the X11 graphics library
 A Haskell binding to the X11 graphics library.
 .
 The binding is a direct translation of the C binding; for
 documentation of these calls, refer to "The Xlib Programming
 Manual", available online at <http://tronche.com/gui/x/xlib/>.
 .
 This is the version bundled with the interpreter.

Package: libhugs-xhtml-bundled
Description-md5: 4b1734f2da29a6bfa5f0741e9d97c42a
Description-en: A Haskell XHTML combinator library
 This is a version of the standard Text.Html
 modified to produce XHTML 1.0.
 .
 This is the version bundled with the interpreter.

Package: libhwloc-common
Description-md5: 9669ad8550195a3cf39a641a84d15d55
Description-en: Hierarchical view of the machine - common files
 Hardware Locality (hwloc) provides a portable abstraction (across OS, versions,
 architectures, ...) of the hierarchical topology of modern architectures. It
 primarily aims at helping high-performance computing applications with
 gathering information about the hardware so as to exploit it accordingly and
 efficiently.
 .
 libhwloc provides a hierarchical view of the machine, NUMA memory nodes,
 sockets, shared caches, cores and simultaneous multithreading. It also gathers
 various attributes such as cache and memory information.
 .
 libhwloc supports old kernels not having sysfs topology information,
 with knowledge of cpusets, offline cpus, and Kerrighed support
 .
 This package contains the XML DTD of the lstopo XML output.

Package: libhwloc-dev
Description-md5: e00890e45c44536790770fde0549dc61
Description-en: Hierarchical view of the machine - static libs and headers
 Hardware Locality (hwloc) provides a portable abstraction (across OS, versions,
 architectures, ...) of the hierarchical topology of modern architectures. It
 primarily aims at helping high-performance computing applications with
 gathering information about the hardware so as to exploit it accordingly and
 efficiently.
 .
 libhwloc provides a hierarchical view of the machine, NUMA memory nodes,
 sockets, shared caches, cores and simultaneous multithreading. It also gathers
 various attributes such as cache and memory information.
 .
 libhwloc supports old kernels not having sysfs topology information,
 with knowledge of cpusets, offline cpus, and Kerrighed support
 .
 This package contains static libraries and development headers.

Package: libhwloc-doc
Description-md5: 29c1be7488580d8d80a5ac2e51977bf4
Description-en: Hierarchical view of the machine - documentation
 Hardware Locality (hwloc) provides a portable abstraction (across OS, versions,
 architectures, ...) of the hierarchical topology of modern architectures. It
 primarily aims at helping high-performance computing applications with
 gathering information about the hardware so as to exploit it accordingly and
 efficiently.
 .
 libhwloc provides a hierarchical view of the machine, NUMA memory nodes,
 sockets, shared caches, cores and simultaneous multithreading. It also gathers
 various attributes such as cache and memory information.
 .
 libhwloc supports old kernels not having sysfs topology information,
 with knowledge of cpusets, offline cpus, and Kerrighed support
 .
 This package contains documentation.

Package: libhwloc-plugins
Description-md5: f9e5608207d0a4ad31da5436aaaacb4b
Description-en: Hierarchical view of the machine - plugins
 libhwloc provides a portable abstraction (across OS, versions,
 architectures, ...) of the hierarchical topology of modern architectures. It
 primarily aims at helping high-performance computing applications with
 gathering information about the hardware so as to exploit it accordingly and
 efficiently.
 .
 libhwloc provides a hierarchical view of the machine, NUMA memory nodes,
 sockets, shared caches, cores and simultaneous multithreading. It also gathers
 various attributes such as cache and memory information.
 .
 libhwloc supports old kernels not having sysfs topology information,
 with knowledge of cpusets, offline cpus, and Kerrighed support
 .
 This package contains plugins to add more discovery support. This includes
  - PCI support
  - libxml support

Package: libhwloc15
Description-md5: bd89ad338941b41499d0c0003d81d83e
Description-en: Hierarchical view of the machine - shared libs
 libhwloc provides a portable abstraction (across OS, versions,
 architectures, ...) of the hierarchical topology of modern architectures. It
 primarily aims at helping high-performance computing applications with
 gathering information about the hardware so as to exploit it accordingly and
 efficiently.
 .
 libhwloc provides a hierarchical view of the machine, NUMA memory nodes,
 sockets, shared caches, cores and simultaneous multithreading. It also gathers
 various attributes such as cache and memory information.
 .
 libhwloc supports old kernels not having sysfs topology information,
 with knowledge of cpusets, offline cpus, and Kerrighed support
 .
 This package contains shared libraries.

Package: libhyantes-dev
Description-md5: 9bd8ac2f0a61afe4624eed7c2ca02889
Description-en: development files for libhyantes
 C headers and man pages for those who want to develop C/C++ programs
 using libhyantes
 hyantes aims to develop new methods for the cartographic representation of
 human distributions (population density, population increase, etc.) with
 various smoothing functions and opportunities for time-scale animations
 of maps.
 It provides a smoothing method related to multiscalar neighbourhood
 density estimation.

Package: libhyantes0
Description-md5: c477233d28f5d239e8d3788f31cacaa6
Description-en: geomatic library to compute neighbourhood population potential
 hyantes aims to develop new methods for the cartographic representation of
 human distributions (population density, population increase, etc.) with
 various smoothing functions and opportunities for time-scale animations
 of maps.
 It provides a smoothing method related to multiscalar neighbourhood
 density estimation.

Package: libhyena-cil
Description-md5: 00f51490fce5c559485d608ad5d80419
Description-en: set of utility libraries for the CLI
 Hyena is a set of utility Application Programing Interfaces (APIs) for the
 Common Language Infrastructure (CLI), including both graphical and
 non-graphical components.
 .
 Among the included namespaces in Hyena are:
  * Hyena
  * Hyena.Collections
  * Hyena.CommandLine
  * Hyena.Data
  * Hyena.Jobs
  * Hyena.Json
  * Hyena.Query
  * Hyena.SExpEngine
  * Hyena.Data.Sqlite
  * Hyena.Data.Gui
  * Hyena.Gui
  * Hyena.Gui.Dialogs
  * Hyena.Gui.Theatrics
  * Hyena.Gui.Theming
  * Hyena.Query.Gui
  * Hyena.Widgets

Package: libhyena-cil-dev
Description-md5: 8ada55d4c2fe7fcccf1e63fb6172d225
Description-en: set of utility libraries for the CLI - development files
 Hyena is a set of utility Application Programing Interfaces (APIs) for the
 Common Language Infrastructure (CLI), including both graphical and
 non-graphical components.
 .
 Among the included namespaces in Hyena are:
  * Hyena
  * Hyena.Collections
  * Hyena.CommandLine
  * Hyena.Data
  * Hyena.Jobs
  * Hyena.Json
  * Hyena.Query
  * Hyena.SExpEngine
  * Hyena.Data.Sqlite
  * Hyena.Data.Gui
  * Hyena.Gui
  * Hyena.Gui.Dialogs
  * Hyena.Gui.Theatrics
  * Hyena.Gui.Theming
  * Hyena.Query.Gui
  * Hyena.Widgets
 .
 This package contains development files for the Hyena libraries, and should be
 used for compilation

Package: libhyperic-sigar-java
Description-md5: ca57aa1668a72b559dde5acf8be5a909
Description-en: System Information Gatherer And Reporter - Java bindings
 The Sigar API provides a portable interface for gathering system
 information such as:
 .
  - system memory, swap, CPU, load average, uptime, logins
  - per-process memory, CPU, credential info, state, arguments,
    environment, open files,
  - file system detection and metrics
  - network interface detection, configuration info and metrics
  - TCP and UDP connection tables
  - network route table
 .
 This package contains the Java bindings

Package: libhyperscan-dev
Description-md5: 090c1eea69a73d789d1e2bd85d32f423
Description-en: Development files for the Hyperscan library
 This package contains development libraries, header files and documentation for
 the regular expression matching library libhyperscan. You can either use the
 supplied shared or static library.
 .
 libhyperscan only runs on processors with at least SSSE3 support.

Package: libhyperscan5
Description-md5: 1bf5a9c4733852ce690fb00ee567305a
Description-en: High-performance regular expression matching library
 Hyperscan is a high-performance multiple regex matching library.
 It follows the regular expression syntax of the commonly-used libpcre library,
 but is a standalone library with its own C API. Hyperscan uses hybrid automata
 techniques to allow simultaneous matching of large numbers (up to tens of
 thousands) of regular expressions and for the matching of regular expressions
 across streams of data. Hyperscan is typically used in a DPI library stack.
 .
 libhyperscan only runs on processors with at least SSSE3 support.

Package: libhypre
Description-md5: 2aafa5987d3c0f9e323b4ad7e8c80cee
Description-en: High Performance Matrix Preconditioners - Shared Library
 Hypre is a set of matrix preconditioning libraries to aid in the solution of
 large systems of linear equations.
 .
 This package contains the shared libraries.

Package: libhypre-dev
Description-md5: 129d9d79746ac7688efe5bc60179ba53
Description-en: High Performance Matrix Preconditioners - Development Files
 Hypre is a set of matrix preconditioning libraries to aid in the solution of
 large systems of linear equations.
 .
 This package contains the headers and symlinks necessary to develop programs
 which use hypre.
 .
 To reduce the size of the library, HYPRE is split into sublibraries:
 libHYPRE.so is a dummy library linked to:
 - libHYPRE_core.so
 - libHYPRE_FEI.so

Package: libhz-dev
Description-md5: 6e549abf3954c1868c520c10a80e1988
Description-en: Headers and static libraries for zh-autoconvert
 AutoConvert is an intelligent Chinese Encoding converter. It uses
 builtin functions to judge the type of the input file’s Chinese
 Encoding (such as GB/Big5/HZ), then converts the input file to any
 type of Chinese Encoding you want. You can use autoconvert to handle
 incoming mail, automatically converting messages to the Chinese
 Encoding you want.  It can alse handle Unicode (UTF-16)/UTF-7/UTF-8 now.
 .
 This package contains the development files (symlinks, headers, and object
 files) needed to compile and link programs which use the zh-autoconvert
 libhz library.

Package: libhz0
Description-md5: f7fdb1c3febe5efc610b887c3b8ae448
Description-en: Chinese encoding autoconvert library
 AutoConvert is an intelligent Chinese Encoding converter. It uses
 builtin functions to judge the type of the input file’s Chinese
 Encoding (such as GB/Big5/HZ), then converts the input file to any
 type of Chinese Encoding you want. You can use autoconvert to handle
 incoming mail, automatically converting messages to the Chinese
 Encoding you want.  It can alse handle Unicode (UTF-16)/UTF-7/UTF-8 now.
 .
 This library provide the Chinese encoding judge function,
 and the HZ/GB/Big5/UTF-16/UTF-7/UTF-8 convert functions.

Package: libi18n-acceptlanguage-perl
Description-md5: 81aff2bf57d591ae606c24ead9270737
Description-en: module to matches language preference to available languages
 I18N::AcceptLanguage matches language preference to available
 languages per rules defined in RFC 2616, section 14.4: HTTP/1.1 -
 Header Field Definitions - Accept-Language.

Package: libi18n-charset-perl
Description-md5: 6e0eaa8c97df54dc21c504f86160b686
Description-en: Perl module for mapping character set names to IANA names
 I18N::Charset maps Character Set names to the names officially
 registered with IANA.  For example, 'Shift_JIS' is the official name
 of 'x-sjis'.
 .
 It also maps character set names to Unicode::Map, Unicode::Map8, and
 Unicode::MapUTF8 conversion scheme names (if those modules are
 installed).  For example, the Unicode::Map8 scheme name for
 'windows-1251' is 'cp1251'.

Package: libi2c-dev
Description-md5: 8f5b61fd99cc090e2491a891d7300e00
Description-en: userspace I2C programming library development files
 I2C devices are usually controlled by a kernel driver.  Using this
 library it is also possible to access all devices on an adapter
 from userspace and without the knowledge of Linux kernel internals.
 .
 This package contains what you need for compiling sources that
 use this library in your own code.

Package: libi2c0
Description-md5: 84e322bb21235ed698cbc4303d029f5a
Description-en: userspace I2C programming library
 I2C devices are usually controlled by a kernel driver.  Using this
 library it is also possible to access all devices on an adapter
 from userspace and without the knowledge of Linux kernel internals.
 .
 This package contains what you need to run programs that use this
 library.

Package: libi2util-dev
Description-md5: e21b8dc91be7c6799bf74993ccbf3460
Description-en: Internet2 utility library (development files)
 I2utils is a small support library with a set of command line tools
 needed by several software projects from Internet2, most notably bwctl.
 .
 This is the development package which contains headers files and the
 static i2util library.

Package: libi8x-dev
Description-md5: b9d3f7480a2bbd32207a4b3ca9ce0118
Description-en: infinity note execution library (development files)
 Infinity is a platform-independent system for executables and shared
 libraries to export information to software development tools such as
 debuggers.
 .
 In Infinity, executable and shared library files contain *Infinity
 notes* in addition to their regular contents. Each Infinity note
 contains a function encoded in a platform-independent instruction
 set that note-consuming tools can load and execute.
 .
 This package contains the development files for the execution library.

Package: libi8x0
Description-md5: 621d3c28eb7a71d7014cf0561be34ee8
Description-en: infinity note execution library (runtime library)
 Infinity is a platform-independent system for executables and shared
 libraries to export information to software development tools such as
 debuggers.
 .
 In Infinity, executable and shared library files contain *Infinity
 notes* in addition to their regular contents. Each Infinity note
 contains a function encoded in a platform-independent instruction
 set that note-consuming tools can load and execute.
 .
 This package contains the runtime files for the execution library.

Package: libib-util
Description-md5: bd15653352affc29194350a7a2ab7178
Description-en: Firebird UDF support library
 Contains utility functions used by Firebird User-Defined Functions (UDF) for
 memory management etc.
 .
 See firebird3.0-server package for more information about Firebird.

Package: libibatis-java
Description-md5: 22ae91327fd51e96f074979d05042d8c
Description-en: iBATIS Data Mapper framework
 The  iBATIS Data Mapper framework makes it easier to use a database with Java
 and .NET applications. iBATIS couples objects with stored procedures or SQL
 statements using a XML descriptor. Simplicity is the biggest advantage of the
 iBATIS Data Mapper over object relational mapping tools.
 .
 To use the iBATIS Data Mapper, you rely on your own objects, XML, and SQL.
 There is little to learn that you don't already know. With the iBATIS Data
 Mapper, you have the full power of both SQL and stored procedures at your
 fingertips.

Package: libibdm-dev
Description-md5: 0bcaf72869e0e5462c367affa959eca2
Description-en: Development files for the libibdm library
 This package contains header files for building applications
 against  libibdm, a library for building tools for
 diagnosing and testing InfiniBand based networks.

Package: libibdm1
Description-md5: 74d9a97ac81f7ccc1b8e5112dc79811d
Description-en: InfiniBand network diagnostic library
 This package contains a library for building tools useful
 for diagnosing and testing InfiniBand based networks.

Package: libibtk-dev
Description-md5: 123cfe565dec34660d360ca6a271d4fe
Description-en: Insomnia's Basic ToolKit: Development Libraries and Header Files
 IBTK is used by The General ATI TV and Overlay Software (GATOS) suite
 for capturing video.

Package: libibtk0
Description-md5: 16c6ebccbaf80f94943e36b29eb736b7
Description-en: Insomnia's Basic ToolKit
 IBTK is used by The General ATI TV and Overlay Software (GATOS) suite
 for capturing video.

Package: libical-parser-perl
Description-md5: 18a19e68faf9298d15960bc1355d79a9
Description-en: module to parse iCalendar files into a data structure
 iCal::Parser is a Perl module that processes iCalendar (vCalendar 2.0) files
 as specified in RFC 2445 into a Perl data structure. It handles several key
 features, including recurrences, exclusions, event updates and nested data
 structures (attendees and valarms).

Package: libicapapi-dev
Description-md5: d6e23f9ae352dee5b236bb4f868e0ee8
Description-en: ICAP API library development files
 C-ICAP is an implementation of an ICAP server. It can be used with
 HTTP proxies that support the ICAP protocol to implement content
 adaptation and filtering services.
 .
 Most of the commercial HTTP proxies must support the ICAP protocol.
 The open source Squid 3.x proxy server supports it.
 .
 This Package contains the ICAP API library development files.

Package: libicapapi5
Description-md5: f90c39343c3a7f30fd94e39fcbc8794b
Description-en: ICAP API library
 C-ICAP is an implementation of an ICAP server. It can be used with
 HTTP proxies that support the ICAP protocol to implement content
 adaptation and filtering services.
 .
 Most of the commercial HTTP proxies must support the ICAP protocol.
 The open source Squid 3.x proxy server supports it.
 .
 This Package contains the ICAP API library.

Package: libicecc-dev
Description-md5: 6492e9576218f01fde5bb03b28a913db
Description-en: development files for icecc (distributed compiler)
 This package is part if icecc. It provides files which are necessary to build
 icecc-monitor.
 .
 See the 'icecc' package for more information.

Package: libicns-dev
Description-md5: a8331f7c3f0079ffbd89e4fdaaeb78ee
Description-en: libicns development files
 libicns is a library for manipulation of
 the Mac OS icns resource format, also known as the IconFamily
 resource type. It can read and write files for the Mac OS X icns
 format, as well as read from Mac OS resource files and macbinary
 encoded Mac OS resource forks.
 .
 This package contains development files (static libraries and headers)
 needed to build software using libicns.

Package: libicns1
Description-md5: 2f72dbef7a3bac5e036c3ff79363dd02
Description-en: library for manipulation of the Mac OS icns format
 libicns is a library for manipulation of the Mac OS icns resource
 format, also known as the IconFamily resource type. It can read
 and write files for the Mac OS X icns format, as well as read from
 Mac OS resource files and macbinary encoded Mac OS resource forks.

Package: libicon-famfamfam-silk-perl
Description-md5: 7013e22dce6e9dea6f0ce3eed741b5b6
Description-en: module containing the famous FamFamFam Silk icon theme
 Icon::FamFamFam::Silk is a Perl library holding a set of PNG icons.
 They can be retrieved by name, as raw PNG data, base64 encoded or via a
 URI. The module also provides a convenience function for give due
 credit to their creator.
 .
 Have a look at http://famfamfam.com/lab/icons/silk/ to see the icons.

Package: libiconloader-java
Description-md5: 9774999da36ace9cacbb3b290f17d301
Description-en: Smart Java Icon Loader with support of HiDPI (Retina) images
 This is required for loading images in darcula (same author).

Package: libiconv-hook-dev
Description-md5: 36e090be31831f041b9102546bc502b0
Description-en: header files of libiconv-hook
 This code is iconv compatible interface routine for mod_encoding.
 Taisuke Yamada writes sample code for hooking iconv() for mod_encoding.
 .
 In mod_encoding configuration directive,
 supports following encoding names additionally:
 .
  MSSJIS
  - This is almost same as SJIS, but is a Microsoft variant of it.
 .
  JA-AUTO-SJIS-MS
  - This is a special converter which does autodetection between
    UTF-8/JIS/MSSJIS/SJIS/EUC-JP. This itself does not do conversion.

Package: libiconv-hook1
Description-md5: a54e36b332add0e450f8f67242984d3a
Description-en: extension of iconv for libapache-mod-encoding
 This code is iconv compatible interface routine for mod_encoding.
 Taisuke Yamada writes sample code for hooking iconv() for mod_encoding.
 .
 In mod_encoding configuration directive,
 supports following encoding names additionally:
 .
  MSSJIS
  - This is almost same as SJIS, but is a Microsoft variant of it.
 .
  JA-AUTO-SJIS-MS
  - This is a special converter which does autodetection between
    UTF-8/JIS/MSSJIS/SJIS/EUC-JP. This itself does not do conversion.

Package: libics-dev
Description-md5: bb4819003ed16c7fce85c450e94d94f6
Description-en: Image Cytometry Standard file reading and writing (devel)
 This is the reference library for ICS (Image Cytometry Standard), an open
 standard for writing images of any dimensionality and data type to file,
 together with associated information regarding the recording equipment or
 recorded subject.
 .
 This package contains the libraries needed to build ICS applications.

Package: libics-doc
Description-md5: ab808b9b5e464f1d0eefc92c0a968d01
Description-en: Image Cytometry Standard file reading and writing (doc)
 This is the reference library for ICS (Image Cytometry Standard), an open
 standard for writing images of any dimensionality and data type to file,
 together with associated information regarding the recording equipment or
 recorded subject.
 .
 This package contains the libraries documentation for ICS

Package: libics0
Description-md5: 6267fe2f412c785a8eab9463c754634a
Description-en: Image Cytometry Standard file reading and writing
 This is the reference library for ICS (Image Cytometry Standard), an open
 standard for writing images of any dimensionality and data type to file,
 together with associated information regarding the recording equipment or
 recorded subject.
 .
 This package contains the libraries needed to run ICS applications.

Package: libicsharpcode-nrefactory-cecil5.0-cil
Description-md5: 393eff9efc8b972064e1e0b79dc440a3
Description-en: C# Parsing and Refactoring Library - Cecil back-end
 NRefactory is the C# analysis library used in the SharpDevelop and
 MonoDevelop IDEs. It allows applications to easily analyze both syntax
 and semantics of C# programs. It is quite similar to Microsoft's
 Roslyn project; except that it is not a full compiler – NRefactory
 only analyzes C# code, it does not generate IL code.
 .
 This package contains the Mono.Cecil back-end for the NRefactory
 library.

Package: libicsharpcode-nrefactory-cil-dev
Description-md5: 228390004c1dcadd0a9be924239a37c7
Description-en: C# Parsing and Refactoring Library - Development files
 NRefactory is the C# analysis library used in the SharpDevelop and
 MonoDevelop IDEs. It allows applications to easily analyze both syntax
 and semantics of C# programs. It is quite similar to Microsoft's
 Roslyn project; except that it is not a full compiler – NRefactory
 only analyzes C# code, it does not generate IL code.
 .
 This package contains development files for compiling against to use
 the NRefactory library.

Package: libicsharpcode-nrefactory-csharp5.0-cil
Description-md5: 6fca4916325382efbc2b7c04495da397
Description-en: C# Parsing and Refactoring Library - C# Component
 NRefactory is the C# analysis library used in the SharpDevelop and
 MonoDevelop IDEs. It allows applications to easily analyze both syntax
 and semantics of C# programs. It is quite similar to Microsoft's
 Roslyn project; except that it is not a full compiler – NRefactory
 only analyzes C# code, it does not generate IL code.
 .
 This package contains the C# component for the NRefactory library.

Package: libicsharpcode-nrefactory-ikvm5.0-cil
Description-md5: 390acf514c808226ef3e32e18ba4ce00
Description-en: C# Parsing and Refactoring Library - IKVM back-end
 NRefactory is the C# analysis library used in the SharpDevelop and
 MonoDevelop IDEs. It allows applications to easily analyze both syntax
 and semantics of C# programs. It is quite similar to Microsoft's
 Roslyn project; except that it is not a full compiler – NRefactory
 only analyzes C# code, it does not generate IL code.
 .
 This package contains the IKVM back-end for the NRefactory library.

Package: libicsharpcode-nrefactory-xml5.0-cil
Description-md5: 540ab2d0d2f75d49d43c5c99bc89780f
Description-en: C# Parsing and Refactoring Library - XML
 NRefactory is the C# analysis library used in the SharpDevelop and
 MonoDevelop IDEs. It allows applications to easily analyze both syntax
 and semantics of C# programs. It is quite similar to Microsoft's
 Roslyn project; except that it is not a full compiler – NRefactory
 only analyzes C# code, it does not generate IL code.
 .
 This package contains the XML component for the NRefactory library.

Package: libicsharpcode-nrefactory5.0-cil
Description-md5: 8a1b3a36b14cc085d33a9828cbb51b46
Description-en: C# Parsing and Refactoring Library - Core
 NRefactory is the C# analysis library used in the SharpDevelop and
 MonoDevelop IDEs. It allows applications to easily analyze both syntax
 and semantics of C# programs. It is quite similar to Microsoft's
 Roslyn project; except that it is not a full compiler – NRefactory
 only analyzes C# code, it does not generate IL code.
 .
 This package contains the core NRefactory library.

Package: libicu-le-hb-dev
Description-md5: 17724fa8986506cfd2ea74dc10bbdb23
Description-en: ICU Layout Engine API on top of HarfBuzz shaping library (development)
 A library implementing the ICU Layout Engine (icu-le) API using external
 HarfBuzz library for implementation.  This is useful as a compatibility layer
 to make applications using ICU Layout Engine to use HarfBuzz without porting
 them to use the HarfBuzz API.
 .
 The code is mostly a trimmed down version of icu/source/layout, with all
 shapers stripped out, and HarfBuzz integrated.

Package: libicu-le-hb0
Description-md5: 50758bfb5de4aa19276f695e894d1a01
Description-en: ICU Layout Engine API on top of HarfBuzz shaping library
 A library implementing the ICU Layout Engine (icu-le) API using external
 HarfBuzz library for implementation.  This is useful as a compatibility layer
 to make applications using ICU Layout Engine to use HarfBuzz without porting
 them to use the HarfBuzz API.
 .
 The code is mostly a trimmed down version of icu/source/layout, with all
 shapers stripped out, and HarfBuzz integrated.

Package: libicu4j-4.4-java
Description-md5: 0b053f2e27ae4115810a4851a17f3448
Description-en: Library for Unicode support and internationalization
 ICU is a mature, widely used set of C/C++ and Java libraries for
 Unicode support, software internationalization and globalization
 (i18n/g11n). It grew out of the JDK 1.1 internationalization APIs, which
 the ICU team contributed, and the project continues to be developed
 for the most advanced Unicode/i18n support. ICU is widely portable and
 gives applications the same results on all platforms and between C/C++
 and Java software.

Package: libicu4j-java
Description-md5: 0b053f2e27ae4115810a4851a17f3448
Description-en: Library for Unicode support and internationalization
 ICU is a mature, widely used set of C/C++ and Java libraries for
 Unicode support, software internationalization and globalization
 (i18n/g11n). It grew out of the JDK 1.1 internationalization APIs, which
 the ICU team contributed, and the project continues to be developed
 for the most advanced Unicode/i18n support. ICU is widely portable and
 gives applications the same results on all platforms and between C/C++
 and Java software.

Package: libicu4j-java-doc
Description-md5: 93ce766ddbf92b9320842a2428e25102
Description-en: Library for Unicode support and internationalization - Docs
 ICU is a mature, widely used set of C/C++ and Java libraries for
 Unicode support, software internationalization and globalization
 (i18n/g11n). It grew out of the JDK 1.1 internationalization APIs, which
 the ICU team contributed, and the project continues to be developed
 for the most advanced Unicode/i18n support. ICU is widely portable and
 gives applications the same results on all platforms and between C/C++
 and Java software.
 .
 This package provides the documentation.

Package: libid3-3.8.3-dev
Description-md5: 8c2e3b98d22d66f554a13b4225a96fa6
Description-en: ID3 Tag Library: Development Libraries and Header Files
 This package contains the headers that programmers will need to develop
 applications which will use id3lib, the software library for ID3v1 and ID3v2
 tag manipulation.

Package: libid3-3.8.3v5
Description-md5: 2dfdbad97305ddcdbe58e0b82fdea499
Description-en: library for manipulating ID3v1 and ID3v2 tags
 This package provides a software library for manipulating ID3v1 and ID3v2
 tags. It provides a convenient interface for software developers to include
 standards-compliant ID3v1/2 tagging capabilities in their applications.
 Features include identification of valid tags, automatic size conversions,
 (re)synchronisation of tag frames, seamless tag (de)compression, and
 optional padding facilities.

Package: libid3-doc
Description-md5: 0c2a2d9e324faf5597f7667d25dfd411
Description-en: ID3 Tag Library: Documentation
 This package contains the documentation that programmers will need to develop
 applications which will use id3lib, the software library for ID3v1 and ID3v2
 tag manipulation.

Package: libid3-tools
Description-md5: cee1af3de2ea24fef26a69e1351c630e
Description-en: ID3 Tag Library: Utilities
 This package contains some utilities that belong with id3lib, the software
 library for ID3v1 and ID3v2 tag manipulation. The utilities are:
 id3cp, id3tag, id3convert, id3info.

Package: libid3tag0
Description-md5: c87a939309703158d6f41ff4c79a51d2
Description-en: ID3 tag reading library from the MAD project
 ID3 tag manipulation library with full support for reading ID3v1, ID3v1.1,
 ID3v2.2, ID3v2.3, and ID3v2.4 tags, as well as support for writing ID3v1,
 ID3v1.1, and ID3v2.4 tags.

Package: libid3tag0-dev
Description-md5: 7bb1bb8529120c0a294e6171e5180274
Description-en: ID3 tag reading library from the MAD project
 ID3 tag manipulation library with full support for reading ID3v1, ID3v1.1,
 ID3v2.2, ID3v2.3, and ID3v2.4 tags, as well as support for writing ID3v1,
 ID3v1.1, and ID3v2.4 tags.
 .
 This is the package you need to develop or compile applications that
 use id3tag.

Package: libident
Description-md5: 199960463ab87d482f67cffe21dd348d
Description-en: simple RFC1413 client library - runtime
 This is a small library to interface to the Ident protocol server;
 "ident" enables a remote host to find out who's the owner of a
 network connection.
 .
 /usr/sbin/in.identtestd is a small daemon (to be started from inetd)
 that does an ident lookup on you if you telnet into it. Can be used
 to verify that your Ident server is working correctly.

Package: libident-dev
Description-md5: bd1f80eb38a9d9516738a18e1156d9fb
Description-en: simple RFC1413 client library - development
 This is a small library to interface to the Ident protocol server.

Package: libidl-2-0
Description-md5: f1bf44153d3885cee26c18de834b40aa
Description-en: library for parsing CORBA IDL files
 libIDL is a small library for creating parse trees of CORBA v2.2 compliant
 Interface Definition Language (IDL) files, which is a specification for
 defining interfaces which can be used between different CORBA
 implementations.  libIDL is used in the ORBit2 IDL compiler, as well
 as various language bindings (Perl, Python, etc.) for ORBit2.

Package: libidl-dev
Description-md5: cec57f6c9bde83c791d8483de540137b
Description-en: development files for programs that use libIDL
 This package contains the header files and libraries needed for developing
 programs which use libIDL, a small library for creating parse trees of
 CORBA v2.2 compliant  Interface Definition Language (IDL) files, which is
 a specification for defining interfaces which can be used between different
 CORBA implementation.

Package: libidm-console-framework-java
Description-md5: 524f74564527fd65ce42734583c3cb49
Description-en: IDM Console Framework for the 389 Directory Server Console
 A Java Management Console framework, used for 389 Directory Server remote
 management.

Package: libidn11-java
Description-md5: 0c8f901ab06c323e7f8b2327e440794a
Description-en: Java port of the GNU Libidn library, an IDN implementation
 GNU Libidn is an implementation of the Stringprep, Punycode and IDNA
 specifications defined by the IETF Internationalized Domain Names
 (IDN) working group, used for internationalized domain names.
 Currently the Nameprep, Kerberos 5 and XMPP Stringprep profiles are
 supported.
 .
 This package contains the native Java port of the library.

Package: libidna-punycode-perl
Description-md5: 2dab58258c0d059975f9d1eecc45b79d
Description-en: module to encode Unicode string in Punycode
 IDNA::Punycode is a module to encode / decode Unicode strings into
 Punycode, an efficient encoding of Unicode for use with IDNA.
 .
 Note that IDNA::Punycode is deprecated; URI (packaged as liburi-perl)
 provides a URI::_punycode method as a replacement.

Package: libido3-0.1-0
Description-md5: ffd27a740620c8c7ab3fe86454936ec8
Description-en: Shared library providing extra gtk menu items for display in
 system indicators
 .
 This package contains shared libraries to be used by GTK+ 3 applications.

Package: libido3-0.1-dev
Description-md5: 1b1506bfa4cd8d43ca0b6217616441be
Description-en: Shared library providing extra gtk menu items for display in
 system indicators
 .
 This package contains files that are needed to build GTK+ 3 applications.

Package: libidw-java
Description-md5: 12273fc273da49e2035b13241d7846dc
Description-en: InfoNode Docking Windows (similar to JInternalFrames)
 A library that allows one to create "docking windows", i.e. windows
 like JInternalFrames but not restricted to a parent window.

Package: libidw-java-doc
Description-md5: a33ceab5530e9af501f62cd1c077f568
Description-en: InfoNode Docking Windows (javadoc)
 A library that allows one to create "docking windows", i.e. windows
 like JInternalFrames but not restricted to a parent window.
 .
 This package contains the Javadoc API

Package: libidzebra-2.0-0
Description-md5: b183201fb4f00cd8c1e78975c2e3fdd9
Description-en: IDZebra libraries
 This package contains all run-time libraries for IDZebra.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-dev
Description-md5: c2dd1b368310b62b88f2da2e1b35da45
Description-en: IDZebra development
 This package contains development files for IDZebra, such as libraries
 and header files.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-mod-alvis
Description-md5: 14a25311ef644b3c2f56ad7209cc854d
Description-en: IDZebra filter alvis (XSLT filter for XML)
 This package includes the alvis filter which uses libxslt1 to
 transform XML records by XSLT into XML indexable records.
 DEPRECATED, better use the libidzebra-2.0-mod-dom indexing filter.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-mod-dom
Description-md5: 9474f18fa6ea3f265d555f4c67a2d75d
Description-en: IDZebra filter 'dom' (XML DOM internal document model with XSLT)
 This package includes the 'dom' filter which uses libxslt1 to
 transform XML records by XSLT into XML indexable records. It can read and
 index any binary MARC syntax as well, and is therefore a modern replacement
 for the 'alvis' and the family of 'grs' filters.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-mod-grs-marc
Description-md5: 5dc9c81ab4e10a37039b7ca5097834bc
Description-en: IDZebra filter grs.marc (ISO2709 MARC reader)
 This package includes the grs.marc and grs.marcxml filters that allows
 IDZebra to read MARC records based on ISO2709.
 DEPRECATED, better use the libidzebra-2.0-mod-dom indexing filter.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-mod-grs-regx
Description-md5: 008bdfeba9234dd127255d01394a564e
Description-en: IDZebra filters grs.regx, grs.tcl
 This package includes the grs.regx and grs.tcl filters.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-mod-grs-xml
Description-md5: 902ef0e09e3224dc02d5fc14a2df5895
Description-en: IDZebra filter grs.xml (XML filter)
 This package includes the grs.xml filter which uses Expat to
 parse records in XML and turn them into IDZebra's internal grs node.
 DEPRECATED, better use the libidzebra-2.0-mod-dom indexing filter.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-mod-safari
Description-md5: 2a730f7f06501f58a1b9430d5fd6f05c
Description-en: IDZebra filter 'safari' (DBC)
 This package includes the 'safari' filter.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-mod-text
Description-md5: 21652930f649c0cca7d4f1123348d998
Description-en: IDZebra filter text
 This package includes a very simple text filter which indexes
 all tokens in simple text.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libidzebra-2.0-modules
Description-md5: 87fcfe630068b45e6be1ab8f7a90b5e3
Description-en: IDZebra modules
 This metapackage depends on all base IDZebra filter modules.
 .
 IDZebra is a high-performance, general-purpose structured text indexing
 and retrieval engine. It reads structured records in a variety of input
 formats (eg. email, XML, MARC) and allows access to them through exact
 boolean search expressions and relevance-ranked free-text queries.

Package: libiec16022-0
Description-md5: 50fca7c325b13f7a1a62b78a49de3cc0
Description-en: Library to generate 2d ISO/IEC 16022 barcodes (data matrix/semacode)
 The library generates a 2d datamatrix/semacode barcode from a
 parameter or from a file and produces output in various formats (png,
 eps, ascii-art).
 .
 http://www.semapedia.org/ for example uses semacode tags to create
 real-world "links" to wikipedia articles.

Package: libiec16022-dev
Description-md5: 15b005ebffda7b86ee93263f324e5094
Description-en: Development files for the ISO/IEC 16022 barcodes library
 The library generates a 2d datamatrix/semacode barcode from a
 parameter or from a file and produces output in various formats (png,
 eps, ascii-art).
 .
 http://www.semapedia.org/ for example uses semacode tags to create
 real-world "links" to wikipedia articles.
 .
 Install this package if you want to develop programs using libiec16022.

Package: libifcplusplus
Description-md5: f46a38b586be8aac4686a602f21b27bd
Description-en: Reader and writer for IFC files in STEP format - runtime libraries
 IfcPlusPlus is an open source C++ class model, as well as a reader
 and writer for IFC files in STEP format.
 .
 Features:
 - easy and efficient memory management using smart pointers
 - parallel reader for very fast parsing on multi-core CPU's
 .
 Additionally, there's a simple IFC viewer application, using Qt and
 OpenSceneGraph.
 .
 This package contains the runtime libraries.

Package: libifcplusplus-dev
Description-md5: 749b74851ee8bda4d07dba607c43144a
Description-en: Reader and writer for IFC files in STEP format - development
 IfcPlusPlus is an open source C++ class model, as well as a reader
 and writer for IFC files in STEP format.
 .
 Features:
 - easy and efficient memory management using smart pointers
 - parallel reader for very fast parsing on multi-core CPU's
 .
 Additionally, there's a simple IFC viewer application, using Qt and
 OpenSceneGraph.
 .
 This package contains the headers for building programs that
 use IFCplusplus.

Package: libifd-cyberjack6
Description-md5: b6a78ec762775b8aad58040778bcc4f5
Description-en: REINER SCT cyberJack USB chipcard reader user space driver
 This package includes the IFD driver for the cyberJack contactless
 (RFID) and contact USB chipcard reader.

Package: libifp-dev
Description-md5: 2426830855527fcada1aba92d9f1f328
Description-en: communicate with iRiver iFP audio devices (development files)
 This package contains files used to develop or compile programs that
 use libifp.
 .
 libifp allows you to communicate with iRiver iFP audio devices. It
 provides a high-level interface to upload and download files to and
 from the device, as well as other functions like battery status and
 firmware updating.

Package: libifp4
Description-md5: a13b2058427ae81c89989c926a3e190e
Description-en: communicate with iRiver iFP audio devices
 libifp allows you to communicate with iRiver iFP audio devices. It
 provides a high-level interface to upload and download files to and
 from the device, as well as other functions like battery status and
 firmware updating.

Package: libifstat-dev
Description-md5: 5c335e85f79ed552557a7c343ed1db66
Description-en: Ifstat Development Files
 Static library, header and config file to include ifstat
 functionality in other applications.

Package: libigc-dev
Description-md5: 88ffb41959f84880d940c88585f5f69d
Description-en: Intel graphics compiler for OpenCL -- core development files
 The Intel(R) Graphics Compiler for OpenCL(TM) is an llvm based compiler
 for OpenCL(TM) targeting Intel Gen graphics hardware architecture.
 .
 This package includes files for IGC core development.

Package: libigc-tools
Description-md5: a20f373262ec554f7b5d00781e6746f9
Description-en: Intel graphics compiler for OpenCL -- media tools
 The Intel(R) Graphics Compiler for OpenCL(TM) is an llvm based compiler
 for OpenCL(TM) targeting Intel Gen graphics hardware architecture.
 .
 This package includes tools for the media driver.

Package: libigc1
Description-md5: 5e45e95bbf9b10304b8e8ea5079ce85e
Description-en: Intel graphics compiler for OpenCL -- core libs
 The Intel(R) Graphics Compiler for OpenCL(TM) is an llvm based compiler
 for OpenCL(TM) targeting Intel Gen graphics hardware architecture.
 .
 This package includes the core libraries.

Package: libigdfcl-dev
Description-md5: 3270b52dea098fb04959d0f01be9b5fe
Description-en: Intel graphics compiler for OpenCL -- OpenCL development files
 The Intel(R) Graphics Compiler for OpenCL(TM) is an llvm based compiler
 for OpenCL(TM) targeting Intel Gen graphics hardware architecture.
 .
 This package includes files for IGC OpenCL development.

Package: libigdfcl1
Description-md5: 00e7add36fe7905b8d782adaac4d7edc
Description-en: Intel graphics compiler for OpenCL -- OpenCL library
 The Intel(R) Graphics Compiler for OpenCL(TM) is an llvm based compiler
 for OpenCL(TM) targeting Intel Gen graphics hardware architecture.
 .
 This package includes the library for OpenCL.

Package: libigdgmm-dev
Description-md5: 7795ddc6de72ff64ed061633334cfe72
Description-en: Intel Graphics Memory Management Library -- development files
 The Intel Graphics Memory Management Library provides device specific
 and buffer management for the Intel Graphics Compute Runtime for
 OpenCL and the Intel Media Driver for VAAPI.
 .
 This library is only useful for Broadwell and newer CPUs.
 .
 This package includes files for development.

Package: libigdgmm11
Description-md5: e92322750e0ef3904ea5bd1a2dca2d44
Description-en: Intel Graphics Memory Management Library -- shared library
 The Intel Graphics Memory Management Library provides device specific
 and buffer management for the Intel Graphics Compute Runtime for
 OpenCL and the Intel Media Driver for VAAPI.
 .
 This library is only useful for Broadwell and newer CPUs.
 .
 This package includes the shared library.

Package: libigfxcmrt-dev
Description-md5: 3fc97857bcb8694f471af09c0c935f35
Description-en: Intel C for Media Runtime -- development files
 cmrtlib is a runtime library needed when user wants to execute their
 own GPU kernels on render engine. It calls iHD media driver to load
 the kernels and allocate the resources. It provides a set of APIs for
 user to call directly from application.
 .
 This package includes files for development.

Package: libigfxcmrt7
Description-md5: 380147c43d6bc86e5464b124af7f5e80
Description-en: Intel C for Media Runtime -- shared library
 cmrtlib is a runtime library needed when user wants to execute their
 own GPU kernels on render engine. It calls iHD media driver to load
 the kernels and allocate the resources. It provides a set of APIs for
 user to call directly from application.
 .
 This package includes the shared library.

Package: libignition-cmake-dev
Description-md5: 85c80471a8e6241d78f7cedda0245a6f
Description-en: Ignition Robotics CMake Library - Development files
 CMake modules to be used by the Ignition projects.
 .
 This package is required to build ignition projects, as well as to link your
 third party projects against them. It provides modules that are used to find
 dependencies of ignition projects and generate cmake targets for consumers of
 ignition projects to link against.

Package: libignition-cmake2-dev
Description-md5: 85c80471a8e6241d78f7cedda0245a6f
Description-en: Ignition Robotics CMake Library - Development files
 CMake modules to be used by the Ignition projects.
 .
 This package is required to build ignition projects, as well as to link your
 third party projects against them. It provides modules that are used to find
 dependencies of ignition projects and generate cmake targets for consumers of
 ignition projects to link against.

Package: libignition-common
Description-md5: f42f3eadbeb5663b3e0f6dcee50537d0
Description-en: Collection of useful code used by robotics apps - Shared library
 Ignition common is a component in the Ignition framework, a set of
 libraries designed to rapidly develop robot applications. A collection of
 useful classes and functions for handling many command tasks. This includes
 parsing 3D mesh files, managing console output, and using PID controllers.
 .
 This package gathers the shared library

Package: libignition-common-dev
Description-md5: 5046b62f965d0937a80dd6711d1b63c5
Description-en: Collection of useful code used by robotics apps - Dev files
 Ignition common is a component in the Ignition framework, a set of
 libraries designed to rapidly develop robot applications. A collection of
 useful classes and functions for handling many command tasks. This includes
 parsing 3D mesh files, managing console output, and using PID controllers.
 .
 This package contains development files (headers, shared library
 symbolic link and cmake file).

Package: libignition-fuel-tools1-1
Description-md5: 8938c1699a0c564b760fc65fad97f66b
Description-en: Ignition fuel-tools classes and functions - Shared library
 Ignition Fuel Tools is composed by a client library and command line
 tools for interacting with Ignition Fuel servers. These servers host and
 manage different 3D robotics models.
 .
 The package ships the ignition fuel libraries

Package: libignition-fuel-tools1-dev
Description-md5: 301ac59ad391539935fcfa938322bb97
Description-en: Ignition fuel-tools classes and functions - Development files
 Ignition Fuel Tools is composed by a client library and command line
 tools for interacting with Ignition Fuel servers. These servers host and
 manage different 3D robotics models.
 .
 The package ships the ignition fuel development headers and libraries

Package: libignition-math4
Description-md5: b83a6e7e5a43f3534c4365c73c7c5815
Description-en: Ignition Robotics Math Library - Shared library
 A small, fast, and high performance math library. This library is a
 self-contained set of classes and functions suitable for robot applications.
 .
 Ignition Robtics is a set of simple libraries that provide useful
 functionality to bootstrap robot applications. The included libraries
 encapsulate all the essentials, such as common math data types, console
 logging, 3D mesh management, and asynchronous message passing.
 .
 The package contains the shared library

Package: libignition-math4-dev
Description-md5: 0f8ac6aa980ec29d7d9f23f05bc53964
Description-en: Ignition Robotics Math Library - Development files
 A small, fast, and high performance math library. This library is a
 self-contained set of classes and functions suitable for robot applications.
 .
 Ignition Robtics is a set of simple libraries that provide useful
 functionality to bootstrap robot applications. The included libraries
 encapsulate all the essentials, such as common math data types, console
 logging, 3D mesh management, and asynchronous message passing.
 .
 The package contains the development files

Package: libignition-msgs
Description-md5: 35140179b6ead9ee0020f8731bb6dc3a
Description-en: Set of message definitions used by robotics apps - Shared library
 Ignition msgs is a component in the Ignition framework, a set of
 librariesdesigned to rapidly develop robot applications. The library
 defines common protobuf messages used by the robotics community.
 This package contains the main message library.

Package: libignition-msgs-dev
Description-md5: 0920e64b5374e18bf170d3ec7d5abb6c
Description-en: Set of message definitions used by robotics apps - Dev files
 Ignition msgs is a component in the Ignition framework, a set of
 librariesdesigned to rapidly develop robot applications. The library
 defines common protobuf messages used by the robotics community.
 This package contains the headers and other tools for development.

Package: libignition-transport-dev
Description-md5: 3f8bc8be8df1e734b0fe0facc2b4a144
Description-en: transitional package
 This is a transitional package to migrate to version 4-dev. This should help
 to preparate user system to host collide installation of 4 and future versions.

Package: libignition-transport4
Description-md5: 9382d21c5ff00aab91d33bdc5f162c2b
Description-en: Ignition Robotics Transport Library - Shared library
 Ignition transport library combines ZeroMQ with Protobufs to create a fast and
 efficient message passing system. Asynchronous message publication and
 subscription is provided along with service calls and discovery.
 .
 Ignition Robtics is a set of simple libraries that provide useful
 functionality to bootstrap robot applications. The included libraries
 encapsulate all the essentials, such as common math data types, console
 logging, 3D mesh management, and asynchronous message passing.
 .
 The package ships the ignition transport libraries

Package: libignition-transport4-dev
Description-md5: e1985ab7656165bafacd886b3462257d
Description-en: Ignition Robotics transport Library - Development files
 Ignition transport library combines ZeroMQ with Protobufs to create a fast and
 efficient message passing system. Asynchronous message publication and
 subscription is provided along with service calls and discovery.
 .
 Ignition Robtics is a set of simple libraries that provide useful
 functionality to bootstrap robot applications. The included libraries
 encapsulate all the essentials, such as common math data types, console
 logging, 3D mesh management, and asynchronous message passing.
 .
 The package ships the ignition transport development headers and libraries

Package: libigraph0-dev
Description-md5: f5c998c77b6e408f6030ecba1f61565e
Description-en: library for creating and manipulating graphs - development files
 igraph is a library for creating and manipulating graphs.
 It is intended to be as powerful (ie. fast) as possible to enable the
 analysis of large graphs.
 .
 This package contains the include files and static library for igraph.

Package: libigraph0v5
Description-md5: 3ea33fb618124d2b325cdee8e15acf48
Description-en: library for creating and manipulating graphs
 igraph is a library for creating and manipulating graphs.
 It is intended to be as powerful (ie. fast) as possible to enable the
 analysis of large graphs.
 .
 This is the runtime library package.

Package: libiio-dev
Description-md5: 344b99c2ce753b186e5cf93c375f916d
Description-en: libiio development files
 Libiio is a library that has been conceived to ease the development of
 applications interfacing Industrial Input/Output (IIO) devices through
 the IIO subsystem of the Linux kernel.
 .
 This package contains the development files.

Package: libiio-utils
Description-md5: 2a0dd6dd038e022183e4e42aeca7ac70
Description-en: Miscellaneous libiio utilities
 Libiio is a library that has been conceived to ease the development of
 applications interfacing Industrial Input/Output (IIO) devices through
 the IIO subsystem of the Linux kernel.
 .
 This package contains several basic utilities for testing libiio.

Package: libiio0
Description-md5: e824a09773dd29a067dcdf839bc28038
Description-en: Library for interfacing with IIO devices
 Libiio is a library that has been conceived to ease the development of
 applications interfacing Industrial Input/Output (IIO) devices through
 the IIO subsystem of the Linux kernel.
 .
 This package contains the shared library.

Package: libiio0-doc
Description-md5: 98e3d7ce4311a6507ed0bc09f53e637d
Description-en: libiio documentation
 Libiio is a library that has been conceived to ease the development of
 applications interfacing Industrial Input/Output (IIO) devices through
 the IIO subsystem of the Linux kernel.
 .
 This package contains the documentation in HTML format.

Package: libij-java
Description-md5: 60a5944fc6161cfff92c5ed963bc6a81
Description-en: Java library for ImageJ
 ImageJ is a program for image analysis and processing, with a focus
 on microscopy images.
 .
 This package contains the Java library to make use of ImageJ.

Package: libij-java-doc
Description-md5: 96c3eb5303888c2068d2c0d5ab8d7c38
Description-en: documentation of libij-java
 ImageJ is a program for image analysis and processing, with a focus
 on microscopy images.
 .
 This package contains the API documentation of libij-java.

Package: libiksemel-dev
Description-md5: b83cd63e7e606266d3b160242643cfb4
Description-en: C library for the Jabber IM platform - development files
 iksemel handles Jabber connections, parses XML, and sends and
 receives Jabber messages. It works pretty good for parsing other
 kinds of XML, too, if the need arises.
 .
 This package provides headers, static linked library and info
 documentation.

Package: libiksemel-utils
Description-md5: 212daed76ccf9e4ec2603a41ec5311be
Description-en: utilities from the iksemel library
 iksemel handles Jabber connections, parses XML, and sends and
 receives Jabber messages. It works pretty good for parsing other
 kinds of XML, too, if the need arises.
 .
 This package includes three utilitaries from the library: ikslint,
 which checks xml files for well-formedness, iksperf, which tests
 speed and memory usage, and, finally, iksroster, which backups your
 roster.

Package: libiksemel3
Description-md5: 457d9c19275d0852aca269045b5b857e
Description-en: C library for the Jabber IM platform
 iksemel handles Jabber connections, parses XML, and sends and
 receives Jabber messages. It works pretty good for parsing other
 kinds of XML, too, if the need arises.

Package: libilmbase-dev
Description-md5: 428facc78290d93656d5adbef1d547f3
Description-en: development files for IlmBase
 This package provides the development files for IlmBase, a set of
 utility libraries released by ILM and used by OpenEXR. Install this
 package if you want to compile a program that makes use of these
 libraries.

Package: libilmbase24
Description-md5: a7cd0a047c4855d797cba2d4ba5c5284
Description-en: several utility libraries from ILM used by OpenEXR
 IlmBase are a set of utility libraries released by ILM, and used in
 their OpenEXR implementation. Included in this package you can find:
 .
  * libHalf: a class (Half) for manipulating "half" values (16-bit
    floating point format) as if they were a built-in C++ data type.
 .
  * libIlmThread: a thread abstraction library on top of pthreads.
 .
  * libImath: a math library with support for matrices, 2d- and
    3d-transformations, solvers for linear/quadratic/cubic equations,
    and more.
 .
  * libIex: an exception handling library.

Package: libima-dbi-perl
Description-md5: 15d6f3b63627d604e40f6696b145a3f1
Description-en: module for database connection caching and organization
 Ima::DBI attempts to organize and facilitate caching and more
 efficient use of database connections and statement handles.
 .
 One of the things that can be annoying about writing large programs
 with DBI is making sure that you do not have duplicate database
 handles open. There is also the issue of the somewhat wasteful nature
 of the prepare/execute/finish route that users tend to go through.
 .
 The new DBI->connect_cached and DBI->prepare_cached help a lot, but
 you still have to throw around global information about the data
 source, username and password.
 .
 So, after a while the author grew a small library of DBI helper
 routines and techniques.  Ima::DBI is the culmination of all this,
 put into a nice(?), clean(?) class to be inherited from.

Package: libimage-base-bundle-perl
Description-md5: acc04c572e17c5ccb5deb3239c54c9c4
Description-en: set of modules for loading, saving and creating xpm and xbm images
 A set of perl modules for loading, saving, and creating xpm and xbm
 images. Contains the following modules:
 .
  Image::Base
  Image::Xpm
  Image::Xbm
 .
 Requred by Image::Info to parse xpm and xbm files.

Package: libimage-exif-perl
Description-md5: 5b28f3195564d98f3811494406701e05
Description-en: Perl module to extract EXIF information from image files
 The Image::EXIF module allows you to extract EXIF information from your
 image files, especially photographs taken with a digital camera.
 .
 It supports some of the vendor extensions to the EXIF format used by
 some cameras.

Package: libimage-exiftool-perl
Description-md5: 0aff02b38eb9b072da80d5886bf88f91
Description-en: library and program to read and write meta information in multimedia files
 Image::ExifTool is a customizable set of Perl modules plus a full-featured
 command-line application called exiftool for reading and writing meta
 information in a wide variety of files, including the maker note information
 of many digital cameras by various manufacturers such as Canon, Casio, DJI,
 FLIR, FujiFilm, GE, GoPro, HP, JVC/Victor, Kodak, Leaf, Minolta/Konica-Minolta,
 Nikon, Nintendo, Olympus/Epson, Panasonic/Leica, Pentax/Asahi, Phase One,
 Reconyx, Ricoh, Samsung, Sanyo, Sigma/Foveon and Sony.
 .
 The following modules/packages are recommended for specific features, e.g.
 decoding compressed and/or encrypted information from the indicated file
 types, calculating digest values for some information types, etc.:
 .
  * Archive::Zip / libarchive-zip-perl: ZIP, DOCX, PPTX, XLSX, ODP, ODS, ODT,
    EIP, iWork
  * Unicode::LineBreak / libunicode-linebreak-perl: for column-alignment of
    alternate language output
  * POSIX::strptime / libposix-strptime-perl: for inverse date/time conversion
  * Time::Piece (in perl core): alternative to POSIX::strptime
  * IO::Compress::RawDeflate + IO::Uncompress::RawInflate (in perl core): for
    reading FLIF images

Package: libimage-geometry-dev
Description-md5: 98529b19e7996bcf95778ef90df49c25
Description-en: image_geometry Robot OS package - development
 This package is part of Robot OS (ROS). It contains libraries for interpreting
 images geometrically. It interfaces the calibration parameters in
 sensor_msgs/CameraInfo messages with OpenCV functions such as
 image rectification, much as cv_bridge interfaces ROS sensor_msgs/Image with
 OpenCV data types.
 .
 This package contains the C++ development library.

Package: libimage-geometry0d
Description-md5: d64436dbebd8ff9dfbfe18dd031b946d
Description-en: image_geometry Robot OS package - runtime
 This package is part of Robot OS (ROS). It contains libraries for interpreting
 images geometrically. It interfaces the calibration parameters in
 sensor_msgs/CameraInfo messages with OpenCV functions such as
 image rectification, much as cv_bridge interfaces ROS sensor_msgs/Image with
 OpenCV data types.
 .
 This package contains the C++ library.

Package: libimage-imlib2-perl
Description-md5: 032c607f46a29f85cb2ab8803433ae05
Description-en: perl interface to the imlib2 imaging library
 Image::Imlib2 is a perl interface to Imlib2 that allows you to use
 imlib2 to read, write, scale, crop, draw, and blend graphics
 formats in your favorite perl program.

Package: libimage-info-perl
Description-md5: cb9718daeaf6791e766a7efb042e35a0
Description-en: allows extraction of meta information from image files
 Image::Info allows you to extract meta information from various types
 of image files. In this release the following file formats are
 supported:
 .
   JPEG (plain JFIF and Exif)
   PNG
   GIF
   PBM/PGM/PPM
   SVG
   XBM/XPM
   BMP/DIB/RLE
   TIFF
   WEBP

Package: libimage-librsvg-perl
Description-md5: d38abae6b29252b728947400c788a39f
Description-en: Perl binding for the GNOME librsvg SVG renderer library
 The Image::LibRSVG module provides a Perl interface to the librsvg GNOME
 library - an efficient renderer for Scalable Vector Graphics (SVG) images.
 This package allows Perl scripts to rasterize SVG drawings into bitmap images.

Package: libimage-magick-perl
Description-md5: 7ce8b44a017b6b1792ace5babb8a40cb
Description-en: Perl interface to the ImageMagick graphics routines
 PerlMagick is an objected-oriented Perl interface to ImageMagick.
 Use the module to read, manipulate, or write an image or image sequence from
 within a Perl script. This makes it very suitable for Web CGI scripts.
 .
 This is the compatibility (wrapper) perlmagick package that
 use the default channel depth.
 .
 This package provides the perl Image::Magick class.

Package: libimage-magick-q16-perl
Description-md5: b2b7bcf0fd3b8cca1a12186f85981002
Description-en: Perl interface to the ImageMagick graphics routines -- Q16 version
 PerlMagick is an objected-oriented Perl interface to ImageMagick.
 Use the module to read, manipulate, or write an image or image sequence from
 within a Perl script. This makes it very suitable for Web CGI scripts.
 .
 This version of libimage-magick is compiled for a channel
 depth of 16 bits (Q16).
 .
 This package provides the perl Image::Magick::Q16 class.

Package: libimage-magick-q16hdri-perl
Description-md5: 8507376c7886a5145af7be82f371b30e
Description-en: Perl interface to the ImageMagick graphics routines -- Q16HDRI version
 PerlMagick is an objected-oriented Perl interface to ImageMagick.
 Use the module to read, manipulate, or write an image or image sequence from
 within a Perl script. This makes it very suitable for Web CGI scripts.
 .
 This version of libimage-magick is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).
 .
 This package provides the perl Image::Magick::Q16HDRI class.

Package: libimage-math-constrain-perl
Description-md5: 590c5e234050c4e8eecd386c7f3618ee
Description-en: Perl module performing math used to constrain image sizes
 Image::Math::Constrain is a Perl module that implements all of the math behind
 implementing image size constraints. It allows one to restrict the dimensions
 of an image by checking to see if the image is larger than the desired final
 dimensions, and if so, provide a way to scale the image down proportionally so
 it fits within the constraints. It can set constraints based on width, height,
 or both, and is guaranteed to return dimensions that are proportional to the
 original -- that is, they will have the same aspect ratio. It also returns the
 necessary scaling factor, so you can pass it to a module to do the work.

Package: libimage-metadata-jpeg-perl
Description-md5: 629a97daab8f3ffc69e5b81dfe3bc986
Description-en: Perl extension for showing/modifying JPEG (meta)data
 This package provides an interface for reading and interpreting the
 content of JPEG segments, in particular of those segments containing
 metadata (like TIFF headers, thumbnails, Exif info, IPTC info, comments,
 etc.). Some segments can even be modified and rewritten to disk.
 .
 The author claims that this module is still EXPERIMENTAL: use it at your
 own risk.

Package: libimage-sane-perl
Description-md5: 2c5e2c696dab17872b88c51a0c39356f
Description-en: Perl extension for the SANE (Scanner Access Now Easy) Project
 The Image::Sane Perl bindings for the SANE (Scanner Access Now Easy) Project
 allow you to access SANE-compatible scanners in a Perlish and object-oriented
 way, freeing you from the casting and memory management in C, yet remaining
 very close in spirit to original API.

Package: libimage-seek-perl
Description-md5: 037edc2fd78f864cbe1a25a38dbb5b0b
Description-en: Perl module to find similar pictures in a library
 ImgSeek (http://www.imgseek.net/) is an implementation of Haar wavelet
 decomposition techniques to find similar pictures in a library.
 Image::Seek is a port of the ImgSeek library to Perl's XS. It can deal with
 image objects produced by the Imager and Image::Imlib2 libraries.

Package: libimage-size-perl
Description-md5: e5ed230ff65662d05ed00e7d2712dad3
Description-en: module for determining image sizes in several common formats
 Image::Size is a Perl module capable of determining image sizes from several
 common formats. It handles XPM, XBM, GIF, JPEG, PNG, MNG, the PPM family of
 formats (PPM/PGM/PBM), TIFF, FlashMX (Shockwave Flash version 6), WMF/EMF,
 and more.
 .
 It supports additional file types through Image::Magick, and the included
 "imgsize" script provides access to Image::Size from the shell.

Package: libimage-transport-dev
Description-md5: 60062d16b7fc2ca532a1a65a8fa0af97
Description-en: Robot OS image_transport package - development
 This package is part of Robot OS (ROS). It contains the C++ header
 files for the image_transport transport library which should always
 be used to subscribe to and publish images. It provides transparent
 support for transporting images in low-bandwidth compressed
 formats. Examples (provided by separate plugin packages) include
 JPEG/PNG compression and Theora streaming video.

Package: libimage-transport0d
Description-md5: 6eb999957b7c13c6e452da6d8ad5e7d6
Description-en: Robot OS image_transport package
 This package is part of Robot OS (ROS). This library should always be
 used to subscribe to and publish images. It (image_transport)
 provides transparent support for transporting images in low-bandwidth
 compressed formats. Examples (provided by separate plugin packages)
 include JPEG/PNG compression and Theora streaming video.

Package: libimageclasses1
Description-md5: 2d71559b73509025517bedfa98a0ecff
Description-en: GDAL add-on tools to perform useful raster processing - libimageClasses
 Pktools is a collection of programs to perform operations, mostly on
 raster geolocated  images. It  heavily relies  on the  Geospatial Data
 Abstraction Library (GDAL) and OGR.  The programs are similar to the
 GDAL tools (gdalinfo, gdal_translate,  gdal_merge, ...) and some of the
 functionalities provided in pktools already exist in the GDAL tools.
 .
 All utilities in pktools use command line options and have a built
 in help, and include more than thirty binaries to edit, change, crop,
 classify, compare, dump, fill, enhance images and many other
 common operations useful in the remote sensing field of image
 analysis.
 .
 This package contains the libimageClasses shared library.

Package: libimager-perl
Description-md5: d9cd77a33f4098a5a2b1f0bda5327165
Description-en: Perl extension for generating 24-bit images
 Imager is a module for creating and altering images. It can read and write
 various image formats, draw primitive shapes like lines and polygons, blend
 multiple images together in various ways, scale, crop, render text and more.
 .
 Imager also has convenience functions for things like color space conversion
 (convert RGB to HSV and back).

Package: libimager-qrcode-perl
Description-md5: 7c4a690b6323987e4f5b03d67f1da9c3
Description-en: Generate QR code with Imager using libqrencode
 This is the CPAN Perl module Imager::QRCode.
 .
 The module adds an easy-to-use interface to Imager for QR code
 generation. The actual code generation is done by the system library
 libqrencode.

Package: libimap-admin-perl
Description-md5: 0773ffe727de73e581a6e31a609865a3
Description-en: Perl module for administrating IMAP servers
 IMAP::Admin provides basic IMAP server administration functions, providing
 functions for creating and deleting mailboxes, as well as setting various
 information such as quotas and access rights. It should theoretically work
 with any RFC compliant IMAP server, and also performs CAPABILITY checks for
 specific extensions to determine if they are supported.

Package: libimdb-film-perl
Description-md5: ba605b9972283d81e815fc5cb3e2792f
Description-en: Perl extension for retrieving movie info from IMDB.com
 This package includes the IMDB::Films and IMDB::Persons perl modules.
 .
 IMDB::Film allows retrieval of information about movies by its IMDB code or
 title.
 .
 IMDB::Persons allows retrieval of  information about IMDB persons (actors,
 actresses, directors etc): full name, photo, date and place of birth, mini
 bio and filmography.

Package: libime-bin
Description-md5: ca6557a0920883baaa5120a8410e6405
Description-en: Generic Input Method Implementation (tools)
 Libime is a generic input method implementation library. It is mainly
 used by fcitx5, the next generation of fcitx input method framework.
 .
 This package provides tools provided by libime.

Package: libime-data
Description-md5: 68594137a8913e6feb7a1db516f1040f
Description-en: Generic Input Method Implementation library (data files)
 Libime is a generic input method implementation library. It is mainly
 used by fcitx5, the next generation of fcitx input method framework.
 .
 This package provides architecture-independent data files.

Package: libimecore-dev
Description-md5: 53157426ed7b6652be747890d06b21c6
Description-en: Generic Input Method Implementation (core dev files)
 Libime is a generic input method implementation library. It is mainly
 used by fcitx5, the next generation of fcitx input method framework.
 .
 This package provides development files for core library.

Package: libimecore0
Description-md5: 6f7bb5922bd2171a5f8ae72a1e60d8a5
Description-en: Generic Input Method Implementation (core library)
 Libime is a generic input method implementation library. It is mainly
 used by fcitx5, the next generation of fcitx input method framework.
 .
 This package provides its core library.

Package: libimepinyin-dev
Description-md5: 6c0339eea366c84f8fc60a8e417fd6bb
Description-en: Generic Input Method Implementation (pinyin dev files)
 Libime is a generic input method implementation library. It is mainly
 used by fcitx5, the next generation of fcitx input method framework.
 .
 This package provides development files for pinyin library.

Package: libimepinyin0
Description-md5: 152557ea6e2f096dad7f90b19749048b
Description-en: Generic Input Method Implementation (pinyin library)
 Libime is a generic input method implementation library. It is mainly
 used by fcitx5, the next generation of fcitx input method framework.
 .
 This package provides its pinyin library.

Package: libimetable-dev
Description-md5: f3e2489a301581c0e8e49a2d1de8ec4a
Description-en: Generic Input Method Implementation (table dev files)
 Libime is a generic input method implementation library. It is mainly
 used by fcitx5, the next generation of fcitx input method framework.
 .
 This package provides development files for table library.

Package: libimetable0
Description-md5: e5bb4d31f5d403d671e155e3cba72f15
Description-en: Generic Input Method Implementation (table library)
 Libime is a generic input method implementation library. It is mainly
 used by fcitx5, the next generation of fcitx input method framework.
 .
 This package provides its table library.

Package: libimglib2-java
Description-md5: cb1ab9ad1dab3f796f4d01e5ecbe075e
Description-en: multidimensional image processing library in Java
 A multidimensional, type-agnostic image processing library.
 .
 It provides an interface-driven design that supports numeric and non-
 numeric data types (8-bit unsigned integer, 32-bit floating point, etc.)
 in an extensible way. It implements several data sources and sample
 organizations, including one single primitive array, one array per
 plane, N-dimensional array "cells" cached to and from disk on demand,
 and planes read on demand from disk.

Package: libimglib2-java-doc
Description-md5: e0b964de7feaf3ad5b874cf6f56d5e4b
Description-en: documentation for ImgLib2
 A multidimensional, type-agnostic image processing library.
 .
 It provides an interface-driven design that supports numeric and non-
 numeric data types (8-bit unsigned integer, 32-bit floating point, etc.)
 in an extensible way. It implements several data sources and sample
 organizations, including one single primitive array, one array per
 plane, N-dimensional array "cells" cached to and from disk on demand,
 and planes read on demand from disk.
 .
 This package contains the API documentation.

Package: libimgscalr-java
Description-md5: f871e1d90dfd44063494401a193f2907
Description-en: Java Image Scaling Library
 imgscalr is a simple and efficient image-scaling and manipulation library
 implemented in pure Java. It provides performant (hardware accelerated),
 good-looking and intelligent image-scaling algorithms. This class implements
 the Java2D "best practices" when it comes to scaling images as well as Chris
 Campbell's incremental scaling algorithm proposed as the best method to
 downsize images for use as thumbnails.

Package: libiml-dev
Description-md5: 4d519079b17d4c51e6e9ea3fa14de320
Description-en: Integer Matrix Library, development files
 IML is a library for exact, dense linear algebra over the integers.
 IML contains algorithms for nonsingular rational system solving,
 computing the right nullspace of an integer matrix, and certified
 linear system solving.
 .
 In addition, IML provides some low level routines for a variety of
 mod p matrix operations: computing the row-echelon form, determinant,
 rank profile, and inverse of a mod p matrix.  These mod p routines
 are not general purpose; they require that p satisfy some
 preconditions based on the dimension of the input matrix (usually p
 should be prime and should be no more than about 20 bits long).
 .
 This package contains development files for IML.

Package: libiml0
Description-md5: 20e75e542944f02c9bca9083b712e38c
Description-en: Integer Matrix Library, runtime files
 IML is a library for exact, dense linear algebra over the integers.
 IML contains algorithms for nonsingular rational system solving,
 computing the right nullspace of an integer matrix, and certified
 linear system solving.
 .
 In addition, IML provides some low level routines for a variety of
 mod p matrix operations: computing the row-echelon form, determinant,
 rank profile, and inverse of a mod p matrix.  These mod p routines
 are not general purpose; they require that p satisfy some
 preconditions based on the dimension of the input matrix (usually p
 should be prime and should be no more than about 20 bits long).
 .
 This package contains runtime files for IML.

Package: libimlib2
Description-md5: 01cf98f342e6a02d99a3dea6cb541439
Description-en: image loading, rendering, saving library
 Imlib2 is a library that does image file loading and saving as well as
 rendering, manipulation, arbitrary polygon support, etc.
 .
 It does ALL of these operations FAST. Imlib2 also tries to be highly
 intelligent about doing them, so writing naive programs can be done easily,
 without sacrificing speed.

Package: libimlib2-dev
Description-md5: 20057ff80cda4a58e2c0c3f9db5ec97b
Description-en: image loading, rendering, saving library (development files)
 Imlib2 is a library that does image file loading and saving as well as
 rendering, manipulation, arbitrary polygon support, etc.
 .
 It does ALL of these operations FAST. Imlib2 also tries to be highly
 intelligent about doing them, so writing naive programs can be done easily,
 without sacrificing speed.
 .
 This package provides the development files (ie. includes, static library,
 manual pages) that allow one to build software which uses imlib2.

Package: libimobiledevice-utils
Description-md5: 81097058c1fbe7c677ed8c932b62ced6
Description-en: Utitilies for communicating with iPhone and other Apple devices
 This package contains various utilities to interact with iPhone, iPad and iPod
 touch devices, like:
 .
  - idevicepair: add a pairing record to allow communicating with a device
  - idevicebackup2: make a backup of a device, optionnally encrypted
  - idevicescreenshot: get a screenshot of the device
  - idevicesyslog: read a device system log

Package: libimporter-perl
Description-md5: 70efeefa6b57a24065f4ed8fe9a6735e
Description-en: alternative but compatible interface to modules that export symbols
 Importer acts as a layer between Exporter and modules which consume exports.
 It is feature-compatible with Exporter, plus some much needed extras. You can
 use this to import symbols from any exporter that follows Exporters
 specification. The exporter modules themselves do not need to use or inherit
 from the Exporter module, they just need to set @EXPORT and/or other
 variables.

Package: libimvirt-perl
Description-md5: 1968391aa59349bf718e0f4fa8e6abd4
Description-en: Perl module for detecting several virtualizations
 This Perl module ImVirt is able to determine, on which virtualization it is
 running.
 .
 In this version it is able to detect the following virtualization
 technologies:
  ARAnyM
  KVM
  lguest
  LXC
  OpenVZ/Virtuozzo
  QEMU
  UML
  VMware GSX, ESX, Workstation
  Virtual PC/Virtual Server
  VirtualBox
  Xen (para and non-para virtualized)
 .
 And much more.

Package: libinchi-dev
Description-md5: 43d651a5e14855eeb3ecdbbdfe0dd2f9
Description-en: International Chemical Identifier (InChI) algorithm (development files)
 The International Chemical Identifier (InChI) is an Open Source chemical
 structure representation algorithm.
 .
 InChIs are text strings comprising different layers and sublayers of
 information separated by slashes (/). Each InChI strings starts with the
 InChI version number followed by the main layer. This main layer contains
 sublayers for chemical formula, atom connections and hydrogen atoms.
 Depending on the structure of the molecule the main layer may be followed
 by additional layers e. g. for charge, stereochemical and/or isotop
 information.
 .
 This package contains the development header files.

Package: libinchi1
Description-md5: cef798f2652ac1c78d9dc07ceceef413
Description-en: International Chemical Identifier (InChI) algorithm (library)
 The International Chemical Identifier (InChI) is an Open Source chemical
 structure representation algorithm.
 .
 InChIs are text strings comprising different layers and sublayers of
 information separated by slashes (/). Each InChI strings starts with the
 InChI version number followed by the main layer. This main layer contains
 sublayers for chemical formula, atom connections and hydrogen atoms.
 Depending on the structure of the molecule the main layer may be followed
 by additional layers e. g. for charge, stereochemical and/or isotop
 information.
 .
 This package contains the shared library.

Package: libindi-data
Description-md5: 2147f72ad3210176b1dd800e188015bb
Description-en: Instrument-Neutral Device Interface library -- shared data
 INDI (Instrument-Neutral Device Interface) is a distributed XML-based
 control protocol designed to operate astronomical instrumentation.
 INDI is small, flexible, easy to parse, scalable, and stateless.
 It supports common DCS functions such as remote control, data acquisition,
 monitoring, and a lot more.
 .
 This package contains the data files for the INDI library.

Package: libindi-dev
Description-md5: 87bf82675f43ab4b1d583033650b5e46
Description-en: Instrument-Neutral Device Interface library -- development files
 INDI (Instrument-Neutral Device Interface) is a distributed XML-based
 control protocol designed to operate astronomical instrumentation.
 INDI is small, flexible, easy to parse, scalable, and stateless.
 It supports common DCS functions such as remote control, data acquisition,
 monitoring, and a lot more.
 .
 This package contains development headers and libraries for the INDI library.

Package: libindi-plugins
Description-md5: 42c6d49d1fe305e17b481ff3d63f6c67
Description-en: Instrument-Neutral Device Interface library -- plugins
 INDI (Instrument-Neutral Device Interface) is a distributed XML-based
 control protocol designed to operate astronomical instrumentation.
 INDI is small, flexible, easy to parse, scalable, and stateless.
 It supports common DCS functions such as remote control, data acquisition,
 monitoring, and a lot more.
 .
 This package contains binary plugins.

Package: libindialignmentdriver1
Description-md5: 91c1cefc7f4eaf2e5977cc1fa7a80f30
Description-en: Instrument-Neutral Device Interface library -- alignment driver lib
 INDI (Instrument-Neutral Device Interface) is a distributed XML-based
 control protocol designed to operate astronomical instrumentation.
 INDI is small, flexible, easy to parse, scalable, and stateless.
 It supports common DCS functions such as remote control, data acquisition,
 monitoring, and a lot more.
 .
 This package contains the alignment driver shared library.

Package: libindicator-dev
Description-md5: 6547f70e5e653b96dedc74f65f22e75c
Description-en: panel indicator applet - library development files
 This library contains information to build indicators to go into
 the indicator applet.
 .
 This package contains files that are needed to build applications.

Package: libindicator3-7
Description-md5: 646718eb8829a968151e4b7f5f1d7cf1
Description-en: panel indicator applet - shared library
 This library contains information to build indicators to go into
 the indicator applet.
 .
 This package contains the library itself.

Package: libindicator3-dev
Description-md5: 6547f70e5e653b96dedc74f65f22e75c
Description-en: panel indicator applet - library development files
 This library contains information to build indicators to go into
 the indicator applet.
 .
 This package contains files that are needed to build applications.

Package: libindicator3-tools
Description-md5: b62639ce4c223f11f11787a37e524310
Description-en: Tools for libindicator
 Tools useful for developers of applications using indicators.
 .
 This package contains files that are needed to build applications.

Package: libindicator7
Description-md5: 646718eb8829a968151e4b7f5f1d7cf1
Description-en: panel indicator applet - shared library
 This library contains information to build indicators to go into
 the indicator applet.
 .
 This package contains the library itself.

Package: libindidriver1
Description-md5: 60df8aa9fbc23dcb5c3b5fdfddfeb824
Description-en: Instrument-Neutral Device Interface library -- driver library
 INDI (Instrument-Neutral Device Interface) is a distributed XML-based
 control protocol designed to operate astronomical instrumentation.
 INDI is small, flexible, easy to parse, scalable, and stateless.
 It supports common DCS functions such as remote control, data acquisition,
 monitoring, and a lot more.
 .
 This package contains the driver shared library.

Package: libindigo-dev
Description-md5: dea10894f94d66fdb4a10d860a85e480
Description-en: Organic Chemistry Toolkit (development files)
 Indigo is a C++ based organic chemistry and cheminformatics software
 environment.  Features Include:
 .
  * Molecule and reaction rendering including SVG support
  * Automatic layout for SMILES-represented molecules and reactions
  * Canonical (isomeric) SMILES computation
  * Exact matching, substructure matching, SMARTS matching
  * Matching of tautomers and resonance structures
  * Molecule fingerprinting, molecule similarity computation
  * Fast enumeration of SSSR rings, subtrees, and edge sugraphs
  * Molecular weight, molecular formula computation
  * R-Group deconvolution and scaffold detection
  * Computation of the exact maximum common substructure for an
    arbitrary amount of input structures
  * Combinatorial chemistry
  * Plugin support in the API
 .
 File formats Indigo support include MDL Mol, SDF, RDF, CML, SMILES and
 SMARTS.
 .
 This package contains the static library and header files.

Package: libindigo-java
Description-md5: b62953dc76a96bb0458873ae0eeff61e
Description-en: Organic Chemistry Toolkit (Java package)
 Indigo is a C++ based organic chemistry and cheminformatics software
 environment.  Features Include:
 .
  * Molecule and reaction rendering including SVG support
  * Automatic layout for SMILES-represented molecules and reactions
  * Canonical (isomeric) SMILES computation
  * Exact matching, substructure matching, SMARTS matching
  * Matching of tautomers and resonance structures
  * Molecule fingerprinting, molecule similarity computation
  * Fast enumeration of SSSR rings, subtrees, and edge sugraphs
  * Molecular weight, molecular formula computation
  * R-Group deconvolution and scaffold detection
  * Computation of the exact maximum common substructure for an
    arbitrary amount of input structures
  * Combinatorial chemistry
  * Plugin support in the API
 .
 File formats Indigo support include MDL Mol, SDF, RDF, CML, SMILES and
 SMARTS.
 .
 This package contains the Java packages.

Package: libindigo0d
Description-md5: 791d0e041955da4d4809fadbc336a8b8
Description-en: Organic Chemistry Toolkit
 Indigo is a C++ based organic chemistry and cheminformatics software
 environment.  Features Include:
 .
  * Molecule and reaction rendering including SVG support
  * Automatic layout for SMILES-represented molecules and reactions
  * Canonical (isomeric) SMILES computation
  * Exact matching, substructure matching, SMARTS matching
  * Matching of tautomers and resonance structures
  * Molecule fingerprinting, molecule similarity computation
  * Fast enumeration of SSSR rings, subtrees, and edge sugraphs
  * Molecular weight, molecular formula computation
  * R-Group deconvolution and scaffold detection
  * Computation of the exact maximum common substructure for an
    arbitrary amount of input structures
  * Combinatorial chemistry * Plugin support in the API
 .
 File formats Indigo support include MDL Mol, SDF, RDF, CML, SMILES and
 SMARTS.

Package: libindilx200-1
Description-md5: 3ef0b62f32a167f4e2e30b306dc2bd5f
Description-en: Instrument-Neutral Device Interface library -- lx200 driver lib
 INDI (Instrument-Neutral Device Interface) is a distributed XML-based
 control protocol designed to operate astronomical instrumentation.
 INDI is small, flexible, easy to parse, scalable, and stateless.
 It supports common DCS functions such as remote control, data acquisition,
 monitoring, and a lot more.
 .
 This package contains the lx200 driver shared library.

Package: libindirect-perl
Description-md5: 3ffb604001d21c0133ff0194f9fa3c2d
Description-en: module warning about using the indirect object syntax
 When enabled (or disabled as some may prefer to say, since you actually turn
 it on by calling no indirect), the indirect pragma lexically warns about
 indirect object syntax constructs that may have slipped into your code. This
 syntax is now considered harmful, since its parsing has many quirks and its
 use is error prone (when swoosh isn't defined, swoosh $x actually compiles to
 $x->swoosh).
 .
 It currently does not warn for core functions (print, say, exec or system).
 This may change in the future, or may be added as optional features that
 would be enabled by passing options to unimport.
 .
 indirect is not a source filter.

Package: libinfgtk-0.7-0
Description-md5: b69430d2b759d79463f63fcf7b68470f
Description-en: infinote-based collaborative editing (Gtk widgets)
 libinfinity is library to build collaborative text editors. Changes
 to the text buffers are synced to all other clients over a central server.
 Even though a central server is involved, the local user sees his changes
 applied instantly and the merging is done on the individual clients.
 .
 This package contains Gtk widgets for use in libinfinity-based and
 Gtk-based applications. It is built against Gtk3.

Package: libinfinity-0.7-0
Description-md5: 913b12427396814f0b460a0f3ec3fc1e
Description-en: infinote-based collaborative editing
 libinfinity is library to build collaborative text editors. Changes
 to the text buffers are synced to all other clients over a central server.
 Even though a central server is involved, the local user sees his changes
 applied instantly and the merging is done on the individual clients.
 .
 This package contains the shared object files used at runtime by
 libinfinity-based application.

Package: libinfinity-0.7-dbg
Description-md5: 76199d871987f3ccb06360db0d597fe4
Description-en: infinote-based collaborative editing - debugging symbols
 libinfinity is library to build collaborative text editors. Changes
 to the text buffers are synced to all other clients over a central server.
 Even though a central server is involved, the local user sees his changes
 applied instantly and the merging is done on the individual clients.
 .
 These are the debugging symbols for libinfinity, needed only if you try
 to debug an application using it.

Package: libinfinity-0.7-dev
Description-md5: 91269e4483e4c209203c0347e8712a6a
Description-en: infinote-based collaborative editing - development files
 libinfinity is library to build collaborative text editors. Changes
 to the text buffers are synced to all other clients over a central server.
 Even though a central server is involved, the local user sees his changes
 applied instantly and the merging is done on the individual clients.
 .
 This package contains the C headers of libinfinity and all files needed
 to link applications dependening on this library.

Package: libinfinity-0.7-doc
Description-md5: a2163d71e7110583cd77843aa31a0398
Description-en: infinote-based collaborative editing - documentation
 libinfinity is library to build collaborative text editors. Changes
 to the text buffers are synced to all other clients over a central server.
 Even though a central server is involved, the local user sees his changes
 applied instantly and the merging is done on the individual clients.
 .
 This package contains the API documentation of libinfinity.

Package: libinfluxdb-lineprotocol-perl
Description-md5: e4d7cf6a4623c32302ebbaf0234367dc
Description-en: write and read InfluxDB LineProtocol
 The InfluxDB time series database (since version 0.9) uses a LineProtocol
 to write time series data into the database. InfluxDB::LineProtocol makes
 it possible to generate such a line from a data-structure, handling all
 the annoying escaping and sorting. It can also be used to parse a line
 (perhaps produced by other code) so that it can be further modified.

Package: libinhomog-dev
Description-md5: 279a9bef60aac482c68fa251624aea39
Description-en: static library for kin.backreaction/average scale factor
 The inhomog library calculates average cosmological expansion.
 The library provides Raychaudhuri integration of cosmological
 domain-wise average scale factor evolution using an analytical formula for
 kinematical backreaction Q_D evolution. The inhomog main program illustrates
 biscale examples. The library routine lib/Omega_D_precalc.c is callable by
 RAMSES using ramses-scalav (see Roukema 2018 A&A 610, A51, arXiv:1706.06179).
 .
 This package contains the static libraries and header files that
 you will need if you wish to compile a program that uses inhomog
 as a library.

Package: libinhomog0
Description-md5: ae3634a38e51fb58597b32ac7208cd42
Description-en: shared library for kin.backreaction/average scale factor
 The inhomog library calculates average cosmological expansion.
 The library provides Raychaudhuri integration of cosmological
 domain-wise average scale factor evolution using an analytical formula for
 kinematical backreaction Q_D evolution. The inhomog main program illustrates
 biscale examples. The library routine lib/Omega_D_precalc.c is callable by
 RAMSES using ramses-scalav (see Roukema 2018 A&A 610, A51, arXiv:1706.06179).
 .
 This package contains inhomog's shared libraries. To compile your
 own programs with inhomog, you need to install libinhomog-dev.

Package: libini4j-java
Description-md5: 2e1d9315de4c79e9675aaaba5c29ef8c
Description-en: Java API for handling Windows ini file format (library)
 The ini4j is a simple Java API for handling configuration
 files in Windows .ini format. Additionally, the library
 includes Java Preferences API implementation based
 on the .ini file.
 .
 This package contains the ini4j library.

Package: libini4j-java-doc
Description-md5: 2e940d184df7b4bfe0ed4e59d173881b
Description-en: Java API for handling Windows ini file format (documentation)
 The ini4j is a simple Java API for handling configuration
 files in Windows .ini format. Additionally, the library
 includes Java Preferences API implementation based
 on the .ini file.
 .
 This package contains Javadoc API documentation.

Package: libinifiles-ocaml
Description-md5: 443d57c2c2d5e67f11abc31940fa1dee
Description-en: read and write .ini files for OCaml (runtime)
 This library allow to read and write .ini files. It features
 an object oriented interface to manipulate inifiles. It allows
 sections listing and operation on several inifiles grouped in
 a directory.
 .
 This package contains the shared runtime libraries.

Package: libinifiles-ocaml-dev
Description-md5: 6e6d661abe456e999a1417763d24ae05
Description-en: read and write .ini files for OCaml
 This library allow to read and write .ini files. It features
 an object oriented interface to manipulate inifiles. It allows
 sections listing and operation on several inifiles grouped in
 a directory.

Package: libinih-dev
Description-md5: 83fb0baffecca98a733c4d5b73c517a9
Description-en: simple .INI file parser (development files)
 inih (INI Not Invented Here) is a simple .INI file parser written in C.
 It's only a couple of pages of code, and it was designed to be small and
 simple, so it's good for embedded systems. It's also more or less
 compatible with Python's ConfigParser style of .INI files, including
 RFC 822-style multi-line syntax and name: value entries.
 .
 This package contains the header files for libinih1 and libinireader0.

Package: libinih1
Description-md5: 4818b697d8e5b21ca9216c795eee9697
Description-en: simple .INI file parser
 inih (INI Not Invented Here) is a simple .INI file parser written in C.
 It's only a couple of pages of code, and it was designed to be small and
 simple, so it's good for embedded systems. It's also more or less
 compatible with Python's ConfigParser style of .INI files, including
 RFC 822-style multi-line syntax and name: value entries.

Package: libiniparser-dev
Description-md5: 31178250c1cbea69192509fc9612c97e
Description-en: development files for the iniParser INI file reader/writer
 This package contains development libraries and headers for the iniParser
 INI file reader/writer.
 .
 The iniParser library is a simple C library offering INI file parsing
 services (both reading and writing).

Package: libiniparser-doc
Description-md5: 527adc7bf0361201adc0a985de4c14af
Description-en: documentation files for the iniParser INI file reader/writer
 This package contains HTML documentation for the iniParser INI file
 reader/writer.
 .
 The iniParser library is a simple C library offering INI file parsing
 services (both reading and writing).

Package: libiniparser1
Description-md5: 93c331d12e59bdd3a9f8808d993c2c9b
Description-en: runtime library for the iniParser INI file reader/writer
 This package contains the runtime library for the iniParser INI file
 reader/writer.
 .
 The iniParser library is a simple C library offering INI file parsing
 services (both reading and writing).

Package: libinireader0
Description-md5: 24b12e2bf1485f6c54ad14870f125ca8
Description-en: simple .INI file parser for C++
 INIReader is a simple .INI file parser written for C++ derived from inih.
 It's only a couple of pages of code, and it was designed to be small and
 simple, so it's good for embedded systems. It's also more or less
 compatible with Python's ConfigParser style of .INI files, including
 RFC 822-style multi-line syntax and name: value entries.

Package: libinjeqt-dev
Description-md5: 461a0477e4ac9f664e6b0c8264c6fe9f
Description-en: Dependency injection framework for Qt
 Dependency injection is an implementation of inverse of control and can be
 used to increase program modularity and extendability. In general it also
 results in more testable source code.
 .
 There is a lot of dependency injection frameworks for bytecode based
 languages like Java and C#, for instance Guice and Spring. C++ lacks
 reflection facilities that make dependency injection so powerful in these
 languages.
 .
 Injeqt is an attempt to build a powerful and reliable dependency injection
 framework on Qt's reflection based on MOC (meta-object compiler). For
 version 0.1 some basic features were implemented.
 .
 This package contains development files needed to compile software, that
 uses the library.

Package: libinjeqt1
Description-md5: 06f4d2407a8cb6453b263629de242e63
Description-en: Dependency injection framework for Qt
 Dependency injection is an implementation of inverse of control and can be
 used to increase program modularity and extendability. In general it also
 results in more testable source code.
 .
 There is a lot of dependency injection frameworks for bytecode based
 languages like Java and C#, for instance Guice and Spring. C++ lacks
 reflection facilities that make dependency injection so powerful in these
 languages.
 .
 Injeqt is an attempt to build a powerful and reliable dependency injection
 framework on Qt's reflection based on MOC (meta-object compiler). For
 version 0.1 some basic features were implemented.
 .
 This package contains the library.

Package: libinklevel-dev
Description-md5: 799c3d036fc02f37a95a8e8d775563bf
Description-en: development files for libinklevel5
 The aim of this library is to provide a way to check the ink level of a local
 printer.
 .
 It supports printers attached via parallel port or USB.
 .
 Many HP, Epson and Canon printers are supported.
 .
 Development files.

Package: libinklevel5
Description-md5: 777026578bc10b8a35e20be747d513d8
Description-en: library for checking the ink level of your local printer
 The aim of this library is to provide a way to check the ink level of a local
 printer.
 .
 It supports printers attached via parallel port or USB.
 .
 Many HP, Epson and Canon printers are supported.

Package: libinline-c-perl
Description-md5: 2a89ffe2cd1286112f4fb13a823cb5b1
Description-en: C Language Support for Inline
 Inline::C is a module that allows one to write Perl subroutines in C.
 .
 The Inline module supports multiple programming languages and each language
 has its own support module. This module allows one to use Inline with the C
 programming language.

Package: libinline-files-perl
Description-md5: 84a84ecc0100dbe4de69d27c1e49b74e
Description-en: module to handle multiple virtual files at the end of your code
 Inline::Files generalizes the notion of the __DATA__ marker and the
 associated <DATA> filehandle, to an arbitrary number of markers and
 associated filehandles.

Package: libinline-java-perl
Description-md5: 314d7bc4218c3930aa3be1df9b94b9d1
Description-en: write Perl classes in Java
 The Inline::Java module allows you to put Java source code
 directly "inline" in a Perl script or module.  A Java compiler
 is launched and the Java code is compiled.  Then Perl asks the
 Java classes what public methods have been defined.  These classes
 and methods are available to the Perl program as if they had been
 written in Perl.
 .
 The process of interrogating the Java classes for public methods
 occurs the first time you run your Java code.  The namespace is
 cached, and subsequent calls use the cached version.

Package: libinline-perl
Description-md5: c7fb7501a65859f43766ff888076e086
Description-en: module for producing simple Foreign Function Interfaces
 Inline is a Perl module designed to allow developers to integrate source code
 written in other programming languages directly "inline" in Perl scripts or
 modules. The code is automatically compiled as needed, and then loaded for
 immediate access from Perl.
 .
 Inline saves you from the hassle of having to write and compile your own glue
 code using facilities like XS or SWIG. Simply type the code where you want it
 and run your Perl as normal. All the hairy details are handled for you. The
 compilation and installation of your code chunks all happen transparently;
 all you will notice is the delay of compilation on the first run.

Package: libinline-python-perl
Description-md5: 2d294bf79df2810b56ed9b25770756da
Description-en: module to write Perl subs and classes in Python
 The Inline::Python module allows one to write Perl subroutines in Python. One
 doesn't have to use any funky techniques for sharing most types of data
 between the two languages, either. Inline::Python comes with its own data
 translation service. It converts any Python structures it knows about into
 Perl structures, and vice versa.
 .
 Inline::Python sets up an in-process Python interpreter, runs the code, and
 then examines Python's symbol table for things to bind to Perl. The process
 of interrogating the Python interpreter for globals only occurs the first
 time the Python code is run. The namespace is cached, and subsequent calls
 use the cached version.

Package: libinnodb-dbg
Description-md5: e1f634514b2cf7f9e9ae529fb4f5bd89
Description-en: Embedded InnoDB Library (debug files)
 Embedded InnoDB is used independently of MySQL. It is not a plugin, nor a
 storage engine for MySQL. It is designed to be linked directly into
 application programs, and provides highly efficient, low-level database
 management services, not using SQL
 .
 This package contains debuging symbols.

Package: libinnodb-dev
Description-md5: 0e0b472a8939a1b2fe2d18b6fdf2203f
Description-en: Embedded InnoDB Library (dev files)
 Embedded InnoDB is used independently of MySQL. It is not a plugin, nor a
 storage engine for MySQL. It is designed to be linked directly into
 application programs, and provides highly efficient, low-level database
 management services, not using SQL
 .
 This package contains headers and other development files.

Package: libinnodb3
Description-md5: 578b226d58e6462a497c8a4bfab3c0dd
Description-en: Embedded InnoDB Library
 Embedded InnoDB is used independently of MySQL. It is not a plugin, nor a
 storage engine for MySQL. It is designed to be linked directly into
 application programs, and provides highly efficient, low-level database
 management services, not using SQL
 .
 This package contains the shared library.

Package: libinotify-ocaml
Description-md5: d99ff02128e3b2ab0ed774b9a117bda1
Description-en: OCaml bindings for the inotify API
 This library provides OCaml bindings for using inotify.
 .
 Inotify is a Linux kernel subsystem, that notice changes to the filesystem,
 and report those changes to applications.
 .
 This package contains shared library.

Package: libinotify-ocaml-dev
Description-md5: cdfa745781cafa314adb73004a226cde
Description-en: OCaml bindings for the inotify API
 This library provides OCaml bindings for using inotify.
 .
 Inotify is a Linux kernel subsystem, that notice changes to the filesystem,
 and report those changes to applications.
 .
 This package contains header and OCaml library.

Package: libinotifytools0
Description-md5: a71513de41931b25a4024cda6dc521a4
Description-en: utility wrapper around inotify
 Inotify is a Linux kernel feature enabling user space programs to
 monitor parts of the filesystem in a efficient way. libinotifytools
 is a thin layer on top of the kernel interface which makes it easy
 to set up watches on many files at once, read events without having
 to deal with low-level I/O, and several utility functions for inotify-
 related string formatting

Package: libinotifytools0-dev
Description-md5: de409149937acda109beb6ac4968f84d
Description-en: Development library and header files for libinotifytools0
 Headers, static libraries, and documentation for the libinotifytools
 library.
 .
 libinotifytools is a thin layer on top of the kernel interface which makes it
 easy to set up watches on many files at once, read events without having to
 deal with low-level I/O, and several utility functions for inotify-related
 string formatting

Package: libinput-pad-dev
Description-md5: 875298fcf34ddde81e23f6ed99cd11d2
Description-en: On-screen Input Pad to Send Characters with Mouse - dev
 The input pad is a tool to send a character to text applications when the
 corresponging button is pressed. It provides the GTK+ based GUI and can send
 characters when the GTK+ buttons are pressed.
 .
 This package contains the header files.

Package: libinput-pad-xtest
Description-md5: cb82b12813ca98d55dd39b128cfda025
Description-en: On-screen Input Pad to Send Characters with Mouse - xtest
 The input pad is a tool to send a character to text applications when the
 corresponging button is pressed. It provides the GTK+ based GUI and can send
 characters when the GTK+ buttons are pressed.
 .
 This package contains the XTEST module for input-pad.

Package: libinput-pad1
Description-md5: 70fcdc1e985ccde17c594e20f1679445
Description-en: On-screen Input Pad to Send Characters with Mouse - libs
 The input pad is a tool to send a character to text applications when the
 corresponging button is pressed. It provides the GTK+ based GUI and can send
 characters when the GTK+ buttons are pressed.
 .
 This package contains libraries for other applications.

Package: libinput-tools
Description-md5: f642a39a00586a04a50f0a346b1355d4
Description-en: input device management and event handling library - command line tools
 libinput is a library that handles input devices for display servers and
 other applications that need to directly deal with input devices.
 .
 It provides device detection, device handling, input device event
 processing and abstraction to minimize the amount of custom input
 code the user of libinput needs to provide the common set of
 functionality that users expect.
 .
 This package includes the command line tools.

Package: libinputsynth-0.13-0
Description-md5: a4e59a8f7326267724bcf06d5686bbd1
Description-en: synthesize keyboard and mouse input
 synthesize keyboard and mouse input on X11 and Wayland with various backends.
 .
 This package includes the loader library.

Package: libinputsynth-dev
Description-md5: 21d2cfdd836717eb0677332be687408a
Description-en: synthesize keyboard and mouse input -- development files
 synthesize keyboard and mouse input on X11 and Wayland with various backends.
 .
 This package includes files needed for development.

Package: libinsane-dev
Description-md5: 0d6ad90ed4a65d99737dbad39956b030
Description-en: Library to access scanner - development files
 A cross-platform, cross-programming languages, cross scanner library
 that takes care of all the quirks of scanners.
 .
 This package installs development headers.

Package: libinsane-doc
Description-md5: 4e267f3753283986ed4383ac389dba42
Description-en: Library to access scanner - documentation
 A cross-platform, cross-programming languages, cross scanner library
 that takes care of all the quirks of scanners.
 .
 This package contains the documentation.

Package: libinsane1
Description-md5: c8fca11676045ced08a0dda406782abf
Description-en: Library to access scanner
 A cross-platform, cross-programming languages, cross scanner library
 that takes care of all the quirks of scanners.
 .
 This package installs library.

Package: libinsighttoolkit4-dev
Description-md5: 7c77a9e39520070c554c11fd98779e8a
Description-en: Image processing toolkit for registration and segmentation - development
 ITK is an open-source software toolkit for performing registration and
 segmentation. Segmentation is the process of identifying and
 classifying data found in a digitally sampled
 representation. Typically the sampled representation is an image
 acquired from such medical instrumentation as CT or MRI
 scanners. Registration is the task of aligning or developing
 correspondences between data. For example, in the medical
 environment, a CT scan may be aligned with a MRI scan in order to
 combine the information contained in both.
 .
 This package contains the development files needed to build your own
 ITK applications.

Package: libinsighttoolkit4.13
Description-md5: 2ba42b352089bd81c20d4dec640d139a
Description-en: Image processing toolkit for registration and segmentation - runtime
 ITK is an open-source software toolkit for performing registration and
 segmentation. Segmentation is the process of identifying and
 classifying data found in a digitally sampled
 representation. Typically the sampled representation is an image
 acquired from such medical instrumentation as CT or MRI
 scanners. Registration is the task of aligning or developing
 correspondences between data. For example, in the medical
 environment, a CT scan may be aligned with a MRI scan in order to
 combine the information contained in both.
 .
 This package contains the libraries needed to run ITK applications.

Package: libinstaparse-clojure
Description-md5: 9529606fa935245835edb777f7731857
Description-en: simple way to build parsers in Clojure
 Instaparse aims to be the simplest way to build parsers in Clojure. It Turns
 standard EBNF or ABNF notation for context-free grammars into an executable
 parser that takes a string as an input and produces a parse tree for that
 string.

Package: libinstpatch-1.0-2
Description-md5: cb67bc56a835c994c24e2bbedbd6eab3
Description-en: MIDI instrument editing library
 libInstPatch stands for lib-Instrument-Patch and is a library for
 processing digital sample based MIDI instrument "patch" files.
 The types of files libInstPatch supports are used for creating
 instrument sounds for wavetable synthesis. This library provides
 an object framework (based on GObject) to load patch files into,
 which can then be edited, converted, compressed and saved.
 .
 More information can be found on the Project Swami website
 http://swami.sourceforge.net.
 .
 This package contains the shared library.

Package: libinstpatch-dev
Description-md5: 0c47f1d95b0d0fde38defd9ffaf771a0
Description-en: MIDI instrument editing library development files
 libInstPatch stands for lib-Instrument-Patch and is a library for
 processing digital sample based MIDI instrument "patch" files.
 The types of files libInstPatch supports are used for creating
 instrument sounds for wavetable synthesis. This library provides
 an object framework (based on GObject) to load patch files into,
 which can then be edited, converted, compressed and saved.
 .
 More information can be found on the Project Swami website
 http://swami.sourceforge.net.
 .
 This package contains the headers and static library.

Package: libint-dev
Description-md5: bc1260b1a27217ac39b5ca5392604d03
Description-en: Evaluate the integrals in modern atomic and molecular theory (devel)
 The LIBINT library is used to evaluate the traditional (electron repulsion)
 and certain novel two-body matrix elements (integrals) over Cartesian
 Gaussian functions used in modern atomic and molecular theory. The idea
 of the library is to let computer write optimized code for computing
 such integrals. There are two primary advantages to this: much less
 human effort is required to write code for computing new integrals, and
 code can be optimized specifically for a particular computer
 architecture (e.g., vector processor).
 .
 LIBINT has been utilized to implement methods such as Hartree-Fock (HF)
 and Kohn-Sham density functional theory (KS DFT), second-order
 Moeller-Plesset perturbation theory (MP2), coupled cluster singles and
 doubles (CCSD) method, as well as explicitly correlated R12 methods.
 .
 This package contains the development header files.

Package: libint1
Description-md5: 743a7c2856769633e13b13722aa189e8
Description-en: Evaluate the integrals in modern atomic and molecular theory
 The LIBINT library is used to evaluate the traditional (electron repulsion)
 and certain novel two-body matrix elements (integrals) over Cartesian
 Gaussian functions used in modern atomic and molecular theory. The idea
 of the library is to let computer write optimized code for computing
 such integrals. There are two primary advantages to this: much less
 human effort is required to write code for computing new integrals, and
 code can be optimized specifically for a particular computer
 architecture (e.g., vector processor).
 .
 LIBINT has been utilized to implement methods such as Hartree-Fock (HF)
 and Kohn-Sham density functional theory (KS DFT), second-order
 Moeller-Plesset perturbation theory (MP2), coupled cluster singles and
 doubles (CCSD) method, as well as explicitly correlated R12 methods.
 .
 This package contains the shared library.

Package: libint2-2
Description-md5: 3637789ddd04b5e1841a69e403831ed9
Description-en: Computation Chemistry Integral Evaluation Library
 The LIBINT library is used to evaluate the traditional (electron repulsion)
 and certain novel two-body matrix elements (integrals) over Cartesian
 Gaussian functions used in modern atomic and molecular theory. The idea
 of the library is to let computer write optimized code for computing
 such integrals. There are two primary advantages to this: much less
 human effort is required to write code for computing new integrals, and
 code can be optimized specifically for a particular computer
 architecture (e.g., vector processor).
 .
 LIBINT has been utilized to implement methods such as Hartree-Fock (HF)
 and Kohn-Sham density functional theory (KS DFT), second-order
 Moeller-Plesset perturbation theory (MP2), coupled cluster singles and
 doubles (CCSD) method, as well as explicitly correlated R12 methods.
 .
 This package contains the shared library.

Package: libint2-dev
Description-md5: 44c4a463724d8dab7cd843a07c0ff0f5
Description-en: Computation Chemistry Integral Evaluation Library (development files)
 The Libint2 library is used to evaluate the traditional (electron
 repulsion) and certain novel two-body matrix elements (integrals) over
 Cartesian Gaussian functions used in modern atomic and molecular
 theory.  The idea of the library is to let computer write optimized
 code for computing such integrals. There are two primary advantages to
 this: much less human effort is required to write code for computing
 new integrals, and code can be optimized specifically for a particular
 computer architecture (e.g., vector processor).
 .
 Libint2 has been utilized to implement methods such as Hartree-Fock
 (HF) and Kohn-Sham density functional theory (KS DFT), second-order
 Moeller-Plesset perturbation theory (MP2), coupled cluster singles and
 doubles (CCSD) method, as well as explicitly correlated R12 methods.
 .
 This package contains the static library and header files.

Package: libintegers-ocaml
Description-md5: 8125aacb8368fcfb81ac5c463be7203e
Description-en: library of various integer types for OCaml (runtime)
 The ocaml-integers library provides a number of 8-, 16-, 32- and
 64-bit signed and unsigned integer types, together with aliases such
 as long and size_t whose sizes depend on the host platform.
 .
 This package contains runtime files.

Package: libintegers-ocaml-dev
Description-md5: a28ce13a439e021e57e5995899b87d0e
Description-en: library of various integer types for OCaml (development)
 The ocaml-integers library provides a number of 8-, 16-, 32- and
 64-bit signed and unsigned integer types, together with aliases such
 as long and size_t whose sizes depend on the host platform.
 .
 This package contains development files.

Package: libintellij-annotations-java
Description-md5: b4f6d0d3a38f0460fc4c8df09f208f54
Description-en: transitional package for libjetbrains-annotations-java
 JetBrains Annotations is a set of annotations used for code inspection
 support and code documentation. It is part of the IntelliJ IDEA Community
 Edition and can be used among other things to annotate String fields, local
 variables, method parameters and methods returning Strings.
 .
 This package provides com.intellij.annotations.jar and Maven metadata
 for compatibility with earlier upstream versions.

Package: libintellij-annotations-java-doc
Description-md5: e45738e1ba68ad25707de6a10b995412
Description-en: transitional package for libjetbrains-annotations-java-doc
 JetBrains Annotations is a set of annotations used for code inspection
 support and code documentation. It is part of the IntelliJ IDEA Community
 Edition and can be used among other things to annotate String fields, local
 variables, method parameters and methods returning Strings.
 .
 This package contains symlinks to the actual documentation now in
 libjetbrains-annotations-java, and can be safely removed.

Package: libintellij-java-compatibility-java
Description-md5: 4b156015571b38fb404b8583c7679186
Description-en: Classes from JDK8 excluded from JDK9
 This package contains jars which have classes that are in
 jdk 8 but not in jdk 9. This package is used to build Kotlin.

Package: libinteractive-markers-dev
Description-md5: 4c69b723f2043508780efb8b38c09e5c
Description-en: Robot OS interactive_markers package - development files
 This package is part of Robot OS (ROS). It is a 3D interactive marker
 communication library for RViz and similar tools.
 .
 This package contains the development files.

Package: libinteractive-markers1d
Description-md5: 2be8a6fbc63703d85259d5ebefdd59bc
Description-en: Robot OS interactive_markers package
 This package is part of Robot OS (ROS). It is a 3D interactive marker
 communication library for RViz and similar tools.
 .
 This package contains the library itself.

Package: libinterimap
Description-md5: 2275be51eb35e0793fb140338636d8e1
Description-en: Net::IMAP::InterIMAP library and utils
 Net::IMAP::InterIMAP is a minimal IMAP4rev1 client for QRESYNC-capable
 servers, used by interimap.  It can also be used with non QRESYNC-capable
 servers, but doing so can be unsafe as the client does not store any
 in-session mapping between message sequence numbers and UIDs.

Package: libinternals-perl
Description-md5: 04bc4c317706586700749523f9140451
Description-en: Perl module for write-protecting variables and manipulating refcounts
 Internals allows you to write-protect and write-enable your Perl variables,
 objects and data structures.
 .
 Moreover, the reference count of any Perl variable can be read and set.
 .
 You can never pass the object directly on which to perform the desired
 action, you always have to pass a reference to the variable or data structure
 in question.
 .
 This comes in handy for objects and anonymous data structures, where you only
 have a reference anyway!

Package: libintl-perl
Description-md5: 094d9efec11965e17ecdcc0ba27ea83e
Description-en: Uniforum message translations system compatible i18n library
 libintl-perl is an internationalization library for Perl that aims to be
 compatible with the Uniforum message translations system as implemented for
 example in GNU gettext.

Package: libintl-xs-perl
Description-md5: c919928c6b051653595f0ee48e6343dd
Description-en: Uniforum message translations system compatible i18n library
 libintl-perl is an internationalization library for Perl that aims to be
 compatible with the Uniforum message translations system as implemented for
 example in GNU gettext.
 .
 This package contains the XS Implementation of Uniforum Message Translation,
 which is, thanks to the use of C code and libraries, a little bit faster than
 the pure Perl implementation.

Package: libinventor1
Description-md5: 4fd2abbcbfd472ba54ef656cfe9a7ab3
Description-en: Open Inventor runtime environment
 This package contains the required files to run Open Inventor applications.
 .
 Open Inventor is an object-oriented 3D toolkit offering a comprehensive
 solution to interactive graphics programming problems.  It presents a
 programming model based on a 3D scene database that simplifies graphics
 programming.  It includes a large set of objects such as cubes, polygons,
 text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and
 editors can speed up your programming and extend your 3D program's
 capabilities.
 .
 Recommended package xpdf is needed only to view help files.

Package: libinvokebinder-java
Description-md5: 16d9c4ab91e42c63f275de865aade64f
Description-en: Java DSL for binding method handles
 This Java library hopes to provide a more friendly DSL for binding
 method handles.
 .
 Unlike the normal MethodHandle API, handles are bound forward
 from a source MethodType and eventually adapted to a final target
 MethodHandle.
 .
 Along the way the transformations are pushed onto a
 stack and eventually applied in reverse order, as the standard API
 demands.

Package: libinvokebinder-java-doc
Description-md5: 2e3e988638c4187540d43846dd18abda
Description-en: Documentation for invokebinder
 This Java library hopes to provide a more friendly DSL for binding
 method handles.
 .
 Unlike the normal MethodHandle API, handles are bound forward
 from a source MethodType and eventually adapted to a final target
 MethodHandle.
 .
 Along the way the transformations are pushed onto a
 stack and eventually applied in reverse order, as the standard API
 demands.
 .
 This package contains the API documentation of libinvokebinder-java.

Package: libio-aio-perl
Description-md5: 029fa3fe9bfa1122d5bb0e04afbd03f1
Description-en: asynchronous IO module for Perl
 IO::AIO module implements asynchronous I/O using whatever means your
 operating system supports. It is implemented as an interface to the libeio
 library: http://software.schmorp.de/pkg/libeio.html.
 .
 Asynchronous means that operations that can normally block your program
 (e.g. reading from disk) will be done asynchronously: the operation
 will still block, but you can do something else in the meantime. This
 is extremely useful for programs that need to stay interactive even
 when doing heavy I/O (GUI programs, high performance network servers
 etc.), but can also be used to easily do operations in parallel that are
 normally done sequentially, e.g. stat'ing many files, which is much faster
 on a RAID volume or over NFS when you do a number of stat operations
 concurrently.
 .
 While most of this works on all types of file descriptors (for
 example sockets), using these functions on file descriptors that
 support nonblocking operation (again, sockets, pipes etc.) is
 very inefficient. Use an event loop for that (such as the L<EV>
 module): IO::AIO will naturally fit into such an event loop itself.
 .
 In this version, a number of threads are started that execute your
 requests and signal their completion. You don't need thread support
 in perl, and the threads created by this module will not be visible
 to perl. In the future, this module might make use of the native aio
 functions available on many operating systems. However, they are often
 not well-supported or restricted (GNU/Linux doesn't allow them on normal
 files currently, for example), and they would only support aio_read and
 aio_write, so the remaining functionality would have to be implemented
 using threads anyway.
 .
 Although the module will work in the presence of other (Perl-) threads,
 it is currently not reentrant in any way, so use appropriate locking
 yourself, always call poll_cb from within the same thread, or never
 call poll_cb (or other aio_ functions) recursively.

Package: libio-all-lwp-perl
Description-md5: 08bbd98d27e25590fbb607b123a4b5a9
Description-en: Perl module to use HTTP and FTP URLs with IO::All
 IO::All::LWP acts as glue between IO::All and LWP, so that files can
 be read and written through the network using the convenient IO:All
 interface. Note that this module is not used directly: you just use
 IO::All, which knows when to autoload IO::All::HTTP, IO::All::HTTPS,
 IO::All::FTP, or IO::All::Gopher, which implement the specific
 protocols based on IO::All::LWP.

Package: libio-all-perl
Description-md5: 88795129a21b3b1bf7f9b35fb274f3a5
Description-en: Perl module for unified IO operations
 IO::All combines all of the best Perl IO modules into a single Spiffy object
 oriented interface to greatly simplify your everyday Perl IO idioms. It
 exports a single function called io, which returns a new IO::All object. And
 that object can do it all!
 .
 The IO::All object is a proxy for IO::File, IO::Dir, IO::Socket, IO::String,
 Tie::File, File::Spec, File::Path and File::ReadBackwards; as well as all the
 DBM and MLDBM modules. You can use most of the methods found in these classes
 and in IO::Handle (which they inherit from). IO::All adds dozens of other
 helpful idiomatic methods including file stat and manipulation functions.

Package: libio-async-loop-glib-perl
Description-md5: 83db31aeccc6df1e167ca87bb8a1f92d
Description-en: Perl extension for an event loop using GLib
 IO::Async::Loop::Glib is a Perl module that provides an implementation of an
 event loop that uses the GNOME Library's main event loop implementation. The
 GLib main event loop manages all the available sources of events for GLib and
 GTK+ applications.

Package: libio-async-loop-mojo-perl
Description-md5: 7a945c30e8a11eee46d3ca04cd88003c
Description-en: Perl module to use IO::Async with Mojolicious
 IO::Async::Loop::Mojo Perl module is "glue" module to enable usage of
 asynchronous modules based on IO::Async::Loop in a web server based on
 Mojolicious.
 .
 In other words, this module is a subclass of IO::Async::Loop which
 uses Mojo::Reactor to perform its IO operations.

Package: libio-async-ssl-perl
Description-md5: 6cecfecfbe22dea7062f03fc9455d8a3
Description-en: use SSL/TLS with IO::Async
 IO::Async::SSL extends existing IO::Async classes with extra methods to allow
 the use of SSL or TLS-based connections using IO::Socket::SSL. It does not
 directly provide any methods or functions of its own.
 .
 Primarily, it provides SSL_connect and SSL_listen, which yield
 IO::Socket::SSL-upgraded socket handles or IO::Async::Stream instances, and
 two forms of SSL_upgrade to upgrade an existing TCP connection to use SSL.
 .
 As an additional convenience, if the SSL_verify_mode and SSL_ca_* options are
 omitted, the module will attempt to provide them by quering the result of
 IO::Socket::SSL's default_ca function. Otherwise, the module will print a
 warning and set SSL_VERIFY_NONE instead.
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libio-bufferedselect-perl
Description-md5: a0fb70be067add1083b5051fa5df4653
Description-en: Perl module for line-buffered select interface
 The purpose of IO::BufferedSelect is to implement a buffered version of
 the select interface that operates on lines, rather than characters.
 Given a set of filehandles, it will block until a full line is available
 on one or more of them.

Package: libio-callback-perl
Description-md5: ade8085d3e1291b62f8b098604759de0
Description-en: emulate file interface for a code reference
 IO::Callback provides an easy way to produce a phoney read-only
 filehandle that calls back to your own code when it needs data to
 satisfy a read.  This is useful if you want to use a library module
 that expects to read data from a filehandle, but you want the data to
 come from some other source and you don't want to read it all into
 memory and use IO::String.

Package: libio-capture-perl
Description-md5: 517add331b6ded5b3d306bbc4ab1a955
Description-en: Abstract Base Class to build modules to capture output
 The IO::Capture Module defines an abstract base class that can be
 used to build modules that capture output being sent on a filehandle
 such as STDOUT or STDERR.
 .
 Several modules that come with the distribution do just that.
 I.e., Capture STDOUT and STDERR.  Also see James Keenan's
 IO::Capture::Stdout::Extended on CPAN.
 .
 See IO::Capture::Overview for a
 discussion of these modules and examples of how to build a module to
 sub-class from IO::Capture yourself.   If after reading the overview,
 you would like to build a class from IO::Capture, look here for
 details on the internals.

Package: libio-captureoutput-perl
Description-md5: 8dd9e40f05d74666760073de13d20f2c
Description-en: module for capturing STDOUT and STDERR from Perl code, subprocesses or XS
 IO::CaptureOutput provides routines for capturing STDOUT and STDERR from perl
 subroutines, forked system calls (e.g. system(), fork()) and from XS or C
 modules.
 .
 Note that this module is no longer recommended by its upstream maintainer -
 see Capture::Tiny (in package libcapture-tiny-perl) instead.

Package: libio-compress-lzma-perl
Description-md5: f742d2d5cc37fa127325446d310ed372
Description-en: modules for reading and writing lzma/xz files/buffers
 This package includes the following modules:
 .
  * IO::Compress::Lzma - Write lzma files/buffers
  * IO::Compress::Xz - Write xz files/buffers
  * IO::Uncompress::UnLzma - Read lzma files/buffers
  * IO::Uncompress::UnXz - Read xz files/buffers

Package: libio-compress-perl
Description-md5: f38bd44e6db47e39dad5a2dcf01a67ad
Description-en: bundle of IO::Compress modules
 This package contains the following IO::Compress and IO::Uncompress modules:
 .
  - Compress::Zlib
  - IO::Compress::Base
  - IO::Compress::Bzip2
  - IO::Compress::Deflate
  - IO::Compress::Gzip
  - IO::Compress::RawDeflate
  - IO::Compress::Zip
  - IO::Uncompress::Base
  - IO::Uncompress::Bunzip2
  - IO::Uncompress::Gunzip
  - IO::Uncompress::Inflate
  - IO::Uncompress::RawInflate
  - IO::Uncompress::Unzip
 .
 Compress::Zlib is a Perl external module which provides an interface to
 the info-zip zlib compression library. zlib is a general purpose
 compression library.
 .
 Some of the features provided by Compress::Zlib include:
 .
    * in-memory compression and decompression
    * read and write gzip (.gz) files directly.
 .
 IO::Compress::Bunzip2 and IO::Uncompress::Bunzip2 provide a Perl interface
 that allows transparent reading and writing bzip2 compressed data to files or
 buffers.
 .
 IO::Compress::Base is the base class for all IO::Compress and IO::Uncompress
 modules. It is not intended for direct use in application code. Its sole
 purpose is to be sub-classed by IO::Compress modules.

Package: libio-digest-perl
Description-md5: 5cb4217a8167c8bc8183402fe182d694
Description-en: Perl module to calculate digests while reading or writing
 IO::Digest allows you to calculate digests while reading or writing
 file handles. This avoids the case you need to reread the same content
 to compute the digests after written a file.

Package: libio-epoll-perl
Description-md5: 6228a73eea0a065539ea727611ab2f11
Description-en: Perl interface to the Epoll IO Multiplexing subsystem
 IO::Epoll provides access to the new, (currently) Linux-specific variant of
 poll() known as epoll(). It is designed to offer O(1) scalability over large
 numbers of watched file descriptors.
 .
 This module provides a higher-level layer designed to emulate the behaviour
 of IO::Poll and IO::Ppoll, but also exposes the corresponding low-level API
 that closely matches the related system calls.

Package: libio-event-perl
Description-md5: 84a4b2a2261ba29a466ac9145b55079e
Description-en: Perl module that ties filehandles for nonblocking IO with object callbacks
 IO::Event provides a object-based callback system for handling nonblocking
 IO. The design goal is to provide a system that just does the right thing
 w/o the user needing to think about it much.

Package: libio-fdpass-perl
Description-md5: 05f5c3afe6225b3e6dd741f4c452473e
Description-en: module to pass a file descriptor over a socket
 This small low-level module only has one purpose: pass a file descriptor to
 another process, using a (streaming) unix domain socket (on POSIX systems) or
 any (streaming) socket (on WIN32 systems). The ability to pass file
 descriptors on windows is currently the unique selling point of this module.

Package: libio-file-withpath-perl
Description-md5: 82e0930d17fc5a331a1625683c92b9ed
Description-en: IO::File extension that keeps the pathname
 IO::File::WithPath is a Perl module extending IO::File to keep track
 of the absolute path name of the actual file being handled by the
 IO::File object.

Package: libio-handle-util-perl
Description-md5: aee0995a6c80faa881372e9f46216d9b
Description-en: module providing helper functions for IO::Handle
 IO::Handle::Util and its copackaged modules provide various means of creating
 file handles from callbacks and by coercing objects. In particular
 IO::Handle::Prototype::Fallback allows you to define a file handle
 by implementing the various file handle functions as callacks.
 A much simpler interface is provided by IO::Handle::Iterator which reduces
 the callbacks that need to be defined to one.

Package: libio-interactive-perl
Description-md5: 218ae1f05b988a01ea274dc7e5c60939
Description-en: utility module for interactive I/O
 IO::Interactive provides three utility subroutines that make it easier to
 develop interactive applications: is_interactive, interactive and busy.

Package: libio-interactive-tiny-perl
Description-md5: 8b30ee4be23fdaba0e7767ca9239ef72
Description-en: minimalist utility module for interactive I/O
 IO::Interactive::Tiny provides the useful subset of IO::Interactive’s
 functionality in the form of only having is_interactive().
 .
 It also gains ::Tiny-ness by reducing large deps: it does not use version,
 Carp, or Scalar::Util.

Package: libio-interface-perl
Description-md5: b2b8241993725965d0e98641792b374d
Description-en: socket methods to get/set interface characteristics
 IO::Interface adds object-methods to IO::Socket objects to allow them
 to get and set operational characteristics of network interface cards,
 such as IP addresses, net masks, and so forth.  It is useful for
 identifying runtime characteristics of cards, such as broadcast
 addresses, and finding interfaces that satisfy certain criteria, such
 as the ability to multicast.

Package: libio-lcdproc-perl
Description-md5: f423f8ab73e9bd95dc7dbf3cbff68774
Description-en: Perl extension to connect to a LCD display through lcdproc
 Lcdproc is a client/server suite including drivers for all kinds of
 nifty LCD displays. IO::LCDproc module provides a Perl interface to
 lcdproc.

Package: libio-lockedfile-perl
Description-md5: 118a474d6f5273dae73a3bad5878a7a5
Description-en: module providing object methods for locking files
 IO::LockedFile provides the same interface as the IO::File class, but with
 the additional feature that the files being manipulated are locked using
 the Flock mechanism (using the flock function).

Package: libio-pager-perl
Description-md5: 551e98a54bcf06ecdde86a27ad8c4515
Description-en: module to select a pager and pipe text to it
 IO::Pager is lightweight and can be used to locate an available pager and set
 the PAGER environment variable sanely or as a factory for creating objects
 defined elsewhere such as IO::Pager::Buffered, IO::Pager::Unbuffered, and
 IO::Pager::less which provides a pure perl pager.
 .
 IO::Pager::Perl is used in the IO::Pager::less IO::Pager subclass, but is
 also a free-standing library ready to be incorporated into other code.
 .
 IO::Pager subclasses are designed to programmatically decide whether or not
 to pipe a filehandle's output to a program specified in PAGER. Subclasses may
 inherit from IO::Pager, and implement the IO handle methods desired.

Package: libio-pipely-perl
Description-md5: b196d213aacde22650b6af1ad158a5c6
Description-en: portable way to create pipe() or pipe-like handles
 IO::Pipely provides a couple functions to portably create one- and
 two-way pipes and pipe-like socket pairs. It acknowledges and works
 around known platform issues so you don't have to.
 .
 IO::Pipely currently understands pipe(), UNIX-domain socketpair() and
 regular IPv4 localhost sockets. It will use different kinds of pipes or
 sockets depending on the operating system's capabilities and the number
 of directions requested. The autodetection may be overridden by
 specifying a particular pipe type.
 .
 IO::Pipely is a spin-off of the POE project's portable pipes. Earlier
 versions of the code have been tested and used in production systems for
 over a decade.

Package: libio-prompt-perl
Description-md5: 71426a249a31337de34fa4055b8b5d47
Description-en: module to interactively prompt for user input
 IO::Prompt is a Perl module useful for prompting the user for some input and
 returning that data as an object. It also provides functions for simulating
 hand-typing to the console and for accessing the low-level input.

Package: libio-prompt-tiny-perl
Description-md5: 8826095db94ec755aff62a2569736dd3
Description-en: module that prompts for user input with a default option
 IO::Prompt::Tiny is an extremely simple prompting module, based on the
 extremely simple prompt offered by ExtUtils::MakeMaker.In many cases, that's
 all you need and this module gives it to you without all the overhead of
 ExtUtils::MakeMaker just to prompt for input.
 .
 It doesn't do any validation, coloring, menus, timeouts, or any of the wild,
 crazy, cool stuff that other prompting modules do. It just prompts with a
 default. That's it!

Package: libio-pty-easy-perl
Description-md5: 5cd601ee93e4c5c327f9aef340d14872
Description-en: module providing an easy interface to IO::Pty
 IO::Pty::Easy provides an interface to IO::Pty which hides most of the ugly
 details of handling ptys, wrapping them instead in simple spawn/read/write
 commands.
 .
 IO::Pty::Easy uses IO::Pty internally, so it inherits all of the portability
 restrictions from that module.

Package: libio-sessiondata-perl
Description-md5: 71591eafd8c62e7e74695cdebe49236d
Description-en: set of modules for non-blocking I/O
 This package contains the modules IO::SessionData and IO::SessionSet which
 deal with non-blocking I/O.
 .
 IO::SessionData is a wrapper around IO::Socket handling e.g. partial writes.
 IO::SessionSet is similar to IO::Select. It handles multiple IO::SessionData
 objects offers the ability to buffer partial writes.
 .
 For a detailed documentation, cf. the original author's book:
  Lincoln D. Stein: Network Programming with Perl.
  Addison-Wesley, 2001, pp. 371.

Package: libio-socket-ip-perl
Description-md5: 47b2b5b3c06d44fa6c43ca6cf73af08a
Description-en: module for using IPv4 and IPv6 sockets in a protocol-independent way
 IO::Socket::IP provides a protocol-independent way to use IPv4 and IPv6
 sockets. It allows new connections to be made by specifying the hostname and
 service name or port number. It allows for connections to be accepted by
 sockets listening on local ports, by service name or port number.
 .
 It uses Socket::GetAddrInfo's getaddrinfo function to convert
 hostname/service name pairs into sets of possible addresses to connect to.
 This allows it to work for IPv6 where the system supports it, while still
 falling back to IPv4-only on systems which don't.
 .
 It provides an API which, for most typical cases, should be a drop-in
 replacement for IO::Socket::INET; most constructor arguments and methods are
 provided in a compatible way.

Package: libio-socket-multicast-perl
Description-md5: 5b4c1126646db88802b05ffcef3aa17a
Description-en: module for sending and receiving multicast messages
 The IO::Socket::Multicast module subclasses IO::Socket::INET to
 enable you to manipulate multicast groups. With this module (and an
 operating system that supports multicasting), you will be able to
 receive incoming multicast transmissions and generate your own
 outgoing multicast packets.

Package: libio-socket-portstate-perl
Description-md5: cdca54b934e08ff747eb808f2c6c364d
Description-en: IO::Socket::PortState - checking the status of a port
 You can use it to check if a port is open or closed for a given host and
 protocol.

Package: libio-socket-socks-perl
Description-md5: 110bc76239bb593c40c0db368b112c99
Description-en: extension to IO::Socket providing SOCKS proxy
 IO::Socket::Socks connects to a SOCKS proxy, tells it to open a
 connection to a remote host/port when the object is created.  The
 object you receive can be used directly as a socket for sending and
 receiving data from the remote host. In addition to create socks client
 this module could be used to create socks server.

Package: libio-socket-timeout-perl
Description-md5: 58da5b4b21c6964be03e70ab73a7ffc5
Description-en: IO::Socket with read/write timeout
 IO::Socket provides a way to set a timeout on the socket, but the timeout
 will be used only for connection, not for reading / writing operations.
 .
 IO::Socket::Timeout provides a way to set a timeout on read / write
 operations on an IO::Socket instance, or any IO::Socket::* modules, like
 IO::Socket::INET.

Package: libio-stream-perl
Description-md5: 2a3a3a1a3021c8a94a6ebc60c5596c2c
Description-en: module that provides non-blocking I/O streams based on EV
 Non-blocking event-based low-level I/O is hard to get right. Things become
 much worse when you need to alter I/O stream in some way - use proxies,
 encryption, SSL, etc.
 .
 IO::Stream is designed to give user ability to work with I/O streams on
 higher level, using input/output buffers (just scalars) and high-level
 events like CONNECTED, SENT or EOF. As same time it doesn't hide low-level
 things, and user still able to work on low-level without any limitations.

Package: libio-stty-perl
Description-md5: 3b95cddd5a56b0d731e2f572cb131b26
Description-en: module to change/print terminal (PTY) line settings
 The IO::Stty module provides a generic interface to handle pseudoterminals
 (PTY) in Perl scripts, such as in the "expect" library.
 .
 Before changing terminal parameters with IO::Stty, it's recommended to save
 the current settings and restore them when finished.

Package: libio-tee-perl
Description-md5: 16ee1e32fea5746eec1534eb818625b5
Description-en: module for multiplexing output to multiple output handles
 IO::Tee objects can be used to multiplex input and output in two
 different ways.  The first way is to multiplex output to zero or more
 output handles.  The IO::Tee constructor, given a list of output
 handles, returns a tied handle that can be written to.  When written
 to (using print or printf), the IO::Tee object multiplexes the
 output to the list of handles originally passed to the constructor.
 As a shortcut, you can also directly pass a string or an array
 reference to the constructor, in which case IO::File::new is called
 for you with the specified argument or arguments.
 .
 The second way is to multiplex input from one input handle to zero or
 more output handles as it is being read.  The IO::Tee constructor,
 given an input handle followed by a list of output handles, returns a
 tied handle that can be read from as well as written to.  When written
 to, the IO::Tee object multiplexes the output to all handles passed
 to the constructor, as described in the previous paragraph.  When read
 from, the IO::Tee object reads from the input handle given as the
 first argument to the IO::Tee constructor, then writes any data
 read to the output handles given as the remaining arguments to the
 constructor.

Package: libio-tiecombine-perl
Description-md5: 4167140bca842e6c701576f79998746e
Description-en: Perl module to collect output via any kind of tied variable
 IO::TieCombine is a module that can collect output in separate (but
 combinable) named "slots" (output buffers). It provides methods that
 tie scalars, references, file handles or callback functions to specific
 slots, making it possible to e.g. collect both text printed to a file
 handle and text appended to a scalar in the same place, without the
 printing or appending function knowing about the relationship or the
 name of the slot.

Package: libiodbc2
Description-md5: 28db562dffbe690878759315a006f3df
Description-en: iODBC Driver Manager
 The iODBC (intrinsic Open Database Connectivity) driver manager is compatible
 with the ODBC 2.x and 3.x specification and performs all the jobs of a
 ODBC driver manager (i.e. driver loading, parameters and function sequence
 checking, driver's function invoking, etc). Any ODBC driver working with
 ODBC 2.0 and 3.x driver manager will also work with iODBC driver manager
 and vice versa.
 .
 Applications (using ODBC function calls) linked with iODBC driver manager
 will be able to simultaneously access different types of data sources within
 one process through suitable iODBC drivers.
 .
 This package contains the library files. Look for the iodbc package, too!

Package: libiodbc2-dev
Description-md5: d130ba790976d31df335175ab1a2c1f8
Description-en: iODBC Driver Manager (development files)
 The iODBC (intrinsic Open Database Connectivity) driver manager is compatible
 with the ODBC 2.x and 3.x specification and performs all the jobs of a
 ODBC driver manager (i.e. driver loading, parameters and function sequence
 checking, driver's function invoking, etc). Any ODBC driver working with
 ODBC 2.0 and 3.x driver manager will also work with iODBC driver manager
 and vice versa.
 .
 Applications (using ODBC function calls) linked with iODBC driver manager
 will be able to simultaneously access different types of data sources within
 one process through suitable iODBC drivers.
 .
 This package contains the development files.

Package: libion-dev
Description-md5: df8ff5e245b10bc01e16e4978d085239
Description-en: NASA implementation of Delay-Tolerant Networking (DTN) - development files
 Interplanetary Overlay Network (ION) software distribution
 is an implementation of Delay-Tolerant Networking (DTN)
 architecture as described in Internet RFC 4838.
 .
 This is a suite of communication protocol implementations designed
 to support mission operation communications across an end-to-end
 interplanetary network, which might include on-board (flight) subnets,
 in-situ planetary or lunar networks, proximity links,
 deep space links, and terrestrial internets.
 .
 Included in the ION software distribution are the following packages:
  * ici (interplanetary communication infrastructure) a set of libraries
 that provide flight-software-compatible support for functions on
 which the other packages rely
  * bp (bundle protocol), an implementation of the Delay-Tolerant
 Networking (DTN) architecture's Bundle Protocol.
  * dgr (datagram retransmission), a UDP reliability system that implements
 congestion control and is designed for relatively high performance.
  * ltp (licklider transmission protocol), a DTN convergence layer for reliable
 transmission over links characterized by long or highly variable delay.
  * ams - an implementation of the CCSDS Asynchronous Message Service.
  * cfdp - a class-1 (Unacknowledged) implementation of the CCSDS File
 Delivery Protocol.
  .
  This package contains the development files.

Package: libion0
Description-md5: 3e187af92fa4572e859a4fc2f9a4df78
Description-en: NASA implementation of Delay-Tolerant Networking (DTN) - main libraries
 Interplanetary Overlay Network (ION) software distribution
 is an implementation of Delay-Tolerant Networking (DTN)
 architecture as described in Internet RFC 4838.
 .
 This is a suite of communication protocol implementations designed
 to support mission operation communications across an end-to-end
 interplanetary network, which might include on-board (flight) subnets,
 in-situ planetary or lunar networks, proximity links,
 deep space links, and terrestrial internets.
 .
 Included in the ION software distribution are the following packages:
  * ici (interplanetary communication infrastructure) a set of libraries
 that provide flight-software-compatible support for functions on
 which the other packages rely
  * bp (bundle protocol), an implementation of the Delay-Tolerant
 Networking (DTN) architecture's Bundle Protocol.
  * dgr (datagram retransmission), a UDP reliability system that implements
 congestion control and is designed for relatively high performance.
  * ltp (licklider transmission protocol), a DTN convergence layer for reliable
 transmission over links characterized by long or highly variable delay.
  * ams - an implementation of the CCSDS Asynchronous Message Service.
  * cfdp - a class-1 (Unacknowledged) implementation of the CCSDS File
 Delivery Protocol.
  .
  This package contains the library files.

Package: libipaddr-ocaml
Description-md5: 15c9318d8b095088b891874395f24c4f
Description-en: library for manipulation of IP (and MAC) address representations (runtime)
 This is a library for manipulation of IP (and MAC) address representations.
 .
 Features:
  * IPv4 and IPv6 support
  * IPv4 and IPv6 CIDR prefix support
  * IPv4 and IPv6 CIDR-scoped address support
  * Integration with the standard OCaml distribution (Map.OrderedType,
    Unix, top-level)
  * IP address scope classification
  * IPv4-mapped addresses in IPv6 (::ffff:0:0/96) are an embedding of IPv4
  * MAC-48 (Ethernet) address support
  * All types have sexplib serializers/deserializers
 .
 This package contains the shared runtime libraries.

Package: libipaddr-ocaml-dev
Description-md5: ca3b7ddcdbe87fc8ab98fa5188a149be
Description-en: library for manipulation of IP (and MAC) address representations (dev files)
 This is a library for manipulation of IP (and MAC) address representations.
 .
 Features:
  * IPv4 and IPv6 support
  * IPv4 and IPv6 CIDR prefix support
  * IPv4 and IPv6 CIDR-scoped address support
  * Integration with the standard OCaml distribution (Map.OrderedType,
    Unix, top-level)
  * IP address scope classification
  * IPv4-mapped addresses in IPv6 (::ffff:0:0/96) are an embedding of IPv4
  * MAC-48 (Ethernet) address support
  * All types have sexplib serializers/deserializers
 .
 This package contains development files.

Package: libipc-filter-perl
Description-md5: 4d5dacc5ae44e63102d6f721d7075ed3
Description-en: module to filter data through an external process
 The filter function provided by IPC::Filter passes data through an
 external command, thus providing filtering in non-pipeline situations.

Package: libipc-pubsub-perl
Description-md5: 67e3042715dc73e0d1aebeddeea419fb
Description-en: Interprocess Publish/Subscribe channels
 IPC::PubSub provides a simple API for publishing messages to channels
 and for subscribing to them.
 .
 When a message is published on a channel, all subscribers currently in
 that channel will get it on their next get or get_all call.
 .
 The package includes backends based on DBM::Deep, Cache::Memcached,
 Jifty::DBI and plain hashes.

Package: libipc-run-safehandles-perl
Description-md5: 46748829924d2cf9dbc1532e56fb6f26
Description-en: Use IPC::Run and IPC::Run3 safely
 IPC::Run and IPC::Run3 are both very upset when you try to use them under
 environments where you have STDOUT and/or STDERR tied to something else, such
 as under fastcgi.
 .
 The module adds safe-guarding code when you call IPC::Run or IPC::Run3 under
 such environment to make sure it always works.

Package: libipc-run3-perl
Description-md5: eca376502cf58c4f9dfa35ab86a08a7c
Description-en: run a subprocess with input/output redirection
 IPC::Run3 allows you to run a subprocess and redirect stdin, stdout,
 and/or stderr to files and perl data structures.  It aims to satisfy 99%
 of the need for using system()/qx``/open3() with a simple, extremely
 Perlish API and none of the bloat and rarely used features of IPC::Run.

Package: libipc-shareable-perl
Description-md5: eb6e832675bf9dfc50c00c189621a942
Description-en: module to access IPC shared memory segments through perl
 This perl module provides a tie() interface to System V IPC shared memory
 segments.
 .
 IPC::Shareable allows you to tie a variable to shared memory making it
 easy to share the contents of that variable with other Perl processes.
 Scalars, arrays, and hashes can be tied.  The variable being tied may
 contain arbitrarily complex data structures - including references to
 arrays, hashes of hashes, etc.

Package: libipc-sharedcache-perl
Description-md5: e07dcfb09218eb11f0c3bb82576df5f1
Description-en: module to manage a cache in SysV IPC shared memory
 IPC::SharedCache attempts to make shared memory easy to use for one specific
 application - a shared memory cache, provides a shared memory cache accessed
 as a tied hash.

Package: libipc-sharelite-perl
Description-md5: e0f47672ecd9c103037aadbb8a17d74f
Description-en: Perl module that provides a simple interface to shared memory
 IPC::ShareLite provides a simple interface to shared memory, allowing
 data to be efficiently communicated between processes. Your operating
 system must support SysV IPC (shared memory and semaphores) in order to
 use this module.

Package: libipe-dev
Description-md5: c917565b4f5520f061e748a56638cd4d
Description-en: Ipe library used by ipelets (developer files)
 This package provides static libraries and include files needed to
 create Ipelets, plug-ins for Ipe that are used to add functionality
 to Ipe.
 .
 Ipe is the drawing editor for creating figures in PDF or PS formats.

Package: libipe7.2.13
Description-md5: c3b93b24f7bfb3495bca36843092bc66
Description-en: Ipe library used by ipelets
 This package provides a library used by Ipe and its extensions.
 Ipelets are plug-ins for Ipe that are used to add functionality to
 Ipe.
 .
 Ipe is the drawing editor for creating figures in PDF or PS formats.

Package: libiperf-dev
Description-md5: 86eb0fa7b273c3acf4c250e86fd9ae3a
Description-en: Internet Protocol bandwidth measuring tool (development files)
 Iperf3 is a tool for performing network throughput measurements. It can
 test either TCP or UDP throughput.
 .
 This is a new implementation that shares no code with the original
 iperf from NLANR/DAST and also is not backwards compatible.
 .
 This is the development package which contains headers files and the
 static iperf library.

Package: libiperf0
Description-md5: 244f66f46718a4a37d9ee85afdde66c2
Description-en: Internet Protocol bandwidth measuring tool (runtime files)
 Iperf3 is a tool for performing network throughput measurements. It can
 test either TCP or UDP throughput.
 .
 This is a new implementation that shares no code with the original
 iperf from NLANR/DAST and also is not backwards compatible.
 .
 This is the runtime package for programs that use the shared iperf
 library.

Package: libipmctl-dev
Description-md5: 7710bf5c0e7f4239d121748cdeb0d8c9
Description-en: library for managing Intel Optane DC persistent memory modules - devel
 This package provides an API for the following functionality:
  * Discover PMMs on the platform.
  * Provision the platform memory configuration.
  * View and update the firmware on PMMs.
  * Configure data-at-rest security on PMMs.
  * Monitor PMM health.
  * Track performance of PMMs.
  * Debug and troubleshoot PMMs.
 .
 This package contains C development headers.

Package: libipmctl4
Description-md5: f9335482a05d7640c59dcdd63b6220c0
Description-en: library for managing Intel Optane DC persistent memory modules
 This package provides an API for the following functionality:
  * Discover PMMs on the platform.
  * Provision the platform memory configuration.
  * View and update the firmware on PMMs.
  * Configure data-at-rest security on PMMs.
  * Monitor PMM health.
  * Track performance of PMMs.
  * Debug and troubleshoot PMMs
 .
 This package contains runtime library and common conf files.

Package: libipsec-mb-dev
Description-md5: 2c10df07087567d25d1a0317573f1f26
Description-en: Development files for Intel(R) Multi-Buffer Crypto for IPSec library
 Libipsec-mb is highly-optimized software implementations of
 the core cryptographic processing for IPsec, which provides
 industry-leading performance on a range of Intel(R) Processors.

Package: libipsec-mb0
Description-md5: b62ceaaaf5f9b9fff9a25c357900633c
Description-en: Intel(R) Multi-Buffer Crypto for IPSec library
 Libipsec-mb is highly-optimized software implementations of
 the core cryptographic processing for IPsec, which provides
 industry-leading performance on a range of Intel(R) Processors.

Package: libipt-dev
Description-md5: 03159c1f8fabc3a884c42ae17f373a9f
Description-en: Intel Processor Trace Decoder Library -- development files
 Intel's reference implementation for decoding Intel PT.
 .
 Go to https://software.intel.com/en-us/intel-platform-analysis-library
 for sample code that uses the library.
 .
 This package provides the development environment for libipt

Package: libipt2
Description-md5: be94fb3c503ad758b721a8937c8157ef
Description-en: Intel Processor Trace Decoder Library
 Intel's reference implementation for decoding Intel PT.
 .
 Go to https://software.intel.com/en-us/intel-platform-analysis-library
 for sample code that uses the library.

Package: libiptables-chainmgr-perl
Description-md5: 525c69b8246c311f8d5bf05925c7030b
Description-en: Perl extension for manipulating iptables policies
 The IPTables::ChainMgr module provides an interface to manipulate iptables
 policies on Linux systems through the direct execution of iptables commands.
 .
 Then, it is easy to execute iptables commands directly in order to both parse
 and change the configuration of the policy. Further, this simplifies
 installation since the only external requirement is (in the spirit of
 scripting) to be able to point IPTables::ChainMgr at an installed iptables
 binary instead of having to compile against a library.

Package: libiptables-parse-perl
Description-md5: 002d4650d1ffefefe9c71fb8776f9080
Description-en: Perl extension for parsing iptables firewall rulesets
 The IPTables::Parse package provides an interface to parse iptables
 rules on Linux systems through the direct execution of iptables commands, or
 from parsing a file that contains an iptables policy listing.  You can get
 the current policy applied to a table/chain, look for a specific user-defined
 chain, check for a default DROP policy, or determing whether or not logging
 rules exist.

Package: libiptc0
Description-md5: 21b9e8b56cf12a25ffd8b3975773ac10
Description-en: transitional dummy package
 This is a transitional package. It can safely be removed.

Package: libiptcdata-bin
Description-md5: 830662c59118e488ec0d41af00f9a952
Description-en: Library to parse IPTC metadata (programs)
 libiptcdata is a library, for manipulating the International Press
 Telecommunications Council (IPTC) metadata stored within multimedia
 files such as images. The library provides routines for parsing,
 viewing, modifying, and saving this metadata.

Package: libiptcdata-doc
Description-md5: ecd618b5ecb320b7b5d87683a2a804f7
Description-en: Library to parse IPTC metadata (documentation)
 libiptcdata is a library, for manipulating the International Press
 Telecommunications Council (IPTC) metadata stored within multimedia
 files such as images. The library provides routines for parsing,
 viewing, modifying, and saving this metadata.

Package: libiptcdata0
Description-md5: 938d2dc5511bf546ff851f571e905419
Description-en: Library to parse IPTC metadata
 libiptcdata is a library, for manipulating the International Press
 Telecommunications Council (IPTC) metadata stored within multimedia
 files such as images. The library provides routines for parsing,
 viewing, modifying, and saving this metadata.

Package: libiptcdata0-dbg
Description-md5: fafc99923440a07d1dce817e8ec7dcad
Description-en: Library to parse IPTC metadata (debug files)
 libiptcdata is a library, for manipulating the International Press
 Telecommunications Council (IPTC) metadata stored within multimedia
 files such as images. The library provides routines for parsing,
 viewing, modifying, and saving this metadata.

Package: libiptcdata0-dev
Description-md5: 26af292fcdc22d47f1ba4952630d7b83
Description-en: Library to parse IPTC metadata (development files)
 libiptcdata is a library, for manipulating the International Press
 Telecommunications Council (IPTC) metadata stored within multimedia
 files such as images. The library provides routines for parsing,
 viewing, modifying, and saving this metadata.

Package: libipx-dev
Description-md5: 40d02241c646553e35acba37d8041c7b
Description-en: Development files for libipx
 This package contains the header files and static library needed to
 compile applications that use libipx.

Package: libipx2
Description-md5: f9f7e81fa2e8a19653bfe5d5c511b9f2
Description-en: FreeBSD IPX address conversion support library
 The IPX library provides a set of routune to convert IPX addresses.

Package: libirc-formatting-html-perl
Description-md5: 438bf8586cde29283750e9ef87ab6555
Description-en: Perl module for converting between HTML and IRC formatting
 IRC::Formatting::HTML provides two functions:
  - irc_to_html, takes an irc formatted string and
 returns the HTML version. Also takes an option to
 treat inverted text as italic.
  - html_to_irc, which takes an HTML string and returns
 an irc formatted string.

Package: libirc-utils-perl
Description-md5: 01f6bacfc36531d599d806e1bb4992d6
Description-en: collection of common utilities for IRC-related tasks
 The functions in the IRC::Utils module take care of many of the tasks you are
 faced with when working with IRC. Mode lines, ban masks, message encoding and
 formatting, etc.

Package: libircclient-dev
Description-md5: adce96ca325ffac2fa2cdee1e1995034
Description-en: development files for libircclient
 libircclient is a small but powerful library that implements the
 client-server IRC protocol. It is designed to be small, fast, portable and
 compatible to RFC standards, and most IRC clients. libircclient features
 include:
 .
  * Full multi-threading support.
  * Single threads handles all the IRC processing.
  * Support for single-threaded applications, and socket-based
    applications, which use select()
  * Synchronous and asynchronous interfaces.
  * CTCP support with optional build-in reply code.
  * Flexible DCC support, including both DCC chat, and DCC file transfer.
  * Can both initiate and react to initiated DCC.
  * Can accept or decline DCC sessions asynchronously.
  * Plain C interface and implementation (possible to use from C++ code,
    obviously)
  * Compatible with RFC 1459 and most IRC clients.
  * Good documentation and examples available.
 .
 This package contains the development files.

Package: libircclient1
Description-md5: 52395b5580dce0d9a5e86e015135d643
Description-en: C library to create IRC clients
 libircclient is a small but powerful library that implements the
 client-server IRC protocol. It is designed to be small, fast, portable and
 compatible to RFC standards, and most IRC clients. libircclient features
 include:
 .
  * Full multi-threading support.
  * Single threads handles all the IRC processing.
  * Support for single-threaded applications, and socket-based applications,
    which use select()
  * Synchronous and asynchronous interfaces.
  * CTCP support with optional build-in reply code.
  * Flexible DCC support, including both DCC chat, and DCC file transfer.
  * Can both initiate and react to initiated DCC.
  * Can accept or decline DCC sessions asynchronously.
  * Plain C interface and implementation (possible to use from C++ code,
    obviously)
  * Compatible with RFC 1459 and most IRC clients.
  * Good documentation and examples available.

Package: libirclib-java
Description-md5: 033fee1687d4a92fa24987c6e1780be3
Description-en: Java implementation of the IRC protocol
 IRClib is a free Java implementation of the IRC protocol.
 This thin library is RFC1459 and RFC2812 compliant.

Package: libirclib-java-doc
Description-md5: 426dace3158ce47b747b64d062af4bbf
Description-en: Java implementation of the IRC protocol (javadoc files)
 IRClib is a free Java implementation of the IRC protocol.
 This thin library is RFC1459 and RFC2812 compliant.
 .
 This package contains the JavaDoc documentation of the package.

Package: libiri-perl
Description-md5: 61fccb8c37cc0f259d703f8d8fc3f8b9
Description-en: Handle Internationalized Resource Identifiers in Perl
 The IRI module is a Perl implementation of Internationalized Resource
 Identifiers (IRIs) as defined by RFC 3987.
 .
 See http://www.ietf.org/rfc/rfc3987.txt for the IRI specification.

Package: libirman-dev
Description-md5: 698cc891de98120434f671abd372d730
Description-en: Library, headers and test tools for the Irman infrared hardware
 The IrMan hardware (http://www.intolect.com/irmandetail.htm) is  nowadays
 discontinued. However, some modern hardware (notably the irtoy) is able to
 emulate the irman protocol.
 .
 This package contains development support including static libraries,
 headers, test tools, documentation and pkg-config files.

Package: libirman0
Description-md5: 9b0e4a064fd960832fa2fd1d247b0c3d
Description-en: Shared library to access the libirman hardware
 The IrMan hardware (http://www.intolect.com/irmandetail.htm) is nowadays
 discontinued. However, some modern hardware (notably the irtoy) is able to
 emulate the irman protocol.
 .
 This package contains runtime shared libraries.

Package: libirrlicht-dev
Description-md5: daf77d6cc6f8d699f1292adb2b07a055
Description-en: High performance realtime 3D engine development library
 The Irrlicht Engine is an open source completely cross-platform 3D engine.
 It has support for OpenGL and its own software renderer,
 and has all of the state-of-the-art features
 which can be found in commercial 3d engines.
 .
 This package contains the development library.

Package: libirrlicht-doc
Description-md5: dca3ae98b38d177672fba4bcddb6245b
Description-en: High performance realtime 3D engine (API documentation)
 The Irrlicht Engine is an open source completely cross-platform 3D engine.
 It has support for OpenGL and its own software renderer,
 and has all of the state-of-the-art features
 which can be found in commercial 3d engines.
 .
 This package contains the API documentation and examples.

Package: libirrlicht1.8
Description-md5: 0bd9ebaf6976171a8fcfa65f1fc9c445
Description-en: High performance realtime 3D engine
 The Irrlicht Engine is an open source completely cross-platform 3D engine.
 It has support for OpenGL and its own software renderer,
 and has all of the state-of-the-art features
 which can be found in commercial 3d engines.

Package: libirrlicht1.8-dbg
Description-md5: 5cb9f4060e4906e939dec6a72af5ff8b
Description-en: debugging symbols for irrlicht
 This package contains the debugging symbols associated with irrlicht.
 They will automatically be used by gdb for debugging irrlicht-related
 issues.

Package: libirstlm-dev
Description-md5: c8171d1c8df7d2942108fdc07925c54d
Description-en: IRST Language Modeling Toolkit - development files
 The IRST Language Modeling Toolkit can be used to learn a language model
 from data. The generated n-gram models should be usable on any system
 supporting ARPA language model format.
 .
 This package provides development headers for IRSTLM.

Package: libirstlm1
Description-md5: 173dcb225ef7deb2fae16d825d73623a
Description-en: IRST Language Modeling Toolkit - runtime library
 The IRST Language Modeling Toolkit can be used to learn a language model
 from data. The generated n-gram models should be usable on any system
 supporting ARPA language model format.
 .
 This package contains the IRSTLM shared library.

Package: libisajet758-3-dev
Description-md5: a545c41bb5eddba07b1e0e95de12eb1c
Description-en: [Physics] Monte Carlo generator for proton/electron reactions
 ISAJET is a Monte Carlo library which simulates p-p, pbar-p, and e+ e-
 interactions at high energies.  The library is based on perturbative QCD
 combined with phenomenological models for parton and beam jet fragmentation.
 ISAJET incorporates ISASUSY, which evaluates branching ratios for the minimal
 supersymmetric extension of the Standard Model.
 .
 This is the static version of the library.  Also included are FORTRAN header
 files and some documentation.

Package: libisajet758-3-gfortran
Description-md5: fd8f2b246aa8c5fd9eda4e664ce35236
Description-en: [Physics] Monte Carlo generator for proton / electron reactions
 ISAJET is a Monte Carlo library which simulates p-p, pbar-p, and e+ e-
 interactions at high energies.  The library is based on perturbative QCD
 combined with phenomenological models for parton and beam jet fragmentation.
 ISAJET incorporates ISASUSY, which evaluates branching ratios for the minimal
 supersymmetric extension of the Standard Model.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libisajet758-3-dev package.

Package: libisal-dev
Description-md5: 874548205a402b15945db5a8d79b55a2
Description-en: Intel(R) Intelligent Storage Acceleration Library - devel files
 Collection of low-level functions used in storage applications.
 Contains fast erasure codes that implement a general
 Reed-Solomon type encoding for blocks of data that helps protect against
 erasure of whole blocks. The general ISA-L library contains an expanded
 set of functions used for data protection, hashing, encryption, etc.
 .
 This package contains the development files needed to build against the shared
 library.

Package: libisal2
Description-md5: db59909f70c412a803a10087d9506bd6
Description-en: Intel(R) Intelligent Storage Acceleration Library - shared library
 Collection of low-level functions used in storage applications.
 Contains fast erasure codes that implement a general
 Reed-Solomon type encoding for blocks of data that helps protect against
 erasure of whole blocks. The general ISA-L library contains an expanded
 set of functions used for data protection, hashing, encryption, etc.
 .
 This package contains the shared library.

Package: libiscsi-bin
Description-md5: b694252febaa2b756d9cbc15ff8654a1
Description-en: iSCSI client shared library - utilities
 Libiscsi is a clientside library to implement the iSCSI protocol
 that can be used to access resource of an iSCSI Target.
 .
 The library is fully async with regards to iscsi commands and scsi
 tasks, but a sync layer is also provided for ease of use for simpler
 applications.
 .
 This package contains a set of useful iscsi utilities such as logging in
 to and enumerating all targets on a portal and all devices of a target,
 all built on top of libiscsi.

Package: libiscwt-java
Description-md5: c40ab77f08fa36f52dd05808dafff788
Description-en: abstractions and Java implementations for rendering PDF
 To built a flexible PDF rendering one first needed some basics
 functionality to deal with fonts, images and general platform
 abstractions. The result is isCWT. It contains all abstraction and
 implementations needed for rendering PDF that are not related to PDF
 itself.  This library is built and used primarily for jPod Renderer,
 so one is likely to miss some features when using it in another context.

Package: libiscwt-java-doc
Description-md5: 7fab26fd5d2a43c51f6053e34d838c66
Description-en: Javadoc API description for isCWT
 To built a flexible PDF rendering one first needed some basics
 functionality to deal with fonts, images and general platform
 abstractions. The result is isCWT. It contains all abstraction and
 implementations needed for rendering PDF that are not related to PDF
 itself.  This library is built and used primarily for jPod Renderer,
 so one is likely to miss some features when using it in another context.
 .
 This package contains autogenerated documentation from the Java source code,
 consisting of HTML files describing the library for programmers.

Package: libisds-dev
Description-md5: a63517b73c0e366c06654ae397dee28e
Description-en: Czech Data Boxes access library (development files)
 This library implements all client SOAP services defined in ISDS
 (Informační systém datových schránek) specification as defined in
 Czech ISDS Act (300/2008 Coll.) and implied documents.  This library
 can also submit document for authorized conversion into Czech POINT
 deposit.
 .
 This package contains the static library and headers.

Package: libisds5
Description-md5: 8769dc35b1f1f12d57e5c4cfb04ac5f0
Description-en: Czech Data Boxes access library (shared library)
 This library implements all client SOAP services defined in ISDS
 (Informační systém datových schránek) specification as defined in
 Czech ISDS Act (300/2008 Coll.) and implied documents.  This library
 can also submit document for authorized conversion into Czech POINT
 deposit.
 .
 This package contains the shared runtime library.

Package: libisfreetype-java
Description-md5: 3bdbb5579a740db4fbdc934748a8cddb
Description-en: Java wrapper for FreeType font handling library
 The PDF rendering of the Open Source efforts of the company intarsys
 was in demand of a good font handling library. This new development was
 motivated by observations that current solutions
  * only have poor support for VM
  * there is no plain Java library around
  * extends the de factor standard C library FreeType
 This library wraps around the functions that were important for
 using isNativeC (another library of the same company) and were ready
 to run on all FreeType supported platforms.
 .
 While this wrapper-library binds and uses only a very small subset
 of the FreeType features available, to the degree that it is needed
 for the jPodRenderer, it should be no problem to use and enhance
 this implementation in other contexts.
 .
 This package provides a only a link to the very latest
 version of libisfreetype.

Package: libisfreetype-java-doc
Description-md5: 2e39ec0fe2312b0a80e47036ebc5b138
Description-en: Javadoc API description for isFreeType
 Autogenerated from the Java source code, this package offers
 the HTML files describing the library for programmers.

Package: libismrmrd-dev
Description-md5: ddf945cd3a75bcf364e523bd7139c5d4
Description-en: development files for ISMRMRD
 The ISMRMRD format combines a mix of flexible data structures (XML header)
 and fixed structures (equivalent to C-structs) to represent MRI data.
 .
 In addition, the ISMRMRD format also specifies an image header for storing
 reconstructed images and the accompanying C++ library provides a convenient
 way of writing such images into HDF5 files along with generic arrays for
 storing less well defined data structures, e.g. coil sensitivity maps or
 other calibration data.
 .
 This package provides the development files.

Package: libismrmrd-doc
Description-md5: 2469b7c9ccfa08f5a6affb1af21e877f
Description-en: documentation for ISMRMRD
 The ISMRMRD format combines a mix of flexible data structures (XML header)
 and fixed structures (equivalent to C-structs) to represent MRI data.
 .
 In addition, the ISMRMRD format also specifies an image header for storing
 reconstructed images and the accompanying C++ library provides a convenient
 way of writing such images into HDF5 files along with generic arrays for
 storing less well defined data structures, e.g. coil sensitivity maps or
 other calibration data.
 .
 This package provides the documentation.

Package: libismrmrd1.3
Description-md5: 541e40b53e0f3863da96ab3f6d59ec9b
Description-en: ISMRM Raw Data format (ISMRMRD)
 The ISMRMRD format combines a mix of flexible data structures (XML header)
 and fixed structures (equivalent to C-structs) to represent MRI data.
 .
 In addition, the ISMRMRD format also specifies an image header for storing
 reconstructed images and the accompanying C++ library provides a convenient
 way of writing such images into HDF5 files along with generic arrays for
 storing less well defined data structures, e.g. coil sensitivity maps or
 other calibration data.
 .
 This package provides the shared library.

Package: libisnativec-java
Description-md5: 47136e05e83d52e9ab0b115d33f720c0
Description-en: helper routines to access native code from Java
 The package presents a solution completely written in Java to access
 native code.  Features:
  * Java side declaration, no C compiler
  * Clean design
  * Transparent, easy deployment
  * Platform independent
  * Fast
 The effort relies on a combination of upstream's custom design for
 the call interface, memory abstraction and data structures and the
 basic native binding provided by any third party (currently jna).

Package: libisnativec-java-doc
Description-md5: 970ec2d4bd5518cbd66699a01728ccc9
Description-en: Javadoc API description for isNativeC
 Autogenerated from the Java source code, this package offers
 the HTML files describing the library for programmers.

Package: libiso9660-11
Description-md5: 57aea526cfae6bd2ad0a8b688de0f4db
Description-en: library to work with ISO9660 filesystems
 This library is made to read and write ISO9660 filesystems; those
 filesystems are mainly used on CDROMs.

Package: libiso9660-dev
Description-md5: 97b431f7646b5800ba9980c27edef610
Description-en: library to work with ISO9660 filesystems (development files)
 This package contains development files (headers and static library)
 for the libiso9660 library.
 .
 This library is made to read and write ISO9660 filesystems; those
 filesystems are mainly used on CDROMs.

Package: libisoburn-dev
Description-md5: 1f1193b360a99afaf99ec05fd439ff7d
Description-en: development package for libisoburn
 libisoburn is a frontend for the libraries libburn and libisofs. It handles
 the creation, loading, manipulation and burning of ISO-9660 filesystem images.
 This library provides a low-level API, called libisoburn API, which
 enhances and partly encapsulates the API of libburn and libisofs. Further
 there is a higher level API, called xorriso API, which completely encapsulates
 the API of libburn, libisofs, and libisoburn. It implements all parts of
 xorriso except the small initialization module xorriso/xorriso_main.c .
 .
 This package contains the headers, pkgconfig data, and static library for
 libisoburn.

Package: libisoburn-doc
Description-md5: b31a37b30e4d27981125f5ee7e94eef7
Description-en: documentation package for libisoburn
 libisoburn is a frontend for the libraries libburn and libisofs. It handles
 the creation, loading, manipulation and burning of ISO-9660 filesystem images.
 This library provides a low-level API, called libisoburn API, which
 enhances and partly encapsulates the API of libburn and libisofs. Further
 there is a higher level API, called xorriso API, which completely encapsulates
 the API of libburn, libisofs, and libisoburn. It implements all parts of
 xorriso except the small initialization module xorriso/xorriso_main.c .
 .
 This package contains the documentation for libisoburn.

Package: libisoburn1
Description-md5: f755475123e9dbc50f4dfecf700db177
Description-en: library to handle creation and inspection of ISO-9660 file systems
 libisoburn is a frontend for the libraries libburn and libisofs. It handles
 the creation, loading, manipulation and burning of ISO-9660 filesystem images.
 This library provides a low-level API, called libisoburn API, which
 enhances and partly encapsulates the API of libburn and libisofs. Further
 there is a higher level API, called xorriso API, which completely encapsulates
 the API of libburn, libisofs, and libisoburn. It implements all parts of
 xorriso except the small initialization module xorriso/xorriso_main.c .
 .
 This package contains the shared object files for libisoburn.

Package: libisocodes-dev
Description-md5: 313a9d31eb7ad7c425ac595a83bc1247
Description-en: access of iso-codes data and translations - development files
 This library can be used to easily access XML data of the iso-codes
 package. It will provide an abstraction layer to handle both the
 version 3 and the upcoming version 4 of iso-codes. Moreover, all
 available translations can be used as well.
 .
 This library makes use of the GObject introspection features, so that
 it is accessible from a variety of programming languages, for example
 C, Vala, Ruby, Python, Perl, Lua, JavaScript, PHP and many more.
 .
 Install this package if you wish to develop your own programs using
 the libisocodes library.

Package: libisocodes1
Description-md5: bb540e0671d574ab7704f311e69724db
Description-en: access of iso-codes data and translations
 This library can be used to easily access XML data of the iso-codes
 package. It will provide an abstraction layer to handle both the
 version 3 and the upcoming version 4 of iso-codes. Moreover, all
 available translations can be used as well.
 .
 This library makes use of the GObject introspection features, so that
 it is accessible from a variety of programming languages, for example
 C, Vala, Ruby, Python, Perl, Lua, JavaScript, PHP and many more.

Package: libisofs-dev
Description-md5: 9eacf125d975a1402273ea6f341d6cc5
Description-en: development package for libisofs
 This package contains the pkgconfig files, static library and headers for the
 libisofs6 package.
 Header file <libisofs/libisofs.h> defines the API of libisofs.

Package: libisofs-doc
Description-md5: ccc0a58d4d6b8e2b2f77edbfa9f2e671
Description-en: background documentation for libisofs library
 This package contains documentation for libisofs6 extensions to the ISO 9660
 filesystem format: extended file attributes by AAIP, MD5 checksums,
 zisofs as of mkzftree(1).

Package: libisofs6
Description-md5: 1edcefc688adb0b546b9ea4b9063104a
Description-en: library to create ISO 9660 images
 libisofs creates ISO 9660 (aka ECMA-119) filesystem images which can either
 be written to POSIX file objects or handed over to libburn for being written
 directly to optical media.
 It can read metadata of ISO 9660 filesystems, manipulate them, and use them
 to produce new complete filesystem images or add-on images to be appended
 to the read filesystem image.
 Supported extensions to ISO 9660 are Rock Ridge, Joliet, AAIP, zisofs.

Package: libisoparser-java
Description-md5: 037c342488a5a7545c23e8d8ad9bb021
Description-en: Generic parser and writer for ISO 14496 based files
 The isoparser API can read and write the MP4 file structure. It is a low
 level tool dealing with the so called boxes but it is as well as dealing
 with structure like tracks and movies.

Package: libisorelax-java
Description-md5: 18eaa368c11cd82888b42562b6db7e2b
Description-en: Interface for applications to support RELAX Core
 The ISO RELAX project hosts the public interfaces useful for
 applications to support RELAX Core.
 More details about RELAX NG can be found on
 http://relaxng.sourceforge.net/

Package: libisorelax-java-doc
Description-md5: d64771577e8252636ccf28e88ffd3769
Description-en: Interface for applications to support RELAX Core - documentation
 The ISO RELAX project hosts the public interfaces useful for
 applications to support RELAX Core.
 More details about RELAX NG can be found on
 http://relaxng.sourceforge.net/
 .
 This package provides the documentation.

Package: libisospec++-dev
Description-md5: 113dcc38c2c18f64fa4d54fe8fe98a78
Description-en: Isotopic fine structure calculator (C++ development files)
 IsoSpec implements an algorithm for fast computation of isotopologues of
 chemical substances that can alternate between joint probability and peak
 height threshold.
 .
 This package ships the development files.

Package: libisospec++-doc
Description-md5: d7d1ebd1d84cbe19fcdcabed9e51015b
Description-en: Isotopic fine structure calculator (C++ API documentation)
 IsoSpec implements an algorithm for fast computation of isotopologues of
 chemical substances that can alternate between joint probability and peak
 height threshold.
 .
 This package ships the developer documentation files.

Package: libisospec++1
Description-md5: 9265d7731cc4aa34bda02514a5dc31e9
Description-en: Isotopic fine structure calculator (C++ runtime)
 IsoSpec implements an algorithm for fast computation of isotopologues of
 chemical substances that can alternate between joint probability and peak
 height threshold.
 .
 This package ships the runtime shared library.

Package: libisrt-java
Description-md5: 22242182c6455ae8b4f886154b149c5a
Description-en: common runtime library by intarsys
 The isRuntime library is a collection of routines common to most
 of intarsys's Java implementations, including the isCWT and jPod
 PDF management software libraries.

Package: libisrt-java-doc
Description-md5: d8872348ed43ee76baaa7c15919b3053
Description-en: common runtime library by intarsys (documentation)
 The isRuntime library is a collection of routines common to most
 of intarsys's Java implementations, including the isCWT and jPod
 PDF management software libraries.
 .
 This package contains the Javadoc API for the isRuntime library.

Package: libistack-commons-java
Description-md5: ede4ef727ae8f175723f810aaadfde9b
Description-en: Common code for some Glassfish projects
 The iStack Commons project was created to promote code reuse. The shared
 parts of JAXP, JAXB, SAAJ, and JAX-WS projects are included in this library
 to reduce the footprint of end products using them.

Package: libiterator-perl
Description-md5: a3ef55e7213c2e92174f560f32dfef6b
Description-en: Perl implementation of iterators
 Iterator is meant to be the definitive implementation of iterators, as
 popularized by Mark Jason Dominus's lectures and recent book (Higher Order
 Perl, Morgan Kauffman, 2005).
 .
 An "iterator" is an object, represented as a code block that generates the
 "next value" of a sequence, and generally implemented as a closure. When you
 need a value to operate on, you pull it from the iterator. If it depends on
 other iterators, it pulls values from them when it needs to. Iterators can be
 chained together (see Iterator::Util for functions that help you do just
 that), queueing up work to be done but not actually doing it until a value is
 needed at the front end of the chain. At that time, one data value is pulled
 through the chain.

Package: libiterator-simple-perl
Description-md5: a7603a956a70bdbe1e9a0b1ef631d6b5
Description-en: simple iterators and utilities
 This perl module, Iterator::Simple, allows the programmer to easily
 create and manipulate simple iterators in perl.
 .
 There are also functions to map and filter the output of iterators.

Package: libiterator-util-perl
Description-md5: 10c5c7f237ca452cf2c97e17e2fcc91b
Description-en: Useful functions for creating and manipulating iterator objects
 Iterator::Util implements many useful functions for creating and manipulating
 iterator objects.
 .
 An "iterator" is an object, represented as a code block that generates the
 "next value" of a sequence, and generally implemented as a closure. For
 further information, including a tutorial on using iterator objects, see the
 Iterator documentation.

Package: libitext-java
Description-md5: 9375e0a8a16e747e2a89699fc9c4c2a4
Description-en: Java Library to create and manipulate PDF on the fly
 iText is a library that allows you to generate PDF files on the fly.
 The iText classes are very useful for people who need to generate read-only,
 platform independent documents containing text, lists, tables and images.
 The library is especially useful in combination with Java(TM)
 technology-based Servlets: The look and feel of HTML is browser dependent;
 with iText and PDF you can control exactly how your servlet's output will look.

Package: libitext-rtf-java
Description-md5: 4f95d6918585f4d1a2456caf37cc2fcd
Description-en: Java Library to create and manipulate RTF files on the fly
 iText RTF is a library that allows you to generate RTF files on the fly in
 a similar fashion to iText itself.

Package: libitext-rups-java
Description-md5: 1e1f213c103bcad1e539daa7a1128d6d
Description-en: graphical tool for Reading and Updating PDF Syntax (RUPS)
 iText RUPS provides a GUI for visualizing PDF files and investigating their
 internal structure.

Package: libitext1-java
Description-md5: aa007bf484d7a0dc5515eaa74b5709a9
Description-en: Java Library to generate PDF on the Fly
 iText is a library that allows you to generate PDF files on the fly.
 The iText classes are very useful for people who need to generate read-only,
 platform independent documents containing text, lists, tables and images.
 The library is especially useful in combination with Java(TM)
 technology-based Servlets: The look and feel of HTML is browser dependent;
 with iText and PDF you can control exactly how your servlet's output will look.
 iText requires JDK 1.2. It's available for free under a multiple license:
 MPL and LGPL.

Package: libitext5-java
Description-md5: 9375e0a8a16e747e2a89699fc9c4c2a4
Description-en: Java Library to create and manipulate PDF on the fly
 iText is a library that allows you to generate PDF files on the fly.
 The iText classes are very useful for people who need to generate read-only,
 platform independent documents containing text, lists, tables and images.
 The library is especially useful in combination with Java(TM)
 technology-based Servlets: The look and feel of HTML is browser dependent;
 with iText and PDF you can control exactly how your servlet's output will look.

Package: libitext5-java-doc
Description-md5: 8b2072c087bc9c14521975506977ec80
Description-en: Java Library to create and manipulate PDF on the fly (documentation)
 iText is a library that allows you to generate PDF files on the fly.
 The iText classes are very useful for people who need to generate read-only,
 platform independent documents containing text, lists, tables and images.
 The library is especially useful in combination with Java(TM)
 technology-based Servlets: The look and feel of HTML is browser dependent;
 with iText and PDF you can control exactly how your servlet's output will look.
 .
 This package contains the documentation.

Package: libitl-dev
Description-md5: ebde304649050aeaaab646b93ecdbcca
Description-en: Islamic tools & libraries project - development files
 This library allows applications to convert between Hijri/Gregorian
 dates and compute Muslim prayer times and Qibla direction based on
 multiple methods of calculation.
 .
 Install this package if you need to build programs that use libitl.
 .
 Part of the Islamic Tools and Libraries project (ITL).

Package: libitl-gobject-dev
Description-md5: 36d9d8886884fa0078b569deddf44150
Description-en: GObject bindings for libitl - development files
 This library is a GObject bindings library for libitl (Islamic tools &
 library project), libitl allows applications to convert between
 Hijri/Gregorian dates and compute Muslim prayer times and Qibla direction
 based on multiple methods of calculation.
 .
 This package contains the header files, static libraries, and symbolic links
 needed for development. The GIR file is also included.

Package: libitl-gobject0
Description-md5: 7f20b16bee412587c2f31cd14d148abb
Description-en: GObject bindings for libitl - shared library
 This library is a GObject bindings library for libitl (Islamic tools &
 library project), libitl allows applications to convert between
 Hijri/Gregorian dates and compute Muslim prayer times and Qibla direction
 based on multiple methods of calculation.
 .
 This package contains the shared library.

Package: libitl0
Description-md5: fe3e8eb68c6518c4ab4df9a9877dfb41
Description-en: Islamic tools & libraries project - shared library
 This library allows applications to convert between Hijri/Gregorian
 dates and compute Muslim prayer times and Qibla direction based on
 multiple methods of calculation.
 .
 Part of the Islamic Tools and Libraries project (ITL).

Package: libitm1-alpha-cross
Description-md5: 353dc4001cbe783e9f0a27c29f5dc3b5
Description-en: GNU Transactional Memory Library
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: libitm1-amd64-cross
Description-md5: 353dc4001cbe783e9f0a27c29f5dc3b5
Description-en: GNU Transactional Memory Library
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: libitm1-i386-cross
Description-md5: 353dc4001cbe783e9f0a27c29f5dc3b5
Description-en: GNU Transactional Memory Library
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: libitm1-ppc64-cross
Description-md5: 353dc4001cbe783e9f0a27c29f5dc3b5
Description-en: GNU Transactional Memory Library
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: libitm1-sh4-cross
Description-md5: 353dc4001cbe783e9f0a27c29f5dc3b5
Description-en: GNU Transactional Memory Library
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: libitm1-sparc64-cross
Description-md5: 353dc4001cbe783e9f0a27c29f5dc3b5
Description-en: GNU Transactional Memory Library
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: libitm1-x32-cross
Description-md5: 353dc4001cbe783e9f0a27c29f5dc3b5
Description-en: GNU Transactional Memory Library
 GNU Transactional Memory Library (libitm) provides transaction support for
 accesses to the memory of a process, enabling easy-to-use synchronization of
 accesses to shared memory by several threads.

Package: libitpp-dev
Description-md5: de0d130a31469ecf5a987e2576f49300
Description-en: C++ library of signal processing and communication routines: Headers
 IT++ is a C++ library of mathematical, signal processing and
 communication classes and functions. Its main use is in simulation of
 communication systems and for performing research in the area of
 communications. The kernel of the library consists of generic vector
 and matrix classes, and a set of accompanying routines. Such a kernel
 makes IT++ similar to MATLAB or GNU Octave .
 .
 This package has the development libraries and headers for IT++.

Package: libitpp-doc
Description-md5: 82f2939c5428d6a4c9dc250d9163e075
Description-en: C++ library of signal processing and communication routines: Documentation
 IT++ is a C++ library of mathematical, signal processing and
 communication classes and functions. Its main use is in simulation of
 communication systems and for performing research in the area of
 communications. The kernel of the library consists of generic vector
 and matrix classes, and a set of accompanying routines. Such a kernel
 makes IT++ similar to MATLAB or GNU Octave .
 .
 This package has the documentation for IT++.

Package: libitpp8v5
Description-md5: 43929a8332eb5e041dde9c37d53bb8ed
Description-en: C++ library of signal processing and communication routines
 IT++ is a C++ library of mathematical, signal processing and
 communication classes and functions. Its main use is in simulation of
 communication systems and for performing research in the area of
 communications. The kernel of the library consists of generic vector
 and matrix classes, and a set of accompanying routines. Such a kernel
 makes IT++ similar to MATLAB or GNU Octave .

Package: libitpp8v5-dbg
Description-md5: 21cba55e30614b25d492057c06520aa1
Description-en: C++ library of signal processing and communication routines: Debug symbols
 IT++ is a C++ library of mathematical, signal processing and
 communication classes and functions. Its main use is in simulation of
 communication systems and for performing research in the area of
 communications. The kernel of the library consists of generic vector
 and matrix classes, and a set of accompanying routines. Such a kernel
 makes IT++ similar to MATLAB or GNU Octave .
 .
 This package has the debugging symbols for IT++ which may
 be useful while debugging IT++ based applications.

Package: libitsol-dev
Description-md5: 4575db27d95477de9257f284aab0cdcb
Description-en: ITerative SOLvers - devel
 ITSOL is a library of iterative solvers for general sparse linear systems of
 equations. ITSOL can be viewed as an extension of the itsol module in SPARSKIT.
 It is written in C and offers a selection of recently developed
 preconditioners. The preconditioner suite includes:
 .
   * ILUK (ILU preconditioner with level of fill)
   * ILUT (ILU preconditioner with threshold)
   * ILUC (Crout version of ILUT)
   * VBILUK (variable block preconditioner with level of fill - with automatic
     block detection)
   * VBILUT (variable block preconditioner with threshold - with automatic
     block detection)
   * ARMS (Algebraic Recursive Multilevel Solvers -- includes actually several
     methods - In particular the standard ARMS and the ddPQ version which uses
     nonsymmetric permutations)
 .
 Note that ITSOL is a scalar package. You may find parallel implementations of
 some of the preconditioners listed above in pARMS.
 .
 This package provides the itsol header files required to compile C/C++ programs
 that use ITSOL.

Package: libitsol1
Description-md5: 699486bdb5fa7053b71e882ec9f69522
Description-en: ITerative SOLvers - runtime
 ITSOL is a library of iterative solvers for general sparse linear
 systems of equations. ITSOL can be viewed as an extension of the itsol
 module in SPARSKIT. It is written in C and offers a selection of
 recently developed preconditioners. The preconditioner suite includes:
 .
   * ILUK (ILU preconditioner with level of fill)
   * ILUT (ILU preconditioner with threshold)
   * ILUC (Crout version of ILUT)
   * VBILUK (variable block preconditioner with level of fill - with
     automatic block detection)
   * VBILUT (variable block preconditioner with threshold - with
     automatic block detection)
   * ARMS (Algebraic Recursive Multilevel Solvers -- includes actually
     several methods - In particular the standard ARMS and the ddPQ
     version which uses nonsymmetric permutations)
 .
 Note that ITSOL is a scalar package. You may find parallel implementations
 of some of the preconditioners listed above in pARMS.

Package: libiv-unidraw1
Description-md5: 767bcf555c31d2787f16f11d2c2a8285
Description-en: Application Frameworks layered on Unidraw
 InterViews is written in C++ and portable to several different Unix
 platforms.
 Applications written with the InterViews library can be configured to
 provide a special "Look and Feel", such as SGI-Motif and normal Motif.
 The package includes the unidraw dynamic library, along with
 extensions for frame and graph editing needed by the ivtools vector
 graphics editors.

Package: libiv1
Description-md5: 3eada48265b5f9d13e84bef2f825a9bf
Description-en: C++ GUI library with Motif Look and Feel
 InterViews is written in C++ and portable to several different Unix
 platforms.
 Applications written with the InterViews library can be configured to
 provide a special "Look and Feel", such as SGI-Motif and normal Motif.
 This package contains the InterViews dynamic library.

Package: libivykis-dev
Description-md5: d8cf685fda01064ff72f395c4e6e7a10
Description-en: Development libraries, header files and docs for libivykis
 Contains the header files, documentation, examples and static
 libraries for use in developing applications that use the libivykis
 library.
 .
 The ivykis library is a thin, portable wrapper around OS-provided
 mechanisms such as epoll(4), kqueue(2) and poll(2). It was mainly
 designed for building high-performance network applications, but can
 be used in any event-driver application that uses pollable file
 descriptors as its event sources.

Package: libivykis0
Description-md5: 5e071c81813f690195df9a44cfb26c57
Description-en: Asynchronous I/O readiness notification library
 The ivykis library is a thin, portable wrapper around OS-provided
 mechanisms such as epoll(4), kqueue(2) and poll(2). It was mainly
 designed for building high-performance network applications, but can
 be used in any event-driver application that uses pollable file
 descriptors as its event sources.
 .
 Programs written to the ivykis API are generally single-threaded (or
 use only a small number of threads), and never block on I/O. All
 input and output is done in a nonblocking fashion, with I/O readiness
 notification delivered via callback functions.

Package: libivykis0-dbg
Description-md5: b53f5199de8637d4edbe92074b605a45
Description-en: Asynchronous I/O readiness notification library (debug symbols)
 Contains the debug symbols for the libivykis library. Most people
 will not need this package.
 .
 The ivykis library is a thin, portable wrapper around OS-provided
 mechanisms such as epoll(4), kqueue(2) and poll(2). It was mainly
 designed for building high-performance network applications, but can
 be used in any event-driver application that uses pollable file
 descriptors as its event sources.

Package: libixml10
Description-md5: ac3b23671318dd234dd99a0df54137df
Description-en: Portable SDK for UPnP Devices, version 1.8 (ixml shared library)
 The Portable SDK for UPnP Devices (libupnp) provides developers with an
 API and open source code for building control points, devices, and
 bridges that are compliant with Version 1.0 of the Universal Plug and
 Play Device Architecture Specification - see http://www.upnp.org/ for
 specifications.
 .
 The libixml10 package contains the runtime libraries for the Linux DOM2
 XML Parser (IXML).

Package: libixp
Description-md5: 28037930579d0d6b2093d259d68cb2d0
Description-en: transitional dummy package for libixp-dev
 This is a transitional package to ease upgrades to the libixp-dev
 package. It can be safely removed.

Package: libixp-dev
Description-md5: 2b7758645503dbb1ac3aaf0a3ae0307f
Description-en: simple 9P client-/server-library (development)
 This is a an extremely simple 9P stand-alone library. 9P is a virtual
 filesystem from the Plan9 operating system.
 .
 This package contains the development library and its headers.

Package: libixp0
Description-md5: 18b04c83d60f5922ec65a694d2dc0bca
Description-en: simple 9P client-/server-library
 This is a an extremely simple 9P stand-alone library. 9P is a virtual
 filesystem from the Plan9 operating system.

Package: libj2ssh-java
Description-md5: ad2aee61805f91a6e98814dff3e701de
Description-en: Java library for the SSH protocol
 J2SSH is an object-orientated Java library implementation of the SSH
 version 2 protocol. It provides a rich, powerful, and extensible SSH
 API that enables developers to gain access to SSH servers and to
 develop entire SSH client/server frameworks. The API library provides
 a fully-featured SSH2 implementation specifically designed for
 cross-platform development. Higher level components, representing
 both the standard SSH client and SSH servers, are provided which
 implement the protocol specification for user sessions and port
 forwarding. The specification currently supports public key and
 password authentication and a full implementation of the SFTP
 protocol.

Package: libj2ssh-java-doc
Description-md5: c906e031b65d9f1854feb504ecdc14d2
Description-en: Java library for the SSH protocol - documentation and examples
 J2SSH is an object-orientated Java library implementation of the SSH
 version 2 protocol. It provides a rich, powerful, and extensible SSH
 API that enables developers to gain access to SSH servers and to
 develop entire SSH client/server frameworks. The API library provides
 a fully-featured SSH2 implementation specifically designed for
 cross-platform development. Higher level components, representing
 both the standard SSH client and SSH servers, are provided which
 implement the protocol specification for user sessions and port
 forwarding. The specification currently supports public key and
 password authentication and a full implementation of the SFTP
 protocol.
 .
 This package includes the API documentation as well as some examples
 for the j2ssh library.

Package: libjaba-client-java
Description-md5: 7363cbb519397fb6f94dbe4331231540
Description-en: minimal client for the JABAWS server
 This package contains a library for building a client for the JABAWS
 sequence alignment server.
 .
 This library is needed by jalview.

Package: libjabsorb-java
Description-md5: 29dc75219714714e5909da9b16d9547f
Description-en: Java to Javascript object request broker
 Simple and lightweight Ajax/Web 2.0 framework that allows you to call
 methods in a Java web application from JavaScript code running in a web
 browser as if they were local objects residing directly in the browser.
 .
 Jabsorb handles all the details of marshalling and unmarshalling objects
 back and forth between the client and server so that you can focus on
 writing your application features.
 .
 Jabsorb makes use of the JSON-RPC protocol for it's transport mechanism.
 JSON-RPC is a standard protocol and jabsorb can interoperate with other
 standard JSON-RPC clients and servers that may be written in other
 languages.
 .
 Starting with jabsorb 1.2, additional ORB functionality has been added,
 and it extends the basic JSON-RPC protocol to allow for passing data
 structures that contain Circular References.

Package: libjack-dev
Description-md5: 5769772c9db90298e719eeef115bed03
Description-en: JACK Audio Connection Kit (development files)
 JACK is a low-latency sound server, allowing multiple applications to
 connect to one audio device, and to share audio between themselves.
 .
 This package contains files needed for the development of JACK applications
 and an API reference.

Package: libjack0
Description-md5: f4743a9e2f04ed05673483cd1fe0eb38
Description-en: JACK Audio Connection Kit (libraries)
 JACK is a low-latency sound server, allowing multiple applications to
 connect to one audio device, and to share audio between themselves.
 .
 This package contains the shared libraries.

Package: libjackrabbit-java
Description-md5: 06c74681ddc026f77028a035fa30d5d1
Description-en: content repository implementation (JCR API)
 Apache Jackrabbit is content repository implementation of JSR 170 and 283
 standards, the Content Repository for Java Technology API.
 .
 NOTE: This package doesn't contains yet full Apache Jackrabbit stack but just
 jackrabbit-webdav module for Maven usage.

Package: libjackson-json-java
Description-md5: c560d5e1336352bc316c747aa2093214
Description-en: streaming fast powerful standard conformant json processor in java
 Jackson is a Java-based JSON-processing package that contains:
 .
  * high-performance streaming JSON parser/generator
  * Tree Model that can be built from/written to parser/generator
  * Object Mapper that implements data binding to/from
  * parser/generator
 .
 API of streaming parser/generator is similar to Stax API used for
 efficient xml processing on Java platform.
 .
 Beyond VERY fast Json parser and generator, Jackson project also offers
 full data binding support through 2 different approaches: "Object
 Mapper" for full POJO to/from Json data mapping (similar to JAXB2) and
 "Tree Mapper" for something similar to DOM and XPath.

Package: libjackson-json-java-doc
Description-md5: 220b6b4dd03bc106ac06a954115b64a7
Description-en: standard conformant json processor in java - API documentation
 Jackson is a Java-based JSON-processing package that contains:
 .
  * high-performance streaming JSON parser/generator
  * Tree Model that can be built from/written to parser/generator
  * Object Mapper that implements data binding to/from
  * parser/generator
 .
 API of streaming parser/generator is similar to Stax API used for
 efficient xml processing on Java platform.
 .
 Beyond VERY fast Json parser and generator, Jackson project also offers
 full data binding support through 2 different approaches: "Object
 Mapper" for full POJO to/from Json data mapping (similar to JAXB2) and
 "Tree Mapper" for something similar to DOM and XPath.
 .
 This package contains the api documentation.

Package: libjackson2-annotations-java
Description-md5: 39de876ef1946d291a53e18fd3c27ea8
Description-en: fast and powerful JSON library for Java -- annotations
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains general purpose annotations for value and handler types.

Package: libjackson2-annotations-java-doc
Description-md5: e1db843705d8d19c64a912740b04a4b1
Description-en: Documentation for Jackson-annotations
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains the API documentation of libjackson2-annotations-java.

Package: libjackson2-core-java
Description-md5: 9ea23f5bd36f3deba4c38b5d5c1cda7e
Description-en: fast and powerful JSON library for Java -- core library
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains the Jackson core library.

Package: libjackson2-core-java-doc
Description-md5: ae4cf18c4f18eb2c103eef868853bf26
Description-en: Documentation for Jackson-core
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains the API documentation of libjackson2-core-java.

Package: libjackson2-databind-java
Description-md5: 22a13b0792c5df40b06299919d32df47
Description-en: fast and powerful JSON library for Java -- data binding
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains general purpose data-binding functionality for data
 formats other than JSON.

Package: libjackson2-databind-java-doc
Description-md5: af11135594991c54971319b8c95010e8
Description-en: Documentation for jackson-databind
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains the API documentation of libjackson2-databind-java.

Package: libjackson2-dataformat-cbor
Description-md5: e1cf52097f09b59e62028ccbcf1b5bb7
Description-en: Jackson data format module for RfC7049 Concise Binary Object Representation
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains an extension for reading and writing "Concise
 Binary Object Representation (CBOR)" as specified in RfC7049.

Package: libjackson2-dataformat-cbor-doc
Description-md5: 47edf66d9e2b5730ffafd8ad7d215039
Description-en: Documentation for jackson-dataformat-cbor
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains the API documentation of libjackson2-dataformat-cbor.

Package: libjackson2-dataformat-smile
Description-md5: d27cf20ff7a4d4e075708261e8ec619e
Description-en: fast and powerful JSON library for Java -- Smile dataformat
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains an extension for reading and writing Smile-("binary
 JSON")-encoded data.

Package: libjackson2-dataformat-smile-doc
Description-md5: 9f9ae7efd30802c17cf427aea81cdfc7
Description-en: Documentation for Jackson-dataformat-smile
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains the API documentation of libjackson2-dataformat-smile.

Package: libjackson2-dataformat-xml-java
Description-md5: 26393674e098295fd68e9dcf2319f596
Description-en: fast and powerful JSON library for Java -- XML dataformat
 Data format extension for Jackson to offer alternative support for serializing
 POJOs (Plain Old Java Objects) as XML and deserializing XML as POJOs. Support
 was implemented on top of Stax API (javax.xml.stream) by implementing core
 Jackson Streaming API types like JsonGenerator, JsonParser and JsonFactory.

Package: libjackson2-dataformat-xml-java-doc
Description-md5: 81ffdf50a67bd607f11490cb8b798c31
Description-en: Documentation for Jackson-dataformat-XML
 Data format extension for Jackson to offer alternative support for serializing
 POJOs as XML and deserializing XML as POJOs.
 .
 This package contains the API documentation of libjackson2-dataformat-xml-java.

Package: libjackson2-dataformat-yaml
Description-md5: f70a95d3b18c98f7cd962f4509ad31a5
Description-en: fast and powerful JSON library for Java -- YAML dataformat
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains an extension for reading and writing YAML-encoded data

Package: libjackson2-dataformat-yaml-doc
Description-md5: 5706c1b84ee4899ad2b5cac20625c954
Description-en: Documentation for jackson-dataformat-yaml
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains the API documentation of libjackson2-dataformat-yaml.

Package: libjackson2-datatype-joda-java
Description-md5: 962d262f5bec7c84954cc47c25f3215d
Description-en: fast and powerful JSON library for Java -- Joda datatypes
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains an extension for serializing and deserializing classes
 implemented by the Joda-Time date/time library.

Package: libjackson2-datatype-joda-java-doc
Description-md5: 711d43bf8fca938ee06d34f29339840d
Description-en: Documentation for jackson-datatype-joda
 The Jackson Data Processor is a multi-purpose Java library for processing
 JSON. Jackson aims to be the best possible combination of fast, correct,
 lightweight, and ergonomic for developers. It offers three alternative methods
 for processing JSON:
 .
  * Streaming API inspired by StAX
  * Tree Model
  * Data Binding converts JSON to and from POJOs
 .
 In addition to the core library, there are numerous extension that provide
 additional functionality such as additional data formats beyond JSON,
 additional data types or JVM languages.
 .
 This package contains the API documentation of libjackson2-datatype-joda.

Package: libjackson2-jaxrs-providers-java
Description-md5: 998917ce301b00a9bee88fa38623571f
Description-en: Jackson JAX-RS providers
 This is a multi-module project that contains Jackson-based JAX-RS providers for
 following data formats:
 .
   * JSON (https://github.com/FasterXML/jackson-core)
   * Smile (https://github.com/FasterXML/jackson-dataformat-smile)
   * XML (https://github.com/FasterXML/jackson-dataformat-xml)
   * CBOR (https://github.com/FasterXML/jackson-dataformat-cbor)
 .
 Only JSON and Smile providers are built in this package for now.

Package: libjackson2-jr-java
Description-md5: a4b13bef6b1c6a63d092946515b777c2
Description-en: JSON library for Java -- standalone data-binding module
 Jackson jr is a compact alternative to the full Jackson Databind component. It
 implements a subset of functionality, for example for cases where size of jar
 or startup time matters. In addition to basic datatypes the package supports
 reading and writing of standard Java Beans (an implementation that mimics the
 standard JDK Bean Introspection).
 .
 Jackson jr also adds composer implementation that can be used to construct
 JSON output with builder-style API, but without necessarily having to build an
 in-memory representation: instead, it can directly use the streaming-api for
 direct output. It is also possible to build actual in-memory JSON String or
 byte[] representation, if that is preferable.

Package: libjackson2-jr-java-doc
Description-md5: 495fe59da60ea09811a86552b89fa8b5
Description-en: Documentation for libjackson2-jr-java
 Jackson jr is a compact alternative to the full Jackson Databind component. It
 implements a subset of functionality, for example for cases where size of jar
 or startup time matters. In addition to basic datatypes the package supports
 reading and writing of standard Java Beans (an implementation that mimics the
 standard JDK Bean Introspection).
 .
 This package contains the API documentation of libjackson2-jr-java.

Package: libjackson2-module-jaxb-annotations-java
Description-md5: 94fe9da6d2c3422d40cd037c8a6186d5
Description-en: Fast and powerful JSON library for Java -- JAXB annotations
 This Jackson extension module provides support for using JAXB (javax.xml.bind)
 annotations as an alternative to native Jackson annotations. It is most often
 used to make it easier to reuse existing data beans that used with JAXB
 framework to read and write XML.

Package: libjacoco-java
Description-md5: 3a8db41272d4ed6cb741ae1397c7ec89
Description-en: free code coverage library for Java
 JaCoCo is a code coverage checking library for Java. It has been integrated
 into many libraries and tools like Gradle, Maven, and Android SDK.
 .
 This package currently provides 2 libraries:
 .
   * org.jacoco.core.jar
   * org.jacoco.report.jar

Package: libjacoco-java-doc
Description-md5: fd8a6f8129af44027126673adec5ef67
Description-en: free code coverage library for Java - documentations
 JaCoCo is a code coverage checking library for Java. It has been integrated
 into many libraries and tools like Gradle, Maven, and Android SDK.
 .
 This package contains the API Javadoc.

Package: libjalali-dev
Description-md5: 6b3075c858983dace394338e3b7ec0a9
Description-en: development files of a library to display Jalali calendar
 JCal is a UNIX-cal-like tool to display Jalali (Persian) calendar.
 .
 This package contains development files for JCal library.

Package: libjalali0
Description-md5: dd56c850a2c847408b35fd5d26ddd360
Description-en: library to display Jalali calendar
 JCal is a UNIX-cal-like tool to display Jalali (Persian) calendar.
 .
 This package contains JCal library files.

Package: libjam-java
Description-md5: f6d55e295ac880d41d59b592d30b5a79
Description-en: Java applications look and behave like native applications
 JAM provides classes for building desktop applications that look and
 behave like native applications.  applications created using JAM will
 look native on Mac, Windows and Linux/UNIX machines.

Package: libjam-java-doc
Description-md5: 5d93a5e15b42e536abdc5e04f57fdbe6
Description-en: Java applications look and behave like native applications (documentation)
 JAM provides classes for building desktop applications that look and
 behave like native applications.  applications created using JAM will
 look native on Mac, Windows and Linux/UNIX machines.
 .
 This package provides the documentation for the library

Package: libjama-dev
Description-md5: 72d61ecb9e413f88c0c8edaa9bc63689
Description-en: C++ Linear Algebra Package
 JAMA/C++ was adapted for The Template Numerical Toolkit (TNT) from
 JAMA, a Java Matrix Library, developed jointly by the Mathworks and
 NIST. See http://math.nist.gov/javanumerics/jama for more information.
 .
 TNT is a collection of interfaces and reference implementations of
 numerical objects useful for scientific computing in C++. The toolkit
 defines interfaces for basic data structures, such as multidimensional
 arrays and sparse matrices, commonly used in numerical applications.
 The goal of this package is to provide reusable software components
 that address many of the portability and maintenance problems with C++
 codes.
 .
 TNT provides a distinction between interfaces and implementations of
 TNT components. For example, there is a TNT interface for
 two-dimensional arrays which describes how individual elements are
 accessed and how certain information, such as the array dimensions, can
 be used in algorithms; however, there can be several implementations of
 such an interface: one that uses expression templates, or one that uses
 BLAS kernels, or another that is instrumented to provide debugging
 information. By specifying only the interface, applications codes may
 utilize such algorithms, while giving library developers the greatest
 flexibility in employing optimization or portability strategies.
 .
  Homepage: http://math.nist.gov/tnt/

Package: libjama-java
Description-md5: 1f42a505ebab68b4fe9cb3a9719588ef
Description-en: Basic linear algebra library for Java
 Provides fundamental operations of numerical linear algebra. The package
 provides five matrix decompositions. These decompositions are accessed by
 the Matrix class to compute solutions of simultaneous linear equations,
 determinants, inverses and other matrix functions.
 .
 The five decompositions are:
  * Cholesky Decomposition of symmetric, positive definite matrices
  * LU Decomposition (Gaussian elimination) of rectangular matrices
  * QR Decomposition of rectangular matrices
  * Eigenvalue Decomposition of both symmetric and nonsymmetric square matrices
  * Singular Value Decomposition of rectangular matrices

Package: libjama-java-doc
Description-md5: 2206e7999edf8d8fe2ec2ad17054dca9
Description-en: Basic linear algebra library for Java (documentation)
 Provides fundamental operations of numerical linear algebra. The package
 provides five matrix decompositions. These decompositions are accessed by
 the Matrix class to compute solutions of simultaneous linear equations,
 determinants, inverses and other matrix functions.
 .
 The five decompositions are:
  * Cholesky Decomposition of symmetric, positive definite matrices
  * LU Decomposition (Gaussian elimination) of rectangular matrices
  * QR Decomposition of rectangular matrices
  * Eigenvalue Decomposition of both symmetric and nonsymmetric square matrices
  * Singular Value Decomposition of rectangular matrices
 .
 This package contains the documentation of libjama-java.

Package: libjameica-datasource-java
Description-md5: f9019196bcb8ef7bbbdc55b9d59e1ad0
Description-en: OR mapper for Jameica - Java library
 Object-relational mapper library for the Jameica homebanking software.

Package: libjameica-datasource-java-doc
Description-md5: 1d0aa328629b6c4c36c04e5b35852f42
Description-en: Documentation for OR mapper for Jameica - Java library
 Object-relational mapper library for the Jameica homebanking software.
 .
 This package contains the API documentation of libjameica-datasource-java.

Package: libjameica-util-java
Description-md5: c3aee2b3b688a49c6cbe6f1a602fbc37
Description-en: utility classes for Jameica - Java library
 This is a library of utility classes for the Jameica homebanking software.

Package: libjameica-util-java-doc
Description-md5: f2af3c7c73d193931bc20d0268926c00
Description-en: Documentation for utility classes for Jameica - Java library
 This is a library of utility classes for the Jameica homebanking software.
 .
 This package contains the API documentation of libjameica-util-java.

Package: libjamm-java
Description-md5: 4fdaec6aa0a03e362f9a9558da1639ac
Description-en: Java Agent for Memory Measurements
 Jamm provides MemoryMeter, a java agent to measure actual object memory
 use including JVM overhead.
 .
 MemoryMeter is as accurate as
 java.lang.instrument.Instrumentation.getObjectSize, which only claims
 to provide "approximate" results, but in practice seems to work as
 expected.
 .
 MemoryMeter uses reflection to crawl the object graph for measureDeep.
 By default, MemoryMeter keeps track of descendants visited by
 measureDeep with an IdentityHashMap.

Package: libjamm-java-doc
Description-md5: 2a015b56a7e7d8cdfa71f209112de86d
Description-en: Documentation for Java Agent for Memory Measurements
 Jamm provides MemoryMeter, a java agent to measure actual object memory
 use including JVM overhead.
 .
 MemoryMeter is as accurate as
 java.lang.instrument.Instrumentation.getObjectSize, which only claims
 to provide "approximate" results, but in practice seems to work as
 expected.
 .
 MemoryMeter uses reflection to crawl the object graph for measureDeep.
 By default, MemoryMeter keeps track of descendants visited by
 measureDeep with an IdentityHashMap.
 .
 This package contains the API documentation of libjamm-java.

Package: libjamon-java
Description-md5: 44e8609b3898f540e66e906a5c69f82e
Description-en: Java API for easy monitoring production applications
 The Java Application Monitor (JAMon) is a free, simple, high
 performance, thread safe, Java API that allows developers to easily
 monitor production applications. JAMon can be used to determine
 application performance bottlenecks, user/application interactions,
 track application scalability, and more.

Package: libjanino-java
Description-md5: 1074e524fe86fb4bd6b65454ffc6ae66
Description-en: runtime compiler for Java expressions - library
 Janino is a compiler that reads a Java expression, block, class body,
 source file or a set of source files, and generates Java bytecode that is
 loaded and executed directly. Janino is not intended to be a development tool,
 but an embedded compiler for run-time compilation purposes, e.g. expression
 evaluators or embedded code as with JSP.
 .
 This package contains Java library.

Package: libjanino-java-doc
Description-md5: 8c3df1513be9096f1275e0af5038469a
Description-en: runtime compiler for Java expressions - documentation
 Janino is a compiler that reads a Java expression, block, class body,
 source file or a set of source files, and generates Java bytecode that is
 loaded and executed directly. Janino is not intended to be a development tool,
 but an embedded compiler for run-time compilation purposes, e.g. expression
 evaluators or embedded code as with JSP.
 .
 This package contains Java library documentation as Javadoc.

Package: libjansi-java
Description-md5: 0f0c7356271fcd9e79d75de11ef9dc79
Description-en: Java library for generating and interpreting ANSI escape sequences
 Jansi is a small java library that allows you to use ANSI
 escape codes to format your console output which works across
 all platforms.
 .
 Jansi detects and abstracts the ANSI support provided
 by the attached terminal. When your Java application uses
 Jansi, it can always assume that standard out and error
 streams support ANSI sequences.

Package: libjansi-native-java
Description-md5: 0435d1b7187fe6ecee0c091912082461
Description-en: Java library for ANSI escape sequences - native component
 Jansi is a small java library that allows you to use ANSI escape
 sequences to format console output across all platforms.
 .
 jansi-native implements the native libraries used by the Jansi project.

Package: libjarchivelib-java
Description-md5: 67d12dd43eb23567d3b4e3c65278575b
Description-en: simple archiving and compression library for Java
 A simple archiving and compression library for Java that provides a thin and
 easy-to-use API layer on top of the powerful and feature-rich
 org.apache.commons.compress.

Package: libjargs-java
Description-md5: a630e71d4aaa46b69ac6d7342f6fd3c7
Description-en: Command-line argument parsing for Java
 jargs provides a convenient, compact, pre-packaged and
 comprehensively documented suite of command line option
 parsers for the use of Java programmers.

Package: libjargs-java-doc
Description-md5: 8f880194de6fb14b8a5db9d7f13f00b4
Description-en: Command-line argument parsing for Java - documentation
 jargs provides a convenient, compact, pre-packaged and
 comprehensively documented suite of command line option
 parsers for the use of Java programmers.
 .
 This package includes the documentation.

Package: libjarjar-java
Description-md5: 742dfa8deee489d10634651524a940b9
Description-en: repackage third-party jars
 Utility that makes it easy to repackage Java libraries and embed them
 into your own distribution. This is useful for two reasons:
 .
  - You can easily ship a single jar file with no external dependencies.
  - You can avoid problems where your library depends on a specific
    version of a library, which may conflict with the dependencies of
    another library.

Package: libjarjar-maven-plugin-java
Description-md5: 74946d31b75df4f1fd5bb2b86ab04423
Description-en: Maven plugin to repackage third-party jars
 Utility that makes it easy to repackage Java libraries and embed them into
 your own distribution. This is useful for two reasons:
 - You can easily ship a single jar file with no external dependencies.
 - You can avoid problems where your library depends on a specific
   version of a library, which may conflict with the dependencies of
   another library.
 .
 This package provide a Maven plugin for Java to allow plug this
 into Maven build system.

Package: libjas-java
Description-md5: f4222b0c89decaee97bd7aa76302279d
Description-en: Java object-oriented type-safe Algebra System
 Java Algebra System (JAS) is an object-oriented, type-safe and
 multi-threaded library using generic types for algebraic
 computations. It mainly focuses on commutative algebra, solvable
 polynomials, Groebner bases, factorization, power series and real
 roots.

Package: libjas-plotter-java
Description-md5: 351f8b5459bc51df239ed7f6661c623c
Description-en: JAS(2) Plotter graphic library
 This library, part of the Java Analysis Studio distribution, is able to
 draw various sorts of plots, such as monodimensional and bidimensinal
 histograms, scatter plots or function fits. Many aspects of the plots,
 such as the color or the style of the lines, can also be interactively
 modified via a Swing interface.
 .
 This library is used in FreeHEP, a collection of Java libraries used
 in High Energy Physics.

Package: libjasypt-java
Description-md5: fcf19cccd1b64b74a7887f95e9e6944f
Description-en: java library for simple high-level encryption
 Jasypt is a java library which allows the developer to add basic encryption
 capabilities to projects.
 .
 It provides :
  * High-security, standards-based encryption techniques, both for
    unidirectional and bidirectional encryption.
  * Transparent integration with Hibernate.
  * Suitable for integration into Spring-based applications and also
    transparently integrable with Spring Security.
  * Integrated capabilities for encrypting the configuration of
    applications (i.e. datasources).
  * Specific features for high-performance encryption in
    multi-processor/multi-core systems.
  * Open API for use with any JCE provider.

Package: libjasypt-java-doc
Description-md5: a1ca91448ba39f06811599105245adf0
Description-en: Documentation - java library for simple high-level encryption
 Jasypt is a java library which allows the developer to add basic encryption
 capabilities to projects.
 .
 It provides :
  * High-security, standards-based encryption techniques, both for
    unidirectional and bidirectional encryption.
  * Transparent integration with Hibernate.
  * Suitable for integration into Spring-based applications and also
    transparently integrable with Spring Security.
  * Integrated capabilities for encrypting the configuration of
    applications (i.e. datasources).
  * Specific features for high-performance encryption in
    multi-processor/multi-core systems.
  * Open API for use with any JCE provider.
 .
 This package contains the API documentation of libjasypt-java.

Package: libjatl-java
Description-md5: e841bc990bc900437223ec11b1f39ba6
Description-en: JATL - Java Anti-Template Language
 JATL is an extremely lightweight efficient Java library to generate XHTML or
 XML in a micro DSL builder/fluent style.
 .
 JATL is particularly useful for creating complicated XML/HTML snippets
 programmatic-ly in Java to be used as lambdas or helpers in jMustache or
 Handlebars.java. Its also very good at creating extremely large XML
 documents while using very little memory.

Package: libjaudiotagger-java
Description-md5: efb00e2ea6a39dcff6764d09e5160129
Description-en: library for editing tags like ID3 in audio files such as MP3s
 Jaudiotagger is the Audio Tagging library used for tagging data in
 audio files. It currently supports Mp4 (Mp4, M4p, M4a), Mp3 (id3v1,
 id3v2) and Ogg Vorbis.

Package: libjaula-dev
Description-md5: df99c75b265eb66dfa41bd006a51ed58
Description-en: JSON parser/writer library for C++ (development files)
 This package contains headers and additional files for developing applications
 that use this library.
 .
 Jaula means "JSON Analysis User Library Acronym" and is the name of a
 C++ library for parsing and writing JSON formatted data.

Package: libjaula-doc
Description-md5: 5a180aeb06c6b62e7ef996b2020144ff
Description-en: JSON parser/writer library for C++ (documentation)
 This package contains documentation of the library and JSON example files for
 testing applications.
 .
 Jaula means "JSON Analysis User Library Acronym" and is the name of a
 C++ library for parsing and writing JSON formatted data.

Package: libjaula1
Description-md5: 454e81c04bc9662e672572004aecff00
Description-en: JSON parser/writer library for C++
 This package contains c library for applications.
 .
 Jaula means "JSON Analysis User Library Acronym" and is the name of a
 C++ library for parsing and generating JSON formatted data.

Package: libjava-allocation-instrumenter-java
Description-md5: 2ad5469a74f2ec9a7ab987217733dae8
Description-en: JVM agent tracking memory allocations
 Java Allocation Instrumenter is a Java agent written using the
 java.lang.instrument API. Each allocation in your Java program is instrumented;
 a user-defined callback is invoked on each allocation.
 .
 Bytecode rewriting is used to invoke the callback at the site of each
 allocation.

Package: libjava-allocation-instrumenter-java-doc
Description-md5: d486ffa686367ebf65d828570cc3f76a
Description-en: JVM agent tracking memory allocations (documentation)
 Java Allocation Instrumenter is a Java agent written using the
 java.lang.instrument API. Each allocation in your Java program is instrumented;
 a user-defined callback is invoked on each allocation.
 .
 Bytecode rewriting is used to invoke the callback at the site of each
 allocation.
 .
 This package contains the documentation for the
 libjava-allocation-instrumenter-java package.

Package: libjava-classpath-clojure
Description-md5: e419238382b7d8054cc7fdd9b3b21194
Description-en: examine the Java classpath from Clojure programs
 java.classpath is a Clojure library that provides access to the Java
 classpath. The `classpath` function returns a sequence of java.io.File objects
 representing all JAR files and directories on the classpath.

Package: libjava-gnome-java
Description-md5: 962402b57c36fceb2d9b4ed74d211da7
Description-en: Java-gnome language bindings project
 These are the Java bindings for GTK+ and GNOME! Featuring a robust
 engineering design, completely generated internals, a lovingly crafted
 layer presenting the public API, and steadily increasing coverage of
 the underlying libraries.
 .
 You can use java-gnome to develop sophisticated user interfaces for
 Linux applications so that they richly integrate with the GNOME Desktop
 while leveraging the power of the Java language and your expertise with
 it.
 .
 This package contains the necessary JAR file.

Package: libjava-gnome-jni
Description-md5: b939f32b3f5cffa0604e14e01f7e7463
Description-en: Java-gnome language bindings project JNI layer
 These are the Java bindings for GTK+ and GNOME! Featuring a robust
 engineering design, completely generated internals, a lovingly crafted
 layer presenting the public API, and steadily increasing coverage of
 the underlying libraries.
 .
 You can use java-gnome to develop sophisticated user interfaces for
 Linux applications so that they richly integrate with the GNOME Desktop
 while leveraging the power of the Java language and your expertise with
 it.
 .
 This package contains the necessary JNI layer.

Package: libjava-jdbc-clojure
Description-md5: f90f65feeb7e352ac11b67fc74d0d61c
Description-en: Clojure interface to sql databases via jdbc
 This Clojure library provides a simple abstraction for CRUD (create, read,
 update, delete) operations on a SQL database, along with basic transaction
 support. Basic DDL operations are also supported (create table, drop table,
 access to table metadata).
 .
 This library was formerly known as clojure.contrib.sql.

Package: libjava-jmx-clojure
Description-md5: 64e493eb73fdbc93d6e1737e4e9c94ff
Description-en: produce and consume JMX beans from Clojure
 java.jmx is a Clojure library for producing and consuming JMX beans.

Package: libjava-string-similarity-java
Description-md5: 8c4f04ca3bd72d05dc23fe3fe66d4da6
Description-en: library of different string similarity and distance measures
 Implementation of various string similarity and distance algorithms:
 Levenshtein, Jaro-winkler, n-Gram, Q-Gram, Jaccard index,
 Longest Common Subsequence edit distance, cosine similarity, and others.

Package: libjava-xmlbuilder-java
Description-md5: b911deadf2bf5144b562ab8c1e3ba5e7
Description-en: XML Builder Java library for creating XML documents
 XML Builder is a utility that creates simple XML documents using relatively
 sparse Java code.
 .
 It is intended to allow for quick and painless creation of XML documents
 where you might otherwise be tempted to use concatenated strings, and
 where you would rather not face the tedium and verbosity of coding with JAXP.

Package: libjava-xmlbuilder-java-doc
Description-md5: 522a9f2ad6a9b9b06e3c5454a3fbdf6a
Description-en: Documentation for XML Builder Java library for creating XML documents
 XML Builder is a utility that creates simple XML documents using relatively
 sparse Java code.
 .
 It is intended to allow for quick and painless creation of XML documents
 where you might otherwise be tempted to use concatenated strings, and
 where you would rather not face the tedium and verbosity of coding with JAXP.
 .
 This package contains the API documentation of libjava-xmlbuilder-java.

Package: libjava3d-java
Description-md5: 8b5bf1235d6920ec22e49b7b6d11ad24
Description-en: Java 3D API (java library)
 The Java 3D API enables the creation of three-dimensional graphics
 applications and Internet-based 3D applets. It provides high-level
 constructs for creating and manipulation 3D geometry and building the
 structures used in rendering that geometry. With this software, you can
 efficiently define and render very large virtual worlds.
 .
 This package contains the java library.

Package: libjava3d-java-doc
Description-md5: 5feb8b705272dd6b6c3bb1c903c7ee25
Description-en: Documentation for the Java3D API
 The Java 3D API enables the creation of three-dimensional graphics
 applications and Internet-based 3D applets. It provides high-level
 constructs for creating and manipulation 3D geometry and building the
 structures used in rendering that geometry. With this software, you can
 efficiently define and render very large virtual worlds.
 .
 This package contains the API documentation.

Package: libjava3d-jni
Description-md5: 1754141bee8251fd1eb9b825e362f072
Description-en: Java3D API (java jni library)
 The Java 3D API enables the creation of three-dimensional graphics
 applications and Internet-based 3D applets. It provides high-level
 constructs for creating and manipulation 3D geometry and building the
 structures used in rendering that geometry. With this software, you can
 efficiently define and render very large virtual worlds.
 .
 This package contains the java jni library.

Package: libjavacc-maven-plugin-java
Description-md5: 989529952f80ed9b211e349174166a36
Description-en: maven plugin which uses JavaCC to process JavaCC grammar files
 This plugin uses the JavaCC parser generator to process JavaCC grammar
 files and generate the appropriate Java source files. For general
 information about JavaCC, see the  JavaCC web site.
 .
 JavaCC grammar files use the extension .jj. This plugin can be used to
 locate and process all grammar files within a specified directory. Java
 source files will be written to a common generated-sources output
 directory, typically located in the build output directory. By default,
 the plugin will run during the generate-sources phase, but it can be
 configured to run in other phases as well.
 .
 The plugin can also be used to call the JJTree and JTB tools.
 .
 Finally, this plugin contains a goal for running JJDoc. JJDoc is a
 simple tool that generates BNF documentation for the JavaCC grammar
 files. This can be used to generate a report for your project site using
 the Maven reporting tools.

Package: libjavaewah-java
Description-md5: 6505ad5e931ecadeaa6a540fbf18f039
Description-en: Compressed variant of the Java bitset class
 The bit array data structure is implemented in Java as the BitSet class.
 Unfortunately, this fails to scale without compression.
 .
 JavaEWAH is a word-aligned compressed variant of the Java bitset class. It uses
 a 64-bit run-length encoding (RLE) compression scheme. It trades-off some
 compression for better processing speed. It also has a 32-bit version which
 compresses better, but is not as fast.
 .
 In general, the goal of word-aligned compression is not to achieve the best
 compression, but rather to improve query processing time. Hence, the class
 tries to save CPU cycles, maybe at the expense of storage. However, the EWAH
 scheme implemented is always more efficient storage-wise than an uncompressed
 bitmap as implemented in the BitSet class). Unlike some alternatives, javaewah
 does not rely on a patented scheme.

Package: libjavaewah-java-doc
Description-md5: c4016e1098af4e0ad9868876da829d6f
Description-en: Compressed variant of the Java bitset class (documentation)
 JavaEWAH is a word-aligned compressed variant of the Java bitset class. It uses
 a 64-bit run-length encoding (RLE) compression scheme. It trades-off some
 compression for better processing speed. It also has a 32-bit version which
 compresses better, but is not as fast.
 .
 This package contains the API documentation of libjavaewah-java.

Package: libjavafxsvg-java
Description-md5: 2fe456ce76296818af27ee9fa3ae60e7
Description-en: CenterDevice JavaFxSVG library
 JavaFxSVG is a simple library adding SVG support to JavaFX.
 It allows use of SVG graphics just like any other image type.

Package: libjavaparser-java
Description-md5: 68d738ae24a4d91dcbb97f111079fc1e
Description-en: Java library for parsing Java 7
 The library features abstract syntax tree (AST) generation and supports
 the visitor pattern. The AST records the source code structure, javadoc
 and comments. It is also possible to change the AST nodes or create new
 ones to modify the source code.
 .
 Main features are:
  * light weight
  * good performance
  * easy to use
  * AST can be modified
  * AST can be created from scratc

Package: libjavaparser-java-doc
Description-md5: 5e177614747672ef07a3469241599048
Description-en: Java library for parsing Java 7 (documentation)
 The library features abstract syntax tree (AST) generation and supports
 the visitor pattern. The AST records the source code structure, javadoc
 and comments. It is also possible to change the AST nodes or create new
 ones to modify the source code.
 .
 Main features are:
  * light weight
  * good performance
  * easy to use
  * AST can be modified
  * AST can be created from scratc
 .
 This package contains the API documentation of libjavaparser-java.

Package: libjavapoet-java
Description-md5: c49b917f202c3580e84a4dc93d542e42
Description-en: Java API for generating .java source files
 Source file generation can be useful when doing things such as annotation
 processing or interacting with metadata files (e.g., database schemas,
 protocol formats). By generating code, you eliminate the need to write
 boilerplate while also keeping a single source of truth for the metadata.

Package: libjavascript-beautifier-perl
Description-md5: 41c76140315b080ea65b81eb0e8bff35
Description-en: Perl module to beautify JavaScript code
 JavaScript::Beautifier is a Perl module providing a simple way to beautify
 arbitrary JavaScript code. This beautifier can process your messy or compacted
 JavaScript, neatly and consistently formatting it, thus making it easier to
 read and maintain.

Package: libjavascript-minifier-perl
Description-md5: a38f7730046f190034805f210aeca83e
Description-en: Perl extension for minifying JavaScript code
 JavaScript::Minifier removes unnecessary whitespace from JavaScript code. The
 primary requirement developing this module is to not break working code

Package: libjavascript-minifier-xs-perl
Description-md5: 67deb10ad1008e60a85325f6d3cdfd39
Description-en: XS based JavaScript minifier
 JavaScript::Minifier::XS is a JavaScript "minifier"; its designed to remove
 un-necessary whitespace and comments from JavaScript files, while also not
 breaking the JavaScript.
 .
 JavaScript::Minifier::XS is similar in function to JavaScript::Minifier, but
 is substantially faster as its written in XS and not just pure Perl.

Package: libjavascript-packer-perl
Description-md5: ad698b7c7b79a65a6899af13bd8c080a
Description-en: module to compact JavaScript code
 JavaScript::Packer is a Perl module that attempts to compact your JavaScript
 code by removing unneeded whitespace, comments and newlines. This can result
 in substantial size reductions, which can decrease loading times.

Package: libjavascript-rpc-perl
Description-md5: 3a75b1b48b38f523fdd8327fbb1cb0df
Description-en: Perl module to process Remote procedure calls from JavaScript
 JavaScript::RPC::Server::CGI is a CGI-based server library for use with Brent
 Ashley's JavaScript Remote Scripting (JSRS) client library. It works
 asynchronously and uses DHTML to deal with the payload.
 .
 The most current version (as of the release of this module) of the client
 library as well as a demo application have been included in this
 distribution.

Package: libjavascriptcoregtk-4.0-bin
Description-md5: d63d3c5b674d87705002872786bc6cce
Description-en: JavaScript engine library from WebKitGTK - command-line interpreter
 JavaScriptCore is the JavaScript engine used in WebKit. It consists
 of the following building blocks: lexer, parser, start-up interpreter
 (LLInt), baseline JIT, a low-latency optimizing JIT (DFG), and a
 high-throughput optimizing JIT (FTL).
 .
 This build comes from the GTK port of WebKit (API version 4.0).
 .
 This package provides jsc, a command-line JavaScript interpreter.

Package: libjavassist-java
Description-md5: b6e376b50e4c67052a064faa77738687
Description-en: library for editing bytecodes in Java
 This library makes Java bytecode manipulation simple. It enables Java
 programs to define a new class at runtime and to modify a class file
 when the JVM loads it.
 .
 Unlike other bytecode editors, Javassist provides two levels of API:
 source level and bytecode level. With the source-level API, users can
 edit a class file without knowledge of the specifications of the Java
 bytecode. You can even specify inserted bytecode in the form of source
 text; Javassist compiles it on the fly. On the other hand, the
 bytecode-level API allows the users to directly edit a class file as
 other editors.

Package: libjavassist-java-doc
Description-md5: 307370a3643f0ab45c70ce99693faaa8
Description-en: library for editing bytecodes in Java -- documentation
 This library makes Java bytecode manipulation simple. It enables Java
 programs to define a new class at runtime and to modify a class file
 when the JVM loads it.
 .
 Unlike other bytecode editors, Javassist provides two levels of API:
 source level and bytecode level. With the source-level API, users can
 edit a class file without knowledge of the specifications of the Java
 bytecode. You can even specify inserted bytecode in the form of source
 text; Javassist compiles it on the fly. On the other hand, the
 bytecode-level API allows the users to directly edit a class file as
 other editors.
 .
 This package includes the documentation.

Package: libjavatuples-java
Description-md5: b9c0c0d6f6ec2cd26869f0c5bb86e2fa
Description-en: javatuples
 Java library for tuples in Java.
 javatuples is one of the simplest java libraries ever made. Its aim is
 to provide a set of java classes that allow you to work with tuples.
 .
 A tuple is just a sequence of objects that do not necessarily relate
 to each other in any way. For example: [23, "Saturn",
 java.sql.Connection@li734s] can be considered a tuple of three
 elements (a triplet) containing an Integer, a String, and a JDBC
 Connection object. As simple as that.

Package: libjavawriter-java
Description-md5: 0cedf2447689ce2ae7e19d092d5cda0f
Description-en: Java API for generating .java source files
 A utility class which aids in generating Java source files.

Package: libjavawriter-java-doc
Description-md5: 45fcb9addd0232dea0c607bc96fe7032
Description-en: Documentation for JavaWriter
 Source file generation can be useful when doing things such as
 annotation processing or interacting with metadata files (e.g., database
 schemas, protocol formats). By generating code, you eliminate the need
 to write boilerplate while also keeping a single source of truth for the
 metadata.
 .
 This package contains the API documentation of libjavawriter-java.

Package: libjawn-java
Description-md5: d1740a770b35c0060cdb75b73a8974c8
Description-en: Scala json parser
 Jawn was designed to parse JSON into an AST as quickly as possible.
 Jawn consists of three parts: a fast, generic JSON parser, a small, somewhat
 anemic AST, support packages which parse to third-party ASTs

Package: libjax-maven-plugin
Description-md5: dde53b7d3cefe7a6e686fc3465777e23
Description-en: Using the xjc goal with OpenJDK 11+
 Supports the xjc goal and others. It was prepared to move to OpenJDK 11+

Package: libjaxb-api-java
Description-md5: 47a01497b37764e46e83eb5da951f366
Description-en: Java Architecture for XML Binding API
 The goal of the JAXB project is to develop and evolve the code base for the
 Reference Implementation (RI) of JAXB, the Java Architecture for XML Binding.
 .
 This library contains the JAXB (JSR 222) API.

Package: libjaxb-java
Description-md5: 66e61644019b23e6f63f0f5c087432d8
Description-en: JAXB Reference Implementation
 GlassFish Java Architecture for XML Binding (JAXB) Reference
 Implementation.
 .
 Java Architecture for XML Binding (JAXB) provides a fast and convenient
 way to bind XML schemas and Java representations, making it easy for
 Java developers to incorporate XML data and processing functions in Java
 applications. As part of this process, JAXB provides methods for
 unmarshalling (reading) XML instance documents into Java content trees,
 and then marshalling (writing) Java content trees back into XML instance
 documents. JAXB also provides a way to generate XML schema from Java
 objects.

Package: libjaxb-java-doc
Description-md5: 73eb127216e8b37e008e53f1c9cd9316
Description-en: JAXB Reference Implementation -- documentation
 GlassFish Java Architecture for XML Binding (JAXB) Reference
 Implementation.
 .
 Java Architecture for XML Binding (JAXB) provides a fast and convenient
 way to bind XML schemas and Java representations, making it easy for
 Java developers to incorporate XML data and processing functions in Java
 applications. As part of this process, JAXB provides methods for
 unmarshalling (reading) XML instance documents into Java content trees,
 and then marshalling (writing) Java content trees back into XML instance
 documents. JAXB also provides a way to generate XML schema from Java
 objects.
 .
 This package contains the Javadoc API.

Package: libjaxb2-maven-plugin-java
Description-md5: 56ecb8ae4171cd0b2dc3a7d89b84aaf4
Description-en: JAXB-2 Maven Plugin
 Mojo's JAXB-2 Maven plugin is used to create an object graph from XSDs
 based on the JAXB 2.x implementation and to generate XSDsfrom JAXB annotated
 Java classes.

Package: libjaxe-java
Description-md5: 59378995c69022954f703b6de332f977
Description-en: JAva Xml Editor - library
 Jaxe is an XML editor adaptable to XML languages. It uses an XML schema and a
 configuration file for the graphical user interface.
 .
 This package provides a java library to run Jaxe.

Package: libjaxe-java-doc
Description-md5: b06afd2bee135b09adfa01d63fe4561b
Description-en: JAva Xml Editor - Library documentation
 Jaxe is an XML editor adaptable to XML languages. It uses an XML schema and a
 configuration file for the graphical user interface.
 .
 This package provides the documentation for the java library.

Package: libjaxen-java
Description-md5: 35de18aeaec18036c7ec8376a5879620
Description-en: Java XPath engine
 jaxen is a universal object model walker, capable of evaluating XPath
 expressions across multiple models. Currently supported are dom4j,
 JDOM, DOM and XOM.

Package: libjaxen-java-doc
Description-md5: 702be9394523b4d28ddacc03ea84bdde
Description-en: Java XPath engine - documentation
 jaxen is a universal object model walker, capable of evaluating XPath
 expressions across multiple models. Currently supported are dom4j,
 JDOM, DOM and XOM.
 .
 This package contains the API javadocs for jaxen.

Package: libjaxp1.3-java
Description-md5: 606ed4bef1a124acfddbe03c2158b1cd
Description-en: Java XML parser and transformer APIs (DOM, SAX, JAXP, TrAX)
 xml-apis.jar from the Apache XML Commons project is used by the Xerces-J XML
 parser and Xalan-J XSLT processor and specifies these APIs:
  * Document Object Model (DOM) level 3
  * Simple API for XML (SAX) 2.0.2
  * Java APIs for XML Processing (JAXP) 1.3.04
  * Transformation API for XML (TrAX) 1.3.04
  * Document Object Model (DOM) Level 3 Load and Save
  * JSR 206 Java API for XML Processing 1.3
 .
 These classes are also used in Sun's reference implementation. A GPL'ed
 implementation of these APIs can be found in the libgnujaxp-java package.

Package: libjaxrpc-api-java
Description-md5: 52d02eb0c82e3925abc3a17142830eed
Description-en: Java API for XML based RPC (JAX-RPC)
 JAX-RPC is an API for building Web services and clients that used remote
 procedure calls (RPC) and XML. Often used in a distributed client/server
 model, an RPC mechanism enables clients to execute procedures on other
 systems. In JAX-RPC, a remote procedure call is represented by an XML-based
 protocol such as SOAP. The SOAP specification defines envelope structure,
 encoding rules, and a convention for representing remote procedure calls
 and responses. These calls and responses are transmitted as SOAP messages
 over HTTP.

Package: libjaxrs-api-java
Description-md5: fcf8c6b0b2bfe61bf085296911718110
Description-en: Java API for RESTful Services (JAX-RS)
 The Java API for RESTful Web Services provides portable APIs for developing,
 exposing and accessing Web applications designed and implemented in compliance
 with principles of REST architectural style.

Package: libjaxws-api-java
Description-md5: aca951601c138524d2909c9c25a6ffac
Description-en: Java API for XML-Based Web Services
 The Java API for XML-Based Web Services (JAX-WS) provides the API
 specification for creating web services, particularly SOAP services.
 .
 The JAX-WS API defines the javax.xml.ws.* packages which were integrated
 to the JRE since Java 6 and were eventually removed in Java 11.

Package: libjaxws-java
Description-md5: 5d68dfe840a5e958be8f3ca3d83c483b
Description-en: JAX-WS Reference Implementation (Library)
 The Java API for XML-Based Web Services (JAX-WS) provides the API
 implementation for creating web services, particularly SOAP services.
 .
 The JAX-WS Reference Implementation (JSR-224) provides the actual
 implementation behind the javax.xml.ws.* packages which were integrated
 to the JRE since Java 6 and were eventually removed in Java 11.

Package: libjaylink-dev
Description-md5: 86953c7adf5a7147cc2e83813a2d98b6
Description-en: library for interacting with J-Link programmers (development files)
 libjaylink provides functions for interacting with Segger J-Link USB
 devices, which are used for programming and debugging ARM chips. The
 library is primarily used by OpenOCD, which provides a practical
 interface for using the devices.
 .
 This package contains files needed to compile libjaylink applications.

Package: libjaylink0
Description-md5: 3cdcf648704a039deaf3e434557fb9d6
Description-en: library for interacting with J-Link programmers
 libjaylink provides functions for interacting with Segger J-Link USB
 devices, which are used for programming and debugging ARM chips. The
 library is primarily used by OpenOCD, which provides a practical
 interface for using the devices.

Package: libjazzy-java
Description-md5: 8340645a3ea7a900f090f42a3f8689ca
Description-en: spell checker java library
 Jazzy is a 100% pure Java library implementing a spell checking
 algorithm similar to aspell. It may be used to spell check a variety
 of sources and can be added by java devlopers who want to add spell
 checking functionality to java applications easily.

Package: libjbcrypt-java
Description-md5: 9ca24fac1392dbe931753d65d9f8497e
Description-en: Java implementation of OpenBSD's Blowfish hashing
 jBCrypt is an implementation of Blowfish block cipher with modifications
 designed to raise the cost of off-line password cracking and frustrate fast
 hardware implementation.
 .
 The intent is to make a compromise of a password database less likely to
 result in an attacker gaining knowledge of the plaintext passwords (e.g. using
 John the Ripper).

Package: libjbigi-jni
Description-md5: 9abc006aa799702ff868098881332bad
Description-en: Invisible Internet Project (I2P) - libjbigi library
 This Package contains the libjbigi JNI library (and on x86 platforms, jcpuid).
 .
 libjbigi is a math library that is part of the I2P installation.  Use of this
 library greatly enhances the efficiency of cryptographic algorithms, such as
 the ones used by I2P. You can expect to see a 5-7x speed improvement on certain
 tasks, such as elGamal encryption/decryption.

Package: libjboss-bridger-java
Description-md5: db8975ec68dffa3c8c306fa0624b5376
Description-en: Java Bridge Method Maker
 When compiling a class or interface that extends a parameterized class or
 implements a parameterized interface, the compiler may need to create a
 synthetic method. These are also called bridge methods. JBoss Bridger is a
 bytecode mangler for creating your own synthetic bridge methods, which allows
 more dramatic source code changes while still maintaining binary
 compatibility.

Package: libjboss-classfilewriter-java
Description-md5: 8f60fb546c9c5507a336f5f25cf35b68
Description-en: bytecode writer that creates .class files at runtime
 This package contains JBoss Class File Writer, a program to create Java .class
 files at runtime.

Package: libjboss-classfilewriter-java-doc
Description-md5: eb3aeb5b2ae83a2bcd1df3f3a3f77774
Description-en: Documentation for JBoss Class File Writer
 JBoss Class File Writer is a program to create Java .class files at runtime.
 .
 This package contains the API documentation of libjboss-classfilewriter-java.

Package: libjboss-jdeparser2-java
Description-md5: fbc90fdffcec658f7c77614b2e1dfab1
Description-en: Java source code generating library
 jboss-jdeparser2 is used in annotation processors and utilities like
 jboss-logging to generate additional code. It is an improved version of
 com.sun.codemodel. It offers a simple API and completely customizable code
 formatting.

Package: libjboss-jdeparser2-java-doc
Description-md5: 7814f0fbe28c6b981ba42faff072add6
Description-en: Documentation for libjboss-jdeparser2-java
 jboss-jdeparser2 is used in annotation processors and utilities like
 jboss-logging to generate additional code. It is an improved version of
 com.sun.codemodel. It offers a simple API and completely customizable code
 formatting.
 .
 This package contains the API documentation of libjboss-jdeparser2-java.

Package: libjboss-logging-java
Description-md5: 0fc8008287f13bc8958a2346aee7e3ba
Description-en: JBoss Logging Framework
 JBoss Logging provides an easy way to add logging to an application. You add
 code to your application that uses the framework to send log messages in a
 defined format. When the application is deployed to an application server,
 these messages can be captured by the server and displayed and/or written to
 file according to the server's configuration.

Package: libjboss-logging-java-doc
Description-md5: 9e9a8fa8c2738a97c6deed75b03d9ec3
Description-en: Documentation for the JBoss Logging Framework
 JBoss Logging provides an easy way to add logging to an application.
 This package contains the API documentation of libjboss-logging-java.

Package: libjboss-logging-tools-java
Description-md5: 280bd94aec0459de9110cab0b1738cba
Description-en: create internationalized logger messages and exceptions
 If you want to internationalize (i18n) your logging, exception messages and
 messages in general, then along with JBoss Logging, JBoss Logging Tools is for
 you. It provides an easy way to offer internationalized messages, exceptions
 and logger messages to your project.
 .
 With JBoss Logging Tools you write interfaces and annotate the methods with a
 default message. Then you or a translator will create a properties file with
 the translated text.

Package: libjboss-logging-tools-java-doc
Description-md5: 1b79312f3d2c0f522b30055aeeb22544
Description-en: Documentation for JBoss Logging Tools
 If you want to internationalize (i18n) your logging, exception messages and
 messages in general, then along with JBoss Logging, JBoss Logging Tools is for
 you. It provides an easy way to offer internationalized messages, exceptions
 and logger messages to your project.
 .
 This package contains the API documentation of libjboss-logging-tools-java.

Package: libjboss-logmanager-java
Description-md5: 987deb63efced1057f8c6f39de073a82
Description-en: implementation of java.util.logging.LogManager
 JBoss LogManager is a replacement for the JDK 1.4 logging system LogManager
 that fixes or works around many serious problems in the default
 implementation. Since many frameworks and libraries (as well as the JDK
 itself) use this logging facility, having robust support for it is an
 essential requirement for many applications.

Package: libjboss-logmanager-java-doc
Description-md5: 06109ea1d6d0c25f799ae8d97f266be2
Description-en: Documentation for JBoss Log Manager
 An implementation of java.util.logging.LogManager which works around many
 serious problems in the default logging facility.
 .
 This package contains the API documentation of libjboss-logmanager-java.

Package: libjboss-modules-java
Description-md5: d792767a3feb718e4fe87f2b411ef62f
Description-en: Modular Classloading System
 JBoss Modules is a standalone implementation of a modular (non-hierarchical)
 class loading and execution environment for Java. In other words, rather than
 a single class loader which loads all JARs into a flat class path, each
 library becomes a module which only links against the exact modules it depends
 on, and nothing more. It implements a thread-safe, fast, and highly concurrent
 delegating class loader model, coupled to an extensible module resolution
 system, which combine to form a unique, simple and powerful system for
 application execution and distribution.

Package: libjboss-modules-java-doc
Description-md5: eca8d6d636e95b64463c12980e00e320
Description-en: Documentation for JBoss Modules
 JBoss Modules is a standalone implementation of a modular (non-hierarchical)
 class loading and execution environment for Java.
 .
 This package contains the API documentation of libjboss-modules-java.

Package: libjboss-threads-java
Description-md5: 6ff2165a5ef094b17e8d48f277911c19
Description-en: JBoss Threads
 JBoss Threads is a component of the Wildfly Application Server where it is
 an integral part of its threading subsystem. It is also used by other JBoss
 artifacts like JBoss XNIO for thread related tasks.

Package: libjboss-xnio-java
Description-md5: cd5166489f7b91d61c9f32277e90f712
Description-en: simplified low-level I/O layer for NIO
 XNIO is a simplified low-level I/O layer which can be used anywhere you are
 using non-blocking I/O today. It frees you from the hassle of dealing with
 Selectors and the lack of NIO support for multicast sockets and non-socket
 I/O, while still maintaining all the capabilities present in NIO, and it opens
 the door to non-obvious optimizations.
 .
 XNIO provides a unique API for combining blocking and non-blocking operations,
 even on the same channel, allowing you to take advantage of the simplicity and
 low latency of blocking I/O while still gaining the thread-conservative and
 throughput benefits of non-blocking I/O.

Package: libjboss-xnio-java-doc
Description-md5: b85f4db2281fccdc807f5707f7561066
Description-en: Documentation for jboss-xnio
 XNIO is a simplified low-level I/O layer which can be used anywhere you are
 using non-blocking I/O today. It frees you from the hassle of dealing with
 Selectors and the lack of NIO support for multicast sockets and non-socket
 I/O, while still maintaining all the capabilities present in NIO, and it opens
 the door to non-obvious optimizations.
 .
 This package contains the API documentation of libjboss-xnio-java.

Package: libjbzip2-java
Description-md5: 202da02a71bd1c8ea07ad91ea8bf9459
Description-en: bzip2 compression/decompression library
 jbzip2 is a Java bzip2 compression/decompression library. It can be used
 as a replacement for the Apache CBZip2InputStream / CBZip2OutputStream
 classes. It is expected comparably fast as a compressor but 5% to 10%
 faster as a decompressor.

Package: libjcalendar-java
Description-md5: cb5b855b03585d7f66c74dde39d7e3df
Description-en: Java date chooser bean for graphically picking a date
 JCalendar is composed of several other Java beans, a JDayChooser, a
 JMonthChooser and a JYearChooser. All these beans have a locale property,
 provide several icons (Color 16x16, Color 32x32, Mono 16x16 and Mono 32x32)
 and their own locale property editor. So they can easily be used in GUI
 builders. Also part of the package is a JDateChooser, a bean composed of an
 IDateEditor (for direct date editing) and a button for opening a JCalendar for
 selecting the date.

Package: libjcalendar-java-doc
Description-md5: 67ccab87b3f435f776728b14f6a52d21
Description-en: Java date chooser bean for picking a date (documentation)
 JCalendar is composed of several other Java beans, a JDayChooser, a
 JMonthChooser and a JYearChooser. All these beans have a locale property,
 provide several icons (Color 16x16, Color 32x32, Mono 16x16 and Mono 32x32)
 and their own locale property editor. So they can easily be used in GUI
 builders. Also part of the package is a JDateChooser, a bean composed of an
 IDateEditor (for direct date editing) and a button for opening a JCalendar for
 selecting the date.
 .
 This package contains the javadoc documentation.

Package: libjcat-dev
Description-md5: d1f6f6776accaa89589dacbc0f34ee82
Description-en: development files for libjcat
 This package provides development libraries for libjcat.
 .
 The libjcat library assembles checksum and metadata into a JSON based catalog.
 .
 This is used by other software to validate metadata.

Package: libjcat-tests
Description-md5: c2edeaf1128b34b4eaa4debd523f0440
Description-en: Installed tests for libjcat1
 The libjcat library assembles checksum and metadata into a JSON based catalog.
 .
 This package contains the installed tests for libjcat1.

Package: libjcat1
Description-md5: f288bc99c94ed9e6085612ae807f4bff
Description-en: JSON catalog library
 The libjcat library assembles checksum and metadata into a JSON based catalog.
 .
 This is used by other software to validate metadata.

Package: libjcdf-java
Description-md5: 9255f15d0b66da72315d1c58b4aa437d
Description-en: Java library to read files in the NASA Common Data Format
 JCDF is a pure java library capable of reading files in the Common
 Data Format defined by NASA. It was written mainly with reference to
 the CDF Internal Format Description document (v3.6).

Package: libjcdf-java-doc
Description-md5: 40c0c7dc2379b5e746ead13045a99cb5
Description-en: Library to read files in the NASA Common Data Format (Javadoc)
 JCDF is a pure java library capable of reading files in the Common
 Data Format defined by NASA. It was written mainly with reference to
 the CDF Internal Format Description document (v3.6).
 .
 This package contains the JavaDoc documentation of the package.

Package: libjchart2d-java
Description-md5: 0fa8c0ac3da8adedf76799d477a67ccb
Description-en: Java library for precise 2D charting visualizations
 JChart2D is a minimalistic charting library. It is designed for displaying
 multiple traces consisting of tracepoints, including dynamic (animated) data.
 JChart2D is centered around a single configurable swing widget: the Chart2D.
 This library is intended for engineering tasks and not for presentations.

Package: libjchart2d-java-doc
Description-md5: ae2bd7b8ff295ef08f670aff3ec2a476
Description-en: Java library for precise 2D charting visualizations -- docs
 JChart2D is a minimalistic charting library. It is designed for displaying
 multiple traces consisting of tracepoints, including dynamic (animated) data.
 JChart2D is centered around a single configurable swing widget: the Chart2D.
 This library is intended for engineering tasks and not for presentations.
 .
 This package contains the Javadoc API documentation for libjchart2d-java.

Package: libjcharts-java
Description-md5: 45a3181c80fe908f8c5cbd38d5a782fd
Description-en: java based charts library
 jCharts is a 100% Java based charting utility that outputs a variety of
 charts. This package has been designed from the ground up by volunteers for
 displaying charts via Servlets, JSP's, and Swing apps.
 .
 This package contains the library.

Package: libjcharts-java-doc
Description-md5: 0652b582bf4f1a0becfe2d51318173bd
Description-en: java based charts library (API docs)
 jCharts is a 100% Java based charting utility that outputs a variety of
 charts. This package has been designed from the ground up by volunteers for
 displaying charts via Servlets, JSP's, and Swing apps.
 .
 This package contains the API documentation.

Package: libjcifs-java
Description-md5: dd070bd2b997f8ad13dd624d5d36315c
Description-en: Java library for the CIFS/SMB networking protocol
 JCIFS is an Open Source client library that implements the CIFS/SMB
 networking protocol in 100% Java. CIFS is the standard file sharing
 protocol on the Microsoft Windows platform (e.g. Map Network Drive ...).

Package: libjcifs-java-doc
Description-md5: d4960509a0a0dd833f2dff741f192006
Description-en: Documentation for libjcifs-java (CIFS/SMB library for Java)
 JCIFS is an Open Source client library that implements the CIFS/SMB
 networking protocol in 100% Java. CIFS is the standard file sharing
 protocol on the Microsoft Windows platform (e.g. Map Network Drive ...).
 .
 The documentation includes the javadoc API as well as
 the html manual and several examples.

Package: libjcip-annotations-java
Description-md5: 5adb9627a4e0526cdbe43153dd92fbf8
Description-en: Java Concurrency In Practice annotations library
 This is a package with annotation classes from Java Concurrency In Practice
 book. These annotations are used to describe thread-safety promises of various
 parts of Java code.
 .
 This package contains library jar.

Package: libjcip-annotations-java-doc
Description-md5: 5f4c6f3d940fd1cfb9ff1b90439855f5
Description-en: Java Concurrency In Practice annotations library documentation
 This is a package with annotation classes from Java Concurrency In Practice
 book. These annotations are used to describe thread-safety promises of various
 parts of Java code.
 .
 This package contains javadoc generated documentation.

Package: libjcm-java
Description-md5: 81848a31240e37cd946fbebbe639abea
Description-en: Java Components for Mathematics
 THE "Java Components For Mathematics" project represents an effort to develop a
 framework of configurable mathematical software components written in the Java
 programming language. Our Java components are meant to be used on instructional
 Web pages as interactive illustrations, special-purpose calculators, support
 for exercises, and so forth. The components in Version 1 are mostly useful for
 calculus and pre-calculus and for science courses that use some of the same
 material. They use Java 1.1, and so will not work in some older browsers that
 support only Java 1.0.
 .
 This project was supported by NSF grant number DUE-9950473.

Package: libjcm-java-doc
Description-md5: 4dbd20cdec79897c0bf736e181a860d1
Description-en: Documentation for Java Components for Mathematics
 THE "Java Components For Mathematics" project represents an effort to develop a
 framework of configurable mathematical software components written in the Java
 programming language. Our Java components are meant to be used on instructional
 Web pages as interactive illustrations, special-purpose calculators, support
 for exercises, and so forth. The components in Version 1 are mostly useful for
 calculus and pre-calculus and for science courses that use some of the same
 material. They use Java 1.1, and so will not work in some older browsers that
 support only Java 1.0.
 .
 This project was supported by NSF grant number DUE-9950473.
 .
 This package contains the API documentation of libjcm-java.

Package: libjcode-perl
Description-md5: 04e946e8e314390677b347634f8d81ad
Description-en: Perl library for Japanese character code conversion
 The libjcode-perl package provides jcode.pl which is perl library
 for Japanese character code conversion.

Package: libjcode-pm-perl
Description-md5: ff4c9f9961bcd69cec64a04fec73976e
Description-en: Perl extension interface to convert Japanese text
 Jcode.pm is a Perl module that handles various Japanese charsets.
 It has all features available on jcode.pl PLUS
  - Object-oriented approach on Japanese text handling
  - mime header handling
  - Unicode feature (UCS2 and UTF8)

Package: libjcodings-java
Description-md5: acfb831bb1954fb52971bc2ca35b7a77
Description-en: Java-based codings helper classes for Joni and JRuby
 Jcodings is a Java library for handling JRuby string encodings.

Package: libjcommander-java
Description-md5: f577834866253f6a02262a1c0fc8124a
Description-en: Java framework for parsing command line parameters
 JCommander is a Java framework to parse command line options with annotations.
 The command line options are defined by annotating the fields of a Java class
 and the parser populates the fields automatically.

Package: libjcommander-java-doc
Description-md5: 59beb5576de6ca027bca68027228f3fc
Description-en: Java framework for parsing command line parameters (documentation)
 JCommander is a Java framework to parse command line options with annotations.
 The command line options are defined by annotating the fields of a Java class
 and the parser populates the fields automatically.
 .
 This package contains the API documentation of libjcommander-java.

Package: libjcommon-java
Description-md5: b5328ef89a59f47c65c0740bb47fc0fe
Description-en: General Purpose library for Java
 JCommon is a free general purpose Java class library that is used in
 several projects at The Object Refinery, including JFreeChart and
 JFreeReport.
 .
 The library includes:
  * user interface classes for displaying information about applications
  * custom layout managers
  * a date chooser panel
  * serialization utilities
  * XML parser support classes

Package: libjcommon-java-doc
Description-md5: 57de8737ec927a9066115216e892da84
Description-en: General Purpose library for Java - documentation
 JCommon is a free general purpose Java class library that is used in
 several projects at The Object Refinery, including JFreeChart and
 JFreeReport.
 .
 This package includes the documentation.

Package: libjconv-bin
Description-md5: 23bce1399ba0269c7cbc15049881b48f
Description-en: charset conversion library - binaries
 This library provide Japanese Code Conversion capability based on iconv. It
 is based by application to enlarge number of supported encoding. It is not
 only for Japanese people, it is used as helper in sylpheed mailer.
 .
 This package contains the jconv program which does conversions between
 encodings using libjconv.

Package: libjconv-dev
Description-md5: a6ba873d8d01898bf54a13e2bc019965
Description-en: charset conversion library - development
 This library provide Japanese Code Conversion capability
 based on iconv. It is based by application to enlarge
 number of supported encoding. It is not only for Japanese
 people, it is used as helper in sylpheed mailer.
 .
 This package contains development files.

Package: libjconv2
Description-md5: db0f315de63a4c11ec4ad18c679036fb
Description-en: charset conversion library
 This library provide Japanese Code Conversion capability
 based on iconv. It is based by application to enlarge
 number of supported encoding. It is not only for Japanese
 people, it is used as helper in sylpheed mailer.

Package: libjcsp-java
Description-md5: 92d65651fc160f75808cc7d69206afe9
Description-en: Java library providing Communicating Sequential Processes (CSP) features
 JCSP (Communication Sequential Processes for Java) is a
 library providing a concurrency model that is a combination
 of ideas from Hoare's CSP and Milner's pi-calculus.
 .
 Communicating Sequential Processes (CSP) is a mathematical
 theory for specifying and verifying complex patterns of
 behaviour arising from interactions between concurrent
 objects.
 .
 JSCP provides a base range of CSP primitives plus a rich set of
 extensions. Also included is a package providing CSP process
 wrappers giving a channel interface to all Java AWT widgets
 and graphics operations.  It is extensively (javadoc)umented
 and includes much teaching.
 .
 JCSP is an alternative concurrency model to the threads and
 mechanisms built into Java. It is also compatible with
 it since it is implemented on top of it.

Package: libjcsp-java-doc
Description-md5: a17a02cb6029740af123adec7efc8fc9
Description-en: Documentation for libjcsp-java
 Documentation for JCSP (Communication Sequential Processes for
 Java) that is a library providing a concurrency model that is a
 combination of ideas from Hoare's CSP and Milner's pi-calculus.
 .
 Communicating Sequential Processes (CSP) is a mathematical
 theory for specifying and verifying complex patterns of
 behaviour arising from interactions between concurrent
 objects.
 .
 JSCP provides a base range of CSP primitives plus a rich set of
 extensions. Also included is a package providing CSP process
 wrappers giving a channel interface to all Java AWT widgets
 and graphics operations.  It is extensively (javadoc)umented
 and includes much teaching.
 .
 JCSP is an alternative concurrency model to the threads and
 mechanisms built into Java. It is also compatible with
 it since it is implemented on top of it.

Package: libjctools-java
Description-md5: 69fbc001cb8e59ea78a406a4c9221929
Description-en: Java Concurrency Tools for the JVM
 JCTools offers some concurrent data structures currently missing from the JDK:
  * SPSC/MPSC/SPMC/MPMC variations for concurrent queues:
    * SPSC - Single Producer Single Consumer (Wait Free, bounded and unbounded)
    * MPSC - Multi Producer Single Consumer (Lock less, bounded and unbounded)
    * SPMC - Single Producer Multi Consumer (Lock less, bounded)
    * MPMC - Multi Producer Multi Consumer (Lock less, bounded)
  * An expanded queue interface (MessagePassingQueue):
    * relaxedOffer/Peek/Poll: trade off conflated guarantee on full/empty queue
      state with improved performance.
    * drain/fill: batch read and write methods for increased throughput
      and reduced contention

Package: libjdeb-java
Description-md5: 85eacab0d4cb92fd8d2c32c0ad2604d9
Description-en: utility to construct Debian packages from Ant or Maven
 This library provides an Ant task and a Maven plugin to create Debian packages
 from Java builds in a truly cross platform manner. Build your Debian packages
 on any platform that has Java support. Windows, Linux, OS X - it doesn't
 require additional native tools installed.

Package: libjdepend-java
Description-md5: 478ec8d4bfd9fbf209498eb111b0990e
Description-en: tool to measure design quality of java class and source
 JDepend traverses Java class and source file directories and
 generates design quality metrics for each Java package. JDepend allows
 you to automatically measure the quality of a design in terms of its
 extensibility, reusability, and maintainability to effectively manage
 and control package dependencies.

Package: libjdependency-java
Description-md5: a494eed4475bf9e64d9a172ef1f95456
Description-en: Java library analyzing class level dependencies
 jdependency is small library that helps you analyze class level dependencies,
 clashes and missing classes. This library provides an API to analyse and
 modify class dependencies. It provides the core to the maven2 minijar plugin
 and provides something in the middle between jarjar and proguard.

Package: libjdns-dbg
Description-md5: 52b9454a18d83e056524253e7ee4f6ef
Description-en: Simple DNS queries library - debugging symbols
 JDNS is a simple DNS implementation that can perform normal DNS
 queries of any record type (notably SRV), as well as Multicast DNS
 queries and advertising.
 .
 This package contains the debugging symbols for debugging crashes in the
 jdns library.

Package: libjdns-dev
Description-md5: 145214959256573c74bf0cb98a6151cf
Description-en: Simple DNS queries library - development files
 JDNS is a simple DNS implementation that can perform normal DNS
 queries of any record type (notably SRV), as well as Multicast DNS
 queries and advertising. Multicast support is based on Jeremie
 Miller's "mdnsd" implementation.
 .
 The libjdns-dev package contains libraries and header files for
 developing applications that use jdns.

Package: libjdns2
Description-md5: db68995d874e3d2061d3836219d5a727
Description-en: Simple DNS queries library
 JDNS is a simple DNS implementation that can perform normal DNS
 queries of any record type (notably SRV), as well as Multicast DNS
 queries and advertising. Multicast support is based on Jeremie
 Miller's "mdnsd" implementation.

Package: libjdo-api-java
Description-md5: 8ae3e8289ca31c4a1de1c3493b56e29b
Description-en: Implementation of JSR 243: Java Data Objects 3.1
 Java Data Objects (JDO) is a standard way to access persistent data in
 databases, using plain old Java objects (POJO) to represent persistent data.
 The approach separates data manipulation (done by accessing Java data members
 in the Java domain objects) from database manipulation (done by calling the
 JDO interface methods). This separation of concerns leads to a high degree of
 independence of the Java view of data from the database view of the data.
 .
 Interfaces are defined for the user's view of persistence:
  - PersistenceManager: the component responsible for the life cycle of
    persistent instances, Query factory, and Transaction access
  - Query: the component responsible for querying the datastore and returning
    persistent instances or values
  - Transaction: the component responsible for initiating and completing
    transactions
 .
 JDO is being developed as a Java Specification Request in the Java Community
 Process. The original JDO 1.0 is JSR-12 http://www.jcp.org/en/jsr/detail?id=12
 and the current JDO 2.0 is JSR-243 http://www.jcp.org/en/jsr/detail?id=243

Package: libjdom1-java
Description-md5: 5e7a375a0c2bfa5d54177b3ca4c1c713
Description-en: lightweight and fast library using XML
 JDOM is, quite simply, a Java representation of an XML document. JDOM
 provides a way to represent that document for easy and efficient
 reading, manipulation, and writing. It has a straightforward API, is
 lightweight and fast, and is optimized for the Java programmer. It's
 an alternative to DOM and SAX, although it integrates well with both
 DOM and SAX.

Package: libjdom1-java-doc
Description-md5: e771c2d094b8d21435d884b1bc7676a3
Description-en: lightweight and fast library using XML - documentation
 JDOM is, quite simply, a Java representation of an XML document. JDOM
 provides a way to represent that document for easy and efficient
 reading, manipulation, and writing. It has a straightforward API, is
 lightweight and fast, and is optimized for the Java programmer. It's
 an alternative to DOM and SAX, although it integrates well with both
 DOM and SAX.
 .
 This package contains API documentation (Javadoc) of libjdom1-java.

Package: libjdom2-intellij-java
Description-md5: ec64157fa560da07ace3674b5192ee52
Description-en: lightweight and fast XML DOM library (IntelliJ version)
 JDOM is, quite simply, a Java representation of an XML document. JDOM
 provides a way to represent that document for easy and efficient
 reading, manipulation, and writing. It has a straightforward API, is
 lightweight and fast, and is optimized for the Java programmer. It's
 an alternative to DOM and SAX, although it integrates well with both
 DOM and SAX.
 .
 This is a patched versions of JDOM project which is used in IntelliJ
 Platform. It is based on the JDOM 2.0.x branch and patched to restore
 compatibility with JDOM 1.1.

Package: libjdom2-intellij-java-doc
Description-md5: f2ac657baed71237c37b32e45a1a5f97
Description-en: documentation for IntelliJ version of JDOM2 library
 JDOM is, quite simply, a Java representation of an XML document. JDOM
 provides a way to represent that document for easy and efficient
 reading, manipulation, and writing. It has a straightforward API, is
 lightweight and fast, and is optimized for the Java programmer. It's
 an alternative to DOM and SAX, although it integrates well with both
 DOM and SAX.
 .
 This is a patched versions of JDOM project which is used in IntelliJ
 Platform. It is based on the JDOM 2.0.x branch and patched to restore
 compatibility with JDOM 1.1.
 .
 This package contains API documentation (Javadoc) of libjdom2-intellij-java.

Package: libjdom2-java
Description-md5: 5e7a375a0c2bfa5d54177b3ca4c1c713
Description-en: lightweight and fast library using XML
 JDOM is, quite simply, a Java representation of an XML document. JDOM
 provides a way to represent that document for easy and efficient
 reading, manipulation, and writing. It has a straightforward API, is
 lightweight and fast, and is optimized for the Java programmer. It's
 an alternative to DOM and SAX, although it integrates well with both
 DOM and SAX.

Package: libjdom2-java-doc
Description-md5: ef9428abcafeda311efed98c81a50b70
Description-en: lightweight and fast library using XML - documentation
 JDOM is, quite simply, a Java representation of an XML document. JDOM
 provides a way to represent that document for easy and efficient
 reading, manipulation, and writing. It has a straightforward API, is
 lightweight and fast, and is optimized for the Java programmer. It's
 an alternative to DOM and SAX, although it integrates well with both
 DOM and SAX.
 .
 This package contains API documentation (Javadoc) of libjdom2-java.

Package: libje-perl
Description-md5: 6e3575dc0a1f1c2a5c3f4086bfab89f2
Description-en: Pure-Perl ECMAScript (JavaScript) Engine
 JE is a pure-Perl ECMAScript (JavaScript) engine.
 .
 Advantages:
  - Compatible with Data::Dump::Streamer, so the runtime environment can be
    serialised
  - The parser can be extended/customised to support extra (or fewer) language
    features (not yet complete)
  - All JavaScript datatypes can be manipulated directly from Perl (they all
    have overloaded operators)
 .
 Weaknesses:
  - It's slow
  - It uses lots of memory.
  - It leaks memory (to be fixed).
 .
 This is still an alpha release, but it fully implements ECMAScript v3, except
 for a few seldom-used features like -0.

Package: libjebl2-java
Description-md5: 814e717858ba1c07cf5570b6df965d96
Description-en: Java Evolutionary Biology Library
 A Java library for evolutionary biology and bioinformatics, including
 objects representing biomolecular sequences, multiple sequence
 alignments and phylogenetic trees.
 .
 This is a branch of the original JEBL on
 http://sourceforge.net/projects/jebl/ to develop a new API and class
 library.

Package: libjebl2-java-doc
Description-md5: 4238d0898d6b0c713b6e64a1ff9dc8b8
Description-en: Java Evolutionary Biology Library (documentation)
 A Java library for evolutionary biology and bioinformatics, including
 objects representing biomolecular sequences, multiple sequence
 alignments and phylogenetic trees.
 .
 This is a branch of the original JEBL on
 http://sourceforge.net/projects/jebl/ to develop a new API and class
 library.
 .
 This package provides the documentation for the library

Package: libjellyfish-2.0-2
Description-md5: 065d1ff8b79f64e89b68567eaeed6aa1
Description-en: count k-mers in DNA sequences (dynamic library of jellyfish)
 JELLYFISH is a tool for fast, memory-efficient counting of k-mers in
 DNA. A k-mer is a substring of length k, and counting the occurrences
 of all such substrings is a central step in many analyses of DNA
 sequence. JELLYFISH can count k-mers using an order of magnitude less
 memory and an order of magnitude faster than other k-mer counting
 packages by using an efficient encoding of a hash table and by
 exploiting the "compare-and-swap" CPU instruction to increase
 parallelism.
 .
 JELLYFISH is a command-line program that reads FASTA and multi-FASTA
 files containing DNA sequences. It outputs its k-mer counts in an
 binary format, which can be translated into a human-readable text
 format using the "jellyfish dump" command.
 .
 This package contains the dynamic library the main executable of
 jellyfish is linked to.

Package: libjellyfish-2.0-dev
Description-md5: 8d15a603d76647ecb084d0bd3c0490a3
Description-en: count k-mers in DNA sequences (development files of jellyfish)
 JELLYFISH is a tool for fast, memory-efficient counting of k-mers in
 DNA. A k-mer is a substring of length k, and counting the occurrences
 of all such substrings is a central step in many analyses of DNA
 sequence. JELLYFISH can count k-mers using an order of magnitude less
 memory and an order of magnitude faster than other k-mer counting
 packages by using an efficient encoding of a hash table and by
 exploiting the "compare-and-swap" CPU instruction to increase
 parallelism.
 .
 JELLYFISH is a command-line program that reads FASTA and multi-FASTA
 files containing DNA sequences. It outputs its k-mer counts in an
 binary format, which can be translated into a human-readable text
 format using the "jellyfish dump" command.
 .
 This package contains the development files (static library and
 header files)

Package: libjellyfish-perl
Description-md5: c8cb8981fb9902b209cbce9156da63e7
Description-en: count k-mers in DNA sequences (Perl bindings of jellyfish)
 JELLYFISH is a tool for fast, memory-efficient counting of k-mers in
 DNA. A k-mer is a substring of length k, and counting the occurrences
 of all such substrings is a central step in many analyses of DNA
 sequence. JELLYFISH can count k-mers using an order of magnitude less
 memory and an order of magnitude faster than other k-mer counting
 packages by using an efficient encoding of a hash table and by
 exploiting the "compare-and-swap" CPU instruction to increase
 parallelism.
 .
 JELLYFISH is a command-line program that reads FASTA and multi-FASTA
 files containing DNA sequences. It outputs its k-mer counts in an
 binary format, which can be translated into a human-readable text
 format using the "jellyfish dump" command.
 .
 This package contains the Perl bindings of jellyfish.

Package: libjemalloc-dev
Description-md5: f91b42ea17991369b6b9cd46f2828e3f
Description-en: development files and documentation for jemalloc
 Files used for development with jemalloc. This package contains
 headers and documentation.
 .
 jemalloc is a library providing a malloc(3) implementation for
 multi-threaded processes on multi-processor systems.

Package: libjemalloc2
Description-md5: 178d355533ed77770701dbe22e8a79f5
Description-en: general-purpose scalable concurrent malloc(3) implementation
 A library providing a malloc(3) implementation for multi-threaded processes on
 multi-processor systems.
 .
 Notable features are reduced lock contention, predictable low fragmentation,
 and introspection with heap profiling.

Package: libjemmy2-java
Description-md5: 2f03095680fe79c561f1eae96ac747fe
Description-en: Java library for automated Java GUI testing
 Jemmy is a Java library that is used to create automated tests for
 Java GUI applications. It contains methods to reproduce all user
 actions which can be performed on Swing/AWT components.

Package: libjemmy2-java-doc
Description-md5: 873421e6828d4930418cf65caf15a547
Description-en: Java library for automated Java GUI testing (documentation)
 Jemmy is a Java library that is used to create automated tests for
 Java GUI applications. It contains methods to reproduce all user
 actions which can be performed on Swing/AWT components.
 .
 This package provides the documentation.

Package: libjempbox-java
Description-md5: 5ea17e6984d802a9db07b0c483e16963
Description-en: XMP Compatible Java Library
 The Apache JempBox library is an open source Java tool for working with  XMP
 metadata.
 .
 This package contains the library itself.

Package: libjempbox-java-doc
Description-md5: 741a9dca3786acf0cb72572ad8bab05c
Description-en: XMP Compatible Java Library (documentation)
 The Apache JempBox library is an open source Java tool for working with  XMP
 metadata.
 .
 This package contains the documentation for the library.

Package: libjengelman-shadow-java
Description-md5: e27abb007a4c8b1dbc609d3fbd94576d
Description-en: Gradle plugin for creating fat/uber JARs with support for package relocation.
 Shadow is an extension of the Gradle Jar task that optimizes FatJar/UberJar
 creation by using JarInputStream and JarOutputStream to copy file contents.
 This avoids the unnecessary I/O overhead of expanding jar files to
 disk before recombining them. Shadow provides the similar filtering,
 relocation, and transformation capabilities as the Maven Shade plugin.
 The gradle-shadow-plugin makes it possible to integrate JFlex into the Gradle
 - build system.

Package: libjenkins-htmlunit-core-js-java
Description-md5: 2f862acc43105cc046acf57fc8e0afcc
Description-en: Jenkins branch of the HtmlUnit Core JS Interpreter
 HtmlUnit is a "GUI-Less browser for Java programs". It models HTML
 documents and provides an API that allows you to invoke pages,
 fill out forms, click links, etc... just like you do in your "normal"
 browser.
 .
 This package contains the Jenkins branch of the HtmlUnit adaptation
 of Mozilla Rhino Javascript engine for Java with supports HtmlUnit.
 .
 HtmlUnit Changes are documented by a diff (rhinoDiff.txt) contained
 in the generated jar files.

Package: libjenkins-json-java
Description-md5: 15c5c5d5eb23865dded1b0dccf076ef5
Description-en: Library for transforming Java objects between XML and JSON
 JSON-lib is a java library for transforming beans, maps, collections,
 java arrays and XML to JSON and back again to beans and DynaBeans.
 .
 This package contains the branch used/maintained by jenkins.

Package: libjenkins-json-java-doc
Description-md5: 01d9c3994986e7d8a0f10276dc115e89
Description-en: Documentation for libjenkins-json-java
 JSON-lib is a java library for transforming beans, maps, collections,
 java arrays and XML to JSON and back again to beans and DynaBeans.
 .
 This package provides the API documentation for libjenkins-json-java.

Package: libjenkins-trilead-ssh2-java
Description-md5: a9b8435f5a1666a2bd59099268303352
Description-en: Trilead SSH2 implementation for Java (Jenkins variant)
 Trilead SSH2 for Java is a library which implements the SSH-2 protocol
 in pure Java.
 .
 This package contains the Jenkins fork of Trilead SSH2.

Package: libjenkins-trilead-ssh2-java-doc
Description-md5: 0a12f467e0d3935e71d371bf3f417b6a
Description-en: Documentation for libjenkins-trilead-ssh2-java
 Trilead SSH2 for Java is a library which implements the SSH-2 protocol
 in pure Java
 .
 This package provides the API documentation for libjenkins-trilead-ssh2-java.

Package: libjep-java
Description-md5: 48fa7ed9fe1d548e64f20334292791cd
Description-en: Java Expression Parser
 Jep Java parses and evaluates mathematical expressions with only a few lines
 of code. This package allows your users to enter a formula as a string, and
 instantly evaluate it. Jep supports user defined variables, constants, and
 functions. A number of common mathematical functions and constants are
 included.

Package: libjericho-html-java
Description-md5: 8cd3c518523afd9b627e91a863b0435b
Description-en: Java based library for HTML Parsing
 Jericho HTML Parser is a simple but powerful java library allowing
 analysis and manipulation of parts of an HTML document, including
 some common server-side tags, while reproducing verbatim any
 unrecognised or invalid HTML.
 .
 It also provides high-level HTML form manipulation functions.

Package: libjeromq-java
Description-md5: a2a2d2bb87d1d907cddda0a083b6c221
Description-en: Java implementation of the ZeroMQ messaging library
 JeroMQ is pure Java implementation of the ZeroMQ messaging library (libmzq).
 Compared to jzmq (libzmq-java) this implementation doesn't rely on a native
 library.
 .
 JeroMQ support ZMTP/2.0, the tcp:// and inproc:// protocols. ipc:// protocol
 works only between jeromq. The API is compatible with jzmq.

Package: libjersey1-atom-java
Description-md5: e3ab010094bfa2fe1a69eb1ef8a9f55e
Description-en: RESTful Web Services in Java (atom module)
 Jersey RESTful Web Services framework is the open source, production quality,
 framework for developing RESTful Web Services in Java that provides support
 for JAX-RS APIs and serves as a JAX-RS (JSR 311 & JSR 339) Reference
 Implementation.
 .
 This package contains the jersey-atom module.

Package: libjersey1-client-java
Description-md5: 6abc2cc091e5d462bf71db61ecb20135
Description-en: RESTful Web Services in Java (client module)
 Jersey RESTful Web Services framework is the open source, production quality,
 framework for developing RESTful Web Services in Java that provides support
 for JAX-RS APIs and serves as a JAX-RS (JSR 311 & JSR 339) Reference
 Implementation.
 .
 This package contains the jersey-client module.

Package: libjersey1-core-java
Description-md5: c75946605a7db71c92efa0fc153e5c3c
Description-en: RESTful Web Services in Java (core library)
 Jersey RESTful Web Services framework is the open source, production quality,
 framework for developing RESTful Web Services in Java that provides support
 for JAX-RS APIs and serves as a JAX-RS (JSR 311 & JSR 339) Reference
 Implementation.
 .
 This package contains the jersey-core module.

Package: libjersey1-fastinfoset-java
Description-md5: f547bbfec9d41bd68a0c8e1751f0c2d9
Description-en: RESTful Web Services in Java (fastinfoset module)
 Jersey RESTful Web Services framework is the open source, production quality,
 framework for developing RESTful Web Services in Java that provides support
 for JAX-RS APIs and serves as a JAX-RS (JSR 311 & JSR 339) Reference
 Implementation.
 .
 This package contains the jersey-fastinfoset module.

Package: libjersey1-guice-java
Description-md5: f8e41dc61f28674b4f1f6f30d3ab8ce8
Description-en: RESTful Web Services in Java (guice module)
 Jersey RESTful Web Services framework is the open source, production quality,
 framework for developing RESTful Web Services in Java that provides support
 for JAX-RS APIs and serves as a JAX-RS (JSR 311 & JSR 339) Reference
 Implementation.
 .
 This package contains the jersey-guice module.

Package: libjersey1-json-java
Description-md5: 0ff5fc481a24ccbff89c87e843da7cde
Description-en: RESTful Web Services in Java (json module)
 Jersey RESTful Web Services framework is the open source, production quality,
 framework for developing RESTful Web Services in Java that provides support
 for JAX-RS APIs and serves as a JAX-RS (JSR 311 & JSR 339) Reference
 Implementation.
 .
 This package contains the jersey-json module.

Package: libjersey1-server-java
Description-md5: e207f4046dde37b1d111b01c344d43fb
Description-en: RESTful Web Services in Java (server module)
 Jersey RESTful Web Services framework is the open source, production quality,
 framework for developing RESTful Web Services in Java that provides support
 for JAX-RS APIs and serves as a JAX-RS (JSR 311 & JSR 339) Reference
 Implementation.
 .
 This package contains the jersey-server module.

Package: libjersey1-servlet-java
Description-md5: 6ccf8e69fae2ac513aa00075d188895b
Description-en: RESTful Web Services in Java (servlet module)
 Jersey RESTful Web Services framework is the open source, production quality,
 framework for developing RESTful Web Services in Java that provides support
 for JAX-RS APIs and serves as a JAX-RS (JSR 311 & JSR 339) Reference
 Implementation.
 .
 This package contains the jersey-servlet module.

Package: libjetbrains-annotations-java
Description-md5: 24822c36ac948fa762f4dc4593b3a2ea
Description-en: annotations used for code inspection support and code documentation
 JetBrains Annotations is a set of annotations used for code inspection
 support and code documentation. It is part of the IntelliJ IDEA Community
 Edition and can be used among other things to annotate String fields, local
 variables, method parameters and methods returning Strings.

Package: libjetbrains-annotations-java-doc
Description-md5: bf7ff2d3c94a81c13e4b3ba395be278a
Description-en: documentation for libjetbrains-annotations-java
 JetBrains Annotations is a set of annotations used for code inspection
 support and code documentation. It is part of the IntelliJ IDEA Community
 Edition and can be used among other things to annotate String fields, local
 variables, method parameters and methods returning Strings.
 .
 This package contains documentation for libjetbrains-annotations-java.

Package: libjets3t-java
Description-md5: ebda21ded7c8a6816d2f480cdb431f12
Description-en: Java interface to Amazon S3 and CloudFront services
 The JetS3t toolkit provides Java programmers with an API for interacting and
 managing data stored in Amazon Simple Storage Service and Amazon CloudFront
 content delivery network.

Package: libjets3t-java-doc
Description-md5: a6475a9487f726246af3e05368ff63b1
Description-en: documentation for libjets3t-java
 Documentation for the JetS3t toolkit that provides Java programmers with an
 API for interacting and managing data stored in Amazon Simple Storage Service
 and Amazon CloudFront content delivery network.

Package: libjettison-java
Description-md5: ff477b95ba607b19e6117aa61d10085c
Description-en: collection of StAX parsers and writers for JSON
 Jettison is a collection of StAX parsers (streaming API for XML) and
 writers which read and write JSON (JavaScript Object Notation). This
 allows nearly transparent enablement of JSON based web services in
 services frameworks like Apache CXF.
 .
 There are currently two included conventions for mapping JSON to XML.
 The first is BadgerFish which implements the full XML infoset in JSON
 using various techniques. The second is called the "mapped"
 convention. It allows you to manually map XML namespaces to JSON
 element prefixes.

Package: libjetty9-extra-java
Description-md5: 47ace05c7b36b1f6b7e26c63fc7c5931
Description-en: Java servlet engine and webserver -- extra libraries
 Jetty is an Open Source HTTP Servlet Server written in 100% Java.
 It is designed to be light weight, high performance, embeddable,
 extensible and flexible, thus making it an ideal platform for serving
 dynamic HTTP requests from any Java application.
 The extra libraries include jetty-management, jetty-naming,
 jetty-servlet-tester, jetty-ajp, jetty-java5-threadpool,
 jetty-client, jetty-rewrite-handler, jetty-plus, jetty-annotations

Package: libjetty9-java
Description-md5: 46218f4f42765c4d73a39fcecc3adcdc
Description-en: Java servlet engine and webserver -- core libraries
 Jetty is an Open Source HTTP Servlet Server written in 100% Java.
 It is designed to be light weight, high performance, embeddable,
 extensible and flexible, thus making it an ideal platform for serving
 dynamic HTTP requests from any Java application.
 .
 This package contains the core libraries

Package: libjeuclid-core-java
Description-md5: 709103099c7221d73e36eeeb8e8bff3d
Description-en: Complete MathML rendering solution (java classes)
 JEuclid is a complete MathML rendering solution, consisting of:
  * A MathViewer application
  * Command line converters from MathML to other formats
  * An ant task for automated conversion
  * Display components for AWT and Swing
  * A component for Apache Cocoon
 .
 This package contains the basic JEuclid rendering and document handling
 classes.

Package: libjeuclid-fop-java
Description-md5: 81a2bf68e77ea1e9b75b78a2e1989912
Description-en: Complete MathML rendering solution (fop plugin)
 JEuclid is a complete MathML rendering solution, consisting of:
  * A MathViewer application
  * Command line converters from MathML to other formats
  * An ant task for automated conversion
  * Display components for AWT and Swing
  * A component for Apache Cocoon
 .
 This package contains the fop plugin.

Package: libjexcelapi-java
Description-md5: 6d7bd18fec676b8641d7dd3e50ba2094
Description-en: Java API to read, write and modify Excel spreadsheets
 The Java Excel API is an open source Java API which allows Java developers to
 read Excel spreadsheets and to generate Excel spreadsheets dynamically. In
 addition, it contains a mechanism which allows java applications to read in a
 spreadsheet, modify some cells and write out the new spreadsheet.
 .
 This API allows non Windows operating systems to run pure Java applications
 which can both process and deliver Excel spreadsheets. Because it is Java,
 this API may be invoked from within a servlet, thus giving access to Excel
 functionality over internet and intranet web applications.

Package: libjexcelapi-java-doc
Description-md5: 16237416ddd0bd69ca8fa9af933fa3d3
Description-en: Java API to read, write and modify Excel spreadsheets - documentation
 The Java Excel API is an open source Java API which allows Java developers to
 read Excel spreadsheets and to generate Excel spreadsheets dynamically. In
 addition, it contains a mechanism which allows java applications to read in a
 spreadsheet, modify some cells and write out the new spreadsheet.
 .
 This API allows non Windows operating systems to run pure Java applications
 which can both process and deliver Excel spreadsheets. Because it is Java,
 this API may be invoked from within a servlet, thus giving access to Excel
 functionality over internet and intranet web applications.
 .
 This package contains API documentation (Javadoc) for Java Excel API.

Package: libjffi-java
Description-md5: 0ec74d8e775484fccf0d6afbaab50a68
Description-en: Java Foreign Function Interface
 JFFI is a wrapper for libffi, the foreign function interface library. A foreign
 function interface is the popular name for the interface that allows code
 written in one language to call code written in another language.
 Java-based codings helper classes for Joni and JRuby

Package: libjffi-jni
Description-md5: 167efe5b7c239fa9bf9bed0a40f1d058
Description-en: Java Foreign Function Interface (JNI library)
 JFFI is a wrapper for libffi, the foreign function interface library. A foreign
 function interface is the popular name for the interface that allows code
 written in one language to call code written in another language.
 Java-based codings helper classes for Joni and JRuby
 .
 This package ships the Java native interface library.

Package: libjformatstring-java
Description-md5: a70c5b14e302279497bf160195a25803
Description-en: Java format string compile-time checker
 This project is derived from Sun's implementation of java.util.Formatter.  It
 is designed to allow compile time checks as to whether or not a use of a
 format string will be erroneous when executed at runtime.

Package: libjfreechart-java
Description-md5: a0e5d14b133619f80a041f09d50d2ddd
Description-en: Chart library for Java
 JFreeChart is a free Java class library for generating charts, including:
   * pie charts (2D and 3D)
   * bar charts (regular and stacked, with an optional 3D effect)
   * line and area charts
   * scatter plots and bubble charts
   * time series, high/low/open/close charts and candle stick charts
   * combination charts
   * Pareto charts
   * Gantt charts
   * wind plots, meter charts and symbol charts
   * wafer map charts

Package: libjfreechart-java-doc
Description-md5: d938f58690f1d5a10a566475f13523ed
Description-en: Chart library for Java - documentation
 JFreeChart is a free Java class library for generating charts.
 .
 This package provides the javadoc documentation.

Package: libjfreechart-swt-java
Description-md5: 895f6157e79ec870f27090a6d6e2ae63
Description-en: Chart library for Java (SWT support)
 JFreeChart is a free Java class library for generating charts.
 .
 This package allows one to use JFreeChart in SWT applications.

Package: libjfugue-java
Description-md5: e784ef32eb2df1b12dce625117fc48e8
Description-en: Java API for music programming
 JFugue is a Java API for music programming that does not require the
 programmer to be aware of all the complexities of MIDI. The music can
 be synthetized from strings that describe its notes, chords,
 instruments, etc., or can be generated in real-time in many different
 ways.
 .
 JFugue supports playing music in real time as well as saving it to
 MIDI files or send it to MIDI devices.

Package: libjgit-ant-java
Description-md5: cfe65176b89c986b627392a3b127c5e9
Description-en: Java implementation of GIT version control (Ant interface)
 JGit is a lightweight, pure Java library implementing the Git version control
 system:
  * Repository access routines
  * Network protocols
  * Core version control algorithms
 .
 This package contains Ant tasks allowing build scripts to manipulate with
 Git repositories through JGit library.

Package: libjgit-java
Description-md5: 4e9d867e446a8c22145964210021b9d1
Description-en: Java implementation of GIT version control
 JGit is a lightweight, pure Java library implementing the Git version control
 system:
  * Repository access routines
  * Network protocols
  * Core version control algorithms

Package: libjgit-java-doc
Description-md5: 3f0119c3727f717f0970be6e2520ada2
Description-en: Java implementation of GIT version control (documentation)
 JGit is a lightweight, pure Java library implementing the Git version control
 system:
  * Repository access routines
  * Network protocols
  * Core version control algorithms
 .
 This package contains the Javadoc API documentation.

Package: libjglobus-axisg-java
Description-md5: a1e5c2829f0034dec341e6ecab5a4cc1
Description-en: Globus Java - Apache AXIS support
 Globus Java library with Apache AXIS support

Package: libjglobus-gram-java
Description-md5: 5078967041f201f03655238ce69fdbfe
Description-en: Globus Java - Grid Resource Allocation and Management (GRAM)
 Globus Java library with GRAM support

Package: libjglobus-gridftp-java
Description-md5: 6c93fbdb75035fda4e15549fa66ae00c
Description-en: Globus Java - GridFTP
 Globus Java library with GridFTP support

Package: libjglobus-gss-java
Description-md5: a451f6135f247857c63743696fd9cb5d
Description-en: Globus Java - GSS-API implementation for SSL with proxies
 Globus Java library containing a GSS-API implementation for SSL with proxies

Package: libjglobus-io-java
Description-md5: 83724c423fd4e0edbeb73cc75570f9a0
Description-en: Globus Java - IO
 Globus Java library with IO utilities

Package: libjglobus-jsse-java
Description-md5: 97210ce4c1f3f76edc2b773dc36f95f1
Description-en: Globus Java - SSL support
 Globus Java library with SSL support

Package: libjglobus-myproxy-java
Description-md5: 1b97b71474488680dda613c79f21bc3e
Description-en: Globus Java - MyProxy
 Globus Java library with MyProxy support

Package: libjglobus-parent-java
Description-md5: cac3ea7c9e0f55db384a50470e7cd941
Description-en: Globus Java - parent pom file
 Globus Java libraries parent maven pom file

Package: libjglobus-ssl-proxies-java
Description-md5: 7bd9e9f34f2574c24f2c77ae105b7ab5
Description-en: Globus Java - SSL and proxy certificate support
 Globus Java library with SSL and proxy certificate support

Package: libjgoodies-animation-java
Description-md5: 999b44db1e9b07f3750612353e1ced39
Description-en: Time-based real-time animations in Java
 The JGoodies Animation framework enables you to produce sophisticated
 time-based real-time animations in Java. It has been designed for a seemless,
 flexible and powerful integration with Java, ease-of-use and a small library
 size.

Package: libjgoodies-binding-java
Description-md5: c884e4f22c2a29afee978cbbb0e3ff26
Description-en: Swing Data Binding Framework
 JGoodies Binding synchronizes object properties with Swing components. It
 helps you represent the state and behavior of a presentation independently of
 the GUI components used in the interface.

Package: libjgoodies-common-java
Description-md5: d45abc55b2796485216bfb6546543d58
Description-en: JGoodies Common
 The JGoodies Common library provides convenience code for other JGoodies
 libraries and applications.

Package: libjgoodies-common-java-doc
Description-md5: f74a739262484e91e130672420b64d49
Description-en: JGoodies Common library (documentation)
 The JGoodies Common library provides convenience code for other JGoodies
 libraries and applications.
 .
 This package contains the API documentation of libjgoodies-common-java.

Package: libjgoodies-forms-java
Description-md5: a962a1bc9120d8abeade1f1e9e6bdaf5
Description-en: Framework to lay out and implement elegant Swing panels
 The JGoodies Forms framework helps you lay out and implement elegant Swing
 panels quickly and consistently. It makes simple things easy and the hard
 stuff possible, the good design easy and the bad difficult.
 .
 Main Benefits:
 .
  * Powerful, flexible and precise layout
  * Easy to work with and quite easy to learn
  * Faster UI production
  * Better UI code readability
  * Leads to better style guide compliance

Package: libjgoodies-forms-java-doc
Description-md5: 3f8cdf779d20b842a5df58b77e36de41
Description-en: Documentation for libjgoodies-forms-java
 The JGoodies Forms framework helps you lay out and implement elegant Swing
 panels quickly and consistently. It makes simple things easy and the hard
 stuff possible, the good design easy and the bad difficult.
 .
 Main Benefits:
 .
  * Powerful, flexible and precise layout
  * Easy to work with and quite easy to learn
  * Faster UI production
  * Better UI code readability
  * Leads to better style guide compliance
 .
 This package contains only documentation.

Package: libjgoodies-looks-java
Description-md5: a37c8f9dc16f29eeefc3f36b8e46d279
Description-en: library with Swing look&feel implementations
 The JGoodies Looks make your Swing applications and applets look better.
 The package consists of a Windows look&feel and the Plastic look&feel
 family. These have been optimized for readability, precise micro-design and
 usability.

Package: libjgoodies-looks-java-doc
Description-md5: e20662e184c9d644b66379687caf5ad2
Description-en: library with Swing look&feel implementations (documentation)
 The JGoodies Looks make your Swing applications and applets look better.
 The package consists of a Windows look&feel and the Plastic look&feel
 family. These have been optimized for readability, precise micro-design and
 usability.
 .
 This package contains the documentation for the library.

Package: libjgraph-java
Description-md5: 1495e280651707e10f9e9eefd751b240
Description-en: JFC/Swing graph component for Java
 JGraph is an easy-to-use, feature-rich and standards-compliant open source
 graph component available for Java. Application areas include:
 .
  * Process diagrams, workflow and BPM visualization, flowcharts, even
    traffic or water flow.
  * Database and WWW visualization, networks and telecoms displays, mapping
    applications and GIS.
  * UML diagrams, electronic circuits, VLSI, CAD, financial and social
    networks and data mining.
  * Biochemistry, ecological cycles, entity and cause-effect relationships
    and organisational charts.
 .
 This package contains the library.

Package: libjgraph-java-doc
Description-md5: 8915a5c90a26892c3d64076cc7360e10
Description-en: JFC/Swing graph component for Java (documentation)
 JGraph is an easy-to-use, feature-rich and standards-compliant open source
 graph component available for Java.
 .
  * Process diagrams, workflow and BPM visualization, flowcharts, even
    traffic or water flow.
  * Database and WWW visualization, networks and telecoms displays, mapping
    applications and GIS.
  * UML diagrams, electronic circuits, VLSI, CAD, financial and social
    networks and data mining.
  * Biochemistry, ecological cycles, entity and cause-effect relationships
    and organisational charts.
 .
 This package contains the documentation and the examples.

Package: libjgrapht0.6-java
Description-md5: 48f0030028115c6c0e876d629832191c
Description-en: mathematical graph theory library for Java
 JGraphT is a free Java graph library that provides mathematical
 graph theory objects and algorithms. JGraphT supports various types of
 graphs including:
  - directed and undirected graphs
  - graphs with weighted, unweighted, labeled or user-defined edges
  - various edge multiplicity options, including simple graphs,
    multigraphs and pseudographs
  - unmodifiable graphs: allow modules to provide "read-only" access
    to internal graphs
  - listenable graphs: allow external listeners to track modification events
  - subgraphs: graphs that are auto-updating subgraph views on other graphs

Package: libjgrapht0.6-java-doc
Description-md5: 73a90cf408ea31fd38921862fb2b4752
Description-en: javadoc-generated API of libjgrapht-java
 The javadoc utility was used to convert comments of the Java source
 code into a complete documentation. That can be accessed easily
 with a regular web browser in /usr/share/doc/libjgrapht-java/apidoc.

Package: libjgrapht0.8-java
Description-md5: 11a78f4782d35aad7e203fc0ce447355
Description-en: mathematical graph theory library for Java
 JGraphT is a free Java graph library that provides mathematical
 graph theory objects and algorithms. JGraphT supports various types of
 graphs including:
  - directed and undirected graphs;
  - graphs with weighted, unweighted, labeled or user-defined edges;
  - various edge multiplicity options, including simple graphs,
    multigraphs and pseudographs;
  - unmodifiable graphs: allow modules to provide "read-only" access
    to internal graphs;
  - listenable graphs: allow external listeners to track modification events;
  - subgraphs: graphs that are auto-updating subgraph views on other graphs;
  - all compositions of above graphs.
 .
 JGraphT is designed to be simple and type-safe (via Java generics): graph
 vertices can be of any objects: Strings, URLs, XML documents, even graphs
 themselves.

Package: libjgrapht0.8-java-doc
Description-md5: 093066f18efa4b759d1498b86559338d
Description-en: javadoc-generated API for libjgrapht0.8-java
 JGraphT is a free Java graph library that provides mathematical
 graph theory objects and algorithms.
 .
 This package contains the javadoc documentation for JGraphT.

Package: libjgraphx-java
Description-md5: d4c312003587c4763f17bd0f0919519d
Description-en: Java Swing Diagramming Library
 JGraph X is based on the mxGraph architecture, a re-designed core based
 on JGraph experience.
 .
 The new library API is designed to provide a much lower learning curve as
 well as making the feature set easier to extend and integrate. Sharing the
 model code base of mxGraph, the web diagramming library, enabling applications
 written in Java to be more easily ported to mxGraph-based web applications.
 .
 Overall, JGraph X provides more features that JGraph, with a far smaller code
 size and complexity. Redesigning the codebase from scratch now means
 implementing common feature extensions are easier and require less coding.
 A number of new loosely coupled application-centric features have been added,
 making prototyping even faster, without their usage restricting application
 flexibility.

Package: libjgraphx-java-doc
Description-md5: b1a310beb9daa212c14ba4bfa1742e32
Description-en: Java Swing Diagramming Library - API documentation and manual
 JGraph X is based on the mxGraph architecture, a re-designed core based
 on JGraph experience.
 .
 The new library API is designed to provide a much lower learning curve as
 well as making the feature set easier to extend and integrate. Sharing the
 model code base of mxGraph, the web diagramming library, enabling applications
 written in Java to be more easily ported to mxGraph-based web applications.
 .
 Overall, JGraph X provides more features that JGraph, with a far smaller code
 size and complexity. Redesigning the codebase from scratch now means
 implementing common feature extensions are easier and require less coding.
 A number of new loosely coupled application-centric features have been added,
 making prototyping even faster, without their usage restricting application
 flexibility.
 .
 This package contains the Javadoc API documentation.

Package: libjgromacs-java
Description-md5: e5f181e06fa7c33ce066a871d50d2199
Description-en: library for molecular dynamics trajectory analysis
 JGromacs is a Java library designed to facilitate the development
 of cross-platform analysis applications for Molecular Dynamics (MD)
 simulations. The package contains parsers for file formats applied by
 GROMACS (GROningen MAchine for Chemical Simulations), one of the most
 widely used MD simulation packages.
 .
 JGromacs provides a multilevel object-oriented representation of
 simulation data to integrate and interconvert sequence, structure
 and dynamics information. In addititon, a basic analysis toolkit is
 included in the package. The programmer is also provided with simple
 tools (e.g. XML-based configuration) to create applications with a user
 interface resembling the command-line UI of Gromacs applications.

Package: libjgromacs-java-doc
Description-md5: 1e3031fc51ec13431b748ce479db17fa
Description-en: library for molecular dynamics trajectory analysis (documentation)
 JGromacs is a Java library designed to facilitate the development
 of cross-platform analysis applications for Molecular Dynamics (MD)
 simulations. The package contains parsers for file formats applied by
 GROMACS (GROningen MAchine for Chemical Simulations), one of the most
 widely used MD simulation packages.
 .
 JGromacs provides a multilevel object-oriented representation of
 simulation data to integrate and interconvert sequence, structure
 and dynamics information. In addititon, a basic analysis toolkit is
 included in the package. The programmer is also provided with simple
 tools (e.g. XML-based configuration) to create applications with a user
 interface resembling the command-line UI of Gromacs applications.
 .
 This package contains the documentation for libjgromacs-java.

Package: libjgroups-java
Description-md5: db52acbf51f6a874e189217c88a3c9e6
Description-en: Toolkit for Reliable Multicast Communication
 JGroups is a toolkit for reliable multicast communication.  (Note
 that this doesn't necessarily mean IP Multicast, JGroups can also use
 transports such as TCP). It can be used to create groups of processes
 whose members can send messages to each other. The main features
 include:
  * Group creation and deletion. Group members can be spread across LANs or WANs
  * Joining and leaving of groups
  * Membership detection and notification about joined/left/crashed members
  * Detection and removal of crashed members
  * Sending and receiving of member-to-group messages (point-to-multipoint)
  * Sending and receiving of member-to-member messages (point-to-point)

Package: libjhdf-doc
Description-md5: 01902c8f977360bc074063e02c221075
Description-en: Java HDF Object Package - Documentation
 HDF is a versatile data model that can represent very complex data objects
 and a wide variety of metadata. It is a completely portable file format
 with no limit on the number or size of data objects in the collection.
 .
 This package includes the documentation for HDF/HDF5 packages.

Package: libjhdf4-java
Description-md5: be6dc2e2448cf67e3a99cdb3926f553f
Description-en: Java HDF4 Object Package
 HDF is a versatile data model that can represent very complex data objects
 and a wide variety of metadata. It is a completely portable file format
 with no limit on the number or size of data objects in the collection.
 .
 This Java package implements HDF4 data objects in an
 object-oriented form. It provides a common Java API for accessing HDF4 files.
 .
 This package includes the architecture specific Java Native Interface part
 for the Java bindings for HDF4.

Package: libjhdf4-jni
Description-md5: 1fabae2cca94e919fe816be30afd2022
Description-en: Java HDF4 Object Package (Java JNI library)
 HDF is a versatile data model that can represent very complex data objects
 and a wide variety of metadata. It is a completely portable file format
 with no limit on the number or size of data objects in the collection.
 .
 This Java package implements HDF4 data objects in an
 object-oriented form. It provides a common Java API for accessing HDF4 files.
 .
 This package includes the architecture specific Java Native Interface part
 for the Java bindings for HDF4.

Package: libjhdf5-java
Description-md5: 4a2f3be032946f494b4b6d9e6dd42839
Description-en: Java HDF5 Object Package
 HDF is a versatile data model that can represent very complex data objects
 and a wide variety of metadata. It is a completely portable file format
 with no limit on the number or size of data objects in the collection.
 .
 This Java package implements HDF5 data objects in an
 object-oriented form. It provides a common Java API for accessing HDF5 files.

Package: libjhdf5-jni
Description-md5: 77d431483784ed41d1ebdba71b0340d6
Description-en: Java HDF5 Object Package (Java JNI library)
 HDF is a versatile data model that can represent very complex data objects
 and a wide variety of metadata. It is a completely portable file format
 with no limit on the number or size of data objects in the collection.
 .
 This Java package implements HDF5 data objects in an
 object-oriented form. It provides a common Java API for accessing HDF5 files.
 .
 This package includes the architecture specific Java Native Interface part
 for the Java bindings for HDF5.

Package: libjheatchart-java
Description-md5: 4e3a3d65c8bc107377650c6235dfbd0d
Description-en: Heat map charting library for Java
 The JHeatChart library provides a simple API for generating Java heat maps.
 Output heat maps as .png, .jpg or .gif images. Generate Image objects for
 further processing. Show generated Image in a Swing JPanel. Fully customisable
 colours, dimensions, fonts etc. Linear, logarithmic and exponential
 colour scales.

Package: libjheatchart-java-doc
Description-md5: 1b4fa16f28a40b7b11fc7e985f9cc799
Description-en: Heat map charting library for Java (documentation)
 The JHeatChart library provides a simple API for generating Java heat maps.
 Output heat maps as .png, .jpg or .gif images. Generate Image objects for
 further processing. Show generated Image in a Swing JPanel. Fully customisable
 colours, dimensions, fonts etc. Linear, logarithmic and exponential
 colour scales.
 .
 This package includes the JHeatChart API javadocs.

Package: libjhighlight-java
Description-md5: a6946395addb7c2da326e83f12cd3111
Description-en: Small embeddable Java library for syntax highlighting
 JHighlight is an embeddable Java syntax highlighting library that supports
 Java, HTML, XHTML, XML and LZX languages and outputs to XHTML.
 It also supports RIFE templates tags and highlights them clearly so that
 one can easily identify the difference between the RIFE markup and the
 actual marked up source.

Package: libjhlabs-filters-java
Description-md5: ac461ceacc3fa7c65d472b9b4c4f62c4
Description-en: Java Image Processing Filters
 Jhlabs filters is a collection of large number of Java Image
 filters. The filters are all standard Java BufferedImageOps and can
 be plugged directly into existing programs. Most filters have dialogs
 to allow you to play with their settings.

Package: libjibx-java
Description-md5: 36dab3f759828702d8072c6a5a61484e
Description-en: Framework for binding XML data to Java objects (symlink)
 JiBX lets you work with data from XML documents using your own class
 structures. The JiBX framework handles all the details of converting
 your data to and from XML based on your instructions. JiBX is designed
 to perform the translation between internal data structures and XML
 with very high efficiency, but still allows you a high degree of
 control over the translation process.
 .
 This package provides the jibx.jar file as a symbolic link to the
 versioned jar.

Package: libjibx1.2-java
Description-md5: 35cd48d1dcd0d8f978cc0ee49e27f39e
Description-en: Framework for binding XML data to Java objects
 JiBX lets you work with data from XML documents using your own class
 structures. The JiBX framework handles all the details of converting
 your data to and from XML based on your instructions. JiBX is designed
 to perform the translation between internal data structures and XML
 with very high efficiency, but still allows you a high degree of
 control over the translation process.

Package: libjibx1.2-java-doc
Description-md5: 3fe5d3f29a551e54f1486045e4712b1f
Description-en: Framework for binding XML data to Java objects (documentation)
 JiBX lets you work with data from XML documents using your own class
 structures. The JiBX framework handles all the details of converting
 your data to and from XML based on your instructions. JiBX is designed
 to perform the translation between internal data structures and XML
 with very high efficiency, but still allows you a high degree of
 control over the translation process.
 .
 This package contains documentation, javadocs and tutorial code for JiBX.

Package: libjiconfont-font-awesome-java
Description-md5: d24a2f9d3b3bc3ae38dd7be678fde026
Description-en: jIconFont - Font Awesome
 jIconFont is an API to provide icons generated from any IconFont. These
 icons can be used in Java GUI toolkits, such as Swing and JavaFX.
 .
 This package provides support for the Font Awesome icon font.

Package: libjiconfont-java
Description-md5: 923ef361bf78d973e7f5b268f6ca7aaa
Description-en: API to provide icons generated by any icon font
 jIconFont is an API to provide icons generated from any IconFont. These
 icons can be used in Java GUI toolkits, such as Swing and JavaFX. Create your
 own icon fonts or use some of the existing ones like Elusive, Entypo, Font
 Awesome, Google Material Design Icons, Open Iconic or Typicons.

Package: libjiconfont-swing-java
Description-md5: d83681ad0cba6d93822196d282ab55e7
Description-en: jIconFont - Swing support
 jIconFont is an API to provide icons generated from any IconFont.
 This package provides icon support for the Swing Java GUI toolkit.

Package: libjide-oss-java
Description-md5: 7c60245652b1c375ad897dbeb9fc69c2
Description-en: extensible Swing component library for Java
 JIDE Common Layer is a general component library built on top of Swing. It
 provides a foundation to build complex Java desktop applications by extending
 existing Swing classes to add more advanced features.
 .
 JIDE Common Layer features over 30 components and utilities including:
   * SearchableBar
   * FolderChooser
   * Searchable JList, JTree, JComboBox and JTable
   * RangeSlider
   * Popup
   * JideSplitButton - a combination of a button and a popup menu
   * CheckBoxList and CheckBoxTree - use check boxes inside JLists and JTrees
   * IconFactory - simplify the usage of icons across the whole application
   * Systeminfo - retrieve information about the current system
   * AutoResizingTextArea
   * AutoCompletion and IntelliHints
   * Calculator component

Package: libjide-oss-java-doc
Description-md5: 186a85b533650ee25c9c1adca34ebfdd
Description-en: extensible Swing component library for Java -- documentation
 JIDE Common Layer is a general component library built on top of Swing. It
 provides a foundation to build complex Java desktop applications by extending
 existing Swing classes to add more advanced features.
 .
 This package contains the Javadoc API documentation for libjide-oss-java and
 the JIDE Common Layer Developer Guide.

Package: libjifty-dbi-perl
Description-md5: 79fbe6a58c046fceb24d7f834f1b810b
Description-en: object-relational persistence framework for Jifty
 Jifty::DBI deals with databases, so that you don't have to.
 .
 This module provides an object-oriented mechanism for retrieving and updating
 data in a DBI-accessible database.
 .
 This module is the direct descendent of DBIx::SearchBuilder. If you're familiar
 with SearchBuilder, Jifty::DBI should be quite familiar to you.

Package: libjim-dev
Description-md5: d84fba3458f02b138f74d569efb3c047
Description-en: small-footprint implementation of Tcl - development files
 Jim is an opensource small-footprint implementation of the Tcl programming
 language. It implements a large subset of Tcl and adds new features like
 references with garbage collection, closures, built-in Object Oriented
 Programming system, Functional Programming commands, first-class arrays and
 UTF-8 support. All this with a binary size of about 100-200kB (depending upon
 selected options).
 .
 This package provides the libjim development files.

Package: libjim0.79
Description-md5: 279a797efd0ed3b69ec3c5bf5a1ef8d8
Description-en: small-footprint implementation of Tcl - shared library
 Jim is an opensource small-footprint implementation of the Tcl programming
 language. It implements a large subset of Tcl and adds new features like
 references with garbage collection, closures, built-in Object Oriented
 Programming system, Functional Programming commands, first-class arrays and
 UTF-8 support. All this with a binary size of about 100-200kB (depending upon
 selected options).
 .
 This package provides the libjim shared library.

Package: libjimfs-java
Description-md5: c298d2c91f9d6961161faf53918bad18
Description-en: In-memory file system implementing the java.nio.file APIs
 Jimfs is an in-memory file system for Java 7 and above, implementing
 the java.nio.file abstract file system APIs. Jimfs supports almost
 all the APIs under java.nio.file. It supports:
 .
  * Creating, deleting, moving and copying files and directories.
  * Reading and writing files with FileChannel or SeekableByteChannel,
    InputStream, OutputStream, etc.  Symbolic links.
  * Hard links to regular files.
  * SecureDirectoryStream, for operations relative to an open directory.
  * Glob and regex path filtering with PathMatcher.
  * Watching for changes to a directory with a WatchService.
  * File attributes. Built-in attribute views that can be supported
    include "basic", "owner", "posix", "unix", "dos", "acl" and "user".
    Do note, however, that not all attribute views provide useful
     attributes.
 .
 For example, while setting and reading POSIX file permissions is
 possible with the "posix" view, those permissions will not actually
 affect the behavior of the file system.
 .
 Jimfs also supports creating file systems that, for example, use
 Windows-style paths and (to an extent) behavior. In general, however,
 file system behavior is modeled after UNIX and may not exactly match
 any particular real file system or platform.
 .
 NOTE: SystemJimfsFileSystemProvider is not fully included in this
 package, because it requires a library that is not yet in Debian.

Package: libjimfs-java-doc
Description-md5: b0811e59d0d7b281c17a1431b734e2d5
Description-en: Documentation for libjimfs-java
 Jimfs is an in-memory file system for Java 7 and above, implementing
 the java.nio.file abstract file system APIs. Jimfs supports almost
 all the APIs under java.nio.file. It supports:
 .
  * Creating, deleting, moving and copying files and directories.
  * Reading and writing files with FileChannel or SeekableByteChannel,
    InputStream, OutputStream, etc.  Symbolic links.
  * Hard links to regular files.
  * SecureDirectoryStream, for operations relative to an open directory.
  * Glob and regex path filtering with PathMatcher.
  * Watching for changes to a directory with a WatchService.
  * File attributes. Built-in attribute views that can be supported
    include "basic", "owner", "posix", "unix", "dos", "acl" and "user".
    Do note, however, that not all attribute views provide useful
     attributes.
 .
 For example, while setting and reading POSIX file permissions is
 possible with the "posix" view, those permissions will not actually
 affect the behavior of the file system.
 .
 Jimfs also supports creating file systems that, for example, use
 Windows-style paths and (to an extent) behavior. In general, however,
 file system behavior is modeled after UNIX and may not exactly match
 any particular real file system or platform.
 .
 This package contains the generated API documentation.

Package: libjing-java
Description-md5: ca82093b71012d367129c3bfc81907a5
Description-en: RELAX NG validator - library
 This provides a validator for
  - RELAX NG 1.0 Specification,
  - RELAX NG Compact Syntax, and
  - parts of RELAX NG DTD Compatibility, specifically checking of
 ID/IDREF/IDREFS.
 .
 This package contains the Java library

Package: libjinput-java
Description-md5: 9a66e4b6e2aab3061305eaeb295719f8
Description-en: Java Game Controller API
 jinput is an implementation of an API for game controller discovery and
 polled input. It is part of a suite of open-source technologies initiated by
 the Game Technology Group at Sun Microsystems with intention of making the
 development of high performance games in Java a reality.
 The API itself is pure Java and presents a platform-neutral completely
 portable model of controller discovery and polling. It can handle arbitrary
 controllers and returns both human and machine understandable descriptions
 of the inputs available.

Package: libjinput-java-doc
Description-md5: 29439c39951277a809527e645194d816
Description-en: Java Game Controller API (javadoc)
 jinput is an implementation of an API for game controller discovery and
 polled input. It is part of a suite of open-source technologies initiated by
 the Game Technology Group at Sun Microsystems with intention of making the
 development of high performance games in Java a reality.
 The API itself is pure Java and presents a platform-neutral completely
 portable model of controller discovery and polling. It can handle arbitrary
 controllers and returns both human and machine understandable descriptions
 of the inputs available.
 .
 This package contains the API documentation for jinput.

Package: libjinput-jni
Description-md5: 5e717e63c4b0057dac87838b6f984dc4
Description-en: Java Game Controller API (jni)
 jinput is an implementation of an API for game controller discovery and
 polled input. It is part of a suite of open-source technologies initiated by
 the Game Technology Group at Sun Microsystems with intention of making the
 development of high performance games in Java a reality.
 The API itself is pure Java and presents a platform-neutral completely
 portable model of controller discovery and polling. It can handle arbitrary
 controllers and returns both human and machine understandable descriptions
 of the inputs available.
 .
 This package contains the java jni library.

Package: libjira-client-automated-perl
Description-md5: 4278d8ad2e6e51dc49aafe6509463ad5
Description-en: JIRA REST Client for automated scripts
 JIRA::Client::Automated is an adapter between any automated system and JIRA's
 REST API. JIRA::Client::Automated is explicitly designed to easily create and
 close issues within a JIRA instance via automated scripts.
 .
 For example, if you run nightly batch jobs, you can use
 JIRA::Client::Automated to have those jobs automatically create issues in
 JIRA for you when the script runs into errors. You can attach error log files
 to the issues and then they'll be waiting in someone's open issues list when
 they arrive at work the next day.
 .
 If you want to avoid creating the same issue more than once you can search
 JIRA for it first, only creating it if it doesn't exist. If it does already
 exist you can add a comment or a new error log to that issue.

Package: libjira-client-perl
Description-md5: 5054d4ce30970edc809004af5e668cb1
Description-en: Perl interface to JIRA's API
 JIRA::Client is a Perl module that implements an Object Oriented wrapper
 around the SOAP API for JIRA - a bug tracking, issue tracking and project
 management system <URL:http://www.atlassian.com/software/jira/>. Moreover,
 it implements some other methods to make it easier to perform some common
 operations.

Package: libjira-rest-perl
Description-md5: c1b7bac483d4b5299ccfe276b04600ee
Description-en: thin wrapper around Jira's REST APIs
 The JIRA::REST module implements thin wrappers around Jira's REST APIs:
 .
  - Jira Core REST API:
    <https://docs.atlassian.com/jira/REST/server/>
  - Jira Service Desk REST API:
    <https://docs.atlassian.com/jira-servicedesk/REST/server/>
  - Jira Software REST API:
    <https://docs.atlassian.com/jira-software/REST/server/>
 .
 The Jira REST API supersedes the old SOAP API, for which there is another
 Perl module called JIRA::Client.
 .
 Jira <http://www.atlassian.com/software/jira> is a proprietary bug tracking
 system from Atlassian <http://www.atlassian.com/software/jira/>.

Package: libjitescript-java
Description-md5: 30f102e2dfb5dcf16d19c542591ee2a9
Description-en: Java API for generating JVM bytecode
 jitescript provides a nice domain specific language around the
 popular ASM Java library for bytecode generation purposes. It's
 modeled after a Ruby library called BiteScript with similar
 functionality.
 .
 The goal is to offer a Java library with a similar API so that
 bytecode generation can be as nice in Java as BiteScript makes
 it in JRuby.

Package: libjiu-java
Description-md5: 923bb7b11e33fb1b01beb3c76141d044
Description-en: library to load, analyze, process and save pixel images
 JIU, the Java Imaging Utilities, is a library which offers functionality
 to load, analyze, process and save pixel images.
 .
 It can handle a variety of different image formats (PBM, PNG, GIF, TIFF,
 PSD etc) and perform a number of sophisticated transformations to the
 images including color adjustments, analysis and image filtering.

Package: libjiu-java-doc
Description-md5: e124b5b2fee78de43633249f5a7fcc07
Description-en: API documentation for jiu java library for pixel images
 JIU, the Java Imaging Utilities, is a library which offers functionality
 to load, analyze, process and save pixel images.
 .
 This package contains the API documentation for the library.
 .
 See the package libjiu-java for further information.

Package: libjlatexmath-fop-java
Description-md5: e63625488a87c7a8e813b6eb2cd088b4
Description-en: Implementation of LaTeX math mode wrote in Java (fop plugin)
 JLaTeXmath library provides a set of Java classes for displaying (complex)
 mathematical formulas as part of a Java application. Some use cases are:
  - Displaying text with embedded formulas (in an editor pane, a JavaHelp
    page, ...)
  - Make a combo box which provides the user with a choice between various
    formulas.
  - Have a slider with ticks that display their values not as decimal numbers,
    but as exact values, e.g., fractions, square roots or any combination of
    these.
  - Display the formula together with the graph of a function.
 .
  This package contains the fop plugin.

Package: libjlatexmath-java
Description-md5: 3c847a0fe1c8330ed13100018b6fc990
Description-en: Implementation of LaTeX math mode wrote in Java
 JLaTeXmath library provides a set of Java classes for displaying (complex)
 mathematical formulas as part of a Java application. Some use cases are:
  - Displaying text with embedded formulas (in an editor pane, a JavaHelp
    page, ...)
  - Make a combo box which provides the user with a choice between various
    formulas.
  - Have a slider with ticks that display their values not as decimal numbers,
    but as exact values, e.g., fractions, square roots or any combination of
    these.
  - Display the formula together with the graph of a function.

Package: libjlayer-java
Description-md5: 13ce5b4cd0705a6c70d3c8e46efa5c3f
Description-en: library to decode, convert and play MP3 files
 JAVA library that decodes, converts and plays MP3 files in
 real-time. JLayer supports MPEG 1/2/2.5 Layer 1/2/3 audio
 format. JLayer doesn't need JMF. It runs under J2SE.

Package: libjlayer-java-doc
Description-md5: 715c754081fd97a613feba8633cfd4df
Description-en: library to decode, convert and play MP3 files - documentation
 JAVA library that decodes, converts and plays MP3 files in
 real-time. JLayer supports MPEG 1/2/2.5 Layer 1/2/3 audio
 format. JLayer doesn't need JMF. It runs under J2SE.
 .
 This package contains API documentation (Javadoc) of JLayer.

Package: libjlha-java
Description-md5: fa4573a3a3d0e18c8b2fe30727f19f9e
Description-en: LHA compress/decompress library for Java
 This package is a library that supports reading and writing an archive file
 that created by LHA. This package has interfaces like the java.util.zip
 package. Many Java programmers can operate easily an archive file that
 created by LHA.

Package: libjlha-java-doc-ja
Description-md5: 8e4f2aa5e6c4d00c06af1db39b9caf5b
Description-en: Japanese documentation for libjlha-java, the LHA library for Java
 This package is a library that supports reading and writing an archive file
 that created by LHA. This package has interfaces like the java.util.zip
 package. Many Java programmers can operate easily an archive file that
 created by LHA.
 .
 This package includes the API javadoc written in Japanese.

Package: libjlibeps-java
Description-md5: 72aaa57e26dd6a1c8d9855e639dce28a
Description-en: Java library to create EPS images
 The jlibeps classes are a set of Java classes for creating EPS images.
 .
 They are suitable for creating high quality EPS graphics for use
 in documents and papers, and can be used just like a standard Graphics2D
 object within Java applications that are using AWT.
 .
 jlibeps is a fork of the last GPL version of the EpsGraphics2D package
 from jibble.org.

Package: libjlibeps-java-doc
Description-md5: 18c8337f53fb071bd917a1d46b43d79d
Description-en: API documentation for jlibeps Java library to create EPS images
 The jlibeps classes are a set of Java classes for creating EPS images.
 .
 This package contains the API documentation for the library.
 .
 See the package libjlibeps-java for further information.

Package: libjline-java
Description-md5: 34d3ea50796aa57cc64f8964246c9cca
Description-en: Java library for handling console input
 JLine is a 100% pure Java library for reading and editing console input.
 It is similar in functionality to BSD editline and GNU readline.  People
 familiar with the readline/editline capabilities for modern shells will
 find most of the command editing features of JLine to be familiar.

Package: libjline-java-doc
Description-md5: 38d89d769683a46981f3fb4b0799a946
Description-en: Java library for handling console input - documentation
 JLine is a 100% pure Java library for reading and editing console input.
 It is similar in functionality to BSD editline and GNU readline.  People
 familiar with the readline/editline capabilities for modern shells will
 find most of the command editing features of JLine to be familiar.
 .
 This package contains the documentation for JLine.

Package: libjline2-java
Description-md5: f6e337880b76593f58f7207f422ec16e
Description-en: console input handling in Java
 JLine is a Java library for handling console input. It is similar in
 functionality to BSD editline and GNU readline. People familiar with the
 readline/editline capabilities for modern shells (such as bash and tcsh) will
 find most of the command editing features of JLine to be familiar.
 .
 Jline2 suppors the current features:
 .
  * Command history - Lines that have been previously entered may be recalled
  and edited and can be persisted so that they are available across sessions
  of your program.
  * Line editing - JLine allows full editing of the current command line and
  attempts to mimic as much of the behavior of GNU Readline as possible,
  including support for both emacs and vi key mappings.
  * Completion - JLine provides a pluggable mechanism for implementing
  command line tab completion (of course completion can be bound to any key
  you wish).
  * Custom Key bindings - Keys may be arbitrarily remapped to perform a
  specific action, and JLine attempts to honor any mapping that is set in
  your existing readline .inputrc file.
  * Character Masking - Input may be gathered from the user without any
  visual feedback. This is useful for prompting for passwords.
  * 99.99% Java - The vast portion of JLine is all Java, using only some
  small bit of native code, provided by the Jansi project, to support
  Windows.

Package: libjloda-java
Description-md5: 0b421c2ef8ed99561d8917a8ffe6f189
Description-en: Java library of data structures and algorithms for bioinformatics
 The jloda Java library provides some basic data structures and
 algorithms used by bioinformatics applications like SplitsTree,
 Dendroscope and MEGAN.

Package: libjmac-java
Description-md5: e7a9c067c9583a4176c03d1e60e88c0f
Description-en: Open Source Java Monkey's Audio Decoder/Encoder/JavaSound SPI
 JMAC is a Java implementation of Monkey's Audio Compression
 decoder. JMAC is a Java library that decodes, converts and plays
 Monkey's Audio files (.MAC, .APL, .APE) in real time. JMAC doesn't
 need JMF. It runs under J2SE.

Package: libjmagick6-java
Description-md5: f06f407e59ce6bfad83979b0b24630ee
Description-en: Java interface to ImageMagick
 JMagick is an open source Java interface of ImageMagick.  It is
 implemented in the form of Java Native Interface (JNI) into the
 ImageMagick API.
 .
 JMagick does not attempt to make the ImageMagick API
 object-oriented. It is merely a thin interface layer into the
 ImageMagick API.
 .
 JMagick currently only implements a subset of ImageMagick APIs.
 Should you require unimplemented features in JMagick, please join the
 mailing list and make a request.

Package: libjmagick6-jni
Description-md5: 4baa05ce6e0e62102660cf194f3badc1
Description-en: Java interface to ImageMagick (native library)
 JMagick is an open source Java interface of ImageMagick.  It is
 implemented in the form of Java Native Interface (JNI) into the
 ImageMagick API.
 .
 JMagick does not attempt to make the ImageMagick API
 object-oriented. It is merely a thin interface layer into the
 ImageMagick API.
 .
 JMagick currently only implements a subset of ImageMagick APIs.
 Should you require unimplemented features in JMagick, please join the
 mailing list and make a request.
 .
 This package contains the native interface to ImageMagick.  It is
 loaded at runtime into the Java Virtual Machine (JVM).

Package: libjmdns-java
Description-md5: d9f017cf20fa912ad5176612c6f14334
Description-en: Java implementation of multi-cast DNS (Apple Rendezvous)
 JmDNS is a Java implementation of the IETF draft RFP multicast extensions for
 DNS.
 .
 This project contains the needed elements for service discovery and service
 registration.
 .
 It is compatible with Apple's Rendezvous service.

Package: libjmdns-java-doc
Description-md5: 7d86c3c61d2de89b2935150d53f10527
Description-en: Documentation for Java implementation of multi-cast DNS
 JmDNS is a Java implementation of the IETF draft RFP multicast extensions for
 DNS.
 .
 This project contains the needed elements for service discovery and service
 registration.
 .
 It is compatible with Apple's Rendezvous service.
 .
 This package contains the documentation and javadoc for JmDNS.

Package: libjmock-java
Description-md5: c071f1edf59721273bfd24b2c3751e39
Description-en: Java library for testing code with mock objects
 JMock is a library that supports test-driven development of Java code
 with mock objects.
 .
 Mock objects help you design and test the interactions between the objects in
 your programs.

Package: libjmock-java-doc
Description-md5: f8d075fa2038dc8143f89095a88e1513
Description-en: Java library for testing code with mock objects - documentation
 JMock is a library that supports test-driven development of Java code
 with mock objects.
 .
 Mock objects help you design and test the interactions between the objects in
 your programs.
 .
 This package provides javadocs for JMock library.

Package: libjmock2-java
Description-md5: 23139195c4afb8815bd61d474737ff9b
Description-en: Java library for testing code with mock objects
 Mock objects help you design and test the interactions between the objects in
 your programs.
 The jMock library:
  * makes it quick and easy to define mock objects, so you don't break the
    rhythm of programming.
  * lets you precisely specify the interactions between your objects, reducing
    the brittleness of your tests.
  * works well with the autocompletion and refactoring features of your IDE
  * plugs into your favourite test framework
  * is easy to extend.

Package: libjmock2-java-doc
Description-md5: d7fc6eb4f5a635f253629d4234017d8e
Description-en: Documentation for jmock2
 Mock objects help you design and test the interactions between the objects in
 your programs.
 The jMock library:
  * makes it quick and easy to define mock objects, so you don't break the
    rhythm of programming.
  * lets you precisely specify the interactions between your objects, reducing
    the brittleness of your tests.
  * works well with the autocompletion and refactoring features of your IDE
  * plugs into your favourite test framework
  * is easy to extend.
 .
 This is the API documentation for jmock2

Package: libjmol-java
Description-md5: aa39bdf5ece9f34f3721bf17d543525c
Description-en: Java library for molecular structures
 Jmol is a Java molecular viewer for three-dimensional chemical structures.
 Features include reading a variety of file types and output from quantum
 chemistry programs, and animation of multi-frame files and computed normal
 modes from quantum programs.  It includes with features for chemicals,
 crystals, materials and biomolecules.  Jmol might be useful for students,
 educators, and researchers in chemistry and biochemistry.
 .
 This package contains the Jmol Java libraries.

Package: libjmol-java-doc
Description-md5: f873a868c9ca55631f9ca92623089ea0
Description-en: API documentation for libjmol-java
 Jmol is a Java molecular viewer for three-dimensional chemical structures.
 Features include reading a variety of file types and output from quantum
 chemistry programs, and animation of multi-frame files and computed normal
 modes from quantum programs.  It includes with features for chemicals,
 crystals, materials and biomolecules.  Jmol might be useful for students,
 educators, and researchers in chemistry and biochemistry.
 .
 This package comprises the javadoc documentation for the libjmol-java package.

Package: libjna-java
Description-md5: f5f6493a4373302410c954176de107b3
Description-en: Dynamic access of native libraries from Java without JNI
 JNA provides Java programs easy access to native shared libraries without
 writing anything but Java code - no JNI or native code is required. This
 functionality is comparable to Windows' Platform/Invoke and Python's ctypes.
 Access is dynamic at runtime without code generation.
 .
 JNA's design aims to provide native access in a natural way with a minimum of
 effort. No boilerplate or generated code is required. While some attention is
 paid to performance, correctness and ease of use take priority.
 .
 The JNA library uses a small native library stub to dynamically invoke native
 code. The developer uses a Java interface to describe functions and structures
 in the target native library. This makes it quite easy to take advantage of
 native platform features without incurring the high overhead of configuring and
 building JNI code for multiple platforms.

Package: libjna-java-doc
Description-md5: dc632000c10a8797ee4178e5c2c6292c
Description-en: Dynamic access of native libraries from Java without JNI (documentation)
 JNA provides Java programs easy access to native shared libraries without
 writing anything but Java code - no JNI or native code is required. This
 functionality is comparable to Windows' Platform/Invoke and Python's ctypes.
 Access is dynamic at runtime without code generation.
 .
 This package contains the Javadoc API documentation.

Package: libjna-jni
Description-md5: e245e4eeeea13a088c07acc47c65010c
Description-en: Dynamic access of native libraries from Java without JNI (native libs)
 JNA provides Java programs easy access to native shared libraries without
 writing anything but Java code - no JNI or native code is required. This
 functionality is comparable to Windows' Platform/Invoke and Python's ctypes.
 Access is dynamic at runtime without code generation.
 .
 This package contains the native libraries for libjna-java

Package: libjna-platform-java
Description-md5: 1a910b2665eb5ba703c6a1b70563555b
Description-en: Dynamic access of native libraries from Java without JNI (platform extension)
 JNA provides Java programs easy access to native shared libraries without
 writing anything but Java code - no JNI or native code is required. This
 functionality is comparable to Windows' Platform/Invoke and Python's ctypes.
 Access is dynamic at runtime without code generation.
 .
 This package contains the platform library with many native functions already
 mapped as well as a set of utility interfaces that simplify native access.

Package: libjni-inchi-java
Description-md5: 45d4445001eba306a15c15ecb5311523
Description-en: Java Native Interface wrapper for InChI
 JNI-InChI provides JNI (Java Native Interface) wrappers for the InChI
 (International Chemical Identifier) C library distributed by IUPAC.
 All of the features from the InChI libarary are supported:
 .
  * Standard and Non-Standard InChI generation from structures with 3D, 2D,
    or no coordinates
  * Structure generation (without coordinates) from InChI
  * InChIKey generation
  * Check InChI / InChIKey
  * InChI-to-InChI conversion
  * AuxInfo to InChI input
  * Access to the full range of options supported by InChI
  * Full support for InChI's handling of stereochemistry

Package: libjni-inchi-jni
Description-md5: dc0207a1cf25ebbff3fa721107fe0a1c
Description-en: Java Native Interface wrapper for InChI (shared library)
 JNI-InChI provides JNI (Java Native Interface) wrappers for the InChI
 (International Chemical Identifier) C library distributed by IUPAC.
 All of the features from the InChI libarary are supported:
 .
  * Standard and Non-Standard InChI generation from structures with 3D, 2D,
    or no coordinates
  * Structure generation (without coordinates) from InChI
  * InChIKey generation
  * Check InChI / InChIKey
  * InChI-to-InChI conversion
  * AuxInfo to InChI input
  * Access to the full range of options supported by InChI
  * Full support for InChI's handling of stereochemistry
 .
 This package contains the shared library.

Package: libjnlp-servlet-java
Description-md5: f5d6c8723a0eb6eaf315bd88dc646da0
Description-en: simple and convenient packaging format for JNLP applications
 JNLPDownloadServlet can be used to package a JNLP file and its associated
 resources in a Web Archive (.war) file. The purpose of the servlet is to
 provide a simple and convenient packaging format for JNLP applications, so
 they can be easily deployed in a Web Container, such as Tomcat or a
 J2EE-compliant Application Server.

Package: libjnr-constants-java
Description-md5: 5ba70c728aa69d1386ffaa2cc955eb3c
Description-en: platform constants for Java
 Java Native Runtime (JNR) is a collection of Java libraries to make
 interfacing with OS-level features easier. JNR uses an alternate
 method to JNI or JNA to achieve programming simplicity while still
 retaining performance.
 .
 The jnr-constants package gives Java programs access to platform-level
 constants, for example errno values.

Package: libjnr-constants-java-doc
Description-md5: 10745df182c0bf4dabbcb0ca45d31ed3
Description-en: platform constants for Java - API documentation
 Java Native Runtime (JNR) is a collection of Java libraries to make
 interfacing with OS-level features easier. JNR uses an alternate
 method to JNI or JNA to achieve programming simplicity while still
 retaining performance.
 .
 The jnr-constants package gives Java programs access to platform-level
 constants, for example errno values.
 .
 This package contains jnr-constants API javadocs.

Package: libjnr-enxio-java
Description-md5: 6c6b2faff0e42638b120192e8a493a45
Description-en: Java extended native cross-platform I/O library
 Java Native Runtime (JNR) is a collection of Java libraries to make
 interfacing with OS-level features easier. JNR uses an alternate
 method to JNI or JNA to achieve programming simplicity while still
 retaining performance.
 .
 The jnr-enxio package mimics the standard Java non-blocking I/O (NIO)
 library by implementing an I/O backend based on calls to the underlying
 native OS-level functions. This is implementing using the jnr-ffi
 foreign function interface.

Package: libjnr-enxio-java-doc
Description-md5: fea6d28412b441b82ff4996365c4d855
Description-en: Java extended native cross-platform I/O library (documentation)
 Java Native Runtime (JNR) is a collection of Java libraries to make
 interfacing with OS-level features easier. JNR uses an alternate
 method to JNI or JNA to achieve programming simplicity while still
 retaining performance.
 .
 The jnr-enxio package mimics the standard Java non-blocking I/O (NIO)
 library by implementing an I/O backend based on calls to the underlying
 native OS-level functions. This is implementing using the jnr-ffi
 foreign function interface.
 .
 This package contains the API documentation of libjnr-enxio.

Package: libjnr-ffi-java
Description-md5: 0feeb009cf8996ec584a18541c233d98
Description-en: Java library for loading native libraries without writing JNI code
 Java Native Runtime (JNR) is a collection of Java libraries to make
 interfacing with OS-level features easier. JNR uses an alternate
 method to JNI or JNA to achieve programming simplicity while still
 retaining performance.
 .
 The jnr-ffi package is a set of abstractions that build on the lower-level
 libraries implemented by the jffi package. jnr-ffi allows one to load
 native libraries without writing JNI code by hand, or using tools
 such as SWIG.

Package: libjnr-ffi-java-doc
Description-md5: 2f82126ecc8b688ba87c6bf014753799
Description-en: Documentation for libjnr-ffi-java
 Java Native Runtime (JNR) is a collection of Java libraries to make
 interfacing with OS-level features easier. JNR uses an alternate
 method to JNI or JNA to achieve programming simplicity while still
 retaining performance.
 .
 The jnr-ffi package is a set of abstractions that build on the lower-level
 libraries implemented by the jffi package. jnr-ffi allows one to load
 native libraries without writing JNI code by hand, or using tools
 such as SWIG.
 .
 This package contains the API documentation of jnr-ffi library.

Package: libjnr-netdb-java
Description-md5: 396c3d4930d337c36bf6e0b4ae97cf74
Description-en: Lookup TCP and UDP services from java
 jnr-netdb is a java interface to getservbyname(3), getservbyport(3).
 .
 It tries to use the native functions if possible, falling back to parsing
 /etc/services directly, and finally to an inbuilt table for use in
 environments where neither native code, nor filesystem access is possible.

Package: libjnr-netdb-java-doc
Description-md5: 1a5d7e5804a73c702a1f77d8200ed86a
Description-en: Documentation for jnr-netdb
 jnr-netdb is a java interface to getservbyname(3), getservbyport(3).
 .
 It tries to use the native functions if possible, falling back to parsing
 /etc/services directly, and finally to an inbuilt table for use in
 environments where neither native code, nor filesystem access is possible.
 .
 This package contains the API documentation of libjnr-netdb-java.

Package: libjnr-posix-java
Description-md5: 30ed9730abd06236b2e3590c90136e1a
Description-en: basic POSIX-like functions for Java
 This package is a Java library providing access to various
 POSIX functions like chmod(2), link(2) or getuid(2) through
 dynamic loading of native libraries with JNA.

Package: libjnr-posix-java-doc
Description-md5: 61833b323b8c89d21febff83e1d23a25
Description-en: Java JNR-POSIX library API documentation
 This package includes the development documentation for the
 JNR-POSIX library which provides access to various POSIX
 functions like chmod(2), link(2) or getuid(2) through
 dynamic loading of native libraries with JNA.

Package: libjnr-unixsocket-java
Description-md5: e7b34595d2b1c0b757853f1df9a8b828
Description-en: Java access to native libraries for unix sockets
 Java Native Runtime (JNR) is a collection of Java libraries to make
 interfacing with OS-level features easier.  JNR uses an alternate
 method to JNI or JNA to achieve programming simplicity while still
 retaining performance.
 .
 The jnr-unixsocket package provides access in Java to the unix domain
 socket versions of socket(), listen(), bind(), accept(), connect() and
 others via the native OS libraries.

Package: libjnr-unixsocket-java-doc
Description-md5: 73c39ad0184f693fd5f780f81a1f2004
Description-en: Java access to native libraries for unix sockets (documentation)
 Java Native Runtime (JNR) is a collection of Java libraries to make
 interfacing with OS-level features easier.  JNR uses an alternate
 method to JNI or JNA to achieve programming simplicity while still
 retaining performance.
 .
 The jnr-unixsocket package provides access in Java to the unix domain
 socket versions of socket(), listen(), bind(), accept(), connect() and
 others via the native OS libraries.
 .
 This package contains the API documentation of jnr-unixsocket.

Package: libjnr-x86asm-java
Description-md5: a6883091abf3f792059eea306f822e3b
Description-en: Pure java x86 and x86_64 assembler
 This is a pure-java port of asmjit.
 .
 AsmJit is complete x86/x64 JIT-Assembler for C++ language. It supports
 32/64-bit x86 processors including all usable extensions (FPU, MMX, 3dNow, SSE,
 SSE2, SSE3 and SSE4) through type-safe API that mimics Intel assembler syntax
 and eliminates nearly all common mistakes that can be done by developers.
 .
 AsmJit contains also high-level code generation classes that can be used as a
 portable way to create JIT code that should run on all supported architectures.
 High-level classes can handle various function calling-conventions, register
 allocation and 32/64-bit mode differences.
 .
 AsmJit has been successfully tested by various C++ compilers (including MSVC,
 GCC and BorlandC++) under all major operating systems (including Windows, Linux
 and MacOS). AsmJit has been also ported to other programming languages
 including Java, Delphi and Smalltalk (although these ports are not official
 part of AsmJit and was developed outside).

Package: libjoda-convert-java
Description-md5: d9e87d6043c0572e2a00eb9691ae9e02
Description-en: Joda Convert - Java API to convert Objects to and from Strings
 Joda-Convert provides a small set of classes to aid conversion between Objects
 and Strings. It is not intended to tackle the wider problem of Object to
 Object transformation. Joda-Convert supports two mechanisms of extending the
 list of supported conversions. The first is to write your own converter
 implementing an interface. The second is to use annotations.

Package: libjoda-convert-java-doc
Description-md5: f46e4d704d0f0a39ebeee4c7ef98dc89
Description-en: Documentation for Joda Convert
 Joda-Convert provides a small set of classes to aid conversion between Objects
 and Strings. It is not intended to tackle the wider problem of Object to
 Object transformation. Joda-Convert supports two mechanisms of extending the
 list of supported conversions. The first is to write your own converter
 implementing an interface. The second is to use annotations.
 .
 This package contains the API documentation of libjoda-convert-java.

Package: libjoda-time-java
Description-md5: 218f7964e3e46dc9aeac50b9d43b1aef
Description-en: Java date and time API
 Joda-Time provides a quality replacement for the Java date and time
 classes. The design allows for multiple calendar systems, while still
 providing a simple API. The 'default' calendar is the ISO8601 standard
 which is used by XML. The Gregorian, Julian, Buddhist, Coptic, Ethiopic
 and Islamic systems are also included. Supporting classes include time
 zone, duration, format and parsing.

Package: libjoda-time-java-doc
Description-md5: 15002869aad84ff1ad026304cc932891
Description-en: Java date and time API (developer documentation)
 Joda-Time provides a quality replacement for the Java date and time
 classes. The design allows for multiple calendar systems, while still
 providing a simple API. The 'default' calendar is the ISO8601 standard
 which is used by XML. The Gregorian, Julian, Buddhist, Coptic, Ethiopic
 and Islamic systems are also included. Supporting classes include time
 zone, duration, format and parsing.
 .
 This package contains the developer documentation.

Package: libjodconverter-java
Description-md5: 643c19ba0ecaf7f701216da65950440d
Description-en: Office formats converter - Library
 JODConverter, the Java OpenDocument Converter, leverages LibreOffice to
 provide import/export filters for various office formats including OpenDocument
 and Microsoft Office.
 .
 This package provides a java library to run JODConverter.

Package: libjodconverter-java-doc
Description-md5: f89c76a0f4c7c821d9b22521ce3151b8
Description-en: Office formats converter - Library documentation
 JODConverter, the Java OpenDocument Converter, leverages LibreOffice to
 provide import/export filters for various office formats including OpenDocument
 and Microsoft Office.
 .
 This package provides the documentation for the java library.

Package: libjodd-java
Description-md5: 29adaa4fb8b2a16e6c36234ee5edae0f
Description-en: Java utility library and set of frameworks
 Jodd is an open-source Java utility library and set of frameworks. Jodd
 tools enriches JDK with many powerful and feature rich utilities. It helps
 with everyday task, makes code more robust and reliable. Jodd frameworks
 is set of lightweight application frameworks, compact yet powerful. Designed
 following the CoC, DRY and SCS principles, it makes development simple,
 but not simpler.

Package: libjodreports-java
Description-md5: 37c7956e36c6a8cf8ce557b4617b583f
Description-en: Merge OpenDocument text with data - Library
 JODReports, Java OpenDocument Reports, is a solution for creating office
 documents and reports in OpenDocument Text format from templates that can
 be visually composed using the OpenOffice.org Writer word processor.
 .
 This package provides a java library to run JODReports.

Package: libjodreports-java-doc
Description-md5: 87f3872692281c7da1312f9bb859e626
Description-en: Merge OpenDocument text with data - Library documentation
 JODReports, Java OpenDocument Reports, is a solution for creating office
 documents and reports in OpenDocument Text format from templates that can
 be visually composed using the OpenOffice.org Writer word processor.
 .
 This package provides the documentation for the java library.

Package: libjogl2-java
Description-md5: 15e3cd05fdd450f12ee1b5fd6f8d1a5e
Description-en: Java bindings for OpenGL API
 The JOGL project hosts the development version of the Java Bindings for
 OpenGL (JSR-231), and is designed to provide hardware-supported 3D graphics
 to applications written in Java. JOGL is not the official reference
 implementation of the JSR, but an evolving workspace; snapshots are taken
 from this workspace which become the official reference implementations of
 the JSR.
 .
 JOGL provides full access to the APIs in the OpenGL 1.3 - 3.0, 3.1 - 3.3,
 >= 4.0, ES 1.x and ES 2.x specification as well as nearly all vendor
 extensions. It integrates with the AWT and Swing widget sets, as well with
 custom windowing toolkits using the NativeWindow API. It is part of a
 suite of open-source technologies initiated by the Game Technology Group at
 Sun Microsystems.

Package: libjogl2-java-doc
Description-md5: 299ce5fdfe533107685eafdf527f9ab3
Description-en: Documentation for the Java bindings for OpenGL
 The JOGL project hosts the development version of the Java Bindings for
 OpenGL (JSR-231), and is designed to provide hardware-supported 3D graphics
 to applications written in Java. JOGL is not the official reference
 implementation of the JSR, but an evolving workspace; snapshots are taken
 from this workspace which become the official reference implementations of
 the JSR.
 .
 JOGL provides full access to the APIs in the OpenGL 2.0 specification as
 well as nearly all vendor extensions, and integrates with the AWT and Swing
 widget sets.
 .
 This package includes the API documentation for JOGL package.

Package: libjogl2-jni
Description-md5: ee4b69f3d84b67ee42501cc037d2c420
Description-en: Java bindings for OpenGL API (JNI lib)
 The JOGL project hosts the development version of the Java Bindings for
 OpenGL (JSR-231), and is designed to provide hardware-supported 3D graphics
 to applications written in Java. JOGL is not the official reference
 implementation of the JSR, but an evolving workspace; snapshots are taken
 from this workspace which become the official reference implementations of
 the JSR. JOGL is part of a suite of open-source technologies initiated by
 the Game Technology Group at Sun Microsystems.
 .
 JOGL provides full access to the APIs in the OpenGL 2.0 specification as
 well as nearly all vendor extensions, and integrates with the AWT and Swing
 widget sets.

Package: libjogl2-toolkits
Description-md5: 77ed47108ef0017c4d0e87d47f46568e
Description-en: Toolkits to play with JOGL2
 The JOGL project hosts the development version of the Java Bindings for
 OpenGL (JSR-231), and is designed to provide hardware-supported 3D graphics
 to applications written in Java. JOGL is not the official reference
 implementation of the JSR, but an evolving workspace; snapshots are taken
 from this workspace which become the official reference implementations of
 the JSR.
 .
 JOGL provides full access to the APIs in the OpenGL 1.3 - 3.0, 3.1 - 3.3,
 >= 4.0, ES 1.x and ES 2.x specification as well as nearly all vendor
 extensions. It integrates with the AWT and Swing widget sets, as well with
 custom windowing toolkits using the NativeWindow API. It is part of a
 suite of open-source technologies initiated by the Game Technology Group at
 Sun Microsystems.
 .
 This package includes JOGL toolkits.

Package: libjoint-state-listener0d
Description-md5: d7a37a00f4899f70b4e350ed21035a66
Description-en: Robot OS robot_state_publisher listener library
 This package is part of Robot OS (ROS). This package allows you to publish the
 state of a robot to tf. Once the state gets published, it is available to all
 components in the system that also use tf. The package takes the joint angles
 of the robot as input and publishes the 3D poses of the robot links, using a
 kinematic tree model of the robot.
 .
 This package contains the joint-state-listener library.

Package: libjopendocument-java
Description-md5: 6a40efb23d46bbb558d2d913e692227c
Description-en: pure Java library for OASIS Open Document files manipulation
 jOpenDocument is a free library for developers looking to use Open Document
 files without OpenOffice.org.
 .
 You can automate the document creation and manipulation process. You can use
 jOpenDocument to:
 .
    - Generate dynamic documents from Java, XML or databases
    - Display and print files with built-in viewers
    - Split, concatenate, and manipulate pages
    - Automate filling out of template (created by OpenOffice or not)
    - Use your preferred language via standard ScriptEngine interface
    - And much more...

Package: libjoptsimple-java
Description-md5: 2f4c592514b17bb51776886e4f32fded
Description-en: Command line parsing java library
 JOpt Simple is a Java library for parsing command line options, such as
 those you might pass to an invocation of javac.
 .
 In the interest of striving for simplicity, as closely as possible JOpt
 Simple attempts to honor the command line option syntaxes of POSIX
 getopt() and GNU getopt_long() . It also aims to make option parser
 configuration and retrieval of options and their arguments simple and
 expressive, without being overly clever.

Package: libjoptsimple-java-doc
Description-md5: c8883d5fb55cecb8d52a84e7e41bc3a5
Description-en: Command line parsing java library - Documentation
 JOpt Simple is a Java library for parsing command line options, such as
 those you might pass to an invocation of javac.
 .
 In the interest of striving for simplicity, as closely as possible JOpt
 Simple attempts to honor the command line option syntaxes of POSIX
 getopt() and GNU getopt_long() . It also aims to make option parser
 configuration and retrieval of options and their arguments simple and
 expressive, without being overly clever.
 .
 This package contains the javadoc documentation.

Package: libjorbis-java
Description-md5: 9e64444eb7e6c7a228eb5c0b38b44417
Description-en: Pure Java Ogg Vorbis Decoder
 JOrbis is a pure Java Ogg Vorbis decoder. JOrbis accepts Ogg Vorbis
 bitstreams and decodes them to raw PCM.

Package: libjose-dev
Description-md5: b2b92917c4bd6080c48543fc686c393a
Description-en: Javascript Object Signing and Encryption (José) - development files
 This package provides the development files for José, a C-language
 implementation of the Javascript Object Signing and Encryption
 standards.

Package: libjose0
Description-md5: d4912caf23c5d7a568b6a9e0156f2181
Description-en: Javascript Object Signing and Encryption (José) - library
 This package provides the shared library for José, a C-language
 implementation of the Javascript Object Signing and Encryption
 standards.

Package: libjosql-java
Description-md5: 3470d1394c3c83ceb7b528ede464969b
Description-en: library to apply SQL-like syntax to Java objects
 JoSQL (SQL for Java Objects) provides the ability for a developer to apply
 a SQL statement to a collection of Java Objects. JoSQL provides the ability
 to search, order and group ANY Java objects and should be applied when you
 want to perform SQL-like queries on a collection of Java Objects.

Package: libjpa-2.1-spec-java
Description-md5: 7344dfd72b6acd740cdd781f45e2b389
Description-en: JPA Spec 2.1 OSGi Bundle
 The Java Persistence API, sometimes referred to as JPA, is a Java
 programming language specification which describes the management of
 relational data in applications using Java Platform, Standard Edition
 and Java Platform, Enterprise Edition. Version 2.1 of the API is
 defined in JSR-338, and this package contains the API of that
 specification.

Package: libjpathwatch-java
Description-md5: 87317b4e5646d12ec3db56af84fdec0f
Description-en: Java library that monitors directories for changes
 jpatchwatch is a Java library for monitoring directories for changes. It uses
 the native OS functions to achieve this, avoiding polling.
 .
 The following events on a directory can be monitored:
 .
  * file creation and deletion
  * file modification
  * file renaming
  * changes in subdirectories (recursive monitoring)
  * invalidation (a watched directory becomes unavailable)

Package: libjpathwatch-java-doc
Description-md5: d243f1006b2ae6eb9dfb136bc76e3520
Description-en: Java library that monitors directories for changes (documentation)
 jpatchwatch is a Java library for monitoring directories for changes. It uses
 the native OS functions to achieve this, avoiding polling.
 .
 This package contains the Javadoc API documentation.

Package: libjpathwatch-java-jni
Description-md5: 91d02631b257f157d7089023e8d32467
Description-en: Java library that monitors directories for changes (JNI)
 jpatchwatch is a Java library for monitoring directories for changes. It uses
 the native OS functions to achieve this, avoiding polling.
 .
 This package contains architecture specific JNI libraries.

Package: libjpedal-jbig2-java
Description-md5: d64bd07e6999b71c5772ae0ecae1dd3b
Description-en: library for accession of large images
 The JPedal JBIG2 Image Decoder is a 100% pure Java image decoder for
 the JBIG2 file format. The decoder takes the JBIG2 image processing
 technology developed for the JPedal PDF renderer and makes it available
 as a generic library for more general usage.
 .
 It offers the ability to allow developers to add JBIG2 image rendering
 capabilities to their own applications, through a simple and easy to
 use API. In its simplest form it allows developers to load in a JBIG2
 encoded datastream and convert that into a BufferedImage.

Package: libjpeg-progs
Description-md5: 18811a14939d885ee3988abf604b36d8
Description-en: Programs for manipulating JPEG files
 This package contains programs for manipulating JPEG files:
  cjpeg/djpeg: convert to/from the JPEG file format
  rdjpgcom/wrjpgcom: read/write comments in JPEG files
  jpegtran: lossless transformations of JPEG files
  jpegexiforient/exifautotran: manipulate EXIF orientation tag

Package: libjpeg-tools
Description-md5: af38728c51c9483d2ea89afc5fe370df
Description-en: Complete implementation of 10918-1 (JPEG)
 A complete implementation of 10918-1 (JPEG) coming from jpeg.org (the ISO
 group) with extensions for HDR currently discussed for standardization.
 .
 This release also includes the "JPEG on Steroids" improvements implemented for
 the ICIP 2016 Grand Challenge on Image Compression.

Package: libjpeg-turbo-progs
Description-md5: dfce6e50364eecf2b131ddecddf04830
Description-en: Programs for manipulating JPEG files
 This package contains programs for manipulating JPEG files:
  cjpeg/djpeg: convert to/from the JPEG file format
  rdjpgcom/wrjpgcom: read/write comments in JPEG files
  jpegtran: lossless transformations of JPEG files
  tjbench: a simple JPEG benchmarking tool

Package: libjpeg-turbo-test
Description-md5: 1ebb5427395c3fb2a304b46232dff93e
Description-en: Program for benchmarking and testing libjpeg-turbo
 This package contains tjunittest and tjbench.  tjunittest tests the basic
 functionality of the library and data files for performing those tests.
 tjbench runs some benchmarks.

Package: libjpeg62
Description-md5: 53d80d990dac853780cc2c973a60e680
Description-en: Independent JPEG Group's JPEG runtime library (version 6.2)
 The Independent JPEG Group's JPEG library is a library for handling
 JPEG files.
 .
 This package contains the shared library for version 6.2.

Package: libjpeg62-dev
Description-md5: 754ff6d7596fa7d0dad4124be6dd4ac1
Description-en: Development files for the IJG JPEG library (version 6.2)
 The Independent JPEG Group's JPEG library is a library for handling
 JPEG files.
 .
 This package contains the static library, headers and documentation
 for version 6.2.

Package: libjpeg9
Description-md5: 4ca10faa4517c5d362c9d51d75d7a85c
Description-en: Independent JPEG Group's JPEG runtime library
 The Independent JPEG Group's JPEG library is a library for handling
 JPEG files.
 .
 This package contains the shared library.

Package: libjpeg9-dev
Description-md5: d25e4fedcfe5ade5e441d4bc95a4a0d1
Description-en: Development files for the IJG JPEG library
 The Independent JPEG Group's JPEG library is a library for handling
 JPEG files.
 .
 This package contains the static library, headers and documentation.

Package: libjpf-java
Description-md5: 6ea44b708f051173bb89fe5c8b572ff0
Description-en: Java Plugin Framework: plug-in infrastructure library for Java projects
 JPF provides a runtime engine that dynamically discovers and loads
 "plug-ins". A plug-in is a structured component that describes itself to JPF
 using a "manifest". JPF maintains a registry of available plug-ins and the
 functions they provide (via extension points and extensions).
 .
 One major goal of JPF is that the application (and its end-user) should not
 pay any memory or performance penalty for plug-ins that are installed, but
 not used. Plug-ins are added to the registry at application start-up or
 while the application is running but they are not loaded until they are
 called.

Package: libjpfcodegen-java
Description-md5: f59af66d45cad70b3a719390643c1e98
Description-en: tool for generating classes from JPF plug-ins
 JPF Code Generator is a handy little tool that generates classes for
 accessing the attributes and extensions of JPF plug-ins from plugin.xml
 files. This has the advantage of providing a strongly typed access to the
 plug-in and simplyfies working with plug-ins.

Package: libjpgalleg4-dev
Description-md5: cf1110d9493c80ae0219cc34674e1ef4
Description-en: development files for the JPG loading addon for Allegro 4
 This package contains the development headers for libjpgalleg.
 .
 This add-on for Allegro will allow you to load and save JPG images using
 standard Allegro image handling functions as if they were normal bitmaps.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: libjpgalleg4.4
Description-md5: 0236f0e177c928689a23d19f083cbac5
Description-en: JPG loading addon for Allegro 4
 This add-on for Allegro will allow you to load and save JPG images using
 standard Allegro image handling functions as if they were normal bitmaps.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: libjq-dev
Description-md5: 75f11486f90a41e9aa2449a3206f9929
Description-en: lightweight and flexible command-line JSON processor - development files
 jq is like sed for JSON data – you can use it to slice
 and filter and map and transform structured data with
 the same ease that sed, awk, grep and friends let you
 play with text.
 .
 It is written in portable C, and it has minimal runtime
 dependencies.
 .
 jq can mangle the data format that you have into the
 one that you want with very little effort, and the
 program to do so is often shorter and simpler than
 you’d expect.
 .
 This package contains the development files.

Package: libjq1
Description-md5: 1b50448818fdb22f62b863763d79f7a1
Description-en: lightweight and flexible command-line JSON processor - shared library
 jq is like sed for JSON data – you can use it to slice
 and filter and map and transform structured data with
 the same ease that sed, awk, grep and friends let you
 play with text.
 .
 It is written in portable C, and it has minimal runtime
 dependencies.
 .
 jq can mangle the data format that you have into the
 one that you want with very little effort, and the
 program to do so is often shorter and simpler than
 you’d expect.
 .
 This package contains the shared library.

Package: libjreen-qt5-1
Description-md5: 5b8931dd8b88698a8c71ca4cb9cb3c0d
Description-en: powerful Jabber/XMPP library implemented in Qt5/C++
 Jreen is a lightweight XMPP library, implementing a large amount
 of protocol extensions (XEP). It is fit with Qt coding paradigms,
 so a Qt5 application developer can easily use any XMPP features.

Package: libjreen-qt5-dbg
Description-md5: 9296418554545406bb2922c2849b9d5d
Description-en: powerful Jabber/XMPP library (Qt5 build) - debugging symbols
 Jreen is a lightweight XMPP library, implementing a large amount
 of protocol extensions (XEP). It is fit with Qt coding paradigms,
 so a Qt5 application developer can easily use any XMPP features.
 .
 This package contains the debugging symbols for debugging crashes in the
 jreen library

Package: libjreen-qt5-dev
Description-md5: 545dfa1590e404bbce1e8aea5b6ee76f
Description-en: powerful Jabber/XMPP library (Qt5 build) - development files
 Jreen is a lightweight XMPP library, implementing a large amount
 of protocol extensions (XEP). It is fit with Qt coding paradigms,
 so a Qt5 application developer can easily use any XMPP features.
 .
 This package provides development headers for the library.

Package: libjrosetta-java
Description-md5: 813d1d9a3fb0f7abdd15ffc87975d0fc
Description-en: JRosetta - Advanced graphical console engine
 JRosetta provides a common base for graphical component that could be used to
 build a graphical console in Swing with the latest requirements, such as
 command history, completion and so on for instance for scripting language
 or command line.

Package: libjruby-joni-java
Description-md5: adfd4778be81a941c5a284176cbf54b6
Description-en: Java port of Oniguruma regexp library
 Oniguruma is a regular expressions library.  The characteristics of this
 library is that different character encoding for every regular expression
 object can be specified. The supported APIs are GNU regex, POSIX, and Oniguruma
 native.

Package: libjs-angular-file-upload
Description-md5: 8fcac80b7f65cd6978812348efef31fd
Description-en: lightweight angular directive to upload files
 Angular ng-file-upload directive which supports a wide variety of features.
 It allows you to upload files in many sophisticated ways with ability to
 track the progress, native validation support for file type/size, image
 width/height/aspect ratio, video/audio duration, ng-required with
 pluggable custom sync or async validations, show thumbnail or preview of
 selected images/audio/videos, and more.

Package: libjs-angular-gettext
Description-md5: d100f28987dec0104412e77be3161335
Description-en: gettext utilities for angular.js
 Angular-gettext lets you focus on developing your application. Just write
 everything in English and annotate which parts should be translated. The
 tools do the rest.

Package: libjs-angular-schema-form
Description-md5: 6001df36c798d42988f48c2ed5c71df7
Description-en: form generator from a JSON schema
 Schema Form is a set of AngularJS directives (and a couple of
 services). It can do two things to make life easier:
 1. Create a form directly from a JSON schema.
 2. Validate form fields against that same JSON schema.
 .
 Schema Form uses convention over configuration, so it comes
 packaged with some sensible defaults. But you can always
 customize it by changing the order and types of form fields.

Package: libjs-angularjs
Description-md5: 860f7d3ba856edd43722cd16526f9999
Description-en: lets you write client-side web applications as if you had a smarter browser
 It lets you use good old HTML (or HAML, Jade and friends) as your template
 language and lets you extend HTML's syntax to express your application's
 components clearly and succinctly. It automatically synchronizes data from
 your UI (view) with your JavaScript objects (model) through 2-way data
 binding. To help you structure your application better and make it easy to
 test, AngularJS teaches the browser how to do dependency injection and
 inversion of control. It also helps with server-side communication, taming
 async callbacks with promises and deferreds; and make client-side navigation
 and deeplinking with hashbang urls or HTML5 pushState a piece of cake.

Package: libjs-angularjs-smart-table
Description-md5: 7b1c82cf465527af7cea0dcfd5864c73
Description-en: table module for angular js
 Smart table is a table module for angular js. It allows one to quickly compose
 a table in a declarative way including sorting, filtering, row selection
 pagination. It is lightweight (around 3kb minified) and has no other
 dependencies than Angular itself.

Package: libjs-ansi-up
Description-md5: 245176c171169bb00ec356b5e622df13
Description-en: convert text containing ANSI color escape codes into HTML 
 This library provide function that takes a stream of text and transforms it
 into proper HTML with colors. It does this by buffering the data and
 performing multiple passes over the stream. Each time it consumes data,
 it may or may not emit HTML. This HTML will always be proper HTML.
 .
 This package provides library in format, suitable for client-side programming.

Package: libjs-arbiter
Description-md5: fbd3d6fb67b8bad01e4c28d8c5e50255
Description-en: Lightweight publish/subscribe library for client-side JavaScript
 Publish/Subscribe framework that can be embedded in web pages to
 enable loose coupling of different JavaScript modules.

Package: libjs-asciimathml
Description-md5: ed135a66d3584e7bf7b4a0851aba40d4
Description-en: Library to render high quality mathematical formulas in a browser
 ASCIIMathML is a set of JavaScript functions to convert ASCII math
 notation and LaTeX to Presentation MathML. Simple graphics commands are
 also translated to SVG images. ASCIIMathML is designed to be very easy
 to use and mimic to a great extent the way that one would use to type
 mathematical formulas by means like e-mail.
 .
 This makes its use especially suited for teachers and instructors of
 disciplines based on Mathematics that want to use an electronic medium
 (like, for instance, a blog or a web forum) with students and still not
 be encumbered with difficult, non-intuitive, non-standard notation for
 formulas.

Package: libjs-async
Description-md5: f80fbbc8cea0df7bf575aaf3dbdd3c6c
Description-en: functions and patterns for asynchronous code - web browsers
 Async is a utility module which provides straight-forward, powerful
 functions for working with asynchronous Javascript.
 .
 Async provides around 20 functions that include the usual 'functional'
 suspects (map, reduce, filter, forEach…) as well as some common
 patterns for asynchronous control flow (parallel, series, waterfall…).
 All these functions assume you follow the Node convention of providing
 a single callback as the last argument of your async function.
 .
 This provides async for use directly in web browsers.

Package: libjs-autolink
Description-md5: 4237a4787960014c94c28d5f4deb1be6
Description-en: JavaScript methods converting text to links
 This JavaScript library implements methods for converting strings of text
 containing URLs to hyperlinks.
 .
 Example:
 - Input:  "This is a link to Google http://google.com".autoLink()
 - Output: "This is a link to Google <a href='http://google.com'>http://google.com</a>"

Package: libjs-autonumeric
Description-md5: e5908154f92f73eb1e338fcb3d0297c8
Description-en: jQuery plugin that automatically formats currency and numbers
 autoNumeric is a jQuery plugin that automatically formats currency and numbers
 as you type on form inputs. It supports most International numeric formats and
 currency signs including those used in Europe, North and South America, Asia
 and India lakhs (Lakhs values supported below 1 billion).
 .
 Any number of currency formats can reside on the same page and are configured
 by settings/options that can be placed as HTML5 data attribute or passed as an
 argument. These settings can easily be changed at any time using the new
 update method or via the callback feature. autoNumeric to many other elements,
 allowing you to place formatted numbers and currency on just about any part of
 the page.
 .
 Seven built in methods gives you the flexibility needed to use autoNumeric to
 its maximum potential. You can now easily start and stop autoNumeric, update
 the settings and remove the formatting from multiple inputs, preparing the
 values for manipulation or form submission.

Package: libjs-autoprefixer
Description-md5: 92b2605e19057b3e6316e87cb1b91252
Description-en: add vendor prefixes to CSS rules - browser bundle
 PostCSS plugin to parse CSS and add vendor prefixes to CSS rules using values
 from the Can One Use website. It is recommended by Google and used in Twitter
 and Taobao.
 .
 Write your CSS rules without vendor prefixes (in fact, forget about them
 entirely).
 .
 This package provides autoprefixer.js as a standalone library targeting
 browsers.

Package: libjs-autosize
Description-md5: d4c74260be38cee80af5a0ea75b57834
Description-en: script to automatically adjust textarea height to fit text - NodeJS
 Autosize is a small, stand-alone script to automatically adjust textarea
 height to fit text. The autosize function accepts a single textarea element,
 or an array or array-like object (such as a NodeList or jQuery collection)
 of textarea elements.
 .
 This package contains the Javascript library.

Package: libjs-backbone
Description-md5: a200363b8d9bb901f2701d3f0d08bf88
Description-en: some Backbone for JavaScript applications - browser library
 Backbone gives structure to your serious JavaScript web applications by
 supplying models with key-value binding and custom events, collections
 with a rich API of enumerable functions, views with declarative event
 handling, and connects it all to your existing API over a RESTful JSON
 interface.
 .
 This package contains backbone usable directly in web browsers.
 .
 RESTful persistence and DOM manipulation with Backbone.View requires
 either of the packages libjs-jquery libjs-lodash, and libjs-json for
 older Internet Explorer support.
 .
 Optimal rendering of upstream index.html requires the package
 libjs-jquery-lazyload.

Package: libjs-backbone-deep-model
Description-md5: a1b94531378e55c7a212ffaefed0b3d6
Description-en: improved support for models with nested attributes
 Deep model is a plugin for the Backbone javascript library, which allows one
 to get and set nested attributes with path syntax, e.g. user.type. It Triggers
 change events for changes on nested attributes.

Package: libjs-backbone.stickit
Description-md5: 23b1c2adcdddee40733535bbc6255881
Description-en: Backbone data binding plugin that binds Model attributes to View elements
 Stickit is a Backbone data binding plugin that binds Model attributes to View
 elements with a myriad of options for fine-tuning a rich app experience.
 Unlike most model binding plugins, Stickit does not require any extra markup
 in your html; in fact, Stickit will clean up your templates, as you will need
 to interpolate fewer variables (if any at all) while rendering. In Backbone
 style, Stickit has a simple and flexible API which plugs in nicely to a View's
 lifecycle.

Package: libjs-bignumber
Description-md5: 22318fdcc1b8fa747c76d29dfeb53cf6
Description-en: Arbitrary-precision decimal and non-decimal arithmetic (client)
 Features:
 .
    - Faster, smaller, and perhaps easier to use than Javascript versions of
      Java's BigDecimal
    - 5 KB minified and gzipped
    - Simple API but full-featured
    - Works with numbers with or without fraction digits in bases from 2 to 36
      inclusive
    - Replicates the toExponential, toFixed, toPrecision and toString methods of
      Javascript's Number type
    - Includes a toFraction and a squareRoot method
    - Stores values in an accessible decimal floating point format
    - No dependencies
    - Comprehensive documentation and test set
 .
 If an even smaller and simpler library is required see big.js. It's half the
 size but only works with decimal numbers and only has half the methods. It
 neither allows NaN or Infinity, or have the configuration options of this
 library.
 .
 This package provides bignumber support to clients (i.e. browsers).

Package: libjs-bootbox
Description-md5: 8dd9a224380dd3b354ee78ced95943e2
Description-en: flexible dialog boxes for Bootstrap
 Bootbox.js is a small JavaScript library
 which allows you to create programmatic dialog boxes
 using Bootstrap modals,
 without having to worry about creating, managing or removing
 any of the required DOM elements or JS event handlers.
 .
 Bootstrap is a popular HTML, CSS, and JS framework
 for developing responsive, mobile first projects on the web.

Package: libjs-bootstrap
Description-md5: 928907ec25fbb602d996ab59da4ee968
Description-en: HTML, CSS and JS framework
 Bootstrap is a popular HTML, CSS, and JS framework for developing
 responsive, mobile first projects on the web.
 .
 It includes base CSS and HTML for typography, forms, buttons, tables,
 grids, navigation, and more.

Package: libjs-bootstrap-tour
Description-md5: 75b71751a1aefc42c236b7ddbb0a8b73
Description-en: Build product tours (JavaScript library)
 This package provides a quick and easy way to build your product
 tours using Bootstrap Popovers' widgets.
 .
 This package contains the JavaScript library.

Package: libjs-bootstrap4
Description-md5: 34f21ddb9ed47bba0fe6a5a3ca80d607
Description-en: HTML, CSS and JS framework
 Bootstrap is a popular HTML, CSS, and JS framework
 for developing responsive, mobile first projects on the web.
 .
 It includes base CSS and HTML
 for typography, forms, buttons, tables, grids, navigation, and more.

Package: libjs-bootswatch
Description-md5: a2bbce2231b1c065797223166e7b9d94
Description-en: themes for Twitter Bootstrap
 Bootswatch is a collection of free themes for Bootstrap. Each theme consists
 of two LESS files. variables.less, which is included by default in Bootstrap,
 allows you to customize these settings. bootswatch.less introduces more
 extensive structural changes.

Package: libjs-browser-request
Description-md5: 1ccca8bbefadcdccd58b36cf29c88f70
Description-en: browser library compatible with the node-request package
 This package provides a library compatible with the request library for
 Node.js.  The library can be used as a UMD module, or through a
 JavaScript bundling tool that resolves require() statements, such as
 node-browserify-lite.

Package: libjs-build-tools-ocaml-dev
Description-md5: 0e513c28e0fe257910701b0af62e7067
Description-en: collection of tools to help building Jane Street Packages
 This package contains tools to help building Jane Street
 Packages. However most of it is general purpose. It contains:
  * an oasis2opam-install tool to produce a .install file from the
    oasis build log;
  * an js_build_tools ocamlbuild plugin with various goodies.

Package: libjs-c3
Description-md5: 9c459394659f8cfa5c69840f9ec2b5dc
Description-en: D3-based reusable chart library
 C3 is a D3-based reusable chart library that enables deeper integration of
 charts into web applications.

Package: libjs-chai
Description-md5: 47a3b82c200423986fb5f78f6b0564d2
Description-en: assertion library for the browser
 Chai is a BDD / TDD assertion library for Node.js and the
 browser that can be delightfully paired with any javascript testing framework.
 This library is test framework agnostic.
 .
 Test-driven development (TDD) is a software development process that relies
 on the repetition of a very short development cycle: requirements
 are turned into very specific test cases, then the software is improved
 to pass the new tests
 .
 BDD of Behavior-Driven Development is an extension of test-driven
 development that makes use of a simple, domain-specific scripting
 language (DSL). These DSLs convert structured natural language statements
 into executable tests.
 .
 This package includes the browser specific files.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: libjs-chart.js
Description-md5: 4e95d30af446c412820ed337e6f11469
Description-en: Simple HTML5 charts using the canvas element (Browser)
 Simple yet flexible JavaScript charting for designers & developers.
 .
 This library allows you to visualize your data in 8 different ways; each of
 them animated and customisable. It has great rendering performance across all
 modern browsers (IE9+). And it redraws charts on window resize for perfect
 scale granularity.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: libjs-chartkick.js
Description-md5: e3000ae254cc49ecaa9d8a676b4d0686
Description-en: create beautiful JavaScript charts with minimal code
 Create beautiful JavaScript charts with minimal code. Supports
 Chart.js, Google Charts, and Highcharts. Also available for React,
 Ruby, Python, and Elixir.

Package: libjs-chosen
Description-md5: e53868428c3a77efb43b74bdcba66b82
Description-en: select box enhancer for jQuery and Prototype
 Chosen is a JavaScript plugin that makes long, unwieldy select boxes
 more user-friendly.

Package: libjs-class.js
Description-md5: eb3c7fd9161caf94ce70d0974f8bab1d
Description-en: Class Based Inheritance for JavaScript
 Class.js is a JavaScript library for building class based object-oriented
 programs using JavaScript’s prototypal inheritance. class.js strives to mimic
 classical class inheritance provided by other languages such as Python, Java or
 C. Its syntax is heavily inspired by MooTools class implementation.

Package: libjs-class.js-doc
Description-md5: 86948eab891178a71800a7422361ee45
Description-en: Class Based Inheritance for JavaScript (Documentation)
 Class.js is a JavaScript library for building class based object-oriented
 programs using JavaScript’s prototypal inheritance. class.js strives to mimic
 classical class inheritance provided by other languages such as Python, Java or
 C. Its syntax is heavily inspired by MooTools class implementation.
 .
 This package contains the documentation.

Package: libjs-cocktail
Description-md5: 6e46395acb4609ec1f313bcd0e3f8c0a
Description-en: implementation of Backbone mixins
 With Cocktail, it is possible to break out a shared Backbone.js
 model/collection/view behaviors into separate modules and mix them into
 classes with. Cocktail is an implementation of Backbone mixins. Mixins are
 simply bare-bones JavaScript objects that provide additional functionality to
 Backbone objects. Think of them as bags of methods that will get added to all
 instances of objects.

Package: libjs-codemirror
Description-md5: c3c13a499e8c741b87306b343228f453
Description-en: JavaScript editor interface for code-like content
 CodeMirror is a JavaScript library that can be used to create a relatively
 pleasant editor interface for code-like content -- computer programs, HTML
 markup, and similar.
 If a mode has been written for the language you are editing, the code will
 be coloured, and the editor will optionally help you with indentation.

Package: libjs-coffeescript
Description-md5: 95cdce1ebf1c95da1c63696bff09014f
Description-en: client-side interpreter for the CoffeeScript language
 CoffeeScript is a little language that compiles into JavaScript.
 Underneath all of those embarrassing braces and semicolons, JavaScript
 has always had a gorgeous object model at its heart. CoffeeScript is an
 attempt to expose the good parts of JavaScript in a simple way.
 .
 The golden rule of CoffeeScript is: "It's just JavaScript". The code
 compiles one-to-one into the equivalent JS, and there is no
 interpretation at runtime. You can use any existing JavaScript library
 seamlessly (and vice-versa). The compiled output is readable and
 pretty-printed, passes through JavaScript Lint without warnings, will
 work in every JavaScript implementation, and tends to run as fast or
 faster than the equivalent handwritten JavaScript.
 .
 This package contains a concatenated and compressed version of the
 CoffeeScript compiler. To use it in the browser, include the script
 after any inline script tags of type "text/coffeescript" on the page.
 It will compile and evaluate all of the scripts in order.

Package: libjs-cropper
Description-md5: 99019624a9c254b4930deb2dd5740c2c
Description-en: JavaScript image cropper UI
 Allows the user to crop an image using an interface with the same features and
 styling as found in commercial image editing software, and is based on the
 Prototype JavaScript framework and script.aculo.us.

Package: libjs-cryptojs
Description-md5: 04b687add451157abac432bf59a64bd8
Description-en: collection of cryptographic algorithms implemented in JavaScript
 CryptoJS is a growing collection of standard and secure cryptographic
 algorithms implemented in JavaScript using best practices and patterns. They
 are fast, and they have a consistent and simple interface.

Package: libjs-cssom
Description-md5: 210bfd840e3ed44947460e5d84a00cf6
Description-en: CSS parser written in pure JavaScript
 CSSOM.js is a CSS parser written in pure JavaScript. It is also a partial
 implementation of the CSS Object Model.
 .
 This package contains the javascript files for web browsers.

Package: libjs-cssrelpreload
Description-md5: f1be946b42d94b52e3a3b2c5a1f73c70
Description-en: JavaScript to load CSS asynchronously
 This JavaScript library provides functions to load CSS asynchronously.
 .
 Referencing CSS stylesheets with link[rel=stylesheet] or @import causes
 browsers to delay page rendering while a stylesheet loads. When loading
 stylesheets that are not critical to the initial rendering of a page, this
 blocking behavior is undesirable. The new <link rel="preload"> standard
 enables loading stylesheets asynchronously, without blocking rendering, and
 loadCSS provides a JavaScript polyfill for that feature to allow it to work
 across browsers, as well as providing its own JavaScript method for loading
 stylesheets.

Package: libjs-d3
Description-md5: 19ab16f9de222377f3b16132dbd1fdb9
Description-en: JavaScript visualization library for HTML and SVG
 JavaScript library for manipulating documents based on data. D3 helps you
 bring data to life using HTML, SVG and CSS. D3's emphasis on web standards
 gives you the full capabilities of modern browsers without tying yourself to
 a proprietary framework, combining powerful visualization components and a
 data-driven approach to DOM manipulation.

Package: libjs-d3-format
Description-md5: 45e7d5a381040f0771b3f2d94287ffd7
Description-en: Formatting numbers for human consumption - browser library
 Sometimes JavaScript doesn’t display numbers the way you expect. For example,
 printing tenths with a naive simple loop might give you 0, 0.1, 0.2,
 0.30000000000000004, 0.4, 0.5, 0.6000000000000001, 0.7000000000000001, 0.8,
 0.9 - welcome to binary floating point!
 .
 Yet rounding error is not the only reason to customize number formatting. A
 table of numbers should be formatted consistently for comparison; above, 0.0
 would be better than 0. Large numbers should have grouped digits (e.g.,
 42,000) or be in scientific or metric notation (4.2e+4, 42k). Currencies
 should have fixed precision ($3.50). Reported numerical results should be
 rounded to significant digits (4021 becomes 4000). Number formats should
 appropriate to the reader’s locale (42.000,00 or 42,000.00). The list goes on.
 .
 Formatting numbers for human consumption is the purpose of d3-format, which is
 modeled after Python 3’s format specification mini-language (PEP 3101).
 .
 This package contains the plain JS library as well as a minified version.

Package: libjs-d3-tip
Description-md5: 8885b60854f43cb3cc771f2838be732c
Description-en: Tooltips for d3.js visualizations
 This javascript library provides tooltips for d3.js visualizations
 such as bar charts.  The tooltips show value at the selection and
 label for the unit.  They can be styled, shown, hidden, positioned
 and updated.

Package: libjs-dateformat
Description-md5: 8384a607aa544c17cea8106d3f0d0c1f
Description-en: JavaScript Date Format - browser module
 Although JavaScript provides a bunch of methods for getting and setting
 parts of a date object, it lacks a simple way to format dates and times
 according to a user-specified mask. This module try to fill that gap.
 .
 This package contains dateformat usable directly in web browsers.

Package: libjs-debug
Description-md5: 3c8a719640331748b18c517f39487f9e
Description-en: small debugging utility
 libjs-debug provides a small debugging utility for the browser.
 .
 With this module you simply invoke the exported function to generate
 your debug function, passing it a name which will determine if a
 noop function is returned, or a decorated console.error, so all of the
 console format string goodies you're used to work fine.
 A unique color is selected per-function for visibility.
 .
 The enable state is persisted in the localStorage.

Package: libjs-debugger
Description-md5: 8fbb5dc0c5ff713afe3260cb023d1e87
Description-en: a console logger for debugging JavaScript
 Is a simple JavaScript Debug Logger originally written for use with JWChat,
 but it should work with any other JavaScript based web application too.

Package: libjs-dojo-core
Description-md5: 14ed7306dd2429e4060ab953801b77d0
Description-en: modular JavaScript toolkit
 Dojo Toolkit is an open source modular JavaScript library
 designed to ease the rapid development of cross platform,
 JavaScript/Ajax based applications and web sites.
 .
 This package contains the core Dojo project.
 .
 Dojo core is a powerful, lightweight library that makes common tasks
 quicker and easier. Animate elements, manipulate the DOM, and query
 with easy CSS syntax, all without sacrificing performance.

Package: libjs-dojo-dijit
Description-md5: 5f6e2cf96d532292d7052ce35942db17
Description-en: modular JavaScript toolkit - Dijit
 Dojo Toolkit is an open source modular JavaScript library
 designed to ease the rapid development of cross platform,
 JavaScript/Ajax based applications and web sites.
 .
 This package contains the Dijit widget system.
 .
 Dijit provides a complete collection of user interface controls based
 on Dojo, giving you the power to create web applications that are
 highly optimized for usability, performance, internationalization,
 accessibility, but above all deliver an incredible user experience.

Package: libjs-dojo-dojox
Description-md5: cd0d453b2d76b401df508ba0d9ef37d0
Description-en: modular JavaScript toolkit - DojoX
 Dojo Toolkit is an open source modular JavaScript library
 designed to ease the rapid development of cross platform,
 JavaScript/Ajax based applications and web sites.
 .
 This package contains modules from the DojoX project.
 .
 Dojo eXtensions is a rollup of many useful sub-projects and varying
 states of maturity – from very stable and robust, to alpha and
 experimental.

Package: libjs-dropzone
Description-md5: 64a91a6dfff43efade2c6900a5633736
Description-en: JavasScript library providing Dropzone
 Dropzone.js is a light weight JavaScript library that turns an HTML element
 into a dropzone. This means that a user can drag and drop a file onto it, and
 the file gets uploaded to the server via AJAX.
 .
 Main features:
   - Image thumbnail previews
   - Retina enabled
   - Multiple files and synchronous uploads
   - Progress updates
   - Support for large files
   - Complete theming

Package: libjs-edit-area
Description-md5: 3db8d0588165a1e76e5d1dfd15a3d18a
Description-en: a free javascript editor for source code
 Edit-area is a free javascript editor for source code that is easy to
 integrate, has only one script include and one function call. It supports
 tabulation (allow to write well formated source code), has customizable
 real-time syntax highlighting (currently: PHP, CSS, Javascript, Python, HTML,
 XML, VB, C, CPP, SQL, Pascal, Basic, Brainf*ck, and probably more...),
 word-wrap support, search and replace (with regexp), auto-indenting new
 lines, line numerotation, multilanguage support (currently: Croatian, Czech,
 Danish, Dutch, English, Esperanto, French, German, Italian, Japanese,
 Macedonian, Polish, Portuguese, Russian, Slovak, Spanish, and probably
 more...), has the possibility to use PHP gzip compression (compress the 12
 core files to one file of ~30Ko), allows multiple instances, has a full
 screen mode, possible plugin integration, possible save and load callback
 functions, possible dynamic content management, can work in the same
 environment than prototype and mootools's like libraries.

Package: libjs-elycharts
Description-md5: c45a4869dca0c500995e7f5551b3f85c
Description-en: Javascript library to generate static and interactive charts
 This javascript library is used to generate static and interactive charts. It
 supports dynamic data modification, allows for mouse tracking and highlighting
 of specific parts in the chart. It also includes a variety of animations and
 transformations to show the chart evolution.

Package: libjs-emojify
Description-md5: d00bd253f8a7dca9a75e75280b697f5a
Description-en: JavaScript library converting Emoji keywords to images
 This JavaScript library provides methods to convert Emoji keywords
 to images.
 .
 Emoji Cheat Sheet: https://www.webpagefx.com/tools/emoji-cheat-sheet/

Package: libjs-emojione
Description-md5: 3df3e877ffca90b4aeaf95b93df89f3a
Description-en: Open source emoji set (javascript part)
 This library provides emojione for javascript apps.

Package: libjs-eonasdan-bootstrap-datetimepicker
Description-md5: 115de8eee2513929595c8fb8c282130d
Description-en: Date/time picker widget based on twitter bootstrap
 This package contains a JavaScript Library providing a date/time picker
 component designed to work with Bootstrap 3 and Momentjs.
 .
 This component consists actually of 2 subcomponent UI widgets:
 one for the date and one for the time selection process. The developers can
 configure which of those are needed and also the granularity that the
 component will allow the users to select a date/time. Developers also
 choose the format that the selected datetime will be displayed in the
 input field.

Package: libjs-es-module-loader-0.17
Description-md5: 0cfcd98efaa0d62300f4faa9b41e0ac0
Description-en: Polyfill and low-level API for the WhatWG loader spec
 This library provides a polyfill and low-level API for the WhatWG
 loader spec to create custom module loaders. It supports the
 System.register module fomat to provide identical module loading
 semantics as ES modules in environments today.
 .
 This package contains the 0.17 branch of the library.

Package: libjs-es5-shim
Description-md5: 7ca6cb9af248aa8f85daf3fcdae256f6
Description-en: ECMAScript 5 compatibility shims for old JavaScript engines (library)
 The es5-shim library monkey-patches a JavaScript context to contain
 all ECMAScript 5 methods that can be faithfully emulated with a
 legacy JavaScript engine.
 .
 The es5-sham part tries to complete the picture as best as possible, but
 for those methods the result is not as close and mostly intends to avoid
 runtime errors : in many cases it might just silently fail.

Package: libjs-es6-promise
Description-md5: e91200676bfc4d0acffbd7f718a5ff9a
Description-en: Lightweight async code library for JavaScript
 A lightweight library that provides tools for organizing asynchronous code
 in the browser, according to the ECMAScript 6 Promise, but as an ECMAScript 5
 library.

Package: libjs-es6-shim
Description-md5: ba6df82b42e4fede46eda09801a29a76
Description-en: ECMAScript 6 shims for legacy JavaScript engines (library)
 The es6-shim library monkey-patches a JavaScript context to contain all
 ECMAScript 6 (Harmony) methods that can be faithfully emulated with a legacy
 JavaScript engine.
 .
 The es6-sham part tries to complete the picture as best as possible, but
 for those methods the result is not as close and mostly intends to avoid
 runtime errors : in many cases it might just silently fail.

Package: libjs-eventemitter2
Description-md5: 55cce5cee7b7729e1d2076770718a99c
Description-en: Event emitter compatible with browsers
 This module provides EventEmitter2, an implementation of the
 EventEmitter object found in Node.js, with additional support for
 namespaces, wildcards, and n-times listeners.
 .
 This package contains the library for browsers.

Package: libjs-events
Description-md5: c2eada4c40abdfd6dd036c260ad25a81
Description-en: Node.js events module for browsers
 Implements the Node.js events module in browsers.

Package: libjs-excanvas
Description-md5: 9a9c859b45a8dbe1d30a5fb319be3ca5
Description-en: HTML5 Canvas for Internet Explorer
 Modern browsers like Firefox, Safari, Chrome and Opera support the HTML5
 canvas tag to allow 2D command-based drawing. ExplorerCanvas brings the same
 functionality to Internet Explorer. To use, web developers only need to include
 a single script tag in their existing web pages.

Package: libjs-expect.js
Description-md5: e9aa7d8f8cb452c04403ae79ce9e4762
Description-en: behavior driven test assertions - JavaScript library
 expect.js is a minimalistic behavior driven assertions toolkit similar
 to should.js but with less footprint.
 .
 Some relevant features are:
  * Cross-browser: works on IE6+, Firefox, Safari, Chrome, Opera.
  * Compatible with all test frameworks.
  * Standalone.
  * No Object prototype extensions.

Package: libjs-favico.js
Description-md5: 996c1e064bf8107a51650f83e743bee3
Description-en: make use of your favicon with badges, images or videos
 Animate your favicon with animated badges. You can customize type
 of animation, position, background color and text color.

Package: libjs-fetch
Description-md5: dec45e02ace81f2ca63e89d094913a2d
Description-en: window.fetch JavaScript polyfill
 The fetch() function is a Promise-based mechanism for programmatically making
 web requests in the browser. This project is a polyfill that implements a
 subset of the standard Fetch specification, enough to make fetch a viable
 replacement for most uses of XMLHttpRequest in traditional web applications.

Package: libjs-filesaver
Description-md5: d896bcdb9c7c7a8393136394d6ee6876
Description-en: Client-side, HTML5 library for saving local files
 FileSaver.js is the solution to saving files on the client-side, and
 is perfect for web apps that generates files on the client.

Package: libjs-flatted
Description-md5: 4f1ae8e656fa3534c43e72cd7f08ada0
Description-en: super light and fast circular JSON parser - browser library
 Flatted is a super light and fast circular JSON parser.
 .
 Works with all ECMAScript engines
 compatible with Map, Set, Object.keys, and Array.prototype.reduce,
 even if polyfilled.
 .
 Flatted is the direct successor of no longer maintained CircularJSON.
 .
 This package contains flatted directly usable in web browsers.

Package: libjs-flot
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: libjs-flotr
Description-md5: 3e441a90b775d494b65923c5f82217b8
Description-en: plotting library for the Prototype Framework
 Flotr is a plotting library which enables you to draw appealing graphs in most
 modern browsers with an easy to learn syntax.
 .
 The currently supported chart types are :
 .
  * Histograms (vertical, horizontal, stacked bars)
  * Lines (filled or not)
  * Points (scatter plots)
  * Pie chart
  * Candle sticks (and barcharts)
  * Radar chart
  * Markers (positioned text)
 .
 It comes with great features like legend support, negative value support,
 mouse tracking, selection support, zoom support, event hooks, css styling
 support, text included into the canvas, rotated labels, color gradients,
 graph title and subtitle, spreadsheet, CSV data download and much more.
 .
 The flotr library is distributed with the canvas2image, canvastext, and
 base64 JavaScript libraries.

Package: libjs-functional-red-black-tree
Description-md5: 86500e9c963e53160fc6a57d43e54041
Description-en: fully persistent balanced binary search tree - browser library
 Functional-red-black-tree is a fully persistent red-black tree
 written 100% in JavaScript.
 .
 Functional (or fully persistent) data structures
 allow for non-destructive updates.
 So if you insert an element into the tree,
 it returns a new tree with the inserted element
 rather than destructively updating the existing tree in place.
 Doing this requires using extra memory,
 and if one were naive it could cost as much as reallocating the entire tree.
 Instead, this data structure saves some memory
 by recycling references to previously allocated subtrees.
 This requires using only O(log(n)) additional memory per update
 instead of a full O(n) copy.
 .
 Some advantages of this is
 that it is possible to apply insertions and removals to the tree
 while still iterating over previous versions of the tree.
 Functional and persistent data structures can also be useful
 in many geometric algorithms
 like point location within triangulations or ray queries,
 and can be used to analyze the history of executing various algorithms.
 This added power though comes at a cost,
 since it is generally a bit slower to use a functional data structure
 than an imperative version.
 However, if your application needs this behavior
 then you may consider using this module.
 .
 This package provides functional-red-black-tree
 for use directly in web browsers.

Package: libjs-fuzzaldrin-plus
Description-md5: dcaab152b9f1e4641262e71b7143332f
Description-en: fuzzy search / highlight for programmer's text editor (browser)
 A fuzzy search / highlight that specialize for programmer text editor. It
 tries to provide intuitive result by recognizing patterns that people use
 while searching.
 .
 A rewrite of the fuzzaldrin library. API is backward compatible with some
 extra options. Tuning has been done from report usage of the Atom text editor.
 .
 This package provides fuzzaldrin-plus usable in browser environment.

Package: libjs-getobject
Description-md5: d198d510a04e90e73a75268caffe6f40
Description-en: set and get deep objects easily - library for browsers
 getobject allows you to easily get and set deep objects.
 It also allows you to check if deep objects exist.
 .
 This package contains the library for browsers.

Package: libjs-gettext.js
Description-md5: 37fd73fce024a2427d421fc289f98a47
Description-en: Lightweight yet complete GNU gettext port
 gettext.js is a lightweight (3k minified!) yet complete and accurate GNU
 gettext port for node and the browser. Manage your i18n translations the right
 way in your javascript projects.
 .
 This package contains the Javascript library.

Package: libjs-gordon
Description-md5: 0cddce78e908e8994ad81b7f17f7d427
Description-en: Open source flash runtime written in pure javascript
 Gordon enables web developers to embed flash applications directly
 within the browser.  It is a web app written in pure javascript,
 and eliminates the need for end users to have a separate flash
 plugin (as long as they use sites that take advantage of gordon).

Package: libjs-graphael
Description-md5: eb25d068fcc037813f4556923b504b9b
Description-en: charts for raphael
 gRaphaël’s goal is to help you create stunning charts on your website. It is
 based on Raphaël graphics library.
 .
 gRaphaël currently supports Firefox 3.0+, Safari 3.0+, Opera 9.5+ and
 Internet Explorer 6.0+.

Package: libjs-handlebars
Description-md5: d310f4a1f9a89397f9aace20c3dad1e4
Description-en: let you build semantic templates effectively with no frustration
 Handlebars templates look like regular HTML, with embedded handlebars
 expressions. You can deliver a template to the browser by including it
 in a <script> tag.
 .
 Learn more about its usage from https://handlebarsjs.com/

Package: libjs-handlebars.runtime
Description-md5: a9d28f9da5e343688f3f517fa5c27ecc
Description-en: runtime for handlebars semantic templates library
 Handlebars templates look like regular HTML, with embedded handlebars
 expressions. You can deliver a template to the browser by including it
 in a <script> tag.
 .
 Learn more about its usage from https://handlebarsjs.com/

Package: libjs-headjs
Description-md5: e9359f538ae50017fc1b7bfd1b6c6445
Description-en: javascript libraryt for resource loading
 headjs is a javascript library that has the following features:
  * Speed up your apps: Load JS & CSS asynchronously and in parallel, but
    execute them in order
  * Load one asset if a condition is met, else fallback and load a different
    one
  * Manage script dependencies, and execute callbacks once they are loaded
  * Cross-browser compatible <<pseudo media-queries>> let you code against
    different resolutions & devices
  * Fix quirks in specific browsers by quickly applying dedicated CSS/JS logic
  * Detect various browsers & their versions
  * Check if the client supports a certain Browser, HTML5, or CSS3 feature
  * Automatically generates JS and CSS classes for browsers & features that
    where detected
  * Automatically generates CSS classes, to know what page or section a user
    is viewing
  * Know if the user is in landscape or portrait mode
  * Or whether the client is using a mobile or desktop device
  * Get old browsers to support HTML5 elements like nav, sidebar, header,
    footer
 .
 Node.js is an event-based server-side JavaScript engine.

Package: libjs-highlight
Description-md5: 5fdf2cec3c76cded8b47f92fc50cd8c3
Description-en: Transitional package for libjs-hightlight.js
 This is a transitional package to ease upgrades to the libjs-highlight.js
 package. It can safely be removed.

Package: libjs-highlight.js
Description-md5: 2c696294140f1fa8109c6ce07ad05502
Description-en: JavaScript library for syntax highlighting
 Highlight.js is a JavaScript library which automatically detects the
 language of code blocks in a web page, and provides syntax highlighting
 for them. The library supports more than fifty languages and is bundled
 with more than twenty style themes.
 .
 This package contains the library highlight.js usable in a web browser.

Package: libjs-hooker
Description-md5: 5c04331313c339b84cc3dd32486db365
Description-en: Useful javascript debugging library usable in a browser
 This is the javascript library of hooker Node.js module.
 .
 Hooker provides 4 functions that include 'hook' and 'unhook', useful
 for debug an object call, as well as an 'override' function if you
 want to replace the return of a function.

Package: libjs-htmlparser
Description-md5: 7b657a34c8f893333d64d376a8fbcdf1
Description-en: forgiving HTML/XML/RSS Parser in Javascript
 HTMLParser is a forgiving HTML/XML/RSS parser written in Javascript for
 both the browser and NodeJS. The parser can handle streams (chunked data)
 and supports custom handlers for writing custom DOMs/output.
 .
 This package contains the Javascript file usable from the browser..

Package: libjs-i18next
Description-md5: ba7954b46ff763d25338d1af013c625c
Description-en: internationalization framework for JavaScript environments - js library
 i18next provides:
  - Flexible connection to backend (loading translations via xhr, ...)
  - Optional caching, user language detection, ...
  - Proper pluralizations
  - Translation context
  - Nesting, Variable replacement
  - Flexibility: Use it everywhere
  - Extensibility: eg. sprintf
  - ...

Package: libjs-i18next-browser-languagedetector
Description-md5: 00f7cdd3883377ac99dd3907269fd337
Description-en: language detector used in browser environment for i18next - js library
 This is a i18next language detection plugin use to detect user language in the
 browser with support for:
  - cookie
  - localStorage
  - navigator
  - querystring (append ?lng=LANGUAGE to URL)
  - htmlTag
  - path
  - subdomain

Package: libjs-i18next-xhr-backend
Description-md5: b95a2900193fa08983dad22ccd0d4fe8
Description-en: backend layer for i18next using browsers xhr - js library
 This is a simple i18next backend to be used in the browser. It will load
 resources from a backend server using xhr.
 .
 Universal javascript library package

Package: libjs-img.srcset
Description-md5: 3e2ece883deed6409c51f59917f36f72
Description-en: fast JavaScript polyfill for img srcset
 img.srcset is a lightweight, no nonsense, all browser supporting, fast
 polyfill for img srcset, allowing for lighter yet backwards-compatible
 responsive web design.
 .
 The srcset attribute is an HTML extension for adaptive (a.k.a.
 responsive) images.  More info at <http://www.w3.org/TR/html-srcset/>.
 .
 A polyfill is (in the context of HTML5) Javascript code implementation
 of a functionality often available in modern web browsers, allowing web
 designers to use simpler standards-compliant and declarative code,
 burdening only older/simpler browsers with these fallback snippets.

Package: libjs-impress
Description-md5: 3da52ed3249755916d3cb1c12a5cfb90
Description-en: JavaScript library to make animated presentations
 Impress.js is a framework written in JavaScript to create HTML
 presentations, which can be shown with a web browser. It provides
 transforms and transition effects between slides based on CSS3.

Package: libjs-inherits
Description-md5: 4cc265656ae6fb2fb1ed591346fb5d5f
Description-en: Browser library that exposes inherits function from Node.js environment
 node-inherits exposes standard inherits implementation of Node.js util
 module, and allows bundlers such as browserify to not include full util
 package in client code.
 .
 It is recommended to use this module for all code that requires only
 the inherits function and that has a chance to run in a browser too.
 .
 This is the browser module.

Package: libjs-ipaddr
Description-md5: b742fded0fb41c811a66667610456e9f
Description-en: IPv4 and IPv6 addresses manipulation - JavaScript library
 This modules features:
  - verification and parsing of an IP address
  - match against a CIDR range or range list
  - match against reserved ranges like loopback or private ranges
  - conversion between IPv4 and IPv4-mapped IPv6 addresses.

Package: libjs-is-typedarray
Description-md5: 5d8fd521f17f2a86f9824e8d7700bafd
Description-en: JavaScript library checking if object is TypedArray
 Detect whether or not an object is a Typed Array.

Package: libjs-iscroll
Description-md5: b351cb170437ad39baa49227e697d80d
Description-en: High performance, small footprint JavaScript scroller
 iScroll is a high performance, small footprint, dependency free,
 multi-platform javascript scroller.
 .
 It works on desktop, mobile and smart TV. It has been vigorously
 optimized for performance and size so to offer the smoothest result
 on modern and old devices alike.
 .
 iScroll does not just scroll. It can handle any element that needs
 to be moved with user interaction. It adds scrolling, zooming,
 panning, infinite scrolling, parallax scrolling, carousels to your
 projects and manages to do that in just 4kb. Give it a broom and it
 will also clean up your office.

Package: libjs-jac
Description-md5: c01dec9463139051deb84c08d2b3e61d
Description-en: JavaScript Jabber Client Library
 JSJaC is a jabber client library written in JavaScript to ease implementation
 of web based jabber clients. For communication with a jabber server it needs
 to support either HTTP Polling or HTTP Binding.
 .
 JSJaC has an object oriented design which should be quite easy to use.
 Communication is done by using the XML HTTP Request object also refered
 to as AJAX technology. Your browser must support this.

Package: libjs-janus
Description-md5: abf713839ede719b9a3a4ebaa49514f2
Description-en: Open Source, general purpose, WebRTC gateway - JavaScript library
 Janus is a general purpose WebRTC Gateway with a minimal footprint.
 .
 This package contains a JavaScript library
 to interact with Janus from a web browser.

Package: libjs-jed
Description-md5: b1bb5e9f722201ae834bf4ea75fd7b0f
Description-en: Gettext Style i18n for Modern JavaScript Apps - JavaScript library
 If you don't specifically need a gettext implementation, look at MessageFormat
 instead, as it has better support for plurals/gender and has built-in locale
 data.
 .
 Jed doesn't include a Gettext file parser, but several third-party parsers
 exist that can have their output adapted for Jed.
 .
 This package contains jed suitable for use with browser environments.

Package: libjs-jquery-areyousure
Description-md5: c25f7632290207bbc07a7ffc8433b125
Description-en: jQuery plugin to alert users of unsaved changes
 Are-you-sure (jquery.are-you-sure.js) is simple light-weight "dirty form"
 JQuery Plugin for modern browsers. It helps prevent users from losing unsaved
 changes by promoting the user to save/submit.
 .
 Features:
   - Simple usage
   - Pure javascript implementation
   - Leverages onBeforeUnload to detect all page/browser exit events
   - Works on forms of any size
   - State management--mark forms clean if original values are restored
   - Graceful degradation on legacy browsers

Package: libjs-jquery-atwho
Description-md5: 5b6e79a7ce93088a4327bfa4222ae4f5
Description-en: library to autocomplete references in text fields
 The JavaScript library ‘jquery-atwho.js’ provides autocompletion
 functionality for nickname metions, smileys (emoticons/emoji), etc.
 in text fields.
 .
 This package installs the runtime library.

Package: libjs-jquery-backstretch
Description-md5: 18a625e83da8461c02813da6555739b1
Description-en: jQuery background image plugin
 Backstretch is a simple jQuery plugin that allows you to add a
 dynamically-resized, slideshow-capable background image to any page or
 element. The image will stretch to fit the page/element, and will
 automatically resize as the window/element size changes.

Package: libjs-jquery-blockui
Description-md5: 2a00354d0b1d706beaf7cbe43d06e6cd
Description-en: simulate synchronous behaviour using AJAX
 The jQuery BlockUI Plugin simulates synchronous behavior when using AJAX,
 without locking the browser. When activated, it will prevent user activity
 with the page (or part of the page) until it is deactivated. BlockUI adds
 elements to the DOM to give it both the appearance and behavior of
 blocking user interaction.

Package: libjs-jquery-caret.js
Description-md5: e043d2caac8d0043e155a18a67446ca6
Description-en: library to query input caret position
 The JavaScript library Caret.js provides an API to query the caret
 position in an HTML input field.
 .
 This package installs the runtime library.

Package: libjs-jquery-center
Description-md5: 7d649e6992b9c17764ccc6fd7f429d71
Description-en: jQuery plugin that centralizes DOM element
 This simple plugin helps you centralize your DOM element against their
 parent element or the window. You can also specify the top of the DOM
 element by passing customized settings. This plugin only works for
 absolute elements.

Package: libjs-jquery-colorbox
Description-md5: 71b811d8a6cbb1305116e704e090790e
Description-en: jQuery customizable lightbox
 A lightweight customizable lightbox plugin for jQuery. It supports photos,
 photo groups, slideshow, Ajax, inline, and Iframed content.
 .
 Features:
  * Lightweight: 10KB of JavaScript (less than 5KBs gzipped).
  * Appearance is controlled through CSS so it can be restyled.
  * Can be extended with callbacks & event-hooks without altering the source
    files.
  * Completely unobtrusive, options are set in the JS and require no changes to
    existing HTML.
  * Preloads upcoming images in a photo group.
  * Well vetted. ColorBox is one of the top jQuery plugins.

Package: libjs-jquery-colorpicker
Description-md5: 4b18b40cba4d7f3eba98fbe1f191c3e9
Description-en: full-featured colorpicker for jQuery UI
 libjs-jquery-colorpicker is jQuery UI plugin than provides support for easy
 selection of colors in a jQuery UI based web page.
 .
 libjs-jquery-colorpicker features:
  * jQueryUI (themeroller-based) look & feel
  * Familiar interface layout
  * Highly configurable
    - Control parts
    - Layout
    - Input/output formats
    - Swatches
    - Many more
  * Accurate color model
  * Supports localization
  * Smart window alignment
  * Complete API with events and methods
  * Easily extendable with plugins
  * Documented
  * Disable/enable

Package: libjs-jquery-cookie
Description-md5: 217a5d7c1d0a2e2f7953216ebb4d4446
Description-en: jQuery cookie plugin
 A simple, lightweight utility plugin for reading, writing and
 deleting cookies.

Package: libjs-jquery-coolfieldset
Description-md5: 9d35c344328fbfc69637d99335dcab30
Description-en: jQuery Plugin for creating collapsible fieldset
 This plugin can collapse or hide fieldset and its content by clicking its
 legend. You can also decide the initial state for your fieldset whether it's
 expanded or collapsed. The separated CSS file will also useful if you need
 to modify the fieldset appearance.

Package: libjs-jquery-countdown
Description-md5: 3f6190daad754c7c13fe39f7e5b19193
Description-en: jQuery Countdown
 A jQuery plugin that sets a div or span to show a countdown to a given time.

Package: libjs-jquery-datatables
Description-md5: c8cdc2c4b93f8db358bbf836372cb920
Description-en: jQuery plug-in that makes nice tables from different data sources
 DataTables is a plug-in for the jQuery Javascript library. It is a highly
 flexible tool, based upon the foundations of progressive enhancement, which
 will add advanced interaction controls such as variable length pagination or
 on-the-fly filtering to any HTML table.

Package: libjs-jquery-datatables-extensions
Description-md5: 98135a3b42129f10832c3fb88d604a58
Description-en: extensions for the jQuery plug-in for tables from different data sources
 DataTables is a plug-in for the jQuery Javascript library. It is a
 highly flexible tool, based upon the foundations of progressive
 enhancement, which will add advanced interaction controls such as
 variable length pagination or on-the-fly filtering to any HTML table.
 .
 The features that DataTables provides can be greatly enhanced by the use
 of the plug-ins available on this page, which give many new user
 interaction and configuration options. This package provides those
 extensions.

Package: libjs-jquery-easing
Description-md5: 7024822cdd3c8d8377049d0adc2f61af
Description-en: jQuery Easing Plugin
 A jQuery plugin from GSGD to give advanced easing options.

Package: libjs-jquery-event-drag
Description-md5: da0321dba760ff3a67e60675c0044350
Description-en: jQuery Event Drag
 A jquery special event plugin that makes the task of adding complex
 drag interactions, to any element, simple and powerful.

Package: libjs-jquery-event-drop
Description-md5: 4df81531925f8e91e6f5d024a70b4e70
Description-en: jQuery Event Drop
 A jquery special event plugin that makes the task of adding complex
 drop interactions, to any element, simple and powerful.

Package: libjs-jquery-fancybox
Description-md5: 2b8cf9a5750e3cca01f43f68e881b9fc
Description-en: fancy lightbox alternative
 FancyBox is a tool for displaying images, html content and multi-media
 in a Mac-style "lightbox" that floats overtop of web page.
 .
  Features:
    * Can display images, HTML elements, SWF movies, Iframes and
      also Ajax requests
    * Customizable through settings and CSS
    * Groups related items and adds navigation.
    * Support fancy transitions by using easing plugin
    * Adds a nice drop shadow under the zoomed item

Package: libjs-jquery-file-upload
Description-md5: 4a47737a6e62081c7169fb70eef3060d
Description-en: file upload widget for jQuery
 File Upload widget with multiple file selection, drag & drop support,
 progress bar, validation and preview images, audio and video for jQuery.
 Supports cross-domain, chunked and resumable file uploads. Works with
 any server-side platform (Google App Engine, PHP, Python, Ruby on Rails,
 Java, etc.) that supports standard HTML form file uploads.

Package: libjs-jquery-fixedtableheader
Description-md5: 3f5616220dc2c821948fbd37379f60cd
Description-en: fixing header row of HTML table
 Fixed Table Header is fixing header row of table without div overflow.
 It is fix header row of table when scroll down the page.

Package: libjs-jquery-flot
Description-md5: 832e917aed0dec193b9410e5ba0420ed
Description-en: plotting library for jQuery
 Flot is a pure JavaScript plotting library for jQuery.
 It produces graphical plots of arbitrary datasets
 on-the-fly client-side. The focus is on simple usage (all
 settings are optional), attractive looks and interactive
 features like zooming and mouse tracking.

Package: libjs-jquery-flot-axislabels
Description-md5: b4bb2bfe2238870be50187a5cdc7d717
Description-en: provides flot with the ability to label axes
 flot-axislabels provides flot with the ability to label axes.
 It supports any number of axes. It can render the labels with
 CSS transforms, in canvas, or with traditional CSS positioning
 ("HTML" mode).

Package: libjs-jquery-flot-docs
Description-md5: 3ef8855adf6879dd86747e7b262022e5
Description-en: Documentation for Flot
 Flot is a pure JavaScript plotting library for jQuery.
 It produces graphical plots of arbitrary datasets
 on-the-fly client-side. The focus is on simple usage (all
 settings are optional), attractive looks and interactive
 features like zooming and mouse tracking.

Package: libjs-jquery-form
Description-md5: b9ebe509567745946f59c4962aff0a17
Description-en: jQuery Form Plugin
 The jQuery Form Plugin allows you to easily and unobtrusively upgrade HTML
 forms to use AJAX. The main methods, ajaxForm and ajaxSubmit, gather
 information from the form element to determine how to manage the submit
 process. Both of these methods support numerous options which allows you to
 have full control over how the data is submitted.

Package: libjs-jquery-fullscreen
Description-md5: d2c71749134a71c29058e05c3b612fd1
Description-en: jQuery FullScreen Plugin
 A jQuery plugin that wraps around the Full Screen API and works
 around various browser differences. Works in FF 10, Chrome and Safari. It
 is useful for presenting users with an easier to read version of your web
 pages, or zooming <canvas> and <video> elements.

Package: libjs-jquery-fullscreen-plugin
Description-md5: 48b0f931add93c7c0fbd92ee0f50fdbc
Description-en: plugin for showing any DOM element on a page in fullscreen mode
 A jQuery 1.7 plugin that wraps around the Full Screen API
 and works around various browser differences. Works in FF
 10, Chrome and Safari. It is useful for presenting users
 with an easier to read version of your web pages, or zooming
 <canvas> and <video> elements.

Package: libjs-jquery-galleriffic
Description-md5: fcb526afa9b089940efbeb54aa466c68
Description-en: jQuery Galleriffic plugin
 Galleriffic is a jQuery plugin that provides a rich, post-back free experience
 optimized to handle high volumes of photos while conserving bandwidth.
 .
 Features:
 .
    * Smart image preloading after the page is loaded
    * Thumbnail navigation (with pagination)
    * jQuery.history plugin integration to support bookmark-friendly
      URLs per-image
    * Slideshow (with optional auto-updating url bookmarks)
    * Keyboard navigation
    * Events that allow for adding your own custom transition effects
    * API for controlling the gallery with custom controls
    * Support for image captions
    * Flexible configuration
    * Graceful degradation when JavaScript is not available
    * Support for multiple galleries per page

Package: libjs-jquery-geo
Description-md5: 96f5cdbf293b3774274db9886fcba016
Description-en: JavaScript mapping API
 jQuery Geo is an open-source geospatial mapping project which provides a
 streamlined JavaScript API for common online mapping needs.

Package: libjs-jquery-gitgraph
Description-md5: 8147e2c09673447b503a8660331b8712
Description-en: convert git log --graph to image with HTML5 canvas
 This jQuery library provides methods for converting the output of
 "git log --graph" to an image using HTML5 canvas.

Package: libjs-jquery-history
Description-md5: 9180fa602b9f16562df870f78ea136e3
Description-en: jQuery history plugin
 The jQuery history plugin helps you to support back/forward buttons and
 bookmarks in your JavaScript applications. The application state can be
 stored into a URL hash and later restored from the hash.

Package: libjs-jquery-hotkeys
Description-md5: 6ad49f724a34e8aa32e5f3b7dd38a9aa
Description-en: easily add and remove handlers for keyboard events anywhere in your code
 jQuery Hotkeys is a plug-in that lets you easily add and remove handlers for
 keyboard events anywhere in your code supporting almost any key combination.
 .
 This plugin is based off of the plugin by Tzury Bar Yochay: jQuery.hotkeys
 (available at http://github.com/tzuryby/hotkeys).

Package: libjs-jquery-i18n
Description-md5: 83f987047d7a2ac5f85894c06cc5d3a6
Description-en: jquery plugin for doing client-side translations
 jQuery-i18n is a jQuery plugin for doing client-side translations in
 javascript. It is based heavily on javascript i18n that almost
 doesn't suck by Marko Samastur

Package: libjs-jquery-idletimer
Description-md5: 3a4da4ba13fe222c64f677be7e19f80d
Description-en: jquery plugin to monitor user activity with a page
 A jQuery plugin that fires a custom event when the user is "idle".
 Idle is defined by not...
 .
  * moving the mouse
  * scrolling the mouse wheel
  * using the keyboard

Package: libjs-jquery-isonscreen
Description-md5: e3bf748b2b5748e1c93209bb32f35a4a
Description-en: detects if an element is visible within the users current view pane
 This method jQuery plugin detects if an element is visible within the users
 current view pane.

Package: libjs-jquery-jfeed
Description-md5: f951f1b6c8b8ef0bccab8dcaa7090e8c
Description-en: jQuery RSS/Atom feed parser plugin
 jFeed is a generic RSS/Atom feed parser, currently parses RSS 0.91, 0.92,
 1.0, 2.0 and Atom 1.0 feeds.

Package: libjs-jquery-jgrowl
Description-md5: ae1cb2ca9ed30d698e3fbbd7aa42acc6
Description-en: notification system for jquery
 jGrowl is a jQuery plugin that raises unobtrusive messages within the browser,
 similar to the way that OS X's Growl Framework works. The idea is simple,
 deliver notifications to the end user in a noticeable way that doesn't obstruct
 the work flow and yet keeps the user informed.

Package: libjs-jquery-jstree
Description-md5: 06a1791d925896080816cb1d256ad1cd
Description-en: jquery plugin providing interactive trees
 jsTree is a jquery plugin, that provides interactive trees for web
 pages. jsTree is easily extendable, themable and configurable, it supports
 HTML & JSON data sources and AJAX loading.

Package: libjs-jquery-jush
Description-md5: f2b1f35eefbab85cabfc9a35d69f07a7
Description-en: jQuery Syntax Highlighter
 JavaScript Syntax Highlighter can be used for client-side syntax highlighting
 of following languages: HTML, CSS, JavaScript, PHP, SQL, HTTP and SMTP
 protocol, php.ini and Apache config.
 .
 Features:
 .
  * Highlights languages embedded into each other
  * Links to documentation of all languages
  * Colors can be easily modified via CSS
  * Recognizes complete PHP syntax including __halt_compiler, heredoc,
    backticks, {$} variables inside strings, namespaces
  * Works in all major browsers including Internet Explorer, Firefox, Opera,
    Google Chrome

Package: libjs-jquery-lazyload
Description-md5: 204047892ddcd59ac3d22f84af570d53
Description-en: Lazy Load Plugin for jQuery
 Lazy Load is a jQuery plugin written in JavaScript. It delays loading of
 images in long web pages. Images outside of viewport (visible part of web
 page) wont be loaded before user scrolls to them. This is opposite of image
 preloading.
 .
 Using Lazy Load on long web pages containing many large images makes the page
 load faster. Browser will be in ready state after loading visible images.
 In some cases it can also help to reduce server load.
 .
 Lazy Load is inspired by YUI ImageLoader Utility by Matt Mlinac.

Package: libjs-jquery-livequery
Description-md5: 133c1d61f6dfaa84ca949c66d89732f2
Description-en: jQuery Live Query
 Live Query (formerly Behavior) utilizes the power of jQuery selectors by
 binding events or firing callbacks for matched elements auto-magically,
 even after the page has been loaded and the DOM updated.

Package: libjs-jquery-markitup
Description-md5: 1f2dcb71f7de76352243266d2b77dce2
Description-en: jQuery based universal markup editor
 markItUp! is a JavaScript plugin built on the jQuery library. It allows
 you to turn any textarea into a markup editor. Html, Textile, Wiki
 Syntax, Markdown, BBcode or even your own Markup system can be easily
 implemented.
 .
 markItUp! is not meant to be a “Full-Features-Out-of-the-Box”-editor.
 Instead it is a very lightweight, customizable and flexible engine made
 to meet the developer's needs in their CMSes, blogs, forums or websites.
 markItUp! is not a WYSIWYG editor, and it never will be.

Package: libjs-jquery-meiomask
Description-md5: 0eb61e1ea0879750f1e6015d772a1bd0
Description-en: jQuery mask plugin
 meioMask is a simple use plugin for creating and applying masks at
 text input fields.
 .
 Features:
 .
  * Accepts paste event;
  * Has fixed, reverse (currency) and repeat mask types;
  * You can still use your hot keys and others (ex: ctrl+t, ctrl+f5, TAB …);
  * Supports metadata plugin;
  * Works with iPhone;
  * Allow default values;
  * Has callbacks for invalid inputs, valid and overflow;
  * Has function to mask strings;
  * Support for positive and negative numbers on reverse masks;
  * Can auto-focus the next form element when the current input is
    completely filled.

Package: libjs-jquery-metadata
Description-md5: 82b6fad279b5aa5463b5e96d011768af
Description-en: jQuery plugin for parsing metadata from elements
 Sets the type of metadata to use. Metadata is encoded in JSON, and each
 property in the JSON will become a property of the element itself.
 .
 There are three supported types of metadata storage:
 .
   attr:  Inside an attribute. The name parameter indicates *which* attribute.
 .
   class: Inside the class attribute, wrapped in curly braces: { }
 .
   elem:  Inside a child element (e.g. a script tag). The name parameter
          indicates *which* element.
 .
 The metadata for an element is loaded the first time the element is accessed
 via jQuery.
 .
 As a result, you can define the metadata type, use $(expr) to load the metadata
 into the elements matched by expr, then redefine the metadata type and run
 another $(expr) for other elements.

Package: libjs-jquery-migrate-1
Description-md5: fd366243e2fb7163cf81426ac4aaf726
Description-en: Migrate older jQuery code to jQuery 1.9+
 This plugin can be used to detect and restore APIs or features that have been
 deprecated in jQuery and removed as of version 1.9.
 The plugin can be included with versions of jQuery as old as 1.6.4 to identify
 potential upgrade issues via its JQMIGRATE console warnings. However, the
 plugin is only required for version 1.9.0 or higher to restore deprecated and
 removed functionality.

Package: libjs-jquery-minicolors
Description-md5: 5ab3b8b923cf2101899847e3affe96ae
Description-en: tiny color picker built on jQuery
 jQuery MiniColors is a tiny color picker, easy to use and working well
 on touch-enabled devices.

Package: libjs-jquery-mobile
Description-md5: acf5cac7566cf31ee323e74273460b81
Description-en: Touch-Optimized Web Framework for Smartphones & Tablets
 A unified, HTML5-based user interface system for all popular mobile
 device platforms, built on the rock-solid jQuery and jQuery UI
 foundation. Its lightweight code is built with progressive
 enhancement, and has a flexible, easily themeable design.

Package: libjs-jquery-mousewheel
Description-md5: 63c7a86bfe98dbd09b61c7800cb5042d
Description-en: jQuery plugin that adds cross-browser mouse wheel support
 In order to use the plugin, simply bind the mousewheel event to an element.
 .
 It also provides two helper methods called mousewheel and unmousewheel  that
 act just like other event helper methods in jQuery.
 .
 This package is suitable for direct use in browser environment.

Package: libjs-jquery-nicescroll
Description-md5: 8d31e38c44faa37b6f0ed1be8ec1fda0
Description-en: jquery plugin, for nice scrollbars
 jquery plugin, for nice scrollbars with a very similar ios/mobile style.
 .
 Easy-to-use solution to have a custom scrollbars compatble with destkop,
 tablet and phone devices.
 .
 It supports DIVs, IFrames, textarea, and document page (body) scrollbars.

Package: libjs-jquery-opacityrollover
Description-md5: d6212fa82deeea3ed3ff5bdb3b98f6d3
Description-en: jQuery Opacity Rollover plugin
 A jQuery plugin for opacity rollover effect.

Package: libjs-jquery-placeholder
Description-md5: d2d3346cc7f2286393164e629caf3287
Description-en: jquery plugin providing html5 placeholders
 A jQuery plugin that enables HTML5 placeholder behavior for browsers
 that aren’t trying hard enough yet.
 .
 Works in all A-grade browsers, including IE6.

Package: libjs-jquery-reflection
Description-md5: 764cfeda73734cb9d782b2a08a6c9ed4
Description-en: jQuery plugin to add reflection effects to images in webpages
 Reflection.js is a jQuery plugin that lets you add reflection effects to
 images shown in modern web browsers.
 .
 Its features are:
  - easy to change the reflection height and opacity, even in fixed or
    relative mode;
  - can respond to user actions through Javascript;
  - degrades in older browsers;
  - the reflections appear instantly under each image.

Package: libjs-jquery-resize
Description-md5: f472296dcd07efa055fcee230f3e88c9
Description-en: jQuery resize
 With jQuery resize event, resize event handlers can be bound to elements other
 than window.

Package: libjs-jquery-resize-doc
Description-md5: cdaa876b5dcff0c7e748388bbc245273
Description-en: jQuery resize (doc)
 With jQuery resize event, resize event handlers can be bound to elements other
 than window.
 .
 This package contains the HTML documentation.

Package: libjs-jquery-scrollto
Description-md5: b2463e6ca30b11c321075619adf190ac
Description-en: scroll the page to a defined place with a nice effect
 jQuery.ScrollTo is a plug-in that lets you easily scroll the page wherever
 you want with some nice effects.
 .
 You can see a demo at <http://demos.flesler.com/jquery/scrollTo>.

Package: libjs-jquery-selectize.js
Description-md5: 8314f5b6362eb76bbe2a0f870b0393a0
Description-en: Extensible jQuery-based custom select UI control
 Selectize is an extensible jQuery-based custom <select> UI
 control. It's useful for tagging, contact lists, country selectors,
 and so on. The goal is to provide a solid & usable experience with a
 clean and powerful API.
 .
 Features
 .
  * Smart Option Searching / Ranking
 .
    Options are efficiently scored and sorted on-the-fly (using
    libjs-sifter.js). Want to search an item's title *and*
    description?  No problem.
 .
  * Caret between items
 .
    Order matters sometimes. Use the left and right arrow keys to move
    between selected items.
 .
  * Select and delete multiple items at once
 .
    Hold down the CTRL key to select more than one item to delete.
 .
  * Díåcritîçs supported
 .
    Great for international environments.
 .
  * Item creation
 .
    Allow users to create items on the fly (async saving is supported;
    the control locks until the callback is fired).
 .
  * Remote data loading
 .
    For when you have thousands of options and want them provided by
    the server as the user types.
 .
  * Clean API and code
 .
    Interface with it and make modifications easily.
 .
  * Extensible
 .
    Plugin API for developing custom features (uses
    libjs-microplugin.js).
 .
  * Touch Support

Package: libjs-jquery-simpletreemenu
Description-md5: 871a80ccab61b2b48e90a569e1c476b5
Description-en: visually-simple menu inspired by the Mac OS X Finder tree
 Simple Tree Menu creates a visually-simple menu inspired by the Mac OS X
 Finder tree.
 .
 It uses a straightforward HTML structure based on <ul> that is the same as
 the Son of Suckerfish drop-down menus, so it's easy to learn, and it degrades
 gracefully.
 .
 There's no complex options to pass in and your preferred style can be created
 in CSS. The source-code download includes CSS and PNG icons to create that
 that Apple Finder look, or you can nest other HTML content to create something
 like Google's calendar navigation.

Package: libjs-jquery-slides
Description-md5: 4ffc4f8a99e112a129746c3eed55c98a
Description-en: Simple slideshow plugin for jQuery
 A simple slideshow plugin for jQuery packed with a useful set of features to
 help novice and advanced developers alike create elegant and user-friendly
 slideshows.

Package: libjs-jquery-slimscroll
Description-md5: d157aad2b5734beec7ee86e8002ba950
Description-en: jquery plugin to transform any div into a scrollable area
 slimScroll is a small jQuery plugin that transforms any div into a scrollable
 area. slimScroll doesn't occupy any visual space as it only appears on a user
 initiated mouse-over.

Package: libjs-jquery-stupidtable
Description-md5: aca772986117e60711170fb17833cfcd
Description-en: jQuery table sorting plugin
 Most table sorting plugins try to account for a limitless number of
 data types and their limitless ways of being presented. This leads to
 an extremely bloated code base with only a tiny portion of the code
 ever used by your project.
 .
 This plugin avoids that issue by letting you define your own ways of
 sorting table columns. The plugin internally recognizes "int",
 "string", "string-ins" (case-insensitive) and "float", so simple data
 tables will take very little effort on your part.

Package: libjs-jquery-tablesorter
Description-md5: 604a81e9737ec1c13939122e93706e45
Description-en: jQuery flexible client-side table sorting plugin
 Tablesorter is a jQuery plugin for turning a standard HTML table with THEAD
 and TBODY tags into a sortable table without page refreshes. Tablesorter can
 successfully parse and sort many types of data including linked data in a
 cell. It has many useful features including:
 .
   * Multi-column alphanumeric sorting and filtering.
   * Multi-tbody sorting
   * Supports Bootstrap v2-4.
   * Parsers for sorting text, alphanumeric text, URIs, integers, currency,
     floats, IP addresses, dates (ISO, long and short formats) and time.
     Add your own easily.
   * Inline editing
   * Support for ROWSPAN and COLSPAN on TH elements.
   * Support secondary "hidden" sorting (e.g., maintain alphabetical sort when
     sorting on other criteria).
   * Extensibility via widget system.
   * Cross-browser: IE 6.0+, FF 2+, Safari 2.0+, Opera 9.0+, Chrome 5.0+.

Package: libjs-jquery-textchange
Description-md5: 362847316bbc216ce0569a5ca735a886
Description-en: detects text changes for input and textarea elements
 Simple cross browser detection of text changes for input and textarea
 elements using a jQuery custom event plugin by ZURB
 .
 Implementing this requires binding events to the keyup event, and a couple
 other events if you want to detect text changes on cut and paste. Even if
 you're a JavaScript god it's tedious to keeping writing this logic over and
 over again. Be smart and use the ZURB text change event plugin instead.

Package: libjs-jquery-textcomplete
Description-md5: a5469560c41fa7d54d6fdc5461e1980f
Description-en: implement auto-complete support for textareas (browserified)
 This jquery plugin implements auto-complete support for textareas, like a
 GitHub/Gitlab comment form or a social network comment box.
 .
 This package provides browserified version of its corrsponding npm module.

Package: libjs-jquery-throttle-debounce
Description-md5: 3e202b3f4792d6c133fa3eedb8c22eab
Description-en: library of rate-limit wrappers for functions
 jQuery throttle / debounce allows you to rate-limit your functions in
 multiple useful ways:
 .
  * Passing a ‘delay’ and ‘callback’ to ‘$.throttle’ returns a new
    function that will execute no more than once every ‘delay’
    milliseconds.
  * Passing a ‘delay’ and ‘callback’ to ‘$.debounce’ returns a new
    function that will execute only once, coalescing multiple
    sequential calls into a single execution at either the very
    beginning or end.
 .
 jQuery isn’t actually required for this library, because nothing
 internal uses any jQuery methods or properties. jQuery is just used
 as a namespace under which these methods can exist.
 .
 This package installs the runtime library.

Package: libjs-jquery-timeago
Description-md5: fc735127b81be182118ac5a4f1c46e28
Description-en: updates fuzzy timestamps
 jQuery plugin that makes it easy to support automatically updating fuzzy
 timestamps (e.g. "4 minutes ago" or "about 1 day ago") from ISO 8601
 formatted dates and times embedded in your HTML (à la microformats).
 .
 Timeago was originally built for use with Yarp.com to timestamp comments.
 .
 # Avoid timestamps dated "1 minute ago" even though the page was opened 10
 minutes ago; timeago refreshes automatically.
 # You can take full advantage of page caching in your web applications,
 because the timestamps aren't calculated on the server.
 # You get to use microformats like the cool kids.

Package: libjs-jquery-timepicker
Description-md5: 856185f351ca562e5cc2cb41e52e4fb7
Description-en: timepicker addon for jQuery UI datepicker
 Web pages that use the jQuery UI datepicker on its own can only
 select timestamps with a resolution of 1 day.  Using this timepicker
 allows the user to specify timestamps with a resolution of 1 minute.

Package: libjs-jquery-tipsy
Description-md5: 01d6c368a6a94c4e41379950db0d814a
Description-en: jQuery Facebook-style tooltip plugin
 Tipsy is a jQuery plugin for creating a Facebook-like tooltips effect
 based on an anchor tag's title attribute.

Package: libjs-jquery-tmpl
Description-md5: a1b6b0e4108956fbfcd0cb938a8ec9e4
Description-en: original official jQuery Templates plugin
 jQuery templates contain markup with binding expressions
 ('Template tags'). Templates are applied to data objects or
 arrays, and rendered into the HTML DOM.

Package: libjs-jquery-treetable
Description-md5: e58a11096391650e1c3994b41055be27
Description-en: jQuery treeTable Plugin
 The treeTable plugin allows you to display a tree in a table, i.e. a
 directory structure or a nested list. Each branch in this tree can be
 collapsed and expanded, just like in a file explorer in most modern
 operating systems.
 .
 Features
 .
   * Display a data tree in a table column.
   * As unobtrusively as possible.
   * Optional collapse/expand behavior on branches (think of
     how a directory structure works in most file explorers).
   * Prepared for drag & drop of branches/nodes.
   * Unlimited tree depth.

Package: libjs-jquery-typeahead
Description-md5: 214b55b5dadf8c2e4179ac58bdc6bb7b
Description-en: Type-ahead autocompletion plugin for JQuery
 The jQuery typeahead plugin provides autocomplete preview on search inputs
 similar to google search with builtin options and deep customization. It is
 a simple clientside library that will improve the user experience on your
 website search input.

Package: libjs-jquery-ui
Description-md5: 62f8222fba3b124d3b0f0f677b19cba7
Description-en: JavaScript UI library for dynamic web applications
 jQuery UI provides abstractions for low-level interaction and
 animation, advanced effects and high-level, themeable widgets,
 built on top of the jQuery JavaScript Library, that you can use
 to build highly interactive web applications.

Package: libjs-jquery-ui-docs
Description-md5: 1acdea27d90e6124c4ad1bc564b8816e
Description-en: Documentation for JQuery-UI
 jQuery UI provides abstractions for low-level interaction and
 animation, advanced effects and high-level, themeable widgets,
 built on top of the jQuery JavaScript Library, that you can use
 to build highly interactive web applications.
 .
 This package provides examples as reference for developers.

Package: libjs-jquery-ui-theme-base
Description-md5: bcfb966db128a8ec76e9fb97ef0adf8d
Description-en: Base theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-black-tie
Description-md5: a943c941037245f95f77215ddf560f50
Description-en: Black Tie theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-blitzer
Description-md5: e010beac90b394f7d3afbfe16ad07eb3
Description-en: Blitzer theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-cupertino
Description-md5: c41b803da178cc76d1e8383198cc52eb
Description-en: Cupertino theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-dark-hive
Description-md5: 96e9908c9c5a4bebb9a400d937097935
Description-en: Dark Hive theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-dot-luv
Description-md5: 8a4db677fd35a057df9f47925a6402c0
Description-en: Dot Luv theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-eggplant
Description-md5: 41d73b6a2df2610f233de76fe4cc7391
Description-en: Eggplant theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-excite-bike
Description-md5: 5fc60eef2dad4bd75f684dd42fe19a0f
Description-en: Excite Bike theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-flick
Description-md5: 712c32ff20e2a17f4f759101810fb408
Description-en: Flick theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-hot-sneaks
Description-md5: 69890fb3629db50440b8d1af1b3e8c96
Description-en: Hot Sneaks theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-humanity
Description-md5: 5fac9b95135b222faaccb173b010d81c
Description-en: Humanity theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-le-frog
Description-md5: b5f44a9fa311d345d618761fc993d128
Description-en: Le Frog theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-mint-choc
Description-md5: a6783873a0bcfff7f37acb6e3721dee0
Description-en: Mint Choc theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-overcast
Description-md5: e6fbc4d1bed4fc8fa61f1b97a87d1b35
Description-en: Overcast theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-pepper-grinder
Description-md5: f2dc80efae9778f59206d3aa050fda8b
Description-en: Pepper Grinder theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-redmond
Description-md5: 8c4a2237c0c4a9519754f6c102b5e3d4
Description-en: Redmond theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-smoothness
Description-md5: 031dc1b565e02b5db6e881e3e41aa94a
Description-en: Smoothness theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-south-street
Description-md5: a1a3511ffbd48e1905b77198607babea
Description-en: South Street theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-start
Description-md5: ac7726fe8a67d9096a188827d2974449
Description-en: Start theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-sunny
Description-md5: a700202d75a2bf6e7a0104ad11bc287f
Description-en: Sunny theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-swanky-purse
Description-md5: 3c20954773aeccb1e698c78a3089f80a
Description-en: Swanky Purse theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-trontastic
Description-md5: f0b4151208ad1f1ccd5ded0c7a87168e
Description-en: Trontastic theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-ui-darkness
Description-md5: 7bc741ed5d918858324217a6c38b94df
Description-en: UI Darkness theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-ui-lightness
Description-md5: 8bf84612685a21225c6da4572bd4e862
Description-en: UI Lightness theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-theme-vader
Description-md5: eac468878eb26cc739609d64c4f59c1f
Description-en: Vader theme for jQuery UI
 This package contains CSS styles and image files

Package: libjs-jquery-ui-touch-punch
Description-md5: a554f7ec0962d541bf754107449bf967
Description-en: duck punch for adding touch events to jQuery UI
 jQuery UI Touch Punch is a small hack that enables the use of touch
 events on sites using the jQuery UI user interface library. Touch
 Punch works by using simulated events to map touch events to their
 mouse event analogs.

Package: libjs-jquery-watermark
Description-md5: 0ddcebb83cb9f6957d6f5a11ee622da4
Description-en: watermark plugin for jQuery
 This jQuery plugin adds watermark capability to HTML input and textarea
 elements.
 .
 A watermark typically appears as light gray text within an input or textarea
 element whenever the element is empty and does not have focus. This provides
 a hint to the user as to what the input or textarea element is used for, or
 the type of input that is required.

Package: libjs-jquery.quicksearch
Description-md5: e8a168f06663e02d44cf659b43e4bb9d
Description-en: plug-in for filtering large data sets with user input
 The jQuery quicksearch plug-in filteres large data sets with user input. It is
 an improved fork of the original work of riklomas which is available at
 https://github.com/riklomas/quicksearch.

Package: libjs-jquery.sparkline
Description-md5: 71e0bb84ec917b35f9b642c2b03aacde
Description-en: library for jQuery to generate sparklines
 This jQuery plugin generates sparklines (small inline charts) directly in
 the browser using data supplied either inline in the HTML, or via javascript.
 .
 The plugin is compatible with most modern browsers and has been tested with
 Firefox 2+, Safari 3+, Opera 9, Google Chrome and Internet Explorer 6, 7, 8,
 9 & 10 as well as iOS and Android.
 .
 The plugin was written by Gareth Watts for Splunk Inc.

Package: libjs-jsencrypt
Description-md5: ce827e49afbd16b31757f5a51c76124a
Description-en: RSA Encryption in JavaScript
 JSEncrypt provides a simple wrapper around the fantastic work done by Tom Wu
 for RSA Encryption in JavaScript (ie: the jsbn Javascript library). JSEncrypt
 works hand-in-hand with openssl.
 .
 With JSEncrypt, you can generate private and public keypairs, then use them to
 encrypt and decrypt.

Package: libjs-jshash
Description-md5: 7eb7c78a95ec35a38174fb0cd2ce2934
Description-en: calculate secure hash algorithms in JavaScript
 JavaScript implementation of some secure hash algorithms:
  * MD5 Message Digest Algorithm (RFC 1321)
  * RIPEMD-160 Algorithm
  * SHA-1 Secure Hash Algorithm (FIPS 180-1)
  * SHA-256 Secure Hash Algorithm (FIPS 180-2)
  * SHA-512 Secure Hash Algorithm (FIPS 180-2)
  * HMAC Keyed-Hashing for Message Authentication (RFC 2104)

Package: libjs-json
Description-md5: bc3af98bd2ae4e54fb727ee9446bdf82
Description-en: JSON encoders/decoders implemented in JavaScript
 JSON is a light-weight, language independent,
 data interchange format.
 See http://www.JSON.org/
 .
 The files in this collection implement JSON encoders/decoders
 in JavaScript.
 .
 JSON became a built-in feature of JavaScript
 when the ECMAScript Programming Language Standard - Fifth Edition
 was adopted by the ECMA General Assembly in December 2009.
 Most of the files in this collection are for applications
 that are expected to run in obsolete web browsers.

Package: libjs-json-editor
Description-md5: 6206085fb9884a650f8835cebdc2e692
Description-en: JSON Schema based editor
 JSON Editor takes a JSON Schema and uses it to generate an HTML form.
 It has full support for JSON Schema version 3 and 4 and can integrate with
 several popular CSS frameworks (bootstrap, foundation, and jQueryUI).

Package: libjs-jsonld
Description-md5: af6cc8d840bb6d9030298a0a5134f03d
Description-en: JSON-LD processor - browser library
 This library is an implementation
 of the JSON-LD specification in JavaScript.
 .
 JavaScript Object Notation for Linked Data (JSON-LD)
 is a method of encoding Linked Data using JSON.
 .
 Linked Data is a method of publishing structured data
 so that it can be interlinked
 and become more useful through semantic queries.
 .
 This package contains jsonld directly usable in web browsers.

Package: libjs-jsrender
Description-md5: dfed83ad8c0537250d0482003149fc3f
Description-en: JavaScript template rendering system
 jsRender is a JavaScript library that allows you to define a boilerplate
 structure once and reuse it to generate content dynamically.
 .
 It is an optimized template rendering system, it can be used to render
 templates to strings, ready for insertion in the DOM.

Package: libjs-jstimezonedetect
Description-md5: c71403c67ccff856178ba912dd1d044a
Description-en: Finds the zone info key representing the timezone setting
 Returns the IANA zone info key for the devices timezone. This is
 useful for detecting the timezone of clients automatically without
 needing to prompt users.
 .
 It does not do geolocation and does not consider historical (before
 2010) timezones.

Package: libjs-jstorage
Description-md5: faf7cb4dad9a6fb60f81117e1beb337b
Description-en: store data locally with JavaScript
 Storage is a cross-browser key-value store database to store data locally
 in the browser - jStorage supports all major browsers, both in desktop
 and in mobile.

Package: libjs-jsxc
Description-md5: 37537c529f3407bb97a8f474f74a1c6b
Description-en: JavaScript XMPP Client
 Real-time chat app. This app requires an external XMPP server (openfire,
 ejabberd etc.).
 .
 You find a list of features, supported protocols and browsers at the homepage
 of Javascript XMPP Client (http://www.jsxc.org).

Package: libjs-knowl
Description-md5: 9efd527ef823286715860d38eb700eab
Description-en: JavaScript library for transclusion of supplementary information
 Like the familiar hyperlink, knowls can be used to provide relevant,
 supplementary information and are referenced from within the body of a web
 page. But unlike the hyperlink, which simply takes you to a new web page, the
 knowl conveniently serves up the information at your original location with the
 click of a mouse button. With a similar click, the knowl then disappears.

Package: libjs-leaflet
Description-md5: 74f122eeb03fd07de102a978a4412570
Description-en: mobile-friendly interactive maps - browser library
 Leaflet is a modern JavaScript library for mobile-friendly interactive
 maps.  Weighing just about 27 KB of JS code, it has all the features
 most developers ever need for online maps.
 .
 Leaflet is designed with simplicity, performance and usability in mind.
 It works efficiently across all major desktop and mobile platforms out
 of the box, taking advantage of HTML5 and CSS3 on modern browsers while
 still being accessible on older ones. It can be extended with many
 plugins, has a beautiful, easy to use and well-documented API and a
 simple, readable source code that is a joy to contribute to.
 .
 This package provides Leaflet library directly usable in web browsers.

Package: libjs-leaflet-geometryutil
Description-md5: ae285831b6673bfe2f336821a1697e10
Description-en: Leaflet utility functions on geometries
 Leaflet.GeometryUtil is a plugin for Leaflet, a JavaScript
 mapping library.
 .
 It provides many additional features regarding geometric
 calculations with geographic coordinates, using the Leaflet
 framework and types.

Package: libjs-leaflet-image
Description-md5: 1233900cc906f15cfaa7dbffc63ec053
Description-en: image export for Leaflet - browser library
 Leaflet-image is a plugin for the Leaflet JavaScript library,
 for exporting images out of Leaflet maps without a server component
 by using Canvas and CORS.
 .
 Leaflet is a JavaScript library for mobile-friendly interactive maps.
 .
 This package provides Leaflet-image library
 directly usable in web browsers.

Package: libjs-leaflet-markercluster
Description-md5: d2f3131163a8824ef39cfcbed977b203
Description-en: transitional package for libjs-leaflet.markercluster
 This is an empty transitional package
 to ease upgrading to libjs-leaflet.markercluster.

Package: libjs-leaflet.markercluster
Description-md5: 32f481750f968ef62ace059fb74a1c43
Description-en: marker clustering functionality for Leaflet - browser library
 Leaflet.markercluster is a plugin for the Leaflet JavaScript library,
 providing beautiful animated marker clustering functionality.
 .
 Leaflet is a JavaScript library for mobile-friendly interactive maps.
 .
 This package provides Leaflet.markercluster library
 directly usable in web browsers.

Package: libjs-less
Description-md5: 81bd52f3610a9bd278c742112d058a5b
Description-en: LESS CSS meta-language - Javascript library
 LESS is a meta-language on top of CSS that’s used to describe the style
 of a document cleanly and structurally, with more power than flat CSS
 allows.  LESS both provides a simpler, more elegant syntax for CSS and
 implements various features that are useful for creating manageable
 stylesheets.
 .
 less.js is the reference implementation of LESS, written in JavaScript.
 .
 This package contains the less.js library usable for dynamic parsing of
 LESS in a web browser.

Package: libjs-lightbox2
Description-md5: 351f8fba085167559dad4dd67b1f1947
Description-en: small javascript library used to overlay images
 Lightbox is small javascript library used to overlay images
 on top of the current page.
 .
 It's a snap to setup and works on all modern browsers.

Package: libjs-lodash
Description-md5: 10e5a6fdc3cee2e0d09f9060b3f5dc39
Description-en: Lo-dash is a Javascript utility library
 Lo-dash is a Javascript utility library delivering
 consistency, customization, performance, & extras for Web Application.

Package: libjs-lrdragndrop
Description-md5: d6ceb2fd766fd6927f7ceb84b1ff0a17
Description-en: drag and drop module for Angularjs
 lrDragNDrop is a drag and drop module for Angularjs which allows one to drag
 items from one collection and drop to another one; or reorder the items within
 the same collection.
 .
 It is "item oriented" which imply:
  * the directives must be used with the standard ngRepeat directive
  * "adorners" can be added
  * works only with non empty collections

Package: libjs-lunr
Description-md5: 59b2f4a6b016f997304fe5a338989797
Description-en: simple full-text search - web browsers
 Lunr.js is a small, full-text search library for use in the browser.
 It indexes JSON documents and provides a simple search interface
 for retrieving documents that best match text queries.
 .
 This package provides Lunr for use directly in web browsers.
 .
 Browsers that do not support ES5 will require a JavaScript shim
 for Lunr to work.
 You can either use Augment.js, ES5-Shim,
 or any library that patches old browsers
 to provide an ES5 compatible JavaScript environment.

Package: libjs-magic-search
Description-md5: f1e21894ccc2487abf1a7595bf5610d6
Description-en: AngularJS widget that provides a UI for faceted and text search
 MagicSearch is an AngularJS directive that provides a UI for both faceted
 filtering and as-you-type filtering. It is intended for filtering tables, such
 as an AngularJS smart-table, but it can be used in any situation where you can
 provide it with facets/options and consume its events.

Package: libjs-markdown-it
Description-md5: 1704f3202474c450af6e5e3c77278cf6
Description-en: javascript markdown parser
 Markdown parser, done right. 100% CommonMark support, extensions, syntax
 plugins & high speed
 .
 Learn more at https://markdown-it.github.io

Package: libjs-markdown-it-html5-embed
Description-md5: 1453ac17693435390e1b1d2c33c0ef4e
Description-en: Markdown-it plugin for embedding audio/video in the HTML5 way
 This is a plugin for markdown-it which adds support for embedding audio/video
 in the HTML5 way.
 .
 markdown-it is a markdown parser.

Package: libjs-markdown-it-sub
Description-md5: 9be1155c66d99ca4839fe8cde09b4dd0
Description-en: javascript markdown parser subscript plugin
 Markdown parser subscript plugin.
 .
 markdown-it is markdown parser, done right. Commonmark support, extensions,
 syntax plugins, high speed - all in one.
  .
 Learn more at https://markdown-it.github.io

Package: libjs-markdown-it-sup
Description-md5: d9fb05820a2a9b79a58cf75891e5ed68
Description-en: javascript markdown parser superscript plugin
 Markdown parser superscript plugin.
 .
 markdown-it is markdown parser, done right. Commonmark support, extensions,
 syntax plugins, high speed - all in one.
  .
 Learn more at https://markdown-it.github.io

Package: libjs-marked
Description-md5: 4c00f7559accd0e619d8beab24dcba14
Description-en: Full-featured markdown parser and compiler
 Marked implements all markdown features while having a high processing
 speed. It is also fully compatible with client-side javascript.
 .
 Additionally Marked also implements github flavored markdown.
 .
 This package contains the javascript module.

Package: libjs-mathjax
Description-md5: 4bab823d3d68857955ffa15c0669f447
Description-en: JavaScript display engine for LaTeX and MathML
 MathJax was designed with the goal of consolidating the recent advances in web
 technologies into a single, definitive, math-on-the-web platform supporting
 the major browsers and operating systems.
 .
 It requires no setup on the part of the user (no plugins to download or
 software to install), so the page author can write web documents that include
 mathematics and be confident that users will be able to view it naturally and
 easily. Simply include MathJax and some mathematics in a web page, and MathJax
 will do the rest.

Package: libjs-mathjax-doc
Description-md5: 6174f230b8c82c1ab3d62fddc3f64fba
Description-en: JavaScript display engine for LaTeX and MathML (documentation)
 MathJax was designed with the goal of consolidating the recent advances in web
 technologies into a single, definitive, math-on-the-web platform supporting
 the major browsers and operating systems.
 .
 It requires no setup on the part of the user (no plugins to download or
 software to install), so the page author can write web documents that include
 mathematics and be confident that users will be able to view it naturally and
 easily. Simply include MathJax and some mathematics in a web page, and MathJax
 will do the rest.
 .
 This package provides HTML documentation of MathJax.

Package: libjs-matrix-js-sdk
Description-md5: 7f8c2c2e3f5bbf9f81d7a39febe87a8a
Description-en: JavaScript Client-Server SDK for the Matrix protocol
 matrix-js-sdk is an SDK that allows JavaScript programs to communicate over
 Matrix, an open, federated communications protocol.
 .
 This package provides the nodejs version of matrix-js-sdk.

Package: libjs-mediaelement
Description-md5: 51b6a2ed13b7891fbc439027a7a7b4a8
Description-en: HTML5 <audio> or <video> player with Flash and Silverlight shims
 Instead of offering an HTML5 player to modern browsers and a totally
 separate Flash player to older browsers, MediaElement.js upgrades them
 with custom Flash and Silverlight plugins that mimic the HTML5
 MediaElement API.
 .
 The current package does not yet provide the Flash and Silverlight plugins.

Package: libjs-merge
Description-md5: 9183e0602894ebbe0de89b0965816a47
Description-en: JavaScript utility for merging multiple objects into one.
 Merge multiple objects into one, optionally creating a new cloned object.
 Similar to the jQuery.extend but more flexible. Works in Node.js and
 the browser.

Package: libjs-microplugin.js
Description-md5: 45ec38dc7bcd03932d350caafa672921
Description-en: Lightweight plugin / dependency system for libraries
 MicroPlugin is a lightweight drop-in plugin architecture for your
 JavaScript library. Plugins can declare dependencies to other plugins
 and can be initialized with options (in a variety of formats). It is
 AMD-compatible and it works identically in Node.js and in a browser.

Package: libjs-mochikit
Description-md5: c1ecfa0a2da0e02321122ecf6d7e7ee0
Description-en: JavaScript library inspired by Python
 MochiKit is a highly documented and well tested suite of JavaScript libraries
 that will help you get things done, fast. It takes ideas from Python and
 Objective-C and adapts them to the world of JavaScript.

Package: libjs-moment
Description-md5: 328a4a8ef1520f887064832b3e02121c
Description-en: Work with dates in JavaScript (library)
 A lightweight JavaScript date library to parse, validate,
 manipulate and format dates.
 .
 This package contains the JavaScript library.

Package: libjs-moment-timezone
Description-md5: 18552cdb9f57ee0c5637d54ae433e8a4
Description-en: Parse and display dates in any timezone
 Parses and displays dates in any configured timezone. This is done
 using the IANA timezone database data. It also enables timezone
 conversion.
 .
 Moment Timezone is a plugin to the Moment.js date and time library.

Package: libjs-mootools
Description-md5: 6d936f7de822360e512ded51e84359e1
Description-en: compact JavaScript framework
 MooTools is a compact, modular, Object-Oriented JavaScript framework
 designed for the intermediate to advanced JavaScript developer.
 It allows you to write powerful, flexible, and cross-browser code
 with its elegant, well documented, and coherent API.

Package: libjs-mousetrap
Description-md5: 1935c9055ec11b33af50d82bb6ec7a26
Description-en: library for handling keyboard shortcuts in Javascript
 Mousetrap is a simple library for handling keyboard shortcuts in
 Javascript. It has support for keypress, keydown, and keyup events
 on specific keys, keyboard combinations, or key sequences.

Package: libjs-mustache
Description-md5: b22ead96467b655d52659bb553bafd1b
Description-en: Mustache rendering engine for Javascript
 Inspired by ctemplate, Mustache is a framework-agnostic way to render
 logic-free views. (As in model-view-controller.)
 .
 As ctemplates says, "It emphasizes separating logic from presentation:
 it is impossible to embed application logic in this template
 language."
 .
 This package provides the Mustache rendering engine for Javascript. Includes
 wrappers for jQuery, MooTools, Dojo, YUI3, and qooxdoo.

Package: libjs-n3
Description-md5: 469113f316e7d0eba3e1b84f6bb5bd91
Description-en: fast asynchronous streaming RDF for JavaScript - browser library
 The N3.js library is an implementation
 of the RDF.js low-level specification at <https://rdf.js.org/>
 that lets you handle RDF in JavaScript easily.
 .
 It offers:
 .
  * Parsing triples/quads
    from Turtle, TriG, N-Triples, N-Quads, and Notation3 (N3)
  * Writing triples/quads
    to Turtle, TriG, N-Triples, and N-Quads
  * Storage of triples/quads in memory
 .
 Parsing and writing is:
  * asynchronous – triples arrive as soon as possible
  * streaming – streams are parsed as data comes in,
    so you can parse files larger than memory
  * fast – by far the fastest spec-compatible parser in JavaScript
 .
 Resource Description Framework (RDF)
 is a standard model for data interchange on the Web.
 .
 This package contains N3.js directly usable in web browsers.

Package: libjs-node-forge
Description-md5: faf643123675d195a5a4b73c1419fb6b
Description-en: JavaScript implementation of TLS and more - browser library
 The Forge software is a fully native implementation
 of the TLS protocol in JavaScript,
 a set of cryptography utilities,
 and a set of tools for developing Web Apps
 that utilize many network resources.
 .
 This package contains Forge directly usable in web browsers.

Package: libjs-objectpath
Description-md5: 39b006cd72ca8e2a0e2785fde6b3120b
Description-en: parses js object paths using both dot and bracket notation
 ObjectPath Parses js object paths using both dot and bracket notation. It then
 can "Stringify" an array of properties into a valid path.

Package: libjs-of-ocaml
Description-md5: 1a5371bc67a83169a2d60423d3c62697
Description-en: OCaml bytecode to JavaScript compiler (runtime)
 Js_of_ocaml is a compiler of OCaml bytecode to JavaScript. It makes
 it possible to run OCaml programs in a web browser. Its key features
 are the following:
  * the whole language, and most of the standard library are
    supported;
  * the generated code can be used with any web server and browser;
  * you can use a standard installation of OCaml to compile your
    programs. In particular, you do not have to recompile a library to
    use it with Js_of_ocaml. You just have to link your program with a
    specific library to interface with the browser APIs.
 .
 This package contains runtime libraries that may be needed by
 server-side programs communicating with clients compiled with
 Js_of_ocaml using JSON.

Package: libjs-of-ocaml-dev
Description-md5: abe95895ab2f33a3262f1c3beb98b8cf
Description-en: OCaml bytecode to JavaScript compiler (development files)
 Js_of_ocaml is a compiler of OCaml bytecode to JavaScript. It makes
 it possible to run OCaml programs in a web browser. Its key features
 are the following:
  * the whole language, and most of the standard library are
    supported;
  * the generated code can be used with any web server and browser;
  * you can use a standard installation of OCaml to compile your
    programs. In particular, you do not have to recompile a library to
    use it with Js_of_ocaml. You just have to link your program with a
    specific library to interface with the browser APIs.
 .
 This package contains development files needed to compile server-side
 (using regular OCaml compiler) and client-side (using Js_of_ocaml)
 programs.

Package: libjs-of-ocaml-doc
Description-md5: 154f370d4fd407c09642220e12f79204
Description-en: OCaml bytecode to JavaScript compiler (documentation)
 Js_of_ocaml is a compiler of OCaml bytecode to JavaScript. It makes
 it possible to run OCaml programs in a web browser.
 .
 This package contains the API reference and examples.

Package: libjs-openlayers
Description-md5: 1829e3e733f65b349b7fca57ee48dc4e
Description-en: JavaScript library for displaying map data in web browsers
 OpenLayers is a pure JavaScript library for displaying map data in
 most modern web browsers, with no server-side dependencies.
 .
 OpenLayers implements a JavaScript API for building rich web-based
 geographic applications, similar to the Google Maps and MSN Virtual
 Earth APIs.

Package: libjs-pdf
Description-md5: b04cde13a406b23e4312d68e3c294855
Description-en: Portable Document Format (PDF) viewer built with HTML5
 PDF.js is a general-purpose, web standards-based platform for parsing and
 rendering PDFs.
 .
 This package offers the viewer as used in some web applications.

Package: libjs-perfect-scrollbar
Description-md5: b767daec0aa5811d67147ff047fa4e38
Description-en: Minimalistic but perfect custom scrollbar plugin (development)
 perfect-scrollbar is minimalistic scrollbar plugin working with
 jQuery or vanilla JavaScript as well.
 .
 learn more at https://utatti.github.io/perfect-scrollbar/

Package: libjs-pie
Description-md5: f312a59e7b30ad656f40296aa4aee904
Description-en: CSS3 box decoration properties for Internet Explorer
 PIE stands for Progressive Internet Explorer. It is an IE attached behavior
 which, when applied to an element, allows IE to recognize and display a number
 of CSS3 properties.

Package: libjs-pie-doc
Description-md5: aa441efb7ae122f1ec570ecb475a6d43
Description-en: CSS3 box decoration properties for Internet Explorer (Documentation)
 PIE stands for Progressive Internet Explorer. It is an IE attached behavior
 which, when applied to an element, allows IE to recognize and display a number
 of CSS3 properties.
 .
 This package contains the documentation.

Package: libjs-popper.js
Description-md5: 266ab0865fbc762714f681fa72795574
Description-en: Javascript library to position poppers in web applications
 A popper is an element on the screen which "pops out" from the natural flow
 of your application.
 Common examples of poppers are tooltips, popovers and drop-downs.

Package: libjs-prefix-free
Description-md5: a1c5a970e998258aad72b6b6e1853d94
Description-en: manage CSS3 browser prefixes client-side
 -prefix-free lets you use only unprefixed CSS properties everywhere. It
 works behind the scenes, adding the current browser’s prefix to any
 CSS code, only when it’s needed.

Package: libjs-prettify
Description-md5: f181027e46872383582621efc9d54d6a
Description-en: syntax highlighting of source code snippets in an html page
 A Javascript module and CSS file that allows syntax highlighting of
 source code snippets in an html page.
 .
 Features:
 .
  * Works on HTML pages
  * Works even if code contains embedded links, line numbers, etc.
  * Simple API : include some JS&CSS and add an onload handler.
  * Customizable styles via CSS. See the themes gallery
  * Supports all C-like, Bash-like, and XML-like languages.
  * Extensible language handlers for other languages.
  * Widely used with good cross-browser support.

Package: libjs-protoaculous
Description-md5: c93d8b4765bf38cfcfbf4f8c17a8eafe
Description-en: Compressed library containing both prototype and scriptaculous
 Provides a packed and compressed version of the prototype and scriptaculous
 javascript libraries.  This is used in many webapps, and the primary advantage
 is that it is faster than the separate prototype/scriptaculous solution.

Package: libjs-prototype
Description-md5: 03c6d7a588833db392e18c1fd0d31a6e
Description-en: JavaScript Framework for dynamic web applications
 Prototype is a JavaScript Framework that aims to ease development of dynamic
 web applications.
 .
 Featuring a unique, easy-to-use toolkit for class-driven development and the
 nicest Ajax library around, Prototype is quickly becoming the codebase of
 choice for web application developers everywhere.

Package: libjs-psl
Description-md5: 6eb398dbe8b4ca75578c9c1c06248c71
Description-en: Domain name parser based on the Public Suffix List
 psl is a JavaScript domain name parser based on the Public Suffix List
 (https://publicsuffix.org/). This implementation is tested against the test
 data hosted by Mozilla and kindly provided by Comodo.
 .
 The Public Suffix List is a cross-vendor initiative to provide an accurate
 list of domain name suffixes. A "public suffix" is one under which Internet
 users can directly register names. Some examples of public suffixes are
 ".com", ".co.uk" and "pvt.k12.wy.us". The Public Suffix List is a list of all
 known public suffixes.
 .
 This package provides the library for browsers.

Package: libjs-punycode
Description-md5: a51d2287f14a610ca4e4442638cfcc3c
Description-en: punycode converter library in javascript
 Robust Punycode converter that fully complies to RFC 3492 and RFC 5891,
 and works on nearly all JavaScript platforms.
 .
 This JavaScript library is the result of comparing, optimizing
 and documenting different open-source implementations
 of the Punycode algorithm

Package: libjs-q
Description-md5: 731a84c60164421797b8be8ed4e8a5a3
Description-en: JavaScript library for promises (CommonJS/Promises/A,B,D)
 Q is a tool for creating and composing asynchronous promises in JavaScript.
 .
 If a function cannot return a value or throw an exception without blocking,
 it can return a promise instead. A promise is an object that represents the
 return value or the thrown exception that the function may eventually provide.
 A promise can also be used as a proxy for a remote object to overcome latency.
 .
 This package contains the q.js JavaScript library.

Package: libjs-queue-async
Description-md5: fc4f880f4784811574fc03ed1557781d
Description-en: asynchronous helper library for JavaScript
 Yet another asynchronous helper library for JavaScript. Think of it as a
 minimalist version of Async.js that allows fine-tuning over parallelism. Or,
 think of it as a version of TameJs that does not use code generation.

Package: libjs-qunit
Description-md5: 58842e2e57c06932332ebb3f95874e72
Description-en: JavaScript Unit Testing framework
 QUnit is a powerful, easy-to-use, JavaScript test suite. It's used by
 the jQuery project to test its code and plugins but is capable of
 testing any generic JavaScript code (and even capable of testing
 JavaScript code on the server-side).
 .
 QUnit is especially useful for regression testing: Whenever a bug is
 reported, write a test that asserts the existence of that particular
 bug. Then fix it and commit both. Every time you work on the code
 again, run the tests. If the bug comes up again - a regression - you'll
 spot it immediately and know how to fix it, because you know what code
 you just changed.
 .
 Having good unit test coverage makes safe refactoring easy and cheap.
 You can run the tests after each small refactoring step and always know
 what change broke something.
 .
 QUnit is similar to other unit testing frameworks like JUnit, but makes
 use of the features JavaScript provides and helps with testing code in
 the browser, e.g. with its stop/start facilities for testing
 asynchronous code.

Package: libjs-rainbow
Description-md5: 6e4af833d60483a406d50b19b7979895
Description-en: code syntax highlighting library written in Javascript
 Rainbow is a code syntax highlighting library written in Javascript.
 .
 It was designed to be lightweight, easy to use, and extendable.
 Moreover, it is completely themable via CSS.

Package: libjs-raphael
Description-md5: 583beeaace242712869baf3f5b5b6755
Description-en: JavaScript library to work with vector graphics
 Raphael is a small JavaScript library that should simplify your work with
 vector graphics on the web. If you want to create your own specific chart or
 imagecrop and rotate widget, for example, you can achieve it simply and
 easily with this library.
 .
 Raphael uses the SVG W3C Recommendation and VML as a base for creating
 graphics. This means every graphical object you create is also a DOM object,
 so you can attach JavaScript event handlers or modify them later. Raphael’s
 goal is to provide an adapter that will make drawing vector art compatible
 cross-browser and easy.

Package: libjs-rdf-canonize
Description-md5: 623b7adcc251bd9666e6329756a20faf
Description-en: RDF Dataset Normalization Algorithm - browser library
 This library is an implementation
 of the RDF Dataset Normalization Algorithm in JavaScript.
 .
 RDF Dataset Normalization is a standardized method
 to normalize RDF datasets,
 needed to ease determining differences,
 to identify using cryptographic hash,
 and to digitally sign for later verification.
 .
 Resource Description Framework (RDF)
 is a standard model for data interchange on the Web.
 .
 This package contains rdf-canonize usable directly in web browsers.

Package: libjs-regenerate
Description-md5: de72ce69ea4e3d2e2c21fa0572b07efe
Description-en: Unicode-aware regular expression generator (JavaScript library)
 It allows you to easily generate JavaScript-compatible regular expressions
 based on a given set of Unicode symbols or code points.
 .
 This package contains the library for in-browser use.

Package: libjs-require-css
Description-md5: 4315cf31578dad94daa0bb66291335fc
Description-en: requiring and optimization with almond support
 This Javascript library allows the construction of scripts that can require
 CSS, using the simple RequireJS syntax. Require-css is fully compatible with
 IE 6+, Chrome 3+, Firefox 3.5+, Opera 10+, iOS.

Package: libjs-requirejs
Description-md5: 85c4d72d0c28598392bc9e4d8ac13c89
Description-en: JavaScript file and module loader
 RequireJS loads plain JavaScript files as well as more defined
 modules. It is optimized for in-browser use, including in a Web
 Worker, but it can be used in other JavaScript environments, like
 Rhino and Node. It implements the Asynchronous Module API
 .
 RequireJS uses plain script tags to load modules/files, so it should
 allow for easy debugging. It can be used simply to load existing
 JavaScript files, so you can add it to your existing project without
 having to re-write your JavaScript files.
 .
 RequireJS includes an optimization tool you can run as part of your
 packaging steps for deploying your code. The optimization tool can
 combine and minify your JavaScript files to allow for better
 performance.
 .
 If the JavaScript file defines a JavaScript module via define(), then
 there are other benefits RequireJS can offer: improvements over
 traditional CommonJS modules and loading multiple versions of a
 module in a page. RequireJS also has a plugin system that supports
 features like i18n string bundles, and text file dependencies.
 .
 RequireJS does not have any dependencies on a JavaScript
 framework. It is dual-licensed -- new BSD or MIT.
 .
 The standard require.js file is around 5.5KB when minified via
 Closure Compiler and gzipped.
 .
 RequireJS works in IE 6+, Firefox 2+, Safari 3.2+, Chrome 3+, and
 Opera 10+.
 .
 This package also provides a script r.js, that has two major functions:
 * Run AMD-based projects in Node and Rhino.
 * Includes the RequireJS Optimizer that combines scripts for optimal
   browser delivery.

Package: libjs-requirejs-text
Description-md5: aee9d77884be5959187f3234507526c6
Description-en: loader plugin for loading text resources
 It is nice to build HTML using regular HTML tags, instead of building up DOM
 structures in script. However, there is no good way to embed HTML in a
 JavaScript file. The best that can be done is using a string of HTML, but that
 can be hard to manage, particularly for multi-line HTML.
 .
 The text.js AMD loader plugin can help with this issue. It will automatically
 be loaded if the text! prefix is used for a dependency.

Package: libjs-reqwest
Description-md5: 25e3e1d6efeee136b22f6ad472963888
Description-en: javascript wrapper for asynchronous HTTP requests
 Reqwest is a Javascript wrapper for asynchronous HTTP requests.

Package: libjs-rickshaw
Description-md5: dbb32492898c7ffad922997903005871
Description-en: JavaScript toolkit for interactive time series graph
 Rickshaw is a JavaScript toolkit for creating interactive time series
 graphs. Rickshaw provides the elements you need to create interactive
 graphs: renderers, legends, hovers, range selectors, etc. You put the
 pieces together.

Package: libjs-rtcpeerconnection-shim
Description-md5: c5a3ea43f3e83c3dfe3784f77dda85db
Description-en: RTCPeerConnection API implemented ontop of ORTC
 RTCPeerConnection shim i an implementation
 of the W3C RTCPeerConnection API
 as a shim ontop of the ORTC API.
 .
 The RTCPeerConnection API
 enables audio and video communication between peers.
 It performs signal processing, codec handling,
 peer-to-peer communication, security, and bandwidth management.
 .
 Object Real-Time Communications (ORTC) provides a powerful API
 for the development of WebRTC based applications.
 .
 WebRTC (Web Real-Time Communication) is a project
 that provides web browsers and mobile applications
 with real-time communication (RTC)
 via simple application programming interfaces (APIs).

Package: libjs-rx
Description-md5: 04447d298c8ab50735d3768cecacbb5a
Description-en: Reactive Extensions for JavaScript
 A set of libraries to compose asynchronous and event-based
 programs using observable collections andArray#extras style
 composition in JavaScript.
 .
 This is the pure-JavaScript library.

Package: libjs-s5
Description-md5: d6df1ab0dcae1fed9f8865859bf98699
Description-en: simple HTML-based presentation system - template content
 S5 is a slide show format based entirely on XHTML, CSS, and JavaScript.
 With one file, you can run a complete slide show and have a
 printer-friendly version as well. The markup used for the slides is
 very simple, highly semantic, and completely accessible. Anyone with
 even a smidgen of familiarity with HTML or XHTML can look at the markup
 and figure out how to adapt it to their particular needs. Anyone
 familiar with CSS can create their own slide show theme. It's totally
 simple, and it's totally standards-driven.
 .
 This package contains the JavaScript, CSS, and image files needed to
 create an S5 template.

Package: libjs-sax
Description-md5: b0c75ec2abeb86e0a000a28ee4e0e437
Description-en: event-based streaming XML parser - JavaScript library
 A sax-style parser for XML and HTML.
 .
 It's a very simple tool to parse through an XML string, or to create a
 streaming HTML parser.

Package: libjs-sciencejs
Description-md5: 26f58840f23fac1aa426822f376f9487
Description-en: JavaScript library for scientific and statistical computing
 This library contains two modules. science.stats module contains
 various implementations of statistical methods similar to those
 provided by R. science.lin contains methods for linear algebra.

Package: libjs-scriptaculous
Description-md5: 9a8828d42d5f78a8c196ca0dc64bf952
Description-en: JavaScript library for dynamic web applications
 script.aculo.us is a JavaScript library providing dynamic visual effects and
 user interface elements via the Document Object Model.
 .
 script.aculo.us extends the Prototype Javascript Framework by adding visual
 effects, user interface controls, and utilities. It is most notably included
 with Ruby on Rails, but also provided separately to work with other web
 application frameworks and scripting languages.

Package: libjs-sdp
Description-md5: 5b549ddc7d7cd50c4901229c61a4392f
Description-en: SDP parsing and serialization utilities
 This library implements
 SDP parsing and serialization utilities in JavaScript.
 .
 The Session Description Protocol (SDP) is a format
 for describing streaming media communications parameters.

Package: libjs-should
Description-md5: 50f4733e850df33027264e91ca4d3a28
Description-en: behavior driven test assertions library - browser module
 Should is an expressive, readable, test framework agnostic, assertion library.
 It helps keeping test code clean and error messages helpful.
 .
 It extends Object.prototype with a single non-enumerable getter that
 allows one to express how the object should behave.
 .
 This package provides should for use directly in web browsers.

Package: libjs-sifter.js
Description-md5: f77506f26940f60a83ef58b9958d36e0
Description-en: Library for textually searching arrays and hashes of objects
 Sifter is a client and server-side library (via UMD) for textually
 searching arrays and hashes of objects by property – or multiple
 properties. It's designed specifically for autocomplete. The process
 is three-step: score, filter, sort.
 .
  * Supports díåcritîçs.
 .
    For example, if searching for "montana" and an item in the set has
    a value of "montaña", it will still be matched. Sorting will also
    play nicely with diacritics.
 .
  * Smart scoring.
 .
     Items are scored / sorted intelligently depending on where a
     match is found in the string (how close to the beginning) and
     what percentage of the string matches.
 .
  * Multi-field sorting.
 .
     When scores aren't enough to go by – like when getting results
     for an empty query – it can sort by one or more fields. For
     example, sort by a person's first name and last name without
     actually merging the properties to a single string.
 .
  * Nested properties.
 .
     Allows one to search and sort on nested properties so you can
     perform search on complex objects without flattening them simply
     by using dot-notation to reference fields (ie. nested.property).

Package: libjs-simile-timeline
Description-md5: eb9d22aa6adee5e3dca431b2d1811e53
Description-en: JavaScript library for web-based interactive timelines
 Timeline is a DHTML-based AJAX-based widget for visualizing time-based
 events. It uses the "slippy page" concept in a similar way to Google Maps
 allowing the user to pan the timeline by dragging the page horizontally.
 .
 Each timeline can consist of multiple "bands", each with a configurable
 scale, which can provide useful context when displaying a large number
 of items. Timelines can be populated directly via XML or JSON.

Package: libjs-simple-is
Description-md5: 094c22f0c8d304848350c9525338c3e2
Description-en: maximally minimal type-testing library (JavaScript lib)
 Maximally minimal type-testing library, to make code more
 readable.
 .
 This package contains the library for in-browser use.

Package: libjs-simplemde
Description-md5: 8f9c15fbb859f8d1e0de1351c609832d
Description-en: JavaScript library providing a simple Markdown editor
 This JavaScript library provides an embedded Markdown editor. This
 WYSIWYG-style editor allows users less familiar with the Markdown syntax
 to use a familiar toolbar buttons and shortcuts. In addition, the syntax
 is rendered while editing to clearly show the expected result. This
 library also provides built-in automatic saving and spell checking.

Package: libjs-sink-test
Description-md5: a36c1bb376a51f4a20453f59718f437c
Description-en: Asynchronous JavaScript Unit Testing Framework
 Sink test is used to test JavaScript that is run asynchronously
 whereby you can specify the number of expectations and Sink will
 tell you if they each pass successfully or call an optional
 complete() callback to indicate test completion.
 .
 This package contains the JavaScript library.

Package: libjs-sizzle
Description-md5: f4d6ff96b6b8c39ed5bfa6e75d96aacc
Description-en: Pure-JavaScript CSS selector engine
 Features:
  - completely standalone (no library dependencies)
  - competitive performance for most frequently used selectors
  - only 4KB minified and gzipped
  - highly extensible with easy-to-use API
  - designed for optimal performance with event delegation
  - clear IP assignment (all code held by the Dojo Foundation, contributors
    sign CLAs)

Package: libjs-skeleton
Description-md5: bf45e81cde3685b4a556d579390cc533
Description-en: Minimalistic CSS boilerplate framework
 A minimalistic CSS framework for small projects. The whole framework
 fits in around 400 lines of CSS and does not require javascript or
 "build" tools.

Package: libjs-slick
Description-md5: 0308925a39003f2a662580147958011f
Description-en: responsive carousel jQuery plugin
 slick is a responsive carousel jQuery plugin that supports multiple
 breakpoints, CSS3 transitions, touch events/swiping and much more.
 .
 Features:
  * Fully responsive. Scales with its container.
  * Separate settings per breakpoint
  * Uses CSS3 when available. Fully functional when not.
  * Swipe enabled. Or disabled, if you prefer.
  * Desktop mouse dragging
  * Infinite looping.
  * Fully accessible with arrow key navigation
  * Add, remove, filter and unfilter slides
  * Autoplay, dots, arrows, callbacks...

Package: libjs-slimbox
Description-md5: 9109524945c81bf73afff833fa8699e0
Description-en: Slimbox is a visual clone of the popular Lightbox
 Slimbox is a 4 KB visual clone of the popular Lightbox 2 script by Lokesh
 Dhakar, written using the jQuery javascript library (in version 2) or the
 MooTools javascript framework (in version 1). It was designed to be very small,
 efficient, standards-friendly, fully customizable, more convenient and 100%
 compatible with the original Lightbox 2.
 .
 Although the design and base functionalities are the same as Lightbox, the code
 was written from scratch and is constantly improved.

Package: libjs-sockjs
Description-md5: f333428519fcf55c107ca9136af417fc
Description-en: WebSocket emulation - Javascript client
 SockJS is a browser JavaScript library that provides a WebSocket-like object.
 SockJS gives you a coherent, cross-browser, Javascript API which creates a low
 latency, full duplex, cross-domain communication channel between the browser
 and the web server.
 .
 Under the hood SockJS tries to use native WebSockets first. If that fails it
 can use a variety of browser-specific transport protocols and presents them
 through WebSocket-like abstractions.
 .
 SockJS is intended to work for all modern browsers and in environments which
 don't support WebSocket protocol, for example behind restrictive corporate
 proxies.

Package: libjs-soundmanager2
Description-md5: 2e835dd8468da9fba8cfd3bd9049d1fd
Description-en: cross-platform audio player API
 SoundManager 2 makes it easy to play audio using JavaScript by wrapping
 and extending HTML5 and Flash Audio APIs.
 .
 The current package does not yet provide the Flash 9/AS3 shim (it falls
 back to Flash 8/AS2 if HTML5 is not available).

Package: libjs-source-map
Description-md5: 7677818b7d5ca11aa2bfbded447461e7
Description-en: Mozilla source map generator and consumer - JavaScript library
 Mozilla implementation of source map generator and consumer, for source
 maps written in the Asynchronous Module Definition format.
 .
 Source maps provide a language-agnostic way to compile back production
 code to the original source code.

Package: libjs-spectre
Description-md5: 20e405593f3ef9eec7c4f2e0c1e4fa14
Description-en: lightweight, responsive and modern CSS framework
 Lightweight (~10KB gzipped) starting point for your projects.
 Flexbox-based, responsive and mobile-friendly layout system,
 elegant elements and CSS components.

Package: libjs-spectre-docs
Description-md5: 10a83ac6604fb886fd5822d225a86507
Description-en: lightweight, responsive and modern CSS framework - documentation
 Lightweight (~10KB gzipped) starting point for your projects.
 Flexbox-based, responsive and mobile-friendly layout system,
 elegant elements and CSS components.
 Documentations package.

Package: libjs-spin.js
Description-md5: 856c750d85e5ed7e1c27c4a837208129
Description-en: animated CSS3 loading spinner
 Spin.js is an animated CSS3 loading spinner with VML fallback for IE. It
 features:
  * No images, no external CSS
  * No dependencies
  * Highly configurable
  * Resolution independent
  * Uses VML as fallback in old IEs
  * Uses @keyframe animations, falling back to setTimeout()
  * Works in all major browsers, including IE6
  * Small footprint (~1.9K gzipped)

Package: libjs-sprintf-js
Description-md5: 80cfaa8310c296d8b9259cb101486eb3
Description-en: JavaScript sprintf implementation
 This package is a javascript implementation of C sprintf (3).
 This function composes a string with the same text that would be printed if
 format was used on printf, but instead of being printed, the content is
 stored as a string in the buffer pointed by a str argument.
 .
 This package include the minified javascript files that could be used in
 browser context.

Package: libjs-stringmap
Description-md5: 7abe3e4ff5f4505191b77c0cfc64f6b8
Description-en: fast and robust stringmap (JavaScript lib)
 A fast and robust stringmap implementation that
 can hold any string keys, including __proto__,
 with minimal overhead compared to a plain object.

Package: libjs-strophe
Description-md5: 1160f883f3145b1b05f357c931732d32
Description-en: Library for writing XMPP clients
 Strophe provides the following features:
 .
   * XMPP compliant
   * TLS support via SChannel, GNUTLS or OpenSSL
   * SASL authentication using ANONYMOUS, DIGEST-MD5 or PLAIN methods
     as well as legacy jabber authentication
   * Customizable logging and memory management

Package: libjs-term.js
Description-md5: bd487aff091a9be235964ab62496aae0
Description-en: full xterm clone written in javascript
 Term.js is a full xterm clone written in javascript. It is a fork from the
 original work of Fabrice Bellard's javascript vt100 for jslinux (with the
 author's permission. The original design remains. The terminal itself has been
 extended to include xterm CSI codes, among other features.

Package: libjs-terser
Description-md5: ddd9405d8fbb06dac1d3c1270bce5646
Description-en: parser/mangler/compressor for ES6+ - browser library
 Terser is a parser, mangler, optimizer and beautifier toolkit
 for ECMAScript 2015 and newer (ES6+).
 .
 terser is a fork of uglify-es
 that retains API and CLI compatibility with uglify-es
 (Debian packages node-uglify-js, libjs-uglify-js, and uglifyjs).
 .
 ECMAScript 2015 (ES2015) a.k.a. ECMAScript 6 (ES6)
 is the 6th formal definition of JavaScript -
 a high-level, interpreted programming language
 most notably used in web browsers and in Node.js.
 .
 This package contains Terser directly usable in web browsers.

Package: libjs-text-encoding
Description-md5: 2f8d45958b1ac22ce5f61ff99085e152
Description-en: Polyfill for the Encoding Living Standard's API (JavaScript lib)
 Provides a polyfill for the Encoding Living Standard's API for the
 Web, allowing encoding and decoding of textual data to and from
 Typed Array buffers for binary data in JavaScript.

Package: libjs-three
Description-md5: 10d040d6b847d3cfa05dd8b3d71941c2
Description-en: lightweight 3D graphics library
 JavaScript library that provides a high level API to create 3D
 graphics in the browser using WebGL.

Package: libjs-tinycon
Description-md5: 851b398d1ad2e151af30e5ee0e9b0119
Description-en: Manipulate the favicon
 Javascript library to manipulate the favicon, in particular adding
 alert bubbles and changing images.
 It gracefully falls back to a number in title approach for browsers
 that don't support canvas or dynamic favicons.

Package: libjs-traverse
Description-md5: de6821924d398297df927c95436739be
Description-en: recursively traverse objects in Javascript
 node-traverse is a Node.js module that lets you Traverse and transform
 objects by visiting every node on a recursive walk.
 .
 This package contains the browser-version of node-traverse.

Package: libjs-trust-json-document
Description-md5: b3aa9a66bff051e111c7604431b266a6
Description-en: JSON Document manipulation library - browser library
 Model and manipulate data with ES6 classes,
 JSON Schema initialization and validation,
 JSON Patch, JSON Pointer, and JSON Mappings.
 .
  * works in Node.js and the browser
  * compiled schema initialization and validation methods
  * high-level JSONDocument class for ease of use
  * zero production dependencies
  * compatible with webpack
 .
 This package provides JSON Document for use directly in web browsers.

Package: libjs-tv4
Description-md5: 188ea1c8ec4399110ca554056ae98dd1
Description-en: tiny validator for JSON schema v4
 json-schema draft v4 allows one to validate simple values and complex objects
 using a rich validation vocabulary.

Package: libjs-twitter-bootstrap-datepicker
Description-md5: cd2bb0e2a200173db435ae9d39e12f36
Description-en: add datepicker picker to field or to any other element
 libjs-twitter-bootstrap-datepicker shows a Javascript calendar object when the
 user clicks on a date field, or on the widget next to it. The users can then
 select a date conveniently.
 .
 This plugin needs libjs-twitter-bootstrap.

Package: libjs-twitter-bootstrap-wizard
Description-md5: 59fe1dd3d9dce60df4f55fb650e0d80a
Description-en: wizard using a formatted tabbable structure
 This Twitter Bootstrap plugin builds a wizard using a formatted tabbable
 structure. It allows one to build a wizard functionality using buttons to go
 through the different wizard steps and using events allows one to hook into
 each step individually.
 .
 This Javascript library requires jQuery v1.3.2 or later, and supports
 Bootstrap 2.2.x, 2.3.x, 3.0.

Package: libjs-typedarray-to-buffer
Description-md5: 9c3d0f2f067477db4318be82af4bc4e7
Description-en: JavaScript utility converting TypedArray to buffer without copy
 Convert a typed array to a Buffer without a copy.

Package: libjs-uglify
Description-md5: a74d652e69639b6fdc4484d811dca661
Description-en: UglifyJS in library form
 UglifyJS is a JavaScript compressor/minifier written in JavaScript. It
 also contains tools that allow one to automate working with JavaScript
 code:
 .
  * A parser which produces an abstract syntax tree (AST) from
    JavaScript code.
  * A code generator which outputs JavaScript code from an AST, also
    providing the option to get a source map.
  * A compressor (optimizer) - it uses the transformer API to optimize
    an AST into a smaller one.
  * A mangler - reduce names of local variables to (usually)
    single-letters.
  * A scope analyzer, which is a tool that augments the AST with
    information about where variables are defined/referenced etc.
  * A tree walker - a simple API allowing you to do something on every
    node in the AST.
  * A tree transformer - another API intended to transform the tree.
 .
 All the above utilities and APIs are defined in ~6500 lines of code
 (except for the effective generation of the source-map, which is
 handled by the source-map module). Compared to alternatives, UglifyJS
 is pretty small.
 .
 This package provides UglifyJS in library form for use by other Javascript
 packages and web applications.
 .
 NB! This package is deprecated!
 Please consider using libjs-uglify-js instead.

Package: libjs-uglify-js
Description-md5: c0e9e1ab2dc92eafd973642721237727
Description-en: JavaScript parser, mangler/compressor and beautifier - browser library
 UglifyJS is a JavaScript compressor/minifier written in JavaScript. It
 also contains tools that allow one to automate working with JavaScript
 code:
 .
  * A parser which produces an abstract syntax tree (AST) from
    JavaScript code.
  * A code generator which outputs JavaScript code from an AST, also
    providing the option to get a source map.
  * A compressor (optimizer) - it uses the transformer API to optimize
    an AST into a smaller one.
  * A mangler - reduce names of local variables to (usually)
    single-letters.
  * A scope analyzer, which is a tool that augments the AST with
    information about where variables are defined/referenced etc.
  * A tree walker - a simple API allowing you to do something on every
    node in the AST.
  * A tree transformer - another API intended to transform the tree.
 .
 All the above utilities and APIs are defined in ~6500 lines of code
 (except for the effective generation of the source-map, which is
 handled by the source-map module). Compared to alternatives, UglifyJS
 is pretty small.
 .
 This package provides UglifyJS library directly usable in web browsers.

Package: libjs-underscore.string
Description-md5: dd5363e2698e895244234689cf05a184
Description-en: String manipulation extensions - browser library
 JavaScript lacks complete string manipulation operations, this an
 attempt to fill that gap.
 .
 This package contains underscore.string usable directly in web browsers.

Package: libjs-unorm
Description-md5: 370474f174ee2d1e487a27a98def17c3
Description-en: Common JS Unicode Normalizer (client/browser)
 Normalization is a process that involves transforming characters and sequences
 of characters into a formally-defined underlying representation. This process
 is most important when text needs to be compared for sorting and searching,
 but it is also used when storing text to ensure that the text is stored in a
 consistent representation.
 .
 This package provides a Common JS Unicode Normalizer (client/browser version).

Package: libjs-util
Description-md5: 8067a75d639c18d3190d9b3ab81a91ad
Description-en: NodeJS/JavaScript util module
 Provides various utilities for regular expressions, deprecating
 functions, inspecting variables and other useful things.

Package: libjs-vue
Description-md5: ffd3a489e83c3471b795dc55fe2b6110
Description-en: Core library of the Vue JavaScript framework, browser build
 Vue is a flexible, non-opinionated, non-monolithic, incrementally adoptable
 JavaScript framework for building user interfaces with JavaScript or
 TypeScript.
 .
 It provides data-reactive components with a simple and flexible API.
 .
 The core library focuses on declarative rendering and component composition.
 .
 Vue core when used in combination with its supporting libraries and modern
 tooling is also perfectly capable of powering sophisticated Single-Page
 Applications.
 .
 This package contains the browser (UMD) build.

Package: libjs-vue-router
Description-md5: 9b26dd52097f7bb914dd0a2eb384ee49
Description-en: official router for Vue.js
 vue-router deeply integrates with Vue.js core to make building Single Page
 Applications with Vue.js a breeze. Features include:
  - Nested route/view mapping
  - Modular, component-based router configuration
  - Route params, query, wildcards
  - View transition effects powered by Vue.js' transition system
  - Fine-grained navigation control
  - Links with automatic active CSS classes
  - HTML5 history mode or hash mode, with auto-fallback in IE9
  - Customizable Scroll Behavior

Package: libjs-webcomponentsjs-custom-element-v0
Description-md5: 463d38007f476551d5e2f694a47a8f2e
Description-en: CustomElements polyfill from the webcomponentsjs project
 This library contains the CustomElements polyfill (code that implements
 functionality that isn't supported by a particular browser) from the
 webcomponentsjs project.

Package: libjs-webrtc-adapter
Description-md5: fdd99f087db337f32507ed2d893c655b
Description-en: shim to insulate apps from WebRTC quirks - browser library
 adapter.js is a shim to insulate apps
 from spec changes and prefix differences.
 In fact, the standards and protocols used for WebRTC implementations
 are highly stable,
 and there are only a few prefixed names.
 .
 WebRTC (Web Real-Time Communication) is a project
 that provides web browsers and mobile applications
 with real-time communication (RTC)
 via simple application programming interfaces (APIs).
 .
 This package contains adapter.js directly usable in web browsers.

Package: libjs-websocket
Description-md5: bf90c40e91a26e3b4217c1283b54e56f
Description-en: WebSocket implementation for NodeJS, standalone API for browser
 An implementation of the WebSocket API for NodeJS.
 .
 This is the code for using the API in the browser, where a WebSocket
 implementation is already present.

Package: libjs-xmlextras
Description-md5: 15b187f975f1b4331a908e2781c1751f
Description-en: creates a common interface to use of the XML objects provided by IE and Mozilla
 The usage of XML inside the browser has been around for a while
 but not until lately has it been possible to get this to work
 in a satisfactory way in more than one browser. A while back
 Mozilla added support for creating MS compatible XML classes
 scriptable from JavaScript.
 .
 This script creates a common interface for Mozilla and IE
 and also extends the Mozilla classes a little to make
 them behave even more like the Microsoft interfaces.

Package: libjs-xterm
Description-md5: 3c5fd37ab6e3122e45467c151886a870
Description-en: terminal front-end component for the browser - browser library
 Xterm.js is a terminal front-end component written in JavaScript that works in
 the browser.
 .
 It enables applications to provide fully featured terminals to their users and
 create great development experiences.
 .
 Features:
 .
   - **Text-based application support**: Use xterm.js to work with applications
     like `bash`, `git` etc.
   - **Curses-based application support**: Use xterm.js to work with
     applications like `vim`, `tmux` etc.
   - **Mouse events support**: Xterm.js captures mouse events like click and
     scroll and passes them to the terminal's back-end controlling process
   - **CJK (Chinese, Japanese, Korean) character support**: Xterm.js renders
     CJK characters seamlessly
   - **IME support**: Insert international (including CJK) characters using IME
     input with your keyboard
   - **Self-contained library**: Xterm.js works on its own. It does not require
     any external libraries like jQuery or React to work
   - **Modular, event-based API**: Lets you build addons and themes with ease
 .
 This package contains the standalone packed library suitable for running in
 a web browser.

Package: libjs-yamm3
Description-md5: e6b265fdcb1e1a53156d7e057e8c8ed3
Description-en: Yet another megamenu for Bootstrap 3 from Twitter
 Lightweight and pure CSS megamenu that uses the standard navbar markup
 and the fluid grid system classes from Bootstrap 3. Works for fixed and
 responsive layout and has the facility to include (almost) any Bootstrap
 elements.

Package: libjs-yui3-common
Description-md5: 4960080d6c1488b99441c073af33c061
Description-en: Yahoo User Interface Library v3 (common files)
 A collection of JavaScript and CSS resources that make it easier to build
 richly interactive applications in web browsers.   The library currently
 includes:
 .
  * utility classes for animation, browser history, AJAX, cookies, caching
    drag and drop. safe cross-site data retrieval, dynamic script/css loading,
    image loading, selectors, JSON, internationalization, DOM and browser
    events, and more.
  * many user interface widgets.
  * Cascading stylesheets for browser-independent grids and fonts.
 .
 This package contains images, css files and other assets which are used by
 the min, debug, and full packages.

Package: libjs-yui3-debug
Description-md5: 60306f68bb183d5fadfe4a5f85133b9c
Description-en: Yahoo User Interface Library v3 (debug files)
 A collection of JavaScript and CSS resources that make it easier to build
 richly interactive applications in web browsers.
 .
 This package contains versions of the javascript files suitable for debugging.

Package: libjs-yui3-doc
Description-md5: c4db61fe92d2d7566985ecc817f462bb
Description-en: Documentation and examples for the Yahoo User Interface Library v3
 This package contains documentation for the Yahoo User Interface JavaScript
 library version 3.

Package: libjs-yui3-full
Description-md5: 7eba1f5e96ed5bbbf9f2d95433616851
Description-en: Yahoo User Interface Library v3 (full, uncompressed files)
 A collection of JavaScript and CSS resources that make it easier to build
 richly interactive applications in web browsers.
 .
 This package contains the full, unobfuscated and uncompressed, versions of the
 javascript files.

Package: libjs-yui3-min
Description-md5: e7decf9f0ec25aeda783e2498b7c73e7
Description-en: Yahoo User Interface Library v3 (minified files)
 A collection of JavaScript and CSS resources that make it easier to build
 richly interactive applications in web browsers.
 .
 This package contains versions of the javascript files which have been
 minified for efficiency.

Package: libjs-zeparser
Description-md5: e646ab246b37c13cbc4ebea7c268bab7
Description-en: Javascript library for parsing Javascript code
 Parse Javascript code elements with client-side Javascript (browser). The
 parser has two modes for parsing: simple and extended.
 .
 The simple mode is mainly for just parsing and returning the streams and a
 simple parse tree. There's not so much meta information here and this
 mode is mainly built for speed.
 .
 The extended mode has everything required for Zeon to do its job.
 .
 This package provides ZeParser as a Javascript library.

Package: libjsamp-java
Description-md5: 871c5fc043082425f7c32389e251499a
Description-en: Java Simple Application Messaging Protocol library
 The Simple Application Messaging Protocol (SAMP) is a messaging
 protocol that enables astronomy software to interoperate and
 communicate as part of the Virtual Observatory (VO).  JSAMP provides
 a hub implementation for SAMP, suitable for standalone or embedded
 use.
 .
 JSAMP also offers a set of classes which can be used to implement SAMP
 capabilities in client applications. JSAMP provides a hub test and a
 benchmarking suite to examine the correctness and performance of
 third-party hub implementations. The software includes hub and client
 implementations of the standard and web profiles and a bridge
 component, which allows clients on different hubs to talk to each
 other.

Package: libjsamp-java-doc
Description-md5: beaf9da134b9fd3b1f318901ec81895d
Description-en: Java Simple Application Messaging Protocol library docs
 The Simple Application Messaging Protocol (SAMP) is a messaging
 protocol that enables astronomy software to interoperate and
 communicate as part of the Virtual Observatory (VO).  JSAMP provides
 a hub implementation for SAMP, suitable for standalone or embedded
 use.
 .
 JSAMP also offers a set of classes which can be used to implement SAMP
 capabilities in client applications. JSAMP provides a hub test and a
 benchmarking suite to examine the correctness and performance of
 third-party hub implementations. The software includes hub and client
 implementations of the standard and web profiles and a bridge
 component, which allows clients on different hubs to talk to each
 other.
 .
 This package contains the JavaDoc documentation of the package.

Package: libjsap-java
Description-md5: eab5fcbc05f05698136146c22ff62e35
Description-en: Java Simple Argument Parser
 Library which ease the parsing of arguments (e.g. from a command line)
 for Java developers.
 It has features like:
   * support both switch and flags
   * cast the arguments to the expected type of object
   * can handle configuration file with default values

Package: libjsap-java-doc
Description-md5: f52d16b7db1e8f52553e8671ff05a442
Description-en: Java Simple Argument Parser (documentation)
 Library which ease the parsing of arguments (e.g. from a command line)
 for Java developers.
 It has features like:
   * support both switch and flags
   * cast the arguments to the expected type of object
   * can handle configuration file with default values
 .
 This package contains the API documentation of libjsap-java.

Package: libjsch-agent-proxy-java
Description-md5: a072cff104cd70b174a5877e1de9e4f5
Description-en: Proxy to ssh-agent and Pageant in Java
 jsch-agent-proxy is a proxy program to OpenSSH's ssh-agent and Pageant included
 in Putty. It can be easily integrated into JSch, and users can  use those
 programs in authentications. This software has been developed for JSch, but it
 is easily applicable to other ssh2 implementations in Java.

Package: libjsch-java
Description-md5: 33dfa5404c0eb26cb5a3a4b05480dd10
Description-en: Java implementation of the SSH2 protocol
 JSch allows your programs to connect to an SSH server. It supports
 port forwarding, X11 forwarding, file transfer and more.

Package: libjsch-java-doc
Description-md5: 37caeca4f9127f323990d4976f551824
Description-en: Java implementation of the SSH2 protocol - documentation
 JSch allows your programs to connect to an SSH server. It supports
 port forwarding, X11 forwarding, file transfer and more.
 .
 This package contains the API documentation and example code.

Package: libjsf-api-java
Description-md5: f740ac106b59ed2120b9659c69a6c3fa
Description-en: JavaServer Faces 2.2 Java EE web framework - API
 JavaServer Faces 2.2 (JSF) is a Java-based Web application framework intended
 to simplify development integration of web-based user interfaces.
 .
 JSF hides complexity to maximize developer productivity and provides a
 component model, page templating, Ajax support, client device independence,
 clean separation of roles, better MVC handling for webapps, and world-class
 IDE integration from every available Java IDE.
 .
 JSF also provides a easy integration with popular enterprise technologies,
 including Hibernate, Spring, Seam, Jasper Reports and others. JSF 2.2 is
 compatible with JavaEE 5 application servers or any server implementing
 Servlet 2.5.
 .
 This package contains only API of JSF 2.2 spec.

Package: libjsf-java-doc
Description-md5: 7fd880ee9e828a49be09aceca9b0946b
Description-en: Documentation for libjsf-api-java
 Documentation for JavaServer Faces (JSF) 2.2, that is a Java-based Web
 application framework intended to simplify development integration of
 web-based user interfaces.
 .
 JSF hides complexity to maximize developer productivity and provides a
 component model, page templating, Ajax support, client device independence,
 clean separation of roles, better MVC handling for webapps, and world-class
 IDE integration from every available Java IDE.
 .
 JSF also provides a easy integration with popular enterprise technologies,
 including Hibernate, Spring, Seam, Jasper Reports and others. JSF 2.2 is
 compatible with JavaEE 5 application servers or any server implementing
 Servlet 2.5.
 .
 This package contains a manual and Javadoc files for JSF 2.2 API spec.

Package: libjsilver-aosp-java
Description-md5: 7627d077e84b4a49f81af08d6faf8322
Description-en: Pure-Java implementation of Clearsilver
 This package is a fork of JSilver by The Android Open Source Project. The
 upstream website has been abandoned by the developers but remains among AOSP's
 repositories.

Package: libjson-any-perl
Description-md5: bd829ad10adafc9fb6c9c1d641e485e1
Description-en: wrapper class for the various JSON classes
 The JSON::Any module provides a coherent API to bring together the various
 JSON modules currently on CPAN. This module will allow you to code to
 any JSON API and have it work regardless of which JSON module is
 actually installed.
 .
 JSON::Any is deprecated. If you're producing new code it is recommended to
 use JSON::MaybeXS which will optionally use Cpanel::JSON::XS for speed
 purposes.

Package: libjson-hyper-perl
Description-md5: 5e038526f1d7df515154f2e0ca46079a
Description-en: extract links from JSON via a schema
 Given a JSON (or equivalent Perl nested hashref/arrayref structure)
 Hyper Schema, JSON::Hyper returns a Perl object capable of interpreting that
 schema. If the schema is omitted, defaults to the JSON Referencing hyper
 schema.

Package: libjson-java
Description-md5: 4ab9a1d574172d648a2c6e5a470b0e4b
Description-en: library for transforming Java objects and XML to JSON and back again
 JSON-lib is a java library for transforming beans, maps, collections,
 java arrays and XML to JSON and back again to beans and DynaBeans.
 .
 JSON (JavaScript Object Notation) is a lightweight data-interchange
 format. It is easy for humans to read and write. It is easy for
 machines to parse and generate. It is based on a subset of the
 JavaScript Programming Language, Standard ECMA-262 3rd Edition -
 December 1999. JSON is a text format that is completely language
 independent but uses conventions that are familiar to programmers of
 the C-family of languages, including C, C++, C#, Java, JavaScript,
 Perl, Python, and many others.  These properties make JSON an ideal
 data-interchange language.

Package: libjson-multivalueordered-perl
Description-md5: 78806c03408e43a5abaaa79945420418
Description-en: module to handle JSON like {"a":1, "a":2}
 A hash tied to the JSON::MultiValueOrdered class acts more or less like
 a standard hash, except that when you assign a new value to an existing
 key, the old value is retained underneath. An explicit delete deletes
 all values associated with a key.
 .
 By default, the old values are inaccessible through the hash interface,
 but can be retrieved via the tied object, however, the fetch_* methods
 provide a means to alter the behaviour of the hash.

Package: libjson-parse-perl
Description-md5: 5d9cdacd033a7a1ecb3ee0b220f09dee
Description-en: module to read JSON into a Perl variable
 JSON::Parse is a module for parsing JSON.
 .
 It offers the function parse_json(), which takes a string containing JSON,
 and returns an equivalent Perl structure. It also offers validation of JSON
 via valid_json(), which returns true or false depending on whether the JSON
 is correct or not, and assert_valid_json(), which produces a descriptive
 fatal error if the JSON is invalid. A function json_file_to_perl() reads JSON
 from a file, and there is a safer version of parse_json() called
 parse_json_safe() which doesn't throw exceptions. For special cases of
 parsing, there are also methods new() and run(), which create a JSON parsing
 object and run it on text.
 .
 JSON::Parse accepts only UTF-8 as input.

Package: libjson-path-perl
Description-md5: 7e134c8ebb7e8b22ee3868213c80268f
Description-en: search nested hashref/arrayref structures using JSONPath
 JSON::Path implements JSONPath, an XPath-like language for searching
 JSON-like structures.
 .
 JSONPath is described at http://goessner.net/articles/JsonPath/.

Package: libjson-pointer-perl
Description-md5: 5ca7ab5e4946810ece33fc3db4c5cdb5
Description-en: Perl implementation of JSON Pointer (RFC6901)
 JSON::Pointer implements JSON Pointer (http://tools.ietf.org/html/rfc6901),
 and provides some useful operator from JSON Patch
 (http://tools.ietf.org/html/rfc6902).
 .
 JSON Pointer is available to identify a specified value in a JSON document,
 and it is simillar to XPath.

Package: libjson-pp-perl
Description-md5: d9444dfd6fdd724d7ed4bd18ab515a28
Description-en: module for manipulating JSON-formatted data (Pure Perl)
 JSON::PP is a module for manipulating data stored in the JavaScript Object
 Notation (JSON) serialization format (defined in RFC 4627), which serves as
 an alternative to XML.
 .
 This Pure Perl JSON implementation will become a core module beginning with
 perl 5.13, and will be loaded by the JSON module (see libjson-perl) if the
 C/XS-accelerated version (see libjson-xs-perl) is not available.

Package: libjson-rpc-perl
Description-md5: 34fc61aa440e44592ee7348acaa2a01a
Description-en: Perl implementation of JSON-RPC 1.1 protocol
 JSON::RPC is a stateless and light-weight remote procedure call (RPC)
 protocol for inter-networking applications over HTTP. It uses JSON
 as the data format for of all facets of a remote procedure call,
 including all application data carried in parameters.

Package: libjson-simple-doc
Description-md5: c542a2feb3791725ff8201078a3ca9c5
Description-en: documentation for libjson-simple-java
 This package contains the javadoc API documentation for libjson-simple-java,
 a simple, lightweight and efficient JSON toolkit for Java.

Package: libjson-simple-java
Description-md5: 785ea293c43eda4c2bb09447cbfa03e0
Description-en: Simple, lightweight and efficient JSON toolkit for Java
 While full featured and fully compliant with the JSON specification
 (RFC4627), JSON.simple aims to be as simple and efficient as possible.
 .
 It supports encoding, decoding and escaping JSON data and streams, and
 features a stoppable SAX-like interface to process JSON input.
 .
 It has been run through profiling tools to ensure high performance
 processing.

Package: libjson-smart-java
Description-md5: af1c870487291a41ab9f4aa008a77a1d
Description-en: JSON Small and Fast Parser
 Json-smart is a performance focused, JSON processor library. The API
 is similar to json-simple but the performance is improved (at least
 twice faster).

Package: libjson-types-perl
Description-md5: 01332f515ee83f5cb20e9a988a5a9e73
Description-en: variable type utility for JSON encoding
 The type mappings between JSON and Perl is annoying, because e.g. a
 number in Perl is under some circumstances treated as a string in JSON.
 .
 JSON::Types provides functions to fixate the types of variables passed
 to JSON.

Package: libjson-validator-perl
Description-md5: 8fd0432230bc184da37b273d7f72ebc4
Description-en: module to validate data against a JSON schema
 JSON::Validator is a class for validating data against JSON schemas. You
 might want to use this instead of JSON::Schema if you need to validate data
 against draft 4 of the JSON schema specification.
 .
 JSON::Validator can load JSON schemas in multiple formats: Plain perl data
 structured or files on disk/web in the JSON/YAML format. The JSON parsing is
 done using Mojo::JSON, while the YAML parsing is done with an optional
 modules which need to be installed manually. JSON::Validator will look for
 the YAML modules in this order: YAML::XS, YAML::Syck. The order is set by
 which module that performs the best, so it might change in the future.

Package: libjson-webtoken-perl
Description-md5: 62155e47aaef8daf2841c57dacec2670
Description-en: JSON Web Token (JWT) implementation
 JSON::WebToken is an implementation of JSON Web Token (JWT) for Perl.
 .
 JSON Web Token is a JSON-based open standard for passing claims between
 parties in web application environment. The tokens are designed to be
 compact, URL-safe and usable especially in web browser single sign-on
 context. JWT claims can be typically used to pass identity of
 authenticated users between an identity provider and a service
 provider, or any other type of claims as required by business
 processes. The tokens can also be authenticated and encrypted.

Package: libjson11-1
Description-md5: b64e5246121d60cbbd3398a359f79adb
Description-en: Tiny JSON library for C++11
 json11 is a tiny JSON library for C++11, providing JSON parsing and
 serialization.
 .
 The core object provided by the library is json11::Json. A Json object
 represents any JSON value: null, bool, number (int or double), string
 (std::string), array (std::vector), or object (std::map).
 .
 Json objects act like values. They can be assigned, copied, moved,
 compared for equality or order, and so on. There are also helper methods
 Json::dump, to serialize a Json to a string, and Json::parse (static) to
 parse a std::string as a Json object.

Package: libjson11-1-dev
Description-md5: 16956227fb0749df5b1bec541fb4297b
Description-en: Tiny JSON library for C++11 (development files)
 json11 is a tiny JSON library for C++11, providing JSON parsing and
 serialization.
 .
 The core object provided by the library is json11::Json. A Json object
 represents any JSON value: null, bool, number (int or double), string
 (std::string), array (std::vector), or object (std::map).
 .
 Json objects act like values. They can be assigned, copied, moved,
 compared for equality or order, and so on. There are also helper methods
 Json::dump, to serialize a Json to a string, and Json::parse (static) to
 parse a std::string as a Json object.
 .
 This package provides all required developer resources like header-files
 and statically linked version of the library.

Package: libjson4s-java
Description-md5: 0310c4a7efd1ef6c99b57c6806abb553
Description-en: Single AST to be used by other scala json libraries
 This project aims to provide a single AST to be used by other scala json
 libraries. This project also attempts to set lift-json free from the release
 schedule imposed by the lift framework.

Package: libjsonb-api-java
Description-md5: e137f33e0512932bcaf79bdcf85cc623
Description-en: Java API for JSON Binding (JSON-B)
 JSON-B is a standard binding layer for converting Java objects to/from
 JSON messages. It defines a default mapping algorithm for converting
 existing Java classes to JSON, while enabling developers to customize
 the mapping process through the use of Java annotations.

Package: libjsonld-java
Description-md5: c1b755bf8076a20a463ac9fc22e0fd43
Description-en: Java implementation of JSON-LD 1.0 and JSON-LD-API 1.0 specifications
 Java implementation of the JSON-LD 1.0 specification and the JSON-LD-API 1.0
 specification

Package: libjsonm-ocaml
Description-md5: 396d9e6383bbd0371d8c6ab3b18fa3eb
Description-en: Non-blocking streaming JSON codec for OCaml (plugins)
 Jsonm is an OCaml non-blocking streaming codec to decode and encode the
 JSON data format. It can process JSON text without blocking on IO and
 without a complete in-memory representation of the data.
 .
 The uncut codec also processes whitespace and (non-standard) JSON with
 JavaScript comments.
 .
 This package contains dynamically loadable modules for plugins.

Package: libjsonm-ocaml-dev
Description-md5: 14861b326419d6cb0ae84399193a7970
Description-en: Non-blocking streaming JSON codec for OCaml
 Jsonm is an OCaml non-blocking streaming codec to decode and encode the
 JSON data format. It can process JSON text without blocking on IO and
 without a complete in-memory representation of the data.
 .
 The uncut codec also processes whitespace and (non-standard) JSON with
 JavaScript comments.
 .
 This package contains the development modules you need to use Jsonm
 in your programs.

Package: libjsonm-ocaml-doc
Description-md5: 50cbb8648afc7f9e5da7642c7e4b6d73
Description-en: Non-blocking streaming JSON codec for OCaml (documentation)
 Jsonm is an OCaml non-blocking streaming codec to decode and encode the
 JSON data format. It can process JSON text without blocking on IO and
 without a complete in-memory representation of the data.
 .
 The uncut codec also processes whitespace and (non-standard) JSON with
 JavaScript comments.
 .
 This package contains the documentation of Jsonm.

Package: libjsonnet-dev
Description-md5: 2916a9b1a98758c36786e02483965868
Description-en: data templating language (devel)
 A data templating language for app and tool developers
 .
  * Generate config data
  * Side-effect free
  * Organize, simplify, unify
  * Manage sprawling config
 .
 A simple extension of JSON
 .
  * Open source (Apache 2.0)
  * Familiar syntax
  * Reformatter, linter
  * Editor & IDE integrations
  * Formally specified
 .
 Eliminate duplication with object-orientation. Or, use functions.
 Integrate with existing / custom applications. Generate JSON, YAML,
 INI, and other formats.
 .
 This package ships the development files.

Package: libjsonnet0
Description-md5: 06c86a9bb2f268e31dede22defd7908f
Description-en: data templating language (lib)
 A data templating language for app and tool developers
 .
  * Generate config data
  * Side-effect free
  * Organize, simplify, unify
  * Manage sprawling config
 .
 A simple extension of JSON
 .
  * Open source (Apache 2.0)
  * Familiar syntax
  * Reformatter, linter
  * Editor & IDE integrations
  * Formally specified
 .
 Eliminate duplication with object-orientation. Or, use functions.
 Integrate with existing / custom applications. Generate JSON, YAML,
 INI, and other formats.
 .
 This package ships the shared object.

Package: libjsonp-java
Description-md5: a6506020e7c33de3f633f205c03d16b0
Description-en: Java API for JSON Processing
 JSON Processing project is the open source reference implementation of
 JSR 353 - Java API for JSON Processing. The JSR provides portable APIs
 to parse, generate, transform, and query JSON using the streaming API or
 the object model API.

Package: libjsonp-java-doc
Description-md5: 75ec30f4abed7527eac07d807da6654a
Description-en: Java API for JSON Processing (documentation)
 JSON Processing project is the open source reference implementation of
 JSR 353 - Java API for JSON Processing. The JSR provides portable APIs
 to parse, generate, transform, and query JSON using the streaming API or
 the object model API.
 .
 This package contains the javadoc documentation files.

Package: libjsonparser-dev
Description-md5: 189af4078eef6f9b3d4125dc6102bdbf
Description-en: Very low footprint JSON parser written in portable ANSI C - development headers
 It's a very low footprint JSON parser written in portable ANSI C. Features
 include:
  * BSD licensed with no dependencies (i.e. just drop the C file into your
    project)
  * Never recurses or allocates more memory than it needs
  * Very simple API with operator sugar for C++
 .
 This package contains the development files.

Package: libjsonparser1.1
Description-md5: 1319076453c3373bc94956d53a207167
Description-en: Very low footprint JSON parser written in portable ANSI C
 It's a very low footprint JSON parser written in portable ANSI C. Features
 include:
  * BSD licensed with no dependencies (i.e. just drop the C file into your
    project)
  * Never recurses or allocates more memory than it needs
  * Very simple API with operator sugar for C++

Package: libjsonpath-java
Description-md5: ced082be58c85328cb21e84ae66ff970
Description-en: Jayway JsonPath - XPath like expressions for JSON
 Jayway JsonPath is a Java port of Stefan Goessner JSONPath
 implementation. It provides a XPath like syntax to query JSON
 documents.

Package: libjsonrpc-glib-1.0-1
Description-md5: 821c359a2fea8ad595eb93450872b1b0
Description-en: JSON-RPC library for GLib
 JSONRPC-GLib is a library for communicating as both a JSON-RPC client and
 server. Additionally, it supports upgrating connections to use GVariant for
 less runtime overhead.

Package: libjsonrpc-glib-1.0-dev
Description-md5: 941a74821cd01d3dcd9f4aaee0bf1eb8
Description-en: JSON-RPC library for GLib - development files
 JSONRPC-GLib is a library for communicating as both a JSON-RPC client and
 server. Additionally, it supports upgrating connections to use GVariant for
 less runtime overhead.
 .
 This package contains the development files.

Package: libjsonrpc-glib-doc
Description-md5: 2990f02a7a46641b953897e6e9129563
Description-en: JSON-RPC library for GLib - documentation
 JSONRPC-GLib is a library for communicating as both a JSON-RPC client and
 server. Additionally, it supports upgrating connections to use GVariant for
 less runtime overhead.
 .
 This package contains the API reference.

Package: libjsonrpccpp-client0
Description-md5: 80de08c76e2bbfdba74c4813b3bd522b
Description-en: library implementing json-rpc C++ clients
 This library provides classes to easily implement JSON-RPC C++ clients.
 It comes with a built in HTTP-Client connector (based on libcurl)
 for easy data exchange. It is fully JSON-RPC 2.0 and JSON-RPC
 1.0 compatible, including:
 .
  * Type checking
  * Error response handling
  * Batch procedure calls
  * JSON-RPC Method invocation
  * JSON-RPC Notification invocation
  * Interface for additional Client-Connectors beside HTTP
  * Positional and named parameters

Package: libjsonrpccpp-client0-dbg
Description-md5: 63e6967ef41c5583ac15c248ac22c148
Description-en: debugging symbols for libjsonrpccpp-client0
 This library provides classes to easily implement JSON-RPC C++ clients.
 It comes with a built in HTTP-Client connector (based on libcurl)
 for easy data exchange. It is fully JSON-RPC 2.0 and JSON-RPC 1.0 compatible,
 including:
 .
  * Type checking
  * Error response handling
  * Batch procedure calls
  * JSON-RPC Method invocation
  * JSON-RPC Notification invocation
  * Simple Interface for implementing additional Client-Connectors beside HTTP
  * Positional and named parameters
 .
 This package contains the debugging symbols for libjsonrpccpp-client0.

Package: libjsonrpccpp-common0
Description-md5: 6b30675fed2a087a1c7bec648abaf2ab
Description-en: common functionality for server and client library
 This library provides common classes for the libjson-rpc-cpp framework like
 .
  * Exceptions
  * Error-Codes
  * Specification Parsers and Writers
  * Procedure parameter validation
 .
 This package usually only makes sense with libjsonrpccpp-client0 and/or
 libjsonrpccpp-server0.

Package: libjsonrpccpp-common0-dbg
Description-md5: 967c0fbb9e3d9a7230c8277c33120180
Description-en: debugging symbols for libjsonrpccpp-common0
 This library provides common classes for the libjson-rpc-cpp framework like
 .
  * Exceptions
  * Error-Codes
  * Specification Parsers and Writers
  * Procedure parameter validation
 .
 This package usually only makes sense with libjsonrpccpp-client0 and/or
 libjsonrpccpp-server0.
 .
 This package contains the debugging symbols for libjsonrpccpp-common0.

Package: libjsonrpccpp-dev
Description-md5: c4aab4758604d000a9cc02a0ca83d48c
Description-en: development files for JSON-RPC C++ framework
 This package provides all required developer resources like header-files
 and statically linked libraries of the libjson-rpc-cpp framework.
 .
 Features of this framework include:
 .
  * Type checking
  * Malformed request handling
  * Handling batch procedure calls
  * JSON-RPC Method invocation
  * JSON-RPC Notification invocation
  * Simple Interface for implementing additional Server-Connectors beside HTTP
  * Positional and named parameters

Package: libjsonrpccpp-server0
Description-md5: d0b2c373d9361a0549781e7136edaa45
Description-en: library implementing json-rpc C++ servers
 This library provides classes to easily implement JSON-RPC C++ Server
 applications. It comes with a built in HTTP-Server connector
 (based on libmicrohttpd) for easy data exchange. It is fully JSON-RPC 2.0 and
 JSON-RPC 1.0 compatible, including:
 .
  * Type checking
  * Malformed request handling
  * Handling batch procedure calls
  * JSON-RPC Method invocation
  * JSON-RPC Notification invocation
  * Simple Interface for implementing additional Server-Connectors beside HTTP
  * Positional and named parameters

Package: libjsonrpccpp-server0-dbg
Description-md5: 5778c171c8ccbd014956d2d58aaadac1
Description-en: debugging symbols for libjsonrpccpp-server0
 This library provides classes to easily implement JSON-RPC C++ Server
 applications. It comes with a built in HTTP-Server connector
 (based on libmicrohttpd) for easy data exchange. It is fully JSON-RPC 2.0 and
 JSON-RPC 1.0 compatible, including:
 .
  * Type checking
  * Malformed request handling
  * Handling batch procedure calls
  * JSON-RPC Method invocation
  * JSON-RPC Notification invocation
  * Simple Interface for implementing additional Server-Connectors beside HTTP
  * Positional and named parameters
 .
 This package contains the debugging symbols for libjsonrpccpp-server0.

Package: libjsonrpccpp-stub0
Description-md5: 6b20d8b31520cadecc2cab2c051f318f
Description-en: library for stub generation of libjsonrpccpp based applications
 This package provides the stub generator library for the libjson-rpc-cpp
 framework. It can automatically generate full functioning C++ and JavaScript
 JSON-RPC Client classes, which are ready to use.
 .
 For JSON-RPC Server applications, this library can generate an abstract C++
 class which just has to be sub classed and implement all pure virtual methods.
 To make this possible, a interface description file (in the JSON format)
 is required, which lists all available methods with corresponding parameters
 and types.

Package: libjsonrpccpp-stub0-dbg
Description-md5: 83afe206d9ac359cee60e42cc3db4b9f
Description-en: debugging symbols for libjsonrpccpp-stub0
 This package provides the stub generator library for the libjson-rpc-cpp
 framework. It can automatically generate full functioning C++ and JavaScript
 JSON-RPC Client classes, which are ready to use.
 .
 For JSON-RPC Server applications, this library can generate an abstract C++
 class which just has to be sub classed and implement all pure virtual methods.
 To make this possible, a interface description file (in the JSON format)
 is required, which lists all available methods with corresponding parameters
 and types.
 .
 This package contains the debugging symbols for libjsonrpccpp-common0.

Package: libjsonrpccpp-tools
Description-md5: 90881b6aedd1e56dfc05deb3ef0af7a1
Description-en: stub generator for libjsonrpccpp based applications
 This package provides the stub generator for the libjson-rpc-cpp framework.
 It can automatically generate full functioning C++ and JavaScript JSON-RPC
 Client classes, which are ready to use.
 .
 For JSON-RPC Server applications, this tool can generate an abstract C++ class
 which just has to be sub classed and implement all pure virtual methods. To
 make this possible, a simple interface description file (in the JSON format)
 is required, which lists all available methods with corresponding parameters
 and types.

Package: libjsoup-java
Description-md5: 1f89ba697067725f3774e2cc989b9589
Description-en: Java HTML parser that makes sense of real-world HTML soup
 Jsoup is a Java library for working with real-world HTML. It provides a very
 convenient API for extracting and manipulating data, using the best of DOM,
 CSS, and jquery-like methods.
 .
 jsoup implements the WHATWG HTML specification (http://whatwg.org/html), and
 parses HTML to the same DOM as modern browsers do.
 .
   * parse HTML from a URL, file, or string
   * find and extract data, using DOM traversal or CSS selectors
   * manipulate the HTML elements, attributes, and text
   * clean user-submitted content against a safe white-list, to prevent XSS
   * output tidy HTML
 .
 jsoup is designed to deal with all varieties of HTML found in the wild; from
 pristine and validating, to invalid tag-soup; jsoup will create a sensible
 parse tree.

Package: libjsoup-java-doc
Description-md5: 2042a28235c5436fe219cf988325f736
Description-en: Documentation for jsoup HTML Parser
 Jsoup is a Java library for working with real-world HTML. It provides a very
 convenient API for extracting and manipulating data, using the best of DOM,
 CSS, and jquery-like methods.
 .
 jsoup implements the WHATWG HTML specification (http://whatwg.org/html), and
 parses HTML to the same DOM as modern browsers do.
 .
   * parse HTML from a URL, file, or string
   * find and extract data, using DOM traversal or CSS selectors
   * manipulate the HTML elements, attributes, and text
   * clean user-submitted content against a safe white-list, to prevent XSS
   * output tidy HTML
 .
 jsoup is designed to deal with all varieties of HTML found in the wild; from
 pristine and validating, to invalid tag-soup; jsoup will create a sensible
 parse tree.
 .
 This package contains the API documentation of libjsoup-java.

Package: libjsp-api-java
Description-md5: df1cc629a7d76da4e0d07351dfec8159
Description-en: JavaServer Pages API
 JavaServer Pages (JSP) is a technology that helps software developers
 create dynamically generated web pages based on HTML, XML, or other
 document types.

Package: libjspeex-java
Description-md5: 4eee518664f1dda81546208fb5300090
Description-en: Java Implementation of Speex
 JSpeex is a Java port of the Speex speech codec (Open Source/Free
 Software patent-free audio compression format designed for
 speech). It provides both the decoder and the encoder in pure Java,
 as well as a JavaSound SPI.

Package: libjsr166y-java
Description-md5: 0fd1b2470f9e15d91dc3e4c2a7705ef7
Description-en: Parallel computation framework for Java
 Java framework that supports a style of parallel programming
 in which problems are solved by (recursively) splitting them
 into subtasks that are solved in parallel, waiting for them
 to complete, and then composing results.
 .
 Fork/Join parallelism is among the simplest and most
 effective design techniques for obtaining good parallel
 performance.  Fork/Join algorithms are parallel versions
 of familiar divide-and-conquer algorithms.
 .
 JSR166y includes a parallel computation framework:
 ForkJoinTasks and their related support classes provide a
 very efficient basis for obtaining platform-independent
 parallel speed-ups of computation-intensive operations.

Package: libjsr166y-java-doc
Description-md5: b0ca4cb082d3a32ad7a918fd89edbb97
Description-en: Documentation for libjsr166y-java
 Documentation for JSR166 that provides a Java framework that
 supports a style of parallel programming in which problems are
 solved by (recursively) splitting them into subtasks that
 are solved in parallel, waiting for them to complete, and
 then composing results.
 .
 Fork/Join parallelism is among the simplest and most
 effective design techniques for obtaining good parallel
 performance.  Fork/Join algorithms are parallel versions
 of familiar divide-and-conquer algorithms.
 .
 JSR166y includes a parallel computation framework:
 ForkJoinTasks and their related support classes provide a
 very efficient basis for obtaining platform-independent
 parallel speed-ups of computation-intensive operations.
 .
 This package contains javadocs for jsr166y and extra166y
 Java packages.

Package: libjsr305-java
Description-md5: ba44bdbaa07d739ff6e579d048c94069
Description-en: Java library that provides annotations for software defect detection
 This library provides the implementation of Java Specification Request 305.
 JSR-305 specifies annotations for software defect detection. These
 annotations can used to automatically check that methods are working as
 expected.

Package: libjsr311-api-java
Description-md5: 1f25fc17d5b81e614fb31f155bfb1b8c
Description-en: JSR 311, JAX-RS, Java API for RESTful Web Services
 Java API for the development of Web services built according to the
 Representational State Transfer (REST) architectural style.

Package: libjsr311-api-java-doc
Description-md5: f958c8a3f8ba78cc89703df3e6d53ae8
Description-en: JSR 311, JAX-RS, Java API for RESTful Web Services - documentation
 Java API for the development of Web services built according to the
 Representational State Transfer (REST) architectural style.
 .
 This package contains the javadoc documentation.

Package: libjss-java
Description-md5: 9307bd0c38418b7815bb38c02b381af2
Description-en: Network Security Services for Java
 Network Security Services for Java (JSS) is a Java interface
 to NSS. It supports most of the security standards and
 encryption technologies supported by NSS. JSS also provides
 a pure Java interface for ASN.1 types and BER/DER encoding.

Package: libjssc-java
Description-md5: 2e894de59a15779281105039e832fb91
Description-en: library for working with serial ports from Java
 Java Simple Serial Connector (jSSC) is a Java library for interacting with
 serial ports from Java.
 .
 This package contains both the Java library and JNI native interface.

Package: libjssc-java-doc
Description-md5: ab88a4ead5d788c9bb51d9645c7358aa
Description-en: library for working with serial ports from Java - doc
 Java Simple Serial Connector (jSSC) is a Java library for interacting with
 serial ports from Java.
 .
 This package contains the Javadoc API

Package: libjstun-java
Description-md5: 562c93c9ee02bb73dd40d8c96f89af77
Description-en: Java-based STUN implementation
 "JSTUN" is a Java-based STUN (Simple Traversal of User Datagram Protocol (UDP)
 Through Network Address Translation (NAT)) implementation.
 .
 STUN provides a mean for applications to discover the presence and type of
 firewalls or NATs between them and the public Internet. Additionally, in
 presence of a NAT STUN can be used by applications to learn the public
 Internet Protocol (IP) address assigned to the NAT.

Package: libjstun-java-doc
Description-md5: 049476a2c496e4e821510fb5d82d78e5
Description-en: Java-based STUN implementation (documentation)
 "JSTUN" is a Java-based STUN (Simple Traversal of User Datagram Protocol (UDP)
 Through Network Address Translation (NAT)) implementation.
 .
 STUN provides a mean for applications to discover the presence and type of
 firewalls or NATs between them and the public Internet. Additionally, in
 presence of a NAT STUN can be used by applications to learn the public
 Internet Protocol (IP) address assigned to the NAT.
 .
 This package contains the documentation in javadoc format for this library.

Package: libjswingreader-java
Description-md5: e7441325847707d7f7c92fb78868407d
Description-en: RSS NewsFeed reader for Java
 This is a RSS feed reader for java. It can either be used as a
 standalone reader or as a library.

Package: libjsyntaxpane-java
Description-md5: 7e9cfc8dae17b89209f8df13f639ee0a
Description-en: Java EditorPane with support for Syntax Highlighting
 JSyntaxPane provides you with a very simple to use, and now with
 simple method to configure, way to handle simple Syntax Highlighting
 and editing of various languages within your Java Swing application.
 .
 Currently supported out of the box are Java, JavaScript, Properties,
 Groovy, C, C++, XML, SQL, Ruby and Python.

Package: libjsyntaxpane-java-doc
Description-md5: 7b27b903caaa920df1bb5a9f70b286a8
Description-en: Java EditorPane with support for Syntax Highlighting (javadoc files)
 JSyntaxPane provides you with a very simple to use, and now with
 simple method to configure, way to handle simple Syntax Highlighting
 and editing of various languages within your Java Swing application.
 .
 Currently supported out of the box are Java, JavaScript, Properties,
 Groovy, C, C++, XML, SQL, Ruby and Python.
 .
 This package contains the JavaDoc documentation of the package.

Package: libjt400-java
Description-md5: 1fd2a853a23623d61f1f221908a33f21
Description-en: Java database (JDBC) driver for IBM DB2
 Java classes for communication with the IBM DB2 database. This version
 does not include UI stuff, as these depend on the library jui400.jar
 which is not DFSG-compliant.

Package: libjtds-java
Description-md5: 2478d89045133a8fd476b38ed946e20a
Description-en: JDBC 3.0 driver for Microsoft SQL Server(tm) and Sybase(tm)
 jTDS is an open source JDBC 3.0 Type 4 driver for Microsoft SQL Server (6.5,
 7.0, 2000 and 2005) and Sybase (10, 11, 12, 15). jTDS is the fastest JDBC
 driver for MS SQL Server and is a complete implementation of the JDBC spec.
 .
 jTDS is the most performant JDBC driver for both Microsoft SQL Server and
 Sybase. It is a complete implementation of JDBC 3.0, it passes the J2EE 1.3
 certification and Hibernate test suites and is the preferred SQL Server/Sybase
 driver for JBoss, Hibernate, Atlassian JIRA and Confluence, DbVisualizer and
 Compiere.

Package: libjte-dev
Description-md5: a1c5c7fde938847b59806a67cd4ed454
Description-en: Jigdo Template Export - development
 libjte is a library providing support for creating jigdo files, to be
 used by ISO image creation tools such as xorriso.
 .
 This package provides the header and development files needed to build
 programs and packages that use libjte.

Package: libjte2
Description-md5: 2f1766f61e276fff22c3946ab550f0f5
Description-en: Jigdo Template Export - runtime library
 libjte is a library providing support for creating jigdo files, to be
 used by ISO image creation tools such as xorriso.
 .
 This package provides the runtime library file needed to run software
 written using libjte

Package: libjtharness-java
Description-md5: 2ce82b773bfb8b14edd151cb8c51a5d1
Description-en: General purpose test harness for Java
 The JT harness is a general purpose, fully-featured, flexible, and configurable
 test harness very well suited for most types of unit testing. Originally
 developed as a test harness to run TCK test suites, it has since evolved into a
 general purpose test platform.

Package: libjthread-dbg
Description-md5: 4054513f92fba386c9fd13ff3467297a
Description-en: JThread cross-platform threading library debugging symbols
 The JThread package provides some classes to make use of threads easily on
 different platforms. The classes are actually rather simple wrappers around
 existing thread implementations.
 .
 This package contains debugging symbols for the library.

Package: libjthread-dev
Description-md5: 3885a9b681e4ad38f6bb71be4624f52f
Description-en: JThread cross-platform library headers
 The JThread package provides some classes to make use of threads easily on
 different platforms. The classes are actually rather simple wrappers around
 existing thread implementations.
 .
 This package contains the headers and development libraries needed to
 build applications using JThread.

Package: libjthread-doc
Description-md5: 04402482cd8a955b86ffcb5c1d5f20c5
Description-en: JThread cross-platform threading library documentation
 The JThread package provides some classes to make use of threads easily on
 different platforms. The classes are actually rather simple wrappers around
 existing thread implementations.
 .
 This package contains documentation on the library.

Package: libjthread1.3.1
Description-md5: 92fb13a2f6dfe801a1eaf1531cf2e024
Description-en: JThread cross-platform threading library
 The JThread package provides some classes to make use of threads easily on
 different platforms. The classes are actually rather simple wrappers around
 existing thread implementations.
 .
 This package contains the shared core library.

Package: libjtidy-java
Description-md5: eeff71f37c25e0353abac32042cba34f
Description-en: JTidy HTML syntax checker and pretty printer
 JTidy is a Java port of HTML Tidy, a HTML syntax checker and pretty printer.
 Like its non-Java cousin, JTidy can be used as a tool for cleaning up malformed
 and faulty HTML. In addition, JTidy provides a DOM interface to the document
 that is being processed, which effectively makes you able to use JTidy
 as a DOM parser for real-world HTML.

Package: libjtidy-java-doc
Description-md5: 2c674ae683fdd5f51c8dab9caa3db0f5
Description-en: JTidy HTML syntax checker and pretty printer (documentation)
 JTidy is a Java port of HTML Tidy, a HTML syntax checker and pretty printer.
 Like its non-Java cousin, JTidy can be used as a tool for cleaning up malformed
 and faulty HTML. In addition, JTidy provides a DOM interface to the document
 that is being processed, which effectively makes you able to use JTidy
 as a DOM parser for real-world HTML.
 .
 This package contains the API documentation of libjtidy-java.

Package: libjts-java
Description-md5: 9504b3b0776d15039f6db98ab5f3347b
Description-en: JTS Topology Suite
 JTS is a java library which provides:
  * an implementation of the spatial data model defined
    in the OGC Simple Features Specification for SQL (SFS)
  * a complete, consistent, implementation of fundamental 2D spatial algorithms
  * an explicit precision model, with algorithms that gracefully handle
    situations that result in dimensional collapse
  * robust implementations of key computational geometric operations
  * I/O in Well-Known Text format

Package: libjts-java-doc
Description-md5: 8965522fe1dbb28f0a199ca7eebe4d25
Description-en: Documentation for the JTS Topology Suite
 This package includes documentation for programmers:
  * javadoc for libjts-java
  * developer's guide
  * technical specifications

Package: libjtype-java
Description-md5: fa5ecd2a1e3355b9bf6769cc0e96b817
Description-en: Helper library for the Java 5 Type
 Java 5 introduced a richer type system for generics with Type and its various
 subtypes, but lacks any easy way to perform common operations on these types.
 JType aims to fill this gap.
 .
 Features:
  - A factory to easily create implementations of the various type interfaces.
  - Methods to compare and manipulate type instances, such as checking whether
    a type is a subtype of another.
  - A generic type literal that provides an equivalent of class literals for
    types.

Package: libjuce-doc
Description-md5: 5d99d0cc4969b5646d2f8e1b7bc9f465
Description-en: Jules' Utility Class Extensions (documentation)
 JUCE (Jules' Utility Class Extensions) is an all-encompassing C++ framework for
 developing cross-platform software.
 .
 It contains pretty much everything you're likely to need to create most
 applications, and is particularly well-suited for building highly-customised
 GUIs, and for handling graphics and sound.
 .
 This package contains html documentation for the JUCE API.

Package: libjudy-dev
Description-md5: 3f37f9368d6875c98dfa4a78c12db96b
Description-en: C library for creating and accessing dynamic arrays (dev package)
 Judy is a C library that implements a dynamic array.  Empty Judy arrays are
 declared with null pointers.  A Judy array consumes memory only when
 populated yet can grow to take advantage of all available memory.  Judy's key
 benefits are:  scalability, performance, memory efficiency, and ease of use.
 Judy arrays are designed to grow without tuning into the peta-element range,
 scaling near O(log-base-256).
 .
 Judy arrays are accessed with insert, retrieve, and delete calls for number
 or string indexes.  Configuration and tuning are not required -- in fact not
 possible.  Judy offers sorting, counting, and neighbor/empty searching.
 Indexes can be sequential, clustered, periodic, or random -- it doesn't
 matter to the algorithm.  Judy arrays can be arranged hierarchically to
 handle any bit patterns -- large indexes, sets of keys, etc.
 .
 Judy is often an improvement over common data structures such as:  arrays,
 sparse arrays, hash tables, B-trees, binary trees, linear lists, skiplists,
 other sort and search algorithms, and counting functions.
 .
 This is the development package.

Package: libjudydebian1
Description-md5: c319a2e1f849e99c268f4999ded0032f
Description-en: C library for creating and accessing dynamic arrays
 Judy is a C library that implements a dynamic array.  Empty Judy arrays are
 declared with null pointers.  A Judy array consumes memory only when
 populated yet can grow to take advantage of all available memory.  Judy's key
 benefits are:  scalability, performance, memory efficiency, and ease of use.
 Judy arrays are designed to grow without tuning into the peta-element range,
 scaling near O(log-base-256).
 .
 Judy arrays are accessed with insert, retrieve, and delete calls for number
 or string indexes.  Configuration and tuning are not required -- in fact not
 possible.  Judy offers sorting, counting, and neighbor/empty searching.
 Indexes can be sequential, clustered, periodic, or random -- it doesn't
 matter to the algorithm.  Judy arrays can be arranged hierarchically to
 handle any bit patterns -- large indexes, sets of keys, etc.
 .
 Judy is often an improvement over common data structures such as:  arrays,
 sparse arrays, hash tables, B-trees, binary trees, linear lists, skiplists,
 other sort and search algorithms, and counting functions.

Package: libjug-java
Description-md5: 1dccb64d576a9025feeb174ca05c8c79
Description-en: Pure java UUID generator
 JUG is a pure java UUID generator that generates UUIDs according to the IETF
 UUID draft specification.
 .
 This package contains the library.

Package: libjulia-dev
Description-md5: b5435576f27f3c533cd2b62ccc533e79
Description-en: high-performance programming language for technical computing (development)
 Julia is a high-level, high-performance dynamic programming language for
 technical computing, with syntax that is familiar to users of other technical
 computing environments. It provides a sophisticated compiler, distributed
 parallel execution, numerical accuracy, and an extensive mathematical function
 library. The library, mostly written in Julia itself, also integrates mature,
 best-of-breed C and Fortran libraries for linear algebra, random number
 generation, FFTs, and string processing. Julia programs are organized around
 defining functions, and overloading them for different combinations of
 argument types (which can also be user-defined).
 .
 This package provides the Julia runtime headers.

Package: libjulia1
Description-md5: 9a49a72a3c6f832f6c5ca044547c6c45
Description-en: high-performance programming language for technical computing (runtime library)
 Julia is a high-level, high-performance dynamic programming language for
 technical computing, with syntax that is familiar to users of other technical
 computing environments. It provides a sophisticated compiler, distributed
 parallel execution, numerical accuracy, and an extensive mathematical function
 library. The library, mostly written in Julia itself, also integrates mature,
 best-of-breed C and Fortran libraries for linear algebra, random number
 generation, FFTs, and string processing. Julia programs are organized around
 defining functions, and overloading them for different combinations of
 argument types (which can also be user-defined).
 .
 This package provides the Julia runtime library.

Package: libjuman-dev
Description-md5: e7060d8da0ab4b8e83515a82ef04e3ff
Description-en: Header files of JUMAN
 This package provides header files which are necessary to development
 programs using runtime libraries of Juman, that is a Japanese
 morphological analysis system.

Package: libjuman-perl
Description-md5: 59250d1a58f31b5b95e92f48779969b1
Description-en: Perl binding of JUMAN
 This package provides Perl binding of Juman, that is a Japanese
 morphological analysis system.

Package: libjuman4
Description-md5: eb7f6e2d33737cf201121e2c6b1502ea
Description-en: Library of JUMAN
 This package provides runtime libraries of Juman, that is a Japanese
 morphological analysis system.

Package: libjung-free-java
Description-md5: 461a44f44718252c66c69311a43ca647
Description-en: Java Universal Network/Graph Framework
 JUNG provides a common and extendible language for the modeling, analysis, and
 visualization of data that can be represented as a graph or network.
 .
 This package does not contain the jung jai classes (Java Advanced Imaging) for
 licensing reasons.
 .
 The JUNG architecture is designed to support a variety of representations of
 entities and their relations, such as directed and undirected graphs,
 multi-modal graphs, graphs with parallel edges, and hypergraphs. It provides
 a mechanism for annotating graphs, entities, and relations with metadata. This
 facilitates the creation of analytic tools for complex data sets that can
 examine the relations between entities as well as the metadata attached to each
 entity and relation.
 .
 The current distribution of JUNG includes implementations of a number of
 algorithms from graph theory, data mining, and social network analysis, such as
 routines for clustering, decomposition, optimization, random graph generation,
 statistical analysis, and calculation of network distances, flows, and
 importance measures (centrality, PageRank, HITS, etc.).
 .
 JUNG also provides a visualization framework that makes it easy to construct
 tools for the interactive exploration of network data. Users can use one of the
 layout algorithms provided, or use the framework to create their own custom
 layouts. In addition, filtering mechanisms are provided which allow users to
 focus their attention, or their algorithms, on specific portions of the graph.

Package: libjung-free-java-doc
Description-md5: bef30f9f9c47ef72452ef1e0ea602ade
Description-en: Java Universal Network/Graph Framework (documentation)
 JUNG provides a common and extendible language for the modeling, analysis, and
 visualization of data that can be represented as a graph or network.
 .
 The JUNG architecture is designed to support a variety of representations of
 entities and their relations, such as directed and undirected graphs,
 multi-modal graphs, graphs with parallel edges, and hypergraphs. It provides
 a mechanism for annotating graphs, entities, and relations with metadata. This
 facilitates the creation of analytic tools for complex data sets that can
 examine the relations between entities as well as the metadata attached to each
 entity and relation.
 .
 The current distribution of JUNG includes implementations of a number of
 algorithms from graph theory, data mining, and social network analysis, such as
 routines for clustering, decomposition, optimization, random graph generation,
 statistical analysis, and calculation of network distances, flows, and
 importance measures (centrality, PageRank, HITS, etc.).
 .
 JUNG also provides a visualization framework that makes it easy to construct
 tools for the interactive exploration of network data. Users can use one of the
 layout algorithms provided, or use the framework to create their own custom
 layouts. In addition, filtering mechanisms are provided which allow users to
 focus their attention, or their algorithms, on specific portions of the graph.
 .
 This package contains the documentation.

Package: libjuniversalchardet-java
Description-md5: c4392067fe16ff71256e0b5840b2f2fd
Description-en: Encoding detector library (Java port of the Mozilla library)
 juniversalchardet is an encoding detector library, which takes a sequence of
 byte in an unknown character encoding without any additional information, and
 attempts to determine the encoding of the text.
 .
 Techniques used by universalchardet are described at
 http://www.mozilla.org/projects/intl/UniversalCharsetDetection.html

Package: libjuniversalchardet-java-doc
Description-md5: c9656936c94440b6b9f85d2bcced3c03
Description-en: Documentation for juniversalchardet
 juniversalchardet is an encoding detector library, which takes a sequence of
 byte in an unknown character encoding without any additional information, and
 attempts to determine the encoding of the text.
 .
 Techniques used by universalchardet are described at
 http://www.mozilla.org/projects/intl/UniversalCharsetDetection.html
 .
 This package contains the API documentation of libjuniversalchardet-java.

Package: libjunixsocket-java
Description-md5: fc9c8f11c9ee76cfa689cedd9f38e19d
Description-en: Unix Domain Sockets in Java
 junixsocket is a Java/JNI library that allows the use of Unix Domain Sockets
 (AF_UNIX sockets) from Java. In contrast to other implementations, junixsocket
 extends the Java Sockets API (java.net.Socket, java.net.SocketAddress etc.)
 and even supports RMI over AF_UNIX. It is also possible to use it in
 conjunction with Connector/J to connect to a local MySQL server via Unix domain
 sockets.

Package: libjunixsocket-jni
Description-md5: 2aeb258677d6abd17abb755400c59431
Description-en: Unix Domain Sockets in Java (JNI library)
 junixsocket is a Java/JNI library that allows the use of Unix Domain Sockets
 (AF_UNIX sockets) from Java. In contrast to other implementations, junixsocket
 extends the Java Sockets API (java.net.Socket, java.net.SocketAddress etc.)
 and even supports RMI over AF_UNIX. It is also possible to use it in
 conjunction with Connector/J to connect to a local MySQL server via Unix domain
 sockets.
 .
 This package contains the architecture specific Java native interface part.

Package: libjutils-java
Description-md5: 12795945f7082a201771bcab70cb0b0a
Description-en: Common utilities for Java Game Technology Group projects
 The JUtils Project hosts an implementation of a set of APIs utilized
 by other Java Game Technology Group projects (eg. JInput, JOAl,
 JOGL).

Package: libjutils-java-doc
Description-md5: e2314ed50005b7be4231fac185f1c952
Description-en: Common utilities for Java Game Technology Group projects (javadoc)
 The JUtils Project hosts an implementation of a set of APIs utilized
 by other Java Game Technology Group projects (eg. JInput, JOAl,
 JOGL).
 .
 This is the API documentation for jutils

Package: libjvmti-oprofile0
Description-md5: 4524757e88a74b09d7b0859a3f1da03b
Description-en: system-wide profiler for Linux systems (Java runtime library)
 OProfile is a performance profiling tool for Linux systems, capable
 of profiling all running code at low overhead.  It consists of a
 daemon for collecting sample data, plus several post-profiling tools
 for turning data into information.
 .
 This package contains the jvmti_oprofile runtime library for Java support.

Package: libjvyamlb-java
Description-md5: 4441f5bd753b5c2401c0130627169c75
Description-en: pure Java YAML loader and dumper
 JvYAMLb is a very customizable, YAML 1.0/1.1 loader and dumper. JvYAMLb is
 aimed at improving YAML performance in the JRuby project
 (http://jruby.sourceforge.net), but is also suitable for configuration and data
 storage in regular Java applications.

Package: libjws-api-java
Description-md5: 95e597cd0f2aa393f42a50a8d0afdf82
Description-en: Java EE Web Services Metadata API (JSR 181)
 This API defines Java annotations to enable easy definition
 of Java Web Services in a Java EE container.
 .
 This API was a standard part of the JDK since Java 6 (the javax.jws package)
 and was removed in Java 11.

Package: libjwt-dev
Description-md5: 0707b8fe292474ac27ca00fdc72f0344
Description-en: C library to handle JWT (JSON Web Token) - development
 libjwt is a library which allows you to encode and decode
 JSON Web Tokens (JWT).
 .
 JSON Web Tokens are an open, industry standard RFC 7519 method for
 representing claims securely between two parties.
 .
 This package contains the development files.

Package: libjwt-gnutls-dev
Description-md5: 7907575df46fe1cc06f351eb21e556cf
Description-en: Development files for libjwt - GnuTLS flavour
 libjwt is a library which allows you to encode and decode
 JSON Web Tokens (JWT). GnuTLS flavour.
 .
 JSON Web Tokens are an open, industry standard RFC 7519 method for
 representing claims securely between two parties.
 .
 This package contains the development files.
 .
 SSL support is provided by GnuTLS.

Package: libjwt-gnutls0
Description-md5: d6cd67187a17940924ce09f0735bd07d
Description-en: C library to handle JWT (JSON Web Token) - GnuTLS flavour
 libjwt is a library which allows you to encode and decode
 JSON Web Tokens (JWT). GnuTLS flavour.
 .
 JSON Web Tokens are an open, industry standard RFC 7519 method for
 representing claims securely between two parties.
 .
 SSL support is provided by GnuTLS.

Package: libjwt0
Description-md5: 151b2ed25f1ae0e1a9ee8a81a0d6520a
Description-en: C library to handle JWT (JSON Web Token)
 libjwt is a library which allows you to encode and decode
 JSON Web Tokens (JWT).
 .
 JSON Web Tokens are an open, industry standard RFC 7519 method for
 representing claims securely between two parties.

Package: libjxgrabkey-java
Description-md5: 63b3e882e7ba7ec013a644667af45622
Description-en: X11 hotkey API for java
 JXGrabKey provides an API to make java programs hotkey aware.
 .
 It relies on a jni backend provided by the libjxgrabkey-jni package.

Package: libjxgrabkey-jni
Description-md5: bd41838ccef2c4df6b438b2b57f4c79b
Description-en: X11 hotkey API for java (jni backend)
 JXGrabKey provides an API to make java programs hotkey aware.
 .
 This package holds the architecture dependent jni backend of the java
 library.

Package: libjxp-java
Description-md5: 83a08b24ee17047c878cb5850cbbf8c1
Description-en: Java template engine/script processor
 Jxp (Java scripted page) is a script-processor that process JSP-like files.
 It contains a parser to parse the script file into an abstract syntax tree
 and a tree processor (JxpProcessor) that will process the syntax tree to
 execute the code using reflection API to produce output. The main uses of Jxp
 are:
 .
   * as a script language engine to increase flexibility in the user
     application
   * as a template engine to produce dynamic text output
 .
 Some of the main features of Jxp include:
 .
   * Java as script/template language. Why learn another one? ;)
   * Run JSP-like code outside of servlet container
   * support common java language 1.4 constructs (partial 1.5 syntax support
     on jdk 1.4)
   * support common JSP constructs including import directive, declaration, EL
     etc (taglib not supported, yet)
   * practical template sources management framework
   * support caching of parsed syntax tree to eliminate reparse of template
   * a servlet implementation to enable web-scripting
   * extensible processing context for defining built-in function on the
     scripts

Package: libjxr-dbg
Description-md5: 369d623255dd414b1c2c32abc6fa9352
Description-en: JPEG-XR lib - debug files
 JPEG XR is an approved ISO/IEC International standard (its official
 designation is ISO/IEC 29199-2).
 .
 JPEG XR started its life in Microsoft Research. It publicly first appeared as
 the HD Photo format in Windows Vista.
 .
 For web developers, JPEG XR has a large number of interesting features, see
 the table below. Some of these are big advantages over other image formats
 like JPEG, PNG, OpenEXR, and TIFF.
  - Better Compression (40% smaller than JPEG)
  - Lossless Mode (better compression than PNG)
  - Alpha Channel (compress color lossy and alpha losslessly)
  - Extended Bitdepth (supports 8-, 16-, and 32-bit/channel)
  - Progressive Decode
  - Advanced Decoding Features (tile-based layout, for efficient
    region-of-interest access.)
 .
 Provide the debug files

Package: libjxr-dev
Description-md5: 18ba57900e6b5314319519a7de5e3001
Description-en: JPEG-XR lib - dev files
 JPEG XR is an approved ISO/IEC International standard (its official
 designation is ISO/IEC 29199-2).
 .
 JPEG XR started its life in Microsoft Research. It publicly first appeared as
 the HD Photo format in Windows Vista.
 .
 For web developers, JPEG XR has a large number of interesting features, see
 the table below. Some of these are big advantages over other image formats
 like JPEG, PNG, OpenEXR, and TIFF.
  - Better Compression (40% smaller than JPEG)
  - Lossless Mode (better compression than PNG)
  - Alpha Channel (compress color lossy and alpha losslessly)
  - Extended Bitdepth (supports 8-, 16-, and 32-bit/channel)
  - Progressive Decode
  - Advanced Decoding Features (tile-based layout, for efficient
    region-of-interest access.)
 .
 Provide the development files

Package: libjxr-tools
Description-md5: 6cddd78b15740c666605a9e2f25f8667
Description-en: JPEG-XR lib - command line apps
 JPEG XR is an approved ISO/IEC International standard (its official
 designation is ISO/IEC 29199-2).
 .
 JPEG XR started its life in Microsoft Research. It publicly first appeared as
 the HD Photo format in Windows Vista.
 .
 For web developers, JPEG XR has a large number of interesting features, see
 the table below. Some of these are big advantages over other image formats
 like JPEG, PNG, OpenEXR, and TIFF.
  - Better Compression (40% smaller than JPEG)
  - Lossless Mode (better compression than PNG)
  - Alpha Channel (compress color lossy and alpha losslessly)
  - Extended Bitdepth (supports 8-, 16-, and 32-bit/channel)
  - Progressive Decode
  - Advanced Decoding Features (tile-based layout, for efficient
    region-of-interest access.)
 .
 Provides command line tools for JPEG XR libs (JxrDecApp & JxrEncApp)

Package: libjxr0
Description-md5: 76d9663a63d4d35e9b6be0f4055a38c3
Description-en: JPEG-XR lib - libraries
 JPEG XR is an approved ISO/IEC International standard (its official
 designation is ISO/IEC 29199-2).
 .
 JPEG XR started its life in Microsoft Research. It publicly first appeared as
 the HD Photo format in Windows Vista.
 .
 For web developers, JPEG XR has a large number of interesting features, see
 the table below. Some of these are big advantages over other image formats
 like JPEG, PNG, OpenEXR, and TIFF.
  - Better Compression (40% smaller than JPEG)
  - Lossless Mode (better compression than PNG)
  - Alpha Channel (compress color lossy and alpha losslessly)
  - Extended Bitdepth (supports 8-, 16-, and 32-bit/channel)
  - Progressive Decode
  - Advanced Decoding Features (tile-based layout, for efficient
    region-of-interest access.)

Package: libjzlib-java
Description-md5: 35c243102d954345699348d1355af49a
Description-en: Java Zlib implementation
 JZlib is a pure Java implementation of ZLib (see RFC-1950 and RFC-1951).
 .
 It supports all compression level and all flushing mode of ZLib and
 it can inflate and deflate exactly like ZLib does.
 It provide much more flexibility over 'java.util.zip.*' included in
 Java Platform API.
 .
 JZlib is licensed under a BSD style license.

Package: libk3b-dev
Description-md5: b663aaf627cae2c6b6207dc67ad6ae8b
Description-en: KDE CD/DVD burning application library - development files
 K3b provides a comfortable user interface to perform most CD/DVD burning
 tasks. While the experienced user can take influence in all steps
 of the burning process the beginner may find comfort in the automatic settings
 and the reasonable k3b defaults which allow a quick start.
 .
 This package contains development files.

Package: libk3b7
Description-md5: c402b6a06ec159e5313882f6465aa501
Description-en: KDE CD/DVD burning application library - runtime files
 K3b provides a comfortable user interface to perform most CD/DVD burning
 tasks. While the experienced user can take influence in all steps
 of the burning process the beginner may find comfort in the automatic settings
 and the reasonable k3b defaults which allow a quick start.
 .
 This package contains runtime libraries.

Package: libk3b7-extracodecs
Description-md5: 3a841361001b55a9161baa4919cfc2f2
Description-en: KDE CD/DVD burning application library - extra decoders
 K3b provides a comfortable user interface to perform most CD/DVD burning
 tasks. While the experienced user can take influence in all steps
 of the burning process the beginner may find comfort in the automatic settings
 and the reasonable k3b defaults which allow a quick start.
 .
 This package contains runtime libraries for the MP3 and FFMPEG decoder plugin.

Package: libkaccounts-dev
Description-md5: da8b3ca7a0ac892cbb896a0457577921
Description-en: System to administer web accounts - development files
 Small system to administer web accounts for the sites and services across the
 Plasma desktop, including: Google, Facebook, Owncloud, IMAP, Jabber and others.
 .
 This package contains development files.

Package: libkaccounts1
Description-md5: 2b13251d340d1962dccddc315cc81a95
Description-en: System to administer web accounts - shared library
 Small system to administer web accounts for the sites and services across the
 Plasma desktop, including: Google, Facebook, Owncloud, IMAP, Jabber and others.
 .
 This package contains the shared library.

Package: libkainjow-mustache-dev
Description-md5: 2a1e4d9fe7364621d53b107b700b3a91
Description-en: Mustache text templates for modern C++
 A header-only Mustache template implementation for C++11, with no
 additional dependencies.
 .
 Mustache is a logic-less web template system that uses braces as part
 of its syntax.

Package: libkakasi2
Description-md5: 57c077067587c6eef61560ae6a65ceec
Description-en: Library version of KAKASI
 This package provides the function of KAKASI as a shared library.
 .
 KAKASI is the language processing filter to convert Kanji characters
 to Hiragana, Katakana or Romaji(1) and may be helpful to read
 Japanese documents.
 .
 (1) "Romaji" is alphabetical description of Japanese pronunciation.

Package: libkakasi2-dev
Description-md5: a25ecdaf53a67af55627fd0ab58ca50a
Description-en: Header files and static libraries for library version of KAKASI
 This package provides header files and static libraries for library
 version of KAKASI.
 .
 KAKASI is the language processing filter to convert Kanji characters
 to Hiragana, Katakana or Romaji(1) and may be helpful to read
 Japanese documents.
 .
 (1) "Romaji" is alphabetical description of Japanese pronunciation.

Package: libkal-dev
Description-md5: b01d1f73b56955b74def9608d8eb86c6
Description-en: library for converting dates between various calendar systems
 The 'libkal' library provides support for converting dates between various
 calendar systems (currently only Julian, Gregorian, Arabic and Jewish
 ones) and some more related stuff.
 .
 The basic idea of this library is, that any date in any calendar system can be
 converted to a single number, which express the number of days since some
 fixed date in the past. libkal uses the so-called 'julianic date'(jd), that is
 used in Astronomy (not exactly).

Package: libkarma-cil
Description-md5: 7f3e87752714bb4388173e375dd64f18
Description-en: Rio Karma access library [CLI runtime library]
 Also known as karma-sharp, this is a CLI library (.dll) that
 provides (read/write) access to the Rio Karma music player using
 either the usb (with OMFS) or network (PEARL) interface.
 .
 This package contains the runtime glue library needed by C# programs
 using the facilities provided by libkarma.

Package: libkarma-cil-dev
Description-md5: f971a7c5b304b2092d400bf27d80358c
Description-en: Rio Karma access library [CLI library development files]
 Also known as karma-sharp, this is a CLI library (.dll) that
 provides (read/write) access to the Rio Karma music player using
 either the usb (with OMFS) or network (PEARL) interface.
 .
 This package contains development files for the karma-sharp library used for
 compilation.

Package: libkarma-dev
Description-md5: c54bcd359f5cd235790c57bcbee87b16
Description-en: Rio Karma access library [development files]
 libkarma is a C language library that provides (read/write) access
 to the Rio Karma music player using either the usb (with OMFS) or
 network (PEARL) interface.
 .
 libkarma allows developers to do the following:
 .
   * login
   * read, write and delete music or data files
   * read and write file information
   * write music or taxi files with one function, including setting
     all metadata
 .
 This package contains the development files needed to compile
 programs using the facilities provided by libkarma.

Package: libkarma0
Description-md5: 8731fe47d1e14379c1152090e941421d
Description-en: Rio Karma access library [runtime files]
 libkarma is a C language library that provides (read/write) access
 to the Rio Karma music player using either the usb (with OMFS) or
 network (PEARL) interface.
 .
 This package contains the runtime library needed by programs using
 the facilities provided by libkarma.

Package: libkasten4controllers0
Description-md5: 44fc2479671e1d0817ee11a2176accf5
Description-en: controllers Kasten library for Okteta
 Kasten is a framework for composing programs.
 .
 This package provides the controller part of Kasten.

Package: libkasten4core0
Description-md5: 0c79d6d935113cb5b13e6b739227236e
Description-en: core Kasten library for Okteta
 Kasten is a framework for composing programs.
 .
 This package provides the core part of Kasten.

Package: libkasten4gui0
Description-md5: eb18e7ea1860a5c9e977819754b68020
Description-en: gui Kasten library for Okteta
 Kasten is a framework for composing programs.
 .
 This package provides the GUI part of Kasten.

Package: libkasten4okteta2controllers0
Description-md5: 6acd054c067473b485987a0ef2472fd1
Description-en: kastencontrollers library for Okteta
 Okteta is a simple editor for the raw data of files. This type of program is
 also called hexadecimal editor or binary editor.
 .
 This package provides the part of the Okteta libraries interfacing with Kasten
 controllers.

Package: libkasten4okteta2core0
Description-md5: e78709ec729d7c1f995bd825438e3431
Description-en: kastencore library for Okteta
 Okteta is a simple editor for the raw data of files. This type of program is
 also called hexadecimal editor or binary editor.
 .
 This package provides the part of the Okteta libraries interfacing
 with Kasten core.

Package: libkasten4okteta2gui0
Description-md5: 838c69ab8f43a5a73be9d4b0f986012f
Description-en: kastengui library for Okteta
 Okteta is a simple editor for the raw data of files. This type of program is
 also called hexadecimal editor or binary editor.
 .
 This package provides the part of the Okteta libraries interfacing
 with Kasten GUI.

Package: libkate-dev
Description-md5: ea7955d0abccb5259d206e2cfde3252c
Description-en: Codec for karaoke and text encapsulation (dev)
 Kate is meant to be used for karaoke alongside audio/video streams (typically
 Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text
 data at arbitrary time intervals.
 .
 libkate provides an API for the encoding and decoding of kate files.
 This package contains the development libraries.

Package: libkate1
Description-md5: 39ec13ea5c59b0ac8acc76b3db8228c2
Description-en: Codec for karaoke and text encapsulation
 Kate is meant to be used for karaoke alongside audio/video streams (typically
 Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text
 data at arbitrary time intervals.
 .
 libkate provides an API for the encoding and decoding of kate files.

Package: libkavorka-perl
Description-md5: 389a1c6de6753ac5546424546d8ce257
Description-en: function signatures with the lure of the animal
 Kavorka provides "fun" and "method" keywords for declaring functions
 and methods.  It uses Perl 5.14's keyword API, so should work more
 reliably than source filters or Devel::Declare-based modules.
 .
 The syntax provided by Kavorka is largely inspired by Perl 6, though it
 has also been greatly influenced by Method::Signatures and
 Function::Parameters.

Package: libkaz-dev
Description-md5: f216f21538a675d45b038448e9ebfcb7
Description-en: Kazlib's reusable data structure development tools
 Kazlib is a collection of program modules portably written in ANSI C.
 .
 It contains a dictionary module based on red-black trees, an extendible
 hashing module, and a system for emulating exception handling.
 .
 This package provides header files and static libraries.

Package: libkaz1
Description-md5: 0c17074feb022a238eb29f57693096d1
Description-en: Kazlib's reusable data structure modules
 Kazlib is a collection of program modules portably written in ANSI C.
 .
 It contains a dictionary module based on red-black trees, an extendible
 hashing module, and a system for emulating exception handling.

Package: libkcapi-dev
Description-md5: ee274d2dd4b359584674b240cbd7489e
Description-en: Development files for Linux Kernel Crypto API
 The Linux kernel exports a Netlink interface of type AF_ALG to allow user
 space to utilize the kernel crypto API. libkcapi uses this Netlink interface
 and exports easy to use APIs so that a developer does not need to consider the
 low-level Netlink interface handling.
 .
 The library does not implement any cipher algorithms. All consumer requests
 are sent to the kernel for processing. Results from the kernel crypto API
 are returned to the consumer via the library API.
 .
 The kernel interface and therefore this library can be used by unprivileged
 processes.
 .
 This package contains development files needed to build libkcapi applications.

Package: libkcapi-doc
Description-md5: a014c5064d19b3baf9131eacee3e2975
Description-en: Documentation for Linux Kernel Crypto API
 The Linux kernel exports a Netlink interface of type AF_ALG to allow user
 space to utilize the kernel crypto API. libkcapi uses this Netlink interface
 and exports easy to use APIs so that a developer does not need to consider the
 low-level Netlink interface handling.
 .
 The library does not implement any cipher algorithms. All consumer requests
 are sent to the kernel for processing. Results from the kernel crypto API
 are returned to the consumer via the library API.
 .
 The kernel interface and therefore this library can be used by unprivileged
 processes.
 .
 This is the documentation for libkcapi

Package: libkcapi1
Description-md5: 78b3492a993653be6a8e1811c5ca42ef
Description-en: Linux Kernel Crypto API User Space Interface Library
 The Linux kernel exports a Netlink interface of type AF_ALG to allow user
 space to utilize the kernel crypto API. libkcapi uses this Netlink interface
 and exports easy to use APIs so that a developer does not need to consider the
 low-level Netlink interface handling.
 .
 The library does not implement any cipher algorithms. All consumer requests
 are sent to the kernel for processing. Results from the kernel crypto API
 are returned to the consumer via the library API.
 .
 The kernel interface and therefore this library can be used by unprivileged
 processes.
 .
 This package contains the libraries needed to run libkcapi applications.

Package: libkchart-dev
Description-md5: 5984b75ea32e16776bd1a44415f1c433
Description-en: library for creating business charts (development files)
 KD Charts provides an implementation of the ODF Chart specification. It
 supports stock charts, box charts, and whisker charts and allows one to
 integrate these charts in Qt-based applications.
 .
 This package contains the development files.

Package: libkchart2
Description-md5: 0328e560cc613f354b78a9c65673fe06
Description-en: library for creating business charts (shared library)
 KD Charts provides an implementation of the ODF Chart specification. It
 supports stock charts, box charts, and whisker charts and allows one to
 integrate these charts in Qt-based applications.
 .
 This package contains the shared library.

Package: libkchart2-l10n
Description-md5: f3fdee9514c21e772507f5ac1f6cd6da
Description-en: library for creating business charts (translation)
 KD Charts provides an implementation of the ODF Chart specification. It
 supports stock charts, box charts, and whisker charts and allows one to
 integrate these charts in Qt-based applications.
 .
 This package contains translations.

Package: libkdb-data
Description-md5: fc9a9ca56d53017587a344fa1ffddac0
Description-en: data files for KDb
 KDb is a database connectivity and creation framework, consisted of a
 general-purpose C++ Qt library and set of plugins delivering support
 for various database vendors.
 .
 This package contains the architecture independent data files for the
 KDb library and its drivers.
 .
 This package is part of the Calligra Suite.

Package: libkdb3-4abi1
Description-md5: 86baf8d611090a9a864e6b361112adfe
Description-en: database connectivity and creation framework -- shared library
 KDb is a database connectivity and creation framework, consisted of a
 general-purpose C++ Qt library and set of plugins delivering support
 for various database vendors.
 .
 This package contains the shared library.
 .
 This package is part of the Calligra Suite.

Package: libkdb3-dev
Description-md5: a54994f2f3befb1b4faba9f463c3df8b
Description-en: development files for KDb
 KDb is a database connectivity and creation framework, consisted of a
 general-purpose C++ Qt library and set of plugins delivering support
 for various database vendors.
 .
 This package contains the development files for KDb.
 .
 This package is part of the Calligra Suite.

Package: libkdb3-driver-mysql
Description-md5: 13c73d0c381eaf7f7a67b40d48099ad3
Description-en: MySQL driver for KDb
 KDb is a database connectivity and creation framework, consisted of a
 general-purpose C++ Qt library and set of plugins delivering support
 for various database vendors.
 .
 This package contains the support for MySQL in KDb.
 .
 This package is part of the Calligra Suite.

Package: libkdb3-driver-postgresql
Description-md5: c1247ac6f948b710828ec02c87791f01
Description-en: PostgreSQL driver for KDb
 KDb is a database connectivity and creation framework, consisted of a
 general-purpose C++ Qt library and set of plugins delivering support
 for various database vendors.
 .
 This package contains the support for PostgreSQL in KDb.
 .
 This package is part of the Calligra Suite.

Package: libkdb3-driver-sqlite
Description-md5: 40f598ae0c281ace9baa3d35935ca77f
Description-en: SQLite driver for KDb
 KDb is a database connectivity and creation framework, consisted of a
 general-purpose C++ Qt library and set of plugins delivering support
 for various database vendors.
 .
 This package contains the support for SQLite in KDb.
 .
 This package is part of the Calligra Suite.

Package: libkdecorations2-5v5
Description-md5: 2f69f7916e2c1f355958b57e8a4fc61d
Description-en: library to create window decorations
 KDecoration2 is a library to create window decorations. These window
 decorations can be used by for example an X11 based window manager
 which re-parents a Client window to a window decoration frame.

Package: libkdecorations2-dev
Description-md5: 94ead86761301d887d1872fe6452ba91
Description-en: library to create window decorations - development files
 KDecoration2 is a library to create window decorations. These window
 decorations can be used by for example an X11 based window manager
 which re-parents a Client window to a window decoration frame.
 .
 This package contains the development files.

Package: libkdecorations2private7
Description-md5: a433a88916f0a2e18ca2bd6a2dcebb05
Description-en: library to create window decorations - private library
 KDecoration2 is a library to create window decorations. These window
 decorations can be used by for example an X11 based window manager
 which re-parents a Client window to a window decoration frame.
 .
 This package contains the private library parts that are not considered stable.

Package: libkdf5-2
Description-md5: e7df8a86b225065173215d2316c0d7b1
Description-en: hdf5 access to data in the INSDC Sequence Read Archives
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 This library is part of the ncbi-vdb package and provides an interface
 to the Hierarchical Data Format 5 (HDF5).

Package: libkdf5-dev
Description-md5: d869782986b2df041836630ef74685db
Description-en: hdf5 access to data in the INSDC Sequence Read Archives (devel)
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 This library is part of the ncbi-vdb package and provides an interface
 to the Hierarchical Data Format 5 (HDF5).
 .
 This is the development package.

Package: libkdgcommons-java
Description-md5: c6067aaa71199ccd538eb983914e87a0
Description-en: utility library for web programming
 The KDG Commons library is a collection of utility classes for Java,
 supplementing and in some cases replacing Jakarta Commons and Google
 Guava. This involves SQL database access via JDBC, hex data handling,
 secure string handling for web applications and much more.

Package: libkdl-parser-dev
Description-md5: 7d66190e2e21977dc49215aed9cb7c29
Description-en: Development files for ROS kdl_parser library
 The Kinematics and Dynamics Library (KDL) defines a tree structure to
 represent the kinematic and dynamic parameters of a robot mechanism.
 kdl_parser provides tools to construct a KDL tree from an XML robot
 representation in URDF.
 .
 This package contains the development files for the library.

Package: libkdl-parser1d
Description-md5: cc50a7a8557c4454530c06a6f0aac6b0
Description-en: ROS kdl_parser library
 The Kinematics and Dynamics Library (KDL) defines a tree structure to
 represent the kinematic and dynamic parameters of a robot mechanism.
 kdl_parser provides tools to construct a KDL tree from an XML robot
 representation in URDF.
 .
 This package contains the library.

Package: libkdtree++-dev
Description-md5: f2b5ff5c3b424919637540e27417235b
Description-en: C++ template container implementation of kd-tree sorting
 libkdtree++ is a C++ template container implementation of k-dimensional space
 sorting, using a kd-tree. It:
 .
   - supports an unlimited number of dimensions (in theory)
   - can store any data structure, provided the data structure provides
     operator[0 - k-1] to access the individual dimensional
     components (arrays, std::vector already do) and a std::less
     implementation for the type of dimensional components
   - has support for custom allocators
   - implements iterators
   - provides standard find as well as range queries
   - has amortised O(lg n) time (O(n lg n) worst case) on most
     operations (insert/erase/find optimised) and worst-case O(n) space
   - provides a means to rebalance and thus optimise the tree
   - exists in its own namespace
   - uses STL coding style, basing a lot of the code on stl_tree.h
 .
 libkdtree++ only exists as a -dev package as it's only a bunch of C++ header
 files. Therefore, no static or shared library is necessary, allowing for
 ultimate flexibility.

Package: libkeduvocdocument-data
Description-md5: d582c7b7d2ac8681cd58c7544017d083
Description-en: library for reading and writing vocabulary files - data files
 This package contains a library for reading and writing vocabulary files. It
 supports different file formats. KVTML (version 2) is the default. This library
 is used by Parley, Kanagram, KHangMan and KWordQuiz.
 .
 This package is part of the KDE education module.

Package: libkeduvocdocument-dev
Description-md5: 220fa3268ef4809b34d0dc63549db841
Description-en: development files for KEduVocDocument
 This package contains development files for building software that uses the
 KEduVocDocument library
 .
 This package is part of the KDE education module.

Package: libkeduvocdocument5abi1
Description-md5: c9ab1266f73e2b5784389c0fd2aef2b9
Description-en: library for reading and writing vocabulary files
 This package contains a library for reading and writing vocabulary files. It
 supports different file formats. KVTML (version 2) is the default. This library
 is used by Parley, Kanagram, KHangMan and KWordQuiz.
 .
 This package is part of the KDE education module.

Package: libkeepalive0
Description-md5: bcb429f4f5ea7c03d042900ff960bef5
Description-en: enable tcp keepalive support in programs using shared libraries
 Many systems provide the ability to keep tcp connections alive, so they
 aren't reset by peers or by routers because of inactivity.
 .
 Even if this feature is present, only a few programs correctly implement
 the code to use it. If your favourite foo-client is not written with
 support for tcp keepalive, you'll continue to see your connection reset.
 .
 libkeepalive library provides a way to enable tcp keepalive support in
 any program that uses shared libraries (e.g.: glibc shared object) to
 perform network operations. Using the preload method, you will be able to
 intercept normal program execution and to inject the code needed to enable
 the keepalive routines, everything done without modifying the original
 binary file and with no need to gain root privileges.

Package: libkernlib1-dev
Description-md5: 72c4b9867695414d7e7ba5c0cbd3bec9
Description-en: CERNLIB data analysis suite - core library of basic functions (development)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The kernlib library contains a rather miscellaneous set of basic numerical,
 bitwise, and system-dependent functions used by other CERN libraries and
 programs.
 .
 This package includes the static version of kernlib, as well as
 C and FORTRAN header files.

Package: libkernlib1-gfortran
Description-md5: 217e1e162942b74001bed94d9137611d
Description-en: CERNLIB data analysis suite - core library of basic functions
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The kernlib library contains a rather miscellaneous set of basic numerical,
 bitwise, and system-dependent functions used by other CERN libraries and
 programs.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libkernlib1-dev package.

Package: libkeybinder-3.0-0
Description-md5: 6a8bd97c8b5984c2f0e011df871d1882
Description-en: registers global key bindings for applications - Gtk+3
 keybinder is a library for registering global keyboard shortcuts to be used by
 GTK-based applications under the X Window System.
 .
 When a combination of key is pressed, keybinder notifies it to the registering
 application, which can execute one or more operations based on the event
 previously registered.
 .
 Originally written as part of the Tomboy project, keybinder has been
 distributed as stand-alone library let other applications to use key binding.
 .
 This is the Gtk+3 version of the library.

Package: libkeybinder-3.0-dev
Description-md5: 7d48fb344953b357fffd4a63cb8cbb98
Description-en: registers global key bindings for applications - Gtk+3 - development headers
 keybinder is a library for registering global keyboard shortcuts to be used by
 GTK-based applications under the X Window System.
 .
 When a combination of key is pressed, keybinder notifies it to the registering
 application, which can execute one or more operations based on the event
 previously registered.
 .
 Originally written as part of the Tomboy project, keybinder has been
 distributed as stand-alone library let other applications to use key binding.
 .
 This package contains libkeybinder development headers.
 .
 This is the Gtk+3 version of the library.

Package: libkeybinder-dev
Description-md5: fc361cfcfdf631be39c8e7755c2d2068
Description-en: registers global key bindings for applications - development headers
 keybinder is a library for registering global keyboard shortcuts to be used by
 GTK-based applications under the X Window System.
 .
 When a combination of key is pressed, keybinder notifies it to the registering
 application, which can execute one or more operations based on the event
 previously registered.
 .
 Originally written as part of the Tomboy project, keybinder has been
 distributed as stand-alone library let other applications to use key binding.
 .
 This package contains libkeybinder development headers.

Package: libkeybinder0
Description-md5: 13b655cdd7d35de4de86a776457ec22e
Description-en: registers global key bindings for applications
 keybinder is a library for registering global keyboard shortcuts to be used by
 GTK-based applications under the X Window System.
 .
 When a combination of key is pressed, keybinder notifies it to the registering
 application, which can execute one or more operations based on the event
 previously registered.
 .
 Originally written as part of the Tomboy project, keybinder has been
 distributed as stand-alone library let other applications to use key binding.

Package: libkeyword-simple-perl
Description-md5: 6b2a729a0a81e23f02067debc41cf043
Description-en: Perl module to define new keywords in pure Perl
 Keyword::Simple allows one to implement new keywords in pure Perl. To do
 this, it is needed to write a module and call Keyword::Simple::define in
 the import method. Any keywords defined this way will be available in
 the lexical scope that's currently being compiled.

Package: libkf5activities-dev
Description-md5: 7ad970a287cc047a4edc2fc387464982
Description-en: development files for kactivities.
 This library provides functionality for managing Activities.
 .
 Contains development files for kactivities.

Package: libkf5activities-doc
Description-md5: c1a136154fa5fe4fe1016a72038e3e94
Description-en: Library to organize the user work in separate activities (documentation)
 This library provides functionality for managing Activities.
 .
 This package contains the qch documentation files.

Package: libkf5activities5
Description-md5: 46ad843a4038ec1b1ac2f4703f81cbaf
Description-en: Library to organize the user work in separate activities.
 This library provides functionality for managing Activities.

Package: libkf5activitiesstats-dev
Description-md5: 9b84b4b8fd49751a843ec3c1cba3e3a0
Description-en: development files for kactivities-stats.
 A library for accessing the usage data collected by the activities system.
 .
 Contains development files for kactivities-stats.

Package: libkf5activitiesstats-doc
Description-md5: db83b9d143d808741994ac9a13af1eb6
Description-en: usage data collected by the activities system
 A library for accessing the usage data collected by the activities
 system.
 .
 This package contains the qch documentation files.

Package: libkf5activitiesstats1
Description-md5: 50da67565bb2aea00165c9d3799771c4
Description-en: usage data collected by the activities system
 A library for accessing the usage data collected by the activities
 system.
 .
 Contains development files for kactivities-stats.

Package: libkf5akonadi-data
Description-md5: 442ed35a554e2850522510c648be877b
Description-en: Akonadi arch independent data
 Akonadi is an extensible cross-desktop Personal Information Management (PIM)
 storage service. It provides a common framework for applications to store and
 access mail, calendars, addressbooks, and other PIM data.
 .
 This package ships arch independent data like translations etc.

Package: libkf5akonadi-dev
Description-md5: 7e4a26d39047c8eee45c3e128b152cbf
Description-en: development files for the KDE Development Platform PIM libraries
 This package contains development files for building software that uses
 the KDE Development Platform Personal Information Management libraries.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadi-dev-bin
Description-md5: 9af2a418771d90a792165c4d8bd44d88
Description-en: Additional development binaries for the Akonadi KDE PIM libraries
 This package contains development files for building software that uses
 the KDE Development Platform Personal Information Management libraries.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadiagentbase5
Description-md5: 6cb0d91384ee342f64ac4e4891caf006
Description-en: Akonadi agent base library
 This library contains classes to implement agents for the Akonadi PIM
 data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadicalendar-data
Description-md5: f80d67099b48655d3e28a3181e1c3ba0
Description-en: library providing calendar helpers for Akonadi items - data files
 This library offers helper functions to integrate the use of Akonadi calendar
 items in applications.

Package: libkf5akonadicalendar-dev
Description-md5: 1a66f15ec9062a4af5011bd5403b8ab0
Description-en: akonadi-calendar - development files
 This library offers helper functions to integrate the use of Akonadi calendar
 items in applications.
 .
 This package contains the development files.

Package: libkf5akonadicalendar5abi2
Description-md5: 3c53c6b55c5a80a95ca7aec512b79a31
Description-en: library providing calendar helpers for Akonadi items
 This library offers helper functions to integrate the use of Akonadi calendar
 items in applications.

Package: libkf5akonadicontact-data
Description-md5: 9f6c2a53a5c700a2f5e81d447fccc72e
Description-en: akonadi-contacts - data files
 This package contains data files for the akonadi-contacts libraries.

Package: libkf5akonadicontact-dev
Description-md5: 9ebfbf96160491e8b72ad875771d325d
Description-en: Akonadi contacts access library - development files
 This library provides contacts manipulation helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.
 .
 This package contains the development files.

Package: libkf5akonadicontact5abi1
Description-md5: e37a9957f2fcac1dd44cb526f5c16f80
Description-en: Akonadi contacts access library
 This library provides contacts manipulation helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadicore-bin
Description-md5: 0d1f14c297b63e45b5876fc96686a274
Description-en: Tools for Akonadi core library
 Tools used by Akonadi PIM data server core classes.
 .
 This is a transitional package which can be safely removed.

Package: libkf5akonadicore5abi2
Description-md5: 7eaca65bf97daa5f493d62c080313159
Description-en: Akonadi core library
 This library contains Akonadi PIM data server core classes.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadimime-data
Description-md5: 6c6541f87a397b0d7dbae60497ef8c98
Description-en: akonadi-mime - data files
 This package contains data files for the akonadi-mime libraries.

Package: libkf5akonadimime-dev
Description-md5: 85a1710e8f3faac7b728c979a76463be
Description-en: Akonadi MIME handling library
 This library provides MIME handling helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.
 .
 This package contains the development files.

Package: libkf5akonadimime5
Description-md5: 4e624534e40cf9790cf55069c0d61f2f
Description-en: Akonadi MIME handling library
 This library provides MIME handling helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadinotes-data
Description-md5: 08fce51dfe466ac3b67905a840652a4d
Description-en: Akonadi notes access library - data files
 This library provides notes manipulation helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadinotes-dev
Description-md5: eee620b27ee63eb96f6184bebfb8a4d9
Description-en: Akonadi notes access library - development files
 This library provides notes manipulation helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.
 .
 This package contains the development files.

Package: libkf5akonadinotes5
Description-md5: 8c6e61d76502884be9087f95fed497e3
Description-en: Akonadi notes access library
 This library provides notes manipulation helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadiprivate5abi2
Description-md5: fc68b591ff20eff8fb7e4c5e3375b4dd
Description-en: libraries for the Akonadi PIM storage service
 Akonadi is an extensible cross-desktop Personal Information Management (PIM)
 storage service. It provides a common framework for applications to store and
 access mail, calendars, addressbooks, and other PIM data.
 .
 This package contains libraries used by the Akonadi PIM storage service.

Package: libkf5akonadisearch-bin
Description-md5: e70613ede7e5ea56b4c868cfa0f61384
Description-en: Akonadi search library - runtime binaries
 Library used to search in the Akonadi PIM data server.
 .
 This package contains runtime binaries.

Package: libkf5akonadisearch-data
Description-md5: dc4d2f43b452cc249e9e8ca73faaa3d7
Description-en: Akonadi search library - data files
 Library used to search in the Akonadi PIM data server.
 .
 This package contains data files.

Package: libkf5akonadisearch-dev
Description-md5: 09afef3d87caf347e41cf4a1e39496e1
Description-en: Akonadi search library - development files
 Library used to search in the Akonadi PIM data server.
 .
 This package contains the development files.

Package: libkf5akonadisearch-plugins
Description-md5: c2606e1bc9be28b8500c0115507f06da
Description-en: Akonadi search library - runtime plugins
 Library used to search in the Akonadi PIM data server.
 .
 This package contains runtime plugins.

Package: libkf5akonadisearchcore5
Description-md5: 76f0fe7b552441a4582e2f6ce80ac144
Description-en: Akonadi search core library
 Internal library used to search in the Akonadi PIM data server.

Package: libkf5akonadisearchdebug5
Description-md5: 3ada48090888fbfe505199a207149d03
Description-en: Akonadi search debug library
 Internal library used to search in the Akonadi PIM data server.

Package: libkf5akonadisearchpim5
Description-md5: bf68bd20069fda6b0b73124e28f8098f
Description-en: Akonadi search library
 Library used to search in the Akonadi PIM data server.

Package: libkf5akonadisearchxapian5
Description-md5: 6a746843803a48437039ad7350409b45
Description-en: Akonadi search xapian library
 Internal library used to search in the Akonadi PIM data server.

Package: libkf5akonadiserver-dev
Description-md5: 7a5c6d60679631d3585bce30c8e84f52
Description-en: development files for the Akonadi PIM storage service
 Akonadi is an extensible cross-desktop Personal Information Management (PIM)
 storage service. It provides a common framework for applications to store and
 access mail, calendars, addressbooks, and other PIM data.
 .
 This package contains development files for building software that uses the
 Akonadi PIM storage service.

Package: libkf5akonadiwidgets5abi1
Description-md5: 14a700b6cade167a55cbed0288970004
Description-en: Akonadi widgets library
 This library contains standard widgets for the Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5akonadixml5
Description-md5: fedb7044a6b3771e661d0d2237c65b21
Description-en: Akonadi XML helper library
 This library provides XML helpers using the Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5alarmcalendar-data
Description-md5: 53f427eb56350365647f25692de01a8f
Description-en: library for handling kalarm calendar data
 This library provides access to and handling of kalarm calendar data.

Package: libkf5alarmcalendar-dev
Description-md5: e741313c2863548da27941ba25c0906f
Description-en: library for handling kalarm calendar data - development files
 This library provides access to and handling of kalarm calendar data.
 .
 This package contains the development files.

Package: libkf5alarmcalendar5abi2
Description-md5: 53f427eb56350365647f25692de01a8f
Description-en: library for handling kalarm calendar data
 This library provides access to and handling of kalarm calendar data.

Package: libkf5archive-dev
Description-md5: 3dafae3e3d7fde82558383c45239bdb4
Description-en: development files for karchive
 Karchive is a Qt 5 addon providing access to numerous types of archives.
 .
 KArchive provides classes for easy reading, creation and manipulation of
 "archive" formats like ZIP and TAR.
 .
 It also provides transparent compression and decompression of data, like the
 GZip format, via a subclass of QIODevice.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5archive-doc
Description-md5: a316f2228c294d485889aa03efaf2291
Description-en: Qt 5 addon providing access to numerous types of archives (documentation)
 KArchive provides classes for easy reading, creation and manipulation of
 "archive" formats like ZIP and TAR.
 .
 It also provides transparent compression and decompression of data, like the
 GZip format, via a subclass of QIODevice.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5archive5
Description-md5: 9494207f77a3776fd233ec427a4e0067
Description-en: Qt 5 addon providing access to numerous types of archives
 KArchive provides classes for easy reading, creation and manipulation of
 "archive" formats like ZIP and TAR.
 .
 It also provides transparent compression and decompression of data, like the
 GZip format, via a subclass of QIODevice.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5attica-dev
Description-md5: 0f258532f7eee15e2fb363e6b7f3ba41
Description-en: development files for libkf5attica5
 Attica is a Qt library that implements the Open Collaboration Services
 API version 1.6.
 .
 It grants easy access to the services such as querying information about
 persons and contents.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development header files.

Package: libkf5attica-doc
Description-md5: db60da8846ede089a9b0d8a2f2d96403
Description-en: Qt library that implements the Open Collaboration Services API (documentation)
 Attica is a Qt library that implements the Open Collaboration Services
 API version 1.6.
 .
 It grants easy access to the services such as querying information about
 persons and contents.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5attica5
Description-md5: eaa2d3f76d81d2d18cbe7566c248696f
Description-en: Qt library that implements the Open Collaboration Services API
 Attica is a Qt library that implements the Open Collaboration Services
 API version 1.6.
 .
 It grants easy access to the services such as querying information about
 persons and contents.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5auth-data
Description-md5: 7a717da1c2f786c5983047889fa439b5
Description-en: Abstraction to system policy and authentication features
 KAuth is a framework to let applications perform actions as a
 privileged user.
 .
 KAuth is part of KDE Frameworks 5.
 .
 This package contains data files.

Package: libkf5auth-dev
Description-md5: 7f6460ac3eca997359b91b6f182732b7
Description-en: Abstraction to system policy and authentication features
 KAuth is a framework to let applications perform actions as a
 privileged user.
 .
 KAuth is part of KDE Frameworks 5.
 .
 This package contains development files for kauth.

Package: libkf5auth-dev-bin
Description-md5: 2e375205fb087f88066960216ac3b5c0
Description-en: Abstraction to system policy and authentication features
 KAuth is a framework to let applications perform actions as a
 privileged user.
 .
 KAuth is part of KDE Frameworks 5.
 .
 This package contains helper binaries for development.
 You never depend on this directly, because the *.cmake files are
 not included in this package, because they are arch-dependent.

Package: libkf5auth-doc
Description-md5: 9dd8dbdaaf8c31eea5d8e4fb668ea3f7
Description-en: Abstraction to system policy and authentication features (documentation)
 KAuth is a framework to let applications perform actions as a
 privileged user.
 .
 KAuth is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5auth5
Description-md5: 124a670ad126e277ca1fe06066b173e3
Description-en: Abstraction to system policy and authentication features
 KAuth is a framework to let applications perform actions as a
 privileged user.
 .
 KAuth is part of KDE Frameworks 5.

Package: libkf5authcore5
Description-md5: 124a670ad126e277ca1fe06066b173e3
Description-en: Abstraction to system policy and authentication features
 KAuth is a framework to let applications perform actions as a
 privileged user.
 .
 KAuth is part of KDE Frameworks 5.

Package: libkf5baloo-doc
Description-md5: eeb9186bc8bf224c3a36a4354f1677e1
Description-en: framework for searching and managing metadata core lib (documentation)
 A framework for searching and managing metadata in KDE Software.
 .
 Baloo is part of the KDE Plasma desktop.
 .
 This package contains the qch documentation files.

Package: libkf5baloo5
Description-md5: b75d6e5eae3c57d7aaa01c67c1362bab
Description-en: framework for searching and managing metadata core lib.
 A framework for searching and managing metadata in KDE Software.
 .
 Baloo is part of the KDE Plasma desktop.

Package: libkf5balooengine5
Description-md5: 2731347a6cb4a51157a810f1fbc05aa9
Description-en: framework for searching and managing metadata plugins
 A framework for searching and managing metadata in KDE Software.
 .
 Baloo is part of the KDE Plasma desktop.

Package: libkf5baloowidgets-bin
Description-md5: a9d801e80f98e052cf20d9f23edb3e4b
Description-en: Wigets for use with Baloo - binaries
 Baloo is a framework for searching and managing metadata.
 .
 This package contains binaries used by the baloo widgets library.

Package: libkf5baloowidgets-data
Description-md5: 2d16636ba808946d5c4201b851da46cf
Description-en: Wigets for use with Baloo - data files
 Baloo is a framework for searching and managing metadata.
 .
 This package contains binaries used by the baloo widgets library.

Package: libkf5baloowidgets-dev
Description-md5: 320c7bb92c4ad24480824bab987b5c94
Description-en: Development headers for developing applications with Baloo
 Baloo is a framework for searching and managing metadata.
 .
 This package contains development headers for Baloo Widgets library.

Package: libkf5baloowidgets5
Description-md5: 7756f0741a85a33a80b79383670f9726
Description-en: Wigets for use with Baloo
 Baloo is a framework for searching and managing metadata.
 .
 This package contains GUI widgets for baloo.

Package: libkf5blog-data
Description-md5: 8e628a124dcb57ed3b0b4f768bd2d3e4
Description-en: client-side support library for web application remote blogging APIs
 KBlog is a library for calling functions on Blogger 1.0, MetaWeblog,
 MovableType and GData compatible blogs. It calls the APIs using KXmlRpcClient
 and Syndication. It supports asynchronous sending and fetching of posts and,
 if supported on the server, multimedia files. Almost every modern blogging web
 application that provides an XML data interface supports one of the APIs
 mentioned above.

Package: libkf5blog-dev
Description-md5: 55c624428e39b06291853359851b6f51
Description-en: kblog - development files
 KBlog is a library for calling functions on Blogger 1.0, MetaWeblog,
 MovableType and GData compatible blogs. It calls the APIs using KXmlRpcClient
 and Syndication. It supports asynchronous sending and fetching of posts and,
 if supported on the server, multimedia files. Almost every modern blogging web
 application that provides an XML data interface supports one of the APIs
 mentioned above.
 .
 This package contains the development files.

Package: libkf5blog5
Description-md5: 8e628a124dcb57ed3b0b4f768bd2d3e4
Description-en: client-side support library for web application remote blogging APIs
 KBlog is a library for calling functions on Blogger 1.0, MetaWeblog,
 MovableType and GData compatible blogs. It calls the APIs using KXmlRpcClient
 and Syndication. It supports asynchronous sending and fetching of posts and,
 if supported on the server, multimedia files. Almost every modern blogging web
 application that provides an XML data interface supports one of the APIs
 mentioned above.

Package: libkf5bluezqt-data
Description-md5: de807fccabf1e3e41b732e9884991088
Description-en: data files for bluez-qt
 bluez-qt is a Qt-style library for accessing the bluez Bluetooth stack.
 .
 It is used by the KDE Bluetooth stack, BlueDevil.
 .
 This package contains the data files.

Package: libkf5bluezqt-dev
Description-md5: fdc4acb3315d967d38024758604f3460
Description-en: development files for bluez-qt
 This package provides all the necessary development headers for building
 software that uses the bluez-qt library.

Package: libkf5bluezqt-doc
Description-md5: 4eb32d9c2f48bb2e6220a861ff990d42
Description-en: documentation files for bluez-qt
 bluez-qt is a Qt-style library for accessing the bluez Bluetooth stack.
 .
 It is used by the KDE Bluetooth stack, BlueDevil.
 .
 This package contains the qch documentation files.

Package: libkf5bluezqt6
Description-md5: b35c3d6822fe7c9eb912aa8baff1bd94
Description-en: Qt wrapper for bluez
 bluez-qt is a Qt-style library for accessing the bluez Bluetooth stack.
 .
 It is used by the KDE Bluetooth stack, BlueDevil.

Package: libkf5bookmarks-data
Description-md5: 22b9cda0201b37fd2e5f904d7ed207ac
Description-en: Qt library with support for bookmarks and the XBEL format.
 KBookmarks lets you access and manipulate bookmarks stored using the
 XBEL format.
 .
 This package contains the translations.

Package: libkf5bookmarks-dev
Description-md5: 341670b593610838b1c66bfcf3f15d44
Description-en: Qt library with support for bookmarks and the XBEL format.
 KBookmarks lets you access and manipulate bookmarks stored using the
 XBEL format.
 .
 Contains development files for kbookmarks.

Package: libkf5bookmarks-doc
Description-md5: 4dbf2b073fd171a9d68d54c9d836c3f7
Description-en: Qt library with support for bookmarks and the XBEL format (documentation)
 KBookmarks lets you access and manipulate bookmarks stored using the
 XBEL format.
 .
 This package contains the qch documentation files.

Package: libkf5bookmarks5
Description-md5: b8de6162b0baeaae8a5af1e9c5993202
Description-en: Qt library with support for bookmarks and the XBEL format.
 KBookmarks lets you access and manipulate bookmarks stored using the
 XBEL format.

Package: libkf5calendarcore-dev
Description-md5: 87b33fb890f6a7f8ace6d31d0ee3feec
Description-en: development files for kcalcore
 This library provides access to and handling of calendar data.
 It supports the standard formats iCalendar and vCalendar and the
 group scheduling standard iTIP.
 .
 This package contains the development files.

Package: libkf5calendarcore5abi2
Description-md5: 83d3d4508744dd357d7f13e0d44ce8ff
Description-en: calendar access framework
 This library provides access to and handling of calendar data.
 It supports the standard formats iCalendar and vCalendar and the
 group scheduling standard iTIP.

Package: libkf5calendarevents5
Description-md5: 230f6b0a3105f3a0e0ecc12f9c206eb9
Description-en: provides integration of QML and KDE frameworks -- calendarevents
 Framework providing integration of QML and other KDE frameworks such
 as making i18n available and using kio

Package: libkf5calendarsupport-data
Description-md5: ed108671a11620981ba1bdcd347affd9
Description-en: KDE PIM Calendar support - data files
 This library provides calendar support for KDE PIM.
 .
 This package provides data files used by the library.

Package: libkf5calendarsupport-dev
Description-md5: 772dcc1945e578eabcd349c961f6455d
Description-en: KDE PIM Calendar support - devel files
 This library provides calendar support for KDE PIM.
 .
 This package provides development files.

Package: libkf5calendarsupport5abi1
Description-md5: faa6b9ae1834c946ddc7e93cc77973d3
Description-en: KDE PIM Calendar support - library
 This library provides calendar support for KDE PIM.
 .
 This package provides the shared libraries.

Package: libkf5calendarutils-bin
Description-md5: 345839acf405933e33db638ac032b51b
Description-en: library with utility functions for the handling of calendar data
 This library provides a set of utility functions that help applications access
 and use calendar data via the KCalCore library.

Package: libkf5calendarutils-data
Description-md5: 345839acf405933e33db638ac032b51b
Description-en: library with utility functions for the handling of calendar data
 This library provides a set of utility functions that help applications access
 and use calendar data via the KCalCore library.

Package: libkf5calendarutils-dev
Description-md5: bdd38e28a782c8f1100791a9110f97b8
Description-en: kcalutils - development files
 This library provides a set of utility functions that help applications access
 and use calendar data via the KCalCore library.
 .
 This package contains the development files.

Package: libkf5calendarutils5abi1
Description-md5: 345839acf405933e33db638ac032b51b
Description-en: library with utility functions for the handling of calendar data
 This library provides a set of utility functions that help applications access
 and use calendar data via the KCalCore library.

Package: libkf5cddb-data
Description-md5: 0e2dc45f961ec46c49ef4ed361e16140
Description-en: CDDB library for KDE Platform - data files
 The KDE Compact Disc DataBase library provides an API for applications using
 the KDE Platform to fetch and submit audio CD information over the Internet.
 .
 This package is part of the KDE multimedia module.

Package: libkf5cddb-dev
Description-md5: 7565ff08889778a2a6494cc12cbf6406
Description-en: CDDB library for KDE Platform (development)
 The KDE Compact Disc DataBase library provides an API for KDE applications
 to fetch and submit audio CD information over the Internet.
 .
 This package is part of the KDE multimedia module.

Package: libkf5cddb5
Description-md5: 0d8ee0e128313d9d3531e70c59020cf0
Description-en: CDDB library for KDE Platform (runtime)
 The KDE Compact Disc DataBase library provides an API for applications using
 the KDE Platform to fetch and submit audio CD information over the Internet.
 .
 This package is part of the KDE multimedia module.

Package: libkf5cddbwidgets5
Description-md5: fea0d8c8f9592f59ff2ef54cc26549b6
Description-en: CDDB library for KDE Platform (widgets)
 The KDE Compact Disc DataBase library provides an API for applications using
 the KDE Platform to fetch and submit audio CD information over the Internet.
 .
 This package is part of the KDE multimedia module.

Package: libkf5codecs-data
Description-md5: 90a22700bce6b2b77669cb3e42a53435
Description-en: collection of methods to manipulate strings
 KCodecs provide a collection of methods to manipulate strings
 using various encodings.
 .
 This package contains the translations.

Package: libkf5codecs-dev
Description-md5: 6f00b14bfe97a1a427e9106033f6dbdd
Description-en: development files for kcodecs
 This package contains development files for building software that uses
 libraries from kcodecs.

Package: libkf5codecs-doc
Description-md5: 89e98b7e44c63cca22f2eabb907bb58f
Description-en: collection of methods to manipulate strings (documentation)
 KCodecs provide a collection of methods to manipulate strings
 using various encodings.
 .
 This package contains the qch documentation files.

Package: libkf5codecs5
Description-md5: b88199ec3eb74f4fa0416eb114e1c627
Description-en: collection of methods to manipulate strings
 KCodecs provide a collection of methods to manipulate strings
 using various encodings.

Package: libkf5compactdisc-data
Description-md5: caf982b95862d87a11117644c9aa2362
Description-en: CD drive library for KDE Platform - data files
 The KDE Compact Disc library provides an API for applications using
 the KDE Platform to interface with the CD drives for audio CDs.
 .
 This package is part of the KDE multimedia module.

Package: libkf5compactdisc-dev
Description-md5: 539d0306eed14eeb7d28116aa92fbcae
Description-en: CD drive library for KDE Platform (development)
 The KDE Compact Disc library provides an API for applications using
 the KDE Platform to interface with the CD drives for audio CDs.
 .
 This package contains the development files for libKCompactDisc.
 .
 This package is part of the KDE multimedia module.

Package: libkf5compactdisc5
Description-md5: fd3a25429cb93cb1d7ca336f3ede63da
Description-en: CD drive library for KDE Platform (runtime)
 The KDE Compact Disc library provides an API for applications using
 the KDE Platform to interface with the CD drives for audio CDs.
 .
 This package is part of the KDE multimedia module.

Package: libkf5completion-data
Description-md5: 5d2ea174f4aa4bf39e1bedafae9beff3
Description-en: Widgets with advanced auto-completion features.
 KCompletion provides widgets with advanced completion support as well as a
 lower-level completion class which can be used with your own widgets.
 .
 This package contains the translations.

Package: libkf5completion-dev
Description-md5: 669c3e2b61457cf23d5eb78b31bac4d2
Description-en: development files for kcompletion
 KCompletion provides widgets with advanced completion support as well as a
 lower-level completion class which can be used with your own widgets.
 .
 Contains development files for kcompletion.

Package: libkf5completion-doc
Description-md5: 8ced1abd621d1036cfdec2c194c0ee78
Description-en: Widgets with advanced auto-completion features (documentation)
 KCompletion provides widgets with advanced completion support as well as a
 lower-level completion class which can be used with your own widgets.
 .
 This package contains the qch documentation files.

Package: libkf5completion5
Description-md5: b424ff9c1406ac998aaefce01a33baf5
Description-en: Widgets with advanced auto-completion features.
 KCompletion provides widgets with advanced completion support as well as a
 lower-level completion class which can be used with your own widgets.

Package: libkf5config-bin
Description-md5: ece6b2bb249c34505390810c75a15816
Description-en: configuration settings framework for Qt
 KConfig provides an advanced configuration system. It is made of two
 parts: KConfigCore and KConfigGui.
 .
 KConfigCore provides access to the configuration files themselves. It
 features:
 .
  - centralized definition: define your configuration in an XML file
  and use `kconfig_compiler` to generate classes to read and write
  configuration entries.
  - lock-down (kiosk) support.
 .
 KConfigGui provides a way to hook widgets to the configuration so
 that they are automatically initialized from the configuration and
 automatically propagate their changes to their respective
 configuration files.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains runtime files for KConfig.

Package: libkf5config-data
Description-md5: 5811c7b0e7669a775eb56f66fea1207f
Description-en: configuration settings framework for Qt
 KConfig provides an advanced configuration system. It is made of two
 parts: KConfigCore and KConfigGui.
 .
 KConfigCore provides access to the configuration files themselves. It
 features:
 .
  - centralized definition: define your configuration in an XML file
  and use `kconfig_compiler` to generate classes to read and write
  configuration entries.
  - lock-down (kiosk) support.
 .
 KConfigGui provides a way to hook widgets to the configuration so
 that they are automatically initialized from the configuration and
 automatically propagate their changes to their respective
 configuration files.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the translations.

Package: libkf5config-dev
Description-md5: d964f90f47cd4f3188619068686a8292
Description-en: configuration settings framework for Qt
 KConfig provides an advanced configuration system. It is made of two
 parts: KConfigCore and KConfigGui.
 .
 KConfigCore provides access to the configuration files themselves. It
 features:
 .
  - centralized definition: define your configuration in an XML file
  and use `kconfig_compiler` to generate classes to read and write
  configuration entries.
  - lock-down (kiosk) support.
 .
 KConfigGui provides a way to hook widgets to the configuration so
 that they are automatically initialized from the configuration and
 automatically propagate their changes to their respective
 configuration files.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.,

Package: libkf5config-dev-bin
Description-md5: 1f39c456a251629278b9118132cc8092
Description-en: configuration settings framework for Qt -- binary package
 KConfig provides an advanced configuration system. It is made of two
 parts: KConfigCore and KConfigGui.
 .
 KConfigCore provides access to the configuration files themselves. It
 features:
 .
  - centralized definition: define your configuration in an XML file
  and use `kconfig_compiler` to generate classes to read and write
  configuration entries.
  - lock-down (kiosk) support.
 .
 KConfigGui provides a way to hook widgets to the configuration so
 that they are automatically initialized from the configuration and
 automatically propagate their changes to their respective
 configuration files.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the binary files for the libkf5config-dev package.

Package: libkf5config-doc
Description-md5: aa96a03c6ec1776f53aa18d4b4be2248
Description-en: configuration settings framework for Qt (documentation)
 KConfig provides an advanced configuration system. It is made of two
 parts: KConfigCore and KConfigGui.
 .
 KConfigCore provides access to the configuration files themselves. It
 features:
 .
  - centralized definition: define your configuration in an XML file
  and use `kconfig_compiler` to generate classes to read and write
  configuration entries.
  - lock-down (kiosk) support.
 .
 KConfigGui provides a way to hook widgets to the configuration so
 that they are automatically initialized from the configuration and
 automatically propagate their changes to their respective
 configuration files.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5configcore5
Description-md5: da44edf60238e91a7fa96d0c86129643
Description-en: configuration settings framework for Qt
 KConfig provides an advanced configuration system. It is made of two
 parts: KConfigCore and KConfigGui.
 .
 KConfigCore provides access to the configuration files themselves. It
 features:
 .
  - centralized definition: define your configuration in an XML file
  and use `kconfig_compiler` to generate classes to read and write
  configuration entries.
  - lock-down (kiosk) support.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains KConfigCore.

Package: libkf5configgui5
Description-md5: f2f8f5de8205d01387c551e559b576e9
Description-en: configuration settings framework for Qt
 KConfig provides an advanced configuration system. It is made of two
 parts: KConfigCore and KConfigGui.
 .
 KConfigGui provides a way to hook widgets to the configuration so
 that they are automatically initialized from the configuration and
 automatically propagate their changes to their respective
 configuration files.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains KConfigGui.

Package: libkf5configwidgets-data
Description-md5: d5dbfbaec471586fb3506df917eac63f
Description-en: Extra widgets for easier configuration support.
 KConfigWidgets provides easy-to-use classes to create
 configuration dialogs, as well as a set of widgets which
 uses KConfig to store their settings.
 .
 This package contains the preparetips5 script, graphics
 and translations.

Package: libkf5configwidgets-dev
Description-md5: 8323634021d6ea80231bb080cd8471aa
Description-en: development files for kconfigwidgets
 KConfigWidgets provides easy-to-use classes to create
 configuration dialogs, as well as a set of widgets which
 uses KConfig to store their settings.
 .
 Contains development files for kconfigwidgets.

Package: libkf5configwidgets-doc
Description-md5: cb7092a86a3a302eb45c0613cd402034
Description-en: Extra widgets for easier configuration support (documentation)
 KConfigWidgets provides easy-to-use classes to create
 configuration dialogs, as well as a set of widgets which
 uses KConfig to store their settings.
 .
 This package contains the qch documentation files.

Package: libkf5configwidgets5
Description-md5: 87be7592126fbb5875fc8f54ff2375ba
Description-en: Extra widgets for easier configuration support.
 KConfigWidgets provides easy-to-use classes to create
 configuration dialogs, as well as a set of widgets which
 uses KConfig to store their settings.

Package: libkf5contacteditor-data
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: libkf5contacteditor-dev
Description-md5: 9ebfbf96160491e8b72ad875771d325d
Description-en: Akonadi contacts access library - development files
 This library provides contacts manipulation helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.
 .
 This package contains the development files.

Package: libkf5contacteditor5
Description-md5: 3bd9a6ec77c4bf1a019422af45285c60
Description-en: Akonadi contact editor library
 This library provides contacts manipulation helpers using the
 Akonadi PIM data server.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5contacts-data
Description-md5: 4c2b37d34dd2c60d065a5b0495c16512
Description-en: data files for kcontacts
 KContacts is an API for address book data.
 .
 This package contains shared data files.

Package: libkf5contacts-dev
Description-md5: d54e6efcc2b2c0b1723d5b7d0e6a26db
Description-en: development files for kcontacts
 KContacts is an API for address book data.
 .
 This package contains the development files.

Package: libkf5contacts5
Description-md5: 6dd06b459a1246e7f6c4eeaa75aca0a0
Description-en: address book API for KDE frameworks
 KContacts is an API for address book data.

Package: libkf5coreaddons-data
Description-md5: 29bc794d9aef56f3bdaef00102f493f3
Description-en: KDE Frameworks 5 addons to QtCore - data files
 KCoreAddons provides classes built on top of QtCore to perform various tasks
 such as manipulating mime types, autosaving files, creating backup files,
 generating random sequences, performing text manipulations such as macro
 replacement, accessing user information and many more.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the arch independent files for KCoreAddons.

Package: libkf5coreaddons-dev
Description-md5: 7443fc1f749dd219ef5a4631702ed422
Description-en: KDE Frameworks 5 addons to QtCore - development files
 KCoreAddons provides classes built on top of QtCore to perform various tasks
 such as manipulating mime types, autosaving files, creating backup files,
 generating random sequences, performing text manipulations such as macro
 replacement, accessing user information and many more.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files for KCoreAddons.

Package: libkf5coreaddons-dev-bin
Description-md5: 26421a73b837132b68f7a30b798a97bc
Description-en: KDE Frameworks 5 addons to QtCore - development files
 KCoreAddons provides classes built on top of QtCore to perform various tasks
 such as manipulating mime types, autosaving files, creating backup files,
 generating random sequences, performing text manipulations such as macro
 replacement, accessing user information and many more.
 .
 This package is part of KDE Frameworks 5.
 .
 This package is an implementation detail for KCoreAddons.

Package: libkf5coreaddons-doc
Description-md5: 2314c639ce974f4c7a22738ce3e288df
Description-en: KDE Frameworks 5 addons to QtCore (documentation)
 KCoreAddons provides classes built on top of QtCore to perform various tasks
 such as manipulating mime types, autosaving files, creating backup files,
 generating random sequences, performing text manipulations such as macro
 replacement, accessing user information and many more.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5coreaddons5
Description-md5: d5f589113cc2c31d4c55c72695c1f3f5
Description-en: KDE Frameworks 5 addons to QtCore
 KCoreAddons provides classes built on top of QtCore to perform various tasks
 such as manipulating mime types, autosaving files, creating backup files,
 generating random sequences, performing text manipulations such as macro
 replacement, accessing user information and many more.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5crash-dev
Description-md5: 52b47114779ca493f79984f238e5a8a8
Description-en: Support for application crash analysis and bug report from apps
 KCrash provides support for intercepting and handling application crashes.
 .
 Contains development files for kcrash.

Package: libkf5crash-doc
Description-md5: bccce22bd612a0ea8e6de700d0da45c7
Description-en: Support for application crash analysis and bug report from apps (documentation)
 KCrash provides support for intercepting and handling application crashes.
 .
 This package contains the qch documentation files.

Package: libkf5crash5
Description-md5: e191e392cbd3ca1a13389c535b14b9f9
Description-en: Support for application crash analysis and bug report from apps
 KCrash provides support for intercepting and handling application crashes.

Package: libkf5dbusaddons-bin
Description-md5: 2759fb7c869cb8d4f8565414715abd22
Description-en: class library for qtdbus
 KDBusAddons provides convenience classes on top of QtDBus,
 as well as an API to create KDED modules.
 .
 This package contains kquitapp5.

Package: libkf5dbusaddons-data
Description-md5: 659a19f61ec7b8eda5be02d15b911c97
Description-en: class library for qtdbus
 KDBusAddons provides convenience classes on top of QtDBus,
 as well as an API to create KDED modules.
 .
 This package contains the translations.

Package: libkf5dbusaddons-dev
Description-md5: 5779cccb6d8023a03cd041c4836dec26
Description-en: development files for dbusaddons
 KDBusAddons provides convenience classes on top of QtDBus,
 as well as an API to create KDED modules.

Package: libkf5dbusaddons-doc
Description-md5: ac87fa2b566e29c78b8ebe7d2c9c0550
Description-en: class library for qtdbus (documentation)
 KDBusAddons provides convenience classes on top of QtDBus,
 as well as an API to create KDED modules.
 .
 This package contains the qch documentation files.

Package: libkf5dbusaddons5
Description-md5: 259c532d5eac28569783fcfe24e0dda6
Description-en: class library for qtdbus
 KDBusAddons provides convenience classes on top of QtDBus,
 as well as an API to create KDED modules.

Package: libkf5declarative-data
Description-md5: 86b3790ad10f545b5672828151377e02
Description-en: provides integration of QML and KDE frameworks
 Framework providing integration of QML and other KDE frameworks such
 as making i18n available and using kio.
 .
 This package contains the translation files.

Package: libkf5declarative-dev
Description-md5: e6a1627a6916614d6204dc2f2d20ed4d
Description-en: development files for kdeclarative
 Framework providing integration of QML and other KDE frameworks such
 as making i18n available and using kio.
 .
 Contains development files for kdeclarative.

Package: libkf5declarative-doc
Description-md5: e5f16c1b9116aee2fd39234f4743c38c
Description-en: provides integration of QML and KDE frameworks (documentation)
 Framework providing integration of QML and other KDE frameworks such
 as making i18n available and using kio.
 .
 This package contains the qch documentation files.

Package: libkf5declarative5
Description-md5: 7d7cd9f180d72fc56b2851eeab6d4a9c
Description-en: provides integration of QML and KDE frameworks
 Framework providing integration of QML and other KDE frameworks such
 as making i18n available and using kio.

Package: libkf5dnssd-data
Description-md5: 8acfd301bd9d34f8f30c977da10a72b4
Description-en: Abstraction to system DNSSD features.
 KDNSSD is a library for handling the DNS-based
 Service Discovery Protocol (DNS-SD), the layer of
 Zeroconf that allows network services.
 .
 This package contains the data files.

Package: libkf5dnssd-dev
Description-md5: ca5322804a5972f65e71cb436e49b231
Description-en: development files for kdnssd
 KDNSSD is a library for handling the DNS-based
 Service Discovery Protocol (DNS-SD), the layer of
 Zeroconf that allows network services.
 .
 Contains development files for kdnssd.

Package: libkf5dnssd-doc
Description-md5: 4658a03fc6f54770bfb87b20c0d553dd
Description-en: Abstraction to system DNSSD features (documentation)
 KDNSSD is a library for handling the DNS-based
 Service Discovery Protocol (DNS-SD), the layer of
 Zeroconf that allows network services.
 .
 This package contains the qch documentation files.

Package: libkf5dnssd5
Description-md5: 5df1e4f814c3770218ce8d75e652d1b4
Description-en: Abstraction to system DNSSD features.
 KDNSSD is a library for handling the DNS-based
 Service Discovery Protocol (DNS-SD), the layer of
 Zeroconf that allows network services.

Package: libkf5doctools-dev
Description-md5: 12116fdff9fa8dc2bf0795713efcd043
Description-en: Development files for kdoctools5
 KDocTools is a set of tools to generate documentation in various formats from
 DocBook files.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files for kdoctools5.

Package: libkf5doctools5
Description-md5: 7ea5d430f1f5fbccba7d5aabe348872a
Description-en: Tools to generate documentation in various formats from DocBook
 KDocTools is a set of tools to generate documentation in various
 formats from DocBook files.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5emoticons-bin
Description-md5: e2a696bf68def69923103826b3f03690
Description-en: Support for emoticons and emoticons themes.
 This class can be used to retrieve, install,
 create emoticons theme. It can also be used to
 set the emoticon theme and the parse mode in the
 config file.
 .
 This package contains the runtime files.

Package: libkf5emoticons-data
Description-md5: 6a57529f6de7e96c3ef3aeffe681406a
Description-en: Support for emoticons and emoticons themes.
 This class can be used to retrieve, install,
 create emoticons theme. It can also be used to
 set the emoticon theme and the parse mode in the
 config file.
 .
 This package contains the data files.

Package: libkf5emoticons-dev
Description-md5: 69729de6422a076570388822dd6426f6
Description-en: Support for emoticons and emoticons themes.
 This class can be used to retrieve, install,
 create emoticons theme. It can also be used to
 set the emoticon theme and the parse mode in the
 config file.
 .
 Contains development files for kemoticons.

Package: libkf5emoticons-doc
Description-md5: f1eccb973f94a420c4bcd372cdd3e8bd
Description-en: Support for emoticons and emoticons themes (documentation)
 This class can be used to retrieve, install,
 create emoticons theme. It can also be used to
 set the emoticon theme and the parse mode in the
 config file.
 .
 This package contains the qch documentation files.

Package: libkf5emoticons5
Description-md5: 97eb532c8ba247b156d75a1eb953bc72
Description-en: Support for emoticons and emoticons themes.
 This class can be used to retrieve, install,
 create emoticons theme. It can also be used to
 set the emoticon theme and the parse mode in the
 config file.

Package: libkf5eventviews-data
Description-md5: c3d3780345d1929808df5664c0285689
Description-en: KDE PIM event handling - data files
 This library provides an event creator for KDE PIM.
 .
 This package provides the data files used by the library.

Package: libkf5eventviews-dev
Description-md5: 4cba94f0a755adb6631848ef4e7d8ca1
Description-en: KDE PIM event handling - devel files
 This library provides an event creator for KDE PIM.
 .
 This package provides the development files.

Package: libkf5eventviews5abi1
Description-md5: f3a931bd19a84c0919740535700ccfd8
Description-en: KDE PIM event handling - library
 This library provides an event creator for KDE PIM.
 .
 This package provides the shared libraries.

Package: libkf5filemetadata-bin
Description-md5: e4972a8d338e49f6ef9ea489ce1d3e3a
Description-en: library for extracting file metadata
 This library is for extracting file metadata.
 .
 kfilemetadata is part of the KDE frameworks.
 .
 This package contains the file type plugins.

Package: libkf5filemetadata-data
Description-md5: df977714bfdc9d8eaa7600e4fa0db79b
Description-en: library for extracting file metadata
 This library is for extracting file metadata.
 .
 kfilemetadata is part of the KDE frameworks.

Package: libkf5filemetadata-dev
Description-md5: fb635157731c647d00d7429c47d2d54e
Description-en: library for extracting file metadata
 This library is for extracting file metadata.
 .
 kfilemetadata is part of the KDE frameworks.
 .
 This package contains the development files.

Package: libkf5filemetadata-doc
Description-md5: 63ffdd6192ba8352b0d48e81009b86ba
Description-en: library for extracting file metadata (documentation)
 This library is for extracting file metadata.
 .
 kfilemetadata is part of the KDE Plasma desktop.
 .
 This package contains the qch documentation files.

Package: libkf5filemetadata3
Description-md5: df977714bfdc9d8eaa7600e4fa0db79b
Description-en: library for extracting file metadata
 This library is for extracting file metadata.
 .
 kfilemetadata is part of the KDE frameworks.

Package: libkf5followupreminder-dev
Description-md5: 73514160d533239113663b7922b67c3c
Description-en: follow up reminder library, devel files
 This package is part of the KDE PIM module.

Package: libkf5followupreminder5
Description-md5: 0ffebb93754f2a64e438f8240db72579
Description-en: follow up reminder library
 This package is part of the KDE PIM module.

Package: libkf5gapi-data
Description-md5: 469cc1d39592fae7d50c77c84b024efb
Description-en: Google API library for KDE -- transitional
 LibKGAPI (previously called LibKGoogle) is a C++ library that implements APIs
 for various Google services.
 .
 This is a transitional package which can be safely removed.

Package: libkf5globalaccel-bin
Description-md5: 648f77aebe68b797d1568fc4dbb8b09d
Description-en: Configurable global shortcut support.
 KGlobalAccel allows you to have global accelerators that are
 independent of the focused window. Unlike regular shortcuts,
 the application's window does not need focus for them to be
 activated.
 .
 This package contains binaries.

Package: libkf5globalaccel-data
Description-md5: 7bcfd247c6e7cd663f854500a2134795
Description-en: Configurable global shortcut support.
 KGlobalAccel allows you to have global accelerators that are
 independent of the focused window. Unlike regular shortcuts,
 the application's window does not need focus for them to be
 activated.
 .
 This package contains data files.

Package: libkf5globalaccel-dev
Description-md5: 3c87698d7513e4b9d895f0136d196ac4
Description-en: development files for kglobalaccel
 KGlobalAccel allows you to have global accelerators that are
 independent of the focused window. Unlike regular shortcuts,
 the application's window does not need focus for them to be
 activated.
 .
 Contains development files for kglobalaccel.

Package: libkf5globalaccel-doc
Description-md5: a3e03d7b8a796861c173ddb26a3200e6
Description-en: Configurable global shortcut support (documentation)
 KGlobalAccel allows you to have global accelerators that are
 independent of the focused window. Unlike regular shortcuts,
 the application's window does not need focus for them to be
 activated.
 .
 This package contains the qch documentation files.

Package: libkf5globalaccel5
Description-md5: 5009ebd422a449dbd166b61102390b74
Description-en: Configurable global shortcut support.
 KGlobalAccel allows you to have global accelerators that are
 independent of the focused window. Unlike regular shortcuts,
 the application's window does not need focus for them to be
 activated.

Package: libkf5globalaccelprivate5
Description-md5: ade836c9a1cc3400339f7297d2b84fe4
Description-en: Configurable global shortcut support - private runtime library
 KGlobalAccel allows you to have global accelerators that are
 independent of the focused window. Unlike regular shortcuts,
 the application's window does not need focus for them to be
 activated.
 .
 This library is considered private and must not be used by users of
 KGlobalAccel.

Package: libkf5grantleetheme-data
Description-md5: 8a054c3cd35ca42cc2260cd346574396
Description-en: KDE PIM grantlee theme support - data files
 This library provides the grantlee theme support for KDE PIM.
 .
 This package provides the shared libraries.

Package: libkf5grantleetheme-dev
Description-md5: 1dd606648e6bfbff5df3d2dc1ae2f5f5
Description-en: KDE PIM grantlee theme support - devel files
 This library provides the grantlee theme support for KDE PIM.
 .
 This package provides the development files.

Package: libkf5grantleetheme-plugins
Description-md5: fd4098258090ed9198c7f79bf38c3498
Description-en: KDE PIM grantlee theme support - plugins
 This library provides the grantlee theme support for KDE PIM.
 .
 This package provides the plugins distributed with the library.

Package: libkf5grantleetheme5
Description-md5: 1f5691859f111ae0c61fc219d811ab53
Description-en: KDE PIM grantlee theme support - library
 This library provides the grantlee theme support for KDE PIM.
 .
 This package provides the shared libraries.

Package: libkf5gravatar-data
Description-md5: f8a08eb985388672ffe21e1138de2180
Description-en: KDE PIM gravatar library - data files
 This internal library is a brigde for using the gravatar service from KDE PIM
 applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5gravatar-dev
Description-md5: 4996ecad1f5e5bfa242c0a73a356696b
Description-en: KDE PIM gravatar library, devel files
 This internal library is a brigde for using the gravatar service from KDE PIM
 applications.
 .
 This package contains the development files needed to build against the
 library.
 .
 This package is part of the KDE PIM module.

Package: libkf5gravatar5abi2
Description-md5: beb8ec156e8b90ca7cfdb6ac03039963
Description-en: KDE PIM gravatar library
 This internal library is a brigde for using the gravatar service from KDE PIM
 applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5guiaddons-dev
Description-md5: 82fbb03f1e1689ddae9307b2fa453ade
Description-en: development headers for the kguiaddons framework
 This package contains development files for building software that uses
 libraries from the kguiaddon framework

Package: libkf5guiaddons-doc
Description-md5: 71d7ba296ed1a4666c6ee69e3c031f16
Description-en: additional addons for QtGui (documentation)
 Qt widgets for colours, fonts and text
 .
 This package contains the qch documentation files.

Package: libkf5guiaddons5
Description-md5: 87a62b14d8e5947fac2e986637819f8b
Description-en: additional addons for QtGui
 Qt widgets for colours, fonts and text

Package: libkf5holidays-data
Description-md5: eab44878495de7bc647d1ddbe730d418
Description-en: holidays calculation library
 This library provides a C++ API that determines holiday and other special
 events for a geographical region.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5holidays-dev
Description-md5: d505a6731a3b4a509aeadfd0b5d8823a
Description-en: holidays calculation library - development files
 This library provides a C++ API that determines holiday and other special
 events for a geographical region.
 .
 This package contains the development files.

Package: libkf5holidays-doc
Description-md5: 4dc878fba4c52a26e23ced2361394e8b
Description-en: holidays calculation library (documentation)
 This library provides a C++ API that determines holiday and other special
 events for a geographical region.
 .
 This package contains the qch documentation files.

Package: libkf5holidays5
Description-md5: eab44878495de7bc647d1ddbe730d418
Description-en: holidays calculation library
 This library provides a C++ API that determines holiday and other special
 events for a geographical region.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5i18n-data
Description-md5: 3facc578dabe6e0807233e991089f77d
Description-en: Advanced internationalization framework.
 Ki18n is the KDE internationalization system for
 user-interface text. It enables localization of
 user-visible strings, including locale-aware argument
 substitution and formatting. Ki18n is built atop the
 widely used Gettext internationalization system, making
 the workflow familiar to both programmers and translators.
 It can be considered a Gettext-wrapper for code based on
 the Qt library, but it also provides additional
 functionality over basic Gettext.
 .
 This package contains the translations.

Package: libkf5i18n-dev
Description-md5: 134a85795a3777c158dd3833ae2d176c
Description-en: Advanced internationalization framework.
 Ki18n is the KDE internationalization system for
 user-interface text. It enables localization of
 user-visible strings, including locale-aware argument
 substitution and formatting. Ki18n is built atop the
 widely used Gettext internationalization system, making
 the workflow familiar to both programmers and translators.
 It can be considered a Gettext-wrapper for code based on
 the Qt library, but it also provides additional
 functionality over basic Gettext.
 .
 Contains development files for ki18n.

Package: libkf5i18n-doc
Description-md5: a6fdfc5e43f51bac77018649b6651162
Description-en: Advanced internationalization framework (documentation)
 Ki18n is the KDE internationalization system for
 user-interface text. It enables localization of
 user-visible strings, including locale-aware argument
 substitution and formatting. Ki18n is built atop the
 widely used Gettext internationalization system, making
 the workflow familiar to both programmers and translators.
 It can be considered a Gettext-wrapper for code based on
 the Qt library, but it also provides additional
 functionality over basic Gettext.
 .
 This package contains the qch documentation files.

Package: libkf5i18n5
Description-md5: 24b9b4d0ec16dfe32bab581ae3563d4e
Description-en: Advanced internationalization framework.
 Ki18n is the KDE internationalization system for
 user-interface text. It enables localization of
 user-visible strings, including locale-aware argument
 substitution and formatting. Ki18n is built atop the
 widely used Gettext internationalization system, making
 the workflow familiar to both programmers and translators.
 It can be considered a Gettext-wrapper for code based on
 the Qt library, but it also provides additional
 functionality over basic Gettext.

Package: libkf5iconthemes-bin
Description-md5: 633d7569bc04c776ca6f81d73293f40d
Description-en: Support for icon themes
 Library to use/access icon themes in Qt. These classes
 are used by the iconloader but can be used by others too.
 .
 This package contains runtime binaries.

Package: libkf5iconthemes-data
Description-md5: 578fa16cac448ea74c812b85c82e8cb2
Description-en: Support for icon themes.
 Library to use/access icon themes in Qt. These classes
 are used by the iconloader but can be used by others too.
 .
 This package contains the data files.

Package: libkf5iconthemes-dev
Description-md5: d75917f2e2aded3346075a9fa15ad1a6
Description-en: development files for kiconthemes
 Library to use/access icon themes in Qt. These classes
 are used by the iconloader but can be used by others too.
 .
 Contains development files for kiconthemes.

Package: libkf5iconthemes-doc
Description-md5: 765d9e5101beac5fce02e51cff03240e
Description-en: Support for icon themes (documentation)
 Library to use/access icon themes in Qt. These classes
 are used by the iconloader but can be used by others too.
 .
 This package contains the qch documentation files.

Package: libkf5iconthemes5
Description-md5: 0a7f4f029208890b3ffce2bbdf472fa2
Description-en: Support for icon themes.
 Library to use/access icon themes in Qt. These classes
 are used by the iconloader but can be used by others too.

Package: libkf5identitymanagement-data
Description-md5: 75e4ad02dd356db0c8557f21a76468b9
Description-en: library for managing user identities
 This library provides an API for managing user identities.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5identitymanagement-dev
Description-md5: 6f4327cbdd7bd68e78005178753048cf
Description-en: library for managing user identities - development files
 This library provides an API for managing user identities.
 .
 This package contains the development files.

Package: libkf5identitymanagement5abi1
Description-md5: 75e4ad02dd356db0c8557f21a76468b9
Description-en: library for managing user identities
 This library provides an API for managing user identities.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5idletime-dev
Description-md5: 0579fe55f9f235d41bded334cfb6b4b2
Description-en: development headers for the kidletime library
 This package contains development files for building software that uses
 libraries from the kidletime KDE framework.

Package: libkf5idletime-doc
Description-md5: c26ceca1bbfd9954a2c94d791698d037
Description-en: library to provide information about idle time (documentation)
 This library contains a class that allows applications to watch for
 user activity or inactivity. It is useful not only for finding out about
 the current idle time of the PC, but also for getting notified upon idle
 time events, such as custom timeouts, or user activity.
 .
 This package contains the qch documentation files.

Package: libkf5idletime5
Description-md5: a1a06d04307d73718af105479ba8d11d
Description-en: library to provide information about idle time
 This library contains a class that allows applications to watch for
 user activity or inactivity. It is useful not only for finding out about
 the current idle time of the PC, but also for getting notified upon idle
 time events, such as custom timeouts, or user activity.

Package: libkf5imap-data
Description-md5: 15e0d328c72eb16d1c54232216220786
Description-en: library for handling IMAP data
 This library provides an API for handling data received from IMAP services.
 IMAP (Internet Message Access Protocol) is one of the two most prevalent
 Internet standard protocols for e-mail retrieval.

Package: libkf5imap-dev
Description-md5: 897f577924da2ddfcc1097c3f3f7916d
Description-en: library for handling IMAP data - development files
 This library provides an API for handling data received from IMAP services.
 IMAP (Internet Message Access Protocol) is one of the two most prevalent
 Internet standard protocols for e-mail retrieval.
 .
 This package contains the development files.

Package: libkf5imap5
Description-md5: 15e0d328c72eb16d1c54232216220786
Description-en: library for handling IMAP data
 This library provides an API for handling data received from IMAP services.
 IMAP (Internet Message Access Protocol) is one of the two most prevalent
 Internet standard protocols for e-mail retrieval.

Package: libkf5incidenceeditor-bin
Description-md5: f7718b0e1b7818c23e63188a0f085da7
Description-en: KDE PIM incidence editor
 Incidence editor for KDE PIM.
 .
 This package provides the runtime programs shipped with the library.

Package: libkf5incidenceeditor-data
Description-md5: 194faf22b84d3e383f8feb371294138a
Description-en: KDE PIM incidence editor - data files
 This library provides an incidence editor for KDE PIM.
 .
 This package provides the shared libraries.

Package: libkf5incidenceeditor-dev
Description-md5: f933218472954945cf820aaf30e2ed79
Description-en: KDE PIM incidence editor - devel files
 This library provides an incidence editor for KDE PIM.
 .
 This package provides development files.

Package: libkf5incidenceeditor5abi2
Description-md5: 2e9cbec3585320c053f4fd2c2904f4c4
Description-en: KDE PIM incidence editor - library
 This library provides an incidence editor for KDE PIM.
 .
 This package provides the shared libraries.

Package: libkf5itemmodels-dev
Description-md5: c6837722d91b91a0c667384da2af03fb
Description-en: additional item/view models for Qt Itemview
 A library which provides additional item/view models for Qt Itemview.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5itemmodels-doc
Description-md5: f25fda49298e9252075a501a31f3ef65
Description-en: additional item/view models for Qt Itemview (documentation)
 A library which provides additional item/view models for Qt Itemview.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5itemmodels5
Description-md5: 0aa6ea38dcf6549c6b4b8543d064a30b
Description-en: additional item/view models for Qt Itemview
 A library which provides additional item/view models for Qt Itemview.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5itemviews-data
Description-md5: 002bced3f9580e417fe31e674c48f98a
Description-en: Qt library with additional widgets for ItemModels
 A Qt library which contains additional widgets for ItemModels, such as
 grouping into categories and filtering
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the translations.

Package: libkf5itemviews-dev
Description-md5: a71197f2a0fdffeea21773d2856c3b10
Description-en: Qt library with additional widgets for ItemModels
 A Qt library which contains additional widgets for ItemModels, such as
 grouping into categories and filtering
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5itemviews-doc
Description-md5: 3af74d2e9ced7a7c784c2e1adb04141f
Description-en: Qt library with additional widgets for ItemModels (documentation)
 A Qt library which contains additional widgets for ItemModels, such as
 grouping into categories and filtering
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5itemviews5
Description-md5: 3f0e45de407afab2d30c13df2fdcda0e
Description-en: Qt library with additional widgets for ItemModels
 A Qt library which contains additional widgets for ItemModels, such as
 grouping into categories and filtering
 .
 This package is part of KDE Frameworks 5.

Package: libkf5jobwidgets-data
Description-md5: 84b5945186315707af6ab3eae77a91ae
Description-en: Widgets for tracking KJob instances
 Window class that associates this job with a window given by
 window. This is used by KDialogJobUiDelegate as parent widget
 for error messages, KWidgetJobTracker as parent widget for
 progress dialogs, KIO::AbstractJobInteractionInterface as
 parent widget for rename/skip dialogs and possibly more.
 Overload that takes a QWindow.
 .
 Timestamp handling to update the last user action timestamp
 to the given time. Returns the last user action timestamp.
 .
 This package contains the translations.

Package: libkf5jobwidgets-dev
Description-md5: a39edb00f8106948c839b15bed70693a
Description-en: Widgets for tracking KJob instances
 Window class that associates this job with a window given by
 window. This is used by KDialogJobUiDelegate as parent widget
 for error messages, KWidgetJobTracker as parent widget for
 progress dialogs, KIO::AbstractJobInteractionInterface as
 parent widget for rename/skip dialogs and possibly more.
 Overload that takes a QWindow.
 .
 Timestamp handling to update the last user action timestamp
 to the given time. Returns the last user action timestamp.
 .
 Contains development files for kjobwidgets.

Package: libkf5jobwidgets-doc
Description-md5: 458a3fb992a9603c8b787d40aab98327
Description-en: Widgets for tracking KJob instances (documentation)
 Window class that associates this job with a window given by
 window. This is used by KDialogJobUiDelegate as parent widget
 for error messages, KWidgetJobTracker as parent widget for
 progress dialogs, KIO::AbstractJobInteractionInterface as
 parent widget for rename/skip dialogs and possibly more.
 Overload that takes a QWindow.
 .
 Timestamp handling to update the last user action timestamp
 to the given time. Returns the last user action timestamp.
 .
 This package contains the qch documentation files.

Package: libkf5jobwidgets5
Description-md5: f2de6f5be2ee6fff17970b7c42d0b7d2
Description-en: Widgets for tracking KJob instances
 Window class that associates this job with a window given by
 window. This is used by KDialogJobUiDelegate as parent widget
 for error messages, KWidgetJobTracker as parent widget for
 progress dialogs, KIO::AbstractJobInteractionInterface as
 parent widget for rename/skip dialogs and possibly more.
 Overload that takes a QWindow.
 .
 Timestamp handling to update the last user action timestamp
 to the given time. Returns the last user action timestamp.

Package: libkf5js5
Description-md5: 6b42007b50b3e7681eb0b919f6b153ce
Description-en: Support for JS scripting in Qt applications
 Addon library to Qt which adds JavaScript scripting support.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5jsapi5
Description-md5: 6b42007b50b3e7681eb0b919f6b153ce
Description-en: Support for JS scripting in Qt applications
 Addon library to Qt which adds JavaScript scripting support.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5jsembed-data
Description-md5: 2a4d9d199e809c8406656848242239fc
Description-en: Embedded JS library for Qt
 kjsembed allows you to embed JavaScript in your Qt application.
 .
 This package contains the translations.

Package: libkf5jsembed-dev
Description-md5: 3c2add4d43d03bf00a3356e5b1773109
Description-en: Embedded JS library for Qt
 kjsembed allows you to embed JavaScript in your Qt application.
 .
 Contains development files for kjsembed.

Package: libkf5jsembed5
Description-md5: f46e5df2683b40ddfedb778a329bd5eb
Description-en: Embedded JS library for Qt
 kjsembed allows you to embed JavaScript in your Qt application.

Package: libkf5kaddressbookgrantlee-dev
Description-md5: 73514160d533239113663b7922b67c3c
Description-en: follow up reminder library, devel files
 This package is part of the KDE PIM module.

Package: libkf5kaddressbookgrantlee5
Description-md5: 0ffebb93754f2a64e438f8240db72579
Description-en: follow up reminder library
 This package is part of the KDE PIM module.

Package: libkf5kaddressbookimportexport-dev
Description-md5: d7aa70d9e5f488477c4cd4ee34e37a7d
Description-en: Addressbook import export library, devel files
 This package is part of the KDE PIM module.

Package: libkf5kaddressbookimportexport5
Description-md5: 0eef3da2de6b51d50966a9552800de35
Description-en: Addressbook import export library
 This package is part of the KDE PIM module.

Package: libkf5kcmutils-data
Description-md5: 868a91a2bf9a440f58a0d317ff316fff
Description-en: Extra APIs to write KConfig modules.
 KCMUtils provides various classes to work with KCModules. KCModules can be
 created with the KConfigWidgets framework.
 .
 This package contains the data files.

Package: libkf5kcmutils-dev
Description-md5: e63cc602be0b7df534a77c6409f6d48e
Description-en: Extra APIs to write KConfig modules.
 Some utility classes for KSettings, KCModule and KPlugin.
 .
 Contains development files for kcmutils.

Package: libkf5kcmutils-doc
Description-md5: 4723acd28c089b44836ad48b0a70b64a
Description-en: Extra APIs to write KConfig modules (documentation)
 KCMUtils provides various classes to work with KCModules. KCModules can be
 created with the KConfigWidgets framework.
 .
 This package contains the qch documentation files.

Package: libkf5kcmutils5
Description-md5: beb760f96cbb956c4635eb046c78a41e
Description-en: Extra APIs to write KConfig modules.
 KCMUtils provides various classes to work with KCModules. KCModules can be
 created with the KConfigWidgets framework.

Package: libkf5kdcraw-dev
Description-md5: d7b69cc4dd00b28cab07331070e753f7
Description-en: RAW picture decoding library -- development files
 Libkdcraw is a Qt interface to the libraw library used to decode
 RAW picture files.
 .
 This package contains the development files and the documentation.
 The library documentation is available in the kdcraw.h header file.

Package: libkf5kdcraw5
Description-md5: 6388cc47ceebd62fbf174b0164753c36
Description-en: RAW picture decoding library
 Libkdcraw is a Qt interface to the libraw library used to decode
 RAW picture files.
 .
 This library is used by kipi-plugins, digiKam, kphotoalbum, and krita.
 .
 This package contains the shared library.

Package: libkf5kdegames-data
Description-md5: c20b6ad06e7fa60c102577a8dbfa6e5d
Description-en: shared library for KDE games - data files
 This package contains a shared library used by KDE games.
 .
 This package is part of the KDE games module.

Package: libkf5kdegames-dev
Description-md5: 8706a1fad1d9280a6ce624231dc1618a
Description-en: development files for the KDE games library
 This package contains development files for building software that uses
 libraries from the KDE games module.
 .
 This package is part of the KDE games module.

Package: libkf5kdegames7
Description-md5: 5d3e89955b5eb697625abb46b23f640b
Description-en: shared library for KDE games
 This package contains a shared library used by KDE games.
 .
 This package is part of the KDE games module.

Package: libkf5kdegamesprivate1
Description-md5: f654e24a2b4b2a3a4623157c2f05fea9
Description-en: private part of shared library for KDE games
 This package contains the private parts of the shared library used by KDE
 games.
 .
 This package is part of the KDE games module.

Package: libkf5kdelibs4support-data
Description-md5: b82463b5ccc8233b3fb959bea7468f10
Description-en: Porting aid from KDELibs4.
 This framework provides code and utilities to ease
 the transition from kdelibs 4 to KDE Frameworks 5.
 This includes CMake macros and C++ classes whose
 functionality has been replaced by code in CMake,
 Qt and other frameworks.
 .
 Code should aim to port away from this framework eventually.
 The API documentation of the classes in this framework and
 the notes at <http://community.kde.org/Frameworks/Porting_Notes>
 should help with this.
 .
 This package contains the data files used by kdelibs4support.

Package: libkf5kdelibs4support-dev
Description-md5: 0b0af36855b0e6d6d9014dbf6d4285a7
Description-en: development files for kde4support
 This framework provides code and utilities to ease
 the transition from kdelibs 4 to KDE Frameworks 5.
 This includes CMake macros and C++ classes whose
 functionality has been replaced by code in CMake,
 Qt and other frameworks.
 .
 Code should aim to port away from this framework eventually.
 The API documentation of the classes in this framework and
 the notes at <http://community.kde.org/Frameworks/Porting_Notes>
 should help with this.
 .
 Note that some of the classes in this framework, especially
 KStandardDirs, may not work correctly unless any libraries
 and other software using the KDE4 Support framework are
 installed to the same location as KDE4Support, although
 it may be sufficient to set the KDEDIRS environment
 variable correctly.
 .
 Contains development files for kde4support.

Package: libkf5kdelibs4support5
Description-md5: 6749bb289feda728ce48c84bcd0637fe
Description-en: Porting aid from KDELibs4.
 This framework provides code and utilities to ease
 the transition from kdelibs 4 to KDE Frameworks 5.
 This includes CMake macros and C++ classes whose
 functionality has been replaced by code in CMake,
 Qt and other frameworks.
 .
 Code should aim to port away from this framework eventually.
 The API documentation of the classes in this framework and
 the notes at <http://community.kde.org/Frameworks/Porting_Notes>
 should help with this.
 .
 Note that some of the classes in this framework, especially
 KStandardDirs, may not work correctly unless any libraries
 and other software using the KDE4 Support framework are
 installed to the same location as KDE4Support, although
 it may be sufficient to set the KDEDIRS environment
 variable correctly.

Package: libkf5kdelibs4support5-bin
Description-md5: 2e2b39441a4b51a02434b6d5846eb7fe
Description-en: Porting aid from KDELibs4.
 This framework provides code and utilities to ease
 the transition from kdelibs 4 to KDE Frameworks 5.
 This includes CMake macros and C++ classes whose
 functionality has been replaced by code in CMake,
 Qt and other frameworks.
 .
 Code should aim to port away from this framework eventually.
 The API documentation of the classes in this framework and
 the notes at <http://community.kde.org/Frameworks/Porting_Notes>
 should help with this.
 .
 This package contains binaries and plugins from kdelibs4support.

Package: libkf5kdepimdbusinterfaces-dev
Description-md5: a5adc6b909bbe9b0e67a56a47360ed6c
Description-en: KDE PIM D-Bus interfaces library, devel files
 This internal library implements handling of D-Bus interfaces shared among
 some of the KDE PIM applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5kdepimdbusinterfaces5
Description-md5: fab6b94d426b6ac785b54bf656c5b12c
Description-en: KDE PIM D-Bus interfaces library
 This internal library implements handling of D-Bus interfaces shared among
 some of the KDE PIM applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5kexiv2-15.0.0
Description-md5: 6ad9a4b20d8e256e1beec207aa5fd2a5
Description-en: Qt like interface for the libexiv2 library
 Libkexiv2 is a Qt wrapper around the Exiv2 library, used to manipulate
 pictures metadata.
 .
 This package contains the libkexiv2 library.

Package: libkf5kexiv2-dev
Description-md5: a542ba0224b1ad8f2dd33cd864157c85
Description-en: Qt-like interface for the libexiv2 library -- development files
 Libkexiv2 is a Qt wrapper around the Exiv2 library, used to manipulate
 pictures metadata.
 .
 This package contains the development files and the documentation.
 The library documentation is available in the kexiv2.h header file.

Package: libkf5kgeomap-data
Description-md5: 9fc17c0e346d97778b72c5f3b85e0355
Description-en: World-Map Library interface for KDE - data files
 Libkgeomap is a wrapper around different world-map components, to browse and
 arrange photos over a map.
 .
 This package contains the data files.

Package: libkf5kgeomap-dev
Description-md5: 8ec8c7dcbd8b99c8e9609522bd8e1c76
Description-en: World-Map Library interface for KDE - development files
 Libkgeomap is a wrapper around different world-map components, to browse and
 arrange photos over a map.
 .
 This package contains the development files.

Package: libkf5kgeomap10.0.0
Description-md5: 6d1c62210858af97b6276cd84dbb02a3
Description-en: World-Map Library interface for KDE - shared library
 Libkgeomap is a wrapper around different world-map components, to browse and
 arrange photos over a map.
 .
 This package contains the shared library.

Package: libkf5khtml-bin
Description-md5: a5f41b96e47e6272b999c873c5a4c4d7
Description-en: HTML widget and component
 KHTML is a web rendering engine, based on the KParts technology and
 using KJS for JavaScript support.
 .
 This package contains the runtime files.

Package: libkf5khtml-data
Description-md5: 49a0e48ff5715363ed4bca06937fd7dc
Description-en: HTML widget and component
 KHTML is a web rendering engine, based on the KParts technology and
 using KJS for JavaScript support.
 .
 This package contains the translations and data files.

Package: libkf5khtml-dev
Description-md5: d17e23387c21d5cdace0adc65c7789c2
Description-en: HTML widget and component
 KHTML is a web rendering engine, based on the KParts technology and
 using KJS for JavaScript support.
 .
 Contains development files for khtml.

Package: libkf5khtml5
Description-md5: f6c8926883b3617cad70be892f812f39
Description-en: HTML widget and component
 KHTML is a web rendering engine, based on the KParts technology and
 using KJS for JavaScript support.

Package: libkf5kio-dev
Description-md5: c0ff6b419f060f50b412bad2833dbd53
Description-en: resource and network access abstraction (development files)
 KDE Input/Output framework provides a single API for
 operating on files, whether local or on a remote server.
 Additionally, KIO Slaves provide support for individual
 protocols. Some particularly useful ones are http, ftp,
 sftp, smb, nfs, ssh (fish), man, tar and zip.
 .
 This package contains the development files for kio.

Package: libkf5kio-doc
Description-md5: 9e261e8f33096a98777ebdeba7586a27
Description-en: resource and network access abstraction (documentation)
 KDE Input/Output framework provides a single API for
 operating on files, whether local or on a remote server.
 Additionally, KIO Slaves provide support for individual
 protocols. Some particularly useful ones are http, ftp,
 sftp, smb, nfs, ssh (fish), man, tar and zip.
 .
 This package contains the QCH documentation files.

Package: libkf5kiocore5
Description-md5: 0b5c7433e5c2ea2937fc33f605a13afd
Description-en: resource and network access abstraction (KIO core library)
 KDE Input/Output framework provides a single API for
 operating on files, whether local or on a remote server.
 Additionally, KIO Slaves provide support for individual
 protocols. Some particularly useful ones are http, ftp,
 sftp, smb, nfs, ssh (fish), man, tar and zip.
 .
 This library contains the core classes.

Package: libkf5kiofilewidgets5
Description-md5: cb19470acd45ad1a9b71eb10daa72e49
Description-en: resource and network access abstraction (KIO file widgets library)
 KDE Input/Output framework provides a single API for
 operating on files, whether local or on a remote server.
 Additionally, KIO Slaves provide support for individual
 protocols. Some particularly useful ones are http, ftp,
 sftp, smb, nfs, ssh (fish), man, tar and zip.
 .
 This library contains some file dialog widgets.

Package: libkf5kiogui5
Description-md5: 37f2c14c77b851264775ced201d170d7
Description-en: resource and network access abstraction (KIO gui library)
 KDE Input/Output framework provides a single API for
 operating on files, whether local or on a remote server.
 Additionally, KIO Slaves provide support for individual
 protocols. Some particularly useful ones are http, ftp,
 sftp, smb, nfs, ssh (fish), man, tar and zip.
 .
 This library contains gui related jobs.

Package: libkf5kiontlm5
Description-md5: 6899c76173e4750bac94a7990cc07cd8
Description-en: resource and network access abstraction (KIO NTLM library)
 KDE Input/Output framework provides a single API for
 operating on files, whether local or on a remote server.
 Additionally, KIO Slaves provide support for individual
 protocols. Some particularly useful ones are http, ftp,
 sftp, smb, nfs, ssh (fish), man, tar and zip.
 .
 This library implements the NTLM authentication protocol

Package: libkf5kiowidgets5
Description-md5: b90444db59103185b0624869451f2f70
Description-en: resource and network access abstraction (KIO widgets library)
 KDE Input/Output framework provides a single API for
 operating on files, whether local or on a remote server.
 Additionally, KIO Slaves provide support for individual
 protocols. Some particularly useful ones are http, ftp,
 sftp, smb, nfs, ssh (fish), man, tar and zip.
 .
 This library contains some UI widgets.

Package: libkf5kipi-data
Description-md5: dbdcde2d7a4e633aa0aac3ebf317471c
Description-en: KDE Image Plugin Interface library -- data files
 Libkipi is a KDE library that provides a common interface for operations
 on images such as manipulation, organization, importing from and exporting
 to online services (and not only these), batch editing, etc.
 .
 These services are used in graphics applications such as gwenview, digiKam,
 kphotoalbum, and spectacle.
 .
 This package contains the data files of the kipi library.

Package: libkf5kipi-dev
Description-md5: 55273bb9403a9e0b9dd3f387c43dc1d0
Description-en: KDE Image Plugin Interface library -- development files
 Libkipi is a KDE library that provides a common interface for operations
 on images such as manipulation, organization, importing from and exporting
 to online services (and not only these), batch editing, etc.
 .
 These services are used in graphics applications such as gwenview, digiKam,
 kphotoalbum, and spectacle.
 .
 This package provides the development files for using the kipi library,
 and documentation for it (in the header files).

Package: libkf5kipi32.0.0
Description-md5: fbcaf234393b2bc07f673ad6dc21420e
Description-en: KDE Image Plugin Interface library
 Libkipi is a KDE library that provides a common interface for operations
 on images such as manipulation, organization, importing from and exporting
 to online services (and not only these), batch editing, etc.
 .
 These services are used in graphics applications such as gwenview, digiKam,
 kphotoalbum, and spectacle.
 .
 This package provides the kipi library.

Package: libkf5kirigami2-5
Description-md5: 995e0ed29bff56aaef04fdc8cd08cb2a
Description-en: set of QtQuick components targeted for mobile use
 Kirigami is a set of QtQuick components at the moment targeted for
 mobile use (in the future desktop as well) targeting both Plasma
 Mobile and Android. A set of high level components to make the
 creation of applications that look and feel great on mobile as well
 as desktop devices and follow the Kirigami Human Interface
 Guidelines. The target of these components is anybody that wants to
 do an application using QtQuick as its main UI, especially if
 targeting a mobile platform, without adding many dependencies. They
 work on a variety of platforms, such as Plasma Mobile, Desktop Linux,
 Android and Windows.
 .
 This package contains the library.

Package: libkf5kirigami2-doc
Description-md5: 7c2cbd84dd9f274d9921373346dad08d
Description-en: set of QtQuick components targeted for mobile use (documentation)
 Kirigami is a set of QtQuick components at the moment targeted for
 mobile use (in the future desktop as well) targeting both Plasma
 Mobile and Android. A set of high level components to make the
 creation of applications that look and feel great on mobile as well
 as desktop devices and follow the Kirigami Human Interface
 Guidelines. The target of these components is anybody that wants to
 do an application using QtQuick as its main UI, especially if
 targeting a mobile platform, without adding many dependencies. They
 work on a variety of platforms, such as Plasma Mobile, Desktop Linux,
 Android and Windows.
 .
 This package contains the qch documentation files.

Package: libkf5kjs-dev
Description-md5: a65be5effc7d5bb59bf1c50397554f7d
Description-en: Support for JS scripting in Qt applications
 Addon library to Qt which adds JavaScript scripting support.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5kmahjongglib-data
Description-md5: 9c22e51d6b1e038e37bbb6861c59c811
Description-en: shared library for kmahjongg and kshisen
 This package contains a shared library used by the KDE games kmahjongg and
 kshisen.
 .
 This package is part of the KDE games module.

Package: libkf5kmahjongglib-dev
Description-md5: cefc2ded176665344cf48857250eeb41
Description-en: development files for the KDE kmahjongg library
 This package contains development files for building software that uses
 the KDE kmahjongg library.
 .
 This package is part of the KDE games module.

Package: libkf5kmahjongglib5
Description-md5: 9c22e51d6b1e038e37bbb6861c59c811
Description-en: shared library for kmahjongg and kshisen
 This package contains a shared library used by the KDE games kmahjongg and
 kshisen.
 .
 This package is part of the KDE games module.

Package: libkf5kmanagesieve5
Description-md5: 41f348d1ad406a2453e30b07d2621e97
Description-en: Sieve remote script management support for kdepim, library
 This library implements a subset of the protocol for remotely managing Sieve
 scripts (RFC 5804). Among other basic operations, it provides an easy to use
 API for listing, retrieving, deleting, activating and uploading of scripts to
 the remote Sieve script server.
 .
 This package is part of the KDE PIM module.

Package: libkf5konq-data
Description-md5: eefb77c3b30d51fb1a608fb243356bc8
Description-en: core libraries for Konqueror - data files
 This package contains libraries used by several KDE 4 applications,
 particularly Konqueror.
 .
 This package is part of the KDE base applications module.

Package: libkf5konq-dev
Description-md5: 2f226ba83e228f0b5364faf22a2db86e
Description-en: development files for the Konqueror libraries
 This package contains development files for building software that uses the
 Konqueror libraries.
 .
 This package is part of the KDE base applications module.

Package: libkf5konq6
Description-md5: b05ebb1e713fd062b30c38090c834466
Description-en: core libraries for Konqueror
 This package contains libraries used by several KDE 4 applications,
 particularly Konqueror.
 .
 This package is part of the KDE base applications module.

Package: libkf5kontactinterface-data
Description-md5: a2a7a275f7f1a309edfaddf600a21c0e
Description-en: Kontact interface library - data files
 This library provides the glue necessary for application "Parts" to be
 embedded as a Kontact component (or plugin).
 .
 This package contains shared data files.

Package: libkf5kontactinterface-dev
Description-md5: 5d4ec19cad08b4aa5cb9586cb7df8087
Description-en: Kontact interface library - development files
 This library provides the glue necessary for application "Parts" to be
 embedded as a Kontact component (or plugin).
 .
 This package contains the development files.

Package: libkf5kontactinterface5
Description-md5: 6f19c549a0a3669b6ef227dae99e378c
Description-en: Kontact interface library
 This library provides the glue necessary for application "Parts" to be
 embedded as a Kontact component (or plugin).

Package: libkf5krosscore5
Description-md5: 45d1422145e05056e7823dfa756285cb
Description-en: Multi-language application scripting.
 Modular scripting framework that eases embedding
 of scripting interpreters like Python, Ruby and
 JavaScript transparently into native applications
 to bridge the static and dynamic worlds together.

Package: libkf5krossui5
Description-md5: 45d1422145e05056e7823dfa756285cb
Description-en: Multi-language application scripting.
 Modular scripting framework that eases embedding
 of scripting interpreters like Python, Ruby and
 JavaScript transparently into native applications
 to bridge the static and dynamic worlds together.

Package: libkf5ksieve-data
Description-md5: 762e34857d8184eb948e9a561e902d5c
Description-en: Sieve mail filtering language support for kdepim, data files
 This library implements a parser and lexer for Sieve, the mail filtering
 language (RFC 3028).
 .
 This package is part of the KDE PIM module.
 .
 This package provides the data files common to the kf5ksieve libraries.

Package: libkf5ksieve-dev
Description-md5: 424238af468df6adbd0ec780aab1bd5d
Description-en: Sieve mail filtering language support for kdepim, development files
 This library implements a parser and lexer for Sieve, the mail filtering
 language (RFC 3028).
 .
 This package is part of the KDE PIM module.

Package: libkf5ksieve5
Description-md5: 9fc856526951c294fbc203c6acb2f202
Description-en: Sieve mail filtering language support for kdepim, library
 This library implements a parser and lexer for Sieve, the mail filtering
 language (RFC 3028).
 .
 This package is part of the KDE PIM module.

Package: libkf5ksieveui5
Description-md5: 530a6c5f34077391676f0b0bed9a39b6
Description-en: Sieve mail filtering language support for kdepim, GUI library
 This library implements GUI dialogs and elements which enable users to easily
 create, edit and manage their mail filters on the mail servers which support
 the Sieve language (RFC 3028) and the protocol for remotely managing Sieve
 scripts (RFC 5804).
 .
 This package is part of the KDE PIM module.

Package: libkf5ldap-data
Description-md5: 2bc1a9b485bbacf6b6fdefdd2097e5ff
Description-en: library for accessing LDAP
 This is a library for accessing LDAP with a convenient Qt style C++ API.
 LDAP (Lightweight Directory Access Protocol) is an application protocol
 for querying and modifying directory services running over TCP/IP.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5ldap-dev
Description-md5: 7389f56e2b83cda2cf6f3ae47b1e5ac0
Description-en: library for accessing LDAP - development files
 This is a library for accessing LDAP with a convenient Qt style C++ API.
 LDAP (Lightweight Directory Access Protocol) is an application protocol
 for querying and modifying directory services running over TCP/IP.
 .
 This package contains the development files.

Package: libkf5ldap5abi1
Description-md5: 2bc1a9b485bbacf6b6fdefdd2097e5ff
Description-en: library for accessing LDAP
 This is a library for accessing LDAP with a convenient Qt style C++ API.
 LDAP (Lightweight Directory Access Protocol) is an application protocol
 for querying and modifying directory services running over TCP/IP.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5libkdepim-data
Description-md5: 7fa517443f4722bf5c2a9c97b052cc2c
Description-en: KDE PIM library - data files
 This is the internal runtime package for applications from the KDE PIM module.
 It contains data files used by the library.
 .
 This package is part of the KDE PIM module.

Package: libkf5libkdepim-dev
Description-md5: ac38fed39cd5255b705265fa498abf78
Description-en: KDE PIM library - development files
 This is the internal runtime package for applications from the KDE PIM module.
 It contains headers, and the libraries needed to build some of the KDE PIM
 applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5libkdepim-plugins
Description-md5: f8e68709422343fca6596819f4185c97
Description-en: KDE PIM library - plugins
 This is the internal runtime package for applications from the KDE PIM module.
 It contains plugins that are distributed with the library.
 .
 This package is part of the KDE PIM module.

Package: libkf5libkdepim5abi2
Description-md5: 09bd9184b30dc67a91370a903fd01622
Description-en: KDE PIM library
 This is the internal runtime package for applications from the KDE PIM module.
 It contains shared libraries and data which most of KDE PIM applications use.
 .
 This package is part of the KDE PIM module.

Package: libkf5libkdepimakonadi5
Description-md5: 45dc612145dbc471fdd04bd028693733
Description-en: KDE PIM Akonadi library
 This is the internal runtime package for applications from the KDE PIM module.
 It contains shared libraries and data which most of KDE PIM applications use.
 .
 This package is part of the KDE PIM module.

Package: libkf5libkleo-data
Description-md5: 2f9f8e02d88fc32d05b80a363cde7960
Description-en: KDE PIM cryptographic library, data files
 This package contains the data files used by libkleo, a library for Kleopatra
 and other parts of KDE using certificate-based crypto.
 .
 This package is part of the KDE PIM module.

Package: libkf5libkleo-dev
Description-md5: dd52c8a48af676c49c901e4f40f8c8f6
Description-en: KDE PIM cryptographic library, devel files
 This package contains the development files for libkleo, a library for
 Kleopatra and other parts of KDE using certificate-based crypto.
 .
 This package is part of the KDE PIM module.

Package: libkf5libkleo5abi1
Description-md5: 134d5b675a775fa494c8a27dd857368f
Description-en: KDE PIM cryptographic library
 This package contains libkleo, a library for Kleopatra and other parts of KDE
 using certificate-based crypto.
 .
 This package is part of the KDE PIM module.

Package: libkf5mailcommon-dev
Description-md5: 5fb178b25beb810af9af0686c17cdc33
Description-en: KDE PIM email utility library, devel files
 The mail common library provides utility functions for dealing with email.
 .
 This package contains the development files needed for the KDE PIM mail common
 library.
 .
 This package is part of KDE PIM module.

Package: libkf5mailcommon-plugins
Description-md5: def11a22e460796dabc4e4524a185b1b
Description-en: KDE PIM email utility library, plugins
 The mail common library provides utility functions for dealing with email.
 .
 This package contains the plugins distributed with the library.
 .
 This package is part of KDE PIM module.

Package: libkf5mailcommon5abi4
Description-md5: a643053763057912f5ec3bae95cb63ad
Description-en: KDE PIM email utility library
 The mail common library provides utility functions for dealing with email.
 .
 This package is part of KDE PIM module.

Package: libkf5mailimporter-data
Description-md5: d4cb6f1bf57b1311e8bca4cafd075a38
Description-en: KDE PIM Mail importer library
 This package contains a library for importing mails into KDE applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5mailimporter-dev
Description-md5: 45dbd59590a730e7a4e3a1a6eea338e4
Description-en: KDE PIM Mail importer library, devel files
 This package contains a library for importing mails into KDE applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5mailimporter5abi1
Description-md5: d4cb6f1bf57b1311e8bca4cafd075a38
Description-en: KDE PIM Mail importer library
 This package contains a library for importing mails into KDE applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5mailimporterakonadi5
Description-md5: 96bc65d27c80727a70fa765bec02d454
Description-en: KDE PIM Mail importer Akonadi library
 This package contains a library for importing mails into Akonadi applications.
 .
 This package is part of the KDE PIM module.

Package: libkf5mailtransport-data
Description-md5: 5369e5868eb7423cb03646bbec09e9db
Description-en: mail transport service library - data files
 Mailtransport is a library that provides the following functionality:
 .
  * Shared mail transport settings.
  * GUI elements to configure mail transport settings.
  * Job classes for mail sending.
 .
 This package also contains a KDE control module which can be embedded
 into your application to provide mail transport configuration.
 .
 This package contains data files.

Package: libkf5mailtransport-dev
Description-md5: bdb3121eb260a0cd727b888db9015957
Description-en: mail transport service library - development files
 Mailtransport is a library that provides the following functionality:
 .
  * Shared mail transport settings.
  * GUI elements to configure mail transport settings.
  * Job classes for mail sending.
 .
 This package contains the development files.

Package: libkf5mailtransport5abi2
Description-md5: a7aea85bebfcc399390f3df45c924090
Description-en: mail transport service library
 Mailtransport is a library that provides the following functionality:
 .
  * Shared mail transport settings.
  * GUI elements to configure mail transport settings.
  * Job classes for mail sending.
 .
 This package also contains a KDE control module which can be embedded
 into your application to provide mail transport configuration.

Package: libkf5mailtransportakonadi5
Description-md5: 54c228d8d65ef35d1c839d12f1762542
Description-en: mail transport service library for akonadi
 Mailtransport is a library that provides the following functionality:
 .
  * Shared mail transport settings.
  * GUI elements to configure mail transport settings.
  * Job classes for mail sending.
 .
 This library integrates with Akonadi.
 .
 This package also contains a KDE control module which can be embedded
 into your application to provide mail transport configuration.

Package: libkf5mbox-dev
Description-md5: 970f113ba32e693d20fad06b7422aaaf
Description-en: library for handling mbox mailboxes - development files
 This is a library for handling mailboxes in mbox format, using a Qt/KMime C++
 API.
 .
 This package contains the development files.

Package: libkf5mbox5
Description-md5: febe5c6e80fedbf1f282062563ad4d3e
Description-en: library for handling mbox mailboxes
 This is a library for handling mailboxes in mbox format, using a Qt/KMime C++
 API.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5mediaplayer-data
Description-md5: 6bedd6c0c729847332db2d7819488a70
Description-en: Plugin interface for media player features.
 It provides all of the necessary media player operations,
 and optionally provides the GUI to control them.
 .
 There are two servicetypes for Player:
 KMediaPlayer/Player and KMediaPlayer/Engine.
 KMediaPlayer/Player provides a widget (accessible
 through view as well as XML GUI KActions.
 KMediaPlayer/Engine omits the user interface facets,
 for those who wish to provide their own interface.
 .
 This package contains the data files.

Package: libkf5mediaplayer-dev
Description-md5: 433097959c1c7ed265ef1462b6daa953
Description-en: Plugin interface for media player features.
 It provides all of the necessary media player operations,
 and optionally provides the GUI to control them.
 through view as well as XML GUI KActions.
 KMediaPlayer/Engine omits the user interface facets,
 for those who wish to provide their own interface.
 .
 Contains development files for kmediaplayer.

Package: libkf5mediaplayer5
Description-md5: 2fbb58a0586e7116940ac56b5a1fb1fa
Description-en: Plugin interface for media player features.
 It provides all of the necessary media player operations,
 and optionally provides the GUI to control them.
 .
 There are two servicetypes for Player:
 KMediaPlayer/Player and KMediaPlayer/Engine.
 KMediaPlayer/Player provides a widget (accessible
 through view as well as XML GUI KActions.
 KMediaPlayer/Engine omits the user interface facets,
 for those who wish to provide their own interface.

Package: libkf5mediawiki-dev
Description-md5: 87d0387db8d20d61b5d381ca932d6ee2
Description-en: libmediawiki is a KDE C++ interface for "MediaWiki"
 libmediawiki is a KDE C++ interface for "MediaWiki":http://www.mediawiki.org
 based web service as "wikipedia.org":http://www.wikipedia.org
 .
 Contains development files for libmediawiki.

Package: libkf5mediawiki5
Description-md5: 3aea04e72a65cc9b1392e8068fac4dc4
Description-en: libmediawiki is a KDE C++ interface for "MediaWiki"
 libmediawiki is a KDE C++ interface for "MediaWiki":http://www.mediawiki.org
 based web service as "wikipedia.org":http://www.wikipedia.org

Package: libkf5messagecomposer-dev
Description-md5: ad7bda961a33f67631da2c64a032cf7e
Description-en: KDE PIM messaging library, composer devel files
 This package contains the development files for the message composer library.
 Which provides basic e-mail message composing facilities.
 .
 This package is part of KDE PIM module.

Package: libkf5messagecomposer5abi2
Description-md5: 9b1e91dffa5a411917a57fa755736f29
Description-en: KDE PIM messaging library, composer library
 This package contains the message composer library. It provides basic e-mail
 message composing facilities.
 .
 This package is part of KDE PIM module.

Package: libkf5messagecore-dev
Description-md5: a51c0552791a160f66a9ccd132074881
Description-en: KDE PIM messaging library, core devel files
 This package contains the development files for the message core library.
 Which provides basic e-mail message handling facilities.
 .
 This package is part of KDE PIM module.

Package: libkf5messagecore5abi2
Description-md5: 035d36e99d6729804a6073c2098ccd4e
Description-en: KDE PIM messaging library, core library
 This package contains the message core library. It provides basic e-mail
 message handling facilities.
 .
 This package is part the KDE PIM module.

Package: libkf5messagelist-dev
Description-md5: 9f504ba1766429ac1e742809f24ea285
Description-en: KDE PIM messaging library, message list devel files
 This package contains the development files for the message list library.
 Which provides a widget for the e-mail message lists with extensive filtering,
 grouping and useful features.
 .
 This package is part of KDE PIM module.

Package: libkf5messagelist5abi1
Description-md5: 49a0d7f2169e8c95462d34be5e96bbb2
Description-en: KDE PIM messaging library, message list library
 This package contains the message list library. It provides a widget for the
 e-mail message lists with extensive filtering, grouping and useful features.
 .
 This package is part of the KDE PIM module.

Package: libkf5messageviewer-dev
Description-md5: 0d69c7b304031efbae060a28b61dd22a
Description-en: KDE PIM messaging library, message viewer devel files
 This package contains the development files for the message viewer library.
 Which provides the implementation of a feature-rich and highly configurable
 widget for viewing e-mail messages.
 .
 This package is part of KDE PIM module.

Package: libkf5messageviewer-plugins
Description-md5: 319f8d30f993e4f4fe2a059521823cf8
Description-en: KDE PIM messaging library, message viewer library
 This package contains the message viewer library which implements feature-rich
 and highly configurable widget for viewing e-mail messages.
 .
 This package is part of the KDE PIM module.

Package: libkf5messageviewer5abi5
Description-md5: 319f8d30f993e4f4fe2a059521823cf8
Description-en: KDE PIM messaging library, message viewer library
 This package contains the message viewer library which implements feature-rich
 and highly configurable widget for viewing e-mail messages.
 .
 This package is part of the KDE PIM module.

Package: libkf5mime-data
Description-md5: ea8d6d0463a1443aeab1a64fda908b1b
Description-en: library for handling MIME data
 This library provides an API for handling MIME data. MIME (Multipurpose
 Internet Mail Extensions) is an Internet Standard that extends the format
 of e-mail to support text in character sets other than US-ASCII, non-text
 attachments, multi-part message bodies, and header information in non-ASCII
 character sets.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5mime-dev
Description-md5: 3e8d7b6fb7ba4938dc9cf77296922163
Description-en: library for handling MIME data - development files
 This library provides an API for handling MIME data. MIME (Multipurpose
 Internet Mail Extensions) is an Internet Standard that extends the format
 of e-mail to support text in character sets other than US-ASCII, non-text
 attachments, multi-part message bodies, and header information in non-ASCII
 character sets.
 .
 This package contains the development files.

Package: libkf5mime5abi2
Description-md5: ea8d6d0463a1443aeab1a64fda908b1b
Description-en: library for handling MIME data
 This library provides an API for handling MIME data. MIME (Multipurpose
 Internet Mail Extensions) is an Internet Standard that extends the format
 of e-mail to support text in character sets other than US-ASCII, non-text
 attachments, multi-part message bodies, and header information in non-ASCII
 character sets.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5mimetreeparser-dev
Description-md5: 65a8f57518ad25f45c9fb39a45d4f274
Description-en: KDE PIM Mime Tree parser library devel files
 This library implements a parser for the mime tree used by KMail.
 .
 This package is part of the KDE PIM module.

Package: libkf5mimetreeparser5abi3
Description-md5: 2f9c1b63f48775da4a7b95e5ac02c214
Description-en: KDE PIM Mime Tree parser library
 This library implements a parser for the mime tree used by KMail.
 .
 This package is part of the KDE PIM module.

Package: libkf5modemmanagerqt-doc
Description-md5: d586dc53a141e20675d31e6296887a3b
Description-en: Qt wrapper library for ModemManager (documentation)
 Qt wrapper for ModemManager DBus API.
 .
 This package contains the qch documentation files.

Package: libkf5modemmanagerqt6
Description-md5: 0f7f78eaecf3fb6ad3c1c69e3e325adf
Description-en: Qt wrapper library for ModemManager
 Qt wrapper for ModemManager DBus API.
 .
 This package is part of the KDE Frameworks.

Package: libkf5networkmanagerqt-dev
Description-md5: 9dc2e22716afe1605fd2596a1d967dda
Description-en: Qt wrapper for NetworkManager - devel files.
 Qt wrapper for NetworkManager DBus API.
 .
 This package is part of the KDE Plasma.
 .
 This package contains the development files

Package: libkf5networkmanagerqt-doc
Description-md5: 50da56d8681346bb60b42299344a7d98
Description-en: Qt wrapper for NetworkManager - library (documentation)
 Qt wrapper for NetworkManager DBus API.
 .
 This package is part of the KDE Plasma.
 .
 This package contains the qch documentation files.

Package: libkf5networkmanagerqt6
Description-md5: e102e38c8b2a8cc78661df1ac3bdf665
Description-en: Qt wrapper for NetworkManager - library
 Qt wrapper for NetworkManager DBus API.
 .
 This package is part of the KDE Plasma.

Package: libkf5newstuff-data
Description-md5: 949ba4f6bfaf7622f217987f82d6a09b
Description-en: Support for downloading application assets from the network.
 Framework for downloading and sharing additional
 application data.
 .
 This package contains the data files.

Package: libkf5newstuff-dev
Description-md5: d71c73efd748bccb02b2d75b83939fc3
Description-en: development files for knewstuff
 Framework for downloading and sharing additional
 application data.
 .
 Contains development files for knewstuff.

Package: libkf5newstuff-doc
Description-md5: 5ebb0bac460ae327046070ba848bd3ee
Description-en: Support for downloading application assets from the network (documentation)
 Framework for downloading and sharing additional
 application data.
 .
 This package contains the qch documentation files.

Package: libkf5newstuff5
Description-md5: f233c290362ded2b078e93652d7727ec
Description-en: Support for downloading application assets from the network.
 Framework for downloading and sharing additional
 application data.

Package: libkf5newstuffcore5
Description-md5: d4a7a11ee5799e66a39e4624b9e55eb0
Description-en: Support for downloading application assets from the network.
 Framework for idownloading and sharing additional
 application data.

Package: libkf5notifications-data
Description-md5: ae40c99977a166371ab26ec181c81eaa
Description-en: Framework for desktop notifications
 KNotification is used to notify the user of an event. It covers
 feedback and persistent events.
 .
 This package contains the translations.

Package: libkf5notifications-dev
Description-md5: 8f4590cf66374d3ef801268f8f093b97
Description-en: Framework for desktop notifications
 KNotification is used to notify the user of an event. It covers
 feedback and persistent events.
 .
 Contains debug symbols for KNotifications.

Package: libkf5notifications-doc
Description-md5: 4116d92d4cadea5ddc45d2a1aa960b9d
Description-en: Framework for desktop notifications
 KNotification is used to notify the user of an event. It covers
 feedback and persistent events.
 .
 This package contains the qch documentation files.

Package: libkf5notifications5
Description-md5: f95c090e891ee3efe7a9a2c0613f1ac4
Description-en: Framework for desktop notifications
 KNotification is used to notify the user of an event. It covers
 feedback and persistent events.

Package: libkf5notifyconfig-data
Description-md5: ce9b4566126340be32beaa9d80dbe54e
Description-en: Configuration system for KNotify.
 This framework offers classes to represent the configuration for an
 event.
 .
 This package contains the data files.

Package: libkf5notifyconfig-dev
Description-md5: 9c2ccdc92d13d02878217b97b8e66e45
Description-en: development files for knotifyconfig
 This framework offers classes to represent the configuration for an
 event.
 .
 Contains development files for knotifyconfig.

Package: libkf5notifyconfig-doc
Description-md5: da941a2e2021acfce1d31ee13ef307fe
Description-en: Configuration system for KNotify (documentation)
 This framework offers classes to represent the configuration for an
 event.
 .
 This package contains the qch documentation files.

Package: libkf5notifyconfig5
Description-md5: 37b08321bea143404321564454f6fb85
Description-en: Configuration system for KNotify.
 This framework offers classes to represent the configuration for an
 event.

Package: libkf5package-data
Description-md5: 4883682ff8de8576c708a325476a7883
Description-en: non-binary asset management framework
 KPackage provides classes for applications to manage user installable packages
 of non-binary assets.
 .
 This package contains the translations.

Package: libkf5package-dev
Description-md5: 7af936f57f65556e8d58253e7b2bc3c5
Description-en: development files for kpackage
 KPackage provides classes for applications to manage user installable packages
 of non-binary assets.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5package-doc
Description-md5: 4e3764607f1df6fa73a5da8c62c1f37c
Description-en: non-binary asset management framework (documentation)
 KPackage provides classes for applications to manage user installable packages
 of non-binary assets.
 .
 This package contains the qch documentation files.

Package: libkf5package5
Description-md5: b7efa0eeea2c63d86118031ee091a8e4
Description-en: non-binary asset management framework
 KPackage provides classes for applications to manage user installable packages
 of non-binary assets.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5parts-data
Description-md5: 87b99fcf2b45c95b093540f427048ecd
Description-en: Document centric plugin system.
 Framework providing elaborate user-interface components.
 .
 This package contains the data files.

Package: libkf5parts-dev
Description-md5: 72b19eed691f7c03de68a2e00fb0ef2c
Description-en: development files for kparts
 Framework providing elaborate user-interface components.
 .
 Contains development files for kparts.

Package: libkf5parts-doc
Description-md5: 55bb8ebd177010359b9741208df2bcb2
Description-en: Document centric plugin system (documentation)
 Framework providing elaborate user-interface components.
 .
 This package contains the qch documentation files.

Package: libkf5parts-plugins
Description-md5: 80089dbc4845a1b31d767b8f50225431
Description-en: Document centric plugin system.
 Framework providing elaborate user-interface components.
 .
 This package contains the runtime files.

Package: libkf5parts5
Description-md5: f80d0f85e9a8413d0229805e63718028
Description-en: Document centric plugin system.
 Framework providing elaborate user-interface components.

Package: libkf5people-data
Description-md5: 7f504c0dff19443b505b3dc7ea0ff343
Description-en: data files for kpeople
 KPeople offers unified access to our contacts from different sources, grouping
 them by person while still exposing all the data.
 .
 Furthermore, KPeople will also provide facilities to integrate the data
 provided in user interfaces by providing QML and Qt Widgets components.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the data files.

Package: libkf5people-dev
Description-md5: f6da49cb7ce48a9c396a90b2da57448d
Description-en: development files for kpeople
 KPeople offers unified access to our contacts from different sources, grouping
 them by person while still exposing all the data.
 .
 Furthermore, KPeople will also provide facilities to integrate the data
 provided in user interfaces by providing QML and Qt Widgets components.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5people-doc
Description-md5: 9e295c434d2dbbeb99dc509901e12eed
Description-en: framework providing unified access to contacts aggregated by person (documentation)
 KPeople offers unified access to our contacts from different sources, grouping
 them by person while still exposing all the data.
 .
 Furthermore, KPeople will also provide facilities to integrate the data
 provided in user interfaces by providing QML and Qt Widgets components.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5people5
Description-md5: e085f9427866148f66f36976c99260d2
Description-en: framework providing unified access to contacts aggregated by person
 KPeople offers unified access to our contacts from different sources, grouping
 them by person while still exposing all the data.
 .
 Furthermore, KPeople will also provide facilities to integrate the data
 provided in user interfaces by providing QML and Qt Widgets components.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5peoplebackend5
Description-md5: e085f9427866148f66f36976c99260d2
Description-en: framework providing unified access to contacts aggregated by person
 KPeople offers unified access to our contacts from different sources, grouping
 them by person while still exposing all the data.
 .
 Furthermore, KPeople will also provide facilities to integrate the data
 provided in user interfaces by providing QML and Qt Widgets components.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5peoplewidgets5
Description-md5: e085f9427866148f66f36976c99260d2
Description-en: framework providing unified access to contacts aggregated by person
 KPeople offers unified access to our contacts from different sources, grouping
 them by person while still exposing all the data.
 .
 Furthermore, KPeople will also provide facilities to integrate the data
 provided in user interfaces by providing QML and Qt Widgets components.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5pimcommon-data
Description-md5: 927c1aa26ac7bfff5ffe9859601ad678
Description-en: Common library for KDE PIM - data files
 This library provides the common parts used across different KDE PIM
 components.
 .
 This package provides the data files used by the library.

Package: libkf5pimcommon-dev
Description-md5: db67b90eda72cee61ebcee919d1530ed
Description-en: Common library for KDE PIM - devel files
 This library provides the common parts used across different KDE PIM
 components.
 .
 This package provides the development files.

Package: libkf5pimcommon-plugins
Description-md5: 8f7089a41477e772e5d8237b107947bd
Description-en: Common library for KDE PIM - plugins
 This library provides the common parts used across different KDE PIM
 components.
 .
 This package provides the plugins distributed with the library.

Package: libkf5pimcommon5abi3
Description-md5: 7d4c4877ef9b8e8b8623b342b80a132a
Description-en: Common library for KDE PIM
 This library provides the common parts used across different KDE PIM
 components.
 .
 This package provides the shared libraries.

Package: libkf5pimcommonakonadi5
Description-md5: 7b44fed8be88d500040e4ca5cb638cf3
Description-en: Common library for KDE PIM Akonadi
 This library provides the common parts used across different KDE PIM Akonadi
 components.
 .
 This package provides the shared libraries.

Package: libkf5pimtextedit-data
Description-md5: 109259b7958e194638f3e7341d582dde
Description-en: library that provides a textedit with PIM-specific features
 KPIMTextedit provides a textedit with PIM-specific features. It also provides
 so-called rich text builders which can convert the formatted text in the text
 edit to all kinds of markup, like HTML or BBCODE.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5pimtextedit-dev
Description-md5: 58e8b09f35b68ac1bcb20d29b99dd846
Description-en: libkf5pimtextedit - development files
 KPIMTextedit provides a textedit with PIM-specific features. It also provides
 so-called rich text builders which can convert the formatted text in the text
 edit to all kinds of markup, like HTML or BBCODE.
 .
 This package contains the development files.

Package: libkf5pimtextedit5abi3
Description-md5: 109259b7958e194638f3e7341d582dde
Description-en: library that provides a textedit with PIM-specific features
 KPIMTextedit provides a textedit with PIM-specific features. It also provides
 so-called rich text builders which can convert the formatted text in the text
 edit to all kinds of markup, like HTML or BBCODE.
 .
 This package is part of the KDE Development Platform PIM libraries module.

Package: libkf5plasma-dev
Description-md5: 29fd3d1c0651724cd8c166ec4230117e
Description-en: development files for plasma-framework
 Plasma library and runtime components based upon KF5 and Qt 5.
 .
 Contains development files for plasma-framework.

Package: libkf5plasma-doc
Description-md5: b076c89fec14a44577c97e68c3791806
Description-en: Plasma Runtime components (documentation)
 Plasma library and runtime components based upon KF5 and Qt5.
 .
 This package contains the qch documentation files.

Package: libkf5plasma5
Description-md5: 6ed090aab2141b4f1b7be0e62e5197c9
Description-en: Plasma Runtime components
 Plasma library and runtime components based upon KF5 and Qt5.

Package: libkf5plasmaquick5
Description-md5: 61b16c772c8c28d3a2ba98cff548c7bd
Description-en: Plasma Runtime components
 Plasma library and runtime components based upon KF5 and Qt 5.

Package: libkf5plotting-dev
Description-md5: 54c87fc99f5417c9397d7041610fe01c
Description-en: development files for kplotting
 KPlotWidget is a QWidget-derived class that provides a virtual base class
 for easy data-plotting. The idea behind KPlotWidget is that you only have
 to specify information in "data units"; i.e., the natural units of the
 data being plotted.  KPlotWidget automatically converts everything
 to screen pixel units.
 .
 Contains development files for kplotting.

Package: libkf5plotting-doc
Description-md5: cf60892b4d966a70ec91096706b37710
Description-en: KPlotting provides classes to do plotting (documentation)
 KPlotWidget is a QWidget-derived class that provides a virtual base class
 for easy data-plotting. The idea behind KPlotWidget is that you only have
 to specify information in "data units"; i.e., the natural units of the
 data being plotted.  KPlotWidget automatically converts everything
 to screen pixel units.
 .
 This package contains the qch documentation files.

Package: libkf5plotting5
Description-md5: b35cb5b5c84ebb813846688695d6a350
Description-en: KPlotting provides classes to do plotting.
 KPlotWidget is a QWidget-derived class that provides a virtual base class
 for easy data-plotting. The idea behind KPlotWidget is that you only have
 to specify information in "data units"; i.e., the natural units of the
 data being plotted.  KPlotWidget automatically converts everything
 to screen pixel units.

Package: libkf5prison-dev
Description-md5: b47bd73084c3dfd66d08fe0efd3868f1
Description-en: barcode API for Qt - development files
 prison is a barcode API currently offering a nice Qt API to produce QRCode
 barcodes and DataMatrix barcodes, and can easily be made support more.
 .
 This package contains the development headers and files needed to create
 applications that use the prison library.

Package: libkf5prison-doc
Description-md5: de50563d9296cd6f8b9d161e42ac6301
Description-en: barcode API for Qt (documentation)
 prison is a barcode API currently offering a nice Qt API to produce QRCode
 barcodes and DataMatrix barcodes, and can easily be made support more.
 .
 This package contains the shared library to be used by applications.
 .
 This package contains the qch documentation files.

Package: libkf5prison5
Description-md5: 8a9e710171b850ad307b78f8777a54ed
Description-en: barcode API for Qt
 prison is a barcode API currently offering a nice Qt API to produce QRCode
 barcodes and DataMatrix barcodes, and can easily be made support more.
 .
 This package contains the shared library to be used by applications.

Package: libkf5pty-data
Description-md5: 664d58e721f179c0f036ddfe9acfa5e7
Description-en: Pty abstraction.
 Provides primitives for opening & closing a pseudo
 TTY pair, assigning the controlling TTY, utmp
 registration and setting various terminal attributes.
 .
 This package contains the translations

Package: libkf5pty-dev
Description-md5: 5f2bf0e942f1a3b94b46ebad8f9244fb
Description-en: development files for kpty
 Provides primitives for opening & closing a pseudo
 TTY pair, assigning the controlling TTY, utmp
 registration and setting various terminal attributes.
 .
 Contains development files for kpty.

Package: libkf5pty-doc
Description-md5: b25092b0e1f9984f8a44ba34dde31b3d
Description-en: Pty abstraction (documentation)
 Provides primitives for opening & closing a pseudo
 TTY pair, assigning the controlling TTY, utmp
 registration and setting various terminal attributes.
 .
 This package contains the qch documentation files.

Package: libkf5pty5
Description-md5: 672c05cd8da4fad1941274b10a599765
Description-en: Pty abstraction.
 Provides primitives for opening & closing a pseudo
 TTY pair, assigning the controlling TTY, utmp
 registration and setting various terminal attributes.

Package: libkf5pulseaudioqt-dev
Description-md5: 5b9b5b2399b5c52b2aa78630d83e09e8
Description-en: Pulseaudio bindings development files for Qt
 Qt framework C++ bindings development files for the pulseaudio
 sound system.
 .
 This package contains the development files for libkf5pulseaudioqt

Package: libkf5pulseaudioqt2
Description-md5: 72399cf288ad57d33716ac6192c5fab0
Description-en: Pulseaudio bindings library for Qt
 Qt framework C++ bindings library for the pulseaudio
 sound system.
 .
 This package contains the libraries for libkf5pulseaudioqt

Package: libkf5pulseaudioqt2-doc
Description-md5: a33821a5e44296cf10b84efd87090472
Description-en: Pulseaudio bindings library for Qt documentation
 Qt framework C++ bindings library for the pulseaudio
 sound system documentation.
 .
 This package contains the documentation for libkf5pulseaudioqt

Package: libkf5purpose-bin
Description-md5: d78d56e9b8081628fe8656da74f7326a
Description-en: abstraction to provide and leverage actions of a specific kind, runtime
 Purpose offers the possibility to create integrate services and actions on
 any application without having to implement them specifically. Purpose will
 offer them mechanisms to list the different alternatives to execute given the
 requested action type and will facilitate components so that all the plugins
 can receive all the information they need.
 .
 This package contains the Purpose runtime elements.

Package: libkf5purpose-dev
Description-md5: 80d3b3311ab75f35cad71fd36195eed2
Description-en: abstraction to provide and leverage actions of a specific kind, devel files
 Purpose offers the possibility to create integrate services and actions on
 any application without having to implement them specifically. Purpose will
 offer them mechanisms to list the different alternatives to execute given the
 requested action type and will facilitate components so that all the plugins
 can receive all the information they need.
 .
 This package contains the Purpose development files.

Package: libkf5purpose5
Description-md5: 21642fd06574aba4346355ea4830126d
Description-en: library for abstractions to get the developer's purposes fulfilled
 Framework for providing abstractions to get the developer's purposes fulfilled.
 .
 This package contains the Purpose library.

Package: libkf5qqc2desktopstyle-dev
Description-md5: 5d76badf23f9f9f12c6e47b7a8b73ac9
Description-en: Qt Quick Controls 2: Desktop Style
 Small style written in QML for QtQuickControls2 intended to be used
 by default in QQC2-based apps when used in the Plasma desktop
 .
 This package contains the development files.

Package: libkf5quickaddons5
Description-md5: d704258b27f3de3e07d4842dc3a6bd80
Description-en: provides integration of QML and KDE frameworks -- quickaddons
 Framework providing optimized image texture caching.

Package: libkf5runner-dev
Description-md5: 44a53d2e0feb02ee69a4c1e96da5d2d4
Description-en: development files for krunner
 An assortment of text-related widgets.
 .
 Contains development files for krunner.

Package: libkf5runner-doc
Description-md5: a0fde9a002b1efa7594c254894b9cb63
Description-en: Used to write plugins loaded at runtime called "Runners" (documentation)
 Launcher built into the Plasma desktop. While its
 basic function is to launch programs from a sort
 of mini-command-line, its functionality can be
 extended by "runners" to assist the user
 to accomplish a lot of tasks.
 .
 This package contains the qch documentation files.

Package: libkf5runner5
Description-md5: b17102c9303e509e472a635118a4fe2a
Description-en: Used to write plugins loaded at runtime called "Runners".
 Launcher built into the Plasma desktop. While its
 basic function is to launch programs from a sort
 of mini-command-line, its functionality can be
 extended by "runners" to assist the user
 to accomplish a lot of tasks.

Package: libkf5sane-data
Description-md5: 29bd1c001f412e0e41b6799e2c5cb8a2
Description-en: scanner library (data files)
 The KDE scanner library provides an API and widgets for using scanners and
 other imaging devices supported by SANE.
 .
 This package contains data files used by the library.

Package: libkf5sane-dev
Description-md5: 9c888fd40eed984d3cd8c91b83806d08
Description-en: scanner library development headers
 The KDE scanner library provides an API and widgets for using scanners and
 other imaging devices supported by SANE.
 .
 This package contains the scanner library development files.

Package: libkf5sane5
Description-md5: acb7108b6da4b4d9984cb1b4264a38a4
Description-en: scanner library (runtime)
 The KDE scanner library provides an API and widgets for using scanners and
 other imaging devices supported by SANE.
 .
 This package contains the shared library.

Package: libkf5screen-bin
Description-md5: 3dbfb2a2fb6671c59383798dcdeb95c4
Description-en: library for screen management - helpers
 The KDE multiple monitor support is trying be as smart as possible
 adapting the behavior of it to each use case making the configuration
 of monitors as simple as plugging them to your computer.
 .
 This package contains the library helpers and the corresponding dbus service.

Package: libkf5screen-dev
Description-md5: 5a9972d118274e22de9a4ec2ad3410d1
Description-en: library for screen management - development files
 The KDE multiple monitor support is trying be as smart as possible
 adapting the behavior of it to each use case making the configuration
 of monitors as simple as plugging them to your computer.
 .
 This package contains the files necessary for development.

Package: libkf5screen7
Description-md5: 3cd8fb562d4e37428a482afe3debac1e
Description-en: library for screen management - shared library
 The KDE multiple monitor support is trying be as smart as possible
 adapting the behavior of it to each use case making the configuration
 of monitors as simple as plugging them to your computer.
 .
 This package contains the shared library

Package: libkf5sendlater-dev
Description-md5: 20cf92360a0d8ca11baed8f829652e0d
Description-en: send later library, devel files
 This package is part of the KDE PIM module.

Package: libkf5sendlater5
Description-md5: 128ee58860540051b139c1e3b4abaea9
Description-en: send later library
 This package is part of the KDE PIM module.

Package: libkf5service-bin
Description-md5: e83d65549ba4be8f2266c233b0cd1b1a
Description-en: Advanced plugin and service introspection
 Application framework for file type association
 and plugin locating.
 .
 This package contains kbuildsycoca5.

Package: libkf5service-data
Description-md5: 3c7247746f65de49c2be8a743377a582
Description-en: Advanced plugin and service introspection
 Application framework for file type association
 and plugin locating.
 .
 This package contains shared data files.

Package: libkf5service-dev
Description-md5: 7b3a378f2958de5f74a482882ce83c7b
Description-en: development files for kservice
 Application framework for file type association
 and plugin locating.
 .
 Contains development files for kservice.

Package: libkf5service-doc
Description-md5: 361cbd753439a80490b43f39575e1eae
Description-en: Advanced plugin and service introspection (documentation)
 Application framework for file type association
 and plugin locating.
 .
 This package contains the qch documentation files.

Package: libkf5service5
Description-md5: f6acc5bd66bc76fbc063ab05b21890a4
Description-en: Advanced plugin and service introspection
 Application framework for file type association
 and plugin locating.

Package: libkf5solid-bin
Description-md5: 7febac5c35729df8d0f028ccd3d8f77b
Description-en: Qt library to query and control hardware
 Solid is a device integration framework. It provides a way of querying and
 interacting with hardware independently of the underlying operating system.
 .
 Solid is part of KDE Frameworks 5.
 .
 This package contains the solid-hardware5 tool.

Package: libkf5solid-dev
Description-md5: 7dc236fc00f1a461c4a56518c3570fd4
Description-en: Qt library to query and control hardware
 Solid is a device integration framework. It provides a way of querying and
 interacting with hardware independently of the underlying operating system.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5solid-doc
Description-md5: fb3e4ea89b0061dff4a80d0934337008
Description-en: Qt library to query and control hardware (documentation)
 Solid is a device integration framework. It provides a way of querying and
 interacting with hardware independently of the underlying operating system.
 .
 Solid is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5solid5
Description-md5: 41f0b122f40e5dbb95ac3518f347771d
Description-en: Qt library to query and control hardware
 Solid is a device integration framework. It provides a way of querying and
 interacting with hardware independently of the underlying operating system.
 .
 Solid is part of KDE Frameworks 5.

Package: libkf5solid5-data
Description-md5: 3c0b9e07c224508e11371cdcd269285d
Description-en: Qt library to query and control hardware
 Solid is a device integration framework. It provides a way of querying and
 interacting with hardware independently of the underlying operating system.
 .
 Solid is part of KDE Frameworks 5.
 .
 This package contains shared data files.

Package: libkf5sonnet-dev
Description-md5: d910bc3fd6aea39a460c0645b77ca832
Description-en: spell checking library for Qt, devel files
 Sonnet is a Qt based library that offers easy access to spell
 checking using various plugin based backends.  It is part of KDE
 Frameworks 5.
 .
 This package contains development files for building software that uses
 libraries from the Sonnet framework.

Package: libkf5sonnet-dev-bin
Description-md5: 6ad9476c68152828dda10dd818a99aad
Description-en: spell checking library for Qt, devel binaries
 Sonnet is a Qt based library that offers easy access to spell
 checking using various plugin based backends.  It is part of KDE
 Frameworks 5.
 .
 This package contains the utility programs related to the Sonnet framework.

Package: libkf5sonnet-doc
Description-md5: 622c1e06f745ad3008593ba53af567d5
Description-en: spell checking library for Qt (documentation)
 Sonnet is a Qt based library that offers easy access to spell
 checking using various plugin based backends.  It is part of KDE
 Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5sonnet5-data
Description-md5: ef13a92fa38ce5f9e81f794412f91d5e
Description-en: spell checking library for Qt, data files
 Sonnet is a Qt based library that offers easy access to spell
 checking using various plugin based backends.  It is part of KDE
 Frameworks 5.
 .
 This package contains the translations.

Package: libkf5sonnetcore5
Description-md5: 9463fae21aa4fa387b2f86c61d4d3550
Description-en: spell checking library for Qt, core lib
 Sonnet is a Qt based library that offers easy access to spell
 checking using various plugin based backends.  It is part of KDE
 Frameworks 5.

Package: libkf5sonnetui5
Description-md5: a027a2a6abd990cd2d9901c5ab22a3cb
Description-en: spell checking library for Qt, ui lib
 Sonnet is a Qt based library that offers easy access to spell
 checking using various plugin based backends.  It is part of KDE
 Frameworks 5.
 .
 This package provides Qt based GUI elements that can be used
 by projects to construct spell checking user interfaces.

Package: libkf5style-dev
Description-md5: f3bbaacf1ceb1a2c63c81d66f4a17ec4
Description-en: KF5 cross-framework integration plugins - KStyle
 Framework Integration is a set of plugins responsible
 for better integration of Qt applications when running
 on a KDE Plasma workspace.
 .
 libkf5style5 provides integration with KDE Plasma Workspace settings
 for Qt styles.
 .
 Derive your Qt style from KStyle to automatically inherit
 various settings from the KDE Plasma Workspace, providing a
 consistent user experience. For example, this will ensure a
 consistent single-click or double-click activation setting,
 and the use of standard themed icons.
 .
 This package provides the development files.

Package: libkf5style5
Description-md5: a6d85571baf02c89450cbe8305a7918d
Description-en: KF5 cross-framework integration plugins - KStyle
 Framework Integration is a set of plugins responsible
 for better integration of Qt applications when running
 on a KDE Plasma workspace.
 .
 libkf5style5 provides integration with KDE Plasma Workspace settings
 for Qt styles.
 .
 Derive your Qt style from KStyle to automatically inherit
 various settings from the KDE Plasma Workspace, providing a
 consistent user experience. For example, this will ensure a
 consistent single-click or double-click activation setting,
 and the use of standard themed icons.

Package: libkf5su-bin
Description-md5: 779f6ebc52a07c1c2cb721be7420f8c1
Description-en: runtime files for kdesu
 Framework to handle super user actions.
 .
 Contains runtime files for kdesu.

Package: libkf5su-data
Description-md5: 67ea03028c1dec5b19b2cdacccfbe3e3
Description-en: translation files for kdesu
 Framework to handle super user actions
 .
 Contains translation files for kdesu.

Package: libkf5su-dev
Description-md5: 1acfba2aba862474868e8ad8ccf13aca
Description-en: development files for kdesu
 Framework to handle super user actions.
 .
 Contains development files for kdesu.

Package: libkf5su-doc
Description-md5: 57eb646ef35bbe0ce3a96cc54defaa98
Description-en: runtime files for kdesu (documentation)
 Framework to handle super user actions.
 .
 This package contains the qch documentation files.

Package: libkf5su5
Description-md5: 027db229a36a68332af7907190a3ede1
Description-en: Integration with su for elevated privileges.
 Framework to handle super user actions

Package: libkf5syndication-dev
Description-md5: 9b8502053d14d77e372319fdf2e46545
Description-en: parser library for RSS and Atom feeds - development files
 Syndication is a parser library for RSS and Atom feeds. It offers a unified,
 format-agnostic view on the parsed feed, so that the using application does
 not need to distinguish between feed formats.
 .
 This package contains the development files.

Package: libkf5syndication5abi1
Description-md5: dae87f248517df221814b04936ec3f3e
Description-en: parser library for RSS and Atom feeds
 Syndication is a parser library for RSS and Atom feeds. It offers a unified,
 format-agnostic view on the parsed feed, so that the using application does
 not need to distinguish between feed formats.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5syntaxhighlighting-data
Description-md5: 432cf6f7995ef21f9ee651a1e85b6800
Description-en: Syntax highlighting Engine - translations
 This is a stand-alone implementation of the Kate syntax
 highlighting engine. It's meant as a building block for
 text editors as well as for simple highlighted text
 rendering (e.g. as HTML), supporting both integration
 with a custom editor as well as a ready-to-use
 QSyntaxHighlighter sub-class.
 .
 This package provides the translations.

Package: libkf5syntaxhighlighting-dev
Description-md5: fc51f42c658d45d2f472e953381f4623
Description-en: Syntax highlighting Engine
 This is a stand-alone implementation of the Kate syntax
 highlighting engine. It's meant as a building block for
 text editors as well as for simple highlighted text
 rendering (e.g. as HTML), supporting both integration
 with a custom editor as well as a ready-to-use
 QSyntaxHighlighter sub-class.
 .
 Contains development files for libkf5syntaxhighlighting5.

Package: libkf5syntaxhighlighting-doc
Description-md5: c979042bb42fbd828a9ccad2558aa472
Description-en: Syntax highlighting Engine (documentation)
 This is a stand-alone implementation of the Kate syntax
 highlighting engine. It's meant as a building block for
 text editors as well as for simple highlighted text
 rendering (e.g. as HTML), supporting both integration
 with a custom editor as well as a ready-to-use
 QSyntaxHighlighter sub-class.

Package: libkf5syntaxhighlighting-tools
Description-md5: b178fe52f4d5b9c9654ff39b7d122142
Description-en: Syntax highlighting Engine
 This is a stand-alone implementation of the Kate syntax
 highlighting engine. It's meant as a building block for
 text editors as well as for simple highlighted text
 rendering (e.g. as HTML), supporting both integration
 with a custom editor as well as a ready-to-use
 QSyntaxHighlighter sub-class.
 .
 Provides additional tools for libkf5syntaxhighlighting5

Package: libkf5syntaxhighlighting5
Description-md5: 456f69f4199ae5b6438d3cf605db0968
Description-en: Syntax highlighting Engine
 This is a stand-alone implementation of the Kate syntax
 highlighting engine. It's meant as a building block for
 text editors as well as for simple highlighted text
 rendering (e.g. as HTML), supporting both integration
 with a custom editor as well as a ready-to-use
 QSyntaxHighlighter sub-class.

Package: libkf5sysguard-bin
Description-md5: 3db6290d075dfe9bbb485be967a4e45a
Description-en: library for monitoring your system - shared library
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 .
 This package contains the shared library

Package: libkf5sysguard-data
Description-md5: 1b68684b1656f5b47b6c6f470944e769
Description-en: library for monitoring your system - shared library
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 .
 This package contains the data files

Package: libkf5sysguard-dev
Description-md5: 81bf88bad7e93f21112f94520b41e196
Description-en: library for monitoring your system - development files
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 .
 This package contains the files necessary for development.

Package: libkf5sysguard5
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: libkf5sysguard5-data
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: libkf5templateparser-dev
Description-md5: a8ead012dced8da1e4e147f9533d5282
Description-en: KDE PIM messaging library, template parser devel files
 This package contains the development files for the template parser library.
 Which provides the implementation of a parser for the mail templates which
 KMail uses to enable customization of initial structure for the new, reply or
 forwarded messages.
 .
 This package is part of KDE PIM module.

Package: libkf5templateparser5abi2
Description-md5: 724d02891f85b2429bcabdc216fcfc5e
Description-en: KMail template parser library
 This library implements a parser for the mail templates which KMail uses to
 enable customization of initial structure for the new, reply or forwarded
 messages.
 .
 This package is part of the KDE PIM module.

Package: libkf5texteditor-bin
Description-md5: 3c71419ce571ea0aca410a2d43428341
Description-en: provide advanced plain text editing services (binaries)
 The KTextEditor interfaces - also called KTE interfaces - are a set
 of well-defined interfaces which an application or library can
 implement to provide advanced plain text editing
 services. Applications which utilise this interface can thus allow
 the user to choose which implementation of the editor component to
 use. The only implementation right now is the Kate Editor Component
 (Kate Part).
 .
 This package contains the helper binaries.

Package: libkf5texteditor-dev
Description-md5: ce0e5cfb5dfc134e3223628857f598e3
Description-en: provide advanced plain text editing services
 The KTextEditor interfaces - also called KTE interfaces - are a set
 of well-defined interfaces which an application or library can
 implement to provide advanced plain text editing
 services. Applications which utilise this interface can thus allow
 the user to choose which implementation of the editor component to
 use. The only implementation right now is the Kate Editor Component
 (Kate Part).
 .
 Contains development files for ktexteditor.

Package: libkf5texteditor-doc
Description-md5: 900263b4396df1943fb5a992978be638
Description-en: provide advanced plain text editing services (documentation)
 The KTextEditor interfaces - also called KTE interfaces - are a set
 of well-defined interfaces which an application or library can
 implement to provide advanced plain text editing
 services. Applications which utilise this interface can thus allow
 the user to choose which implementation of the editor component to
 use. The only implementation right now is the Kate Editor Component
 (Kate Part).
 .
 This package contains the qch documentation files.

Package: libkf5texteditor5
Description-md5: 24d2171af300f8675b8e92818e4e7601
Description-en: provide advanced plain text editing services
 The KTextEditor interfaces - also called KTE interfaces - are a set
 of well-defined interfaces which an application or library can
 implement to provide advanced plain text editing
 services. Applications which utilise this interface can thus allow
 the user to choose which implementation of the editor component to
 use. The only implementation right now is the Kate Editor Component
 (Kate Part).

Package: libkf5texteditor5-libjs-underscore
Description-md5: 4053e684b0557962d094c4660b01b705
Description-en: Bridge package for libjs-underscore
 Bridge package for libjs-underscore to allow for installation of
 libjs-underscore in multi-arch use.

Package: libkf5textwidgets-data
Description-md5: a9c93371935fce881967883fe5d8f7ad
Description-en: Advanced text editing widgets.
 An assortment of text-related widgets
 .
 This package contains the data files.

Package: libkf5textwidgets-dev
Description-md5: e3c988d6868e7174d6c9e42dd2cf3bb1
Description-en: development files for ktextwidgets
 An assortment of text-related widgets.
 .
 Contains development files for ktextwidgets.

Package: libkf5textwidgets-doc
Description-md5: 339ae95e34975ed1cfef54ad90ced63d
Description-en: Advanced text editing widgets (documentation)
 An assortment of text-related widgets
 .
 This package contains the qch documentation files.

Package: libkf5textwidgets5
Description-md5: fb00393ff7c588cf2f7325310b0f3aea
Description-en: Advanced text editing widgets.
 An assortment of text-related widgets

Package: libkf5threadweaver-dev
Description-md5: 69b77987a208fe929a19330620550661
Description-en: ThreadWeaver library to help multithreaded programming in Qt
 ThreadWeaver is a helper for multithreaded programming. It uses a job-based
 interface to queue tasks and execute them in an efficient way.  You simply
 divide the workload into jobs, state the dependencies between the jobs and
 ThreadWeaver will work out the most efficient way of dividing the work between
 threads within a set of resource limits.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5threadweaver-doc
Description-md5: 9698415ca122adefbe2d535c36859387
Description-en: ThreadWeaver library to help multithreaded programming in Qt
 ThreadWeaver is a helper for multithreaded programming. It uses a job-based
 interface to queue tasks and execute them in an efficient way.  You simply
 divide the workload into jobs, state the dependencies between the jobs and
 ThreadWeaver will work out the most efficient way of dividing the work between
 threads within a set of resource limits.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5threadweaver5
Description-md5: def0469e6be949a7ce8e281b377e2ae6
Description-en: ThreadWeaver library to help multithreaded programming in Qt
 ThreadWeaver is a helper for multithreaded programming. It uses a job-based
 interface to queue tasks and execute them in an efficient way.  You simply
 divide the workload into jobs, state the dependencies between the jobs and
 ThreadWeaver will work out the most efficient way of dividing the work between
 threads within a set of resource limits.
 .
 This package is part of KDE Frameworks 5.

Package: libkf5tnef-data
Description-md5: 4e2c57199c1c73fedeea1f248e032fde
Description-en: library for handling TNEF data - data files
 Ktnef is a library for handling data in the TNEF format (Transport Neutral
 Encapsulation Format, a proprietary format of e-mail attachment used by
 Microsoft Outlook and Microsoft Exchange Server). The API permits access
 to the actual attachments, the message properties (TNEF/MAPI), and allows
 one to view/extract message formatted text in Rich Text Format.

Package: libkf5tnef-dev
Description-md5: a95e1bed2254e08b66ef598466ac8c87
Description-en: library for handling TNEF data - development files
 Ktnef is a library for handling data in the TNEF format (Transport Neutral
 Encapsulation Format, a proprietary format of e-mail attachment used by
 Microsoft Outlook and Microsoft Exchange Server). The API permits access
 to the actual attachments, the message properties (TNEF/MAPI), and allows
 one to view/extract message formatted text in Rich Text Format.
 .
 This package contains the development files.

Package: libkf5tnef5
Description-md5: f3f7a69b6fda83c2826678706694ca3e
Description-en: library for handling TNEF data
 Ktnef is a library for handling data in the TNEF format (Transport Neutral
 Encapsulation Format, a proprietary format of e-mail attachment used by
 Microsoft Outlook and Microsoft Exchange Server). The API permits access
 to the actual attachments, the message properties (TNEF/MAPI), and allows
 one to view/extract message formatted text in Rich Text Format.

Package: libkf5torrent6
Description-md5: a7d5177cf356a95b1fb5035409a1f1df
Description-en: KTorrent library for C++ / Qt 5 / KDE Frameworks
 The KTorrent library is a C++ / Qt 5 / KDE Frameworks based implementation of
 the BitTorrent protocol (mostly client side).
 .
 The library supports connectivity to HTTP and UDP trackers, mainline DHT and
 the new generation Micro Transport Protocol (uTP). In addition, it provides
 many powerful BitTorrent network features including but not limited to torrent
 downloading and seeding, torrent creation and downloaded data verification,
 magnet links, advanced peer management, IP blocking lists.

Package: libkf5unitconversion-data
Description-md5: 4341f45467ec4ba2be7510e9b3b9b9b6
Description-en: Support for unit conversion.
 Library to help applications in doing conversions of
 values in different measure units.
 .
 This package contains the translations.

Package: libkf5unitconversion-dev
Description-md5: 7c9a9a5f505cb92d2902b53d4588f497
Description-en: development files for kunitconversion
 Library to help applications in doing conversions of
 values in different measure units.
 .
 Contains development files for kunitconversion.

Package: libkf5unitconversion-doc
Description-md5: 5933f95ca3d20f05b6cbf12280ff012a
Description-en: Support for unit conversion (documentation)
 Library to help applications in doing conversions of
 values in different measure units.
 .
 This package contains the qch documentation files.

Package: libkf5unitconversion5
Description-md5: 2a44e6d0e83eaecb109df8c8612e29f3
Description-en: Support for unit conversion.
 Library to help applications in doing conversions of
 values in different measure units.

Package: libkf5wallet-bin
Description-md5: 1b1f6356db34df29fb9474996536b160
Description-en: Secure and unified container for user passwords.
 Runtime for interface to KWallet, the safe desktop-wide storage
 for passwords on KDE workspaces.
 .
 Contains the runtime files for kwallet-framework

Package: libkf5wallet-data
Description-md5: d55753f3506a596caff0e6a02514cb94
Description-en: Secure and unified container for user passwords.
 Interface to KWallet, the safe desktop-wide storage
 for passwords on KDE workspaces.
 .
 Contains the translation files for kwallet-framework

Package: libkf5wallet-dev
Description-md5: f14fa59dc5cd9a4d252ea19b006e0a24
Description-en: development files for kwallet-framework
 Interface to KWallet, the safe desktop-wide storage
 for passwords on KDE workspaces.
 .
 Contains development files for kwallet-framework.

Package: libkf5wallet-doc
Description-md5: cabf293d3eb79cdf96ca51419d2e1e3b
Description-en: Secure and unified container for user passwords.
 Interface to KWallet, the safe desktop-wide storage
 for passwords on KDE workspaces.
 .
 This package contains the qch documentation files.

Package: libkf5wallet5
Description-md5: 4b8a59e49c160aad863444c9dcb72b93
Description-en: Secure and unified container for user passwords.
 Interface to KWallet, the safe desktop-wide storage
 for passwords on KDE workspaces.

Package: libkf5wayland-dev
Description-md5: 5403bb8fd5aaf91cd4ffdf3a0b63220e
Description-en: development files for kwayland
 KWayland provides a Qt-style Client and Server library wrapper for
 the Wayland libraries.
 .
 Contains development files for kwayland.

Package: libkf5wayland-doc
Description-md5: 8581a01fbbd2adc23a812ab30f492918
Description-en: Qt library wrapper for Wayland libraries (documentation)
 KWayland provides a Qt-style Client and Server library wrapper for
 the Wayland libraries.
 .
 This package contains the qch documentation files.

Package: libkf5waylandclient5
Description-md5: bee918373877d21733cbf5894eb67d58
Description-en: Qt library wrapper for Wayland libraries
 KWayland provides a Qt-style Client library wrapper for
 the Wayland libraries.

Package: libkf5waylandserver5
Description-md5: 1631f91b2439e6f5f17f90760f7f5105
Description-en: Qt library wrapper for Wayland libraries
 KWayland provides a Qt-style Server library wrapper for
 the Wayland libraries.

Package: libkf5webengineviewer-dev
Description-md5: 7cc7fc0645b0fea18e83b51f1ba41340
Description-en: KDE's Qt Web Engine Viewer devel files
 This library implements view on Qt Web Engine used by KDE PIM.
 .
 This package is part of the KDE PIM module.

Package: libkf5webengineviewer5abi3
Description-md5: 789a4260a037986d4c43799980a1b867
Description-en: WebEngineViewer library
 This library implements a WebEngineViewer.
 .
 This package is part of the KDE PIM module.

Package: libkf5webkit-dev
Description-md5: 27ece3957de0d94c218c12586a8b0192
Description-en: development files for kdewebkit
 The kdewebkit library sits on top of QtWebKit,
 providing KDE integration for icons, shortcuts,
 network operation (KIO), cookie management using
 (KCookieJar) and component embedding (KParts).
 .
 Contains development files for kdewebkit.

Package: libkf5webkit5
Description-md5: ae361f75e802e9ce4e9fbc66176ed8df
Description-en: KDE Integration for QtWebKit.
 The kdewebkit library sits on top of QtWebKit,
 providing KDE integration for icons, shortcuts,
 network operation (KIO), cookie management using
 (KCookieJar) and component embedding (KParts).

Package: libkf5widgetsaddons-data
Description-md5: 28c2ae031328665394645762aedc6361
Description-en: add-on widgets and classes for applications that use the Qt Widgets module
 Provided are action classes that can be added to toolbars or menus,
 a wide range of widgets for selecting characters, fonts, colors,
 actions, dates and times, or MIME types, as well as platform-aware
 dialogs for configuration pages, message boxes, and password requests.
 .
 This package contains the translations.

Package: libkf5widgetsaddons-dev
Description-md5: 40056af8684dced3f638fa985f66e5c1
Description-en: development files for kwidgetsaddons
 Provided are action classes that can be added to toolbars or menus,
 a wide range of widgets for selecting characters, fonts, colors,
 actions, dates and times, or MIME types, as well as platform-aware
 dialogs for configuration pages, message boxes, and password requests.
 .
 Contains development files for kwidgetsaddons.

Package: libkf5widgetsaddons-doc
Description-md5: 5daa50e2ef4374f663eb5b7fc61944fb
Description-en: add-on widgets and classes for applications that use the Qt Widgets module (documentation)
 Provided are action classes that can be added to toolbars or menus,
 a wide range of widgets for selecting characters, fonts, colors,
 actions, dates and times, or MIME types, as well as platform-aware
 dialogs for configuration pages, message boxes, and password requests.
 .
 This package contains the qch documentation files.

Package: libkf5widgetsaddons5
Description-md5: 03e79a13d2e282cde275f46a8b798891
Description-en: add-on widgets and classes for applications that use the Qt Widgets module
 Provided are action classes that can be added to toolbars or menus,
 a wide range of widgets for selecting characters, fonts, colors,
 actions, dates and times, or MIME types, as well as platform-aware
 dialogs for configuration pages, message boxes, and password requests.

Package: libkf5windowsystem-data
Description-md5: 6689553eb9d6b86487ff0781599ecf7f
Description-en: Convenience access to certain properties and features of the window manager
 The class KWindowSystem provides information about the state of the
 window manager and allows asking the window manager to change them
 using a more high-level interface than the NETWinInfo/NETRootInfo
 low level classes.
 .
 This package contains the translations.

Package: libkf5windowsystem-dev
Description-md5: c6e5c861165c0081e498eef7a018a2e7
Description-en: development files for kwindowsystem
 The class KWindowSystem provides information about the state of the
 window manager and allows asking the window manager to change them
 using a more high-level interface than the NETWinInfo/NETRootInfo
 lowlevel classes.
 .
 Contains development files for kwindowsystem.

Package: libkf5windowsystem-doc
Description-md5: 82c73166a6143fc544a2284aeb918d4e
Description-en: Convenience access to certain properties and features of the window manager
 The class KWindowSystem provides information about the state of the
 window manager and allows asking the window manager to change them
 using a more high-level interface than the NETWinInfo/NETRootInfo
 low level classes.
 .
 This package contains the qch documentation files.

Package: libkf5windowsystem5
Description-md5: 81be536f2bbfe5be56f3edb1b85fd41a
Description-en: Convenience access to certain properties and features of the window manager
 The class KWindowSystem provides information about the state of the
 window manager and allows asking the window manager to change them
 using a more high-level interface than the NETWinInfo/NETRootInfo
 low level classes.

Package: libkf5xmlgui-bin
Description-md5: e3c98746a983b523c22e4615e7ee9e64
Description-en: User configurable main windows.
 framework for designing the user interface
 of an application using XML, using the idea
 of actions.
 .
 This package contains the runtime files.

Package: libkf5xmlgui-data
Description-md5: a417ed819fd0db2c25d7a63fa58bae62
Description-en: User configurable main windows.
 framework for designing the user interface
 of an application using XML, using the idea
 of actions.
 .
 This package contains the data files.

Package: libkf5xmlgui-dev
Description-md5: 42e64d583d2b1dc4cc368b83ff56086c
Description-en: User configurable main windows.
 framework for designing the user interface
 of an application using XML, using the idea
 of actions.
 .
 Contains development files for kxmlgui.

Package: libkf5xmlgui-doc
Description-md5: eef6856bfb51ab08183a02a4127ab099
Description-en: User configurable main windows (documentation)
 framework for designing the user interface
 of an application using XML, using the idea
 of actions.
 .
 This package contains the qch documentation files.

Package: libkf5xmlgui5
Description-md5: 693fc3c34b3a64ddefb47f07b5d7b364
Description-en: User configurable main windows.
 framework for designing the user interface
 of an application using XML, using the idea
 of actions.

Package: libkf5xmlrpcclient-data
Description-md5: bb46e035f85f4363c3ae24f28a0d5419
Description-en: data files for kxmlrpcclient
 XML RPC client library.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the data files.

Package: libkf5xmlrpcclient-dev
Description-md5: 1ebe29dd9650c80ce8d11480bb93235a
Description-en: development files for kxmlrpcclient
 XML RPC client library.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the development files.

Package: libkf5xmlrpcclient-doc
Description-md5: 71ef4b7ee645e8588857256d3cc4663a
Description-en: framework providing an xml rpc client library (documentation)
 XML RPC client library.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the qch documentation files.

Package: libkf5xmlrpcclient5
Description-md5: 94866b8423925a41adb301c9d7be8d3f
Description-en: framework providing an xml rpc client library
 XML RPC client library.
 .
 This package is part of KDE Frameworks 5.

Package: libkfontinst5
Description-md5: 66b4ec4c7e71a8a971b4c2d1d8842f83
Description-en: Tools and widgets for the desktop library
 Plasma Desktop offers a beautiful looking desktop
 that takes complete advantage of modern computing technology.
 Through the use of visual effects and scalable graphics,
 the desktop experience is not only smooth but also pleasant
 to the eye. The looks of Plasma Desktop not only provide beauty,
 they are also used to support and improve your computer
 activities effectively, without being distracting.
 .
 This package is part of the KDE Plasma.

Package: libkfontinstui5
Description-md5: 66b4ec4c7e71a8a971b4c2d1d8842f83
Description-en: Tools and widgets for the desktop library
 Plasma Desktop offers a beautiful looking desktop
 that takes complete advantage of modern computing technology.
 Through the use of visual effects and scalable graphics,
 the desktop experience is not only smooth but also pleasant
 to the eye. The looks of Plasma Desktop not only provide beauty,
 they are also used to support and improve your computer
 activities effectively, without being distracting.
 .
 This package is part of the KDE Plasma.

Package: libkgantt-dev
Description-md5: 6f1182399691d8f41c32d779deb27dfc
Description-en: library for creating Gantt diagrams (development files)
 Gantt charts are a bar charts that illustrate project schedules. KD Gantt
 provides a module for implementing ODF Gantt charts in Qt-based applications.
 .
 This package contains the development files.

Package: libkgantt2
Description-md5: 5672966bdfb082a5687c5da49bb612f3
Description-en: library for creating Gantt diagrams (shared library)
 Gantt charts are a bar charts that illustrate project schedules. KD Gantt
 provides a module for implementing ODF Gantt charts in Qt-based applications.
 .
 This package contains the shared library.

Package: libkgantt2-l10n
Description-md5: 3f1263239667ccef0664ce41c872a7db
Description-en: library for creating Gantt diagrams (translations)
 Gantt charts are a bar charts that illustrate project schedules. KD Gantt
 provides a module for implementing ODF Gantt charts in Qt-based applications.
 .
 This package contains translations.

Package: libkibi-dbg
Description-md5: 95aa0c005e62f94f6d93fad20c98c294
Description-en: library for byte prefixes (debugging symbols)
 This library is designed for formatting sizes in bytes for display. The user
 can configure a preferred prefix style.
 .
 Three different types of byte prefixes can be selected:
  * kB, MB, GB with base 1000 (base10)
  * KiB, MiB, GiB with base 1024 (base2)
  * KB, MB, GB with base 1024 (historic)
 .
 This package contains the debugging symbols.

Package: libkibi-dev
Description-md5: bb199bff69d79efc7f792e25609bf13b
Description-en: library for byte prefixes (development files)
 This library is designed for formatting sizes in bytes for display. The user
 can configure a preferred prefix style.
 .
 Three different types of byte prefixes can be selected:
  * kB, MB, GB with base 1000 (base10)
  * KiB, MiB, GiB with base 1024 (base2)
  * KB, MB, GB with base 1024 (historic)
 .
 This package contains the development files.

Package: libkibi0
Description-md5: 9b8e2823cfdf4b88c47b3b7dba763521
Description-en: library for byte prefixes
 This library is designed for formatting sizes in bytes for display. The user
 can configure a preferred prefix style.
 .
 Three different types of byte prefixes can be selected:
  * kB, MB, GB with base 1000 (base10)
  * KiB, MiB, GiB with base 1024 (base2)
  * KB, MB, GB with base 1024 (historic)

Package: libkickpass-dev
Description-md5: 1f46430054c8c3b6ee89ef8273af5d23
Description-en: library used by kickpass (development files)
 Kickpass is built around this shared library. libkickpass leverage libsodium
 to create safes. Safes are created using authenticated encryption with
 associated data. As of now libkickpass use chacha20 along with poly1305 to
 encrypt and authenticate the safe.
 .
 This package provides the development files.

Package: libkickpass0
Description-md5: 02ba86ba4e0d1083544ffb8e43a713ab
Description-en: library used by kickpass
 Kickpass is built around this shared library. libkickpass leverage libsodium
 to create safes. Safes are created using authenticated encryption with
 associated data. As of now libkickpass use chacha20 along with poly1305 to
 encrypt and authenticate the safe.

Package: libkido-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-gui-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-gui-osg-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-gui-osg0
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-gui0
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-optimizer-ipopt-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-optimizer-ipopt0
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-optimizer-nlopt-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-optimizer-nlopt0
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-planning-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-planning0
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-utils-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido-utils0
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkido0
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libkim-api-dev
Description-md5: 48c2334639861c5e8014f2577a8f8a02
Description-en: Development files for KIM-API
 The KIM API is an Application Programming Interface for atomistic simulations.
 The API provides a standard for exchanging information between atomistic
 simulation codes (molecular dynamics, molecular statics, lattice dynamics,
 Monte Carlo, etc.) and interatomic models (potentials or force fields).
 It also includes a set of library routines for using the API with
 bindings for:
 .
 FORTRAN 77, Fortran 90/95, Fortran 2003
 C, C++
 .
 Atomistic simulation codes that support the KIM API work seamlessly with the
 KIM-compliant interatomic models (KIM Models) distributed on this website.
 The interface is computationally efficient and often requires relatively minor
 changes to existing codes.
 .
 This package contains the development files (headers and documentation) for
 KIM-API.

Package: libkim-api-doc
Description-md5: 9106b2a58a0df9f226259bde81e669ef
Description-en: Documentation and examples for KIM-API
 The KIM API is an Application Programming Interface for atomistic simulations.
 The API provides a standard for exchanging information between atomistic
 simulation codes (molecular dynamics, molecular statics, lattice dynamics,
 Monte Carlo, etc.) and interatomic models (potentials or force fields).
 It also includes a set of library routines for using the API with
 bindings for:
 .
 FORTRAN 77, Fortran 90/95, Fortran 2003
 C, C++
 .
 Atomistic simulation codes that support the KIM API work seamlessly with the
 KIM-compliant interatomic models (KIM Models) distributed on this website.
 The interface is computationally efficient and often requires relatively minor
 changes to existing codes.
 .
 This package contains documentation and examples

Package: libkim-api2
Description-md5: 3f245a2f75cb7a0770a1922f2425f20e
Description-en: Shared library for KIM-API
 The KIM API is an Application Programming Interface for atomistic simulations.
 The API provides a standard for exchanging information between atomistic
 simulation codes (molecular dynamics, molecular statics, lattice dynamics,
 Monte Carlo, etc.) and interatomic models (potentials or force fields).
 It also includes a set of library routines for using the API with
 bindings for:
 .
 FORTRAN 77, Fortran 90/95, Fortran 2003
 C, C++
 .
 Atomistic simulation codes that support the KIM API work seamlessly with the
 KIM-compliant interatomic models (KIM Models) distributed on this website.
 The interface is computationally efficient and often requires relatively minor
 changes to existing codes.
 .
 This package contains the shared library for KIM-API.

Package: libkinosearch1-perl
Description-md5: ae4294165db9df5b01cb74d99f668643
Description-en: Perl library providing search engine features
 KinoSearch is a loose port of the Java search engine library, Apache Lucene.
 It is written in Perl and C, designed primarily for providing website search
 functionality, but it can be put to many different uses.
 .
 It has the following features:
 .
  * Extremely fast and scalable: KinoSearch can handle millions of documents
  * Incremental indexing (addition/deletion of documents to/from an existing
    index)
  * Full support for 12 Indo-European languages
  * Support for boolean operators (AND, OR, as well as AND NOT), parenthetical
    groupings, and prepended +plus and -minus
  * Algorithmic selection of relevant excerpts and highlighting of search
    terms within excerpts
  * Highly customizable query and indexing APIs
  * Phrase matching
  * Stemming
  * Stoplists
 .
 KinoSearch1 is derived from KinoSearch version 0.165 and is considered
 the stable upstream branch.

Package: libkiokudb-backend-dbi-perl
Description-md5: 7e76028736a3a97b5c58bcba59c72115
Description-en: DBI backend for KiokuDB
 KiokuDB::Backend::DBI is a backend for KiokuDB onto DBI-accessible databases
 such as PostgreSQL, MySQL and SQLite.
 .
 KiokuDB is a Moose-based object-oriented persistence frontend for a number
 of storage backends.

Package: libkiokudb-perl
Description-md5: 13cd4befb40b44667eff954aad6e9f05
Description-en: Perl module for object persistence
 KiokuDB is a Moose based frontend to various data stores, somewhere in
 between Tangram and Pixie.
 .
 Its purpose is to provide persistence for "regular" objects with as little
 effort as possible, without sacrificing control over how persistence is
 actually done, especially for harder to serialize objects.
 .
 KiokuDB is also non-invasive: it does not use ties, AUTOLOAD, proxy objects,
 sv_magic or any other type of trickery.
 .
 Many features important for proper Perl space semantics are supported,
 including shared data, circular structures, weak references, tied structures,
 etc.

Package: libkiokux-model-perl
Description-md5: ee24c46f2a5245e0be1040ee51d03538
Description-en: simple application specific wrapper for KiokuDB
 KiokuX::Model is a base class making it easy to create KiokuDB database
 instances in your application. It provides a standard way to
 instantiate and use a KiokuDB object in your apps.
 .
 As your app grows you can subclass it and provide additional
 convenience methods, without changing the structure of the code, but
 simply swapping your subclass for KiokuX::Model in e.g.
 Catalyst::Model::KiokuDB or whatever you use to glue it in.

Package: libkitchensink-clojure
Description-md5: 56dd0a7f1c5524076d3c3caaf807d804
Description-en: utility library for Puppet Labs clojure projects
 kitchensink is a library of utility functions that are common to several
 Puppet Labs Clojure projects.

Package: libkiten5
Description-md5: 3c47b953709341dadf2cf1c381ce9478
Description-en: libraries for the Kiten Japanese reference and study aid
 This package contains shared libraries, language data, and resources used by
 the Kiten Japanese reference and study aid.  Portions of this library,
 such as dictionary and character lookup widgets, may be useful for other
 applications.
 .
 This package is part of the KDE education module.

Package: libkiwix-dev
Description-md5: 4ddf386634523d3763c335fa6c19378a
Description-en: library of common code for Kiwix (development)
 Kiwix is an offline Wikipedia reader. libkiwix provides the
 software core for Kiwix, and contains the code shared by all
 Kiwix ports (Windows, Linux, OSX, Android, etc.).
 .
 This package contains development files.

Package: libkiwix3
Description-md5: 7319cebf5cddfbdd7ae7c2c4e5180e1c
Description-en: library of common code for Kiwix
 Kiwix is an offline Wikipedia reader. libkiwix provides the
 software core for Kiwix, and contains the code shared by all
 Kiwix ports (Windows, Linux, OSX, Android, etc.).

Package: libkkc-common
Description-md5: 4960bdc507132cf160dfadd49b6deadd
Description-en: Japanese Kana Kanji input library - common data
 libkkc provides a converter from Japanese Kana-string to
 Kana-Kanji-mixed-string. It was named after kkc.el in GNU Emacs, a simple Kana
 Kanji converter, while libkkc tries to convert sentences in a bit more complex
 way using N-gram language models.
 .
 This package provides common data such as typing rules for libkkc.

Package: libkkc-data
Description-md5: ef60031a646deb691d584e6e5449557b
Description-en: language model data for libkkc
 libkkc provides a converter from Japanese Kana-string to
 Kana-Kanji-mixed-string. It was named after kkc.el in GNU Emacs, a simple Kana
 Kanji converter, while libkkc tries to convert sentences in a bit more complex
 way using N-gram language models.
 .
 This package provides language model data for libkkc.

Package: libkkc-dev
Description-md5: d2d659aaf6d1da2e8ae140dfe3c03ca6
Description-en: Japanese Kana Kanji input library - development files
 libkkc provides a converter from Japanese Kana-string to
 Kana-Kanji-mixed-string. It was named after kkc.el in GNU Emacs, a simple Kana
 Kanji converter, while libkkc tries to convert sentences in a bit more complex
 way using N-gram language models.
 .
 This package provides the files necessary for compiling programs that
 depend on libkkc.

Package: libkkc-utils
Description-md5: cb4744bce317f475d1ec26b64d878c6d
Description-en: Japanese Kana Kanji input library - testing utility
 libkkc provides a converter from Japanese Kana-string to
 Kana-Kanji-mixed-string. It was named after kkc.el in GNU Emacs, a simple Kana
 Kanji converter, while libkkc tries to convert sentences in a bit more complex
 way using N-gram language models.
 .
 This package provides the testing utility for libkkc.

Package: libkkc2
Description-md5: d44595e967708a22e8f314d3df12ec95
Description-en: Japanese Kana Kanji input library
 libkkc provides a converter from Japanese Kana-string to
 Kana-Kanji-mixed-string. It was named after kkc.el in GNU Emacs, a simple Kana
 Kanji converter, while libkkc tries to convert sentences in a bit more complex
 way using N-gram language models.
 .
 This package provides the shared library for libkkc.

Package: libklatexformula4
Description-md5: 0105f86fd2fbdb93764c9704bb7c305d
Description-en: Runtime libraries for klatexformula
 With Klatexformula, just enter a formula and click "Evaluate" to get an image
 of a LaTeX formula. You can drag&drop, copy or save the resulting image
 directly from the GUI, which makes klatexformula a very convenient tool for
 presentations. Klatexformula also provides also tools for equation prototyping
 in LaTeX and a user-library of used equations.
 .
 This package provides the libraries klatexformula is based on.

Package: libklatexformula4-dev
Description-md5: 1454b94ac37755b7416950259522bf6a
Description-en: Runtime libraries for klatexformula, development files
 With Klatexformula, just enter a formula and click "Evaluate" to get an image
 of a LaTeX formula. You can drag&drop, copy or save the resulting image
 directly from the GUI, which makes klatexformula a very convenient tool for
 presentations. Klatexformula also provides also tools for equation prototyping
 in LaTeX and a user-library of used equations.
 .
 This package contains the header files for klatexformula libraries, and a Qt
 Designer plugin to help developers use the provided user interface elements.

Package: libklatexformula4-doc
Description-md5: e19d48d4a11e10317d0e0410bc76e16e
Description-en: Runtime libraries for klatexformula, API documentation
 With Klatexformula, just enter a formula and click "Evaluate" to get an image
 of a LaTeX formula. You can drag&drop, copy or save the resulting image
 directly from the GUI, which makes klatexformula a very convenient tool for
 presentations. Klatexformula also provides also tools for equation prototyping
 in LaTeX and a user-library of used equations.
 .
 This package contains API documentation for developers who wish to use the
 klatexformula libraries klftools and klfbackend.

Package: libkmc-dev
Description-md5: 8cb3bb2f4a70923d92beb109aae9e3a4
Description-en: library to access KMC k-mer count files
 The kmc software is designed for counting k-mers (sequences of
 consecutive k symbols) in a set of reads. K-mer counting is
 important for many bioinformatics applications, e.g. developing de Bruijn
 graph assemblers.
 .
 This package contains the C++ library headers for accessing kmc's output
 file format.

Package: libkmfl-dev
Description-md5: 3fa22f3c2e3d53ebc3828f0f5707975b
Description-en: This package provides Keyman(C) services to Linux - development
 The kmfl library is a multilingual text processing library that implements
 the Keyman(C) text processing language.
 .
 This package contains the header and development files needed to build
 programs and packages using libkmfl.

Package: libkmfl0
Description-md5: 078261450d4356e8349ac94d71c75991
Description-en: This package provides Keyman(C) services to Linux - runtime
 The kmfl library is a multilingual text processing library that implements
 the Keyman(C) text processing language.
 .
 This package contains the runtime part of libkmfl.

Package: libkmflcomp-dev
Description-md5: 7f35f8f7fd06e20d424cfe058816a5b9
Description-en: Development files for libkmflcomp
 The kmfl library is a multilingual text processing library that implements
 the Keyman(C) text processing language.
 .
 Development libraries and headers to use libkmflcomp in an application

Package: libkmflcomp0
Description-md5: d3f5af88e066a41c170d578807e5c816
Description-en: KMFL (Keyboard Mapping for Linux) compiler library
 The kmfl library is a multilingual text processing library that implements
 the Keyman(C) text processing language.
 .
 Library to compile Keyman layout files to a binary format for use by the
 KMFL keystroke interpreter.

Package: libkml-dev
Description-md5: 41acc8f4c44b381c1fd751480d36db88
Description-en: Library to manipulate KML 2.2 OGC standard files - development files
 This is a library for use with applications that want to parse,
 generate and operate on KML, a geo-data XML variant. It is an
 implementation of the OGC KML 2.2 standard. It is written in C++ and
 bindings are available via SWIG to Java and Python.
 .
 This package contains files required to build C/C++ programs which use
 the KML library.

Package: libkmlbase1
Description-md5: 6d1710301982efb01273995f6e2013f6
Description-en: Library to manipulate KML 2.2 OGC standard files - libkmlbase
 This is a library for use with applications that want to parse,
 generate and operate on KML, a geo-data XML variant. It is an
 implementation of the OGC KML 2.2 standard. It is written in C++ and
 bindings are available via SWIG to Java and Python.
 .
 This package contains the libkmlbase shared library.

Package: libkmlconvenience1
Description-md5: d3d167807c7ee1180e4d49a2b991273b
Description-en: Library to manipulate KML 2.2 OGC standard files - libkmlconvenience
 This is a library for use with applications that want to parse,
 generate and operate on KML, a geo-data XML variant. It is an
 implementation of the OGC KML 2.2 standard. It is written in C++ and
 bindings are available via SWIG to Java and Python.
 .
 This package contains the libkmlconvenience shared library.

Package: libkmldom1
Description-md5: aea3b3bb3ead8cf608357b3e58f61770
Description-en: Library to manipulate KML 2.2 OGC standard files - libkmldom
 This is a library for use with applications that want to parse,
 generate and operate on KML, a geo-data XML variant. It is an
 implementation of the OGC KML 2.2 standard. It is written in C++ and
 bindings are available via SWIG to Java and Python.
 .
 This package contains the libkmldom shared library.

Package: libkmlengine1
Description-md5: dbd087ef133e43b8b4f5cf6ea83c5b61
Description-en: Library to manipulate KML 2.2 OGC standard files - libkmlengine
 This is a library for use with applications that want to parse,
 generate and operate on KML, a geo-data XML variant. It is an
 implementation of the OGC KML 2.2 standard. It is written in C++ and
 bindings are available via SWIG to Java and Python.
 .
 This package contains the libkmlengine shared library.

Package: libkmlframework-java
Description-md5: 69076892bc95f1f4bbf00b577f0489d5
Description-en: library/framework for generating Google Earth KML
 The KML Framework is a library/framework for generating Google Earth
 KML (Keyhole Markup Language) documents. The framework is based on the
 work done by Eivind Bøhn as a part of his Master Thesis.

Package: libkmlregionator1
Description-md5: 0b9726865ba2233b8dc674abfea69c00
Description-en: Library to manipulate KML 2.2 OGC standard files - libkmlregionator
 This is a library for use with applications that want to parse,
 generate and operate on KML, a geo-data XML variant. It is an
 implementation of the OGC KML 2.2 standard. It is written in C++ and
 bindings are available via SWIG to Java and Python.
 .
 This package contains the libkmlregionator shared library.

Package: libkmlxsd1
Description-md5: f75753e83acec3d2fe2dbaedd2626077
Description-en: Library to manipulate KML 2.2 OGC standard files - libkmlxsd
 This is a library for use with applications that want to parse,
 generate and operate on KML, a geo-data XML variant. It is an
 implementation of the OGC KML 2.2 standard. It is written in C++ and
 bindings are available via SWIG to Java and Python.
 .
 This package contains the libkmlxsd shared library.

Package: libkmnkbp-dev
Description-md5: 6f79cc87f646fb6158e6a1deb72ee021
Description-en: Development files for Keyman keyboard processing library
 Originally created in 1993 to type Lao on Windows, Keyman is now a free and
 open source keyboarding platform which allows anyone to write a keyboard layout
 for their language. Keyman is available for many platforms, including Windows,
 macOS, iOS, Android, Linux and the web.
 .
 Keyboard layouts are defined with a clear and easy to understand keyboard
 grammar. Keyman's contextual input model means keyboard layouts can be
 intelligent and make it simple to type even the most complex languages.
 Keyboard layouts are distributed through an open catalog to all major desktop
 and mobile platforms.
 .
 The Keyman keyboardprocessor library processes input from input method engines
 and applies rules from compiled Keyman 11 or earlier kmx keyboard files.
 .
 This package contains development headers and libraries.

Package: libkmnkbp0-0
Description-md5: 427b13b762f7b6466d8e75efd2d15fc1
Description-en: Keyman keyboard processing library
 Originally created in 1993 to type Lao on Windows, Keyman is now a free and
 open source keyboarding platform which allows anyone to write a keyboard layout
 for their language. Keyman is available for many platforms, including Windows,
 macOS, iOS, Android, Linux and the web.
 .
 Keyboard layouts are defined with a clear and easy to understand keyboard
 grammar. Keyman's contextual input model means keyboard layouts can be
 intelligent and make it simple to type even the most complex languages.
 Keyboard layouts are distributed through an open catalog to all major desktop
 and mobile platforms.
 .
 The Keyman keyboardprocessor library processes input from input method engines
 and applies rules from compiled Keyman 11 or earlier kmx keyboard files.

Package: libknopflerfish-osgi-framework-java
Description-md5: 49322ad2b65c4748382466578a9ad814
Description-en: Java framework implementing the OSGi R6 version
 OSGi, Open Service Gateway Initiative, specifies an industry standard Java
 application platform, allowing multiple applications, to securely run in a
 single JVM. These programs can share resources as data, functionality and
 threads.
 .
 Applications areas for OSGi ranges from use as a service platform on embedded
 devices, to plugin mechanisms for larger programs. The initial goal of OSGi
 was the embedded market, but other uses are certainly possible.
 .
 This package specifically provides the framework JAR file.

Package: libknot-dev
Description-md5: 1afc6ee9c32004c0d804bf2ab9734c74
Description-en: Knot DNS shared library development files
 Knot DNS is a fast, authoritative only, high performance, feature
 full and open source name server.
 .
 Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ
 registry and hence is well suited to run anything from the root
 zone, the top-level domain, to many smaller standard domain names.
 .
 This package provides development files for internal common shared
 libraries.

Package: libknot8
Description-md5: 45db12c4c12bbe7e52ef1193a60bd1b2
Description-en: Authoritative domain name server (shared library)
 Knot DNS is a fast, authoritative only, high performance, feature
 full and open source name server.
 .
 Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ
 registry and hence is well suited to run anything from the root
 zone, the top-level domain, to many smaller standard domain names.
 .
 This package provides libknot shared library used by Knot DNS and
 Knot Resolver.

Package: libkodiplatform-dev
Description-md5: a965c616d48c21b443dd4198879aa02c
Description-en: Kodi platform support library -- development files
 Kodi platform support library containing utility functions for
 accessing XML files.
 .
 These are the development files for libkodiplatform, needed only if you
 wish to write software which uses it.

Package: libkodiplatform17
Description-md5: 09df3b9661c9f580b6dfb545884565e1
Description-en: Kodi platform support library
 Kodi platform support library containing utility functions for
 accessing XML files.

Package: libkokyu-6.4.5
Description-md5: 06b7a697a8ae432b321f9464732943cc
Description-en: ACE scheduling and dispatching library
 Kokyu is a library designed to provide flexible scheduling and
 dispatching services.
 .
 Currently it provides real-time scheduling and dispatching services
 for TAO real-time CORBA Event Service.

Package: libkokyu-dev
Description-md5: a6b56a07bff2a96ae4d8f9d0ac80b0bd
Description-en: ACE scheduling and dispatching library - development files
 This package contains the header files and static library for the ACE
 scheduling and dispatching library.

Package: libkolabxml-dev
Description-md5: 9a7130a6d4160c839654528eb4e4caa9
Description-en: Development files for libkolabxml
 Libkolabxml is the reference implementation of the Kolab XML format.
 For more information see the libkolabxml package.
 .
 This package provides development files libkolabxml.

Package: libkolabxml1v5
Description-md5: 01546898c4418aefac0ceaf3d5324602
Description-en: Kolab XML format (shared library)
 Libkolabxml is the reference implementation of the Kolab XML format.
 .
 The Kolab 3.0 XML format is defined in
 <http://wiki.kolab.org/User:Mollekopf/Drafts/KEP:17>.
 .
 This package provides serialization/deserialization from/to  in-memory
 representations for all Kolab Objects, including input validation.

Package: libkomparediff-data
Description-md5: a2b2c5e6ea84aa1ab038916ef6461972
Description-en: library to compare files and strings
 This package contains a library used to compare files and strings, and is
 used in Kompare and KDevelop.
 .
 This package is part of the KDE Software Development Kit module.

Package: libkomparediff2-5
Description-md5: a2b2c5e6ea84aa1ab038916ef6461972
Description-en: library to compare files and strings
 This package contains a library used to compare files and strings, and is
 used in Kompare and KDevelop.
 .
 This package is part of the KDE Software Development Kit module.

Package: libkomparediff2-dev
Description-md5: 5923ec7db50bfd01c96c3d698d42f7e1
Description-en: library to compare files and strings - development files
 This package contains a library used to compare files and strings, and is
 used in Kompare and KDevelop.
 .
 This package contains development headers for the kompare library.
 .
 This package is part of the KDE Software Development Kit module.

Package: libkompareinterface-dev
Description-md5: 8e83747b3f06f74f37ed634f69d89f77
Description-en: file difference viewer - kpart interface library development files
 Kompare displays the differences between files.  It can compare the
 contents of files or directories, as well as create, display, and merge patch
 files.
 .
 This package is part of the KDE Software Development Kit module.

Package: libkompareinterface5
Description-md5: 5e71ecdc9aeda9f21e1c39bcbea651dc
Description-en: file difference viewer - kpart interface library
 Kompare displays the differences between files.  It can compare the
 contents of files or directories, as well as create, display, and merge patch
 files.
 .
 This package is part of the KDE Software Development Kit module.

Package: libkopenafs2
Description-md5: 0f99201d0fae91ddb99faa36485b802d
Description-en: AFS distributed file system runtime library (PAGs)
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides the shared library implementing an interface to
 manipulating AFS process authentication groups (PAGs).  It provides the
 a subset of the interface provided by the Heimdal libkafs library.

Package: libkopete-dev
Description-md5: e2878b0f85b4b3d64485b6a8a92de6e1
Description-en: development files for the Kopete instant messaging and chat application
 Kopete is an instant messaging and chat application with support for a wide
 variety of services, such as AIM, Yahoo, ICQ, MSN, and Jabber. Advanced
 features and additional protocols are available as plugins.
 .
 This package contains development files needed for building Kopete plugins.
 .
 This package is part of the KDE networking module.

Package: libkopete1
Description-md5: 3767122bf11e2b1b67397dba1809b6c9
Description-en: main Kopete library
 Kopete is an instant messaging and chat application with support for a wide
 variety of services, such as AIM, Yahoo, ICQ, MSN, and Jabber.  Advanced
 features and additional protocols are available as plugins.
 .
 This package contains main Kopete library which is used by Kopete and its
 plugins.
 .
 This package is part of the KDE networking module.

Package: libkpimgapi-data
Description-md5: 9a9fe56ee9d1ed10699969e2f79360b5
Description-en: Google API library for KDE -- data files
 LibKGAPI (previously called LibKGoogle) is a C++ library that implements APIs
 for various Google services.
 .
 This package contains data files.

Package: libkpimgapi-dev
Description-md5: c1caebd71da378d3fbe1ac3bb0d049e6
Description-en: Google API library for KDE -- development files
 LibKGAPI (previously called LibKGoogle) is a C++ library that implements APIs
 for various Google services.
 .
 This package contains development files for building software that uses
 the LibKGAPI library.

Package: libkpimgapiblogger5abi1
Description-md5: 0ab2dbb9a3cc27a9ee05df5b6a7fe199
Description-en: library to integrate with Google Blogger service API
 This package is part of libkgapi.

Package: libkpimgapicalendar5
Description-md5: 23bbb9c625c6e525a8331f1f2f99b239
Description-en: library to integrate with Google Calendar service API
 This package is part of libkgapi.

Package: libkpimgapicontacts5
Description-md5: fd5bbd260629f675e26dc533c088c07b
Description-en: library to integrate with Google Contacts service API
 This package is part of libkgapi.

Package: libkpimgapicore5abi1
Description-md5: 54f8a72da2e5a80b769787b65f0a59f6
Description-en: core library to integrate with Google service APIs
 This package is part of libkgapi.

Package: libkpimgapidrive5
Description-md5: ffecc2c04c645daab0ee28719380599f
Description-en: library to integrate with Google Drive service API
 This package is part of libkgapi.

Package: libkpimgapilatitude5
Description-md5: 9231c0952b775c1d09e0b8584f83add5
Description-en: library to integrate with Google Latitude service API
 This package is part of libkgapi.

Package: libkpimgapimaps5
Description-md5: 4963a89e07c8770c1f8286e7cdc48b5e
Description-en: library to integrate with Google Maps service API
 This package is part of libkgapi.

Package: libkpimgapitasks5
Description-md5: e4e30c4cba468f3f8dde6bc0666c3697
Description-en: library to integrate with Google Tasks service API
 This package is part of libkgapi.

Package: libkpimimportwizard-dev
Description-md5: f1ee8a7f5813d4d9e646353fe8d56472
Description-en: PIM data import wizard - devel files
 akonadi-import-wizard is an assistant to import PIM data from other
 applications into Akonadi for use in KDE PIM applications
 .
 This package contains the import wizard developmentt files.

Package: libkpimimportwizard5
Description-md5: 1ac1205de0e3cf6e3198da0ba75dbde3
Description-en: PIM data import wizard - library
 akonadi-import-wizard is an assistant to import PIM data from other
 applications into Akonadi for use in KDE PIM applications
 .
 This package contains the import wizard shared libraries.

Package: libkpimitinerary-data
Description-md5: bed7db327055fd249cc28e8b91fd73de
Description-en: library for Travel Reservation information - arch independent data
 This library provides an API for Data Model and Extraction System for
 Travel Reservation information.
 .
 This package contains the arch independent data for KPimItinerary.

Package: libkpimitinerary-dev
Description-md5: 0ab6887b9204b218de8c4e0d7a22652a
Description-en: library for Travel Reservation information - development files
 This library provides an API for Data Model and Extraction System for
 Travel Reservation information.
 .
 This package contains the development files.

Package: libkpimitinerary5
Description-md5: a467a95d3b36d239a58d3500e77e13b2
Description-en: library for Travel Reservation information
 This library provides an API for Data Model and Extraction System for
 Travel Reservation information.

Package: libkpimkdav-data
Description-md5: 60fbca6518cd70f3c7a3603cade5a8f6
Description-en: DAV protocol implementation with KJobs - data files
 A DAV protocol implementation with KJobs.
 .
 This package is part of the KDE PIM module.

Package: libkpimkdav-dev
Description-md5: 6a0af4672b9b252573455212211400b4
Description-en: DAV protocol implementation with KJobs - developer files
 A DAV protocol implementation with KJobs.
 .
 This package is part of the KDE PIM module.

Package: libkpimkdav5abi2
Description-md5: 78a0e635375ef744f6b99b5c70366ee1
Description-en: DAV protocol implementation with KJobs
 A DAV protocol implementation with KJobs.
 .
 This package is part of the KDE PIM module.

Package: libkpimpkpass-dev
Description-md5: 416f5fe817f4d8876cf3b0debce596f6
Description-en: library for Apple Wallet Pass reader - development files
 This library provides an API for Apple Wallet Pass reader.
 .
 This package contains the development files.

Package: libkpimpkpass5
Description-md5: bf7b99e51c879704afa812aaddf81ea0
Description-en: library for Apple Wallet Pass reader
 This library provides an API for Apple Wallet Pass reader.

Package: libkpimsmtp-dev
Description-md5: 7fef7714067621530896c242058245cc
Description-en: library for handling SMTP data
 This library provides an API for handling SMTP services.
 SMTP (Simple Mail Transfer Protocol) is the most prevalent
 Internet standard protocols for e-mail transmission.
 .
 This package contains the development files.

Package: libkpimsmtp5abi1
Description-md5: 75704be94f818dcb73fdf7187d5f3528
Description-en: library for handling SMTP data
 This library provides an API for handling SMTP services.
 SMTP (Simple Mail Transfer Protocol) is the most prevalent
 Internet standard protocols for e-mail transmission.

Package: libkpmcore-dev
Description-md5: 7b3f5faffa8ed8cc5294d595e79a7b4b
Description-en: KDE Partition Manager Core development files
 KDE Parition Partition Manager Core (KPM core) allows
 software to re-use common partitioning GUI tasks.
 .
 It is used in the generic system installer called Calamares
 and may be used in more interfaces in the future. Right now it
 is unlikely to be useful installed on its own.
 .
 This package contains development files for kpmcore.

Package: libkpmcore9
Description-md5: 1ba993c4def4dc8c3b9800a9868e555e
Description-en: KDE Partition Manager Core
 KDE Parition Partition Manager Core (KPM core) allows
 software to re-use common partitioning GUI tasks.
 .
 It is used in the generic system installer called Calamares
 and may be used in more interfaces in the future. Right now it
 is unlikely to be useful installed on its own.

Package: libkproperty-data
Description-md5: eab3e08faef2f7750b59e6a06ee3694b
Description-en: data files for KProperty
 KProperty is a property editing framework with editor widget similar
 to what is known from Qt Designer.
 .
 This package contains the architecture independent data files for the
 KProperty libraries.
 .
 This package is part of the Calligra Suite.

Package: libkproperty3-dev
Description-md5: 68310bc5e4b2f27156b28b6c8752d321
Description-en: development files for KProperty
 KProperty is a property editing framework with editor widget similar
 to what is known from Qt Designer.
 .
 This package contains the development files for the KProperty libraries.
 .
 This package is part of the Calligra Suite.

Package: libkpropertycore3-4
Description-md5: 9bec2db03cc3ebaae9ef98c1e1087d93
Description-en: property editing framework -- core library
 KProperty is a property editing framework with editor widget similar
 to what is known from Qt Designer.
 .
 This package contains the shared core library.
 .
 This package is part of the Calligra Suite.

Package: libkpropertywidgets3-4
Description-md5: e1adbfbabbc0f43681d173ff3b9bee36
Description-en: property editing framework -- widgets library
 KProperty is a property editing framework with editor widget similar
 to what is known from Qt Designer.
 .
 This package contains the shared widgets library.
 .
 This package is part of the Calligra Suite.

Package: libkqueue-dev
Description-md5: 22dd8c1f8a06dab987da1af43099fc85
Description-en: Development files for libkqueue
 Contains the header files, manpages, and static libraries for use in
 developing applications that use the libkqueue library.
 .
 libkqueue is a portable userspace implementation of the kqueue(2)
 kernel event notification mechanism found in FreeBSD and other BSD-based
 operating systems. The library translates between the kevent structure
 and the native kernel facilities of the host machine.

Package: libkqueue0
Description-md5: 179a18ec0593fad35fb849e1fdfd854b
Description-en: cross-platform library for kernel event notification
 libkqueue is a portable userspace implementation of the kqueue(2)
 kernel event notification mechanism found in FreeBSD and other BSD-based
 operating systems. The library translates between the kevent structure
 and the native kernel facilities of the host machine.

Package: libkreport-data
Description-md5: 97035b4e02dda612f0722370d2876879
Description-en: data files for KReport
 The KReport framework implements reporting functionality for creation
 of reports in MS Access style. They are also similar to SAP Crystal Reports
 and FileMaker reports.
 .
 This package contains the architecture independent data files for the
 KReport library.
 .
 This package is part of the Calligra Suite.

Package: libkreport3-4
Description-md5: 2b54b06be8ebc3edfa560ab265de546a
Description-en: report creation and generation framework -- shared library
 The KReport framework implements reporting functionality for creation
 of reports in MS Access style. They are also similar to SAP Crystal Reports
 and FileMaker reports.
 .
 Reports can be created interactively and programmatically. They can be
 previewed on screen, printed, and saved in a variety of formats such as
 HTML, PDF and OpenDocument.
 .
 Reports of this kind offer a way to view, format, and summarize the
 information. For example a simple report of contact phone numbers can be
 prepared, or a more complex report on sales for different products, regions,
 and periods of time.
 .
 A report is often filled with information from a database. There are many
 use cases:
  * The data can be displayed, summarized, sorted and grouped
  * Totals can be computed and displayed
  * Single or multiple records of data can be placed on a page
  * Details for individual data records can be placed in a layout
  * Labels can be created
  * The various report sections, such as title, header or footer, can be sized
    to suit
  * Reports can be generated on demand, thus eliminating saving them in files
    for further use
 .
 This package contains the shared library.
 .
 This package is part of the Calligra Suite.

Package: libkreport3-dev
Description-md5: fbdceda1c44fdc8d2553eba0b444f181
Description-en: development files for KReport
 The KReport framework implements reporting functionality for creation
 of reports in MS Access style. They are also similar to SAP Crystal Reports
 and FileMaker reports.
 .
 This package contains the development files for the KReport library.
 .
 This package is part of the Calligra Suite.

Package: libkreport3-plugin-barcode
Description-md5: c8f981b5daec3bb7b7800a57e0eecd04
Description-en: barcode plugin for KReport
 The KReport framework implements reporting functionality for creation
 of reports in MS Access style. They are also similar to SAP Crystal Reports
 and FileMaker reports.
 .
 This package contains the barcode plugin for KReport.
 .
 This package is part of the Calligra Suite.

Package: libkreport3-plugin-maps
Description-md5: d42545ea0ea0acaa9946a79b354619a8
Description-en: maps plugin for KReport
 The KReport framework implements reporting functionality for creation
 of reports in MS Access style. They are also similar to SAP Crystal Reports
 and FileMaker reports.
 .
 This package contains the maps plugin for KReport.
 .
 This package is part of the Calligra Suite.

Package: libkreport3-plugin-web
Description-md5: 262186dafd8985c2b8cdb0c2b30666aa
Description-en: web plugin for KReport
 The KReport framework implements reporting functionality for creation
 of reports in MS Access style. They are also similar to SAP Crystal Reports
 and FileMaker reports.
 .
 This package contains the web plugin for KReport.
 .
 This package is part of the Calligra Suite.

Package: libkryo-java
Description-md5: da44a3c9eb30dfcd1f087290a07ca69a
Description-en: object graph serialization framework for Java
 Kryo is a fast and efficient object graph serialization framework for Java.
 The goals of the project are speed, efficiency, and an easy to use API.
 The project is useful any time objects need to be persisted, whether to a
 file, database, or over the network.
 .
 Kryo can also perform automatic deep and shallow copying/cloning.
 This is direct copying from object to object, not object->bytes->object.

Package: libkryo-java-doc
Description-md5: 3020ca275f43e63177234fa8bac42dc2
Description-en: object graph serialization framework for Java - doc
 Kryo is a fast and efficient object graph serialization framework for Java.
 The goals of the project are speed, efficiency, and an easy to use API.
 The project is useful any time objects need to be persisted, whether to a
 file, database, or over the network.
 .
 Kryo can also perform automatic deep and shallow copying/cloning.
 This is direct copying from object to object, not object->bytes->object.
 .
 This package contains the Javadoc API

Package: libkryo-serializers-java
Description-md5: fa617eb55a9fe7ab80aeddd10719de34
Description-en: Kryo serializers
 Additional Kryo (http://kryo.googlecode.com) serializers for standard JDK
 types (e.g. currency, jdk proxies) and some for external libs (e.g. joda
 time, cglib proxies, wicket).

Package: libksba-mingw-w64-dev
Description-md5: 692551c5453a536d0f251c9e45e0c6ee
Description-en: X.509 and CMS support library (Windows development)
 KSBA (pronounced Kasbah) is a library to make X.509 certificates as
 well as the CMS easily accessible by other applications.  Both
 specifications are building blocks of S/MIME and TLS.
 .
 KSBA provides these subsystems: ASN.1 Parser, BER Decoder, BER
 Encoder, Certificate Handling and CMS Handling.
 .
 This package contains the runtime library files.
 .
 This is a Windows version of KSBA.  It's meant to be used when
 cross-building software that targets the Windows platform, e.g. the
 win32-loader component of Debian-Installer.

Package: libkscreenlocker5
Description-md5: d8006b7271413cfd56be9dc99f16ab73
Description-en: Secure lock screen architecture
 Library and components for secure lock screen architecture.

Package: libksgrd7
Description-md5: 3db6290d075dfe9bbb485be967a4e45a
Description-en: library for monitoring your system - shared library
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 .
 This package contains the shared library

Package: libksignalplotter7
Description-md5: 3db6290d075dfe9bbb485be967a4e45a
Description-en: library for monitoring your system - shared library
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 .
 This package contains the shared library

Package: libktoblzcheck1-dev
Description-md5: 65bfd9e8398068d7ca62f44607efc887
Description-en: library for verification of account numbers and bank codes (development files)
 libktoblzcheck is a library for verification of bank account numbers and bank
 codes (BLZ) of German Banks. It is based on the specifications of the
 "Deutsche Bundesbank". It also supports the verification of international bank
 account numbers (IBAN) and bank identifier codes (BIC).
 .
 This package contains the development files.

Package: libktoblzcheck1v5
Description-md5: ff763303db985d40c9c073fa42dfaeea
Description-en: library for verification of account numbers and bank codes
 libktoblzcheck is a library for verification of bank account numbers and bank
 codes (BLZ) of German Banks. It is based on the specifications of the
 "Deutsche Bundesbank". It also supports the verification of international bank
 account numbers (IBAN) and bank identifier codes (BIC).

Package: libktorrent-dev
Description-md5: 004248fb628b0bddaa8e906fe94c6e04
Description-en: development files for the KTorrent Library
 The KTorrent library is a C++ / Qt 5 / KDE Frameworks based implementation of
 the BitTorrent protocol (mostly client side).
 .
 This package contains header files, CMake modules and other files needed for
 developing and compiling/linking which use the KTorrent library.

Package: libktorrent-l10n
Description-md5: b60fd4dafe5e993e69a1afa70ae7a753
Description-en: localization files for the KTorrent library
 The KTorrent library is a C++ / Qt 5 / KDE Frameworks based implementation of
 the BitTorrent protocol (mostly client side).
 .
 This package contains translations of the KTorrent library.

Package: libktp-dev
Description-md5: 1bb94107b9308fe1a8e8c1ccc436fd14
Description-en: KDE Telepathy internal library - Development headers
 Internal library which consists of the most reused
 parts across KDE Telepathy.
 .
 This package contains development headers for
 the ktp-common-internals library.
 .
 You should not use this library for developing applications
 outside of the KDE Telepathy project umbrella. This package
 is only provided for compiling the rest of the components.

Package: libktpcommoninternals9
Description-md5: cee719da5a87c097e401b14ebde50108
Description-en: KDE Telepathy common internal library
 Internal library which consists of the most reused
 parts across KDE Telepathy.
 .
 This contains the generic common components.

Package: libktplogger9
Description-md5: 46eab6094853622bc420983ef722ecf7
Description-en: KDE Telepathy internal logger library
 Internal library which consists of the most reused
 parts across KDE Telepathy.
 .
 This includes the shared KDE-Telepathy logger service.

Package: libktpmodels9
Description-md5: ac37c0361efe735fe1b5ff26ce07d3f1
Description-en: KDE Telepathy data models internal library
 Internal library which consists of the most reused
 parts across KDE Telepathy.
 .
 This includes Qt models.

Package: libktpotr9
Description-md5: 6775f40d2108d9fe53b6c4b1ce4eda97
Description-en: KDE Telepathy internal OTR library
 Internal library which consists of the most reused
 parts across KDE Telepathy.
 .
 This includes components to support OTR

Package: libktpwidgets9
Description-md5: 3a0475902e9ca24f1d41d377cb65c732
Description-en: KDE Telepathy common internal widgets library
 Internal library which consists of the most reused
 parts across KDE Telepathy.
 .
 This also includes common widgets like the contact grid.

Package: libkubuntu-dbg
Description-md5: 63dd021622694a7712ad80705632f163
Description-en: library for Kubuntu platform integration - debugging files
 This library bundles logic required for convenient Kubuntu specific integration
 of Qt and KDE software, such as localization handling and on-demand capability
 expansion by installing packages.
 .
 These are the debugging files.

Package: libkubuntu-dev
Description-md5: 953bb1c0f5b54dcad1f1ce2c1884e256
Description-en: library for Kubuntu platform integration - development files
 This library bundles logic required for convenient Kubuntu specific integration
 of Qt and KDE software, such as localization handling and on-demand capability
 expansion by installing packages.
 .
 These are the development files.

Package: libkubuntu1
Description-md5: a64b17e49f6c06a085af5c0faffde3ae
Description-en: library for Kubuntu platform integration
 This library bundles logic required for convenient Kubuntu specific integration
 of Qt and KDE software, such as localization handling and on-demand capability
 expansion by installing packages.

Package: libkvilib5
Description-md5: 0c38b83a31212ebcf35e05de78797704
Description-en: KVIrc (IRC client) base library
 A highly configurable graphical IRC client with an MDI interface,
 built-in scripting language, support for IRC DCC, drag & drop file
 browsing, and much more. KVIrc uses the KDE widget set, can be extended
 using its own scripting language, integrates with KDE, and supports
 custom plugins.
 .
 This package contains the main library of KVIrc.

Package: libkwalletbackend5-5
Description-md5: 9fd2a5b11c5232f8efbecc5d165893c3
Description-en: Secure and unified container for user passwords.
 Backend to KWallet, the safe desktop-wide storage
 for passwords on KDE workspaces.

Package: libkwargs-perl
Description-md5: 340412592182ce1b2a045145f4f9b6f3
Description-en: Perl module for simple and clean handling of named arguments
 Kwargs is a Perl module that makes very easy to write functions with
 named arguments, specially when they take lots of (sometimes optional)
 arguments.

Package: libkwin4-effect-builtins1
Description-md5: c91b8e9a18d0f455169dc8d5c9d9df71
Description-en: KDE window manager effect builtins library
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module.

Package: libkwineffects12
Description-md5: 369c6490ef9bdfbd7a1b365d7c9e10e4
Description-en: KDE window manager effects library
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module.

Package: libkwinglutils12
Description-md5: 9818b64a308a0d4530a66e11e0a4ae0d
Description-en: KDE window manager gl utils library
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module.

Package: libkwinxrenderutils12
Description-md5: 231d4b0bc8daef5198f9f6c5f784bc5f
Description-en: KDE window manager render utils library
 KWin (pronounced as one syllable "kwin") is the window
 manager for the KDE Plasma Desktop. It gives you complete
 control over your windows, making sure they're not in the way
 but aid you in your task. It paints the window decoration,
 the bar on top of every window with (configurable) buttons
 like close, maximize and minimize. It also handles placing
 of windows and switching between them.
 .
 This package is part of the KDE base workspace module.

Package: libkwnn-dev
Description-md5: 29dd6ad96dffb2405a68de3fdca2fc75
Description-en: Header files and static library for kWnn (FreeWnn kserver)
 FreeWnn kserver (kWnn) is an integrated Korean input system running
 on Unix workstation. It supports a wide range of input methods,
 satisfying the needs of the Korean users from all over the world.
 .
 This package contains the header files and the static library for
 kWnn. Install this package if you wish to develop your own kWnn
 client programs.

Package: libkwnn0
Description-md5: 93f9ba5d0fd368cf8daf1184e167a578
Description-en: FreeWnn library for kWnn (FreeWnn kserver)
 FreeWnn kserver (kWnn) is an integrated Korean input system running
 on Unix workstation. It supports a wide range of input methods,
 satisfying the needs of the Korean users from all over the world.
 .
 This package contains the dynamic libraries for kWnn.

Package: libkworkspace5-5
Description-md5: 2b66bc842f9506411a5abae88d53e05e
Description-en: Plasma Workspace for KF5 library
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 Kworkspace library.
 .
 This package contains library files.

Package: libkxl0
Description-md5: dd0a1c9b7a5112a1b0c4bcdb0855e38b
Description-en: multimedia library for game development
 KXL (Kacchan X Windows System Library) is a library targeted at game
 development that provides functions for simple image and sound output
 as well as higher level functions for text drawing, timer and events
 handling and image manipulation.
 .
 This package contains the libkxl0 runtime library.

Package: libkxl0-dev
Description-md5: 79080d6d7255910cf654578630f5fc0e
Description-en: development files for libkxl0
 KXL (Kacchan X Windows System Library) is a library targeted at game
 development that provides functions for simple image and sound output
 as well as higher level functions for text drawing, timer and events
 handling and image manipulation.
 .
 This package contains the header files and static library needed to
 compile applications that use libkxl0.

Package: libkxml2-java
Description-md5: abcb0cfd22186851b64fa38857e1b04d
Description-en: small XML parser, designed for small environments
 kXML is a small XML pull parser, specially designed for constrained
 environments such as Applets, Personal Java or MIDP devices. In
 contrast to kXML 1, kXML 2 is based on the common XML pull API.
 .
 Pull based XML parsing combines some of the advantages of SAX and DOM:
 .
  - In contrast to push parsers (SAX), pull parsers such as kXML make it
    possible to model the XML processing routines after the structure of
    the processed XML document. Events processing is similar to an
    InputStream. If a part of the stream requires special handling, the
    parser can simply be delegated to a specialized method by handing over
    the parser.
  - While the above is also possible with an explicit DOM, DOM usually
    requires that the whole document structure is present in main memory.
  - In contrast to DOM based parsing, the XML events are accessible
    immediately when they are available, it is not necessary to wait for
    the whole tree to build up.

Package: libkxml2-java-doc
Description-md5: 1cc142e83db7a0e1e6701086644dd1ca
Description-en: small XML parser, designed for small environments - docs
 kXML is a small XML pull parser, specially designed for constrained
 environments such as Applets, Personal Java or MIDP devices. In
 contrast to kXML 1, kXML 2 is based on the common XML pull API.
 .
 Pull based XML parsing combines some of the advantages of SAX and DOM:
 .
  - In contrast to push parsers (SAX), pull parsers such as kXML make it
    possible to model the XML processing routines after the structure of
    the processed XML document. Events processing is similar to an
    InputStream. If a part of the stream requires special handling, the
    parser can simply be delegated to a specialized method by handing over
    the parser.
  - While the above is also possible with an explicit DOM, DOM usually
    requires that the whole document structure is present in main memory.
  - In contrast to DOM based parsing, the XML events are accessible
    immediately when they are available, it is not necessary to wait for
    the whole tree to build up.
 .
 This package contains the documentation.

Package: libkyotocabinet-dev
Description-md5: 7a44f9afec1639df1fa43884828cb5ec
Description-en: Straightforward implementation of DBM - development headers
 Kyoto Cabinet is a library of routines for managing a database. The
 database is a simple data file containing records, each is a pair of
 a key and a value. Every key and value is serial bytes with variable
 length. Both binary data and character string can be used as a key and
 a value. Each key must be unique within a database. There is neither
 concept of data tables nor data types. Records are organized in
 hash table or B+ tree.
 .
 This package contains the development headers.

Package: libkyotocabinet16v5
Description-md5: 4ecfd98bdb4e15c82c1b0b272077ff49
Description-en: Straightforward implementation of DBM - shared library
 Kyoto Cabinet is a library of routines for managing a database. The
 database is a simple data file containing records, each is a pair of
 a key and a value. Every key and value is serial bytes with variable
 length. Both binary data and character string can be used as a key and
 a value. Each key must be unique within a database. There is neither
 concept of data tables nor data types. Records are organized in
 hash table or B+ tree.
 .
 This package contains the shared library.

Package: libkyotocabinet16v5-dbg
Description-md5: dbcda2ad6dbff404e9340b43e7568313
Description-en: Straightforward implementation of DBM - debugging symbols
 Kyoto Cabinet is a library of routines for managing a database. The
 database is a simple data file containing records, each is a pair of
 a key and a value. Every key and value is serial bytes with variable
 length. Both binary data and character string can be used as a key and
 a value. Each key must be unique within a database. There is neither
 concept of data tables nor data types. Records are organized in
 hash table or B+ tree.
 .
 This package contains the debugging symbols for the shared library.

Package: liblab-gamut1
Description-md5: ba6687efc06a343069b17ecad0fea692
Description-en: rich set of graph drawing tools - liblab_gamut library
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the liblab_gamut library.

Package: liblablgl-ocaml
Description-md5: dfab78f17151d9e64ecb6ebb0bc82031
Description-en: Runtime libraries for lablgl
 LablGL is an OpenGL interface for Objective Caml. Since it includes
 support for the Togl widget you can comfortably use it with LablTk.
 A GtkGlarea binding for use with lablgtk is also provided.
 .
 This package contains only the dynamic libraries needed for running dynamic
 bytecode executables.

Package: liblablgl-ocaml-dev
Description-md5: 63edf9330c826aedb8702ee11c789d80
Description-en: OpenGL interface for Objective Caml
 LablGL gives access to the OpenGL interface from Objective Caml. Since it
 includes support for the Togl widget, you can comfortably use it with
 LablTk. A GtkGlarea binding for use with lablgtk is also provided.

Package: liblablgtk-extras-ocaml-dev
Description-md5: f96a990ecc0179b8bfae9893ce099402
Description-en: collection of modules for OCaml/LablGtk2 applications
 Lablgtk-extras is a collection of libraries and modules useful when
 developing OCaml/LablGtk2 applications. Most of the libraries come
 from Cameleon2:
  * Configwin: a library to easily create input dialog boxes,
  * Okey: a module to add handlers for key press events,
  * Gtksv_utils: a module to share configuration of colors, fonts,
    ..., between applications using LablGtkSourceView, with functions
    and boxes to make the user edit configuration of languages and
    source views.

Package: liblablgtk-extras-ocaml-doc
Description-md5: 759b67118e40577ec0af5585f995944b
Description-en: collection of modules for OCaml/LablGtk2 applications (documentation)
 Lablgtk-extras is a collection of libraries and modules useful when
 developing OCaml/LablGtk2 applications. Most of the libraries come
 from Cameleon2:
  * Configwin: a library to easily create input dialog boxes,
  * Okey: a module to add handlers for key press events,
  * Gtksv_utils: a module to share configuration of colors, fonts,
    ..., between applications using LablGtkSourceView, with functions
    and boxes to make the user edit configuration of languages and
    source views.
 .
 This package contains the ocamldoc-generated documentation.

Package: liblablgtk2-gl-ocaml
Description-md5: 2cbe855f069b79f2b7796b41e36982f3
Description-en: runtime libraries for OCaml bindings to GtkGL
 lablgtk2 contains bindings for GTK+ 2.x and Objective Caml.
 .
 This package include only the dynamic libraries needed for running dynamic
 bytecode executables using GtkGL functionalities.

Package: liblablgtk2-gl-ocaml-dev
Description-md5: c49029d5339a8e2f11519529eddb5d76
Description-en: OCaml bindings to GtkGL
 lablgtk2 contains bindings for GTK+ 2.x and OCaml.
 .
 This package contains the development files of lablgtk for libraries using
 GtkGL.

Package: liblablgtk2-gnome-ocaml
Description-md5: 19815b1322b42682de59ef219dfe3be8
Description-en: runtime libraries for OCaml bindings to GNOME
 lablgtk2 contains bindings for GTK+ 2.x and Objective Caml.
 .
 This package include only the dynamic libraries needed for running
 dynamic bytecode executables using GNOME functionalities (rsvg).

Package: liblablgtk2-gnome-ocaml-dev
Description-md5: 5ddf4c5e971f85aaeb3508cff418d25d
Description-en: OCaml bindings to GNOME
 lablgtk2 contains bindings for GTK+ 2.x and Objective Caml.
 .
 This package contains the development files of lablgtk for libraries using
 GNOME functionalities (rsvg).

Package: liblablgtk2-ocaml
Description-md5: 454d72a5d71ba81788e221ccd1de3d53
Description-en: runtime libraries for OCaml bindings for GTK+ version 2
 lablgtk2 contains bindings for GTK+ 2.x and OCaml.
 .
 This package include only the dynamic libraries needed for running dynamic
 bytecode executables.

Package: liblablgtk2-ocaml-dev
Description-md5: 4f26a249b52ff6520e08b1924c4efd50
Description-en: OCaml bindings to Gtk+ version 2
 lablgtk2 contains bindings for GTK+ 2.x and OCaml.
 .
 This package contains the development files of lablgtk.

Package: liblablgtk2-ocaml-doc
Description-md5: 230fcbc2baff063146d1c6498187f26b
Description-en: OCaml bindings to Gtk+ version 2 (documentation)
 LablGTK2 contains bindings for GTK+ 2.x and OCaml.
 .
 This package contains the API reference of all libraries provided by
 LablGTK2.

Package: liblablgtk3-ocaml
Description-md5: 5a79af53a9cbe4532d105ee328f16f4a
Description-en: OCaml bindings to Gtk+ version 3 (runtime)
 This is the OCaml binding for GTK+ version 3. GTK stands for the GIMP Toolkit,
 a multi-platform toolkit for creating graphical user interfaces.
 .
 This package includes only the dynamic libraries needed for running dynamic
 bytecode executables.

Package: liblablgtk3-ocaml-dev
Description-md5: 328a146f37372c00375256e7c59bb64d
Description-en: OCaml bindings to Gtk+ version 3 (development files)
 This is the OCaml binding for GTK+ version 3. GTK stands for the GIMP Toolkit,
 a multi-platform toolkit for creating graphical user interfaces.
 .
 This package contains the development files of lablgtk.

Package: liblablgtk3-ocaml-doc
Description-md5: ea718922e8147221ecfb481ca64e1e49
Description-en: OCaml bindings to Gtk+ version 3 (documentation)
 This is the OCaml binding for GTK+ version 3. GTK stands for the GIMP Toolkit,
 a multi-platform toolkit for creating graphical user interfaces.
 .
 This package contains the API reference of all libraries provided by
 LablGTK3, and the collection of examples.

Package: liblablgtkmathview-ocaml
Description-md5: 7726a239c2f14f884cf45941fc164b13
Description-en: OCaml bindings for libgtkmathview (runtime)
 This is the OCaml binding for the GtkMathView widget, that is
 currently available in the libgtkmathview0 package.
 .
 This package contains only the shared runtime stub libraries.

Package: liblablgtkmathview-ocaml-dev
Description-md5: 3ba132f9f15317706a838a1b2504176b
Description-en: OCaml bindings for libgtkmathview, a GTK widget to render MathML
 These are the OCaml bindings for the GtkMathView widget, that is
 currently available in the libgtkmathview0 package.
 .
 This package contains the development part of the lablgtkmathview package.

Package: liblablgtksourceview2-ocaml
Description-md5: 71bfe1ff02e7466225e17b57efb1b9d1
Description-en: OCaml bindings for libgtksourceview2 (runtime)
 This is the OCaml binding for the GtkSourceView2 widget, a text widget that
 extends the standard GTK+ 2.x text widget with syntax highlighting and other
 features typical of a source editor.
 .
 This package contains the shared runtime stub libraries.

Package: liblablgtksourceview2-ocaml-dev
Description-md5: 8e2bfd0e92b5914d5e3c53551579af94
Description-en: OCaml bindings for libgtksourceview2, a source editor GTK+ widget
 This is the OCaml binding for the GtkSourceView2 widget, a text widget that
 extends the standard GTK+ 2.x text widget with syntax highlighting and other
 features typical of a source editor.
 .
 This package contains the development part of the lablgtksourceview2 package.

Package: liblablgtksourceview3-ocaml
Description-md5: 3f200ba3fc23f283d5d83cc4060e3182
Description-en: OCaml bindings for libgtksourceview3 (runtime)
 This is the OCaml binding for the GtkSourceView3 widget, a text widget that
 extends the standard GTK+ 3.x text widget with syntax highlighting and other
 features typical of a source editor.
 .
 This package contains the shared runtime stub libraries.

Package: liblablgtksourceview3-ocaml-dev
Description-md5: cee8e3313853ee2a9118621331f7805f
Description-en: OCaml bindings for libgtksourceview3 (development files)
 This is the OCaml binding for the GtkSourceView3 widget, a text widget that
 extends the standard GTK+ 3.x text widget with syntax highlighting and other
 features typical of a source editor.
 .
 This package contains the development files of the lablgtksourceview3 package.

Package: liblablgtkspell3-ocaml
Description-md5: 9e16d2aba4e70d47bd0369cfcf4622f7
Description-en: OCaml bindings for libgtkspell3 (runtime)
 This is the OCaml binding for the GtkSpell library which provides
 word-processor-style highlighting and replacement of misspelled words
 in a GtkTextView widget.
 .
 This package contains the shared runtime stub libraries.

Package: liblablgtkspell3-ocaml-dev
Description-md5: a708753914518fed8bf546b15b71dd08
Description-en: OCaml bindings for libgtkspell3 (development files)
 This is the OCaml binding for the GtkSpell library which provides
 word-processor-style highlighting and replacement of misspelled words
 in a GtkTextView widget.
 .
 This package contains the development files of the lablgtkspell3 package.

Package: liblabltk-ocaml
Description-md5: 98b424bfb760d054aec723f15c667970
Description-en: OCaml bindings to Tcl/Tk (runtime libraries)
 mlTk is a library for interfacing OCaml with the scripting language
 Tcl/Tk.
 .
 In addition to the basic interface with Tcl/Tk, this package contains
  * the "jpf" library, written by Jun P. Furuse; it contains a "file
    selector" and "balloon help" support;
  * the "frx" library, written by Francois Rouaix;
  * the "tkanim" library, which supports animated gif loading/display.
 .
 This package contains runtime libraries.

Package: liblabltk-ocaml-dev
Description-md5: f59c223439cb7bcba69e72d519482e31
Description-en: OCaml bindings to Tcl/Tk (dev. libraries)
 mlTk is a library for interfacing OCaml with the scripting language
 Tcl/Tk.
 .
 In addition to the basic interface with Tcl/Tk, this package contains
  * the "jpf" library, written by Jun P. Furuse; it contains a "file
    selector" and "balloon help" support;
  * the "frx" library, written by Francois Rouaix;
  * the "tkanim" library, which supports animated gif loading/display.
 .
 This package contains development libraries.

Package: libladspa-ocaml
Description-md5: acc3ab45ccccd200cec81e77ead75018
Description-en: OCaml bindings for LADSPA -- runtime files
 LADSPA is a free standard specification for audio effect plugins.
 Ocaml-ladspa provide an interface for OCaml programmers to this
 architecture.
 .
 This package contains only the shared runtime stub binaries.

Package: libladspa-ocaml-dev
Description-md5: 040e527006e4a7d477fb0b866eb36946
Description-en: OCaml bindings for LADSPA -- development files
 LADSPA is a free standard specification for audio effect plugins.
 Ocaml-ladspa provide an interface for OCaml programmers to this
 architecture.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ladspa.

Package: liblaf-plugin-java
Description-md5: dadb06e1685bbdcc04380a0761fb172e
Description-en: Support for third-party components in Java look-and-feel libraries
 The goal of this project is to provide a generic plugin framework for
 look-and-feels and define the interface of a common kind of plugins - the
 component plugins.

Package: liblaf-plugin-java-doc
Description-md5: e43557eb04a9fb6d09d554e115502b7c
Description-en: Support for third-party components in Java look-and-feel libraries (doc)
 The goal of this project is to provide a generic plugin framework for
 look-and-feels and define the interface of a common kind of plugins - the
 component plugins.
 .
 This package contains API documentation (Javadoc) for liblaf-plugin-java.

Package: liblaf-widget-java
Description-md5: 299e5f68522f2c29b71f596fb85fb55b
Description-en: Java widget toolbox for other look-and-feel libraries
 Laf-Widget project provide support for and base set of
 additional behaviour and widgets in look-and-feels libraries.
 .
 The list of currently provided widgets (from v4)
  * Auto-completion (model-based / free-text) on editable combo boxes.
  * Hover preview of minimized internal frames on desktop icons.
  * Menu search panel on menu bars.
  * Hover preview of tab in tabbed panes.
  * Overview dialog on tabbed panes with optional periodic refresh.
  * Tab paging on tabbed panes.
  * Password strength checker on password fields.
  * Lock border on non-editable text components and model editable combobox.
  * Select all text in text component on focus gain.
  * Context menu on text components with edit actions (copy / paste / cut ...).
  * Enhanced drag-and-drop support for trees.
  * Scroll pane selector.
  * Selecting / deselecting in text components on Escape key press.
 .
 Laf-Widget is used by substance look-and-feel since 3.0

Package: liblaf-widget-java-doc
Description-md5: 0ae5267d3611fef0ece425f7ecb71408
Description-en: Java widget toolbox for look-and-feel libraries - documentation
 Laf-Widget project provide support for and base set of
 additional behaviour and widgets in look-and-feels libraries.
 .
 The list of currently provided widgets (from v4)
  * Auto-completion (model-based / free-text) on editable combo boxes.
  * Hover preview of minimized internal frames on desktop icons.
  * Menu search panel on menu bars.
  * Hover preview of tab in tabbed panes.
  * Overview dialog on tabbed panes with optional periodic refresh.
  * Tab paging on tabbed panes.
  * Password strength checker on password fields.
  * Lock border on non-editable text components and model editable combobox.
  * Select all text in text component on focus gain.
  * Context menu on text components with edit actions (copy / paste / cut ....
  * Enhanced drag-and-drop support for trees.
  * Scroll pane selector.
  * Selecting / deselecting in text components on Escape key press.
 .
 Laf-Widget is used by substance look-and-feel since 3.0
 .
 This package contains API documentation (Javadoc) for liblaf-widget-java.

Package: liblam4
Description-md5: 58d74167c19333440b1f8ad3df2db572
Description-en: Shared libraries used by LAM parallel programs
 LAM (Local Area Multicomputer) is an open source implementation of the
 Message Passing Interface (MPI) standard.
 .
 This package provides the shared library.

Package: liblambda-term-ocaml
Description-md5: 51f23fa9648b186666da2ed5899e97ab
Description-en: terminal manipulation library for OCaml (runtime)
 Lambda-term is a cross-platform library for manipulating the
 terminal. It provides abstraction for keys, mouse events, colors, as
 well as a set of widgets to write curses-oriented applications.
 .
 This package contains the runtime libraries for programs using
 lambda-term.

Package: liblambda-term-ocaml-dev
Description-md5: 264bd185c54b0b39e665d1717257a7e0
Description-en: terminal manipulation library for OCaml (development tools)
 Lambda-term is a cross-platform library for manipulating the
 terminal. It provides abstraction for keys, mouse events, colors, as
 well as a set of widgets to write curses-oriented applications.
 .
 This package contains the development stuff needed to use the
 lambda-term library in your programs.

Package: liblammps-dev
Description-md5: bd3e5f34aab7356671f9f3cbf2018f6a
Description-en: Molecular Dynamics Simulator (dev files)
 LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale
 Atomic/Molecular Massively Parallel Simulator.
 .
 LAMMPS has potentials for soft materials (biomolecules, polymers) and
 solid-state materials (metals, semiconductors) and coarse-grained or
 mesoscopic systems. It can be used to model atoms or, more generically, as a
 parallel particle simulator at the atomic, meso, or continuum scale.
 .
 LAMMPS runs on single processors or in parallel using message-passing
 techniques and a spatial-decomposition of the simulation domain. The code is
 designed to be easy to modify or extend with new functionality.
 .
 This package contains development files and headers to build applications
 using the LAMMPS shared library.

Package: liblammps0
Description-md5: 95a8c59b10a7cfd9e036bde06240f237
Description-en: Molecular Dynamics Simulator (shared library)
 LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale
 Atomic/Molecular Massively Parallel Simulator.
 .
 LAMMPS has potentials for soft materials (biomolecules, polymers) and
 solid-state materials (metals, semiconductors) and coarse-grained or
 mesoscopic systems. It can be used to model atoms or, more generically, as a
 parallel particle simulator at the atomic, meso, or continuum scale.
 .
 LAMMPS runs on single processors or in parallel using message-passing
 techniques and a spatial-decomposition of the simulation domain. The code is
 designed to be easy to modify or extend with new functionality.
 .
 This package provides the LAMMPS shared library.

Package: liblapack-pic
Description-md5: c719357c5d0c3a02e706f9690ad982e2
Description-en: Library of linear algebra routines 3 - transitional
 This is a dummy transitional package, it can be safely removed.

Package: liblapack-test
Description-md5: e2a4bb667b79f7e1206927ea3bf7d8cb
Description-en: Library of linear algebra routines 3 - testing programs
 LAPACK version 3.X is a comprehensive FORTRAN library that does
 linear algebra operations including matrix inversions, least
 squared solutions to linear sets of equations, eigenvector
 analysis, singular value decomposition, etc. It is a very
 comprehensive and reputable package that has found extensive
 use in the scientific community.
 .
 These testing programs have been run against the
 shared library in the lapack package.  The results have been
 collected in the files test_results.  These
 programs are provided separately here so that the user can
 test and compare alternate versions of the lapack libraries,
 such as those provided by the ATLAS packages, and the lapack
 package.

Package: liblapack64-test
Description-md5: 3064bd491d0f1163860a033188f6cb04
Description-en: Library of linear algebra routines 3 - testing programs (64bit-index)
 LAPACK version 3.X is a comprehensive FORTRAN library that does
 linear algebra operations including matrix inversions, least
 squared solutions to linear sets of equations, eigenvector
 analysis, singular value decomposition, etc. It is a very
 comprehensive and reputable package that has found extensive
 use in the scientific community.
 .
 These testing programs have been run against the
 shared library in the lapack package.  The results have been
 collected in the files test_results.  These
 programs are provided separately here so that the user can
 test and compare alternate versions of the lapack libraries,
 such as those provided by the ATLAS packages, and the lapack
 package.
 .
 Compiled with Fortran option "-fdefault-integer-8".

Package: liblaser-geometry-dev
Description-md5: edd270d0b6c72d46e4f0a37b95a401f7
Description-en: Robot OS laser geometry package - development files
 This package is part of Robot OS (ROS). It contains a class for
 converting from a 2D laser scan as defined by sensor_msgs/LaserScan
 into a point cloud as defined by sensor_msgs/PointCloud or
 sensor_msgs/PointCloud2. In particular, it contains functionality to
 account for the skew resulting from moving robots or tilting laser
 scanners.
 .
 This package contains the development files.

Package: liblaser-geometry0d
Description-md5: 8edec42adfb9f2a43e55f7a57caf5839
Description-en: Robot OS laser geometry package
 This package is part of Robot OS (ROS). It contains a class for
 converting from a 2D laser scan as defined by sensor_msgs/LaserScan
 into a point cloud as defined by sensor_msgs/PointCloud or
 sensor_msgs/PointCloud2. In particular, it contains functionality to
 account for the skew resulting from moving robots or tilting laser
 scanners.
 .
 This package contains the library itself.

Package: liblasi-dev
Description-md5: bf014463cc61f96d6640a722073a5890
Description-en: development files and documentation for the LASi library
 LASi is a library that provides a C++ stream output interface (with
 operator <<) for creating PostScript documents that can contain
 characters from any of the scripts and symbol blocks supported in
 Unicode and by the Pango layout engine. The library accommodates
 right-to-left scripts such as Arabic and Hebrew as easily as
 left-to-right scripts. Indic and Indic-derived Complex Text Layout
 (CTL) scripts, such as Devanagari, Thai, Lao, and Tibetan are
 supported to the extent provided by Pango and by the OpenType fonts
 installed on your system. All of this is provided without need for
 any special configuration or layout calculation on the programmer's
 part.
 .
 This package contains the development files as well as the HTML
 documentation for LASi.

Package: liblasi0
Description-md5: 6bb5ec207de2f4112f5312706180a131
Description-en: creation of PostScript documents containing Unicode symbols
 LASi is a library that provides a C++ stream output interface (with
 operator <<) for creating PostScript documents that can contain
 characters from any of the scripts and symbol blocks supported in
 Unicode and by the Pango layout engine. The library accommodates
 right-to-left scripts such as Arabic and Hebrew as easily as
 left-to-right scripts. Indic and Indic-derived Complex Text Layout
 (CTL) scripts, such as Devanagari, Thai, Lao, and Tibetan are
 supported to the extent provided by Pango and by the OpenType fonts
 installed on your system. All of this is provided without need for
 any special configuration or layout calculation on the programmer's
 part.

Package: liblasso-perl
Description-md5: a6fed384a4da3dba999199b652c91c55
Description-en: Library for Liberty Alliance and SAML protocols - Perl bindings
 Lasso is an implementation of Liberty Alliance and related protocols, for
 network identity federations, single sign-on and other web services protocols.
 The main specifications implemented by the library are ID-FF, ID-WSF and SAML.
 .
 This package contains Perl bindings for liblasso, needed to use lasso
 in Perl applications.

Package: liblastfm-fingerprint5-1
Description-md5: 7030490d5a55849ca93e208b83455aa1
Description-en: Last.fm fingerprinting library (Qt5 build)
 liblastfm is a collection of C++/Qt5 libraries provided by Last.fm for use
 with their web services.
 .
 This library lets you fingerprint decoded audio tracks and fetch metadata
 suggestions for them.

Package: liblastfm-fingerprint5-dbg
Description-md5: e145feefeaab18ef5fe27e9c5dbcdcd0
Description-en: Debugging symbols for the fingerprint library (Qt5 build)
 liblastfm is a collection of C++/Qt5 libraries provided by Last.fm for use
 with their web services.
 .
 This package contains the debugging symbols for the liblastfm-fingerprint
 libraries.

Package: liblastfm-java
Description-md5: 4b755599ef577a182a96d6954990dd0e
Description-en: last.fm API bindings for Java
 The last.fm API bindings for java are a wrapper for the new last.fm
 API and the last.fm submission service. It provides classes and
 methods to invoke last.fm API methods as well as scrobbling songs and
 streaming radio from within Java applications.

Package: liblastfm-ocaml-dev
Description-md5: 28afaa38941186bd4fceea063400e4b6
Description-en: OCaml interface to audioscrobbler and lastfm radios
 This OCaml module provides an API to audioscrobbler, the lastfm
 submission protocol, as well as the radio API, which allows one to
 listen to lastfm streams/radios.

Package: liblastfm5-1
Description-md5: 8cbd2f4af1315550eefdd1a74c344421
Description-en: Last.fm web services library (Qt5 build)
 liblastfm is a collection of C++/Qt5 libraries provided by Last.fm for use
 with their web services.
 .
 This package contains the base web services library.

Package: liblastfm5-dbg
Description-md5: f9415ee81b8346276459d6f87b5b656d
Description-en: Debugging symbols for the Last.fm web services library (Qt5 build)
 liblastfm is a collection of C++/Qt5 libraries provided by Last.fm for use
 with their web services.
 .
 This package contains the debugging symbols for the liblastfm libraries.

Package: liblastfm5-dev
Description-md5: 1952075861d27d01ed3bbe34d324f0bf
Description-en: Last.fm web services library (Qt5 build) - development files
 liblastfm is a collection of C++/Qt5 libraries provided by Last.fm for use
 with their web services.
 .
 This package contains the development files. Developers working on a new
 client will need to request an API key. See README for more details.

Package: liblaszip-api8
Description-md5: 42b17c2ed1a2b21538e41c1c4a8db450
Description-en: Lossless LiDAR compression - API shared library
 LASzip quickly turns bulky LAS files into compact LAZ files without
 information loss.
 .
 This package contains the API shared library.

Package: liblaszip-dev
Description-md5: 3f58330744e1fd56b8fcd67b62d07e5c
Description-en: Lossless LiDAR compression - development files
 LASzip quickly turns bulky LAS files into compact LAZ files without
 information loss.
 .
 This package contains development files.

Package: liblaszip8
Description-md5: 747250a339d6d66f7c4ed700fa4fd7fb
Description-en: Lossless LiDAR compression - shared library
 LASzip quickly turns bulky LAS files into compact LAZ files without
 information loss.
 .
 This package contains the shared library.

Package: liblatex-decode-perl
Description-md5: de81e35cb61164a14df0add6b08aa906
Description-en: Perl module to convert LaTeX input to Unicode
 The module LaTeX::Decode does (obviously) the opposite of LaTeX::Encode: it
 converts LaTeX input to Unicode. :)
 It also comes with a companion utility script, latex2utf8.

Package: liblatex-driver-perl
Description-md5: 236fd2b8a161c4122a6ee4c0d1143a87
Description-en: driver module that encapsulates the details of formatting a LaTeX document
 The LaTeX::Driver module encapsulates the details of invoking the LaTeX
 programs to format a LaTeX document. Formatting with LaTeX is complicated;
 there are potentially many programs to run and the output of those programs
 must be monitored to determine whether further processing is required.
 .
 LaTeX::Driver runs the required commands in the directory specified, either
 explicitly with the dirname option or implicitly by the directory part of
 basename, or in the current directory. As a result of the processing up to a
 dozen or more intermediate files are created. These can be removed with the
 cleanup method.
 .
 The LaTeX::Driver module takes care of running and re-running latex on
 a LaTeX document so that forward references, tables of contents, and
 lists of figures and tables are resolved.  It will also run bibtex and
 makeindex if it detects that a bibliography or in index have been
 specified, and will re-run latex again one or more times until the
 formatting of the document has stabilized.

Package: liblatex-encode-perl
Description-md5: 5117117f8fc572a4e9cf19bfd1eb3048
Description-en: Perl module to encode characters for LaTeX formatting
 LaTeX::Encode provides a function to encode text that is to be formatted with
 LaTeX. It encodes characters that are special to LaTeX or that are
 represented in LaTeX by LaTeX commands.
 .
 The special characters are: \ (command character), { (open group), } (end
 group), & (table column separator), # (parameter specifier), % (comment
 character), _ (subscript), ^ (superscript), ~ (non-breakable space), $
 (mathematics mode).
 .
 Note that some of the LaTeX commands for characters are defined in the LaTeX
 textcomp package.

Package: liblatex-table-perl
Description-md5: 863fb6fdb3aac2afc8dc94c4db48fec6
Description-en: Perl extension for the automatic generation of LaTeX tables
 LaTeX::Table is a Perl extension for the automatic generation of LaTeX tables
 .
 LaTeX makes professional typesetting easy. Unfortunately, this is not
 entirely true for tables and the standard LaTeX table macros have a rather
 limited functionality. LaTeX::Table supports many CTAN packages and hides the
 complexity of using them behind an easy and intuitive API.
 .
 This module supports multipage tables via the xtab and the longtable package.
 For publication quality tables it utilizes the booktabs package. It also
 supports the tabularx and tabulary packages for nicer fixed-width tables.
 Furthermore, it supports the colortbl package for colored tables optimized for
 presentations.  The powerful new ctable package is supported and especially
 recommended when footnotes are needed. LaTeX::Table ships with some
 predefined, good looking themes.
 .
 This module ships with two small utilities. The first, csv2pdf is a CSV to PDF
 converter. It is only about 100 lines of code short and only meant as an
 example application, but it is already very powerful. It requires Getopt::Long,
 Text::CSV, LaTeX::Encode and LaTeX::Driver.
 .
 The second utility is ltpretty. It takes a lazy formatted LaTeX table from
 STDIN (typically piped from Vim or emacs) and outputs a completely formatted
 table. This makes this module not only useful for automatically generated
 reports, but also saves a lot of typing work in creating custom tables.

Package: liblatex-tom-perl
Description-md5: ed669a5008a60cdc259688630ecdc2d5
Description-en: module for parsing, analyzing and manipulating LaTeX documents
 The LaTeX::TOM  module provides a parser which parses and interprets (though
 not fully) LaTeX documents and returns a tree-based representation of what it
 finds. This tree is a LaTeX::TOM::Tree. The tree contains LaTeX::TOM::Node
 nodes.
 .
 This module should be especially useful to anyone who wants to do processing
 of LaTeX documents that requires extraction of plain-text information, or
 altering of the plain-text components (or alternatively, the math-text
 components).

Package: liblavfile-2.1-0
Description-md5: 38a736e7069b6dfa199186431d6a7cae
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset (library)
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.
 .
 This package contains the shared lavfile library.

Package: liblavjpeg-2.1-0
Description-md5: a40179b56ec649841a590b52d455bf8c
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset (library)
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.
 .
 This package contains the shared lavjpeg library.

Package: liblavplay-2.1-0
Description-md5: 1454827fa9ed2f2f80f8409f9f4c6bb9
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset (library)
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.
 .
 This package contains the shared lavplay library.

Package: liblaxjson-dev
Description-md5: edca0d150aa8ffdf7efacf79c07e0139
Description-en: relaxed streaming JSON parser library (development files)
 Official JSON is almost human-readable and human-writable. Disabling a few of
 the strict rules makes it significantly more so.
 .
 This library is intended for parsing user input, such as a config file. It is
 not intended for serializing or deserializing, or as a format for computer-to-
 computer communication.
 .
 This relaxed streaming JSON parser allows:
  * unquoted keys
  * single quotes `'`
  * `//` and `/* */` style comments
  * extra commas `,` in arrays and objects
 .
 This package contains the development files.

Package: liblaxjson1
Description-md5: c62db4bc58faeae0a4d157accd7e3e1b
Description-en: relaxed streaming JSON parser library
 Official JSON is almost human-readable and human-writable. Disabling a few of
 the strict rules makes it significantly more so.
 .
 This library is intended for parsing user input, such as a config file. It is
 not intended for serializing or deserializing, or as a format for computer-to-
 computer communication.
 .
 This relaxed streaming JSON parser allows:
  * unquoted keys
  * single quotes `'`
  * `//` and `/* */` style comments
  * extra commas `,` in arrays and objects

Package: liblayout-java
Description-md5: 10bcaa4b5de588488d838a57cb8b58cf
Description-en: Java layouting framework
 LibLayout is a layouting framework. It is based on the Cascading StyleSheets
 standard (in the upcoming version 3). The layouting expects to receive its
 content as a DOM structure (although it does not rely on the W3C-DOM API).

Package: liblayout-java-doc
Description-md5: cbff4939e336cc41d13a743db77073a5
Description-en: Java layouting framework -- documentation
 LibLayout is a layouting framework. It is based on the Cascading StyleSheets
 standard (in the upcoming version 3). The layouting expects to receive its
 content as a DOM structure (although it does not rely on the W3C-DOM API).
 .
 This package contains the Javadoc.

Package: liblayout-manager-perl
Description-md5: 8eb6f05a82471dafcc5e72b89ec5b5af
Description-en: module for managing layout of graphical components
 Layout::Manager is a Perl module that provides a framework for working with
 layout managers, which are classes that determine the size and position of
 arbitrary components within a container. A few managers are provided for
 reference purposes, but this module is intended to serve as a basis for other
 implementations.
 .
 This module uses Graphics::Primitive::Container as a source of its components
 (see libgraphics-primitive-perl for details).

Package: liblazymap-clojure
Description-md5: cdd28c5a237e460121f0c4b7e156f601
Description-en: transparent wrapper around Clojure's map types
 LazyMap is a transparent wrapper around the map types of Clojure. It works
 similar to lazy-seq for sequences: the value associated with a key via
 lazy-assoc is not evaluated until it is actually accessed. So if the value is
 dissoc'd before it is accessed the code necessary to generate the value is not
 executed at all.

Package: liblbfgs-dev
Description-md5: d5f65742152dd99ff4338f3d17c1fa28
Description-en: L-BFGS solver for unconstrained nonlinear optimization problems
 Solves nonlinear optimization problems using the limited-memory BFGS method.
 The user specifies a callback C function that returns the value and gradients
 of the cost function at a particular operating point. This library estimates
 the Hessians from user input, and applies Newton's method iteratively to find a
 local minimum of the cost function. This is a small library, written in C with
 minimal dependencies.
 .
 This package contains development files

Package: liblbfgs0
Description-md5: fd6420537d3e400fb27878507da038eb
Description-en: L-BFGS solver for unconstrained nonlinear optimization problems
 Solves nonlinear optimization problems using the limited-memory BFGS method.
 The user specifies a callback C function that returns the value and gradients
 of the cost function at a particular operating point. This library estimates
 the Hessians from user input, and applies Newton's method iteratively to find a
 local minimum of the cost function. This is a small library, written in C with
 minimal dependencies.

Package: liblbfgs0-dbg
Description-md5: fef2504ba0b71f8d43a24cb3251826d9
Description-en: L-BFGS solver for unconstrained nonlinear optimization problems
 Solves nonlinear optimization problems using the limited-memory BFGS method.
 The user specifies a callback C function that returns the value and gradients
 of the cost function at a particular operating point. This library estimates
 the Hessians from user input, and applies Newton's method iteratively to find a
 local minimum of the cost function. This is a small library, written in C with
 minimal dependencies.
 .
 This package contains the debug symbols

Package: liblbfgsb-dev
Description-md5: 37a00bbe01fedfbb7e538ca0f027a39e
Description-en: Limited-memory quasi-Newton bound-constrained optimization (static library)
 Fortran library implementing limited-memory quasi-Newton
 bound-constrained optimization as described in [1,2,3].
 .
 This package contains the static library.
 .
 [1] R. H. Byrd, P. Lu and J. Nocedal. A Limited Memory Algorithm for
 Bound Constrained Optimization, (1995), SIAM Journal on Scientific
 and Statistical Computing , 16, 5, pp. 1190-1208.
 .
 [2] C. Zhu, R. H. Byrd and J. Nocedal. L-BFGS-B: Algorithm 778:
 L-BFGS-B, FORTRAN routines for large scale bound constrained
 optimization (1997), ACM Transactions on Mathematical Software, Vol
 23, Num. 4, pp. 550 - 560.
 .
 [3] J.L. Morales and J. Nocedal. L-BFGS-B: Remark on Algorithm 778:
 L-BFGS-B, FORTRAN routines for large scale bound constrained
 optimization (2011), to appear in ACM Transactions on Mathematical
 Software.

Package: liblbfgsb-doc
Description-md5: 832fe3a32d5703fb8102e0fc33c683ca
Description-en: Limited-memory quasi-Newton bound-constrained optimization (documentation)
 Fortran library implementing limited-memory quasi-Newton
 bound-constrained optimization as described in [1,2,3].
 .
 This package contains the documentation describing the library and
 algorithm.
 .
 [1] R. H. Byrd, P. Lu and J. Nocedal. A Limited Memory Algorithm for
 Bound Constrained Optimization, (1995), SIAM Journal on Scientific
 and Statistical Computing , 16, 5, pp. 1190-1208.
 .
 [2] C. Zhu, R. H. Byrd and J. Nocedal. L-BFGS-B: Algorithm 778:
 L-BFGS-B, FORTRAN routines for large scale bound constrained
 optimization (1997), ACM Transactions on Mathematical Software, Vol
 23, Num. 4, pp. 550 - 560.
 .
 [3] J.L. Morales and J. Nocedal. L-BFGS-B: Remark on Algorithm 778:
 L-BFGS-B, FORTRAN routines for large scale bound constrained
 optimization (2011), to appear in ACM Transactions on Mathematical
 Software.

Package: liblbfgsb-examples
Description-md5: ff7d9569cd9be35126da1eed31f862ef
Description-en: Limited-memory quasi-Newton bound-constrained optimization (examples)
 Fortran library implementing limited-memory quasi-Newton
 bound-constrained optimization as described in [1,2,3].
 .
 This package contains some example programs, their source code and
 example output as provided by upstream.
 .
 [1] R. H. Byrd, P. Lu and J. Nocedal. A Limited Memory Algorithm for
 Bound Constrained Optimization, (1995), SIAM Journal on Scientific
 and Statistical Computing , 16, 5, pp. 1190-1208.
 .
 [2] C. Zhu, R. H. Byrd and J. Nocedal. L-BFGS-B: Algorithm 778:
 L-BFGS-B, FORTRAN routines for large scale bound constrained
 optimization (1997), ACM Transactions on Mathematical Software, Vol
 23, Num. 4, pp. 550 - 560.
 .
 [3] J.L. Morales and J. Nocedal. L-BFGS-B: Remark on Algorithm 778:
 L-BFGS-B, FORTRAN routines for large scale bound constrained
 optimization (2011), to appear in ACM Transactions on Mathematical
 Software.

Package: liblbfgsb0
Description-md5: 72eba37b54e9327545a3ad001c7f7b82
Description-en: Limited-memory quasi-Newton bound-constrained optimization
 Fortran library implementing limited-memory quasi-Newton
 bound-constrained optimization as described in [1,2,3].
 .
 This package contains the library itself.
 .
 [1] R. H. Byrd, P. Lu and J. Nocedal. A Limited Memory Algorithm for
 Bound Constrained Optimization, (1995), SIAM Journal on Scientific
 and Statistical Computing , 16, 5, pp. 1190-1208.
 .
 [2] C. Zhu, R. H. Byrd and J. Nocedal. L-BFGS-B: Algorithm 778:
 L-BFGS-B, FORTRAN routines for large scale bound constrained
 optimization (1997), ACM Transactions on Mathematical Software, Vol
 23, Num. 4, pp. 550 - 560.
 .
 [3] J.L. Morales and J. Nocedal. L-BFGS-B: Remark on Algorithm 778:
 L-BFGS-B, FORTRAN routines for large scale bound constrained
 optimization (2011), to appear in ACM Transactions on Mathematical
 Software.

Package: liblcas-dev
Description-md5: 6fa888ff103c3aea31684ea02818624b
Description-en: Local Centre Authorization Service development files
 LCAS makes binary ('yes' or 'no') authorization decisions at the site
 and resource level. In making this decision, it can use a variety of
 inputs: the 'grid' name of the user (the Subject Distinguished Name),
 any VO attributes the user has (like VOMS FQANs), the name of the
 executable the user intends to execute. It supports basic black and
 white list functionality, but also more complex VOMS-based
 expressions, based on the GACL language.
 .
 This package contains the development libraries.

Package: liblcas0
Description-md5: 6cca8a560bbb51e947237a95e4a6557d
Description-en: Local Centre Authorization Service runtime
 LCAS makes binary ('yes' or 'no') authorization decisions at the site
 and resource level. In making this decision, it can use a variety of
 inputs: the 'grid' name of the user (the Subject Distinguished Name),
 any VO attributes the user has (like VOMS FQANs), the name of the
 executable the user intends to execute. It supports basic black and
 white list functionality, but also more complex VOMS-based
 expressions, based on the GACL language.
 .
 This package contains the run-time library.

Package: liblchown-perl
Description-md5: 130bbc9b61138d8e0252e4330319a1b7
Description-en: Perl interface to the lchown() system call
 Lchown provides a perl interface to the lchown(2) UNIX system call. The
 lchown(2) call is useful to change the ownership and group of symbolic links,
 rather than changing that information for the referent (real target) of these
 links.

Package: liblcm-bin
Description-md5: 37e1b486a3d3b2c643d483e92260affd
Description-en: Lightweight Communications and Marshalling
 LCM is a set of libraries and tools for message passing and data marshalling,
 targeted at real-time systems where high-bandwidth and low latency are
 critical. It provides a publish/subscribe message passing model and automatic
 marshalling/unmarshalling code generation with bindings for applications in a
 variety of programming languages.
 .
 This package provides the executable tools.

Package: liblcm-dev
Description-md5: 358e5f1cdb765fa8fc5a9eb461ecd44d
Description-en: Lightweight Communications and Marshalling
 LCM is a set of libraries and tools for message passing and data marshalling,
 targeted at real-time systems where high-bandwidth and low latency are
 critical. It provides a publish/subscribe message passing model and automatic
 marshalling/unmarshalling code generation with bindings for applications in a
 variety of programming languages.
 .
 This package provides the runtime libraries.

Package: liblcm-doc
Description-md5: d0cb3eb9e1c4a3fe48f4d82e3e331004
Description-en: Lightweight Communications and Marshalling
 LCM is a set of libraries and tools for message passing and data marshalling,
 targeted at real-time systems where high-bandwidth and low latency are
 critical. It provides a publish/subscribe message passing model and automatic
 marshalling/unmarshalling code generation with bindings for applications in a
 variety of programming languages.
 .
 This package contains the documentation

Package: liblcm-java
Description-md5: 108dd2e7ef9ac0a35e1670fa8e0b01bd
Description-en: Lightweight Communications and Marshalling
 LCM is a set of libraries and tools for message passing and data marshalling,
 targeted at real-time systems where high-bandwidth and low latency are
 critical. It provides a publish/subscribe message passing model and automatic
 marshalling/unmarshalling code generation with bindings for applications in a
 variety of programming languages.
 .
 This package provides the java interface.

Package: liblcm-lua
Description-md5: 1a091f344b7870a9431209e84a9631f2
Description-en: Lightweight Communications and Marshalling
 LCM is a set of libraries and tools for message passing and data marshalling,
 targeted at real-time systems where high-bandwidth and low latency are
 critical. It provides a publish/subscribe message passing model and automatic
 marshalling/unmarshalling code generation with bindings for applications in a
 variety of programming languages.
 .
 This package provides the lua interface.

Package: liblcm1
Description-md5: 358e5f1cdb765fa8fc5a9eb461ecd44d
Description-en: Lightweight Communications and Marshalling
 LCM is a set of libraries and tools for message passing and data marshalling,
 targeted at real-time systems where high-bandwidth and low latency are
 critical. It provides a publish/subscribe message passing model and automatic
 marshalling/unmarshalling code generation with bindings for applications in a
 variety of programming languages.
 .
 This package provides the runtime libraries.

Package: liblcmaps-dev
Description-md5: 725bd457bed333109c253421c99257e0
Description-en: LCMAPS development libraries
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 It is a highly configurable pluggable interface, and many plugins are
 available to tailor almost every need. Since this is middleware, it
 does not interact with the user directly; to use it in a program please
 see the lcmaps-*-interface packages.
 .
 This package contains the development libraries.

Package: liblcmaps-without-gsi-dev
Description-md5: 8110af25cbc821b3b19793cf38974548
Description-en: LCMAPS development libraries (Without GSI)
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 It is a highly configurable pluggable interface, and many plugins are
 available to tailor almost every need. Since this is middleware, it
 does not interact with the user directly; to use it in a program please
 see the lcmaps-*-interface packages.
 .
 This version is built without support for the GSI protocol.
 This package contains the development libraries.

Package: liblcmaps-without-gsi0
Description-md5: 90fa020934f817875b8c97ad24c21656
Description-en: Grid mapping service without GSI
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 It is a highly configurable pluggable interface, and many plugins are
 available to tailor almost every need. Since this is middleware, it
 does not interact with the user directly; to use it in a program please
 see the lcmaps-interface package.
 .
 This package contains an implementation without GSI protocol support.

Package: liblcmaps0
Description-md5: 2f3b65e660650460dac55b7c45767aa1
Description-en: Grid (X.509) and VOMS credentials to local account mapping service
 The Local Centre MAPping Service (LCMAPS) is a security middleware
 component that processes the users Grid credentials (typically X.509
 proxy certificates and VOMS attributes) and maps the user to a local
 account based on the site local policy.
 .
 It is a highly configurable pluggable interface, and many plugins are
 available to tailor almost every need. Since this is middleware, it
 does not interact with the user directly; to use it in a program please
 see the lcmaps-interface package.
 .
 This package contains the run-time library necessary to use the framework.

Package: libldap-java
Description-md5: 97b31ec31aedff167c52eb2eb556e08c
Description-en: Netscape Directory SDK for Java
 The Netscape Directory SDK for Java includes the LDAP Java classes,
 which you use to build LDAP clients. The LDAP Java classes allow you
 to write applets and applications that can connect to LDAP servers
 and perform standard LDAP operations (for example, you can search
 for entries or add, update, or delete entries).

Package: libldap-ocaml-dev
Description-md5: 7b20c3d2c5f6c4acfa94587b72a7d8c4
Description-en: LDAP bindings for OCaml
 It supports the core ldap-client functions, including search, add,
 modify, and delete.
 .
 It has now an object oriented interface which supports the above
 features, and some additional ones. Such as, nice data structures
 for local ldap entries which record local modifications and can sync
 them with the server, fewer arguments needed to perform simple
 tasks, and an API modeled after Perl's Net::LDAP.

Package: libldm-1.0-0
Description-md5: 04aac0f9901355231356fb6736d23e1b
Description-en: library for managing Microsoft Windows dynamic disks
 libldm is a library for managing Microsoft Windows dynamic disks,
 which use Microsoft's LDM metadata. It can inspect them, and also
 create and remove device-mapper block devices which can be mounted.

Package: libldm-dev
Description-md5: d1bfb938ce49331b25cdd15a7478fdae
Description-en: library for managing Microsoft Windows dynamic disks
 libldm is a library for managing Microsoft Windows dynamic disks,
 which use Microsoft's LDM metadata. It can inspect them, and also
 create and remove device-mapper block devices which can be mounted.
 .
 This package includes the headers

Package: libldns-dev
Description-md5: 9c5cede131109e169368cf05ff7fe275
Description-en: ldns library for DNS programming
 The goal of ldns is to simplify DNS programming, it supports recent RFCs
 like the DNSSEC documents, and allows developers to easily create software
 conforming to current RFCs, and experimental software for current Internet
 Drafts.
 .
 This package contains development libraries and headers.

Package: libldns2
Description-md5: 9b40654210a01ddf0000bb2d232d5a08
Description-en: ldns library for DNS programming
 The goal of ldns is to simplify DNS programming, it supports recent RFCs
 like the DNSSEC documents, and allows developers to easily create software
 conforming to current RFCs, and experimental software for current Internet
 Drafts.
 .
 This package contains shared libraries.

Package: libleatherman-dev
Description-md5: 501cdaa141767dcb81881a55f17d99ac
Description-en: collection of C++ and CMake utility libraries - development files
 Leatherman is a collection of C++ and CMake utility libraries, primarily used
 in the Puppet ecosystem.
 .
 This package contains the C++ headers and the CMake utility libraries.

Package: libleatherman1.4.2
Description-md5: 1da2424d22ef715d5ddc294d390976e6
Description-en: collection of C++ and CMake utility libraries - shared libraries
 Leatherman is a collection of C++ and CMake utility libraries, primarily used
 in the Puppet ecosystem.
 .
 This package contains the actual shared libraries.

Package: libledit-ocaml-dev
Description-md5: 44cf919b826bff2e61cf92762546b6ed
Description-en: OCaml line editor library
 Ledit is a line editor, allowing to use control commands like in emacs
 or in shells (bash, tcsh). To be used with interactive commands. It is
 written in OCaml and Camlp4 and uses the library unix.cma.
 .
 This package ships Ledit as a development library, so that you can use
 it to build interactive programs with line editing capabilities.

Package: liblemonldap-ng-common-perl
Description-md5: d0b1b116b90ac4255174dccda83adf20
Description-en: Lemonldap::NG common files
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorizations are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 Lemonldap::NG::Common contains common files used by other Lemonldap::NG
 modules.

Package: liblemonldap-ng-handler-perl
Description-md5: c31967de31456a28e089d30c57912036
Description-en: Lemonldap::NG handler common libraries
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorizations are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 Lemonldap::NG::Handler provides Perl libraries used by web server handlers.

Package: liblemonldap-ng-manager-perl
Description-md5: ab72e3f536bf2e52dc3ca8f4623dfb67
Description-en: Lemonldap::NG manager part
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorizations are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 Lemonldap::NG::Manager provides the administration interface.

Package: liblemonldap-ng-portal-perl
Description-md5: 2471e722dc7ca5e55c61400030c533ff
Description-en: Lemonldap::NG authentication portal part
 Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies
 or directly on application webservers. It can be used in conjunction with
 OpenID-Connect, CAS and SAML systems as identity or service provider. It can
 also be used as proxy between those federation systems.
 .
 It manages both authentication and authorization and provides headers for
 accounting. So you can have a full AAA protection. Authorizations are built by
 associating a regular expression and a rule. Regular expression is applied on
 the requested URL and the rule calculates if the user is authorized.
 .
 Lemonldap::NG::Portal provides the authentication portal.

Package: liblensfun-bin
Description-md5: 3ca00ceacd10be96cddbffc0d04630d6
Description-en: Lens Correction library - Utilities
 Lensfun is an opensource database of photographic lenses and their
 characteristics. It contains three kinds of objects:
  * mounts
  * cameras
  * lenses
 .
 It is used in various photo-related software in which it allows the
 correction of various artifacts:
  * distortion
  * transveral (also known as lateral) chromatic aberrations
  * vignetting
  * colour contribution of the lens (correcting said "yellowish" or "blueish"
    images)
 .
 It provides a way to read and search for specific things in the database.
 .
 This package contains the utilities.

Package: liblensfun-data-v1
Description-md5: 3504681381b08dbeaf296a065aa5e57c
Description-en: Lens Correction library - Data
 Lensfun is an opensource database of photographic lenses and their
 characteristics. It contains three kinds of objects:
  * mounts
  * cameras
  * lenses
 .
 It is used in various photo-related software in which it allows the
 correction of various artifacts:
  * distortion
  * transveral (also known as lateral) chromatic aberrations
  * vignetting
  * colour contribution of the lens (correcting said "yellowish" or "blueish"
    images)
 .
 It provides a way to read and search for specific things in the database.
 .
 This package contains the data collection.

Package: liblensfun-dev
Description-md5: b4fe2db5f17badc3f5039ae5edc6e3ea
Description-en: Lens Correction library - Development files
 Lensfun is an opensource database of photographic lenses and their
 characteristics. It contains three kinds of objects:
  * mounts
  * cameras
  * lenses
 .
 It is used in various photo-related software in which it allows the
 correction of various artifacts:
  * distortion
  * transveral (also known as lateral) chromatic aberrations
  * vignetting
  * colour contribution of the lens (correcting said "yellowish" or "blueish"
    images)
 .
 It provides a way to read and search for specific things in the database.
 .
 This package contains the development files.

Package: liblensfun-doc
Description-md5: dd10710bee208ac2aa4a787e650f41ee
Description-en: Lens Correction library - Documentation
 Lensfun is an opensource database of photographic lenses and their
 characteristics. It contains three kinds of objects:
  * mounts
  * cameras
  * lenses
 .
 It is used in various photo-related software in which it allows the
 correction of various artifacts:
  * distortion
  * transveral (also known as lateral) chromatic aberrations
  * vignetting
  * colour contribution of the lens (correcting said "yellowish" or "blueish"
    images)
 .
 It provides a way to read and search for specific things in the database.
 .
 This package contains the documentation.

Package: liblensfun1
Description-md5: 9b24c763287114a7bfe88c05eb57f92f
Description-en: Lens Correction library - Runtime files
 Lensfun is an opensource database of photographic lenses and their
 characteristics. It contains three kinds of objects:
  * mounts
  * cameras
  * lenses
 .
 It is used in various photo-related software in which it allows the
 correction of various artifacts:
  * distortion
  * transveral (also known as lateral) chromatic aberrations
  * vignetting
  * colour contribution of the lens (correcting said "yellowish" or "blueish"
    images)
 .
 It provides a way to read and search for specific things in the database.
 .
 This package contains the runtime files to access the library.

Package: liblept5
Description-md5: 5351dcd6181592772614a51725a8d065
Description-en: image processing library
 Well-tested C library for some basic image processing operations,
 along with a description of the functions and some design methods. A
 full set of affine transformations (translation, shear, rotation,
 scaling) on images of all depths is included, with the exception that
 some of the scaling methods do not work at all depths. There are also
 implementations of binary morphology, grayscale morphology,
 convolution and rank order filters, and applications such as jbig2
 image processing and color quantization. You will also find basic
 utilities for the safe and efficient handling of arrays (of strings,
 numbers, number pairs and image-related geometrical objects), byte
 queues, generic stacks, generic lists, and endian-independent
 indexing into 32-bit arrays.

Package: libleptonica-dev
Description-md5: 5351dcd6181592772614a51725a8d065
Description-en: image processing library
 Well-tested C library for some basic image processing operations,
 along with a description of the functions and some design methods. A
 full set of affine transformations (translation, shear, rotation,
 scaling) on images of all depths is included, with the exception that
 some of the scaling methods do not work at all depths. There are also
 implementations of binary morphology, grayscale morphology,
 convolution and rank order filters, and applications such as jbig2
 image processing and color quantization. You will also find basic
 utilities for the safe and efficient handling of arrays (of strings,
 numbers, number pairs and image-related geometrical objects), byte
 queues, generic stacks, generic lists, and endian-independent
 indexing into 32-bit arrays.

Package: libleveldb-api-java
Description-md5: c938ec520b416b58343c917afe952542
Description-en: High level Java API for LevelDB
 Port of LevelDB in Java with the goal of having a feature complete
 implementation that is within 10% of the performance of the C++ original
 and produces byte-for-byte exact copies of the C++ code.
 .
 This package contains the high level API for LevelDB.

Package: libleveldb-cil-dev
Description-md5: 8f2107373da1d497bcfac37827da9f79
Description-en: portable C# binding for the C API of the LevelDB library - development files
 LevelDB is a fast key-value storage library written at Google that
 provides an ordered mapping from string keys to string values.
 .
 This package contains development files for the C# bindings for
 LevelDB, and should be used for compilation.

Package: libleveldb-java
Description-md5: ca0be0ae013811613dcded23f0e4d255
Description-en: Port of LevelDB to Java
 Port of LevelDB in Java with the goal of having a feature complete
 implementation that is within 10% of the performance of the C++ original
 and produces byte-for-byte exact copies of the C++ code.
 .
 This package contains the implementation of the LevelDB API.

Package: libleveldb1.2-cil
Description-md5: 29d7da7637d75ccb423a21c5e34928ee
Description-en: portable C# binding for the C API of the LevelDB library
 LevelDB is a fast key-value storage library written at Google that
 provides an ordered mapping from string keys to string values.
 .
 This package provides C# bindings for LevelDB

Package: liblexical-accessor-perl
Description-md5: afafa5aba56a50e8b088bc1c5cf2a17c
Description-en: true private attributes for Moose/Moo/Mouse
 Lexical::Accessor generates coderefs which can be used as methods to
 access private attributes for objects.
 .
 The private attributes are stored inside-out, and do not add any
 accessors to the class' namespace, so are completely invisible to any
 outside code, including any subclasses. This gives your attribute
 complete privacy:  subclasses can define a private (or even public)
 attribute with the same name as your private one and they will not
 interfere with each other.

Package: liblexical-failure-perl
Description-md5: 9c8be0534036c5a3221fa83ce4b15991
Description-en: Perl module for user-selectable lexically-scoped failure signaling
 Lexical::Failure sets up two new keywords: fail and ON_FAILURE, with which
 you can quickly create modules whose failure signaling is lexcially scoped,
 under the control of client code.
 .
 Normally, modules specify some fixed mechanism for error handling and require
 client code to adapt to that policy. One module may signal errors by
 returning undef, or perhaps some special "error object". Another may die or
 croak on failure. A third may set a flag variable. A fourth may require the
 client code to set up a callback, which is executed on failure.
 .
 If you are using all four modules, your own code now has to check for failure
 in four different ways, depending on where the failing component originated.
 If you would rather that all components throw exceptions, or all return
 undef, you will probably have to write wrappers around 3/4 of them, to
 convert from their "native" failure mechanism to your preferred one.

Package: liblexical-persistence-perl
Description-md5: db7ea5b22610e50e80f9226647bca8d4
Description-en: module for accessing persistent data through lexical variables
 Lexical::Persistence is a Perl data persistence framework that enables
 code to access persistent data through what looks like lexical
 variables. So, instead of passing data around explicitly, you can call
 functions through the Lexical::Persistence object and just use ordinary
 variables.

Package: liblexical-sealrequirehints-perl
Description-md5: b9c5697118d7348949143c754e242939
Description-en: Perl module to prevent the leakage of lexical hints
 Lexical::SealRequireHints is a module that works around two historical
 bugs in Perl's handling of the %^H (lexical hints) variable, which cause
 lexical state in one file to leak into another.

Package: liblexical-underscore-perl
Description-md5: 2dfb0364afbd1442ae6d05f3dd305d71
Description-en: access your caller's lexical underscore
 Starting with Perl 5.10, it is possible to create a lexical version of
 the Perl default variable $_. Certain Perl constructs like the "given"
 keyword automatically use a lexical $_ rather than the global $_.
 .
 It is occasionallly useful for a sub to be able to access its caller's
 $_ variable regardless of whether it was lexical or not. The "(_)" sub
 prototype is the official way to do so, however there are sometimes
 disadvantages to this; in particular it can only appear as the final
 required argument in a prototype, and there is no way of the sub
 differentiating between an explicitly passed argument and $_.
 .
 lexical::underscore allows you to access your caller's lexical $_
 variable as easily as:
 .
  ${lexical::underscore()}

Package: liblexical-var-perl
Description-md5: 4baea79b9f897f5d816cc1af5039dced
Description-en: Perl module for using static variables without namespace pollution
 Lexical::Var implements lexical scoping of subroutines. Although it can be
 used directly, it is mainly intended to be infrastructure for modules that
 manage namespaces.
 .
 This module influences the meaning of single-part subroutine names that
 appear directly in code, such as "&foo" and "foo(123)". Normally, in the
 absence of any particular declaration, these would refer to the subroutine of
 that name located in the current package. A Lexical::Sub declaration can
 change this to refer to any particular subroutine, bypassing the package
 system entirely. A subroutine name that includes an explicit package part,
 such as "&main::foo", always refers to the subroutine in the specified
 package, and is unaffected by this module. A symbolic reference through a
 string value, such as "&{'foo'}", also looks in the package system, and so is
 unaffected by this module.
 .
 The types of name that can be influenced are scalar ("$foo"), array
 ("@foo"), hash ("%foo"), subroutine ("&foo"), and glob ("*foo").

Package: liblfunction-dev
Description-md5: a8f585ae8d07cafd0fdc90ebc5b85e8a
Description-en: development files for liblfunction
 liblfunction is a library for computing zeros and values of L-functions.
 Supported L-functions include the Riemann zeta function, the
 L-function of the Ramanujan delta function, and L-functions
 of elliptic curves defined over the rationals.
 .
 This package contains the development files for the library.

Package: liblfunction0
Description-md5: 48ca7189c64627d8f90a505f3109e2af
Description-en: library for calculating with L-functions
 liblfunction is a library for computing zeros and values of L-functions.
 Supported L-functions include the Riemann zeta function, the
 L-function of the Ramanujan delta function, and L-functions
 of elliptic curves defined over the rationals.

Package: liblgooddatepicker-java
Description-md5: c2de1ddb7e812763ebc2a47608eab5d8
Description-en: Java Swing Date Picker library.
 LGoodDatePicker is a graphical date picker widget with following features.
   - Easy to use, good looking, nice features, and localized.
   - Provides automatic internationalization.
   - Translations include 24 languages.
   - Natively uses the standard Java 8 time package (java.time.LocalDate).
     (Can also run in Java 6 or 7, using the Parallel Backports.)
   - Uses the JSR-310 standard.

Package: liblgooddatepicker-java-doc
Description-md5: 63700e53c1a44cac65a28615e4575a5e
Description-en: Documentation for the LGoodDatePicker library.
 LGoodDatePicker is a graphical date picker widget with following features.
   - Easy to use, good looking, nice features, and localized.
   - Provides automatic internationalization.
   - Translations include 24 languages.
   - Natively uses the standard Java 8 time package (java.time.LocalDate).
     (Can also run in Java 6 or 7, using the Parallel Backports.)
   - Uses the JSR-310 standard.
 .
 This package contains the API documentation of liblgooddatepicker-java.

Package: liblhasa-dev
Description-md5: 1c2848e7386d75d8fe99f6b0d8b7f45a
Description-en: lzh decompression library - development files
 Lhasa is a library for parsing LHA (.lzh) archives and a free
 replacement for the Unix LHA tool.
 .
 Currently it is only possible to read from (ie. decompress) archives;
 generating (compressing) LHA archives may be an enhancement for future
 versions. The aim is to be compatible with as many different variants
 of the LHA file format as possible, including LArc (.lzs) and PMarc
 (.pma).

Package: liblhasa0
Description-md5: fcb1dd95c974dd8181635d0fe05b3863
Description-en: lzh archive decompression library
 Lhasa is a library for parsing LHA (.lzh) archives and a free
 replacement for the Unix LHA tool.
 .
 Currently it is only possible to read from (ie. decompress) archives;
 generating (compressing) LHA archives may be an enhancement for future
 versions. The aim is to be compatible with as many different variants
 of the LHA file format as possible, including LArc (.lzs) and PMarc
 (.pma).

Package: liblib-abs-perl
Description-md5: 6d4ad2618dab123a2c53e322e70a7458
Description-en: module to make relative path absolute to caller
 The main reason of the lib::abs module is transformate relative paths to
 absolute at the BEGIN stage, and push transformed to @INC. Relative path
 basis is not the current working directory, but the location of file, where
 the statement is (caller file).

Package: liblibrary-callnumber-lc-perl
Description-md5: a0959683ee25785a8b377e78106f58ac
Description-en: utility functions to deal with Library-of-Congress call numbers
 Library::CallNumber::LC is mostly designed to do call number normalization,
 with the following goals:
 .
  * The normalized call numbers are comparable with each other, for proper
    sorting
  * The normalized call number is a short as possible, so left-anchored
    wildcard searches are possible (e.g., searching on "A11*" should give you
    all the A11 call numbers)
  * A range defined by start_of_range and end_of_range should be correct,
    assuming that the string given for the end of the range is, in fact, a
    left prefix
 .
 That last point needs some explanation. The idea is that if someone gives a
 range of, say, A-AZ, what they really mean is A - AZ9999.99. The end_of_range
 method pads the given call number out to three cutters if need be. There is no
 attempt to make end_of_range normalization correspond to anything in real life.

Package: liblief-dev
Description-md5: c8165a50817cc2e2cb2b5ffdda674983
Description-en: Library to Instrument Executable Formats -- development files
 LIEF is a library for parsing, modifying ELF, PE, and MachO formats.
 .
 This package contains the static library, header files, and examples.

Package: liblief0
Description-md5: 26dbb632e77c0c1cb16ea7056ccbc49e
Description-en: Library to Instrument Executable Formats
 LIEF is a library for parsing, modifying ELF, PE, and MachO formats.
 Its main features include:
 .
  - Parsing: LIEF can parse ELF, PE, MachO and provides an user-friendly
    API to access to format internals.
  - Modify: It enables to modify some parts of these formats
  - Abstract: Three formats have common features like sections, symbols,
    entry point, etc.. LIEF factors them.
  - API support: LIEF can be used in C, C++, and Python.
 .
 This package contains the shared library.

Package: libliggghts-dev
Description-md5: bd0518b7882ae590f9f2a01777707449
Description-en: Open Source DEM Particle Simulation Software. Development files
 LIGGGHTS stands for LAMMPS improved for general granular and granular
 heat transfer simulations.
 .
 LAMMPS is a classical molecular dynamics simulator. It is widely used in
 the field of Molecular Dynamics. Thanks to physical and algorithmic analogies,
 LAMMPS is a very good platform for DEM simulations. LAMMPS offers a GRANULAR
 package to perform these kind of simulations. LIGGGHTS aims to improve those
 capability with the goal to apply it to industrial applications.
 Development version.
 .
 The package contains development files.

Package: libliggghts3
Description-md5: dfef3659090bbf7e942ebb5469c86902
Description-en: Open Source DEM Particle Simulation Software. Shared library
 LIGGGHTS stands for LAMMPS improved for general granular and granular
 heat transfer simulations.
 .
 LAMMPS is a classical molecular dynamics simulator. It is widely used in
 the field of Molecular Dynamics. Thanks to physical and algorithmic analogies,
 LAMMPS is a very good platform for DEM simulations. LAMMPS offers a GRANULAR
 package to perform these kind of simulations. LIGGGHTS aims to improve those
 capability with the goal to apply it to industrial applications.
 Development version.
 .
 The package contains shared library.

Package: liblightcouch-java
Description-md5: be61b73592f853999fd2fc3cc2543444
Description-en: LightCouch - CouchDB Java API
 LightCouch aims at providing a simple and easy-to-use APIs for accessing
 CouchDB databases. It offers a powerful and lightweight persistence interface
 with minimal code base and dependency.

Package: liblightdm-gobject-1-0
Description-md5: 6c3bad2fb3c13c192ee2080b06f2b9b2
Description-en: LightDM GObject client library
 A GObject based library for LightDM clients to use to interface with LightDM.

Package: liblightdm-gobject-1-dev
Description-md5: 7b47429d527a03b25da17dce04d74b8e
Description-en: LightDM GObject client library (development files)
 A GObject based library for LightDM clients to use to interface with LightDM.
 .
 This package contains header files and development information, which
 is useful for building LightDM greeters and user switchers.

Package: liblightdm-gobject-1-doc
Description-md5: ed7ca117d51a427f54238d598a2c843e
Description-en: LightDM client library (documentation)
 A GObject based library for LightDM clients to use to interface with LightDM.
 .
 This package contains HTML documentation.

Package: liblightdm-qt5-3-0
Description-md5: 14bad12e84d0c8c995dabc65095c9b02
Description-en: LightDM Qt 5 client library
 A Qt 5 based library for LightDM clients to use to interface with LightDM.

Package: liblightdm-qt5-3-dev
Description-md5: befe4f3f090b62ea12a3d96e66eeecbd
Description-en: LightDM Qt 5 client library (development files)
 A Qt 5 based library for LightDM clients to use to interface with LightDM.
 .
 This package contains header files and development information, which
 is useful for building LightDM greeters and user switchers.

Package: liblightify-dev
Description-md5: ba1766015e20aae606c92a8a70ea577d
Description-en: library to control OSRAM Lightify (development files)
 Lightify the OSRAM's product line of inteligent light sources, controlled via
 the Lightify gateway, acting as a bridge for ZigBee Light Link protocol.
 .
 This C library offers a API to directly talk to the Lightify gateway via TCP/IP
 and control the attached lamps.
 .
 The library supports control functions -- like setting the color, color
 temperature, dim level and on/off control -- and management functions like
 .
 Note: A ZigBee is standardized, all ZigBee LightLink compatible products
 should work with this library, when controlled using the OSRAM Lightify
 gateway.
 .
 This package contains the development files.

Package: liblightify-doc
Description-md5: 0e43fe283f18c060e7a56af1228580aa
Description-en: library to control OSRAM Lightify -- API documentation
 Lightify the OSRAM's product line of inteligent light sources, controlled via
 the Lightify gateway, acting as a bridge for ZigBee Light Link protocol.
 .
 This C library offers a API to directly talk to the Lightify gateway via TCP/IP
 and control the attached lamps.
 .
 The library supports control functions -- like setting the color, color
 temperature, dim level and on/off control -- and management functions like
 .
 Note: A ZigBee is standardized, all ZigBee LightLink compatible products
 should work with this library, when controlled using the OSRAM Lightify
 gateway.
 .
 This package contains the doxygen generated API documentation.

Package: liblightify0
Description-md5: 1faef10db78c9856921530262006521f
Description-en: library to control OSRAM Lightify
 Lightify the OSRAM's product line of inteligent light sources, controlled via
 the Lightify gateway, acting as a bridge for ZigBee Light Link protocol.
 .
 This C library offers a API to directly talk to the Lightify gateway via TCP/IP
 and directly control the attached lamps.
 .
 The library supports control functions -- like setting the color, color
 temperature, dim level and on/off control -- and management functions like
 .
 Note: A ZigBee is standardized, all ZigBee LightLink compatible products
 should work with this library, when controlled using the OSRAM Lightify
 gateway.

Package: liblightvalue-generator-java
Description-md5: 9e6970363afd161f02f09513a6276071
Description-en: Generator of lightweight Java value/model classes
 LightValue is made to generate models for libraries as value classes, which
 are also JSON serialization friendly.
 .
 The primary goal is:
 .
 * NO mandatory runtime DEPENDENCIES for generated value classes.

Package: liblightvalue-gradle-plugin-java
Description-md5: 77893b155936553f2c5ad7ac02a3a8f8
Description-en: Gradle plugin for LightValue generator
 The package provides gradle plugin for LightValue generator.
 .
 LightValue is made to generate models for libraries as value classes, which
 are also JSON serialization friendly.
 .
 The primary goal is:
 .
 * NO mandatory runtime DEPENDENCIES for generated value classes.

Package: liblilv-0-0
Description-md5: d7a961f5c50bec814087fe7b3bb663c9
Description-en: library for simple use of LV2 plugins
 Lilv (formerly SLV2) is a library for LV2 hosts intended to make using
 LV2 Plugins as simple as possible (without sacrificing capabilities).
 .
 Lilv is the successor to SLV2, rewritten to be significantly faster
 and have minimal dependencies.
 .
 This package provides the shared library.

Package: liblilv-dev
Description-md5: 253b207d03b320f290334ece7fc563a9
Description-en: library for simple use of LV2 plugins (development files)
 Lilv (formerly SLV2) is a library for LV2 hosts intended to make using
 LV2 Plugins as simple as possible (without sacrificing capabilities).
 .
 Lilv is the successor to SLV2, rewritten to be significantly faster
 and have minimal dependencies.
 .
 This package provides the development files.

Package: liblimesuite-dev
Description-md5: 71d10c345b86c0e0385f94bacf2ba792
Description-en: library to access LMS7 transceiver based hardware (development)
 Lime Suite is a collection of software supporting Lime Microsystems LMS7 RF
 transceiver based hardware such as the LimeSDR, LMS7002M UNITE board, or the
 Novena with LMS7 RF board.
 .
 This package contains the development files for liblimesuite.

Package: liblimesuite-doc
Description-md5: c283d5feacbaa1762b048964d693757b
Description-en: liblimesuite development documentation
 Lime Suite is a collection of software supporting Lime Microsystems LMS7 RF
 transceiver based hardware such as the LimeSDR, LMS7002M UNITE board, or the
 Novena with LMS7 RF board.
 .
 This package contains the development documentation for liblimesuite.

Package: liblimesuite20.01-1
Description-md5: 6a1dd8f74ec18cea3776817f2209aa59
Description-en: library to access LMS7 transceiver based hardware
 Lime Suite is a collection of software supporting Lime Microsystems LMS7 RF
 transceiver based hardware such as the LimeSDR, LMS7002M UNITE board, or the
 Novena with LMS7 RF board.
 .
 This package contains the shared library to access LMS7 based hardware.

Package: liblinbox-1.6.3-0
Description-md5: 30fbe0b4cf27a8d9d9f38f65a50b2cb9
Description-en: Library for exact linear algebra - shared library
 LinBox is a C++ template library for exact, high-performance
 linear algebra computation with dense, sparse, and structured
 matrices over the integers and over finite fields.
 .
 This package contains the LinBox shared library.

Package: liblinbox-dev
Description-md5: 3d70aca298c532fd1b106b13cb6b1166
Description-en: Library for exact linear algebra - development files
 LinBox is a C++ template library for exact, high-performance
 linear algebra computation with dense, sparse, and structured
 matrices over the integers and over finite fields.
 .
 This package contains the LinBox development files.

Package: liblinbox-doc
Description-md5: 4a042dd4696427b7972c8f2899ce9d9a
Description-en: LinBox Documentation
 LinBox is a C++ template library for exact, high-performance
 linear algebra computation with dense, sparse, and structured
 matrices over the integers and over finite fields.
 .
 This package provides the documentation of LinBox.

Package: liblinear-dev
Description-md5: 3220f8563b2ab0939512dfdf94c21574
Description-en: Development libraries and header files for LIBLINEAR
 LIBLINEAR is a library for learning linear classifiers for large scale
 applications. It supports Support Vector Machines (SVM) with L2 and L1
 loss, logistic regression, multi class classification and also Linear
 Programming Machines (L1-regularized SVMs). Its computational complexity
 scales linearly with the number of training examples making it one of
 the fastest SVM solvers around.
 .
 This package contains the header files and static libraries.

Package: liblinear-tools
Description-md5: 9f200c7831938a7e2fa45f605ff3452a
Description-en: Standalone applications for LIBLINEAR
 LIBLINEAR is a library for learning linear classifiers for large scale
 applications. It supports Support Vector Machines (SVM) with L2 and L1
 loss, logistic regression, multi class classification and also Linear
 Programming Machines (L1-regularized SVMs). Its computational complexity
 scales linearly with the number of training examples making it one of
 the fastest SVM solvers around. It also provides Python bindings.
 .
 This package contains the standalone applications.

Package: liblinear4
Description-md5: 8be0b1ca2e45a87f4eaaf60dcb3c7370
Description-en: Library for Large Linear Classification
 LIBLINEAR is a library for learning linear classifiers for large scale
 applications. It supports Support Vector Machines (SVM) with L2 and L1
 loss, logistic regression, multi class classification and also Linear
 Programming Machines (L1-regularized SVMs). Its computational complexity
 scales linearly with the number of training examples making it one of
 the fastest SVM solvers around. It also provides Python bindings.
 .
 This package contains the shared libraries.

Package: liblingua-en-fathom-perl
Description-md5: 7fc26d369c37941bcf27ed68bba53b3a
Description-en: Perl module for measuring readability of English text
 Lingua::EN::Fathom analyses English text in either a string or file. Totals
 are then calculated for the number of characters, words, sentences, blank and
 non blank (text) lines and paragraphs.
 .
 Three common readability statistics are also derived, the Fog, Flesch and
 Kincaid indices.
 .
 All of these properties can be accessed through individual methods, or by
 generating a text report.
 .
 A hash of all unique words and the number of times they occur is generated.

Package: liblingua-en-findnumber-perl
Description-md5: d4df7be705b8245d68e0c0607e93e73b
Description-en: module for locating (written) numbers in English text
 Lingua::EN::FindNumber provides a regular expression for finding numbers in
 English text. It also provides functions for extracting and manipulating such
 numbers.

Package: liblingua-en-inflect-number-perl
Description-md5: b7d0fbf7173cc2ce868cf1b3b4cbc75a
Description-en: Perl module to force number of words to singular or plural
 The Lingua::EN::Inflect::Number module extends the functionality of
 Lingua::EN::Inflect (the liblingua-en-inflect-perl package) with three new
 functions.
 .
 number() takes a word, and determines its number. It returns whether
 the word is singular, plural, or ambiguous for words that can be
 either singular or plural.
 .
 Based on the number() function there are to_S() and to_PL() which
 take a word and convert it forcefully either to singular or to
 plural. In Lingua::EN::Inflect funny things happen if you try to
 pluralise an already-plural word, but this module does the right
 thing.

Package: liblingua-en-inflect-perl
Description-md5: 61155cde9d18a04a2b0a8902f3994062
Description-en: Perl module to pluralize English words
 Lingua::EN::Inflect is a Perl module which can pluralize words in English. It
 handles the special cases, and support nouns, verbs, and adjectives. It can
 also pick between "a" and "an" based on pronunciation of a word and compare
 words in a "number-insensitive" manner.
 .
 Lingua::EN::Inflect follows the Oxford English Dictionary and the guidelines
 in Fowler's Modern English Usage, preferring the former where they disagree.
 Both British and American English are supported.

Package: liblingua-en-inflect-phrase-perl
Description-md5: b3f0580c1574dc61ec897ad64e12492a
Description-en: module for inflecting short English phrases
 Lingua::EN::Inflect::Phrase is a perl module which can be used to pluralize
 or singularize short English phrases.

Package: liblingua-en-namecase-perl
Description-md5: 25a86ba9c6040c23091314e8ced285c4
Description-en: Perl module to fix the case of people's names
 Forenames and surnames are often stored either wholly in UPPERCASE
 or wholly in lowercase. This module allows you to convert names into
 the correct case where possible.
 .
 Although forenames and surnames are normally stored separately, if they
 do appear in a single string, whitespace separated, NameCase and nc deal
 correctly with them.
 .
 NameCase currently correctly name cases names which include any of the
 following:
 Mc, Mac, al, el, ap, da, de, delle, della, di, du, del, der,
 la, le, lo, van and von.
 .
 It correctly deals with names which contain apostrophies and hyphens too.

Package: liblingua-en-nameparse-perl
Description-md5: 96dcd526e31c8c5567898bd2f24fce8a
Description-en: module for parsing a person's name in free text
 Lingua::EN::NameParse takes as input a person or persons name in
 free format text such as,
 .
  Mr AB & M/s CD MacNay-Smith
  MR J.L. D'ANGELO
  Estate Of The Late Lieutenant Colonel AB Van Der Heiden
 .
 and attempts to parse it. If successful, the name is broken
 down into components and useful functions can be performed such as:
 .
  converting upper or lower case values to name case (Mr AB MacNay   )
  creating a personalised greeting or salutation     (Dear Mr MacNay )
  extracting the names individual components         (Mr,AB,MacNay   )
  determining the type of format the name is in      (Mr_A_Smith     )

Package: liblingua-en-number-isordinal-perl
Description-md5: f45943a5167fb66c17c1468b7177d61a
Description-en: module for detecting English ordinal numbers
 Lingua::EN::Number::IsOrdinal will tell you if a number, either in words or
 as digits, is a cardinal or ordinal number
 <http://www.ego4u.com/en/cram-up/vocabulary/numbers/ordinal>.
 .
 This is useful if you e.g. want to distinguish these types of numbers found
 with Lingua::EN::FindNumber and take different actions.

Package: liblingua-en-numbers-ordinate-perl
Description-md5: da498b16b8fc8a130f9946e524ebf3f8
Description-en: Perl module to convert from cardinal numbers to ordinal numbers
 This is the CPAN Perl module Lingua::EN::Numbers::Ordinate.
 .
 There are two kinds of numbers in English -- cardinals (1,
 2, 3...), and ordinals (1st, 2nd, 3rd...).  This module
 provides functions for generating the ordinal form of a number,
 given its cardinal value.

Package: liblingua-en-sentence-perl
Description-md5: ca6ef3a939814f1ab9982bcc0814d7d8
Description-en: Perl module to split text into sentences
 The Lingua::EN::Sentence module contains the function get_sentences, which
 splits text into its constituent sentences, based on a regular expression and
 a list of abbreviations (built in and given).
 .
 Certain well know exceptions, such as abbreviations, may cause incorrect
 segmentations. But some of them are already integrated into this code and are
 being taken care of. Still, if you see that there are words causing the
 get_sentences function to fail, you can add those to the module, so it
 notices them.

Package: liblingua-en-syllable-perl
Description-md5: 51b5c9b335fbfc9aeb7e7a89bf1bad2e
Description-en: module to estimate syllable count in English words
 Lingua::EN::Syllable::syllable() estimates the number of syllables in the
 English word passed to it.
 .
 Note that it isn't entirely accurate... it fails (by one syllable) for about
 10-15% of the words. The only way to get a 100% accurate count is to do a
 dictionary lookup, so this is a small and fast alternative where more-or-less
 accurate results will suffice, such as estimating the reading level of a
 document.

Package: liblingua-en-tagger-perl
Description-md5: 9df46a402c637549fbe5291ef78db0aa
Description-en: part-of-speech tagger for English natural language processing
 Lingua::EN::Tagger is a perl module which implements a probability based,
 corpus-trained tagger that assigns POS tags to English text based on a lookup
 dictionary and a set of probability values. The tagger assigns appropriate
 tags based on conditional probabilities - it examines the preceding tag to
 determine the appropriate tag for the current word. Unknown words are
 classified according to word morphology or can be set to be treated as nouns
 or other parts of speech.
 .
 The tagger also extracts as many nouns and noun phrases as it can, using a
 set of regular expressions.

Package: liblingua-en-words2nums-perl
Description-md5: cdb2cf5cd5cf5cef81a13e27a30725a8
Description-en: convert English text to numbers
 A perl module that can parse a wide variety of English text
 and deduce the number it represents. For example, it can convert
 "five million, one thousand and sixteen" to 5001016, and
 "twenty-seventh" to 27.

Package: liblingua-es-numeros-perl
Description-md5: d9dbabdf9f42792c2a3e350cabcca6cf
Description-en: Perl module to convert numbers to Spanish text
 Lingua::ES::Numeros is an arbitrary precision number converter to its textual
 representation in Spanish. Supports cardinals, ordinals and reals. As managed
 numbers are widely longer than Perl's native number management, these are
 handled as character strings, allowing unlimited growth on the conversion
 system.

Package: liblingua-ga-gramadoir-perl
Description-md5: 38ae521f2615e7ac171e6ac306c86139
Description-en: Irish language grammar checker
 This package is an Irish (gaeilge) language grammar checker.
 .
 It contains a Perl module for grammar checking in Irish, and a
 script for using this module at the command-line.

Package: liblingua-identify-perl
Description-md5: e755aab022f16d43c0e2d8822029a77a
Description-en: language identification module for perl
 Lingua::Identify identifies the language a given string or file is
 written in.  Lingua-Identify is a modular, portable,
 unicode-compatible method of language detection with support for 33
 languages (and growing), and 4 methods of language identification.  It
 has support for large inputs by utilizing only a section of the file.

Package: liblingua-ispell-perl
Description-md5: e3c5b65e9d4f81ded9755c9ae11eb88b
Description-en: Perl module encapsulating access to the ispell program
 Lingua::Ispell allows a perl program to communicate with an ispell
 process to check spellings of strings.  A sample program called
 `spellcheck' is provided.

Package: liblingua-preferred-perl
Description-md5: 66fbe2ee7e4b7c701f452c236f994791
Description-en: Perl module which allows language content negotiation
 This is the CPAN Perl module Lingua::Preferred.
 .
 Many web browsers let you specify which languages you understand.
 Then they negotiate with the web server to get documents in the best
 language possible.  This is something similar in Perl.

Package: liblingua-pt-stemmer-perl
Description-md5: 4d19914afa66f45e7998e1d14a4f37fb
Description-en: Portuguese language stemming
 This module implements a Portuguese stemming algorithm proposed in the
 paper "A Stemming Algorithm for the Portuguese Language" by
 Moreira, V. and Huyck, C.

Package: liblingua-sentence-perl
Description-md5: 926b174056eca59cdd6237f7ec597741
Description-en: Perl extension for breaking text paragraphs into sentences
 Lingua::Sentence allows splitting of text paragraphs into sentences.
 It is based on scripts developed by Philipp Koehn and Josh Schroeder
 for processing the Europarl corpus <http://www.statmt.org/europarl/>.
 .
 The module uses punctuation and capitalization clues to split
 paragraphs into an newline-separated string with one sentence per line.

Package: liblingua-stem-perl
Description-md5: 6fda8f362d0ff397d9555a19c640aa44
Description-en: Stemming of words
 This routine applies stemming algorithms to its parameters,
 returning the stemmed words as appropriate to the selected
 locale.
 .
 This package also includes the extra modules Lingua::Stem::Fr,
 Lingua::Stem::It, and Lingua::Stem::Ru.

Package: liblingua-stem-snowball-da-perl
Description-md5: 6751fc575d9a3de389f554e93674e27b
Description-en: Porters stemming algorithm for Denmark
 The stem function takes a scalar as a parameter and stems the word
 according to Martin Porters Danish stemming algorithm,
 which can be found at the Snowball website: http://snowball.tartarus.org/.
 .
 It also supports caching if you pass the use_cache option when constructing
 a new L:S:S:D object.

Package: liblingua-stem-snowball-perl
Description-md5: 531b72244acf72c95d9d8ea77e541af2
Description-en: Perl interface to Snowball stemmers
 Stemming reduces related words to a common root form. For instance, "horse",
 "horses", and "horsing" all become "hors".  Most commonly, stemming is
 deployed as part of a search application, allowing searches for a given term
 to match documents which contain other forms of that term.
 .
 This module is very similar to Lingua::Stem|Lingua::Stem -- however,
 Lingua::Stem is pure Perl, while Lingua::Stem::Snowball is an XS module which
 provides a Perl interface to the C version of the Snowball stemmers.
 (http://snowball.tartarus.org).

Package: liblingua-stopwords-perl
Description-md5: 099bd8392e7ba17cc709c40cbef59784
Description-en: Stop words for several languages
 In keyword search, it is common practice to suppress a collection of
 "stopwords": words such as "the", "and", "maybe", etc. which exist in a
 large number of documents and do not tell you anything important about any
 document which contains them.  This module provides such "stoplists" in
 several languages.

Package: liblingua-translit-perl
Description-md5: ebc4c70841beb2d2e712d862b1a5632d
Description-en: Perl module that transliterates text between writing systems
 Lingua::Translit can be used to convert text from one writing system to
 another, based on national or international transliteration tables. Where
 possible a reverse transliteration is supported.
 .
 The term transliteration describes the conversion of text from one writing
 system or alphabet to another one. The conversion is ideally unique, mapping
 one character to exactly one character, so the original spelling can be
 reconstructed. Practically this is not always the case and one single letter
 of the original alphabet can be transcribed as two, three or even more
 letters.
 .
 Furthermore there is more than one transliteration scheme for one writing
 system. Therefore it is an important and necessary information, which scheme
 will be or has been used to transliterate a text, to work integrative and be
 able to reconstruct the original data.

Package: liblink-grammar-dev
Description-md5: 023e81a4e6d9d8f23955eda45864e7b2
Description-en: Carnegie Mellon University's link grammar parser (development headers)
 In Sleator, D. and Temperley, D. "Parsing English with a Link Grammar"
 (1991), the authors defined a new formal grammatical system called a
 "link grammar". A sequence of words is in the language of a link
 grammar if there is a way to draw "links" between words in such a way
 that the local requirements of each word are satisfied, the links do
 not cross, and the words form a connected graph. The authors encoded
 English grammar into such a system, and wrote this program to parse
 English using this grammar.
 .
 link-grammar can be used for linguistic parsing for information
 retrieval or extraction from natural language documents. It can also be
 used as a grammar checker.
 .
 This package contains the header files and static libraries

Package: liblink-grammar-java
Description-md5: 475a227d03017085f3efa2946401d4e7
Description-en: Carnegie Mellon University's link grammar parser (JNI library)
 In Sleator, D. and Temperley, D. "Parsing English with a Link Grammar"
 (1991), the authors defined a new formal grammatical system called a
 "link grammar". A sequence of words is in the language of a link
 grammar if there is a way to draw "links" between words in such a way
 that the local requirements of each word are satisfied, the links do
 not cross, and the words form a connected graph. The authors encoded
 English grammar into such a system, and wrote this program to parse
 English using this grammar.
 .
 link-grammar can be used for linguistic parsing for information
 retrieval or extraction from natural language documents. It can also be
 used as a grammar checker.
 .
 This package contains a Java JNI library for using link-grammar from your java
 applications.

Package: liblink-grammar5
Description-md5: a11da3adcce316ad119e709647d6e2de
Description-en: Carnegie Mellon University's link grammar parser (libraries)
 In Sleator, D. and Temperley, D. "Parsing English with a Link Grammar"
 (1991), the authors defined a new formal grammatical system called a
 "link grammar". A sequence of words is in the language of a link
 grammar if there is a way to draw "links" between words in such a way
 that the local requirements of each word are satisfied, the links do
 not cross, and the words form a connected graph. The authors encoded
 English grammar into such a system, and wrote this program to parse
 English using this grammar.
 .
 link-grammar can be used for linguistic parsing for information
 retrieval or extraction from natural language documents. It can also be
 used as a grammar checker.
 .
 This package contains the shared library.

Package: liblinphone++9
Description-md5: 98ebc1ec9987a78a224e1481495764a3
Description-en: Linphone's shared library part (supporting the SIP protocol)
 Linphone is an audio and video internet phone using the SIP protocol. It
 has a GTK+ and console interface, includes a large variety of audio and video
 codecs, and provides IM features.
 .
 This package contains the C++ shared runtime libraries.

Package: liblinphone-dev
Description-md5: 54e56d8d20231f9cba88fa0cb632f312
Description-en: Linphone web phone's library - development files
 Linphone is an audio and video internet phone using the SIP protocol. It
 has a GTK+ and console interface, includes a large variety of audio and video
 codecs, and provides IM features.
 .
 This package contains the files needed to use the linphone's library in your
 programs.

Package: liblinphone9
Description-md5: 695832ba12a16225ea2d4aef9024cbaf
Description-en: Linphone's shared library part (supporting the SIP protocol)
 Linphone is an audio and video internet phone using the SIP protocol. It
 has a GTK+ and console interface, includes a large variety of audio and video
 codecs, and provides IM features.
 .
 This package contains the shared runtime libraries.

Package: liblinux-acl-perl
Description-md5: c9af343d83069f1d5b43a6c83c9b2572
Description-en: Perl extension for reading and setting Access Control Lists for files
 The Linux::ACL module provides Perl bindings for libacl, the C library
 containing the POSIX 1003.1e draft standard 17 functions for manipulating
 access control lists.
 .
 It provides the setfacl() and getfacl() functions.

Package: liblinux-distribution-packages-perl
Description-md5: c4e7360847e94e30c594b614ca91a2c9
Description-en: list all packages on various Linux distributions
 Linux::Distribution::Packages is a simple module that uses
 Linux::Distribution to guess the Linux distribution and then uses the
 correct commands to list all the packages on the system and then output
 them in one of three formats: native, csv, and xml.
 .
 Distributions currently working: Debian, Ubuntu, Fedora, Redhat, Suse,
 Gentoo, Slackware, Redflag.
 .
 The module inherits from Linux::Distribution, so can also use its calls.

Package: liblinux-distribution-perl
Description-md5: ffb61f1544a0fa05a8fc06a8348be024
Description-en: module for detecting the running Linux distribution
 Linux::Distribution is a simple module that tries to guess on what Linux
 distribution it is running by looking for release's files in /etc. It now
 looks for 'lsb-release' first as that should be the most correct and adds
 ubuntu support. Secondly, it will look for the distro specific files.

Package: liblinux-dvb-perl
Description-md5: 115dfdf7c83fa95961673d1476b6556b
Description-en: interface to (some parts of) the Linux DVB API
 Linux::DVB provides an interface to the Linux DVB API. It is a
 straightforward translation of the C API. You should read the Linux DVB API
 description to make any sense of this module. It can be found here:
 .
 http://www.linuxtv.org/docs/dvbapi/dvbapi.html
 .
 Noteworthy limitations of this module include: No interface to the video,
 audio and net devices. If you need this functionality bug the author.

Package: liblinux-fd-perl
Description-md5: 69b083270092e3ad89434a4b3c3c1314
Description-en: Linux specific special filehandles
 Linux::FD provides you Linux specific special file handles. These are
 .
  * Event filehandles
  * Signal filehandles
  * Timer filehandles
 .
 These allow you to use conventional polling mechanisms to wait a large variety
 of events.

Package: liblinux-inotify2-perl
Description-md5: 96ccde2d3a20260f7df1f7481543c176
Description-en: scalable directory and file change notification
 inotify (inode notify) is a Linux kernel subsystem that monitors events in
 filesystems and reports those events to applications in real time.
 .
 inotify can be used to monitor individual files or directories. When a
 directory is monitored, inotify will return events for the directory itself
 and for files inside the directory.
 .
 This module implements an interface to the Linux 2.6.13 and later inotify
 directory and file change notification system.
 .
 It has a number of advantages over the Linux::Inotify module:
   - It is portable (Linux::Inotify only works on x86).
   - The equivalent of fullname works correctly.
   - It is better documented.
   - It has callback-style interface, which is better suited for integration.
 .
 This package provides the Perl module Linux::Inotify2.

Package: liblinux-io-prio-perl
Description-md5: 9a2318f64ca8537e9032aeda083cbb3f
Description-en: interface to Linux ioprio_set(2) and ioprio_get(2)
 Linux::IO_Prio is a perl module which provides access to the Linux
 functions ioprio_get(2) and ioprio_set(2). There are also ionice
 functions providing slightly more convenient perlish interface than the
 C API.
 .
 Currently only Linux is supported. Other operating systems are not
 supported due to kernel limitations. However support will be added as
 the kernel capability becomes available.

Package: liblinux-kernelsort-perl
Description-md5: 107606c2be267350ce8dc10c2b3581ad
Description-en: Perl module for sorting Linux Kernel version strings
 This is the CPAN Perl module Linux::KernelSort.
 .
 Linux::KernelSort is intended to sort a list of kernel versions into
 ascending order. It also provides the capability to compare two kernel
 versions and determine if one version is newer, older, or the same as
 the other version.

Package: liblinux-lvm-perl
Description-md5: b69e515790a491b4483f94a8b7957829
Description-en: Perl module to access LVM status information
 Linux::LVM parses the output from vgdisplay, pvdisplay, and lvdisplay and
 makes it available as a Perl hash.

Package: liblinux-pid-perl
Description-md5: 25692c456474be43f406f544ee69a22c
Description-en: wrapper around the getpid() and getppid() C functions
 Perl already returns the PID and PPID in variables and builtins. Linux::Pid
 forces perl to call the underlying C functions getpid() and getppid().
 .
 This is useful with multithreaded programs. Linux' C library, using the Linux
 thread model, returns different values of the PID and the PPID from different
 threads.
 .
 A known consumer of this functionality is Apache2::SizeLimit (in
 libapache2-mod-perl2).

Package: liblinux-prctl-perl
Description-md5: 14d95a534b7bc225435c297a6fadd302
Description-en: Perl extension for controlling process characteristics
 Linux::Prctl provides a nice interface to the Linux prctl function. The
 Linux prctl function allows you to control specific characteristics of
 a process' behaviour.
 .
 Besides prctl, this library also wraps libcap for complete
 capability handling.

Package: liblinux-usermod-perl
Description-md5: 78937ad41188b2d0f8a120ee3d6310c4
Description-en: module to modify user and group accounts
 The Linux::usermod module adds, removes and modifies user and group accounts
 according to  the passwd and shadow files syntax (like struct passwd from
 pwd.h). It is not necessary  those accounts to be system as long as
 $Linux::usermod::file_passwd, $Linux::usermod::file_shadow,
 $Linux::usermod::file_group,  $Linux::usermod::file_gshadow are not in the
 "/etc" directory.

Package: liblip-dev
Description-md5: 4ee83e31f3d395f9f7925d0040a719d4
Description-en: reliable interpolation of multivariate scattered data
 Lip interpolates scattered multivariate data with a Lipschitz function.
 .
 Methods of interpolation of multivariate scattered data are scarce.
 The programming library Lip implements a
 new method by G. Beliakov, which relies on building reliable lower and
 upper approximations of Lipschitz functions. If we assume that the
 function that we want to interpolate is Lipschitz-continuous, we can
 provide tight bounds on its values at any point, in the worse case
 scenario. Thus we obtain the interpolant, which approximates the unknown
 Lipschitz function f  best in the worst case scenario. This translates
 into reliable learning of f, something that other methods cannot do (the
 error of approximation of most other methods can be infinitely large,
 depending on what f generated the data).
 .
 Lipschitz condition implies that the rate of change of the function is
 bounded:
 .
 |f(x)-f(y)|<M||x-y||.
 .
 It is easily interpreted as the largest slope of the function f. f needs
 not be differentiable.
 .
 The interpolant based on the Lipschitz properties of the function is
 piecewise linear, it possesses many useful properties, and it is shown
 that it is the best possible approximation to f in the worst case
 scenario. The value of the interpolant depends on the data points in the
 immediate neigbourhood of the point in question, and in this sense, the
 method is similar to the natural neighbour interpolation.
 .
 There are two methods of construction and evaluation of the interpolant.
 The explicit method processes all data points to find the neighbours of
 the point in question. It does not require any preprocessing, but the
 evaluation of the interpolant has linear complexity O(K) in terms of the
 number of data.
 .
 "Fast" method requires substantial preprocessing in the case of more
 than 3-4 variables, but then it provides O(log K) evaluation time, and
 thus is suitable for very large data sets (K of order of 500000) and
 modest dimension (n=1-4). For larger dimension, explicit method becomes
 practically more efficient. The class library Lip implements both fast
 and explicit methods.

Package: liblip2
Description-md5: 4ee83e31f3d395f9f7925d0040a719d4
Description-en: reliable interpolation of multivariate scattered data
 Lip interpolates scattered multivariate data with a Lipschitz function.
 .
 Methods of interpolation of multivariate scattered data are scarce.
 The programming library Lip implements a
 new method by G. Beliakov, which relies on building reliable lower and
 upper approximations of Lipschitz functions. If we assume that the
 function that we want to interpolate is Lipschitz-continuous, we can
 provide tight bounds on its values at any point, in the worse case
 scenario. Thus we obtain the interpolant, which approximates the unknown
 Lipschitz function f  best in the worst case scenario. This translates
 into reliable learning of f, something that other methods cannot do (the
 error of approximation of most other methods can be infinitely large,
 depending on what f generated the data).
 .
 Lipschitz condition implies that the rate of change of the function is
 bounded:
 .
 |f(x)-f(y)|<M||x-y||.
 .
 It is easily interpreted as the largest slope of the function f. f needs
 not be differentiable.
 .
 The interpolant based on the Lipschitz properties of the function is
 piecewise linear, it possesses many useful properties, and it is shown
 that it is the best possible approximation to f in the worst case
 scenario. The value of the interpolant depends on the data points in the
 immediate neigbourhood of the point in question, and in this sense, the
 method is similar to the natural neighbour interpolation.
 .
 There are two methods of construction and evaluation of the interpolant.
 The explicit method processes all data points to find the neighbours of
 the point in question. It does not require any preprocessing, but the
 evaluation of the interpolant has linear complexity O(K) in terms of the
 number of data.
 .
 "Fast" method requires substantial preprocessing in the case of more
 than 3-4 variables, but then it provides O(log K) evaluation time, and
 thus is suitable for very large data sets (K of order of 500000) and
 modest dimension (n=1-4). For larger dimension, explicit method becomes
 practically more efficient. The class library Lip implements both fast
 and explicit methods.

Package: libliquid-dev
Description-md5: b28c0e84894ae27a6206dc1d649d9cb8
Description-en: signal processing library for software defined radio (development files)
 liquid-dsp is a free and open-source digital signal processing (DSP)
 library designed specifically for software defined radios on embedded
 platforms. The aim is to provide a lightweight DSP library that does not
 rely on a myriad of external dependencies or proprietary and otherwise
 cumbersome frameworks. All signal processing elements are designed to be
 flexible, scalable, and dynamic, including filters, filter design,
 oscillators, modems, synchronizers, and complex mathematical operations.
 .
 This package contains the files required to compile programs using liquid-dsp.

Package: libliquid2d
Description-md5: 6486af54628b5f0f55546e4f7d5b8967
Description-en: signal processing library for software defined radio
 liquid-dsp is a free and open-source digital signal processing (DSP)
 library designed specifically for software defined radios on embedded
 platforms. The aim is to provide a lightweight DSP library that does not
 rely on a myriad of external dependencies or proprietary and otherwise
 cumbersome frameworks. All signal processing elements are designed to be
 flexible, scalable, and dynamic, including filters, filter design,
 oscillators, modems, synchronizers, and complex mathematical operations.
 .
 This package contains the shared library.

Package: liblircclient0
Description-md5: ad226c65c2c9f87d7bd3d02f20364837
Description-en: Transitional placeholder for obsoleted liblircclient0
 Virtual, empty package used in the process of renaming liblircclient0
 to liblirc-client0.

Package: liblist-allutils-perl
Description-md5: a95d6806837e956a29fc41e82ab62eaa
Description-en: Perl wrapper for modules List::Util and List::MoreUtils
 Are you sick of trying to remember whether a particular helper is defined in
 `List::Util' or `List::MoreUtils'? The author sure is. Now you don't have to
 remember. List::AllUtils will export all of the functions that either of
 those two modules defines.

Package: liblist-maker-perl
Description-md5: fa0e71ee20c17f8cedeeab8b90d5be6e
Description-en: Perl module to generate more sophisticated lists than just $a..$b
 The List::Maker module hijacks Perl's built-in file globbing syntax (< *.pl >
 and glob '*.pl') and retargets it at list creation.
 .
 The rationale is simple: most people rarely if ever glob a set of files, but
 they have to create lists in almost every program they write. So the list
 construction syntax should be easier than the filename expansion syntax.
 .
 Alternatively, you can load the module with an explicit name, and it creates
 a subroutine of that name that builds the same kinds of lists for you
 (leaving the globbing mechanism unaltered).

Package: liblist-objects-withutils-perl
Description-md5: 98639949d929ad56fd2bedd24a37caea
Description-en: list objects, kitchen sink included
 List::Objects::WithUtils provides a set of roles and classes
 defining an object-oriented interface to Perl hashes and arrays
 with useful utility methods, junctions, type-checking ability,
 and optional autoboxing.
 Originally derived from Data::Perl.

Package: liblist-rotation-cycle-perl
Description-md5: 74b289d7ec4276aec54ff5d73650b4b9
Description-en: module that cycles through a list of values
 Use List::Rotation::Cycle to loop through a list of values. Once you get to
 the end of the list, you go back to the beginning.
 .
 List::Rotation::Cycle is implemented as a Singleton Pattern. You always just
 get 1 (the very same) Cycle object even if you use the new method several
 times. This is done by using Memoize on the new method. It returns the same
 object for every use of new that comes with the same List of parameters.

Package: liblist-utilsby-perl
Description-md5: a3e6c27d75d5a202f0fde1baf824b19e
Description-en: higher-order list utility functions
 List::UtilsBy provides a number of list utility functions, all of which take
 an initial code block to control their behaviour. They are variations on
 similar core perl or List::Util functions of similar names, but which use the
 block to control their behaviour.

Package: liblist-utilsby-xs-perl
Description-md5: ebed5b0e3446a08a630337e9a348debf
Description-en: XS implementation of List::UtilsBy
 List::UtilsBy::XS is an XS implementation of List::UtilsBy.
 Functions are faster than original ones.
 .
 List::UtilsBy provides a number of list utility functions for Perl.

Package: liblistserialsj-dev
Description-md5: e07967bb5ec01709a34b099474f9f686
Description-en: Development files for the listSerials library
 listSerials is a simple multiplatform program to list serial ports with
 vid/pid/iserial fields.
 .
 This package provides development files for the liblistSerialsj library.

Package: liblistserialsj1
Description-md5: 1e6427cf0b1c2e77839c9213ce9089a6
Description-en: Multiplatform program to list serial ports
 listSerials is a simple multiplatform program to list serial ports with
 vid/pid/iserial fields.
 .
 This package provides the liblistSerialsj shared library.

Package: liblitl-dev
Description-md5: 78c48f8514e56b8a9e554d05d6920bf8
Description-en: Lightweight Trace Library - development files
 LiTL is a lightweight tool for recording events during the execution of
 scientific high-performance applications.
 .
 This package contains files for developments with litl.

Package: liblitl0
Description-md5: 836d43122132c7f34e8623f0272a715e
Description-en: Lightweight Trace Library - shared library
 LiTL is a lightweight tool for recording events during the execution of
 scientific high-performance applications.
 .
 This package contains the litl shared library.

Package: liblivejournal-perl
Description-md5: a6130f20cd4abc35c5155d64cf97f28d
Description-en: Perl implementation of the LiveJournal protocol
 The LiveJournal module implements the LiveJournal protocol. See
 http://www.livejournal.com/developer/protocol.bml for details. Data is
 requested from the server through mode lines. Many methods return a hash
 reference containing key/value pairs returned by the server.

Package: liblivemedia-dev
Description-md5: 9739a6e52f2ee94e898c8e781c8f9469
Description-en: multimedia RTSP streaming library (development files)
 The live555.com streaming media code is a set of C++ libraries for multimedia
 streaming, using open standard protocols (RTP/RTCP, RTSP, SIP). These
 libraries can be used to build applications to stream, receive and process
 MPEG, H.263+ or JPEG video, several audio codecs, and can easily be extended
 to support additional codecs. They can also be used to build basic RTSP (Real
 Time Streaming Protocol) or SIP (Session Initiation Protocol) clients and
 servers.
 .
 This package contains the headers and static libraries required to build
 applications that use live555.com.

Package: liblivemedia77
Description-md5: 397c42cf281fd42e67fa28db96d3711a
Description-en: multimedia RTSP streaming library
 The live555.com streaming media code is a set of C++ libraries for multimedia
 streaming, using open standard protocols (RTP/RTCP, RTSP, SIP). These
 libraries can be used to build applications to stream, receive and process
 MPEG, H.263+ or JPEG video, several audio codecs, and can easily be extended
 to support additional codecs. They can also be used to build basic RTSP (Real
 Time Streaming Protocol) or SIP (Session Initiation Protocol) clients and
 servers.
 .
 This package contains the liveMedia library which defines a class hierarchy -
 rooted in the "Medium" class - for a variety of streaming media types and
 codecs.

Package: liblivetribe-jsr223-java
Description-md5: 4999aa1768cbd5833fb3a3e8befb4b7d
Description-en: Implementation of JSR 223: Scripting for Java
 This library allows bundling scripting pages into a WAR file, either
 stand-alone, or as part of an EAR. The full specification can be found at
 http://jcp.org/en/jsr/detail?id=223 .

Package: liblizzie-java
Description-md5: 019273daaecd7b24a9cdd0f1f1c8219a
Description-en: GUI for analyzing Go games in real time using Leela Zero
 Features include:
 .
  - Show win rates and confidence levels for selected moves on the board
  - Show best move sequence continuation, for these selected moves
  - Displays a graph of winrate against move number
  - Show whole game history including forked moves
  - Interactive play including undo/redo
  - Load and save games in SGF format

Package: liblizzie-java-doc
Description-md5: 3c2090d802217b158d1a44401b8c8c18
Description-en: Documentation for liblizzie-java
 This package contains the API documentation of liblizzie-java.

Package: liblld-10
Description-md5: edabea654ba7a6bd671515adc0fffdd2
Description-en: LLVM-based linker, library
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package contains the LLD runtime library.

Package: liblld-10-dev
Description-md5: d5f91d89aa80d28cd4d98b74563f075b
Description-en: LLVM-based linker, header files
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package provides the header files to build extension over lld.

Package: liblld-6.0
Description-md5: edabea654ba7a6bd671515adc0fffdd2
Description-en: LLVM-based linker, library
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package contains the LLD runtime library.

Package: liblld-6.0-dev
Description-md5: d5f91d89aa80d28cd4d98b74563f075b
Description-en: LLVM-based linker, header files
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package provides the header files to build extension over lld.

Package: liblld-7
Description-md5: edabea654ba7a6bd671515adc0fffdd2
Description-en: LLVM-based linker, library
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package contains the LLD runtime library.

Package: liblld-7-dev
Description-md5: d5f91d89aa80d28cd4d98b74563f075b
Description-en: LLVM-based linker, header files
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package provides the header files to build extension over lld.

Package: liblld-8
Description-md5: edabea654ba7a6bd671515adc0fffdd2
Description-en: LLVM-based linker, library
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package contains the LLD runtime library.

Package: liblld-8-dev
Description-md5: d5f91d89aa80d28cd4d98b74563f075b
Description-en: LLVM-based linker, header files
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package provides the header files to build extension over lld.

Package: liblld-9
Description-md5: edabea654ba7a6bd671515adc0fffdd2
Description-en: LLVM-based linker, library
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package contains the LLD runtime library.

Package: liblld-9-dev
Description-md5: d5f91d89aa80d28cd4d98b74563f075b
Description-en: LLVM-based linker, header files
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.
 .
 This package provides the header files to build extension over lld.

Package: liblldb-10
Description-md5: 88542bb8337de28344a74df10ce94f4e
Description-en: Next generation, high-performance debugger, library
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package contains the LLDB runtime library.

Package: liblldb-10-dev
Description-md5: 8f3dc7f570ba958d1f7496e3e5195498
Description-en: Next generation, high-performance debugger, header files
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package provides the header files to build extension over lldb.

Package: liblldb-6.0
Description-md5: 88542bb8337de28344a74df10ce94f4e
Description-en: Next generation, high-performance debugger, library
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package contains the LLDB runtime library.

Package: liblldb-6.0-dev
Description-md5: 8f3dc7f570ba958d1f7496e3e5195498
Description-en: Next generation, high-performance debugger, header files
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package provides the header files to build extension over lldb.

Package: liblldb-7
Description-md5: 88542bb8337de28344a74df10ce94f4e
Description-en: Next generation, high-performance debugger, library
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package contains the LLDB runtime library.

Package: liblldb-7-dev
Description-md5: 8f3dc7f570ba958d1f7496e3e5195498
Description-en: Next generation, high-performance debugger, header files
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package provides the header files to build extension over lldb.

Package: liblldb-8
Description-md5: 88542bb8337de28344a74df10ce94f4e
Description-en: Next generation, high-performance debugger, library
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package contains the LLDB runtime library.

Package: liblldb-8-dev
Description-md5: 8f3dc7f570ba958d1f7496e3e5195498
Description-en: Next generation, high-performance debugger, header files
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package provides the header files to build extension over lldb.

Package: liblldb-9
Description-md5: 88542bb8337de28344a74df10ce94f4e
Description-en: Next generation, high-performance debugger, library
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package contains the LLDB runtime library.

Package: liblldb-9-dev
Description-md5: 8f3dc7f570ba958d1f7496e3e5195498
Description-en: Next generation, high-performance debugger, header files
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package provides the header files to build extension over lldb.

Package: liblldb-dev
Description-md5: 8f3dc7f570ba958d1f7496e3e5195498
Description-en: Next generation, high-performance debugger, header files
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This package provides the header files to build extension over lldb.

Package: liblldpctl-dev
Description-md5: 7c07279ef9f74d6b4a4b659882b71c38
Description-en: implementation of IEEE 802.1ab (LLDP) - development files
 LLDP is an industry standard protocol designed to supplant
 proprietary Link-Layer protocols such as Extreme's EDP (Extreme
 Discovery Protocol) and CDP (Cisco Discovery Protocol). The goal of
 LLDP is to provide an inter-vendor compatible mechanism to deliver
 Link-Layer notifications to adjacent network devices.
 .
 This implementation provides LLDP sending and reception, supports
 VLAN and includes an SNMP subagent that can interface to an SNMP
 agent through AgentX protocol.
 .
 This daemon is also able to deal with CDP, SONMP, FDP and EDP
 protocol. It also handles LLDP-MED extension.
 .
 This package contains development files to develop clients for lldpd.

Package: libllvm-10-ocaml-dev
Description-md5: 7727e410aa3baa784cb47a4afb95f4e8
Description-en: Modular compiler and toolchain technologies, OCaml bindings
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the OCaml bindings to develop applications using llvm.

Package: libllvm-7-ocaml-dev
Description-md5: 7727e410aa3baa784cb47a4afb95f4e8
Description-en: Modular compiler and toolchain technologies, OCaml bindings
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the OCaml bindings to develop applications using llvm.

Package: libllvm-8-ocaml-dev
Description-md5: 7727e410aa3baa784cb47a4afb95f4e8
Description-en: Modular compiler and toolchain technologies, OCaml bindings
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the OCaml bindings to develop applications using llvm.

Package: libllvm-9-ocaml-dev
Description-md5: 7727e410aa3baa784cb47a4afb95f4e8
Description-en: Modular compiler and toolchain technologies, OCaml bindings
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the OCaml bindings to develop applications using llvm.

Package: libllvm-ocaml-dev
Description-md5: 60d4eca46d2fd47b2e7e99b12a12793a
Description-en: Low-Level Virtual Machine (LLVM), bindings for OCaml
 The Low-Level Virtual Machine (LLVM) is a collection of libraries and
 tools that make it easy to build compilers, optimizers, Just-In-Time
 code generators, and many other compiler-related programs.
 .
 This is a dependency package providing the default bindings for OCaml.

Package: libllvm6.0
Description-md5: a8854f4edf76a8c14ce34dc209bd1d11
Description-en: Modular compiler and toolchain technologies, runtime library
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 This package contains the LLVM runtime library.

Package: libllvm7
Description-md5: a8854f4edf76a8c14ce34dc209bd1d11
Description-en: Modular compiler and toolchain technologies, runtime library
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 This package contains the LLVM runtime library.

Package: libllvm8
Description-md5: a8854f4edf76a8c14ce34dc209bd1d11
Description-en: Modular compiler and toolchain technologies, runtime library
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 This package contains the LLVM runtime library.

Package: libllvmspirvlib-dev
Description-md5: fcddd340f01c10dfca707c1e80606070
Description-en: bi-directional translator for LLVM/SPIRV -- development files
 SPIRV-LLVM-translator is a LLVM/SPIRV bi-directional translator. This
 package includes a library and a tool for translation between LLVM IR
 and SPIR-V.
 .
 This package includes static libs and headers for development.

Package: libllvmspirvlib10
Description-md5: 4658473b768e76c3b2f17ecbd52f8807
Description-en: bi-directional translator for LLVM/SPIRV -- shared library
 SPIRV-LLVM-translator is a LLVM/SPIRV bi-directional translator. This
 package includes a library and a tool for translation between LLVM IR
 and SPIR-V.
 .
 This package includes the shared library.

Package: liblmdb++-dev
Description-md5: 318526b57f0e305f0cea2dacddb0d788
Description-en: C++ wrapper for LMDB
 This is a comprehensive C++ wrapper for the LMDB embedded database library,
 offering both an error-checked procedural interface and an object-oriented
 resource interface with RAII semantics.

Package: liblnk-dev
Description-md5: 8c527fa4d1a1bbff76a459631e62f3bb
Description-en: Windows Shortcut File format access library -- development files
 liblnk is a library to access the Windows Shortcut File (LNK) format.
 .
 This package includes the development support files.

Package: liblnk-utils
Description-md5: a606a52dd4d1d78f88f780a92454d505
Description-en: Windows Shortcut File format access library -- Utilities
 liblnk is a library to access the Windows Shortcut File (LNK) format.
 .
 This package contains lnkinfo, a tool to determine information about
 a Windows Shortcut File.

Package: liblnk1
Description-md5: 85314865eac7cf483d7c93b144d957c3
Description-en: Windows Shortcut File format access library
 liblnk is a library to access the Windows Shortcut File (LNK) format.
 .
 This package contains the shared library.

Package: liblo-dev
Description-md5: 1faaf7dea9de135f6e69ad114f524b1a
Description-en: Lightweight OSC library -- development files
 LibLO is a lightweight, easy to use implementation of the OSC (Open
 Sound Control) protocol (see
 <http://www.cnmat.berkeley.edu/OpenSoundControl/> for details).
 .
 Open Sound Control (OSC) is a protocol for communication among
 computers, sound synthesizers, and other multimedia devices that is
 optimized for modern networking technology. OSC features:
 .
  * Open-ended, dynamic, URL-style symbolic naming scheme
  * Symbolic and high-resolution numeric argument data
  * Pattern matching language to specify multiple recipients of a
    single message
  * High resolution time tags
  * "Bundles" of messages whose effects must occur simultaneously
  * Query system to dynamically find out the capabilities of an OSC
    server and get documentation
 .
 This package contains headers, documentation and static libraries.

Package: liblo-ocaml
Description-md5: 3234481f5f97fbabfef9be77ae31ecd0
Description-en: OCaml interface to the lo library -- runtime files
 This package provides an interface to the lo library for
 OCaml programmers.
 .
 LibLO is a lightweight, easy to use implementation of the OSC (Open
 Sound Control) protocol.
 .
 This package contains only the shared runtime stub libraries.

Package: liblo-ocaml-dev
Description-md5: 80eb25c6ba1acb2417674279b1c6f1dc
Description-en: OCaml interface to the lo library -- development files
 This package provides an interface to the lo library for
 OCaml programmers.
 .
 LibLO is a lightweight, easy to use implementation of the OSC (Open
 Sound Control) protocol
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-lo.

Package: liblo-tools
Description-md5: 2b409a8e3f614cc919d2a76d3fa66453
Description-en: Lightweight OSC library (command-line tools)
 LibLO is a lightweight, easy to use implementation of the OSC (Open
 Sound Control) protocol (see
 <http://www.cnmat.berkeley.edu/OpenSoundControl/> for details).
 .
 Open Sound Control (OSC) is a protocol for communication among
 computers, sound synthesizers, and other multimedia devices that is
 optimized for modern networking technology. OSC features:
 .
  * Open-ended, dynamic, URL-style symbolic naming scheme
  * Symbolic and high-resolution numeric argument data
  * Pattern matching language to specify multiple recipients of a
    single message
  * High resolution time tags
  * "Bundles" of messages whose effects must occur simultaneously
  * Query system to dynamically find out the capabilities of an OSC
    server and get documentation
 .
 This package contains the tools included with the library.

Package: liblo10k1-0
Description-md5: 126648d0d55b7c1e5e15af7bc991a45c
Description-en: ALSA emu10k1/2 patch-loader library
 This is the runtime library for the ld10k1 patch loader for use with
 the emu10k1 ALSA driver.  Supports Sound Blaster Live!, Audigy and
 Audigy 2.

Package: liblo10k1-dev
Description-md5: 32a225c612fa5bea87d6a7597fa8a461
Description-en: ALSA emu10k1/2 patch-loader library development files
 These are the development files for the ld10k1 patch loader for use with
 the emu10k1 ALSA driver.  Supports Sound Blaster Live!, Audigy and
 Audigy 2.

Package: liblo7
Description-md5: b0c0ce8ba8b1880bf5877bbab6b6d9e4
Description-en: Lightweight OSC library
 LibLO is a lightweight, easy to use implementation of the OSC (Open
 Sound Control) protocol (see
 <http://www.cnmat.berkeley.edu/OpenSoundControl/> for details).
 .
 Open Sound Control (OSC) is a protocol for communication among
 computers, sound synthesizers, and other multimedia devices that is
 optimized for modern networking technology. OSC features:
 .
  * Open-ended, dynamic, URL-style symbolic naming scheme
  * Symbolic and high-resolution numeric argument data
  * Pattern matching language to specify multiple recipients of a
    single message
  * High resolution time tags
  * "Bundles" of messages whose effects must occur simultaneously
  * Query system to dynamically find out the capabilities of an OSC
    server and get documentation

Package: libload-perl
Description-md5: 83c1c6f8be95867b4f053843a1f80545
Description-en: pragma for controlling when subroutines will be loaded
 The "load" pragma allows a module developer to give the application developer
 more options with regards to optimize for memory or CPU usage. The "load"
 pragma gives more control on the moment when subroutines are loaded and start
 taking up memory. This allows the application developer to optimize for CPU
 usage (by loading all of a module at compile time and thus reducing the
 amount of CPU used during the execution of an application). Or allow the
 application developer to optimize for memory usage, by loading subroutines
 only when they are actually needed, thereby however increasing the amount of
 CPU needed during execution.
 .
 The "load" pragma combines the best of both worlds from AutoLoader and
 SelfLoader. And adds some more features.
 .
 In a situation where you want to use as little memory as possible, the "load"
 pragma (in the context of a module) is a drop-in replacement for AutoLoader.
 But for situations where you want to have a module load everything it could
 ever possibly need (e.g. when starting a mod_perl server in pre-fork mode),
 the "load" pragma can be used (in the context of an application) to have all
 subroutines of a module loaded without having to make any change to the
 source of the module in question.

Package: libloader-java
Description-md5: c6207c8128290c287a44434a2797020a
Description-en: Java general purpose resource loading framework
 LibLoader is a general purpose resource loading framework. It has been
 designed to allow to load resources from any physical location and to
 allow the processing of that content data in a generic way, totally
 transparent to the user of that library.

Package: libloader-java-doc
Description-md5: 13591e740431f72558c1b5bdf0bf9418
Description-en: Java general purpose resource loading framework -- documentation
 LibLoader is a general purpose resource loading framework. It has been
 designed to allow to load resources from any physical location and to
 allow the processing of that content data in a generic way, totally
 transparent to the user of that library.
 .
 This package contains the Javadoc.

Package: libloadpng4-dev
Description-md5: 8382fb1c7cd5b4080ea300508a818113
Description-en: development files for the PNG loading addon for Allegro 4
 This package contains the development headers for libloadpng.
 .
 This add-on for Allegro will allow you to load and save PNG images using
 standard Allegro image handling functions as if they were normal bitmaps.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: libloadpng4.4
Description-md5: 8e66d6adcf14dbf4adc2546849facda8
Description-en: PNG loading addon for Allegro 4
 This add-on for Allegro will allow you to load and save PNG images using
 standard Allegro image handling functions as if they were normal bitmaps.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: liblocal-lib-perl
Description-md5: 7b74497a012b1f5564358ce91b6fb048
Description-en: module to use a local path for Perl modules
 local::lib is a Perl module that provides a quick, convenient way of setting
 up a user-local library located within the user's home directory. It also
 constructs and prints out for the user the list of environment variables
 using the syntax appropriate for the user's current shell (as specified by
 the SHELL environment variable), suitable for directly adding to one's shell
 configuration file.
 .
 More generally, this module enables bootstrapping and usage of a directory
 containing Perl modules outside of Perl's @INC. This makes it easier to ship
 an application with an app-specific copy of a Perl module, or collection of
 modules. It is also useful for the case where an upstream maintainer hasn't
 applied a patch to a module of theirs that you need for your application.

Package: liblocale-codes-perl
Description-md5: 0c55a2a5a63d22a12b0dbde52eee4e24
Description-en: collection of Perl modules for handling of locale codes
 Locale::Codes contains Perl modules which can be used to process ISO codes
 for identifying languages, countries, scripts, and currencies & funds.
 .
 It consists of a number of modules used for each of the different types of
 codes.

Package: liblocale-currency-format-perl
Description-md5: dd1d991dfe73f497dde0205329b7f942
Description-en: Perl functions for formatting monetary values
 Locale::Currency::Format is a light-weight Perl module that enables Perl code
 to display monetary values in the formats recognized internationally and/or
 locally.

Package: liblocale-hebrew-perl
Description-md5: 8288800328f8bbd7bfa6ccf95e617688
Description-en: module providing bidirectional Hebrew support
 Locale::Hebrew is a Perl module based on code from the Unicode Consortium.
 This module works the real Hebrew character set from scratch, because the
 Unicode Consortium's character set was invalid; as a result, there may be
 some errata.

Package: liblocale-maketext-fuzzy-perl
Description-md5: 0b5d3f6c57f4f97803dfacfa76d1f72f
Description-en: Maketext from already interpolated strings
 Locale::Maketext::Fuzzy is a subclass of Locale::Maketext, with additional
 support for localizing messages that already contains interpolated variables.
 This is most useful when the messages are returned by external modules -- for
 example, to match "dir: command not found" against "[_1]: command not found".

Package: liblocale-maketext-gettext-perl
Description-md5: 1c5fe0642d63594153b9b958745ca6b2
Description-en: Perl module bridging gettext and Maketext localization frameworks
 Locale::Maketext::Gettext joins the GNU gettext and Maketext frameworks
 providing a code that bridges gettext po/pot localization infrastructure and
 Maketext Perl API.

Package: liblocale-maketext-lexicon-perl
Description-md5: cd691cdf8cf780b881c17672caa60866
Description-en: lexicon-handling backends for Locale::Maketext
 Locale::Maketext::Lexicon is a Perl module that extends Locale::Maketext with
 lexicon-handling modules to read from other localization formats, including
 gettext, msgcat, and more. It can read from databases, PO files or MO files.

Package: liblocale-msgfmt-perl
Description-md5: b446307605e9b638c366749b11d2da82
Description-en: pure Perl reimplementation of msgfmt
 Locale::Msgfmt is a tool to compile .po files to .mo files. This
 module does the same thing as msgfmt from GNU gettext-tools, except
 this is pure Perl.

Package: liblocale-po-perl
Description-md5: 6c8c5a17af621048bfe6b3f786e8da8b
Description-en: Perl module for manipulating .po entries from GNU gettext
 Locale::PO provides methods for manipulating objects that represent
 entries in a gettext po-file (untranslated and translated strings,
 with associated comments). It can load and save complete po-files.

Package: liblocale-subcountry-perl
Description-md5: 7d0199916bdb50ba701f7c1765e9ddcf
Description-en: module for converting state/province names to/from code
 Locale::SubCountry is a collection of Perl modules for converting the full
 name for a countries' administrative region to the code commonly used for
 postal addressing and vice versa. The codes are defined in ISO 3166-2:1998,
 "Codes for the representation of names of countries and their subdivisions."
 .
 Subcountry regions are defined as states in the US and Australia, provinces
 in Canada and counties in the UK. The names and codes for all subcountry
 regions in a country can be returned either as a hash or array.

Package: liblocale-us-perl
Description-md5: f4dbac33171c20cb1698f06728d1d56f
Description-en: module for United States state identification
 Locale::US provides methods allowing United States' two-letter
 state identification parsing from state code to state name
 and vice versa.

Package: liblocales-perl
Description-md5: a32e1fbda6f183fb343244fd0bbd0940
Description-en: object-oriented access to localized CLDR information
 Locales is a Perl library providing an object-oriented interface to
 a particular locale, in order to obtain CLDR language and territory
 information from it.

Package: liblocalizer-java
Description-md5: f975b64f44440fa97a2a9a2abdabdbf6
Description-en: type-safe access to message resources
 This small tool reads your property files and generate Java classes
 that enables type-safe access to message resources.

Package: liblocalizer-java-doc
Description-md5: 08145cc6adc91aeb8df6f0f3a6b7087e
Description-en: Documentation for type-safe access to message resources
 This small tool reads your property files and generate Java classes
 that enables type-safe access to message resources.
 .
 This package provides the API documentation for liblocalizer-java.

Package: liblockfile-simple-perl
Description-md5: c8a67e1c16b523da04f6be7563a53942
Description-en: Perl module for simple advisory file locking
 The LockFile::Simple extension provides simple file locking, of
 the advisory kind, i.e. it requires cooperation between applications
 wishing to lock the same files.
 .
 It is meant to be used in quick-and-dirty scripts or more elaborated
 programs that want a simple locking scheme, yet with a reasonable
 level of configuration.

Package: liblog-agent-logger-perl
Description-md5: 426bebf68196dd24447e6e79148d0bf1
Description-en: extension for Log::Agent providing an application-level logging API
 The Log::Agent::Logger class defines a generic interface for
 application logging. It must not be confused with the interface
 provided by Log::Agent, which is meant to be used by re-usable
 modules that do not wish to commit on a particular logging method,
 so that they remain true building blocks.

Package: liblog-agent-perl
Description-md5: 2f464a54687e39469fa80438ca44164a
Description-en: Perl module providing helper logging routines
 Log::Agent is a general logging framework aimed at reusable modules
 that allow code to be written that is independent of the final logging
 method to be used.

Package: liblog-agent-rotate-perl
Description-md5: 0bb18f592bca315a01ff1e9dc7fa1fa5
Description-en: extension for Log::Agent providing file-rotating features
 The Log::Agent::Rotate module is an extension of Log::Agent that brings
 file-rotating features to the File logging driver.
 .
 This is NOT a generic all-purpose logfile rotation package.  It is meant
 to be used only within the Log::Agent framework.

Package: liblog-any-adapter-callback-perl
Description-md5: 7bacefbabff09fe65fab471dd496c459
Description-en: module to send Log::Any logs to a subroutine
 Log::Any::Adapter::Callback lets you specify callback subroutine to be called
 by Log::Any's logging methods (like $log->debug(), $log->error(), etc) and
 detection methods (like $log->is_warning(), $log->is_fatal(), etc.).
 .
 This adapter is used for customized logging, and is mostly a convenient
 construct to save a few lines of code. You could achieve the same effect by
 creating a full Log::Any adapter class.
 .
 DEPRECATION NOTICE: Log::Any distribution since 1.708 comes with
 Log::Any::Adapter::Capture which does the same thing.
 Log::Any::Adapter::Callback is hence deprecated.

Package: liblog-any-adapter-dispatch-perl
Description-md5: 44848c1cc704aac07d40e073f83856cd
Description-en: adapter to use Log::Dispatch with Log::Any
 Log::Any::Adapter::Dispatch is a Log::Any adapter suitable for
 sending logging messages through Log::Dispatch.
 .
 You can pass any of Log::Dispatch parameters to the adapter
 constructor, or pass a Log::Dispatch object directly.

Package: liblog-any-adapter-filehandle-perl
Description-md5: 8b7d2fdb70ec8d3dc724f34970b3a49e
Description-en: basic Log::Any::Adapter to forward messages to a filehandle
 Log::Any::Adapter::FileHandle is a basic adapter that will simply forward log
 messages to a filehandle, or any object that supports a 'print' method
 (IO::String, IO::Socket::INET, Plack's $env->{psgi.errors} object, etc).
 .
 It was created so that scripts running under daemontools or runit can
 output messages to locally defined logs. It does not timestamp messages, that
 responsibility is delegated to the external log capture mechanism.

Package: liblog-any-adapter-log4perl-perl
Description-md5: 558faa6f74fd36fc05e33b337be2b4ce
Description-en: adapter to use Log::Log4perl with Log::Any
 Log::Any::Adapter::Log4perl is a Log::Any adapter that uses Log::Log4perl for
 logging.

Package: liblog-any-adapter-tap-perl
Description-md5: 369e49fd825205fa525de67d2693fad0
Description-en: logging adapter suitable for use in TAP testcases
 Log::Any::Adapter::TAP shows logging output when running testcases.
 E.g. all "warn" and more serious messages are emitted
 as "diag" output on STDERR,
 and less serious messages as "note" comments on STDOUT.

Package: liblog-contextual-perl
Description-md5: 21c729690ede11969c4ac1574d2731ef
Description-en: module for simple contextual logging
 Log::Contextual is a Perl module that provides an implementation-independent
 interface for simple data logging. It supports painless switching between any
 logger that implements the defined interface, either for the entire program
 (using set_logger) or for a given section of code (using with_logger).
 .
 The framework supports many logging levels that are enabled using environment
 variables (these are, in order of decreasing verbosity: trace, debug, info,
 warn, error, and fatal).
 .
 This package includes simple loggers that can be seamlessly upgraded to more
 advanced loggers, such as Log::Dispatchouli (see liblog-dispatchouli-perl).

Package: liblog-dispatch-array-perl
Description-md5: 34c9982eeccf97f6a655c62fc4deb0de
Description-en: module to log events to an array (reference)
 Log::Dispatch::Array provides a Log::Dispatch log output system that appends
 logged events to an array reference. This is probably only useful for testing
 the logging of your code.

Package: liblog-dispatch-config-perl
Description-md5: 7f8816054d72054f116356d7583455c4
Description-en: Log4j for Perl
 Log::Dispatch::Config is a subclass of Log::Dispatch and provides a way to
 configure Log::Dispatch object with configulation file (default, in AppConfig
 format). In other words, this is log4j for Perl, not with all API compatibility
 though.

Package: liblog-dispatch-configurator-any-perl
Description-md5: 0c3450ea485c8fb4ef73ce77f53a1393
Description-en: configurator implementation with Config::Any
 Log::Dispatch::Config is a wrapper for Log::Dispatch and provides a way to
 configure Log::Dispatch objects with configuration files. Somewhat like a
 lite version of log4j and Log::Log4perl it allows multiple log destinations.
 The standard configuration file format for Log::Dispatch::Config is
 AppConfig.
 .
 Log::Dispatch::Configurator::Any plugs in to Log::Dispatch::Config and allows
 the use of other file formats, in fact any format supported by the
 Config::Any module. As a bonus you can also pass in a configuration data
 structure instead of a file name.

Package: liblog-dispatch-dir-perl
Description-md5: cbcbcf9111eda6edb91971ddd46e568c
Description-en: module to log messages to separate files in a directory
 Log::Dispatch::Dir provides a simple object for logging to directories under
 the Log::Dispatch::* system, and automatically rotating them according to
 different constraints. Each message will be logged to a separate file the
 directory.

Package: liblog-dispatch-filerotate-perl
Description-md5: 517307dba97f7f216dee2a71a7c5d640
Description-en: Log to files that archive/rotate themselves
 This module provides a simple object for logging to files under the
 Log::Dispatch::* system, and automatically rotating them according to
 different constraints. This is basically a Log::Dispatch::File wrapper
 with additions.

Package: liblog-dispatch-filewriterotate-perl
Description-md5: 4cd9b41a3cc708ef7d68cc875e188af5
Description-en: module to log to files that archive/rotate themselves
 Log::Dispatch::FileWriteRotate functions similarly to
 Log::Dispatch::FileRotate, but uses File::Write::Rotate as backend, thus
 interoperates more easily with other modules which use File::Write::Rotate as
 backend, e.g. Tie::Handle::FileWriteRotate or Process::Govern.

Package: liblog-dispatch-message-passing-perl
Description-md5: e564fe092b135327a8b54a569dcd305a
Description-en: log events to Message::Passing
 Log::Dispatch::Message::Passing provides a Log::Dispatch log output
 system that sends logged events to Message::Passing.
 .
 This allows you to use any of the Message::Passing outputs or filters
 to process log events and send them across the network, and you can use
 the toolkit to trivially construct a log aggregator.

Package: liblog-dispatch-perl
Description-md5: 67f65d43161303792e9afec551e6ff64
Description-en: message dispatcher to multiple Log::Dispatch::* objects
 Log::Dispatch is a collection of Perl modules useful for logging messages to
 multiple outputs, each of which can have a minimum and maximum log level. It
 is designed to be easily subclassed, both for creating a new dispatcher
 object and particularly for creating new outputs.
 .
 It also allows both global (dispatcher level) and local (logging object)
 message formatting callbacks which allows greater flexibility and reduces
 the need for subclassing.

Package: liblog-dispatch-perl-perl
Description-md5: 1678d3be53d13cb41286791a18ab6ac9
Description-en: module to use core Perl functions for logging
 The "Log::Dispatch::Perl" module offers a logging alternative using standard
 Perl core functions. It allows you to fall back to the common Perl
 alternatives for logging, such as "warn" and "cluck". It also adds the
 possibility for a logging action to halt the current environment, such as
 with "die" and "croak".

Package: liblog-dispatchouli-perl
Description-md5: feaf6e4e1c173cc337d627b308cbfeb7
Description-en: simple wrapper around Log::Dispatch
 Log::Dispatchouli is a simple wrapper around Log::Dispatch intended to make
 it simpler to add logging to a program. It removes the need to think much
 about categories, facilities, levels, or things like that. It makes logging
 just configurable enough so you can find the logs you want, and easy enough
 that you will actually log things.
 .
 Log::Dispatchouli can log to syslog (if you specify a facility), standard
 error or standard output, to a file, or to an array in memory. Logging to
 memory is primarily intended for testing.
 .
 Additionally, Log::Dispatchouli uses String::Flogger to process the things to
 be logged, meaning you can easily log data structures. For more information,
 see String::Flogger (libstring-flogger-perl).

Package: liblog-fast-perl
Description-md5: 688497acffbba8ad5591844cd8e08524
Description-en: fast and flexible logger
 Log::Fast is very fast logger, designed for use in applications with thousands
 high-level events/operations per second (like network servers with thousands
 clients or web spiders which download hundreds url per second).
 .
 For example, on Core2Duo sending about 5000 messages to log on enabled log
 levels or 20000 messages on disabled log levels in one second will slow down
 your application only by 2-3%.
 .
 Comparing to some other CPAN modules, this one (in average): faster than
 Log::Dispatch in about 45 times, faster than Log::Handler in about 15 times,
 faster than Sys::Syslog in about 7 times, and slower than Log::Syslog::Fast in
 about 2 times.
 .
 Features:
 .
  * Global and local logger objects
  * Output to any open filehandle or local syslog
  * 5 log levels: ERR, WARN, NOTICE, INFO, DEBUG
  * Configurable prefix (log level, date/time, caller function name)
  * sprintf() support
  * Unicode support (UTF8)
  * Can avoid calculating log message content on disabled log levels

Package: liblog-handler-perl
Description-md5: 23dc39415034c4a86402ef91a77e4a36
Description-en: module to handle output destined for log files
 Log::Handler is an easy-to-use Perl module designed to handle log files. It's
 possible to define a log level for your programs and control information that
 will be written to the log file. The module handles locking and unlocking of
 the log file according to the operating mode. It can also verify the inode of
 log file, which is useful if log rotation is in use.

Package: liblog-log4perl-perl
Description-md5: 9e005eb1ccc61e884f2b61dc68741413
Description-en: Perl port of the widely popular log4j logging package
 Log::Log4perl is a pure Perl port of the widely popular Apache/Jakarta
 log4j library for Java. In the spirit of log4j, Log::Log4perl
 addresses the shortcomings of typical ad-hoc or homegrown logging
 systems by providing three mechanisms to control the amount of data
 being logged and where it ends up at:
    * Levels allow you to specify the priority of log
      messages. Low-priority messages are suppressed when the system's
      setting allows for only higher-priority messages.
    * Categories define which parts of the system you want to enable
      logging in. Category inheritance allows you to elegantly reuse
      and override previously defined settings of different parts in the
      category hierarchy. So, at a central location in your system (either
      in a configuration file or in the startup code) you may specify which
      components (classes,functions) of your system should generate logs.
    * Appenders allow you to choose which output devices the log data
      is being written to, once it clears the previously listed
      hurdles.

Package: liblog-loglite-perl
Description-md5: 161a70eb069b183d1849afa40c34ed9f
Description-en: Perl module that facilitates lightweight logging
 The Log::LogLite class helps create simple logs for an
 application. The Log::LogLite object is created with a logging
 level. The default logging level is 5. After the Log::LogLite object
 is created, each call to the write method may write a new line in the
 log file. If the level of the message is lower or equal to the
 logging level, the message will be written to the log file. The
 format of the logging messages can be controlled by changing the
 template, and by defining a default message. The class uses the
 IO::LockedFile class.

Package: liblog-message-perl
Description-md5: 73ad1a01841b670be617ca874d4ac9cc
Description-en: powerful and flexible message logging mechanism
 Log::Message is a generic message storage mechanism. It allows you to store
 messages on a stack -- either shared or private -- and assign meta-data to
 it. Some meta-data will automatically be added for you, like a timestamp and
 a stack trace, but some can be filled in by the user, like a tag by which to
 identify it or group it, and a level at which to handle the message (for
 example, log it, or die with it)
 .
 Log::Message also provides a powerful way of searching through items by
 regexes on messages, tags and level.

Package: liblog-message-simple-perl
Description-md5: a2c3a8ce0f1403ea00fb8c093f3585bf
Description-en: simplified interface to Log::Message
 Log::Message::Simple provides standardized logging facilities using the
 Log::Message module.

Package: liblog-report-lexicon-perl
Description-md5: 1c0dea28a4d0159ca98594006313eafd
Description-en: module for Log::Report translation table management
 Log::Report::Lexicon contains all components of Log::Report which handle
 translations.
 .
 Optionally integrates with Locale::Gettext, PPI, or GNU gettext.
 To use either of those, please install corresponding package.

Package: liblog-report-optional-perl
Description-md5: bd15d4e03b8a94f0f74a6095c87649e3
Description-en: wrapper around Log::Report and Log::Report::Minimal
 Log::Report::Optional will allow libraries (helper modules) to have a
 dependency to a small module instead of the full Log-Report distribution. The
 full power of Log::Report is only released when the main program uses that
 module. In that case, the module using the 'Optional' will also use the full
 Log::Report, otherwise the dressed-down Log::Report::Minimal version.

Package: liblog-report-perl
Description-md5: f59484b6dc57b1ebe21f73b7fad41072
Description-en: Perl module to report problems, with pluggable handlers and language support
 Handling messages to users can be a hassle, certainly when the same module is
 used for command-line and in a graphical interfaces, and has to cope with
 internationalization at the same time; this set of modules tries to
 simplify this.
 .
 Log::Report combines gettext features with Log::Dispatch-like features.
 However, you can also use this module to do only translations or only
 message dispatching.
 .
 Includes extension modules for integration with Dancer, Dancer2,
 DBIx::Class, Log::Dispatch, Log::Log4perl, and Mojolicious.
 To use either of those, please install corresponding package.

Package: liblog-trace-perl
Description-md5: 1c9915a5353b88efc2600bf9986b51fb
Description-en: Perl module to provide a unified approach to tracing
 A module to provide a unified approach to tracing. A script can use Log::Trace
 qw( < mode > ) to set the behaviour of the TRACE function.
 .
 By default, the trace functions are exported to the calling package only. You
 can export the trace functions to other packages with the "Deep" option. See
 "OPTIONS" for more information.
 .
 All exports are in uppercase (to minimise collisions with "real" functions).

Package: liblog-tracemessages-perl
Description-md5: c6e2019dfd7c67e267cf56a8c3a963db
Description-en: Perl module to allow for trace messages in Perl code
 This is the CPAN Perl module Log::TraceMessages.
 .
 This module is a better way of putting 'hello there' trace messages in
 your code.  It lets you turn tracing on and off without commenting out
 trace statements, and provides other useful things like HTML-ified
 trace messages for CGI scripts and an easy way to trace out data
 structures using Data::Dumper.

Package: liblog4ada-doc
Description-md5: 7480bfd3ceb100e596b4c192159610ee
Description-en: Ada library for flexible logging (documentation)
 Log4Ada is a library that eases logging in applications written in
 Ada. It is modelled after, and compatible with, log4j.  For more
 information about the logging scheme developed in this library see
 the log4j project : http://logging.apache.org/log4j/docs/
 .
 This package contains a pdf documentation file and some examples.

Package: liblog4ada5
Description-md5: 024a18463f252bc5a9e4a8fc0d66ca51
Description-en: Ada library for flexible logging (runtime)
 Log4Ada is a library that eases logging in applications written in
 Ada. It is modelled after, and compatible with, log4j.  For more
 information about the logging scheme developed in this library see
 the log4j project : http://logging.apache.org/log4j/docs/
 .
 This is the runtime library for Log4Ada.

Package: liblog4ada6-dev
Description-md5: 8c829e18b725e895cb418ff99e9ad41a
Description-en: Ada library for flexible logging (development)
 Log4Ada is a library that eases logging in applications written in
 Ada. It is modelled after, and compatible with, log4j.  For more
 information about the logging scheme developed in this library see
 the log4j project : http://logging.apache.org/log4j/docs/
 .
 This package contains file needed to develop applications with Log4Ada.

Package: liblog4c-dev
Description-md5: 31c0798f7be8caff39ef836adecc3bdb
Description-en: C library for flexible logging (development)
 Log4c is a library of C for flexible logging to files, syslog and other
 destinations.
 .
 It is modeled after the Log for Java library (http://logging.apache.org),
 staying as close to their API as is reasonable.
 .
 This package contains the Log4c development files.

Package: liblog4c-doc
Description-md5: 96dba61d96a20b0e07897ea38e609d2b
Description-en: C library for flexible logging (documentation)
 Log4c is a library of C for flexible logging to files, syslog and other
 destinations.
 .
 It is modeled after the Log for Java library (http://logging.apache.org),
 staying as close to their API as is reasonable.
 .
 This package contains the Log4c library documentation for developers.

Package: liblog4c3
Description-md5: bf0e59217bb8b62c9e3c550e222d0a17
Description-en: C library for flexible logging (runtime)
 Log4c is a library of C for flexible logging to files, syslog and other
 destinations.
 .
 It is modeled after the Log for Java library (http://logging.apache.org),
 staying as close to their API as is reasonable.
 .
 This package contains the files necessary for running applications that
 use the log4c library.

Package: liblog4cplus-1.1-9
Description-md5: 274097ef706d322fdd8c378aeaf27282
Description-en: C++ logging API modeled after the Java log4j API - shared library
 log4cplus is a simple to use C++ logging API providing thread-safe,
 flexible, and arbitrarily granular control over log management and
 configuration.  It is modeled after the Java log4j API.

Package: liblog4cplus-dbg
Description-md5: 252dcf4ff591a58411b4bb67c361cb0a
Description-en: C++ logging API modeled after the Java log4j API - debug library
 log4cplus is a simple to use C++ logging API providing thread-safe,
 flexible, and arbitrarily granular control over log management and
 configuration.  It is modeled after the Java log4j API.
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps.  Most people will not need this package.

Package: liblog4cplus-dev
Description-md5: 4232cc5142d4b21e139127742fa956af
Description-en: C++ logging API modeled after the Java log4j API - development library
 log4cplus is a simple to use C++ logging API providing thread-safe,
 flexible, and arbitrarily granular control over log management and
 configuration.  It is modeled after the Java log4j API.
 .
 This package contains the header files and static library for
 developers.

Package: liblog4cpp-doc
Description-md5: b75d7a4a37bd9e074e5936287cdbb821
Description-en: C++ library for flexible logging (documentation)
 Log for C++ is a library of C++ classes for flexible logging to files,
 syslog and other destinations. It is modeled after the Log for
 Java library (http://jakarta.apache.org/log4j/), staying as close to
 their API as is reasonable.

Package: liblog4cpp5-dev
Description-md5: 4f94a56bd197a4db1f0e5116bf395d20
Description-en: C++ library for flexible logging (development)
 Log for C++ is a library of C++ classes for flexible logging to files,
 syslog and other destinations. It is modeled after the Log for
 Java library (http://jakarta.apache.org/log4j/), staying as close to
 their API as is reasonable.
 .
 This package contains the log4cpp development files.

Package: liblog4cpp5v5
Description-md5: 68d5b2e0f1d83d2c6f6871e2264076af
Description-en: C++ library for flexible logging (runtime)
 Log for C++ is a library of C++ classes for flexible logging to files,
 syslog and other destinations. It is modeled after the Log for
 Java library (http://jakarta.apache.org/log4j/), staying as close to
 their API as is reasonable.
 .
 This package contains the files necessary for running applications that
 use the log4cpp library.

Package: liblog4cxx-dev
Description-md5: 715e50f335b5bd430bf8318c6a2bf2d9
Description-en: Logging library for C++ (development files)
 Log4cxx is the C++ port of log4j, a logging framework for JAVA.
 Log4cxx attempts to mimic log4j usage as much as the language will
 allow and to be compatible with log4j configuration and output formats.
 .
 This package provides the development files.

Package: liblog4cxx-doc
Description-md5: 4b776974b9f5373aa028dc3d9b3ca361
Description-en: Documentation for log4cxx
 Log4cxx is the C++ port of log4j, a logging framework for JAVA.
 Log4cxx attempts to mimic log4j usage as much as the language will
 allow and to be compatible with log4j configuration and output formats.
 .
 This package provides doxygen documentation for the library.

Package: liblog4cxx10v5
Description-md5: 44be4cff78578a3d4663ca5a13c019b6
Description-en: Logging library for C++
 Log4cxx is the C++ port of log4j, a logging framework for JAVA.
 Log4cxx attempts to mimic log4j usage as much as the language will
 allow and to be compatible with log4j configuration and output formats.

Package: liblog4j-extras1.2-java
Description-md5: 6f5b842fe2e4944c99e5610717ebbb0f
Description-en: Extras for Apache log4j
 This package provides additional appenders, filters and other capabilities
 for version 1.2 of Apache log4j. Several of these were backported from
 the abandoned log4j 1.3 development effort.

Package: liblog4j-extras1.2-java-doc
Description-md5: c99bc27a9f8843e3d3672455c68b8caf
Description-en: Documentation for Extras for Apache log4j.
 This package contains the API documentation of liblog4j-extras1.2-java.

Package: liblog4j1.2-java
Description-md5: 6852e874e3a0a408361775c4958b1233
Description-en: Logging library for java
 log4j is a tool to help the programmer output log statements to a variety of
 output targets.
 .
 It is possible to enable logging at runtime without modifying the application
 binary. The log4j package is designed so that log statements can remain in
 shipped code without incurring a high performance cost.
 .
 One of the distinctive features of log4j is the notion of hierarchical
 loggers. Using loggers it is possible to selectively control which log
 statements are output at arbitrary granularity.
 .
 Log4j can output to: a file, a rolling file, a database with a JDBC driver,
 many output  asynchronously, a JMS Topic, a swing based logging console,
 the NT event log, /dev/null, a SMTP server (using javamail), a socket server,
 syslog, telnet daemon and stdout.
 .
 The format of the output can be defined using one of the various layout
 (or user defined layout) like: simple text, html, date, pattern defined and
 XML.

Package: liblog4j1.2-java-doc
Description-md5: 4de3d2b07bbd8d616f084238c912527a
Description-en: Documentation for liblog4j1.2-java
 The javadoc API documentation for the logging library
 from the Apache Jakarta project. The documentation is
 for the version 1.2 of the log4j API.

Package: liblog4j2-java
Description-md5: 45f804957a9794c4f807c12a4e0985f7
Description-en: Apache Log4j - Logging Framework for Java
 Apache Log4j 2 is an upgrade to Log4j that provides significant improvements
 over its predecessor, Log4j 1.x:
 .
 API Separation: The API for Log4j is separate from the implementation making
 it clear for application developers which classes and methods they can use
 while ensuring forward compatibility.
 .
 Improved Performance: Log4j 2 contains next-generation Asynchronous Loggers
 based on the LMAX Disruptor library. In multi-threaded scenarios Asynchronous
 Loggers have 10 times higher throughput and orders of magnitude lower latency
 than Log4j 1.x.
 .
 Support for multiple APIs: While the Log4j 2 API will provide the best
 performance, Log4j 2 provides support for the SLF4J and Commons Logging APIs.
 .
 Automatic Reloading of Configurations: Log4j 2 can automatically reload its
 configuration upon modification. It will do so without losing log events
 while reconfiguration is taking place.
 .
 Advanced Filtering: Log4j 2 supports filtering based on context
 data, markers, regular expressions, and other components in the Log event.
 Filtering can be specified to apply to all events before being passed to
 Loggers or as they pass through Appenders.
 .
 Plugin Architecture: Log4j uses the plugin pattern to configure components.
 As such, no code is needed to create and configure an Appender, Layout,
 Pattern Converter, and so on. Log4j automatically recognizes plugins
 and uses them when a configuration references them.
 .
 Property Support: Properties can be referenced in a configuration, Log4j will
 directly replace them, or Log4j will pass them to an underlying component that
 will dynamically resolve them. Properties come from values defined in the
 configuration file, system properties, environment variables, the
 ThreadContext Map, and data present in the event.

Package: liblog4j2-java-doc
Description-md5: 1b7e8ca14f9fa79dce6dd2fef44c1d0e
Description-en: Documentation for Apache Log4j 2
 Apache Log4j 2 is an upgrade to Log4j that provides significant improvements
 over its predecessor, Log4j 1.x.
 .
 This package contains the API documentation of liblog4j2-java.

Package: liblog4net-cil-dev
Description-md5: cf21e8f012cbc72f9e8bf9c1f27640c4
Description-en: highly configurable logging API for the CLI
 log4net is a tool to help the programmer output log statements to a variety
 of output targets. log4net is a port of the excellent log4j framework to the
 Common Language Infrastructure (CLI). The framework is similar to the
 original log4j as possible while taking advantage of new features in the
 CLI runtime. For more information on log4net see the features document.
 .
 log4net is part of the Apache Logging Services project. The Logging
 Services project is intended to provide cross-language logging
 services for purposes of application debugging and auditing.
 .
 This package contains development headers for the log4net library

Package: liblog4net1.2-cil
Description-md5: 18cf719b7f552ecdddb6bd473c10790f
Description-en: highly configurable logging API for the CLI
 log4net is a tool to help the programmer output log statements to a variety
 of output targets. log4net is a port of the excellent log4j framework to the
 Common Language Infrastructure (CLI). The framework is similar to the
 original log4j as possible while taking advantage of new features in the
 CLI runtime. For more information on log4net see the features document.
 .
 log4net is part of the Apache Logging Services project. The Logging
 Services project is intended to provide cross-language logging
 services for purposes of application debugging and auditing.
 .
 This package contains the log4net library itself

Package: liblog4shib-dev
Description-md5: aadf6709f7462961f20a3f8b5eff2f9a
Description-en: log4j-style configurable logging library for C++ (development)
 log4shib provides a library of C++ classes for flexible
 logging to files, syslog, and other destinations.  It is modeled after the
 log4j Java library, staying as close to that API as is reasonable.
 .
 log4shib is a fork of the log4cpp library with additional fixes and
 modifications to improve its thread safety and robustness.  It is
 primarily intended for use by the Shibboleth web authentication
 system.
 .
 This package contains the headers and other necessary files to build
 applications or libraries that use or extend the log4shib library.

Package: liblog4shib-doc
Description-md5: 0e49581163c363986ae4b81749109659
Description-en: log4j-style configurable logging library for C++ (API docs)
 log4shib provides a library of C++ classes for flexible
 logging to files, syslog, and other destinations.  It is modeled after the
 log4j Java library, staying as close to that API as is reasonable.
 .
 log4shib is a fork of the log4cpp library with additional fixes and
 modifications to improve its thread safety and robustness.  It is
 primarily intended for use by the Shibboleth web authentication
 system.
 .
 This package contains the log4shib library API documentation generated
 by Doxygen.

Package: liblog4shib2
Description-md5: a432494534bb934d10303e32f389ac4a
Description-en: log4j-style configurable logging library for C++ (runtime)
 log4shib provides a library of C++ classes for flexible
 logging to files, syslog, and other destinations.  It is modeled after the
 log4j Java library, staying as close to that API as is reasonable.
 .
 log4shib is a fork of the log4cpp library with additional fixes and
 modifications to improve its thread safety and robustness.  It is
 primarily intended for use by the Shibboleth web authentication
 system.
 .
 This package contains the files necessary for running applications that
 use the log4shib library.

Package: liblog4tango-dev
Description-md5: ee1141ac92f4a0490058b9a99ad216ba
Description-en: logging for TANGO - development library
 Log for TANGO is a library of C++ classes for flexible logging to files,
 syslog and other destinations. It is modeled after the Log for
 C++ library (http://jakarta.apache.org/log4j/), staying as close to
 their API as is reasonable.
 .
 This package contains the log4tango5 development files.

Package: liblog4tango-doc
Description-md5: 693a61f7dee770dd29b5887a0484bfc4
Description-en: logging for TANGO - documentation
 Log for TANGO is a library of C++ classes for flexible logging to files,
 syslog and other destinations. It is modeled after the Log for
 C++ library (http://jakarta.apache.org/log4j/), staying as close to
 their API as is reasonable.
 .
 This package contains the documentation of the log4tango5 library.

Package: liblog4tango5v5
Description-md5: 5b6d17c76c7e93692ab52e5228f009db
Description-en: logging for TANGO - shared library
 Log for TANGO is a library of C++ classes for flexible logging to files,
 syslog and other destinations. It is modeled after the Log for
 C++ library (http://jakarta.apache.org/log4j/), staying as close to
 their API as is reasonable.
 .
 This package contains the files necessary for running applications that
 use the log4tango5 library.

Package: liblogback-java
Description-md5: c911f2163fbb79f27f505654b1afb151
Description-en: flexible logging library for Java
 Logback is a reliable, generic, fast and flexible logging library for Java.
 It's intended as a successor to the popular log4j project.
 .
 The logback-core module lays the groundwork for the other two modules.
 .
 The logback-classic module can be assimilated to a significantly improved
 version of log4j. Moreover, logback-classic natively implements the SLF4J API
 so that you can readily switch back and forth between logback and other
 logging systems such as log4j or java.util.logging (JUL).
 .
 The logback-access module integrates with Servlet containers, such as Tomcat
 and Jetty, to provide HTTP-access log functionality.

Package: liblogback-java-doc
Description-md5: a9f205d99e0b78d90bd373c6e30966a6
Description-en: flexible logging library for Java - documentation
 Logback is a reliable, generic, fast and flexible logging library for Java.
 It's intended as a successor to the popular log4j project.
 .
 The logback-core module lays the groundwork for the other two modules.
 .
 The logback-classic module can be assimilated to a significantly improved
 version of log4j. Moreover, logback-classic natively implements the SLF4J API
 so that you can readily switch back and forth between logback and other
 logging systems such as log4j or java.util.logging (JUL).
 .
 The logback-access module integrates with Servlet containers, such as Tomcat
 and Jetty, to provide HTTP-access log functionality.
 .
 This package provides the API documentation and manual for Logback.

Package: liblogfile-rotate-perl
Description-md5: 3878279999529406724c9fc46fb7b9f2
Description-en: Perl module to rotate logfiles
 Logfile::Rotate provides methods to rename and save several versions of
 your logfiles, optionally compressing them after renaming them.

Package: liblogg4-dev
Description-md5: eb3eb8af55cb95f13f93a90341a19233
Description-en: development files for the OGG loading addon for Allegro 4
 This package contains the development headers for liblogg.
 .
 liblogg is an Allegro add-on library for playing OGG/Vorbis audio files.
 It can load OGG/Vorbis files as Allegro SAMPLE's, or it can stream them
 from disk to save memory.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: liblogg4.4
Description-md5: 3bf6f379fb24caced7ba17311e3b4297
Description-en: OGG loading addon for Allegro 4
 liblogg is an Allegro add-on library for playing OGG/Vorbis audio files.
 It can load OGG/Vorbis files as Allegro SAMPLE's, or it can stream them
 from disk to save memory.
 .
 Allegro is a cross-platform library mainly aimed at video game and multimedia
 programming. It handles common, low-level tasks such as creating windows,
 accepting user input, loading data, drawing images, playing sounds, etc. and
 generally abstracting away the underlying platform.

Package: liblogger-simple-perl
Description-md5: 94725cd973791bcfea81e3f75fc45d0e
Description-en: Simran-Log-Log and Simran-Error-Error modules
 This module provides an easier interface for functionality known from
 the Simran::Log::Log and Simran::Error::Error modules.

Package: liblogger-syslog-perl
Description-md5: 70b368ea06a40563a0a891fde751b786
Description-en: Logger::Syslog -- an simple wrapper over Sys::Syslog for Perl
 You want to deal with syslog, but you don't want to bother with Sys::Syslog,
 that module is for you.
 .
 Logger::Syslog takes care of everything regarding the Syslog communication, all
 you have to do is to use the function you need to send a message to syslog.
 .
 Logger::Syslog provides one function per Syslog message level: debug, info,
 warning, error, notice, critic, alert.

Package: liblognorm-dev
Description-md5: 654a6e3655d22aa1048381f5e3b1ef99
Description-en: log normalizing library - development files
 Liblognorm is an event and log normalization library that is capable of
 real-time processing. It provides the capability to normalize events to
 a set of standard formats.
 .
 This package contains the development files.

Package: liblognorm-utils
Description-md5: d40f2b157d33af44ae1862ce6df183c9
Description-en: log normalizing utilities
 Liblognorm is an event and log normalization library that is capable of
 real-time processing. It provides the capability to normalize events to
 a set of standard formats.
 .
 This package contains the lognormalizer tool.

Package: liblognorm5
Description-md5: da67a845ae86bc40b52f7b465cdfaed7
Description-en: log normalizing library
 Liblognorm is an event and log normalization library that is capable of
 real-time processing. It provides the capability to normalize events to
 a set of standard formats.
 .
 This package contains the shared library.

Package: libloki-dev
Description-md5: 515a69925b158edce917033e8077cf32
Description-en: C++ library of generic design patterns (development files)
 Loki is a ("the"?) C++ library of generic programming techniques, containing
 flexible implementations of common design patterns and idioms. It is an
 essential library for modern C++ programming and exposes many cool features
 of the language.
 .
 The code accompanies the (non-free) book, _Modern C++ Design_ (Alexandrescu,
 2001), which goes into depth on the design of the library.
 .
 This package contains the header files and static libraries needed
 to build programs that use loki.

Package: libloki-doc
Description-md5: 1186419a4f5aacc6ee86f9d477e52003
Description-en: C++ library of generic design patterns (documentation)
 Loki is a ("the"?) C++ library of generic programming techniques, containing
 flexible implementations of common design patterns and idioms. It is an
 essential library for modern C++ programming and exposes many cool features
 of the language.
 .
 This package contains the generated doxygen documentation for loki.

Package: libloki0.1.7v5
Description-md5: 6739a39161c88b23811b4ba1dab21de3
Description-en: C++ library of generic design patterns
 Loki is a ("the"?) C++ library of generic programming techniques, containing
 flexible implementations of common design patterns and idioms. It is an
 essential library for modern C++ programming and exposes many cool features
 of the language.
 .
 The code accompanies the (non-free) book, _Modern C++ Design_ (Alexandrescu,
 2001), which goes into depth on the design of the library.

Package: libloki0.1.7v5-dbg
Description-md5: fdb9bc54831b9595d89b58b8ba1359e7
Description-en: C++ library of generic design patterns (debug information)
 Loki is a ("the"?) C++ library of generic programming techniques, containing
 flexible implementations of common design patterns and idioms. It is an
 essential library for modern C++ programming and exposes many cool features
 of the language.
 .
 This package contains debugging symbols useful for tracing bugs in the
 libloki package.

Package: liblombok-ast-java
Description-md5: f52a99b4b1d4a547986131ad6c9a8c3f
Description-en: Robust parser + AST for the Java language
 Lombok wraps the Abstract Syntax Tree (AST) produced by a target
 platform into its own AST system, mostly because both Eclipse and
 javac do not allow upward traversal (from a method to its owning
 type, for example).

Package: liblombok-java
Description-md5: 50fa9949a9e0357c63768b7d01d6bc6b
Description-en: Reduce boilerplate code in Java projects
 "Boilerplate" is a term used to describe code that is repeated in
 many parts of an application with little alteration. One of the most
 frequently voiced criticisms of the Java language is the volume of
 this type of code that is found in most projects. This problem is
 frequently a result of design decisions in various libraries, but is
 exacerbated by limitations in the language itself. Project Lombok
 aims to reduce the prevalence of some of the worst offenders by
 replacing them with a simple set of annotations.

Package: liblombok-patcher-java
Description-md5: 3b17e2580cd661eb9b01ca920e2a4b24
Description-en: live-rewrite classes as a JVM runs
 Lombok Patcher gives you the ability to live-rewrite classes as a JVM
 runs, either by loading as an agent during JVM bootup or by injecting
 the agent 'live' during execution.
 .
 To make this easier than fiddling with classes directly, Lombok
 Patcher offers a few 'patch scripts' to do common tasks, such as wrap
 your own code around any method call, replace methods entirely with
 your own, or add fields.
 .
 lombok.patcher also includes support for getting around the Eclipse
 OSGi container's classloader separation.

Package: liblopsub-dev
Description-md5: 6a174e1445df8349bdf7f581a71b29c5
Description-en: Long Option Parser for Subcommand - headers
 Lopsub  is  an  open  source library written in C which aims to ease
 the task of creating, documenting and parsing the options of Unix
 command line utilities. It is suitable for simple commands as well
 as complex command line utilities with many subcommands where  each
 subcommand  has its own set of options. Options and documentation are
 kept together in a single file which can be translated to C code (to
 be included in the application), or to a manual page.  The library
 supports single-character short options and GNU-style long  options.
 The public API is well documented and stable.
 This package contains the development environment for the lopsub library.

Package: liblopsub1
Description-md5: 326dd94fe8c209931ed6a779831d62a4
Description-en: Long Option Parser for Subcommands
 Lopsub  is  an  open  source library written in C which aims to ease
 the task of creating, documenting and parsing the options of Unix
 command line utilities. It is suitable for simple commands as well
 as complex command line utilities with many subcommands where  each
 subcommand  has its own set of options. Options and documentation are
 kept together in a single file which can be translated to C code (to
 be included in the application), or to a manual page.  The library
 supports single-character short options and GNU-style long  options.
 The public API is well documented and stable.

Package: liblorene-debian1
Description-md5: 413a077f094cf0fb389b01f679917f15
Description-en: liblorene shared library
 LORENE is a set of C++ classes to solve various problems arising in
 numerical relativity, and more generally in computational
 astrophysics. It provides tools to solve partial differential
 equations by means of multi-domain spectral methods.
 .
 This package contains a shared library version of the liblorene library.

Package: liblorene-dev
Description-md5: 0e9376cdfff62bc81cf87fc06c0ca636
Description-en: development files for the LORENE framework for numerical relativity
 LORENE is a set of C++ classes to solve various problems arising in
 numerical relativity, and more generally in computational
 astrophysics. It provides tools to solve partial differential
 equations by means of multi-domain spectral methods.
 .
 This package contains the static libraries and include files
 necessary to build LORENE codes or applications using LORENE.
 .
 Compiling LORENE codes (including some that are shipped in the
 lorene-codes-src package) often requires a Fortran compiler. LORENE
 is configured to use gfortran.

Package: liblorene-export-debian0
Description-md5: c1bc9cab54d2894c474abda07e34900f
Description-en: liblorene_export shared library
 LORENE is a set of C++ classes to solve various problems arising in
 numerical relativity, and more generally in computational
 astrophysics. It provides tools to solve partial differential
 equations by means of multi-domain spectral methods.
 .
 This package contains a shared library version of the liblorene_export library.

Package: liblorenef77-debian1
Description-md5: 208d84ddc459baaecdc23c65ba7ca4f8
Description-en: liblorenef77 shared library
 LORENE is a set of C++ classes to solve various problems arising in
 numerical relativity, and more generally in computational
 astrophysics. It provides tools to solve partial differential
 equations by means of multi-domain spectral methods.
 .
 This package contains a shared library version of the liblorenef77 library.

Package: libloudmouth1-0
Description-md5: bee1e3af323288ad32eb032d9200a5fc
Description-en: Lightweight C Jabber library
 Loudmouth is a lightweight and easy-to-use C library for programming
 with the Jabber protocol. It's designed to be easy to get started
 with and yet extensible to let you do anything the Jabber protocol
 allows.

Package: libloudmouth1-dev
Description-md5: a9bf1ecb9059836b758f38ec0f7ee168
Description-en: Development files for Loudmouth Jabber library
 The development headers and API documentation for the LoudMouth
 Jabber library. See the description of libloudmouth1-0 for a more detailed
 description.

Package: liblouis-bin
Description-md5: cae9b467847bea41b21fa26bea4352d3
Description-en: Braille translation library - utilities
 Liblouis is a braille translator and back-translator.  It features support for
 computer and literary braille, supports contracted and uncontracted translation
 for many languages and has support for hyphenation.  Liblouis also supports
 math braille (Nemeth and Marburg).  New languages can easily be added through
 tables that support a rule- or dictionary based approach. Included are also
 tools for testing and debugging tables.
 .
 This package contains a few tools to test tables and translate text.

Package: liblouisutdml-java
Description-md5: 01cf3b0a85eceeb5d411215dff9262e6
Description-en: Braille UTDML translation library - java bindings
 liblouisutdml is an extension of liblouisxml, the translator from xml
 format into transcribed braille, that includes support for Unified
 Tactile Document Markup Language (UTDML).
 .
 This consists essentially of <brl> subtrees containing all the braille
 translations and formatting, plus some <meta> tags.
 .
 This package contains java bindings.

Package: liblouisxml-bin
Description-md5: f1f8297f6799a4be129e53ce59168471
Description-en: Braille XML translation utilities
 Liblouisxml translates files in the computer lingua franca xml format
 into properly transcribed braille. This includes translation into grade
 two, if desired, mathematical codes, etc. It also includes formatting
 according to a built-in style sheet which can be modified by the user.
 .
 This package contains xml2brl which translates an xml or text file into an
 embosser-ready braille file, and msword2brl which translates an ms-word file
 into an embosser-ready braille file.
 .
 In combination with ooo2dbk, it can also translate OpenOffice.org 1 documents.

Package: liblouisxml-data
Description-md5: f1698552972b3e49ac6803768bc8c1d6
Description-en: Braille XML translation library - data
 Liblouisxml translates files in the computer lingua franca xml format
 into properly transcribed braille. This includes translation into grade
 two, if desired, mathematical codes, etc. It also includes formatting
 according to a built-in style sheet which can be modified by the user.
 .
 This package contains runtime data.

Package: liblouisxml-dev
Description-md5: 505f2e6a54482766afe610fdb72d5335
Description-en: Braille XML translation library - static libs and headers
 Liblouisxml translates files in the computer lingua franca xml format
 into properly transcribed braille. This includes translation into grade
 two, if desired, mathematical codes, etc. It also includes formatting
 according to a built-in style sheet which can be modified by the user.
 .
 This package contains static libraries and development headers.

Package: liblouisxml1
Description-md5: 84e59cb1f8e15c150d918fed9f884e56
Description-en: Braille XML translation library - shared libs
 Liblouisxml translates files in the computer lingua franca xml format
 into properly transcribed braille. This includes translation into grade
 two, if desired, mathematical codes, etc. It also includes formatting
 according to a built-in style sheet which can be modified by the user.
 .
 This package contains shared libraries.

Package: liblqr-1-0
Description-md5: caca505b25486b882b6fcc545521f19e
Description-en: converts plain array images into multi-size representation
 The LiquidRescale (lqr) library provides a C/C++ API for performing
 non-uniform resizing of images by the seam-carving technique.
 .
 The library takes images in plain array format as input and converts
 them to a multi-size representation.
 .
 Following is a list of features:
 .
   * Areas in the image can be marked for preservation or discard
   * Once the image has been fully processed, the scaling can be done
     in real-time. In fact, the information can be saved and read out
     later without any further processing
   * The resizing is done with a single function which automatically
     performs all the necessary operations; it can also work in
     successive steps without wasting computational time
   * Possibility to tune the carving operation by letting the seams be
     less connected, or more rigid, or both
   * Can export and import the visibility map (the seams)
   * Other images can be attached and undergo the same carving process
     as the parent image
   * The automatic feature detection algorithm can be tuned by
     selecting among different energy gradient functions
   * Reports progress through a customizable interface
   * A signalling system permits one to cleanly handle errors
 .
 The library implements the algorithm described in the paper "Seam
 Carving for Content-Aware Image Resizing" by Shai Avidan and Ariel
 Shamir, which can be found at
 http://www.faculty.idc.ac.il/arik/imret.pdf
 .
 This package contains the shared library.

Package: liblqr-1-0-dev
Description-md5: a79caf5f9e129f98c43a469f894d841d
Description-en: converts plain array images into multi-size representation (developments files)
 The LiquidRescale (lqr) library provides a C/C++ API for performing
 non-uniform resizing of images by the seam-carving technique.
 .
 The library takes images in plain array format as input and converts
 them to a multi-size representation.
 .
 Following is a list of features:
 .
   * Areas in the image can be marked for preservation or discard
   * Once the image has been fully processed, the scaling can be done
     in real-time. In fact, the information can be saved and read out
     later without any further processing
   * The resizing is done with a single function which automatically
     performs all the necessary operations; it can also work in
     successive steps without wasting computational time
   * Possibility to tune the carving operation by letting the seams be
     less connected, or more rigid, or both
   * Can export and import the visibility map (the seams)
   * Other images can be attached and undergo the same carving process
     as the parent image
   * The automatic feature detection algorithm can be tuned by
     selecting among different energy gradient functions
   * Reports progress through a customizable interface
   * A signalling system permits one to cleanly handle errors
 .
 The library implements the algorithm described in the paper "Seam
 Carving for Content-Aware Image Resizing" by Shai Avidan and Ariel
 Shamir, which can be found at
 http://www.faculty.idc.ac.il/arik/imret.pdf
 .
 This package contains the development files.

Package: liblrcalc-dev
Description-md5: 6247532eb425e5443c58cbb0c27fe82c
Description-en: library for calculating Littlewood-Richardson coefficients - development files
 The "Littlewood-Richardson Calculator" is a package of C programs for
 computing Littlewood-Richardson coefficients, providing fast calculation of
 single LR coefficients, products of Schur functions, and skew Schur functions.
 Its interface uses the same notation as the SF package of John Stembridge, to
 make it easier to use both packages at the same time.
 .
 This package contains the development files for the library.

Package: liblrcalc1
Description-md5: 14aa2ad4875f6a3eadc4b1fa034a7c75
Description-en: library for calculating Littlewood-Richardson coefficients
 The "Littlewood-Richardson Calculator" is a package of C programs for
 computing Littlewood-Richardson coefficients, providing fast calculation of
 single LR coefficients, products of Schur functions, and skew Schur functions.
 Its interface uses the same notation as the SF package of John Stembridge, to
 make it easier to use both packages at the same time.
 .
 This package contains the shared library.

Package: liblrdf0
Description-md5: f98e22a5edd097ef106664a31fe07bab
Description-en: library to manipulate RDF files describing LADSPA plugins
 Liblrdf can read RDF/XML and N3 files and export N3 files. It also has
 a light taxonomic inference capablility.
 .
 It can also be used for general RDF manipulation.

Package: liblrdf0-dev
Description-md5: 029af0a2e269a85ac3874e9fd3fdc473
Description-en: liblrdf0 development files
 Liblrdf can read RDF/XML and N3 files and export N3 files. It also has
 a light taxonomic inference capablility.
 .
 It can also be used for general RDF manipulation.
 .
 This package holds static libraries and headers needed by developers
 who wish to use liblrdf0 in their programs.

Package: liblrs-dev
Description-md5: 5d0168d74b6acf76565022e34c5a9fa9
Description-en: package to enumerate vertices and extreme rays (development file)
 A convex polyhedron is the set of points satisfying a finite family
 of linear inequalities.  The study of the vertices and extreme rays
 of such systems is important and useful in e.g. mathematics and
 optimization.  In a dual interpretation, finding the vertices of a
 (bounded) polyhedron is equivalent to finding the convex hull
 (bounding inequalities) of an (arbitrary dimensional) set of points.
 Lrs (lexicographic reverse search) has two important features that
 can be very important for certain applications: it works in exact
 arithmetic, and it consumes memory proportional to the input, no
 matter how large the output is.
 .
 This package contains the optional headers, and a unversioned symlink
 to the library, useful for developers.

Package: liblrs0
Description-md5: 9920fe6a50b69ee0b84e21fc99b9a2e7
Description-en: package to enumerate vertices and extreme rays (shared libraries)
 A convex polyhedron is the set of points satisfying a finite family
 of linear inequalities.  The study of the vertices and extreme rays
 of such systems is important and useful in e.g. mathematics and
 optimization.  In a dual interpretation, finding the vertices of a
 (bounded) polyhedron is equivalent to finding the convex hull
 (bounding inequalities) of an (arbitrary dimensional) set of points.
 Lrs (lexicographic reverse search) has two important features that
 can be very important for certain applications: it works in exact
 arithmetic, and it consumes memory proportional to the input, no
 matter how large the output is.
 .
 This package contains the (required) shared library.

Package: liblsan0-amd64-cross
Description-md5: fd77936d8cda7d6af8bc33d6f395c678
Description-en: LeakSanitizer -- a memory leak detector (runtime)
 LeakSanitizer (Lsan) is a memory leak detector which is integrated
 into AddressSanitizer.

Package: liblsan0-ppc64-cross
Description-md5: fd77936d8cda7d6af8bc33d6f395c678
Description-en: LeakSanitizer -- a memory leak detector (runtime)
 LeakSanitizer (Lsan) is a memory leak detector which is integrated
 into AddressSanitizer.

Package: liblscp-dev
Description-md5: 22824dea0970231757a019d42abe0edd
Description-en: Development files for LinuxSampler Control Protocol library
 This package is for use with the LinuxSampler audio sampling
 engine / library and packages.
 Wraps the LinuxSampler network protocol and offers a
 convenient API in form of a C library.
 .
 This package contains the header files needed for
 development with liblscp. You will need this only if you
 intend to compile programs that use this library.

Package: liblscp-doc
Description-md5: 54e172f7ab719f693f7c2d7f764731e7
Description-en: Documentation for LinuxSampler Control Protocol library
 This package is for use with the LinuxSampler audio sampling
 engine /  library and packages.
 Wraps the LinuxSampler network protocol and offers a
 convenient API in form of a C library.
 .
 This package contains the developers reference of liblscp.

Package: liblscp6
Description-md5: ef49d8ee686a27c41b620e8c54ae271a
Description-en: LinuxSampler Control Protocol wrapper library
 This package is for use with the LinuxSampler audio sampling
 engine /  library and packages.
 Wraps the LinuxSampler network protocol and offers a
 convenient API in form of a C library.

Package: liblsofui7
Description-md5: 3db6290d075dfe9bbb485be967a4e45a
Description-en: library for monitoring your system - shared library
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 .
 This package contains the shared library

Package: libltc-dev
Description-md5: a3718909b21507cdf04a4042ff2c7107
Description-en: Development files for libltc
 Linear (or Longitudinal) Timecode (LTC) is an encoding of SMPTE timecode data
 as a Manchester-Biphase encoded audio signal. The audio signal is commonly
 recorded on a VTR track or other storage media.
 libltc provides functionality to both encode and decode LTC from/to timecode.
 .
 This package contains files needed for application development.

Package: libltc-doc
Description-md5: 184b0d3e2185713da2143eae47e8a22f
Description-en: Documentation for libltc
 Linear (or Longitudinal) Timecode (LTC) is an encoding of SMPTE timecode data
 as a Manchester-Biphase encoded audio signal. The audio signal is commonly
 recorded on a VTR track or other storage media.
 libltc provides functionality to both encode and decode LTC from/to timecode.
 .
 This package contains the API reference (as manpages) for the development of
 applications.

Package: libltc11
Description-md5: 0c3e518ac9ebfefd908e0a00c7bd1add
Description-en: linear timecode library
 Linear (or Longitudinal) Timecode (LTC) is an encoding of SMPTE timecode data
 as a Manchester-Biphase encoded audio signal. The audio signal is commonly
 recorded on a VTR track or other storage media.
 libltc provides functionality to both encode and decode LTC from/to timecode.
 .
 This package provides the shared library.

Package: liblttng-ctl-dev
Description-md5: c26f8601c8dbcbf56f23445103b2e4a9
Description-en: LTTng control and utility library (development files)
 The LTTng project aims at providing highly efficient tracing tools for Linux.
 Its tracers help tracking down performance issues and debugging problems
 involving multiple concurrent processes and threads. Tracing across multiple
 systems is also possible.
 .
 This package provides the development files for liblttng-ctl. This allows
 implementing trace control in external applications.

Package: liblttng-ctl0
Description-md5: f22c86813321509ad0d8b771b8b2cb17
Description-en: LTTng control and utility library
 The LTTng project aims at providing highly efficient tracing tools for Linux.
 Its tracers help tracking down performance issues and debugging problems
 involving multiple concurrent processes and threads. Tracing across multiple
 systems is also possible.
 .
 This package provides the control libraries used by lttng-tools.

Package: liblttng-ust-agent-java
Description-md5: a4d47b5f788f84f3cac7e9cda55c80b4
Description-en: LTTng 2.0 Userspace Tracer (Java agent library)
 The userspace tracer is designed to provide detailed information about
 userspace activity. Like the kernel tracer, performance is the main goal.
 Tracing does not require system calls or traps. UST instrumentation points may
 be added in any userspace code including signal handlers and libraries.
 .
 This package ships the Java agent with JUL and log4j support, as a .jar that
 can be imported directly in Java applications.

Package: liblttng-ust-agent-java-jni
Description-md5: 33eac7d1c6ffe2967a316eef0eff1dda
Description-en: LTTng 2.0 Userspace Tracer (Java agent JNI interface)
 The userspace tracer is designed to provide detailed information about
 userspace activity. Like the kernel tracer, performance is the main goal.
 Tracing does not require system calls or traps. UST instrumentation points may
 be added in any userspace code including signal handlers and libraries.
 .
 This package contains the native side of the Java agent for lttng-ust.

Package: liblttng-ust-java
Description-md5: 012c3df962c711bcc14d47f64cf5d8d6
Description-en: LTTng 2.0 Userspace Tracer (Java support library)
 The userspace tracer is designed to provide detailed information about
 userspace activity. Like the kernel tracer, performance is the main goal.
 Tracing does not require system calls or traps. UST instrumentation points may
 be added in any userspace code including signal handlers and libraries.
 .
 This package ships the Java side of the JNI interface, as a .jar that can be
 imported directly in Java applications.

Package: liblttng-ust-java-jni
Description-md5: aef77a9410a3c5d449a1257ef14d1b74
Description-en: LTTng 2.0 Userspace Tracer (JNI interface)
 The userspace tracer is designed to provide detailed information about
 userspace activity. Like the kernel tracer, performance is the main goal.
 Tracing does not require system calls or traps. UST instrumentation points may
 be added in any userspace code including signal handlers and libraries.
 .
 This package contains the native side of the JNI interface for lttng-ust.

Package: liblttoolbox3-3.5-1
Description-md5: 3dd75916523be5d1f6e1f1a24c225e72
Description-en: Shared library for lttoolbox
 The lttoolbox contains the augmented letter transducer tools for natural
 language processing used by Apertium, a platform for building rule-based
 and hybrid machine translation systems. The software is also useful
 for making morphological analysers and generators for natural language
 processing applications.
 .
 This package provides shared library for lttoolbox.

Package: liblua5.1-0
Description-md5: bc352b5115dff05ff2aede2bb7cb6dbb
Description-en: Shared library for the Lua interpreter version 5.1
 Lua is a powerful, light-weight programming language designed for extending
 applications.  The language engine is accessible as a library, having a C
 API which allows the application to exchange data with Lua programs and also
 to extend Lua with C functions.  Lua is also used as a general-purpose,
 stand-alone language through the simple command line interpreter provided.
 .
 This package contains runtime libraries.  You shouldn't need to install it
 explicitly.

Package: liblua5.1-0-dbg
Description-md5: 54e8189837a8abfaff0a371b2a10bb96
Description-en: Debug symbols for the Lua shared library interpreter
 This package contains the debugging symbols for liblua5.1 and lua5.1

Package: liblua5.1-0-dev
Description-md5: 883de8c555030d5626a244d06f1d320d
Description-en: Development files for the Lua language version 5.1
 Lua is a powerful, light-weight programming language designed for extending
 applications.  The language engine is accessible as a library, having a C
 API which allows the application to exchange data with Lua programs and also
 to extend Lua with C functions.  Lua is also used as a general-purpose,
 stand-alone language through the simple command line interpreter provided.
 .
 This package contains developer resources for using the Lua library.
 Install it if you are developing programs which use the Lua C API, both
 in C or C++.

Package: liblua5.1-bitop-dev
Description-md5: a809bef2a2bf80f2da92e62d93eba2a8
Description-en: Transitional package for lua-bitop-dev
 This is a transitional package to ease upgrades to the lua-bitop-dev
 package. It can safely be removed.

Package: liblua5.1-bitop0
Description-md5: 5418a4da56aec51f8f7f6769e52ae084
Description-en: Transitional package for lua-bitop
 This is a transitional package to ease upgrades to the lua-bitop
 package. It can safely be removed.

Package: liblua5.1-luacsnd
Description-md5: 8ecff4a064bdeb21fc992c320b90e33c
Description-en: Lua bindings for the Csound API
 This is the Lua binding for the Csound API.
 .
 For more information on Csound, see the csound package.

Package: liblua50
Description-md5: 7358a7bed05d9776123bdb2a7e312702
Description-en: Main interpreter library for the Lua 5.0 programming language
 Lua is a powerful language which can be included in your C/C++ projects
 using this library.
 .
 For more information, please see the lua50 and lua50-doc packages

Package: liblua50-dev
Description-md5: 06b5564cc6acfcce0009eababbf0f446
Description-en: Main interpreter library for Lua 5.0: static library and headers
 Using this package you can develop applications which have Lua interpreters
 built into them.

Package: libluabind-dev
Description-md5: 0e64b98246e32af3ea55c0ad07673954
Description-en: luabind c++ binding for Lua: static library and headers
 Luabind is a library that helps you create bindings between C++ and Lua.
 It has the ability to expose functions and classes, written in C++, to
 Lua. It will also supply the functionality to define classes in Lua and
 let them derive from other Lua classes or C++ classes. Lua classes can
 override virtual functions from their C++ baseclasses. It is written
 towards Lua 5.0, and does not work with other Lua versions.
 .
 This package contains all files necessary to develop applications which
 use luabind.

Package: libluabind-doc
Description-md5: 114ffc2d5d7b0b916ec599ac62becd8f
Description-en: luabind c++ binding for Lua: documentation files
 Luabind is a library that helps you create bindings between C++ and Lua.
 It has the ability to expose functions and classes, written in C++, to
 Lua. It will also supply the functionality to define classes in Lua and
 let them derive from other Lua classes or C++ classes. Lua classes can
 override virtual functions from their C++ baseclasses. It is written
 towards Lua 5.0, and does not work with other Lua versions.
 .
 This package contains the luabind documentation.

Package: libluabind-examples
Description-md5: af883a7cc990b01185f8233d24c05bd6
Description-en: luabind c++ binding for Lua: example files
 Luabind is a library that helps you create bindings between C++ and Lua.
 It has the ability to expose functions and classes, written in C++, to
 Lua. It will also supply the functionality to define classes in Lua and
 let them derive from other Lua classes or C++ classes. Lua classes can
 override virtual functions from their C++ baseclasses. It is written
 towards Lua 5.0, and does not work with other Lua versions.
 .
 This package contains some luabind examples, including the basic "helloworld"
 and some more advanced examples.

Package: libluabind0.9.1d1
Description-md5: 4efce1fa612d6488d4e3a0a154c15c4e
Description-en: luabind c++ binding for Lua: runtime library
 Luabind is a library that helps you create bindings between C++ and Lua.
 It has the ability to expose functions and classes, written in C++, to
 Lua. It will also supply the functionality to define classes in Lua and
 let them derive from other Lua classes or C++ classes. Lua classes can
 override virtual functions from their C++ baseclasses. It is written
 towards Lua 5.0, and does not work with other Lua versions.
 .
 This package contains the luabind runtime libraries, for applications that
 have been built with luabind.

Package: libluajit-5.1-2
Description-md5: 0e3967cc6a80ad8e644afcbfb38fdf19
Description-en: Just in time compiler for Lua - library version
 LuaJIT implements the full set of language features defined by Lua 5.1. The
 virtual machine (VM) is API- and ABI-compatible to the standard Lua interpreter
 and can be deployed as a drop-in replacement.
 .
 This package contains an embeddable version of LuaJIT that can be used as a
 replacement of the standard liblua-5.1 shared library.

Package: libluajit-5.1-common
Description-md5: e81863fc0e192bfb068911ecbcd28827
Description-en: Just in time compiler for Lua - common files
 This package contains files needed by the LuaJIT interpreter/jitter as well
 by its embeddable (i.e. library) version.

Package: libluajit-5.1-dev
Description-md5: 701f38ff9b1c7c581b17e38930b17526
Description-en: Just in time compiler for Lua - development files
 This package contains header files and a statically linkable library for
 LuaJIT.

Package: liblualib50
Description-md5: a9f68e9942e88a3da05b9ebf54d890e0
Description-en: Extension library for the Lua 5.0 programming language
 This package provides string, maths and IO functions to liblua50.
 .
 This package will most commonly be installed by programs depending on it
 or by authors using the liblualib50-dev package

Package: liblualib50-dev
Description-md5: f3660c2cd84fff93c5341131c8f0bc3f
Description-en: Extension library for Lua 5.0: static and headers
 This package allows you to link to the Lua standard libraries
 providing maths, string and IO functions to your Lua based programs.

Package: liblucene++-contrib0v5
Description-md5: 8c4c8d4a7d38f1a56181264fd5b0081e
Description-en: Shared library with Lucene++ contributions
 Lucene++ is an up to date C++ port of the popular Java Lucene
 library, a high-performance, full-featured text search engine.
 .
 This additional contributions include analyzers, a memory-only
 index and a HTML highlighter for search results.

Package: liblucene++-dev
Description-md5: 4519492e24b3a040f229b0fc6b8f39db
Description-en: Development files for Lucene++
 Lucene++ is an up to date C++ port of the popular Java Lucene
 library, a high-performance, full-featured text search engine.
 .
 This package contains development files.

Package: liblucene++-doc
Description-md5: 9c9853c8d1e9187d6b83f1b5d6d91e47
Description-en: Reference manual for Lucene++
 Lucene++ is an up to date C++ port of the popular Java Lucene
 library, a high-performance, full-featured text search engine.
 .
 This package contains the reference manual and examples.

Package: liblucene++0v5
Description-md5: d589a4bd4bc8ae15b105cb8e241bfcc2
Description-en: Shared library for Lucene++
 Lucene++ is an up to date C++ port of the popular Java Lucene
 library, a high-performance, full-featured text search engine.
 .
 This package contains the shared library.

Package: liblucene-queryparser-perl
Description-md5: 51fa1e4710f0d85de78f80a6c68d1ab4
Description-en: Turn a Lucene query into a Perl data structure
 This module parses a Lucene query. It deals with fields, types, phrases,
 subqueries, and so on; everything handled by the SimpleQuery class in
 Lucene. The data structure is similar to the one given above, and is
 pretty self-explanatory.
 .
 The other function, deparse_query turns such a data structure back into
 a Lucene query string. This is useful if you've just been mucking about
 with the data.

Package: liblucene3-contrib-java
Description-md5: 6e5ba40a7625d39dd8548bb8ccc138ad
Description-en: Full-text search engine library for Java - additional libraries
 Lucene is a full-text search engine for the Java(TM) programming language.
 Lucene is not a complete application, but rather a code library and API
 that can easily be used to add search capabilities to applications.
 .
 This package contains a number of additional (contributed) libraries.

Package: liblucene3-java
Description-md5: b598142edd97b0840e91c346e12f0c5e
Description-en: Full-text search engine library for Java - core library
 Lucene is a full-text search engine for the Java(TM) programming language.
 Lucene is not a complete application, but rather a code library and API
 that can easily be used to add search capabilities to applications.
 .
 This package contains the Lucene core library.

Package: liblucene4.10-java
Description-md5: fab1d68687ea5561c9903e4b96ebcb35
Description-en: Full-text search engine library for Java(TM)
 Lucene is a full-text search engine for the Java(TM) programming language.
 Lucene is not a complete application, but rather a code library and API
 that can easily be used to add search capabilities to applications.

Package: libluksmeta-dev
Description-md5: c8d87f22a65df79819fda91d27d20b56
Description-en: library to access metadata in the LUKSv1 header - development files
 LUKSMeta is a simple library for storing metadata in the LUKSv1 header.
 .
 This package provides the development files

Package: libluksmeta0
Description-md5: 07ad465c46d5825525319a6ce89d6675
Description-en: library to access metadata in the LUKSv1 header
 LUKSMeta is a simple library for storing metadata in the LUKSv1 header.

Package: liblunar-date-2.0-0
Description-md5: 2462165887bb9a6377af9cc4afd13a34
Description-en: Chinese Lunar library based on GObject
 Lunar-date is a Chinese Lunar library based on GObject, which can
 covert between Chinese lunar calendar and Gregorian calendar.
 .
 This package contains shared library and vala bindings.

Package: liblunar-date-dev
Description-md5: 2f53d98c2c2f94f86fcee1458fd7456b
Description-en: Chinese Lunar library based on GObject - develop files
 Lunar-date is a Chinese Lunar library based on GObject, which can
 covert between Chinese lunar calendar and Gregorian calendar.
 .
 This package contains develop files: C headers and static library.

Package: liblunar-date-doc
Description-md5: 5b5973708e312357d93d5625be2f111b
Description-en: Chinese Lunar library based on GObject - API documents
 Lunar-date is a Chinese Lunar library based on GObject, which can
 covert between Chinese lunar calendar and Gregorian calendar.
 .
 This package contains API documents.

Package: liblur-dev
Description-md5: a50ecebbe4ff414aa0414a3d89633125
Description-en: Logitech Unifying Receiver access library - dev files
 liblur is an access library for devices connected to Logitech
 Unifying Receivers. It provides functions to enumerate or disconnect
 paired devices, and pair new devices.
 .
 This package contains the files required to build software using
 liblur.

Package: liblur3
Description-md5: 40bdafc976f67bd266831751bb6e8e99
Description-en: Logitech Unifying Receiver access library
 liblur is an access library for devices connected to Logitech
 Unifying Receivers. It provides functions to enumerate or disconnect
 paired devices, and pair new devices.

Package: liblutok-dev
Description-md5: 85755a044ac885d08997e36baf146042
Description-en: lightweight C++ API library for Lua -- development files
 Lutok provides thin C++ wrappers around the Lua C API to ease the
 interaction between C++ and Lua.  These wrappers make intensive use of
 RAII to prevent resource leakage, expose C++-friendly data types, report
 errors by means of exceptions and ensure that the Lua stack is always
 left untouched in the face of errors.  The library also provides a small
 subset of miscellaneous utility functions built on top of the wrappers.
 .
 Lutok focuses on providing a clean and safe C++ interface; the drawback
 is that it is not suitable for performance-critical environments.  In
 order to implement error-safe C++ wrappers on top of a Lua C binary
 library, Lutok adds several layers or abstraction and error checking
 that go against the original spirit of the Lua C API and thus degrade
 performance.
 .
 This package contains development files (headers, pkg-config files, ...)

Package: liblutok-doc
Description-md5: d0041f4c49611f5f0bfa993b2a48893f
Description-en: lightweight C++ API library for Lua -- documentation
 Lutok provides thin C++ wrappers around the Lua C API to ease the
 interaction between C++ and Lua.  These wrappers make intensive use of
 RAII to prevent resource leakage, expose C++-friendly data types, report
 errors by means of exceptions and ensure that the Lua stack is always
 left untouched in the face of errors.  The library also provides a small
 subset of miscellaneous utility functions built on top of the wrappers.
 .
 Lutok focuses on providing a clean and safe C++ interface; the drawback
 is that it is not suitable for performance-critical environments.  In
 order to implement error-safe C++ wrappers on top of a Lua C binary
 library, Lutok adds several layers or abstraction and error checking
 that go against the original spirit of the Lua C API and thus degrade
 performance.
 .
 This package contains the developer documentation & API reference.

Package: liblutok3
Description-md5: 3184988ce326b32b11523a0da95a974f
Description-en: lightweight C++ API library for Lua -- shared object
 Lutok provides thin C++ wrappers around the Lua C API to ease the
 interaction between C++ and Lua.  These wrappers make intensive use of
 RAII to prevent resource leakage, expose C++-friendly data types, report
 errors by means of exceptions and ensure that the Lua stack is always
 left untouched in the face of errors.  The library also provides a small
 subset of miscellaneous utility functions built on top of the wrappers.
 .
 Lutok focuses on providing a clean and safe C++ interface; the drawback
 is that it is not suitable for performance-critical environments.  In
 order to implement error-safe C++ wrappers on top of a Lua C binary
 library, Lutok adds several layers or abstraction and error checking
 that go against the original spirit of the Lua C API and thus degrade
 performance.
 .
 This package contains the linkable shared object.

Package: liblv-perl
Description-md5: 961348cd70448301fff74d47e138e563
Description-en: lvalue subroutines for Perl
 LV makes lvalue subroutines easy and practical to use. It's inspired by the
 lvalue module which is sadly problematic because of the existence of another
 module on CPAN called Lvalue. (They can get confused on file-systems that
 have case-insensitive file names.)
 .
 LV comes with three different implementations, based on Variable::Magic,
 Sentinel and tie; it will choose and use the best available one.

Package: liblv2dynparam1-dev
Description-md5: 839ea479b15d6f8691d71570d348f7c3
Description-en: lv2dynparam is a LV2 plugin interface extension
 Library that enables plugin parameters to appear and disappear
 (i.e. number of voices).
 It also allows nested grouping of parameters.
 Groups can be used for things like ADSR abstraction,
 i.e. group of 4 float parameters.
 .
 The extension consists of a header describing the extension
 interface and libraries, one for plugins and one for hosts,
 to expose functionality in more usable,
 from programmer point of view, interface.
 .
 This package contains the headers used to build applications
 that use liblv2dynparamhost1-1 and liblv2dynparamplugin1-0.

Package: liblv2dynparamhost1-1
Description-md5: 6248188f32dc325ee0350c3edd88b74f
Description-en: LV2 plugin interface extension - host
 Library that enables plugin parameters to appear and disappear
 (i.e. number of voices).
 It also allows nested grouping of parameters.
 Groups can be used for things like ADSR abstraction,
 i.e. group of 4 float parameters.
 .
 This package contains the shared library for liblv2dynparamhost1-1.

Package: liblv2dynparamplugin1-0
Description-md5: 63ebd6e92ec4c301794af4659b01d6bc
Description-en: LV2 plugin interface extension - plugin
 Library that enables plugin parameters to appear and disappear
 (i.e. number of voices).
 It also allows nested grouping of parameters.
 Groups can be used for things like ADSR abstraction,
 i.e. group of 4 float parameters.
 .
 This package contains the shared library for liblv2dynparamplugin1-0.

Package: liblwip-dev
Description-md5: 83e8f83a2223ef39b614b5dc3de5fd5c
Description-en: small implementation of the TCP/IP protocol suite - development files
 lwIP is a small independent implementation of the TCP/IPv4/IPv6 protocol
 suite that has been developed by Adam Dunkels at the Computer and
 Networks Architectures (CNA) lab at the Swedish Institute of Computer
 Science (SICS).
 .
 The focus of the lwIP TCP/IP implementation is to reduce the RAM usage
 while still having a full scale TCP. This making lwIP suitable for use
 in embedded systems with tens of kilobytes of free RAM and room for
 around 40 kilobytes of code ROM.
 .
 This package contains the development files.

Package: liblwip-doc
Description-md5: f2e8125bf9f947e56bf0a9a21627d02f
Description-en: small implementation of the TCP/IP protocol suite - documentation
 lwIP is a small independent implementation of the TCP/IPv4/IPv6 protocol
 suite that has been developed by Adam Dunkels at the Computer and
 Networks Architectures (CNA) lab at the Swedish Institute of Computer
 Science (SICS).
 .
 The focus of the lwIP TCP/IP implementation is to reduce the RAM usage
 while still having a full scale TCP. This making lwIP suitable for use
 in embedded systems with tens of kilobytes of free RAM and room for
 around 40 kilobytes of code ROM.
 .
 This package contains the documentation.

Package: liblwip0
Description-md5: 7a1d321ce7433276e56e6a396d612583
Description-en: small implementation of the TCP/IP protocol suite - shared library
 lwIP is a small independent implementation of the TCP/IPv4/IPv6 protocol
 suite that has been developed by Adam Dunkels at the Computer and
 Networks Architectures (CNA) lab at the Swedish Institute of Computer
 Science (SICS).
 .
 The focus of the lwIP TCP/IP implementation is to reduce the RAM usage
 while still having a full scale TCP. This making lwIP suitable for use
 in embedded systems with tens of kilobytes of free RAM and room for
 around 40 kilobytes of code ROM.
 .
 This package contains the shared library.

Package: liblwipv6-2
Description-md5: 87d515b8b103911b8daa45b440a27902
Description-en: User level (lightweight) TCP/IP stack with IPv6 support
 LWIPv6 is a user level TCP/IP stack with the following features:
 .
 Physical layer: ARP support, TUN/TAP/VDE drivers support.
 .
 Network layer: IP forwarding, fragmentation, NAT, IPv6 Stateless Address
 Autoconfiguration, Router Advertising support.
 .
 Transport layer: TCP: congestion control, RTT estimation, fast recovery, fast
 retransmit; UDP.
 .
 Berkeley Socket API: Protocol families PF_INET, PF_INET6, PF_PACKET, partial
 PF_NETLINK support; Socket types SOCK_STREAM, SOCK_DGRAM, SOCK_RAW support.
 .
 LWIPv6 is used, for instance, by a UMView module to support user level
 networking and configuration, usually together with VDE.
 .
 LWIPv6, along with UMView, is part of the View-OS project:
 http://wiki.virtualsquare.org

Package: liblwipv6-dev
Description-md5: 3481b819111ba206552d606560a3225a
Description-en: Development files for the LWIPv6 library
 LWIPv6 is a user level TCP/IP stack with the following features:
 .
 Physical layer: ARP support, TUN/TAP/VDE drivers support.
 .
 Network layer: IP forwarding, fragmentation, NAT, IPv6 Stateless Address
 Autoconfiguration, Router Advertising support.
 .
 Transport layer: TCP: congestion control, RTT estimation, fast recovery, fast
 retransmit; UDP.
 .
 Berkeley Socket API: Protocol families PF_INET, PF_INET6, PF_PACKET, partial
 PF_NETLINK support; Socket types SOCK_STREAM, SOCK_DGRAM, SOCK_RAW support.
 .
 LWIPv6 is used, for instance, by a UMView module to support user level
 networking and configuration, usually together with VDE.
 .
 LWIPv6, along with UMView, is part of the View-OS project:
 http://wiki.virtualsquare.org
 .
 This package contains the files needed to compile applications that link
 LWIPv6.

Package: liblwjgl-java
Description-md5: fd86496bdfeb39a5bd9164d83e87afd7
Description-en: Lightweight Java Game Library
 The Lightweight Java Game Library (LWJGL) is a solution aimed directly at
 professional and amateur Java programmers alike to enable commercial quality
 games to be written in Java. LWJGL provides developers access to high
 performance crossplatform libraries such as OpenGL (Open Graphics Library)
 and OpenAL (Open Audio Library) allowing for state of the art 3D games and 3D
 sound. Additionally LWJGL provides access to controllers such as Gamepads,
 Steering wheel and Joysticks. All in a simple and straight forward API.

Package: liblwjgl-java-doc
Description-md5: 64990d53a8a49e3abeb73d545612bcde
Description-en: Lightweight Java Game Library (javadoc)
 The Lightweight Java Game Library (LWJGL) is a solution aimed directly at
 professional and amateur Java programmers alike to enable commercial quality
 games to be written in Java. LWJGL provides developers access to high
 performance crossplatform libraries such as OpenGL (Open Graphics Library)
 and OpenAL (Open Audio Library) allowing for state of the art 3D games and 3D
 sound. Additionally LWJGL provides access to controllers such as Gamepads,
 Steering wheel and Joysticks. All in a simple and straight forward API.
 .
 This package contains the API documentation for lwjgl.

Package: liblwjgl-java-jni
Description-md5: b5fb6400d069da5e1732740f908cb8b0
Description-en: Lightweight Java Game Library (jni)
 The Lightweight Java Game Library (LWJGL) is a solution aimed directly at
 professional and amateur Java programmers alike to enable commercial quality
 games to be written in Java. LWJGL provides developers access to high
 performance crossplatform libraries such as OpenGL (Open Graphics Library)
 and OpenAL (Open Audio Library) allowing for state of the art 3D games and 3D
 sound. Additionally LWJGL provides access to controllers such as Gamepads,
 Steering wheel and Joysticks. All in a simple and straight forward API.
 .
 This package contains the java jni library.

Package: liblwp-authen-negotiate-perl
Description-md5: 95737c7f88151b5530b103de0db60c3d
Description-en: Perl module for GSSAPI based Authentication Plugin for LWP
 This is the CPAN Perl module LWP::Authen::Negotiate.
 .
 LWP::Authen::Negotiate is a transparent authentication plugin for LWP.
 The LWP::UserAgent will do authentication transparently based on your
 GSSAPI installation (MIT Kerberos or Heimdal).

Package: liblwp-authen-oauth-perl
Description-md5: 60938a78de4990f07bd5c65041113861
Description-en: OAuth authentication plugin for LWP
 This is the CPAN Perl module LWP::Authen::OAuth.
 .
 LWP::Authen::OAuth is an easy to use OAuth authentication plugin for LWP,
 that should work transparent in almost all cases. Furthermore it reduces the
 amount of code that needs to be written for an OAuth authentication.

Package: liblwp-authen-oauth2-perl
Description-md5: 4ace3a7e97e498a279453119438a010b
Description-en: module enabling OAuth 2 requests via LWP
 LWP::Authen::OAuth2 lets you access OAuth 2 protected APIs with LWP.
 Specifically it provides helper methods to construct all requests to the
 service provider, and takes care (if possible) of details like
 automatically refreshing tokens when needed.
 .
 There can be wide variation between implementations of OAuth 2.  Simple
 implementations can be handled with some configuration information, but
 hooks are available to handle more complex service providers.

Package: liblwp-authen-wsse-perl
Description-md5: 07753c74f67c4b3d909b07efa60b99c0
Description-en: library for enabling X-WSSE authentication in LWP
 LWP::Authen::Wsse allows LWP to authenticate against servers that are using
 the X-WSSE authentication scheme, as required by the Atom Authentication API.
 .
 The module is used indirectly through LWP, rather than including it directly in
 your code.  The LWP system will invoke the WSSE authentication when it
 encounters the authentication scheme while attempting to retrieve a URL from a
 server.

Package: liblwp-online-perl
Description-md5: a9e1fb8f8129efa098d4cabe9c6b8b69
Description-en: module to check if there is Internet access
 LWP::Online will try to verify, as accurately as it can, if the
 host has any Internet access: it will check if there is basic
 network access, DNS connectivity and it will also check whether
 one from a whole set of transport (HTTP, HTTPS, FTP, and so on) is
 actually available.
 .
 The exported 'online' function can also be used by other modules to
 skip the tests which require Internet connectivity.

Package: liblwp-protocol-http-socketunix-perl
Description-md5: 4efc1dea124bd5c86ab38d76731337ef
Description-en: Perl module to speak http through unix sockets
 LWP::Protocol::http::UnixSocket enables you to speak HTTP through UNIX
 sockets using perl.

Package: liblwp-protocol-psgi-perl
Description-md5: 5399ea877930ea00ed1f416814d0db46
Description-en: override LWP's HTTP/HTTPS backend with your own PSGI application
 LWP::Protocol::PSGI is a module to hijack *any* code
 that uses LWP::UserAgent underneath
 such that any HTTP or HTTPS requests can be routed
 to your own PSGI application.
 .
 Because it works with any code that uses LWP,
 you can override various WWW::*, Net::* or WebService::* modules
 such as WWW::Mechanize,
 without modifying the calling code or its internals.
 .
 PSGI is a specification to decouple web server environments
 from web application framework code.

Package: liblwp-protocol-socks-perl
Description-md5: 34ae667a3e0123776fbae823ef9a55f6
Description-en: SOCKS proxy support for LWP
 LWP::Protocol::socks implements the SOCKS protocol for Perl WWW library. It
 provides the essential hooks into the LWP system to add the capability to
 setup a LWP::UserAgent to use a SOCKS proxy the same way as already possible
 for a HTTP proxy.

Package: liblwp-useragent-chicaching-perl
Description-md5: 44dff38d98c6f98fa14c5e4d14f2f228
Description-en: LWP::UserAgent with caching based on CHI
 LWP::UserAgent::CHICaching is yet another caching user agent.  When the
 client makes a request to the server, sometimes the response should be
 cached, so that no actual request has to be sent at all, or possibly
 just a request to validate the cache.  HTTP 1.1 defines how to do this.
 This class simply extends LWP::UserAgent with
 LWP::UserAgent::Role::CHICaching (also in this distribution) which is
 doing the real work to make it possible to use the very flexible CHI
 module to manage such a cache.

Package: liblwp-useragent-determined-perl
Description-md5: 45075551c6a7e918c720b951be32e775
Description-en: LWP useragent that retries errors
 LWP::UserAgent::Determined is a user agent for the perl WWW library (LWP).
 It adds failure tolerance to LWP by retrying if there is a possibly
 temporary error, such as a DNS timeout.

Package: liblwp-useragent-progressbar-perl
Description-md5: 0923dd67d080a92a57145c92da2c67ab
Description-en: LWP user agent that can display a progress bar
 LWP::UserAgent::ProgressBar is a subclass of LWP::UserAgent that provides a
 progress bar. This module have two extra methods: get_with_progress and
 post_with_progress.

Package: liblwpx-paranoidagent-perl
Description-md5: 72b8f4dc1f2138f27c3034ecff18d50e
Description-en: "paranoid" subclass of LWP::UserAgent
 The LWPx::ParanoidAgent is a class subclassing LWP::UserAgent, but
 paranoid against attackers. It's to be used when a remote resource is
 fetched on behalf of a possibly malicious user.
 .
 This class can do whatever LWP::UserAgent can (callbacks, uploads
 from files, etc).
 .
 Proxy support has been removed, because in that case you should do
 your paranoia at your proxy.
 .
 The URI scheme are limited to http and https.

Package: liblwt-log-ocaml
Description-md5: bd007b69ca5fd9052a018c510d5256c9
Description-en: optimised functions to read and write int16/32/64 (runtime)
 Lwt_log is a Lwt-friendly logging library. The library is split into
 two ocamlfind packages. The "basic" lwt_log includes Unix log
 destination support, such as files and syslog, and
 Lwt_daemon. lwt_log.core is the pure-OCaml part of lwt_log, suitable
 for targeting JavaScript in the browser, or elsewhere where Unix is
 not available.
 .
 This package contains the runtime files.

Package: liblwt-log-ocaml-dev
Description-md5: 319ff0c1a2833f92ed6387c90bb61690
Description-en: Lwt-friendly logging library (development)
 Lwt_log is a Lwt-friendly logging library. The library is split into
 two ocamlfind packages. The "basic" lwt_log includes Unix log
 destination support, such as files and syslog, and
 Lwt_daemon. lwt_log.core is the pure-OCaml part of lwt_log, suitable
 for targeting JavaScript in the browser, or elsewhere where Unix is
 not available.
 .
 This package contains the development files.

Package: liblwt-ocaml
Description-md5: 9a92aa1fd4c0739e2b6697cf09b27b72
Description-en: cooperative light-weight thread library for OCaml (runtime)
 Lwt is a library for cooperative threads implemented in a monadic style.
 Unlike preemptive threads, cooperative threads do not use a scheduler to
 distribute processor time between threads. Instead, each thread must
 announce that it wants to let the others run.
 .
 This package contains runtime libraries for programs using core Lwt.

Package: liblwt-ocaml-dev
Description-md5: 9cc00ecb2977eef354c4cc4a3b7427ea
Description-en: cooperative light-weight thread library for OCaml
 Lwt is a library for cooperative threads implemented in a monadic style.
 Unlike preemptive threads, cooperative threads do not use a scheduler to
 distribute processor time between threads. Instead, each thread must
 announce that it wants to let the others run.
 .
 This package contains all the development stuff you need to use the
 core Lwt OCaml library in your programs.

Package: liblwt-ssl-ocaml
Description-md5: de93671d346106c017868705b6e8c740
Description-en: OCaml OpenSSL binding with concurrent I/O (runtime)
 An Lwt-enabled wrapper around OCaml-SSL, that performs I/O
 concurrently. Ocaml-SSL, in turn, is a binding to the much-used
 OpenSSL.
 .
 This package contains runtime files.

Package: liblwt-ssl-ocaml-dev
Description-md5: 8a188c3a36d2786f0b0fb104acdc3119
Description-en: OCaml OpenSSL binding with concurrent I/O (dev)
 An Lwt-enabled wrapper around OCaml-SSL, that performs I/O
 concurrently. Ocaml-SSL, in turn, is a binding to the much-used
 OpenSSL.
 .
 This package contains development files.

Package: liblxc-common
Description-md5: 9157a820a0659f86e4e9edfb89d0b33f
Description-en: Linux Containers userspace tools (common tools)
 Containers are insulated areas inside a system, which have their own namespace
 for filesystem, network, PID, IPC, CPU and memory allocation and which can be
 created using the Control Group and Namespace features included in the Linux
 kernel.
 .
 This package contains a few binaries and security profiles required by
 all liblxc users.

Package: liblxc-dev
Description-md5: c719178b7e79ce1ed39519707c589961
Description-en: Linux Containers userspace tools (development)
 Containers are insulated areas inside a system, which have their own namespace
 for filesystem, network, PID, IPC, CPU and memory allocation and which can be
 created using the Control Group and Namespace features included in the Linux
 kernel.
 .
 This package contains the development files.

Package: liblxc1
Description-md5: d757397b1a93a5bea5c72032f3ef485d
Description-en: Linux Containers userspace tools (library)
 Containers are insulated areas inside a system, which have their own namespace
 for filesystem, network, PID, IPC, CPU and memory allocation and which can be
 created using the Control Group and Namespace features included in the Linux
 kernel.
 .
 This package contains the libraries.

Package: liblxi-dev
Description-md5: 92da2895f556b1c9f549f8644d7ebda3
Description-en: LAN eXtensions for Instrumentation (LXI) software interface
 liblxi is an open source software library which offers a simple API for
 communicating with LXI compatible instruments. The API allows applications to
 easily discover instruments on networks and communicate SCPI commands.
 .
 Development files

Package: liblxi1
Description-md5: 2afda1181f30b9b0abbb3bb9432d5e40
Description-en: LAN eXtensions for Instrumentation (LXI) software interface
 liblxi is an open source software library which offers a simple API for
 communicating with LXI compatible instruments. The API allows applications to
 easily discover instruments on networks and communicate SCPI commands.

Package: liblxqt-globalkeys-ui0
Description-md5: 312affdb3c55103de523fe96b1e3a365
Description-en: daemon used to register global keyboard shortcuts (ui files)
 Daemon used to register global keyboard shortcuts.
 .
 This package contain the ui for LXQt globalkeys.

Package: liblxqt-globalkeys-ui0-dev
Description-md5: a25866eeca4543aa9d58fd833bfbf6ac
Description-en: daemon used to register global keyboard shortcuts (ui dev files)
 Daemon used to register global keyboard shortcuts.
 .
 This package contain the ui development files.

Package: liblxqt-globalkeys0
Description-md5: acc94226ed39dda478160762fa143cfd
Description-en: daemon used to register global keyboard shortcuts (shared libs)
 Daemon used to register global keyboard shortcuts.
 .
 This package contain the shared libs for LXQt globalkeys.

Package: liblxqt-globalkeys0-dev
Description-md5: 9efffca638647ceeb2b0737caab3e5dc
Description-en: daemon used to register global keyboard shortcuts (dev files)
 Daemon used to register global keyboard shortcuts.
 .
 This package contain the development files.

Package: liblxqt-l10n
Description-md5: aebcbc726ad35764dbb918802f06f17d
Description-en: Language package for liblxqt
 This package contains the l10n files needed by the liblxqt.

Package: liblxqt0
Description-md5: bf3e7cd9a378b3284147d4b0e7a1697f
Description-en: Shared libraries for LXQt desktop environment (libs)
 LXQt is an advanced, easy-to-use, and fast desktop environment based on Qt
 technologies. It has been tailored for users who value simplicity, speed, and
 an intuitive interface. Unlike most desktop environments, LXQt also works
 fine with less powerful machines.
 .
 This package contains the shared libraries needed by the LXQt desktop
 environment.

Package: liblxqt0-dev
Description-md5: 8175aaaa9c67f299c849c26ae002a0e3
Description-en: Shared libraries for LXQt desktop environment (dev)
 LXQt is an advanced, easy-to-use, and fast desktop environment based on Qt
 technologies. It has been tailored for users who value simplicity, speed, and
 an intuitive interface. Unlike most desktop environments, LXQt also works
 fine with less powerful machines.
 .
 This package contain the development files.

Package: liblz-dev
Description-md5: a084d46963a96b29cebe664999d6a5f6
Description-en: data compressor based on the LZMA algorithm (development)
 The lzlib compression library provides in-memory LZMA compression and
 decompression functions, including integrity checking of the uncompressed data.
 The compressed data format used by the library is the lzip format.
 .
 This package contains the development files.

Package: liblz1
Description-md5: e2c39c374ff1a3daf7386ede1ef72f2c
Description-en: data compressor based on the LZMA algorithm (library)
 The lzlib compression library provides in-memory LZMA compression and
 decompression functions, including integrity checking of the uncompressed data.
 The compressed data format used by the library is the lzip format.

Package: liblz4-java
Description-md5: 7136d51a02051fcfc884a03c74212360
Description-en: LZ4 compression for Java
 LZ4 compression for Java, based on Yann Collet's work available at
 https://github.com/lz4/lz4. This library provides access to two compression
 methods that both generate a valid LZ4 stream: fast scan (LZ4) with a low
 memory footprint, very fast and reasonable compression ratio, and high
 compression (LZ4 HC) with medium memory footprint, rather slow and a good
 compression ratio
 .
 The streams produced by those two compression algorithms use the same
 compression format, are very fast to decompress and can be decompressed
 by the same decompressor instance.

Package: liblz4-jni
Description-md5: a1165aeca6f2023e021458f249310dad
Description-en: LZ4 compression for Java (JNI library)
 LZ4 compression for Java, based on Yann Collet's work available at
 https://github.com/lz4/lz4. This library provides access to two compression
 methods that both generate a valid LZ4 stream: fast scan (LZ4) with a low
 memory footprint, very fast and reasonable compression ratio, and high
 compression (LZ4 HC) with medium memory footprint, rather slow and a good
 compression ratio
 .
 The streams produced by those two compression algorithms use the same
 compression format, are very fast to decompress and can be decompressed
 by the same decompressor instance.
 .
 This package contains the architecture specific Java native interface part.

Package: liblz4-tool
Description-md5: ddad44e3312c9f98dda6fb33ce135688
Description-en: Fast LZ compression algorithm library - transitional package
 LZ4 is a very fast lossless compression algorithm, providing compression speed
 at 400 MB/s per core, scalable with multi-cores CPU. It also features an
 extremely fast decoder, with speed in multiple GB/s per core, typically
 reaching RAM speed limits on multi-core systems.
 .
 This is a transitional package. It can be safely removed.

Package: libm17n-im-config-dev
Description-md5: de55e2ad08c5a0b42fb7b7a5374675b5
Description-en: input method configuration library for m17n-lib - development
 m17n-im-config is a library to create a GTK+ widget for per-user
 configuration of input methods provided by the m17n library, and a
 standalone GUI program which demonstrates the library.  m17n is an
 abbreviation of Multilingualization.
 .
 This package contains the header and development files needed to build
 programs and packages using the m17n-im-config library.

Package: libm17n-im-config0
Description-md5: dec7765fd3b4138e75b98fced3176729
Description-en: input method configuration library for m17n-lib - runtime
 m17n-im-config is a library to create a GTK+ widget for per-user
 configuration of input methods provided by the m17n library, and a
 standalone GUI program which demonstrates the library.  m17n is an
 abbreviation of Multilingualization.
 .
 This package contains the runtime part of the m17n-im-config library.

Package: libm2mml0.0v5
Description-md5: 3ba5db84c7e169f1d3fd65a980c2f444
Description-en: library for transforming Matlab/Octave files to C++
 library for transforming Matlab/Octave files to C++ (with Eigen) and
 Matlab.
 .
 m2mml library.

Package: libm4ri-0.0.20200125
Description-md5: 48dafbc73156751e16a1ca10466ab539
Description-en: Method of the Four Russians Inversion library, shared library
 M4RI is a library for fast arithmetic with dense matrices over F2.
 The name M4RI comes from the first implemented algorithm: The "Method
 of the Four Russians" inversion algorithm. This algorithm in turn is
 named after the "Method of the Four Russians" multiplication
 algorithm which is probably better referred to as Kronrod's method.
 .
 This package contains the m4ri shared library.

Package: libm4ri-dev
Description-md5: 030bd5d8b65bd75b686c09309aec2b1b
Description-en: Method of the Four Russians Inversion library, development files
 M4RI is a library for fast arithmetic with dense matrices over F2.
 The name M4RI comes from the first implemented algorithm: The "Method
 of the Four Russians" inversion algorithm. This algorithm in turn is
 named after the "Method of the Four Russians" multiplication
 algorithm which is probably better referred to as Kronrod's method.
 .
 This package contains development files for libm4ri.

Package: libm4rie-0.0.20200125
Description-md5: 6fcb6114f1119bffd6547fcee4b0452c
Description-en: extended Method of the Four Russians Inversion library, shared library
 M4RIE is a library for fast arithmetic with dense matrices over small finite
 fields of even characteristic. It uses the M4RI library, implementing the same
 operations over the finite field F2.
 .
 The name M4RI comes from the first implemented algorithm: The "Method
 of the Four Russians" inversion algorithm.  This algorithm in turn is
 named after the "Method of the Four Russians" multiplication
 algorithm which is probably better referred to as Kronrod's method.
 .
 This package contains the M4RIE shared library.

Package: libm4rie-dev
Description-md5: 23e739c333bdaf162004416e9443aaf5
Description-en: extended Method of the Four Russians Inversion library, development files
 M4RIE is a library for fast arithmetic with dense matrices over small finite
 fields of even characteristic. It uses the M4RI library, implementing the same
 operations over the finite field F2.
 .
 The name M4RI comes from the first implemented algorithm: The "Method
 of the Four Russians" inversion algorithm.  This algorithm in turn is
 named after the "Method of the Four Russians" multiplication
 algorithm which is probably better referred to as Kronrod's method.
 .
 This package contains development files for the M4RIE library.

Package: libmac-widgets-doc
Description-md5: 6d806e61dbf0b164c7eabb2d27a979fb
Description-en: documentation for libmac-widgets-java
 This package contains the javadoc API documentation for libmac-widgets-java,
 a collection of Mac style widgets written in Java

Package: libmac-widgets-java
Description-md5: 5f8291dcaa541d2d08a4e30b9f55cef1
Description-en: collection of Mac style widgets written in Java
 Mac Widgets for Java are a collection of widgets seen in OS X applications,
 offered in a Java API. These widgets help Java developers create more
 Mac-like applications. Their usage is not restricted to Mac though, as
 they will render across platforms.

Package: libmad-ocaml
Description-md5: 5058d0d9ce69912cc29234e9513820f3
Description-en: OCaml bindings for the MAD library (Runtime library)
 This OCaml library interfaces the MAD C library to decode MPEG files.
 The MAD library currently only supports the MPEG 1 standard, but fully
 implements all three audio layers (Layer I, Layer II, and Layer III, the
 latter often colloquially known as MP3.)
 .
 MAD has the following special features:
   - 100% fixed-point (integer) computation
   - completely new implementation based on the ISO/IEC 11172-3 standard
   - distributed under the terms of the GNU General Public License (GPL)
 .
 This package contains only the shared runtime stub libraries.

Package: libmad-ocaml-dev
Description-md5: bbd02c5468084b6ce2a02d4c171c3192
Description-en: OCaml bindings for the MAD library (Development package)
 This OCaml library interfaces the MAD C library to decode MPEG files.
 The MAD library currently only supports the MPEG 1 standard, but fully
 implements all three audio layers (Layer I, Layer II, and Layer III, the
 latter often colloquially known as MP3.)
 .
 MAD has the following special features:
   - 100% fixed-point (integer) computation
   - completely new implementation based on the ISO/IEC 11172-3 standard
   - distributed under the terms of the GNU General Public License (GPL)
 .
 This package contains all the development stuff you need to use ocaml-mad
 in your programs.

Package: libmad0
Description-md5: 6128449b12eb51be6ddf26acb2183872
Description-en: MPEG audio decoder library
 MAD is an MPEG audio decoder. It currently only supports the MPEG 1
 standard, but fully implements all three audio layers (Layer I, Layer II,
 and Layer III, the latter often colloquially known as MP3.)
 .
 MAD has the following special features:
   - 100% fixed-point (integer) computation
   - completely new implementation based on the ISO/IEC 11172-3 standard
   - distributed under the terms of the GNU General Public License (GPL)

Package: libmad0-dev
Description-md5: bf2478178701150aac144fa1b8a3ff5f
Description-en: MPEG audio decoder development library
 MAD is an MPEG audio decoder. It currently only supports the MPEG 1
 standard, but fully implements all three audio layers (Layer I, Layer II,
 and Layer III, the latter often colloquially known as MP3.)
 .
 This is the package you need to develop or compile applications that use MAD.

Package: libmadlib
Description-md5: 92c0ed7d320e7f0c18c8e3e41c87cb30
Description-en: mesh adaptation library
 This package contains the dynamic libraries for MAdLib.
 .
 MAdLib is a library that performs global node repositioning and mesh
 adaptation by local mesh modifications on tetrahedral or triangular
 meshes. It is designed to frequently adapt the mesh in transient
 computations. MAdLib is written in C++.
 .
 The adaptation procedure is driven by two objectives:
 .
 - make the edge lengths as close as possible to a (non-homogenous)
   prescribed length,
 .
 - maintain a satisfying element quality everywhere.
 .
 MAdLib can be used in transient computations in order to maintain a
 satisfying element quality (moving boundaries, multiphase flows with
 interface tracking, ...) or/and to apply selective refinements and
 coarsenings (error estimators based, interface capturing: shocks,
 free surfaces, ...).

Package: libmadlib-dbg
Description-md5: 2f216f79adb8d38870956dec3dcde42f
Description-en: mesh adaptation library
 This package contains the debug symbols for MAdLib.
 .
 MAdLib is a library that performs global node repositioning and mesh
 adaptation by local mesh modifications on tetrahedral or triangular
 meshes. It is designed to frequently adapt the mesh in transient
 computations. MAdLib is written in C++.
 .
 The adaptation procedure is driven by two objectives:
 .
 - make the edge lengths as close as possible to a (non-homogenous)
   prescribed length,
 .
 - maintain a satisfying element quality everywhere.
 .
 MAdLib can be used in transient computations in order to maintain a
 satisfying element quality (moving boundaries, multiphase flows with
 interface tracking, ...) or/and to apply selective refinements and
 coarsenings (error estimators based, interface capturing: shocks,
 free surfaces, ...).

Package: libmadlib-dev
Description-md5: d9dbf7e5d40c245e09c9bc55cb4632e6
Description-en: mesh adaptation library
 This package contains the development files (headers, so and static
 libraries) for MAdLib.
 .
 MAdLib is a library that performs global node repositioning and mesh
 adaptation by local mesh modifications on tetrahedral or triangular
 meshes. It is designed to frequently adapt the mesh in transient
 computations. MAdLib is written in C++.
 .
 The adaptation procedure is driven by two objectives:
 .
 - make the edge lengths as close as possible to a (non-homogenous)
   prescribed length,
 .
 - maintain a satisfying element quality everywhere.
 .
 MAdLib can be used in transient computations in order to maintain a
 satisfying element quality (moving boundaries, multiphase flows with
 interface tracking, ...) or/and to apply selective refinements and
 coarsenings (error estimators based, interface capturing: shocks,
 free surfaces, ...).

Package: libmadness-dev
Description-md5: ed54c55677142f37ade1ef8530f07a53
Description-en: Numerical Environment for Scientific Simulation (development files)
 MADNESS (Multiresolution Adaptive Numerical Environment for Scientific
 Simulation) provides a high-level environment for the solution of integral and
 differential equations in many dimensions using adaptive, fast methods with
 guaranteed precision based on multi-resolution analysis and novel separated
 representations. There are three main components to MADNESS. At the lowest
 level is a new petascale parallel programming environment that increases
 programmer productivity and code performance/scalability while maintaining
 backward compatibility with current programming tools such as MPI and Global
 Arrays. The numerical capabilities built upon the parallel tools provide a
 high-level environment for composing and solving numerical problems in many
 (1-6+) dimensions. Finally, built upon the numerical tools are new
 applications with initial focus upon chemistry, atomic and molecular physics,
 material science, and nuclear structure.
 .
 This package contains the static libraries and the header files.

Package: libmagic-ocaml
Description-md5: 49a29c8b44338689ffaa2066d98c2110
Description-en: OCaml bindings for the File type determination library
 Libmagic is a library for classifying files according to magic number tests.
 This package provides OCaml interface to the C library.
 .
 This package contains only the shared runtime stub libraries.

Package: libmagic-ocaml-dev
Description-md5: 1301fa252bce197ec50774c1354db9b1
Description-en: OCaml bindings for the File type determination library
 Libmagic is a library for classifying files according to magic number tests.
 This package provides OCaml interface to the C library.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use libmagic.

Package: libmagick++-6-headers
Description-md5: 06ec9b73bbf347a03e40ab70696842f9
Description-en: object-oriented C++ interface to ImageMagick - header files
 The Magick++ library is a set of C++ wrapper classes that provides access
 to the ImageMagick package functionality from within a C++ application.
 .
 This package includes header files needed to compile
 programs using Magick++.
 .
 This package is independent of channel depth.

Package: libmagick++-6.q16-8
Description-md5: 0c41c32b31e56b653148d8cf9257e740
Description-en: C++ interface to ImageMagick -- quantum depth Q16
 The Magick++ library is a set of C++ wrapper classes that provides access
 to the ImageMagick package functionality from within a C++ application.
 .
 This package contains the C++ libraries needed to run executables that make
 use of libMagick++.
 .
 This version of libmagick++ is compiled for a channel
 depth of 16 bits (Q16).

Package: libmagick++-6.q16-dev
Description-md5: c3c989aa362d5214cb2ded85b4ac9299
Description-en: C++ interface to ImageMagick - development files (Q16)
 The Magick++ library is a set of C++ wrapper classes that provides access
 to the ImageMagick package functionality from within a C++ application.
 .
 This package includes header files and static libraries needed to compile
 programs using Magick++.
 .
 This version of libmagick++-dev is compiled for a channel
 depth of 16 bits (Q16).

Package: libmagick++-6.q16hdri-8
Description-md5: b0c54866be90ee2a5f4037f3dfc1cdb4
Description-en: C++ interface to ImageMagick -- quantum depth Q16HDRI
 The Magick++ library is a set of C++ wrapper classes that provides access
 to the ImageMagick package functionality from within a C++ application.
 .
 This package contains the C++ libraries needed to run executables that make
 use of libMagick++.
 .
 This version of libmagick++ is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).

Package: libmagick++-6.q16hdri-dev
Description-md5: bf2ce0cd49bc868a6c331922951dcdb3
Description-en: C++ interface to ImageMagick - development files (Q16HDRI)
 The Magick++ library is a set of C++ wrapper classes that provides access
 to the ImageMagick package functionality from within a C++ application.
 .
 This package includes header files and static libraries needed to compile
 programs using Magick++.
 .
 This version of libmagick++-dev is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).

Package: libmagick++-dev
Description-md5: af7ece294695041c53e6006c675283dc
Description-en: object-oriented C++ interface to ImageMagick -- dummy package
 The Magick++ library was a set of C++ wrapper classes that provides access
 to the ImageMagick package functionality from within a C++ application.
 .
 This is a transitional package to help migrate systems to the new
 ABI of libmagick++-6 development files for default channel depth.
 .
 This is a dummy package.  You can safely purge or remove it.

Package: libmagickcore-6-arch-config
Description-md5: 2bf5b3d73143b88a604852f9d76e6e3c
Description-en: low-level image manipulation library - architecture header files
 The MagickCore API is a low-level interface between the C programming language
 and the ImageMagick image processing libraries and is recommended for
 wizard-level programmers only. Unlike the MagickWand C API which uses only a
 few opaque types and accessors, with MagickCore you almost exclusively access
 the structure members directly.
 .
 This package includes the architecture dependent part of the
 headers files used by MagickCore.
 .
 This package is independent of channel depth.

Package: libmagickcore-6-headers
Description-md5: a8db8761301b33146d93d31dc2ac97b4
Description-en: low-level image manipulation library - header files
 The MagickCore API is a low-level interface between the C programming language
 and the ImageMagick image processing libraries and is recommended for
 wizard-level programmers only. Unlike the MagickWand C API which uses only a
 few opaque types and accessors, with MagickCore you almost exclusively access
 the structure members directly.
 .
 This package includes the architecture independent header files
 needed to compile programs using MagickCore.
 .
 This package is independent of channel depth.

Package: libmagickcore-6.q16-6
Description-md5: f688f89f9dd63da95c49e94b4496cd52
Description-en: low-level image manipulation library -- quantum depth Q16
 The MagickCore API is a low-level interface between the C programming language
 and the ImageMagick image processing libraries and is recommended for
 wizard-level programmers only. Unlike the MagickWand C API which uses only a
 few opaque types and accessors, with MagickCore you almost exclusively access
 the structure members directly.
 .
 This package contains the C libraries needed to run executables that make
 use of MagickCore.
 .
 This version of libmagickcore is compiled for a channel
 depth of 16 bits (Q16).

Package: libmagickcore-6.q16-6-extra
Description-md5: a06d4ac082de7d3d4609131eb58764ca
Description-en: low-level image manipulation library - extra codecs (Q16)
 This package adds support for SVG, WMF, OpenEXR, DjVu and Graphviz to
 MagickCore.
 .
 This version of libmagickcore-extra is compiled for a channel
 depth of 16 bits (Q16).

Package: libmagickcore-6.q16-dev
Description-md5: b3e9994222fe22a105ea09bc9fdbf60b
Description-en: low-level image manipulation library - development files (Q16)
 The MagickCore API is a low-level interface between the C programming language
 and the ImageMagick image processing libraries and is recommended for
 wizard-level programmers only. Unlike the MagickWand C API which uses only a
 few opaque types and accessors, with MagickCore you almost exclusively access
 the structure members directly.
 .
 This package includes header static libraries needed to compile
 programs using MagickCore.
 .
 This version of libmagickcore-dev is compiled for a channel
 depth of 16 bits (Q16).

Package: libmagickcore-6.q16hdri-6
Description-md5: 3b22716bce6596b26b5d0fe6f8a409c0
Description-en: low-level image manipulation library -- quantum depth Q16HDRI
 The MagickCore API is a low-level interface between the C programming language
 and the ImageMagick image processing libraries and is recommended for
 wizard-level programmers only. Unlike the MagickWand C API which uses only a
 few opaque types and accessors, with MagickCore you almost exclusively access
 the structure members directly.
 .
 This package contains the C libraries needed to run executables that make
 use of MagickCore.
 .
 This version of libmagickcore is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).

Package: libmagickcore-6.q16hdri-6-extra
Description-md5: cd6b982f20932b9a3882651080cec791
Description-en: low-level image manipulation library - extra codecs (Q16HDRI)
 This package adds support for SVG, WMF, OpenEXR, DjVu and Graphviz to
 MagickCore.
 .
 This version of libmagickcore-extra is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).

Package: libmagickcore-6.q16hdri-dev
Description-md5: 318e99730be581bdf46a9d774b773c39
Description-en: low-level image manipulation library - development files (Q16HDRI)
 The MagickCore API is a low-level interface between the C programming language
 and the ImageMagick image processing libraries and is recommended for
 wizard-level programmers only. Unlike the MagickWand C API which uses only a
 few opaque types and accessors, with MagickCore you almost exclusively access
 the structure members directly.
 .
 This package includes header static libraries needed to compile
 programs using MagickCore.
 .
 This version of libmagickcore-dev is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).

Package: libmagickcore-dev
Description-md5: f90927ba6a13e7c7f8e0886fe0476a3e
Description-en: low-level image manipulation library -- dummy package
 This package included header files and static libraries needed to compile
 programs using MagickCore.
 .
 This is a transitional package to help migrate systems to the new
 ABI of libmagickcore-6 development files for default channel depth.
 .
 This is a dummy package.  You can safely purge or remove it.

Package: libmagickwand-6-headers
Description-md5: 26a899f36addb78d0dfc2cc24f28ad9a
Description-en: image manipulation library - headers files
 The MagickWand API is the recommended interface between the C programming
 language and the ImageMagick image processing libraries. Unlike the
 MagickCore C API, MagickWand uses only a few opaque types. Accessors are
 available to set or get important wand properties.
 .
 This package includes header files needed to compile
 programs using MagickWand.
 .
 This package is independent of channel depth.

Package: libmagickwand-6.q16-6
Description-md5: 0c875be0fa5c3c27073edfb377baa500
Description-en: image manipulation library -- quantum depth Q16
 The MagickWand API is the recommended interface between the C programming
 language and the ImageMagick image processing libraries. Unlike the
 MagickCore C API, MagickWand uses only a few opaque types. Accessors are
 available to set or get important wand properties.
 .
 This package contains the C libraries needed to run executables that make
 use of MagickWand.
 .
 This version of libmagickwand is compiled for a channel
 depth of 16 bits (Q16).

Package: libmagickwand-6.q16-dev
Description-md5: 6e42d2139f5f25e467dea8208c50f942
Description-en: image manipulation library - development files (Q16)
 The MagickWand API is the recommended interface between the C programming
 language and the ImageMagick image processing libraries. Unlike the
 MagickCore C API, MagickWand uses only a few opaque types. Accessors are
 available to set or get important wand properties.
 .
 This package the static libraries needed to compile
 programs using MagickWand.
 .
 This version of libmagickwand-dev is compiled for a channel
 depth of 16 bits (Q16).

Package: libmagickwand-6.q16hdri-6
Description-md5: bb13722c05450e5cf602707c87e455ad
Description-en: image manipulation library -- quantum depth Q16HDRI
 The MagickWand API is the recommended interface between the C programming
 language and the ImageMagick image processing libraries. Unlike the
 MagickCore C API, MagickWand uses only a few opaque types. Accessors are
 available to set or get important wand properties.
 .
 This package contains the C libraries needed to run executables that make
 use of MagickWand.
 .
 This version of libmagickwand is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).

Package: libmagickwand-6.q16hdri-dev
Description-md5: 129fb0c8f9aeae8e8d848457773b5c4e
Description-en: image manipulation library - development files (Q16HDRI)
 The MagickWand API is the recommended interface between the C programming
 language and the ImageMagick image processing libraries. Unlike the
 MagickCore C API, MagickWand uses only a few opaque types. Accessors are
 available to set or get important wand properties.
 .
 This package the static libraries needed to compile
 programs using MagickWand.
 .
 This version of libmagickwand-dev is compiled for a channel
 depth of 16 bits with high dynamic range (Q16HDRI).

Package: libmagickwand-dev
Description-md5: 28a96f71697c26ce0682ab3e67b8c710
Description-en: image manipulation library -- dummy package
 This package included the static libraries needed to compile
 programs using MagickWand.
 .
 This is a transitional package to help migrate systems to the new
 ABI of libmagickwand-6 development files for default channel depth.
 .
 This is a dummy package.  You can safely purge or remove it.

Package: libmagics++-data
Description-md5: 321cba5334173061fd108c281ef76d8f
Description-en: Data files needed for magics++ library
 Magics++ is the latest generation of the ECMWF's Meteorological plotting
 software MAGICS. Although completely redesigned in C++, it is intended to be
 as backwards-compatible as possible with the Fortran interface.
 .
 This package contains data expected by the magics++ library.

Package: libmagics++-dev
Description-md5: baa5d623da7de3a3bfd720cd69bd1fcf
Description-en: Development files for ECMWF  plotting software MAGICS++
 Magics++ is the latest generation of the ECMWF's Meteorological plotting
 software MAGICS. Although completely redesigned in C++, it is intended to be
 as backwards-compatible as possible with the Fortran interface.
 Besides its programming interfaces (Fortran and C), Magics++ offers MagML,
 a plot description language based on XML aimed at automatic web production.
 .
 This package includes the header files and static libraries needed to build
 against libmagics++.

Package: libmagics++-metview-dev
Description-md5: 5cbee2a14ce45ca3e81843d73bd70d3e
Description-en: Libraries needed for building MetView
 Magics++ is the latest generation of the ECMWF's Meteorological plotting
 software MAGICS. Although completely redesigned in C++, it is intended to be
 as backwards-compatible as possible with the Fortran interface.
 .
 This package includes the header files and static libraries needed to build
 metview against magics++.

Package: libmagpie-perl
Description-md5: 233fe0a1f1876bb5d24201e30dc9adbb
Description-en: RESTful Web Framework for Perl5
 Magpie is a web framework for Perl5 that steals the shiny bits from
 many different web frameworks we at Tamarou have used over the last
 decade. It is based on the ideas expressed by the W3C TAG in
 "Architecture of the World Wide Web" at
 <http://www.w3.org/TR/2004/REC-webarch-20041215/>, namely that the web
 is comprised of Resources that respond to certain methods (GET, POST,
 PUT, DELETE etc).

Package: libmagplus3v5
Description-md5: 25e7234e00d5dfa68d9d523112d26bef
Description-en: ECMWF meteorological plotting software library
 Magics++ is the latest generation of the ECMWF's Meteorological plotting
 software MAGICS. Although completely redesigned in C++, it is intended to be
 as backwards-compatible as possible with the Fortran interface.
 Besides its programming interfaces (Fortran and C), Magics++ offers MagML,
 a plot description language based on XML aimed at automatic web production.
 .
 The library supports the plotting of contours, wind fields, observations,
 satellite images, symbols, text, axis and graphs (including boxplots).
 .
 Data fields to be plotted may be presented in various formats,
 for instance GRIB 1 and 2 code data, Gaussian grid, regularly spaced grid
 and fitted data. Input data can also be in BUFR and NetCDF format
 or retrieved from an ODB database.
 .
 The produced meteorological plots can be saved in various formats,
 such as PostScript, EPS, PDF, GIF, PNG, SVG and KML.

Package: libmail-box-imap4-perl
Description-md5: 2c02d0bb602294c41062758061896ef4
Description-en: perl module for handling of IMAP4 folders as client
 Mail::Box::IMAP4 maintains a folder which has its messages stored on a remote
 server. The communication between the client application and the server is
 implemented using the IMAP4 protocol.

Package: libmail-box-perl
Description-md5: 651d98c98f8ccfaa3760f7dfd8825b72
Description-en: message-folder management module
 Mail::Box is the base-class for accessing various types of mail-folder
 organizational structures in a uniform way.  The various folder types vary
 on how they store their messages. For example, a folder may store many
 messages in a single file, or store each message in a separate file in a
 directory. Similarly, there may be different techniques for locking the
 folders.

Package: libmail-box-pop3-perl
Description-md5: 422fe0ddeeaabcea4a9b05c61dee51e3
Description-en: POP3 handler for Mail::Box
 Mail::Box::POP3 maintains a folder which has its messages stored on a remote
 server.  The communication between the client application and the server is
 implemented using the POP3 protocol.  This class uses
 Mail::Transport::POP3 to hide the transport of information, and focusses
 solely on the correct handling of messages within a POP3 folder.

Package: libmail-bulkmail-perl
Description-md5: d4eb5f8c7b253b66ee798b74ed82f0ae
Description-en: Platform independent mailing list module
 Mail::Bulkmail gives a fairly complete set of tools for
 managing mass-mailing lists.  It's really, really fast and
 can handle huge lists.
 .
 DO NOT USE THIS SOFTWARE TO SEND SPAM!

Package: libmail-checkuser-perl
Description-md5: c6dc8eee36bd85b09680c46dcb3f5b4a
Description-en: Perl module for checking email addresses for validity
 Mail::CheckUser provides routines for quickly checking the validity of
 email addresses. It carries out the following checks:
 .
    * syntax of the email address
    * existence of MX or A records for the domain part (optional)
    * direct connection via SMTP simulating email delivery with MAIL
      and RCPT (optional)

Package: libmail-chimp3-perl
Description-md5: 75d05be786dc8200eb6cffbf0adf858b
Description-en: interface to mailchimp.com's RESTful Web API v3
 Mail::Chimp3 is a Perl package for interacting with The Rocket Science Group's
 MailChimp service via its RESTful Web API v3.0. The package makes use of
 WEB::API.

Package: libmail-deliverystatus-bounceparser-perl
Description-md5: 19172a10ad20a8630c5bbec21f6f556c
Description-en: module for analyzing bounce messages
 Mail::DeliveryStatus::BounceParser analyzes bounce messages and returns a
 structured description of the addresses that bounced and why they bounced; it
 also returns information about the original returned message, where possible,
 including the Message-ID.

Package: libmail-field-received-perl
Description-md5: 25c5346aad5c9921314bc8000d0aa2f4
Description-en: mostly RFC822-compliant parser of Received headers
 Don't use this class directly!  Instead ask Mail::Field for new
 instances based on the field name!
 .
 Mail::Field::Received provides subroutines for parsing Received
 headers from e-mails.  It mostly complies with RFC822, but deviates to
 accommodate a number of broken MTAs which are in common use.  It also
 attempts to extract useful information which MTAs often embed within
 the (comments).
 .
 It is a subclass derived from the Mail::Field and Mail::Field::Generic
 classes.

Package: libmail-gnupg-perl
Description-md5: e4facd62adbd2b1d0cf7f0df5da3e5ff
Description-en: Perl module for processing email with GPG
 Mail::GnuPG can process or create PGP signed or encrypted
 email.

Package: libmail-imapclient-perl
Description-md5: 8f7e41e56a35c8756dfe6604e6105c9b
Description-en: Perl library for manipulating IMAP mail stores
 Mail::IMAPClient provides an interface for interacting with Internet Message
 Access Protocol (IMAP). It supports virtually all IMAP client operations as
 of RFC3501 and is even capable of handling most unknown commands, including
 non-standard extensions or those which are from a newer version of the IMAP
 specification.
 .
 There are various implementations of IMAP authentication mechanisms. If your
 mail system uses a different procedure to authenticate users, this feature is
 extensible through plugins.

Package: libmail-imaptalk-perl
Description-md5: 4a589c380b5df7fc958e185d46304374
Description-en: IMAP client interface with lots of features
 Mail::IMAPTalk communicates with an IMAP server. Each IMAP server command is
 mapped to a method of this object.
 .
 Although other IMAP modules exist on CPAN, this has several advantages over
 other modules.
  * It parses the more complex IMAP structures like envelopes and body
    structures into nice Perl data structures
  * It correctly supports atoms, quoted strings and literals at any point.
    Some parsers in other modules aren't fully IMAP compatiable and may
    break at odd times with certain messages on some servers
  * It allows large return values (eg. attachments on a message) to be read
    directly into a file, rather than into memory
  * It includes some helper functions to find the actual text/plain or
    text/html part of a message out of a complex MIME structure. It also
    can find a list of attachements, and CID links for HTML messages with.
    attached images
  * It supports decoding of MIME headers to Perl utf-8 strings
    automatically, so you don't have to deal with MIME encoded headers
    (enabled optionally)
 .
 While the IMAP protocol does allow for asynchronous running of commands, this
 module is designed to be used in a synchronous manner.

Package: libmail-java
Description-md5: da6f1470ea60cee91cb9e2af4b7bee94
Description-en: JavaMail API Reference Implementation
 The JavaMail API provides a platform-independent and protocol-independent
 framework to build mail and messaging applications.
 .
 This is the reference implementation of the JavaMail API. It supports SMTP,
 POP3, IMAP (including Gmail features) and Delivery Status Notifications
 parsing.

Package: libmail-java-doc
Description-md5: e348ebb95a6d109f1128caf45eaeff17
Description-en: JavaMail API Reference Implementation (documentation)
 The JavaMail API provides a platform-independent and protocol-independent
 framework to build mail and messaging applications.
 .
 This package contains the API documentation of libmail-java.

Package: libmail-listdetector-perl
Description-md5: 36a053392cef5da5a367014aa29f6230
Description-en: module for detecting mailing list messages
 Mail::ListDetector is a Perl module useful for detecting whether or not a
 message originates from a mailing list. It is based on RFC2369 and is also
 capable of matching mailman and ezmlm messages.

Package: libmail-mbox-messageparser-perl
Description-md5: b18f6cbf1cd487a2ce14c456a385239a
Description-en: Perl module for processing mbox folders
 Mail::Mbox::MessageParser is a Perl module that provides a feature-poor but
 very fast mbox parser. It uses the best of three strategies for parsing a
 mailbox: cached folder information, GNU grep, or highly optimized Perl.

Package: libmail-mboxparser-perl
Description-md5: f1df3b30d3f0d48a3d6a8f8bdeddd0ed
Description-en: module providing read-only access to UNIX mailboxes
 Mail::MboxParser attempts to provide a simplified access to standard
 UNIX-mailboxes.  It offers only a subset of methods to get 'straight
 to the point'. More sophisticated things can still be done by
 invoking any method from MIME::Tools on the appropriate return
 values.

Package: libmail-message-perl
Description-md5: be34bfbdc1e3cf069b92fc771e12bbf3
Description-en: generic class representing mail messages (perl library)
 A Mail::Message object is a container for MIME-encoded message information,
 as defined by RFC2822.  Everything what is not specificaly related to storing
 the messages in mailboxes (folders) is implemented in this class.  Methods
 which are related to folders is implemented in the Mail::Box::Message
 extension (included in the libmail-box-perl package).
 .
 The suggested packages are used by the various Mail::Message::Convert::*
 modules.

Package: libmail-milter-perl
Description-md5: 7b7de1114e62f5c97ac218574c57a366
Description-en: Perl extension modules for mail filtering via milter
 Mail::Milter is a set of modules useful to mail filter writers who
 are using the Sendmail::Milter and/or Sendmail::PMilter APIs to
 interface directly to the SMTP transaction.

Package: libmail-pop3client-perl
Description-md5: 37dd5f7e696d36afb7b09e6afc0230a1
Description-en: POP3 client module for perl
 Mail::POP3Client provides an object-oriented interface to a POP3 server.
 It can be used to write perl-based biff clients, mail
 readers, or whatever.

Package: libmail-rbl-perl
Description-md5: 116155036efa589d6b95884961bb7145
Description-en: Perl extension to access RBL-style host verification services
 Mail::RBL eases the task of checking if a given host is in the list. The
 methods available are described below:
 .
  - new(suffix, resolver): Creates a list handle
  - check($host): either a hostname or an IP address
  - check_rhsbl($host): queries RHSBLs instead of IP-based lists, useful for
    using lists such as some of http://www.rfc-ignorant.org/

Package: libmail-rfc822-address-perl
Description-md5: f0823ed61dfca92b5d9cacc12e9266a7
Description-en: Perl extension for validating email addresses
 Mail::RFC822::Address validates email addresses against the grammar described
 in RFC 822 using regular expressions.  How to validate a user supplied email
 address is a FAQ (see perlfaq9): the only sure way to see if a supplied email
 address is genuine is to send an email to it and see if the user receives it.
 The one useful check that can be performed on an address is to check that the
 email address is syntactically valid.  That is what this module does.
 .
 This module is functionally equivalent to RFC::RFC822::Address, but uses
 regular expressions rather than the Parse::RecDescent parser.  This means that
 startup time is greatly reduced making it suitable for use in transient scripts
 such as CGI scripts.

Package: libmail-sendeasy-perl
Description-md5: c01a7b6619520a22048be63243699e0f
Description-en: Perl module to send plain/html e-mails through SMTP servers
 Mail::SendEasy will send in a easy way e-mails, and doesn't have
 dependencies on libnet packages.
 .
 It supports SMTP authentication and attachments.

Package: libmail-sender-perl
Description-md5: 96201f7633bb9080cfd3cc026db4bc43
Description-en: Perl Module for sending mails with attachments
 An object oriented interface to sending SMTP mails through a socket
 connection. Supports multipart messages.

Package: libmail-spf-xs-perl
Description-md5: 91539b383b417fc315db89fba18caaf4
Description-en: library for validating mail senders with SPF - Perl bindings
 libspf2 implements the Sender Policy Framework, a part of the SPF/SRS
 protocol pair. libspf2 is a library which allows email systems such
 as Sendmail, Postfix, Exim, Zmailer and MS Exchange to check SPF
 records and make sure that the email is authorized by the domain name
 that it is coming from. This prevents email forgery, commonly used by
 spammers, scammers and email viruses/worms.
 .
 This package provides an XS module for using libspf from Perl programs.

Package: libmail-srs-perl
Description-md5: 13dc080b0b33d9afd40c2d6bb38e5b70
Description-en: interface to Sender Rewriting Scheme
 The Sender Rewriting Scheme preserves .forward functionality in an
 SPF-compliant world.
 .
 SPF requires the SMTP client IP to match the envelope sender
 (return-path). When a message is forwarded through an intermediate
 server, that intermediate server may need to rewrite the return-path
 to remain SPF compliant. If the message bounces, that intermediate
 server needs to validate the bounce and forward the bounce to the
 original sender.

Package: libmail-thread-perl
Description-md5: 97eef3744019df391e0235e222cd0f44
Description-en: library for threading email by In-Reply-To and References
 The Mail::Thread module implements something relatively close to
 Jamie Zawinski's mail threading algorithm, as described by
 http://www.jwz.org/doc/threading.html.  This algorithm is based
 on following References and In-Reply-To headers, and is able to
 deal with not having all of the messages in a thread.

Package: libmail-transport-perl
Description-md5: 79a260297cfa2d346968b6e68f448d65
Description-en: perl library for sending email
 Mail::Transport is a base class providing common functionality for sending
 and receiving mail.
 .
 Included in this package are modules for sending mail via various means -
 smtp, mailx, exim, qmail and sendmail.

Package: libmail-verify-perl
Description-md5: d2fc7a250659f32ec251cff90f66a5d8
Description-en: Utility to verify an email address
 Mail::Verify provides a function CheckAddress function for verifying
 email addresses. First the syntax of the email address is checked, then
 it verifies that there is at least one valid MX server accepting email
 for the domain. Using Net::DNS and IO::Socket a list of MX records (or,
 falling back on a hosts A record) are checked to make sure at least one
 SMTP server is accepting connections.

Package: libmail-verp-perl
Description-md5: 664fc406c5361d90f793cd1704a1c165
Description-en: Variable Envelope Return Paths (VERP) address encoder/decoder
 Mail::Verp provides utility functions to encode and decode Variable Envelope
 Return Paths (VERP) addresses, as described by http://cr.yp.to/proto/verp.txt.
 .
 VERP addresses are used to help manage bounces coming back from remote SMTP
 servers, especially when dispatching mail for a mailing-list; the VERP address
 encodes the recipient address, which can then be recovered from the bounce to
 unsubscribe the address from the mailing-list.
 .
 The encoding uses the method described by
 http://www.courier-mta.org/draft-varshavchik-verp-smtpext.txt.

Package: libmailutils-dev
Description-md5: ad111e18158039f8492c52b4325559d9
Description-en: development files for GNU mailutils
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 This package includes the development files for GNU mailutils and
 GNU's Mail abstraction library.

Package: libmailutils6
Description-md5: f0a92df0c0c37cc7d88843794fecbcd2
Description-en: GNU Mail abstraction library
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 This is GNU's Mail abstraction library.  This library allows programs to
 hook into a single library for many different types of mail backends.
 The following backend stores are currently provided: mbox, mh, maildir,
 pop3, imap4.

Package: libmakefile-dom-perl
Description-md5: 4c9aacdd256c434d458bcb684b332f90
Description-en: Perl DOM implementation for Makefiles
 Makefile::DOM serves as an advanced lexer for (GNU) makefiles. It losslessly
 parses makefiles as "documents". The results are data structures similar to DOM
 trees, holding every single bit of the information in the original input files,
 including white spaces, blank lines and makefile comments, so it's possible to
 reproduce the original makefiles from the DOM trees. In addition, each node of
 the DOM trees is modifiable and so is the whole tree.

Package: libmalaga-dev
Description-md5: 0f14c7e9301a57c28eb88f80566d75ec
Description-en: Developer's library for automatic language analysis
 Malaga is a system for implementing natural language analysers:
 both grammars and morphologies can be created.
 You will not be needing this package if you do not intend
 to do research on computer linguistics or develop computer
 programs that need to do advanced processing of natural
 languages.
 .
 This package contains the static library and header file
 for developing programs that use the Malaga engine.

Package: libmalaga7
Description-md5: 34bbd08fc78078f1acc2fcbdd38d471a
Description-en: Automatic language analysis library
 Malaga is a system for implementing natural language analysers:
 both grammars and morphologies can be created.
 .
 This package contains the shared library supporting other
 programs that use the Malaga engine.  Malaga itself does
 not use this library.

Package: libmalai-java
Description-md5: d25a889e3da8a03135dd1f035d6f5625
Description-en: Malai software architecture pattern in Java
 libMalai is a Java implementation of the Malai architectural design pattern.
 Malai can be viewed as an major step beyond MVC where the controller has
 been completely rethought to consider modern evolutions of the interactivity
 of systems. Malai can also be viewed as MVP architecture focusing on modern
 concerns:
  - More and more interactivity in software systems (with more and more
    post-WIMP interactions)
  - Multi-platform development thanks to its modularity

Package: libmaliit-glib-dev
Description-md5: b25288fff85cb7771eabb4420f45534c
Description-en: Maliit Input Method Framework - GLib Development Headers
 Maliit provides a flexible and cross-platform input method framework. It has a
 plugin-based client-server architecture where applications act as clients and
 communicate with the Maliit server via input context plugins. The communication
 link currently uses D-Bus. Maliit is an open source framework (LGPL 2) with
 open source plugins (BSD).
 .
 This package provides GLib development headers for the Maliit framework.

Package: libmaliit-glib0
Description-md5: 4195f06ac5a610f19d24647ea782541d
Description-en: Maliit Input Method Framework - GLib Bindings
 Maliit provides a flexible and cross-platform input method framework. It has a
 plugin-based client-server architecture where applications act as clients and
 communicate with the Maliit server via input context plugins. The communication
 link currently uses D-Bus. Maliit is an open source framework (LGPL 2) with
 open source plugins (BSD).
 .
 This package provides GLib bindings for the Maliit framework.

Package: libmaliit-plugins0
Description-md5: 6015689873c0b378f8f1fe760b565141
Description-en: Maliit Input Method Framework - Plugin Library
 Maliit provides a flexible and cross-platform input method framework. It has a
 plugin-based client-server architecture where applications act as clients and
 communicate with the Maliit server via input context plugins. The communication
 link currently uses D-Bus. Maliit is an open source framework (LGPL 2) with
 open source plugins (BSD).
 .
 This package provides the maliit plugin library for the Maliit framework.

Package: libmaliit0
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: libmaloc-dev
Description-md5: 1260edef3483640cf2f880595d2de374
Description-en: Object-oriented Abstraction Layer for C (development files)
 MALOC is a small, portable, abstract C environment library for object-
 oriented C programming.  MALOC is used as the foundation layer for a
 number of scientific applications, including MC, SG, and APBS.  MALOC
 can be used as a small stand-alone abstraction environment for writing
 portable C programs which need access to resources which are typically
 architecture-dependent, such as INET sockets, timing routines, and so
 on.  MALOC provides abstract datatypes, memory management routines,
 timing routines, machine epsilon, access to UNIX and INET sockets, MPI,
 etc.  All things that can vary from one architecture to another are
 abstracted out of an application code and placed in MALOC.
 .
 This package contains the header files, static library and the
 programmer's guide in HTML format.

Package: libmaloc1
Description-md5: a4e6af64df141f484ae9c9ac68c7db0e
Description-en: Object-oriented Abstraction Layer for C
 MALOC is a small, portable, abstract C environment library for object-
 oriented C programming.  MALOC is used as the foundation layer for a
 number of scientific applications, including MC, SG, and APBS.  MALOC
 can be used as a small stand-alone abstraction environment for writing
 portable C programs which need access to resources which are typically
 architecture-dependent, such as INET sockets, timing routines, and so
 on.  MALOC provides abstract datatypes, memory management routines,
 timing routines, machine epsilon, access to UNIX and INET sockets, MPI,
 etc.  All things that can vary from one architecture to another are
 abstracted out of an application code and placed in MALOC.

Package: libmama-dev
Description-md5: e2e1d3a78272c6926fd34dd051abe3ed
Description-en: message oriented middleware - development files
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides header files needed for developing applications
 based on the core libraries.

Package: libmama-doc
Description-md5: 5e016257608ae1d2359626f4a625c65a
Description-en: message oriented middleware - API documentation (MAMA)
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the API documentation for OpenMAMA C, C++ and Java.

Package: libmama0
Description-md5: a6dc58bc4513beaab71a0f9d290f828a
Description-en: message oriented middleware - shared libraries
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the core libraries.

Package: libmamaavis0
Description-md5: e9bac72ce87b1a94f35e22b75a6d9c15
Description-en: message oriented middleware - avis transport
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides support for the avis transport.

Package: libmamacpp0
Description-md5: e4af7966fa4222bda7a0deefa2b30786
Description-en: message oriented middleware - shared libraries (C++)
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the core libraries (C++).

Package: libmamajni-java
Description-md5: 73c2a82e6e8b1d42537ecdb9cea70256
Description-en: message oriented middleware - Java libraries
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the Java API to the core libraries.

Package: libmamda-book-java
Description-md5: d0abcd3abd4b63dad1ce1da67e5ff2fe
Description-en: message oriented middleware - Java libraries (MAMDA order book)
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the Java API to the MAMDA order book library.

Package: libmamda-dev
Description-md5: 1854a60ba119da51e79c8b3f7167e2d7
Description-en: message oriented middleware - development files
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides header files needed for developing applications
 based on market data libraries.

Package: libmamda-doc
Description-md5: 221f197f1fb32766bd5ce0c1bcbb7188
Description-en: message oriented middleware - API documentation (MAMA)
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the API documentation for OpenMAMDA C, C++ and Java.

Package: libmamda-java
Description-md5: 6275a6166b79d08305f4440f34284295
Description-en: message oriented middleware - Java libraries (MAMDA)
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the Java API to the MAMDA libraries.

Package: libmamda-options-java
Description-md5: c3243d0dd7ed8ccb8f69e2f44041c75b
Description-en: message oriented middleware - Java libraries (MAMDA options)
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the Java API to the MAMDA options library.

Package: libmamda0
Description-md5: cc3893520e5ed5bafb130b886a650a11
Description-en: message oriented middleware - shared libraries
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides the core libraries for market data functionality.

Package: libmamdabook0
Description-md5: f62ea777e16b26b2165c5afff82f6007
Description-en: message oriented middleware - shared libraries
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package providers support for order books.

Package: libmamdanews0
Description-md5: fef3ff3143930f44e7b5e962fe7bc0d8
Description-en: message oriented middleware - shared libraries
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides support for news.

Package: libmamdaoptions0
Description-md5: 54af9c6d84e53bdb5dd66e4cd529715a
Description-en: message oriented middleware - shared libraries
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides support for options instruments.

Package: libmanette-0.2-0
Description-md5: 7f469f3a1353b18933ffce198fb03fa2
Description-en: Simple GObject game controller library
 libmanette is a library for using game controllers using an API inspired
 by GDK's device and event handling. It supports the W3C Draft Gamepad
 specification.
 .
 This package contains the shared libraries.

Package: libmanette-0.2-dev
Description-md5: 4f80d8f8a87872d1322545e0cf462522
Description-en: Simple GObject game controller library - development files
 libmanette is a library for using game controllers using an API inspired
 by GDK's device and event handling. It supports the W3C Draft Gamepad
 specification.
 .
 This package contains the development files.

Package: libmango-perl
Description-md5: 5dcdf23bd741796f42031d656c63948a
Description-en: Pure-Perl non-blocking I/O MongoDB client
 Mango is a pure-Perl non-blocking I/O MongoDB client, optimized for use with
 the Mojolicious real-time web framework, and with multiple event loop
 support.
 .
 To learn more about MongoDB you should take a look at the official
 documentation|http://docs.mongodb.org.
 .
 Note that this whole distribution is EXPERIMENTAL and will change without
 warning!
 .
 Many features are still incomplete or missing, so you should wait for a
 stable 1.0 release before using any of the modules in this distribution in a
 production environment. Unsafe operations are not supported, so far this is
 considered a feature.

Package: libmap-msgs-dev
Description-md5: f45ac35c8b488eb475dfc4cd67ef1350
Description-en: C/C++ headers for map-related ROS Messages
 This package is part of Robot OS (ROS). This package defines messages commonly
 used in mapping packages.
 .
 It contains the map-related C++ headers.

Package: libmapbox-geometry-dev
Description-md5: 90ad8c87dd98e547c45287c39af896ed
Description-en: Generic C++ interfaces for geometry types, collections, and features
 geometry.hpp provides header-only, generic C++ interfaces for geometry
 types, geometry collections, and features.
 .
 These types are designed to be easy to parse and serialize to GeoJSON.
 .
 They should also be a robust and high performance container for data
 processing and conversion.

Package: libmapbox-variant-dev
Description-md5: 84818161de86498f6e1c5de1314b800d
Description-en: Alternative to boost::variant for C++11
 Mapbox variant has the same speedy performance of boost::variant but is
 faster to compile, results in smaller binaries, and has no dependencies.
 .
 Mapbox variant has been a very valuable, lightweight alternative for
 apps that can use c++11 or c++14 but that do not want a Boost dependency.
 Mapbox variant has also been useful in apps that do depend on Boost,
 like Mapnik, to help (slightly) with compile times and to majorly lessen
 dependence on Boost in core headers.

Package: libmapbox-wagyu-dev
Description-md5: 355808e8b8ae951d1fcb3c0feebe6693
Description-en: Wagyu Geometry Processing Library
 Wagyu is a general library for the following basic geometric operations:
 .
  - Union
  - Intersection
  - Difference
  - XOR
 .
 The output geometry from each of these operations is guaranteed to be
 valid and simple as per the OGC.

Package: libmapcache1
Description-md5: 4fc54d3ae76fdbcec473dad35147ef08
Description-en: tile caching server - shared library
 This package contains the shared library.
 .
 MapCache is a server that implements tile caching to speed up access to WMS
 layers. The primary objectives are to be fast and easily deployable, while
 offering the essential features (and more!) expected from a tile caching
 solution.

Package: libmapcache1-dev
Description-md5: 1f8ebab8f7c0ac720b80aa138d67a608
Description-en: tile caching server - shared library development files
 This package contains the development files for the shared library.
 .
 MapCache is a server that implements tile caching to speed up access to WMS
 layers. The primary objectives are to be fast and easily deployable, while
 offering the essential features (and more!) expected from a tile caching
 solution.

Package: libmapnik-dev
Description-md5: fd0e8839490c2fcbdcb9c274208e9950
Description-en: C++ toolkit for developing GIS applications (devel)
 Mapnik is an OpenSource C++ toolkit for developing GIS
 (Geographic Information Systems) applications. At the core is a C++
 shared library providing algorithms/patterns for spatial data access and
 visualization.
 .
 Essentially a collection of geographic objects (map, layer, datasource,
 feature, geometry), the library doesn't rely on "windowing systems" and
 is intended to work in multi-threaded environments
 .
 This package contains the development headers, API documentation, and
 build utilities.

Package: libmapnik3.0
Description-md5: 36506166fb82747c4d1db71abd2dcf0c
Description-en: C++ toolkit for developing GIS applications (libraries)
 Mapnik is an OpenSource C++ toolkit for developing GIS
 (Geographic Information Systems) applications. At the core is a C++
 shared library providing algorithms/patterns for spatial data access and
 visualization.
 .
 Essentially a collection of geographic objects (map, layer, datasource,
 feature, geometry), the library doesn't rely on "windowing systems" and
 is intended to work in multi-threaded environments
 .
 This package contains the shared library and input plugins.

Package: libmapscript-java
Description-md5: 2c37aa3c2505079c21c31d57a9f3de73
Description-en: Java library for MapServer
 Java MapScript provides MapServer functions for Java applications.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards.

Package: libmapscript-perl
Description-md5: 23a573748b9459aeca549f3899cc3a39
Description-en: Perl MapServer module
 Perl MapScript module provides MapServer functions for Perl scripts.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards.

Package: libmapserver-dev
Description-md5: 949d307d2e1e8320886d07ecfbaf7d23
Description-en: Shared library development files for MapServer
 This package contains the development files for the shared library.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards. Scripting
 functionality in MapScript is provided by the suggested mapscript
 library packages.

Package: libmapserver2
Description-md5: b1a0e7b7cf78d706db342cfe372ebbfe
Description-en: Shared library for MapServer
 This package contains the shared library.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards. Scripting
 functionality in MapScript is provided by the suggested mapscript
 library packages.

Package: libmapsforge-java
Description-md5: 8ea7ae01f8fd829e8a8554614bf9ce15
Description-en: vector map library and writer
 Mapsforge project uses a compact file format for fast ad-hoc rendering of
 OpenStreetMap data. It provide tools to compile your own maps with detailed
 instructions and also precompiled maps. It provides simple boilerplate code
 to build applications that display OpenStreetMap-based maps. It provides a
 library to build standalone applications in Java.
 .
 Mapsforge maps can be flexibly styled with XML style files. It provide
 tools & library for POI search and also precompiled POI.

Package: libmapsforge-java-doc
Description-md5: 1a775b69fe5455a07a37c20eff5474b3
Description-en: vector map library and writer (documentation)
 Mapsforge project uses a compact file format for fast ad-hoc rendering of
 OpenStreetMap data. It provide tools to compile your own maps with detailed
 instructions and also precompiled maps. It provides simple boilerplate code
 to build applications that display OpenStreetMap-based maps. It provides a
 library to build standalone applications in Java.
 .
 Mapsforge maps can be flexibly styled with XML style files. It provide
 tools & library for POI search and also precompiled POI.
 .
 This package contains the documentation of mapsforge

Package: libmarble-dev
Description-md5: 98d446a5b223b2b403ecbbf11613e987
Description-en: development files for the Marble globe widget library
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package contains development files for building software that uses the
 Marble globe widget library.
 .
 This package is part of the KDE education module.

Package: libmarblewidget-qt5-28
Description-md5: 2a9945efd67150389c70c7f1b8d76e97
Description-en: Marble globe widget library
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package contains shared libraries for the Marble globe widget.
 .
 This package is part of the KDE education module.

Package: libmarc-charset-perl
Description-md5: 090970ca9052623fc8387d2bcdfe9824
Description-en: Perl module for bidirectional MARC-8 <-> Unicode conversion
 MARC::Charset allows you to turn MARC-8 encoded strings into UTF-8
 strings.
 .
 MARC-8 is a single byte character encoding that predates unicode, and
 allows you to put non-Roman scripts in MARC bibliographic records.
 .
 The MARC21 standard now supports encoding character data in Unicode,
 specifically the UCS Transformation Formats-8 (UTF-8).  Unicode
 notwithstanding, libraries still have a wealth of data encoded using
 MARC-8. Yet, some new data formats such as XML require that characters are
 encoded using Unicode. In order to facilitate conversion the Library of
 Congress graciously published character mappings to enable the conversion
 of MARC-8 data to Unicode.

Package: libmarc-crosswalk-dublincore-perl
Description-md5: ab3803c24c2c25c086afbc178dcca969
Description-en: Convert data between MARC and Dublin Core
 MARC::Crosswalk::DublinCore provides an implentation of the LOC's spec
 on how to convert metadata between MARC and Dublin Core format. The spec
 for converting MARC to Dublin Core is available at:
 http://www.loc.gov/marc/marc2dc.html, and from DC to MARC:
 http://www.loc.gov/marc/dccross.html.
 .
 NB: The conversion cannot be done in a round-trip manner. i.e. Doing a
 conversion from MARC to DC, then trying to go back to MARC will not yield the
 original record.

Package: libmarc-file-marcmaker-perl
Description-md5: 59708bd53da847df763a5b9db279f583
Description-en: work with MARCMaker/MARCBreaker records
 MARC::File::MARCMaker is an extension to MARC::Record for working with
 MARC21 data using the format used by the Library of Congress MARCMaker
 and MARCBreaker programs.

Package: libmarc-file-mij-perl
Description-md5: efd673a24558dc0bc8cb8a33ad44ff2d
Description-en: read newline-delimited marc-in-json files
 MARC::File::MiJ is a subclass of MARC::File for reading MARC records
 encoded as newline-delimited marc-in-json, as supported by
 pymarc/ruby-marc/marc4j.

Package: libmarc-lint-perl
Description-md5: 9ca7fd11e3637c97e8747479159bac5c
Description-en: Perl extension for checking validity of MARC records
 MARC::Lint is a Perl module for checking the validity of MARC records. Most
 of the users will want to do something like is shown in the synopsis. Other
 users may choose instead to overload MARC::Lint's methods and provide their
 own special field-level checking. If you have certain requirements, such as
 making sure that all 952 tags have a certain call number in them, you can
 write a function that checks for that, and still get all the benefits of the
 MARC::Lint framework.

Package: libmarc-parser-raw-perl
Description-md5: 53034316263dd50f9a5ca29737c06e48
Description-en: parser for ISO 2709 encoded MARC records
 MARC::Parser::RAW is a lightweight, fault tolerant parser
 for ISO 2709 encoded MARC records.
 Tags, indicators and subfield codes are not validated
 against the MARC standard.
 Record length from leader and field lengths from the directory
 are ignored.
 Records with a faulty structure will be skipped with a warning.
 The resulting data structure is optimized
 for usage with the Catmandu data toolkit.

Package: libmarc-perl
Description-md5: 70b5de495557d79c966d5f46a5e367b1
Description-en: Perl extension to manipulate MAchine Readable Cataloging records
 MARC.pm is a Perl 5 module for reading in, manipulating, and outputting
 bibliographic records in the USMARC format.
 .
 MARC.pm can handle both single and batches of MARC records. The limit on
 the number of records in a batch is determined by the memory capacity of
 the machine you are running. If memory is an issue for you MARC.pm will
 allow you to read in records from a batch gradually. MARC.pm also includes
 a variety of tools for searching, removing, and even creating records from
 scratch.

Package: libmarc-record-perl
Description-md5: 2c634470d4ea057f31720f76fd10bad4
Description-en: Perl extension for handling MARC records
 The MARC::* series of modules create a simple object-oriented
 abstraction of MARC record handling.  The files are:
 .
 MARC::Doc::Tutorial
 A tutorial explaining how to use MARC::Record.
 .
 MARC::Record
 The core class for representing a single MARC record.
 .
 MARC::Field
 Another core class for representing a single field in a record.
 .
 MARC::Batch
 The basic object for access to a batch of one or more MARC records.
 .
 MARC::File
 Base class for the MARC file.
 .
 MARC::File::USMARC
 MARC::File::MicroLIF
 Subclasses of MARC::File specific to the USMARC and MicroLIF formats
 .
 MARC::Lint
 Extension to check MARC records for validity.

Package: libmarc-spec-perl
Description-md5: 4f722490a0ff8a2f61c1760e9bbaba34
Description-en: MARCspec parser and builder
 MARC::Spec is a "MARCspec - A common MARC record path language"
 parser and validator. MARCspec facilitates the exchange of definitions
 for mapping MARC data to arbitrary formats.

Package: libmarc-transform-perl
Description-md5: e437ef4de0cc945f16b5236a2d377268
Description-en: Perl module to transform a MARC record with a yaml configuration file
 MARC::Transform transforms a MARC record using a YAML configuration file.
 .
 It allows you to create, update, delete, and duplicate fields and subfields of
 a record. You can also use scripts and lookup tables. You can specify
 conditions to execute these actions.
 .
 All conditions, actions, functions and lookup tables are defined by YAML.

Package: libmarc-xml-perl
Description-md5: 21e4463082f1047e66f12c543833c42a
Description-en: Perl library to access MARC data encoded as XML
 MARC::XML is an extension to the Marc::Record distribution for working with
 MARC21 data that is encoded as XML. The XML encoding used is the MARC21slim
 schema supplied by the Library of Congress. Installing MARC-XML automatically
 adds support for the additional file format for use with MARC::Batch.

Package: libmarco-dev
Description-md5: 88b205f6c74893832a5b077c4bca9018
Description-en: library for Marco window manager (development files)
 Marco is a small window manager, using GTK+ to do everything. It is
 developed mainly for the MATE Desktop.
 .
 This package contains the development files.

Package: libmarco-private2
Description-md5: 8d8d482e47ca934ede42f00ce29f81b7
Description-en: library for Marco window manager
 Marco is a small window manager, using GTK+ to do everything. It is
 developed mainly for the MATE Desktop.
 .
 This package contains the library.

Package: libmariadb-dev
Description-md5: 5147f292c112a0def76ef58780903826
Description-en: MariaDB database development files
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes development libraries and header files. To allow sources
 expecting the MariaDB Connector/C to build. Sources that expect the MySQL
 Client libraries should use files from the libmariadb-dev-compat package.

Package: libmariadb-dev-compat
Description-md5: 4e4bcacf382bb1ad738f392fb886a606
Description-en: MariaDB Connector/C, compatibility symlinks
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes compatibility symlinks to allow sources expecting the
 MySQL client libraries to be built against MariaDB Connector/C.

Package: libmariadb-java
Description-md5: f57148b7ca2c6af81995e7f4cc432c4b
Description-en: Java database driver for MariaDB and MySQL
 MariaDB Connector/J is a pure Java JDBC 4.2 compatible driver, used to
 connect applications developed in Java to MariaDB and MySQL databases.

Package: libmariadb3
Description-md5: c48685b906f3842ee48fd71db5681868
Description-en: MariaDB database client library
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes the client library.

Package: libmariadbclient-dev
Description-md5: 0c3501d8489a7e3fe96f507c7a6667d0
Description-en: MariaDB database development files (transitional package)
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This empty package is intended to ease the switch to libmariadb-dev.
 It can safely removed.

Package: libmariadbd-dev
Description-md5: f1579889611a2ce406c3c321e37d32d9
Description-en: MariaDB embedded database, development files
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes the embedded server library development and header files.

Package: libmariadbd19
Description-md5: a85241d1aa0dccaa3ede8bda094b9117
Description-en: MariaDB embedded database, shared library
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes a shared library for embedded MariaDB applications.

Package: libmarisa-dev
Description-md5: 6fcf9e7caf2a42177f34c4894658dc95
Description-en: development files for libmarisa
 Matching Algorithm with Recursively Implemented StorAge (MARISA) is a static
 and space-efficient trie data structure.
 .
 This package contains the files necessary for compiling programs that
 depend on MARISA.

Package: libmarisa-perl
Description-md5: 37790a39d2ea9074869ceb7fbd79b157
Description-en: Perl bindings for MARISA
 Matching Algorithm with Recursively Implemented StorAge (MARISA) is a static
 and space-efficient trie data structure.
 .
 This package contains Perl bindings for MARISA.

Package: libmarisa0
Description-md5: 31c2a16157e24326068d69c7ec27dfea
Description-en: C++ library to provide an implementation of MARISA
 Matching Algorithm with Recursively Implemented StorAge (MARISA) is a static
 and space-efficient trie data structure.
 .
 This package contains C++ library to provide an implementation of MARISA.

Package: libmarkdent-perl
Description-md5: a21c0b5b4bfab007b89d77e2d4125ad1
Description-en: event-based Markdown parser toolkit
 Markdent provides a toolkit for parsing Markdown
 (and Markdown variants, aka dialects).
 Unlike the other Markdown Perl tools,
 this module can be used for more than just generating HTML.
 The core parser generates events (like XML's SAX),
 making it easy to analyze a Markdown document in any number of ways.
 .
 If you're only interested in converting Markdown to HTML,
 you can use the Markdent::Simple::Document class to do this,
 although you can just as well use better battle-tested tools
 like Text::Markdown.
 .
 Markdown is a lightweight markup language
 with plain text formatting syntax.
 Its design allows it to be converted to many output formats,
 but the original tool by the same name only supports HTML.

Package: libmarkdown-php
Description-md5: 42e4c8673f4497204617778885a246e6
Description-en: PHP library for rendering Markdown data
 "Markdown" is two things: a plain text markup syntax, and a software
 tool that converts the plain text markup to HTML for publishing on the
 web.
 .
 The Markdown syntax allows you to write text naturally and format it
 without using HTML tags. More importantly: in Markdown format, your text
 stays enjoyable to read for a human being, and this is true enough that
 it makes a Markdown document publishable as-is, as plain text. If you
 are using text-formatted email, you already know some part of the
 syntax.
 .
 PHP Markdown can work as a plug-in for WordPress and bBlog, as a
 modifier for the Smarty templating engine, or as a replacement for
 textile formatting in any software that support textile.

Package: libmarkdown2
Description-md5: 5a95574c21d62fb50c48d4c5cddba4bf
Description-en: implementation of the Markdown markup language in C (library)
 Discount is an implementation of John Gruber's Markdown markup language. It
 implements all of the language described in the Markdown syntax document and
 passes the Markdown 1.0 test suite.
 .
 This package provides the libmarkdown generated from discount.

Package: libmarkdown2-dev
Description-md5: 0286e48f8dcbb610d5ac80acde8a8e0d
Description-en: implementation of the Markdown markup language in C (dev files)
 Discount is an implementation of John Gruber's Markdown markup language. It
 implements all of the language described in the Markdown syntax document and
 passes the Markdown 1.0 test suite.
 .
 This package provides the development file for libmarkdown.

Package: libmarpa-r2-perl
Description-md5: 470a3aa3303ab3a6c28038ca8f688d00
Description-en: BNF grammar parser
 Marpa::R2 parses any language whose grammar can be written in BNF.
 That includes recursive grammars, ambiguous grammars, infinitely
 ambiguous grammars and grammars with useless or empty productions.
 Marpa does both left- and right-recursion in linear time -- in fact if
 a grammar is in any class currently in practical use, Marpa will parse
 it in linear time.

Package: libmash-dev
Description-md5: 7fbad641a54f662891c122784ebd0efe
Description-en: development headers and static library for Mash
 This package contains C++ development headers and a static library to
 build custom programs utilizing Mash, a MinHash based genome distance
 estimator.

Package: libmason-perl
Description-md5: 99fba69bc12bdef907becf443159dace
Description-en: powerful, high-performance templating for the web and beyond
 Mason is a powerful Perl-based templating system, designed to generate
 dynamic content of all kinds.
 .
 Unlike many templating systems, Mason does not attempt to invent an
 alternate, "easier" syntax for templates. It provides a set of syntax and
 features specific to template creation, but underneath it is still clearly
 and proudly recognizable as Perl.
 .
 Mason is most often used for generating web pages. It can handle web requests
 directly via PSGI, or act as the view layer for a web framework such as
 Catalyst or Dancer.
 .
 All documentation is indexed at Mason::Manual.
 .
 The previous major version of Mason (1.x) is available under the name
 HTML::Mason (package libhtml-mason-perl).

Package: libmason-plugin-cache-perl
Description-md5: ef2ba3b409671123ee595a3881f3a80b
Description-en: component cache object and filter for Mason
 Mason::Plugin::Cache is a plugin for the Mason web templating framework
 that adds a cache method and Cache filter to access a cache (CHI) object
 with a namespace unique to the component.

Package: libmason-plugin-htmlfilters-perl
Description-md5: 5206644dcdeadf7248d1d7d66efd9173
Description-en: HTML generation filter plugin for Mason
 Mason::Plugin::HTMLFilters provides handy filters for the HTML generated
 by the Mason web templating framework.
 .
 Currently, filter functions include basic and comprehensive HTML
 escaping, URI escaping and paragraph formatting.

Package: libmason-plugin-routersimple-perl
Description-md5: 038b63e870c8b5ae690a9eea7bf3ec3e
Description-en: Mason plugin to specify routes for page components with Router::Simple
 Mason::Plugin::RouterSimple is a plugin for the Mason web templating
 framework. It makes it possible to map request paths to page components
 using Router::Simple routes.

Package: libmasonx-interp-withcallbacks-perl
Description-md5: 1a809b1164f4d19839863ff90337f9d2
Description-en: Mason callback support via Params::CallbackRequest
 MasonX::Interp::WithCallbacks subclasses HTML::Mason::Interp in order to
 provide a Mason callback system built on Params::CallbackRequest.
 Callbacks may be either code references provided to the new() constructor,
 or methods defined in subclasses of Params::Callback. Callbacks are triggered
 either for every request or by specially named keys in the Mason request
 arguments, and all callbacks are executed at the beginning of a request, just
 before Mason creates and executes the request component stack.
 .
 This module brings support for a sort of plugin architecture based on
 Params::CallbackRequest to Mason. Mason then executes code before executing
 any components. This approach allows you to carry out logical processing of
 data submitted from a form, to affect the contents of the Mason request
 arguments (and thus the %ARGS hash in components), and even to redirect or
 abort the request before Mason handles it.

Package: libmasonx-processdir-perl
Description-md5: e27bc24e30eb38df46b398cdbe22979b
Description-en: Perl module to process a directory of Mason 2 templates
 MasonX::ProcessDir is convenience extension of
 Any::Template::ProcessDir. It is used to recursively processes a
 directory of Mason 2 templates, generating a set of result files in the
 same directory or in a parallel directory.

Package: libmasonx-request-withapachesession-perl
Description-md5: eddc7f2d5c2c30d0f5db0e03104becd3
Description-en: Session handler in the Mason Request object
 MasonX::Request::WithApacheSession takes advantage of the new flexibility in
 Mason 1.09_01 and newer to integrate an Apache::Session session directly into
 Mason's Request object.

Package: libmatch-simple-perl
Description-md5: 10b3c14e73b911f9fd189f6360a653f8
Description-en: simplified clone of smartmatch operator
 match::simple provides a simple match operator "|M|" that acts like a
 sane subset of the (as of Perl 5.18) deprecated smart match operator.
 Unlike smart match, the behaviour of the match is determined entirely
 by the operand on the right hand side.

Package: libmatch-simple-xs-perl
Description-md5: ac60777af50654cf688cc6db008fd8ec
Description-en: XS backend for match::simple
 match::simple::XS is a faster XS-based implementation of match::simple.
 .
 Depending on what sort of matches done, it is likely to be several
 times faster. In extreme cases, such as matching a string in an
 arrayref, it can be twenty-five times faster, or more. However, where
 $that is a single regexp, it's around 30% slower.
 .
 Overall though, the performance improvement should be worthwhile.

Package: libmatchbox-dev
Description-md5: 996b1cb852ac4f0ca6b6348d9d6c0aab
Description-en: shared library for Matchbox Project applications [development]
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.
 .
 libmatchbox provides core functionality used by several Matchbox project
 applications.
 .
 This package contains libmatchbox development files.

Package: libmatchbox-doc
Description-md5: 005ef41912cfb29d498f6efb5272faf8
Description-en: shared library for Matchbox Project applications [documentation]
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.
 .
 libmatchbox provides core functionality used by several Matchbox project
 applications.
 .
 This package contains the libmatchbox documentation.

Package: libmatchbox1
Description-md5: 43f7e83b7704824f6044bb370492d2a9
Description-en: shared library for Matchbox Project applications [runtime]
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.
 .
 libmatchbox provides core functionality used by several Matchbox project
 applications.
 .
 This package contains the libmatchbox runtime library.

Package: libmate-desktop-2-17
Description-md5: 07f5c07902f071f30ac5c42d0f5aaa8c
Description-en: Library with common API for various MATE modules (library)
 The MATE component mate-desktop contains the libmate-desktop library, the
 mate-about program, as well as some desktop-wide documents.
 .
 The libmate-desktop library provides an API shared by several applications
 on the desktop, but that cannot live in the platform for various
 reasons.
 .
 The package contains the actual mate-desktop library.

Package: libmate-desktop-dev
Description-md5: 437ddd22a9d457897497a28bd94e2dbd
Description-en: Library with common API for various MATE modules (development files)
 The MATE component mate-desktop contains the libmate-desktop library, the
 mate-about program, as well as some desktop-wide documents.
 .
 The libmate-desktop library provides an API shared by several applications
 on the desktop, but that cannot live in the platform for various
 reasons.
 .
 This package contains the mate-desktop development files.

Package: libmate-desktop-doc
Description-md5: 85f62c1094850474d17679faeb2b838a
Description-en: Library with common API for various MATE modules (documentation files)
 The MATE component mate-desktop contains the libmate-desktop library, the
 mate-about program, as well as some desktop-wide documents.
 .
 The libmate-desktop library provides an API shared by several applications
 on the desktop, but that cannot live in the platform for various
 reasons.
 .
 This package contains the documentation files.

Package: libmate-menu-dev
Description-md5: 05611ad044fe143fff049c88b81bba0c
Description-en: implementation of the freedesktop menu specs for MATE (development files)
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org:
 .
 http://www.freedesktop.org/Standards/menu-spec
 .
 This package contains the development files.

Package: libmate-menu2
Description-md5: 2b1b57b7cf893bb2cfceb3ee05d6a9cb
Description-en: implementation of the freedesktop menu specification for MATE (library)
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org:
 .
 http://www.freedesktop.org/Standards/menu-spec
 .
 This package contains the actual library files.

Package: libmate-panel-applet-4-1
Description-md5: d8e7c9a5144be0cfbcc57d4b0056cc0e
Description-en: library for MATE Panel applets
 The MATE Panel is an essential part of the MATE Desktop, providing
 toolbar-like “panels” which can be attached to the sides of your desktop.
 They are used to launch applications and embed a number of other
 functions, such as quick launch icons, the clock, the notification area,
 volume controls and the battery charge indicator, and utilities ranging
 from weather forecast to system monitoring.
 .
 This package contains the MATE Panel applet library.

Package: libmate-panel-applet-dev
Description-md5: 2f7b31123d0b0efe74df7a663424f723
Description-en: library for MATE Panel applets (development files)
 The MATE Panel is an essential part of the MATE Desktop, providing
 toolbar-like “panels” which can be attached to the sides of your desktop.
 They are used to launch applications and embed a number of other
 functions, such as quick launch icons, the clock, the notification area,
 volume controls and the battery charge indicator, and utilities ranging
 from weather forecast to system monitoring.
 .
 This package contains the development files of the MATE panel applet
 library.

Package: libmate-panel-applet-doc
Description-md5: 909324b489f7b1861fa1761741a87599
Description-en: library for MATE Panel applets (documentation files)
 The MATE Panel is an essential part of the MATE Desktop, providing
 toolbar-like “panels” which can be attached to the sides of your desktop.
 They are used to launch applications and embed a number of other
 functions, such as quick launch icons, the clock, the notification area,
 volume controls and the battery charge indicator, and utilities ranging
 from weather forecast to system monitoring.
 .
 This package contains the documentation files of the MATE panel applet
 library.

Package: libmate-sensors-applet-plugin-dev
Description-md5: ea8f8aca43b6b1c361f133e3c434e1e1
Description-en: Library for plugins for the mate-sensors-applet package (development files)
 MATE Sensors Applet is an applet for the MATE panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 This package contains the files necessary to develop plugins for the
 applet.

Package: libmate-sensors-applet-plugin0
Description-md5: 2e569b14a8bf071dbd0a3dd13e2f2271
Description-en: Library for plugins for the mate-sensors-applet package
 MATE Sensors Applet is an applet for the MATE panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 This package contains the library that is used to create plugins for
 the applet.

Package: libmate-slab-dev
Description-md5: d358da4f96d73c78081628a30423783c
Description-en: beautification app library (development headers)
 The libmate-slab library provides functionality to create applications
 like MATE control center and the application-browser from gnome-main-menu.
 .
 This package contains the development files for libmate-slab.

Package: libmate-slab0
Description-md5: d98ed82f3ecc5c8609db9a37f0fe8e42
Description-en: beautification app library
 This library provides functionality to create applications like MATE
 control center and the application-browser from gnome-main-menu.
 .
 This library has been forked from GNOMEv2 by the MATE project.

Package: libmate-window-settings-dev
Description-md5: eddb40b3dbdfa0869e80153aa7d831ac
Description-en: utilities to configure the MATE desktop (window settings headers)
 The MATE control center contains configuration applets for the MATE desktop,
 allowing to set accessibility configuration, desktop fonts, keyboard
 and mouse properties, sound setup, desktop theme and background, user
 interface properties, screen resolution, and other MATE parameters.
 .
 This package contains the development files for the MATE window settings
 library.

Package: libmate-window-settings1
Description-md5: b76e69d3206e1aeb9f05e0fe64168d0d
Description-en: utilities to configure the MATE desktop (window settings library)
 The MATE control center contains configuration applets for the MATE desktop,
 allowing to set accessibility configuration, desktop fonts, keyboard
 and mouse properties, sound setup, desktop theme and background, user
 interface properties, screen resolution, and other MATE parameters.
 .
 This package contains the MATE window settings library for MATE control
 center.

Package: libmatedict-dev
Description-md5: d0a7cf7c0ec62bbfcea17ece86101254
Description-en: MATE desktop utilities (matedict development files)
 The MATE dictionary is a program which can look up the definition of words
 over the internet (including a panel applet to do the same). MATE
 dictionary is included in the MATE utilities bundle.
 .
 This package provides the development files of the MATE dictionary library.

Package: libmatedict6
Description-md5: 107bac6b6101143a6cb2a6af72ca58de
Description-en: MATE desktop utilities (matedict library)
 The MATE dictionary is a program which can look up the definition of words
 over the internet (including a panel applet to do the same). MATE
 dictionary is included in the MATE utilities bundle.
 .
 This package provides the MATE dictionary library.

Package: libmatekbd-common
Description-md5: 53b275bca5c7b0f568c8d001b53babd1
Description-en: MATE library to manage keyboard configuration (common files)
 libmatekbd offers an API to manage the keyboard in MATE applications.
 .
 libmatekbdui offers an API to display a graphical user interface for
 libmatekbd operations.
 .
 This package contains the architecture-independent files.

Package: libmatekbd-dev
Description-md5: e93ff714a09bcc1f566662d5ddbcdc7a
Description-en: MATE library to manage keyboard configuration (development files)
 libmatekbd offers an API to manage the keyboard in MATE applications.
 .
 libmatekbdui offers an API to display a graphical user interface for
 libmatekbd operations.
 .
 This package contains the development files.

Package: libmatekbd4
Description-md5: 9a1c8c8e2f16cc90311d6a8a0e172942
Description-en: MATE library to manage keyboard configuration
 libmatekbd offers an API to manage the keyboard in MATE applications.
 .
 libmatekbdui offers an API to display a graphical user interface for
 libmatekbd operations.
 .
 This package contains the shared libraries.

Package: libmatemixer-common
Description-md5: 6e955858d8f287ee6e129c78756630e0
Description-en: Mixer library for MATE Desktop (common files)
 Mixer library for MATE Desktop. It provides an abstract API allowing
 access to mixer functionality available in the PulseAudio, ALSA and OSS
 sound systems.
 .
 This package contains the architecture-independent files.

Package: libmatemixer-dev
Description-md5: 7526072c404dcc017d8a08fd6825ab48
Description-en: Mixer library for MATE Desktop (development files)
 Mixer library for MATE Desktop. It provides an abstract API allowing
 access to mixer functionality available in the PulseAudio, ALSA and OSS
 sound systems.
 .
 This package contains the development files.

Package: libmatemixer-doc
Description-md5: 8531d121ec18147407f6c941c4ffbc42
Description-en: Mixer library for MATE Desktop (documentation files)
 Mixer library for MATE Desktop. It provides an abstract API allowing
 access to mixer functionality available in the PulseAudio, ALSA and OSS
 sound systems.
 .
 This package contains the documentation files.

Package: libmatemixer0
Description-md5: c57ac9885ede64f133c8d56c665bf7f8
Description-en: Mixer library for MATE Desktop
 Mixer library for MATE Desktop. It provides an abstract API allowing
 access to mixer functionality available in the PulseAudio, ALSA and OSS
 sound systems.
 .
 This package contains the shared libraries.

Package: libmateweather-common
Description-md5: 9b1f8dc5a0ae5b5a23a35bcf93001f27
Description-en: MateWeather shared library (common files)
 libmateweather is a library to access weather information from online
 services for numerous locations.
 .
 This package contains the architecture independent files.

Package: libmateweather-dev
Description-md5: 044d4a59ee16ee9c8ff70692d143b78d
Description-en: MateWeather shared library (development files)
 libmateweather is a library to access weather information from online
 services for numerous locations.
 .
 This package contains the development files.

Package: libmateweather1
Description-md5: 018883b44fead614d635fdbc4535af89
Description-en: MateWeather shared library
 libmateweather is a library to access weather information from online
 services for numerous locations.
 .
 This package contains the shared library.

Package: libmath-base-convert-perl
Description-md5: 914fe6ecc3ecfc3bea27b231f3a6db7e
Description-en: module for very fast base to base conversion
 Math::Base::Convert provides fast functions and methods to convert between
 arbitrary number bases from 2 (binary) thru 65535.
 .
 This module is pure Perl, has no external dependencies, and is backward
 compatible with old versions of Perl 5.

Package: libmath-base36-perl
Description-md5: c5d2582f230791a233c310bfce911a81
Description-en: Perl module for encoding and decoding of base36 strings
 Math::Base36 converts to and from Base36 numbers (0..9 - A..Z).

Package: libmath-base85-perl
Description-md5: 1b180dc99a9bdfeba6439eaf53ef3004
Description-en: Perl extension for base 85 numbers, as referenced by RFC 1924
 RFC 1924 describes a compact, fixed-size representation of IPv6 addresses
 which uses a base 85 number system. Math::Base85 handles some of the uglier
 details of it.
 .
 The base 85 numbers (from 0 to 84) are as follows:
 .
 0..9 A..Z a..z ! # $ % & ( ) * + - ; < = > ? @ ^ _ ` { | } ~
 .
 At the moment, there's not much in this module. But it should be sufficient
 for the purposes of RFC 1924.
 .
 This module has a variable called $Math::Base85::base85_digits, which is a
 string containing the digits of the base 85 alphabet from lowest (0) to
 highest (~), in that order.

Package: libmath-basecalc-perl
Description-md5: 3de8e14b12b71729d063143569be90e8
Description-en: module for numeric base conversion
 Math::BaseCalc is a Perl module for converting numeric values between various
 bases. It provides several predefined digit sets (Hexadecimal, Base64, etc.)
 and allows custom digit sets to be defined for base conversion.

Package: libmath-basecnv-perl
Description-md5: cb89d18c0ad45fc478af7714e4135480
Description-en: set of fast functions to convert between number bases
 Math::BaseCnv provides a few simple functions for converting between
 arbitrary number bases. It is as fast as the author currently knows
 how to make it (of course relying only on the lovely Perl).

Package: libmath-bezier-perl
Description-md5: 2267158054092d11ffe034fa667ff81d
Description-en: Perl module for the solution of Bezier Curves
 Math::Bezier implements the algorithm for the solution of Bezier curves as
 presented by Robert D. Miller in Graphics Gems V, "Quick and Simple Bezier
 Curve Drawing".
 .
 A new Bezier curve is created using the new() constructor, passing a list of
 (x, y) control points.
 .
  use Math::Bezier;
  my @control = ( 0, 0, 10, 20, 30, -20, 40, 0 );
  my $bezier = Math::Bezier->new(@control);
 .
 Alternately, a reference to a list of control points may be passed.

Package: libmath-bigint-gmp-perl
Description-md5: 066a920527fa290e81fb19557e04cb69
Description-en: module for arbitrary precision arithmetic using GMP
 Math::BigInt::GMP is a Perl module that uses direct bindings to the GNU
 Multiple Precision Arithmetic Library (also known as GMP) to provide a
 high-performance drop-in replacement for Math::BigInt.

Package: libmath-bigint-perl
Description-md5: 53dcc3769a4626041d0bf9e7c0f754d8
Description-en: arbitrary size integer/float math package
 Math::BigInt and Math::BigFloat allow one to declare big integers / floating
 point numbers as
 .
  $i = new Math::BigInt '123_456_789_123_456_789';
  $i = new Math::BigFloat '12_3.456_789_123_456_789E-2';
 .
 All operators are overloaded; operations with overloaded operators preserve
 the arguments.
 .
 Math::BigInt is also in perl core, this package provides newer versions
 separately.

Package: libmath-calc-units-perl
Description-md5: 5ff58357425f157f78c833eb65fa1df0
Description-en: Human-readable unit-aware calculator
 Math::Calc::Units is a simple calculator that keeps track of units. It only
 handles combinations of byte sizes and duration only, though adding any other
 multiplicative types is easy. Any unknown type is treated as a unique user
 type (with some effort to map English plurals to their singular forms).
 .
 The included 'ucalc' program prints out all of the "readable" variants of a
 value. For example, "3 bytes" will only produce "3 byte", but "3 byte/sec"
 produces the original along with "180 byte/minute", etc.

Package: libmath-calculus-differentiate-perl
Description-md5: f0366d02a8bd1a0586738137883e5365
Description-en: Algebraic Differentiation Engine
 Math::Calculus::Differentiate can take an algebraic expression,
 parse it into a tree structure, modify the tree to give a representation
 of the differentiated function, simplify the tree and turn the tree back
 into an output of the same form as the input.
 .
 It supports differentiation of expressions including the +, -, *, / and ^
 (raise to power) operators, bracketed expressions to enable correct precedence
 and the functions ln, exp, sin, cos, tan, sec, cosec, cot, sinh, cosh, tanh,
 sech, cosech, coth, asin, acos, atan, asinh, acosh and atanh.

Package: libmath-calculus-expression-perl
Description-md5: e70853fe10f210789caa3505ff78fe01
Description-en: Algebraic Calculus Tools Expression Class
 Math::Calculus::Expression can take an algebraic expression, parse it into a
 tree structure, simplify the tree, substitute variables and named constants for
 other variables or constants (which may be numeric), numerically evaluate the
 tree and turn the tree back into an output of the same form as the input.
 .
 It supports a wide range of expressions including the +, -, *, / and ^
 (raise to power) operators, bracketed expressions to enable correct precedence
 and the functions ln, exp, sin, cos, tan, sec, cosec, cot, sinh, cosh, tanh,
 sech, cosech, coth, asin, acos, atan, asinh, acosh and atanh.

Package: libmath-calculus-newtonraphson-perl
Description-md5: 984da4bd93093cd67a805d62a30542a4
Description-en: Algebraic Newton Raphson Implementation
 The Math::Calculus::NewtonRaphson module takes an algebraic expression,
 parses it and then uses the Newton Raphson method to solve it.
 The Newton Raphson method relies on the fact that the expression
 you pass in evaluates to zero where there is a solution. That is, to solve:-
 .
 x^2 = 5
 .
 You would need to pass in:-
 .
 x^2 - 5
 .
 It understands expressions containing any of the operators +, -, *, / and ^
 (raise to power), bracketed expressions to enable correct precedence and the
 functions ln, exp, sin, cos, tan, sec, cosec, cot, sinh, cosh, tanh, sech,
 cosech, coth, asin, acos, atan, asinh, acosh and atanh.

Package: libmath-cartesian-product-perl
Description-md5: 07896b1f4057c729739122df49436cd5
Description-en: generate the Cartesian product of zero or more lists
 Math::Cartesian::Product generates the Cartesian product of zero or
 more lists.
 .
 Given two lists, say: [a,b] and [1,2,3], the Cartesian product is the
 set of all ordered pairs:
 .
 (a,1), (a,2), (a,3), (b,1), (b,2), (b,3)
 .
 which select their first element from all the possibilities listed in
 the first list, and select their second element from all the
 possibilities in the second list.
 .
 The idea can be generalized to n-tuples selected from n lists where all
 the elements of the first list are combined with all the elements of
 the second list, the results of which are then combined with all the
 member of the third list and so on over all the input lists.

Package: libmath-clipper-perl
Description-md5: 4c4319c75195cfdce8e42f459a056425
Description-en: Perl module for Polygon clipping in 2D
 Math::Clipper is a C++ (and Delphi) library that implements polygon
 clipping. A Polygon is represented by a reference to an array of 2D
 points. A Point is, in turn, represented by a reference to an array
 containing two numbers: The X and Y coordinates.
 .
 Clipper 4.x works with polygons with integer coordinates. Data in
 floating point format will need to be scaled appropriately to be
 converted to the available integer range before polygons are added to a
 clipper object. (Scaling utilities are provided.)

Package: libmath-combinatorics-clojure
Description-md5: 1eed0ccc80c058314af513f22f4fb33a
Description-en: generate lazy sequences for common combinatorial functions in Clojure
 math.combinatorics is a collection of efficient functional algorithms for
 generating lazy sequences for common combinatorial functions, like partitions,
 selections, cartesian products, etc. It is implemented in pure Clojure.

Package: libmath-combinatorics-perl
Description-md5: db25f66e1ba328c4921b7125cfdc2e26
Description-en: module for performing combinations and permutations on lists
 Combinatorics is the branch of mathematics studying the enumeration,
 combination, and permutation of sets of elements and the mathematical
 relations that characterize their properties. As a jumping off point,
 refer to:
 .
 http://mathworld.wolfram.com/Combinatorics.html
 .
 Math::Combinatorics provides a pure-perl implementation of nCk, nPk, and n!
 (combination, permutation, and factorial, respectively).

Package: libmath-convexhull-monotonechain-perl
Description-md5: 4bb3e9e975532650819a5c71b6067496
Description-en: Perl module to calculate a convex hull using Andrew's monotone chain algorithm
 Math::ConvexHull::MonotoneChain optionally exports a single function
 convex_hull which calculates the convex hull of the input points and returns
 it. Andrew's monotone chain convex hull algorithm constructs the convex hull
 of a set of 2-dimensional points in O(n*log(n)) time.
 .
 It does so by first sorting the points lexicographically (first by
 x-coordinate, and in case of a tie, by y-coordinate), and then constructing
 upper and lower hulls of the points in O(n) time. It should be somewhat faster
 than a plain Graham's scan (also O(n*log(n))) in practice since it avoids polar
 coordinates.

Package: libmath-convexhull-perl
Description-md5: a02a323f887a3c86ec26ef2e87a912c6
Description-en: Perl module to calculate convex hulls using Graham's scan (n*log(n))
 Math::ConvexHull is a simple module that calculates convex hulls from a set
 of points in 2D space. It is a straightforward implementation of the
 algorithm known as Graham's scan which, with complexity of O(n*log(n)), is
 the fastest known method of finding the convex hull of an arbitrary set of
 points. There are some methods of eliminating points that cannot be part of
 the convex hull. These may or may not be implemented in a future version.
 .
 The implementation cannot deal with duplicate points. Therefore, points which
 are very, very close (think floating point close) to the previous point are
 dropped since version 1.02 of the module. However, if you pass in randomly
 ordered data which contains duplicate points, this safety measure might not
 help you. In that case, you will have to remove duplicates yourself.

Package: libmath-derivative-perl
Description-md5: 582db70549bacf46272bf3dd44e28430
Description-en: Perl package for numeric 1st and 2nd order differentiation
 Math::Derivative exports functions for performing numerical first
 and second order differentiation on data. The functions take
 references to two arrays containing the x and y ordinates of the data
 and return an array of the 1st or 2nd derivative at the given x
 ordinates. The 2nd derivative may optionally be given values to use
 for the first derivative at the start and end points of the data -
 otherwise 'natural' values are used.

Package: libmath-fibonacci-perl
Description-md5: 13273f9a41b841b3bbef4263b9607aeb
Description-en: Fibonacci numbers calculations Perl module
 Math::Fibonacci provides a few functions related to Fibonacci numbers,
 such as getting the n term of a Fibonacci sequence, compute and
 return the first n Fibonacci numbers, decompose an integer into the
 sum of Fibonacci numbers, etc.

Package: libmath-geometry-voronoi-perl
Description-md5: 1670b594515c36ffe0c0b2987fe145e9
Description-en: Perl module to compute Voronoi diagrams from sets of points
 Math::Geometry::Voronoi computes Voronoi diagrams from a set of input points.
 This module is a wrapper around a C implementation by Steve Fortune, the
 inventor of the algorithm used (Fortune's algorithm), that was further
 modified by Derek Bradley.
 .
 Info on Voronoi diagrams can be found here:
 .
     http://en.wikipedia.org/wiki/Voronoi_diagram

Package: libmath-gmp-perl
Description-md5: b0166d75f02e02d173a8378095d0c6d9
Description-en: high speed arbitrary size integer math for perl
 Math::GMP was designed to be a drop-in replacement both for
 Math::BigInt and for regular integer arithmetic.  Unlike BigInt,
 though, Math::GMP uses the GNU gmp library for all of its
 calculations, as opposed to straight Perl functions.  This can result
 in speed improvements.
 .
 The downside is that this module is not 100% compatible to Math::BigInt.

Package: libmath-gradient-perl
Description-md5: e582f68861916365ec5d665289cb85e6
Description-en: module for calculating smooth numerical transitions
 Math::Gradient is a Perl module that is useful for calculating numbers needed
 to make smooth transitions between two or more numerical values (graphically
 represented as gradients). The primary intent of this module is to make it
 easy to mix colours, both in terms of basic and multiple-point gradients.

Package: libmath-gsl-perl
Description-md5: dfa5018f38b9367956ffa5bb05dd2165
Description-en: interface to the GNU Scientific Library using SWIG
 The GNU Scientific Library (GSL) is a numerical library for C
 and C++ programmers. It is free software under the GNU General
 Public License. Math::GSL uses SWIG to generate Perl bindings to
 most GSL functionality.

Package: libmath-int128-perl
Description-md5: 2a019f4b648b1361713d646a0d25920c
Description-en: Perl module to manipulate 128 bits integers
 Math::Int128 adds support for 128 bit integers, signed and unsigned, to Perl.
 .
 The API is comparable to Math::Int64, just s/64/128/g ;-)
 .
 Besides that, as object allocation and destruction has been found to be a
 bottleneck, an alternative set of operations that use their first argument as
 the output (instead of the return value) is also provided.

Package: libmath-int64-perl
Description-md5: 48a479a11986fe72a99d21d0aca5da2e
Description-en: module to manipulate 64 bits integers in Perl
 Math::Int64 adds support for 64 bit integers, signed and unsigned, to Perl.

Package: libmath-libm-perl
Description-md5: 69a83cda78c6ede5378b89fb84b014b5
Description-en: Perl extension for the C math library, libm
 Math::Libm is a translation of the C math.h file. It exports a number of
 mathematical constants, like pi and e, and functions such as floor(),
 log10() or tan().

Package: libmath-mpfr-perl
Description-md5: 38165fe78d3fd37e178327c59642c415
Description-en: perl interface to the MPFR (floating point) library
 Math::MPFR is a bigfloat module utilising the MPFR library. Basically this
 module simply wraps the 'mpfr' floating point functions provided by that
 library. Operator overloading is also available.

Package: libmath-nocarry-perl
Description-md5: eb9bcb53abda27f44902bb4790ac4a9d
Description-en: Perl module for no carry arithmetic
 The perl module Math::NoCarry implememnts no carry arithmetic which
 doesn't allow you to carry digits to the next column.  For example,
 if you add 8 and 4, you normally expect the answer to be 12, but that
 1 digit is a carry.  In no carry arithmetic you can't do that, so the
 sum of 8 and 4 is just 2.  In effect, this is addition modulo 10 in
 each column. The following example discards all of the carry digits:
 .
  1234
  + 5678
  ------
  6802
 .
 For multiplication, the result of pair-wise multiplication
 of digits is the modulo 10 value of their normal, everyday
 multiplication.

Package: libmath-numbercruncher-perl
Description-md5: 709f747896b8db4f958781e7760ce5c5
Description-en: Perl5 module with commonly needed Maths and Stats functions
 This module is a collection of commonly needed number-related functions,
 including numerous standard statistical, geometric, and probability
 functions. Some of these functions are taken directly from _Mastering
 Algorithms with Perl_, by Jon Orwant, Jarkko Hietaniemi, and John
 Macdonald, and others are adapted heavily from same.

Package: libmath-numeric-tower-clojure
Description-md5: bddbb81f30a2aac1d5e1aa40eb2cfbb7
Description-en: math functions for Clojure
 math.numeric-tower provides math functions that deal intelligently with the
 various types in Clojure's numeric tower, as well as math functions
 commonly found in Scheme implementations:
 .
   * (expt x y) - x to the yth power
   * (abs n) - absolute value of n
   * (gcd m n) - greatest common divisor of m and n
   * (lcm m n) - least common multiple of m and n
   * (floor x) - round down
   * (ceil x) - round up
   * (round x) - round to nearest
   * (sqrt x) - square root, exact if possible
   * (exact-integer-sqrt k) returns floor of square root and the "remainder"

Package: libmath-planepath-perl
Description-md5: 90f009767214820adafbb3f588bb3c83
Description-en: Perl module to calculate mathematical paths through a 2-D plane
 Math::PlanePath is a collection of Perl modules to generate some paths through
 the 2-D X,Y plane, mainly integer oriented, and including
 .
  * Square numbering of Ulam's spiral
  * Pentagonal, hexagonal, heptagonal spirals
  * Pyramid and triangular spirals and rows
  * An infinite knight's tour
  * Vogel's sunflower floret (and variations)
  * Sacks' quadratic spiral
  * Spiral of Theodorus
  * Peano, Hilbert and Z-Order
  * Gosper's flowsnake
  * Koch curve and quadric curve
  * Sierpinski triangle
  * Dragon curves
  * Pixellated rings, and by hypotenuse distance
  * Trees of rationals and Pythagorean triples
  * Some complex base related patterns

Package: libmath-polygon-perl
Description-md5: cff9c03d67aa49b8c41d3e1e1a722eb2
Description-en: Perl module for Polygon calculations
 Math::Polygon provides an OO interface around Math::Polygon::Calc (for
 simple polygon calculations) andMath::Polygon::Clip (for framing a
 polygon in a square). There's also a collection of convex algorithms,
 for polygons with exclusions, and for polygon transformation.

Package: libmath-prime-util-gmp-perl
Description-md5: c652df25ba7baf70f97b9ebaab45379b
Description-en: utilities related to prime numbers, using GMP
 Math::Prime::Util::GMP contains a set of utilities related to prime numbers,
 using GMP. This includes primality tests, getting primes in a range, and
 factoring.
 .
 While it certainly can be used directly, the main purpose of this module is
 for Math::Prime::Util. That module will automatically load this if it is
 installed, greatly speeding up many of its operations on big numbers.
 .
 Inputs and outputs for big numbers are via strings, so you do not need to use
 a bigint package in your program. However if you do use bigints, inputs will
 be converted internally so there is no need to convert before a call. Output
 results are returned as either Perl scalars (for native-size) or strings (for
 bigints). Math::Prime::Util tries to reconvert all strings back into the
 callers bigint type if possible, which makes it more convenient for
 calculations.

Package: libmath-prime-util-perl
Description-md5: bed77692d09193d886c8cb183a6ff27f
Description-en: utilities related to prime numbers, including fast sieves and factoring
 Math::Prime::Util is a set of perl utilities related to prime
 numbers. These include multiple sieving methods, is_prime,
 prime_count, nth_prime, approximations and bounds for the prime_count
 and nth prime, next_prime and prev_prime, factoring utilities, and
 more.
 .
 The default sieving and factoring are intended to be (and currently are) the
 fastest on CPAN, including Math::Prime::XS, Math::Prime::FastSieve,
 Math::Factor::XS, Math::Prime::TiedArray, Math::Big::Factors,
 Math::Factoring, and Math::Primality (when the GMP module is available). For
 numbers in the 10-20 digit range, it is often orders of magnitude faster.
 Typically it is faster than Math::Pari for 64-bit operations.
 .
 All operations support both Perl UV's (32-bit or 64-bit) and bignums. It
 requires no external software for big number support, as there are Perl
 implementations included that solely use Math::BigInt and Math::BigFloat.
 However, performance will be improved for most big number functions by
 installing Math::Prime::Util::GMP, and is definitely recommended if you do
 many bignum operations. Also look into Math::Pari as an alternative.

Package: libmath-quaternion-perl
Description-md5: 6e783c2c4edc758d178fea2778df1771
Description-en: Perl routines to handle operations on quaternions
 Math::Quaternion lets you create and manipulate quaternions. A quaternion is a
 mathematical object developed as a kind of generalization of complex numbers,
 usually represented by an array of four real numbers, and is often used to
 represent rotations in three-dimensional space.
 .
 See, for example, http://mathworld.wolfram.com/Quaternion.html for more
 details on the mathematics of quaternions.
 .
 Quaternions can be added, subtracted, and scaled just like complex numbers or
 vectors -- they can also be multiplied, but quaternion multiplication DOES
 NOT COMMUTE. That is to say, if you have quaternions $q1 and $q2, then in
 general $q1*$q2 != $q2*$q1. This is related to their use in representing
 rotations, which also do not commute.

Package: libmath-random-isaac-perl
Description-md5: 979461586e1145474de0835f739282b9
Description-en: Perl interface to the ISAAC PRNG Algorithm
 Math::Random::ISAAC implements the ISAAC (Indirection, Shift, Accumulate, Add
 and Count) pseudorandom number generator (PRNG) algorithm described on the
 project page at: <URL:http://burtleburtle.net/bob/rand/isaac.html>
 .
 As with other algorithms like the Mersenne Twister (see Math::Random::MT),
 this algorithm is designed to take some seed information and produce seemingly
 random results as output.
 .
 The results are uniformly distributed, unbiased, and unpredictable unless you
 know the seed. The algorithm was published by Bob Jenkins in the late 1990s
 and despite the best efforts of many security researchers, no feasible attacks
 have been found to date.
 .
 For comparison with other algorithms intended to generate random data, the
 Mersenne Twister has a period of 2^19937-1, the related TT800 has a period
 of 2^800-1 values. ISAAC has a period of 2^8295 values on average.

Package: libmath-random-isaac-xs-perl
Description-md5: 4e5f3245bca4d4bc871c2d1355b32060
Description-en: Perl implementation of the ISAAC PRNG (C/XS Accelerated)
 Math::Random::ISAAC::XS implements the same interface as Math::Random::ISAAC
 and can be used as a drop-in replacement. This is the recommended version of
 the module, based on Bob Jenkins' reference implementation in C as described
 in his paper at: <URL:http://burtleburtle.net/bob/rand/isaac.html>
 .
 If you install this package, then any code that uses Math::Random::ISAAC will
 automagically benefit from this faster implementation. Performance increases
 are somewhere on the order of 400-500%.

Package: libmath-random-mt-perl
Description-md5: ebdfc64a41c3239a7ebb6bee6083379e
Description-en: Perl implementation of the Mersenne Twister algorithm
 Math::Random::MT provides an implementation of the Mersenne Twister
 pseudorandom number generator algorithm developed by Makoto Matsumoto
 and Takuji Nishimura.
 .
 It is related to but a different algorithm than a previous work by
 the same authors - the TT800 algorithm, which keeps less state data
 (MT uses 624 numbers compared to TT800's 25). Consequently, the
 period is much larger - 2^19937-1 compared to TT800's 2^800-1. For
 comparison, ISAAC's period is 2^8295 values on average.
 .
 For more information, peruse M. Matsumoto and T. Nishimura's paper:
 <URL:http://www.math.sci.hiroshima-u.ac.jp/~m-mat/MT/ARTICLES/mt.pdf>.

Package: libmath-random-oo-perl
Description-md5: 5170a55872e4ec6260518e977a7bd031
Description-en: consistent object-oriented interface for generating random numbers
 CPAN contains many modules for generating random numbers in various ways and
 from various probability distributions using pseudo-random number generation
 algorithms or other entropy sources. (The /"SEE ALSO" section has some
 examples.) Unfortunately, no standard interface exists across these modules.
 Math::Random::OO defines an abstract interface for random number generation.
 Subclasses of this model will implement specific types of random number
 generators or will wrap existing random number generators.
 .
 This consistency will come at the cost of some efficiency, but will enable
 generic routines to be written that can manipulate any provided random number
 generator that adheres to the interface. E.g., a stochastic simulation could
 take a number of user-supplied parameters, each of which is a
 Math::Random::OO subclass object and which represent a stochastic variable
 with a particular probability distribution.

Package: libmath-random-secure-perl
Description-md5: 481ea68d3ed5fde432b54d6f3d59679e
Description-en: cryptographically-secure, cross-platform replacement for rand()
 Math::Random::Secure is intended to provide a cryptographically-secure
 replacement for Perl's built-in rand function.
 .
 "Crytographically secure", in this case, means that: nobody can guess the
 future number, there are so many possible seeds that it would take millenia
 for an attacker to try them all.

Package: libmath-random-tt800-perl
Description-md5: b9f910f9c5a8eb0e1b8fad9817bc6d49
Description-en: Perl module implementing the TT800 algorithm
 Math::Random::TT800 provides an implementation of Makoto Matsumoto and Takuji
 Nishimura's pseudorandom number generator (PRNG) algorithm called TT800. It is
 similar to some other fantastic PRNG algorithms such as the Mersenne Twister
 (see libmath-random-mt-perl) and ISAAC (see libmath-random-isaac-perl). This
 particular implementation is particularly notable because it has no external
 dependencies aside from Perl itself.
 .
 The algorithm itself is described in Matsumoto's article published in ACM
 Transactions on Modelling and Computer Simulation, Volume 4, Issue 3, 1994,
 pages 254-266.
 .
 This algorithm is similar to the Mersenne Twister algorithm but uses a smaller
 array to hold state information (25 elements compared to MT's 624).
 Consequently, the period is much smaller - 2^800-1 versus MT's 2^19937-1.
 The period of ISAAC is 2^8295 values on average.

Package: libmath-randomorg-perl
Description-md5: 01928fb0cc64e2c180f93ad935d65412
Description-en: Perl module to retrieve random numbers and data from random.org
 Math::RandomOrg provides functions for retrieving random data from
 the random.org server. Data may be retrieved in an integer or
 byte-stream format using the randnum and randbyte functions
 respectively.

Package: libmath-round-perl
Description-md5: 8e626959e9f6daa79e7541e3465093ea
Description-en: Perl extension for rounding numbers
 Math::Round supplies functions that will round numbers in different
 ways.

Package: libmath-sparsematrix-perl
Description-md5: 13b9a45006acc48516e3fd223e303d44
Description-en: Provides a sparse matrix class for perl
 Math::SparseMatrix provides simple sparse matrix functionality such as
 creation of sparse matrices, writing them out to a file, reading
 matrices from files and reading transpose of a matrix stored in a
 file.

Package: libmath-sparsevector-perl
Description-md5: 99e8bdb5489e5efd1193cb802460d847
Description-en: Provides a sparse vector class for perl
 Supports sparse vector operations such as setting a value in a vector,
 reading a value at a given index, obtaining all indices, addition and
 dot product of two sparse vectors, and vector normalization.

Package: libmath-spline-perl
Description-md5: d2d73e03012f68c188a4e952eae11b89
Description-en: module providing cubic spline interpolation of data
 Math::Spline provides cubic spline interpolation of numeric data. The
 data is passed as references to two arrays containing the x and y
 ordinates. It may be used as an exporter of the numerical functions
 or, more easily as a class module.

Package: libmath-symbolic-perl
Description-md5: 0c7aa4fb6da74faa2a168e95db3ade8d
Description-en: module for performing symbolic calculations
 Math::Symbolic is a Perl module for performing symbolic calculations, similar
 to Computer Algebra Systems (CAS) like Maxima, Maple and Mathematica. Using
 this software, algebraic expressions can be parsed from strings, manipulated
 in Perl and even compiled into code references.

Package: libmath-tamuanova-perl
Description-md5: 49953e3281393d05801261caebe4bf5c
Description-en: Perl extension for the tamuanova library
 Math::TamuAnova allows you to use the tamu-anova library from perl programs.
 .
 TAMU ANOVA is a C library that allows one to compute both single and two
 factor ANOVA (Analysis of Variance).

Package: libmath-utils-perl
Description-md5: 62fefff335d7127afb0eef62b9eb8aee
Description-en: collection of useful mathematical functions not in Perl
 Math::Utils is a broad collection of useful mathematical functions not
 available in core perl. In addition to general-purpose functions such
 as log10(), log2(), floor() or fsum(), there are generators to create
 comparison functions for floating point (non-integer) numbers as well
 as some polynomial operations on plain lists of coefficients.

Package: libmath-vec-perl
Description-md5: 936c204c9eeec7dfec6248078214421a
Description-en: Object-Oriented Vector Math Methods in Perl
 This module was adapted from Math::Vector, written by Wayne M. Syvinski.
 .
 It uses most of the same algorithms, and currently preserves the same
 names as the original functions, though some aliases have been added to
 make the interface more natural.
 .
 The "object" for the object oriented calling style is a blessed array
 reference which contains a vector of the form [x,y,z].  Methods will
 typically return a list.

Package: libmath-vecstat-perl
Description-md5: 23a8fcdb9772183aa374f71d0dc8dcdf
Description-en: module providing some basic numeric stats on vectors
 Math::VecStat provides some basic statistics on numerical vectors.
 All the subroutines can take a reference to the vector to be operated on.
 In some cases a copy of the vector is acceptable, but is not recommended
 for efficiency.

Package: libmath-vector-real-kdtree-perl
Description-md5: 6bbaed3a5593eb36a2b707fb4e18e406
Description-en: kd-Tree implementation for Perl on top of Math::Vector::Real
 Math::Vector::Real::kdTree implements a kd-Tree data structure in Perl and
 common algorithms on top of it.
 .
 The following methods are provided:
  * new()
  * clone()
  * insert()
  * size()
  * at()
  * move()
  * find_nearest_vector()
  * find_farthest_vector()
  * k_means_start()
  * k_means_step()
  * k_means_loop()
  * k_means_assign()
  * find_in_ball()
  * ordered_by_proximity()

Package: libmath-vector-real-perl
Description-md5: d862d78fe3f267093abbf451d91f0968
Description-en: Perl module for real number vector arithmetic
 Math::Vector::Real is a pure Perl module to manipulate vectors of
 any dimension.
 .
 The function V, always exported by the module, allows one to create new
 vectors:
 .
 $v = V(0, 1, 3, -1);
 .
 Vectors are represented as blessed array references. It is allowed to
 manipulate the arrays directly as far as only real numbers are inserted
 (well, actually, integers are also allowed because from a mathematical point
 of view, integers are a subset of the real numbers).
 .
 Vectors can be used in mathematical expressions, the currently supported
 operations are:
 .
  . + * /
  . - (both unary and binary)
  . x (cross product for 3D vectors)
  . += -= *= /= x=
  . == !=
  . "" (stringfication)
  . abs (returns the norm)
  . atan2 (returns the angle between two vectors)
 .
 When an array reference is used in an operation involving a vector, it is
 automatically upgraded to a vector.

Package: libmath-vector-real-xs-perl
Description-md5: c188906c2f90ee7201ae83aa01f66962
Description-en: Perl module for real vector arithmetic in fast XS
 Math::Vector::Real::XS reimplements most of the functions in
 Math::Vector::Real in XS for a great performance boost.
 .
 Once this module is installed, Math::Vector::Real will load and use it
 automatically.

Package: libmatheval-dev
Description-md5: a48124505acb0f389cafbd0051891c60
Description-en: GNU library for evaluating symbolic mathematical expressions (development)
 GNU libmatheval is a library comprising of several procedures that make
 it possible to create an in-memory tree representation of mathematical
 functions over single or multiple variables and later use this
 representation to evaluate functions for specified variable values, to
 create corresponding trees for function derivatives over specified
 variables or to print textual representations of in-memory trees to a
 specified string.  The library supports arbitrary variable names in
 expressions, decimal constants, basic unary and binary operators and
 elementary mathematical functions.
 .
 This package contains the header files and static library.

Package: libmatheval1
Description-md5: 6bf1ce060a2678245c53ac63714c9510
Description-en: GNU library for evaluating symbolic mathematical expressions (runtime)
 GNU libmatheval is a library comprising of several procedures that make
 it possible to create an in-memory tree representation of mathematical
 functions over single or multiple variables and later use this
 representation to evaluate functions for specified variable values, to
 create corresponding trees for function derivatives over specified
 variables or to print textual representations of in-memory trees to a
 specified string.  The library supports arbitrary variable names in
 expressions, decimal constants, basic unary and binary operators and
 elementary mathematical functions.
 .
 This package contains the runtime shared library.

Package: libmathic-dev
Description-md5: df1a4b2eb5668131d7c5fe66eb8b9bea
Description-en: C++ library for Groebner basis computation (developer tools)
 Mathic is a C++ library of fast data structures designed for use in Groebner
 basis computation. This includes data structures for ordering S-pairs,
 performing divisor queries and ordering polynomial terms during polynomial
 reduction.
 .
 This package contains the developer tools.

Package: libmathic0v5
Description-md5: edf83d13ca7fcc48c0dd91f19ccc66be
Description-en: C++ library for Groebner basis computation (runtime library)
 Mathic is a C++ library of fast data structures designed for use in Groebner
 basis computation. This includes data structures for ordering S-pairs,
 performing divisor queries and ordering polynomial terms during polynomial
 reduction.
 .
 This package contains the runtime library.

Package: libmathlib2-dev
Description-md5: b8620c7ec73de494fefb81d983e572d9
Description-en: CERNLIB data analysis suite - core mathematical library (development files)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The mathlib library is a large set of mathematical routines used for purposes
 such as evaluating special functions and integrals, numerically solving
 algebraic and differential equations, performing matrix and vector operations,
 running statistical tests, and generating random numbers in specified
 distributions.
 .
 This package contains a static version of mathlib, as well
 as C and FORTRAN header files.

Package: libmathlib2-gfortran
Description-md5: dd7eca388c43c89860d449115659bda6
Description-en: CERNLIB data analysis suite - core mathematical library
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The mathlib library is a large set of mathematical routines used for purposes
 such as evaluating special functions and integrals, numerically solving
 algebraic and differential equations, performing matrix and vector operations,
 running statistical tests, and generating random numbers in specified
 distributions.
 .
 In order to compile and link programs against this library, you
 must also install the libmathlib2-dev package.

Package: libmatio-dev
Description-md5: ab90c18a3e67c7aad048d91122bb3551
Description-en: MAT File I/O Library - development files
 matio is an ISO C library for reading and writing Matlab MAT files.
 .
 This package contains the development files needed to compile software to
 use the libmatio API.

Package: libmatio-doc
Description-md5: cd0ed1c58bd0523d138f00003f325716
Description-en: MAT File I/O Library - documentation files
 matio is an ISO C library for reading and writing Matlab MAT files.
 .
 This package contains the documentation of the library (PDF and manpages).

Package: libmatio9
Description-md5: 0cc95cca460c03c154907f2bc35630dc
Description-en: Library to read and write Matlab MAT files
 matio is an ISO C library for reading and writing Matlab MAT files.
 .
 This package contains the shared version of the library.

Package: libmatroska-dev
Description-md5: e540f73aa5eb543cd7a5e0cb371615b5
Description-en: extensible open standard audio/video container format (development files)
 Matroska is aiming to become the standard of Multimedia
 Container Formats one day. It is based on EBML (Extensible Binary
 Meta Language), a kind of binary version of XML. This way the
 significant advantages in terms of future format extensibility
 are gained without breaking file support in old parsers.
 .
 This package contains the header files and static libraries needed to
 compile applications that use libmatroska.

Package: libmatroska6v5
Description-md5: 8ca914496271a6e5ee9bd7dd2f982310
Description-en: extensible open standard audio/video container format (shared library)
 Matroska is aiming to become the standard of Multimedia
 Container Formats one day. It is based on EBML (Extensible Binary
 Meta Language), a kind of binary version of XML. This way the
 significant advantages in terms of future format extensibility
 are gained without breaking file support in old parsers.
 .
 This package contains the shared library needed to run applications that
 use libmatroska.

Package: libmatthew-debug-java
Description-md5: d02e378111bbd284ea565f7c4b1b5af9
Description-en: Debugging library for Java
 This package provides a debugging library for Java, including a generic
 utility class for providing nicely formatted dumps of byte arrays
 (similar to the hexdump utility).

Package: libmatthew-io-java
Description-md5: c1067c70a00735e66f688a7af8b9d78f
Description-en: Extra IO library for Java
 This library provides extensions to the Java I/O subsystem. Firstly, there is
 a class which will connect any InputStream with an OutputStream and copies data
 between them.
 .
 Secondly there are two classes for inserting into an Input or OutputStream pipe
 a command line command, so that everything is piped through that command.
 .
 Thirdly there are a pair of classes for splitting streams in two. This can
 either be to two OuputStreams, or to an OutputStream and a file.
 Equivelent to the UNIX tool tee in UNIX pipes.

Package: libmatthew-java-doc
Description-md5: 5500b39d5f380ba195169d6114bdf2f7
Description-en: API documentation for the libmatthew-java libraries
 This package contains the Javadoc API documentation for the
 other packages: libcgi-java, libmatthew-debug-java,
 libmatthew-io-java and libunixsocket-java.

Package: libmaus2-2
Description-md5: 7b52f6dbc57ca0d2e08c74363898d9fd
Description-en: collection of data structures and algorithms for biobambam
 Libmaus2 is a collection of data structures and algorithms. It contains
 .
  * I/O classes (single byte and UTF-8)
  * bitio classes (input, output and various forms of bit level manipulation)
  * text indexing classes (suffix and LCP array, fulltext and minute (FM), ...)
  * BAM sequence alignment files input/output (simple and collating)
 .
 and many lower level support classes.

Package: libmaus2-dev
Description-md5: 5985b8355d85114b571b6940b393017d
Description-en: collection of data structures and algorithms for biobambam (devel)
 Libmaus2 is a collection of data structures and algorithms. It contains
 .
  * I/O classes (single byte and UTF-8)
  * bitio classes (input, output and various forms of bit level manipulation)
  * text indexing classes (suffix and LCP array, fulltext and minute (FM), ...)
  * BAM sequence alignment files input/output (simple and collating)
 .
 and many lower level support classes.
 .
 This package contains header files and static libraries.

Package: libmaven-antrun-extended-plugin-java
Description-md5: 60ae263acb89e910f727b2f369fdbe76
Description-en: Extended integration between Maven and Ant
 This extended antrun maven plugin enables users not only to run ant scripts
 embedded in the POM, but also to reference maven dependencies using Ant
 task classes. This enables the user to delegate more complex tasks to Ant
 such as constructing file-based installation distros.

Package: libmaven-antrun-plugin-java
Description-md5: a354687f97ea55457740f044d731a6ab
Description-en: Maven AntRun Plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven Antrun Plugin runs Ant scripts embedded in the POM

Package: libmaven-archiver-java
Description-md5: bdec6d4ffe8126c56248f6f412064d1c
Description-en: Archiver component for Maven
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven Archiver is mainly used by Maven plugins to handle packaging.

Package: libmaven-archiver-java-doc
Description-md5: ce238eff00eeb935784e68795c737d59
Description-en: Archiver component for Maven - API documentation
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven Archiver is mainly used by Maven plugins to handle packaging.
 .
 This package contains the API documentation of libmaven-archiver-java.

Package: libmaven-artifact-transfer-java
Description-md5: ce1359040743d89958de82884e2e6a4b
Description-en: Apache Maven Artifact Transfer
 Maven Artifact Transfer is a shared component intended as an API to install,
 deploy and resolving artifacts in Maven 3.

Package: libmaven-assembly-plugin-java
Description-md5: 91957d82ba3ff7b25308b5805cef2463
Description-en: Maven Assembly Plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven Assembly plugin is used to create archives of your project's
 sources, classes, dependencies etc. from flexible assembly descriptors.

Package: libmaven-bundle-plugin-java
Description-md5: 3db251b06d023214f20f879914c3afd5
Description-en: Maven plugin to handle artifact OSGi metadata
 Maven is a software project management and comprehension tool.
 Based on the concept of a project object model (POM), Maven can
 manage a project's build, reporting and documentation from a central
 piece of information.
 .
 This plugin allow you to handle artifact OSGi metadata of your artifact
 (JAR, WAR). It manage a new type of packaging "bundle" in your POM.
 .
 This plugin depends on Felix Bundle Repository and BND for low-level
 handling of metadata.

Package: libmaven-clean-plugin-java
Description-md5: 639fc29fea24cf397149809a95684734
Description-en: Maven clean plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships the maven clean plugin which is used to remove files
 generated at build-time in a project's directory.

Package: libmaven-common-artifact-filters-java
Description-md5: e13aa4bd2c9130f56f4426243c99874c
Description-en: Maven Common Artifact Filters
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships a shared library containing a collection of ready-made
 filters to control inclusion/exclusion of artifacts during dependency
 resolution.

Package: libmaven-common-artifact-filters-java-doc
Description-md5: 94b94b9b712448373398cfd11ea3901a
Description-en: Documentation for Maven Common Artifact Filters
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package contains the API documentation of
 libmaven-common-artifact-filters-java.

Package: libmaven-compiler-plugin-java
Description-md5: e0e234f9ca5fcd0adf64cf5bd521e58b
Description-en: Maven Compiler plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Compiler Plugin is used to compile the sources of your project. The
 default compiler is javac and is used to compile Java sources.

Package: libmaven-dependency-analyzer-java
Description-md5: 2f728058db3209de74f9539a5aaf49f8
Description-en: Maven Dependency Analyzer
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships a shared library for analysing dependencies.

Package: libmaven-dependency-plugin-java
Description-md5: 3c29c5e1f3f209a76724dd0dc389fc47
Description-en: Maven Dependency Plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This plugin provides dependency manipulation (copy, unpack) and analysis.

Package: libmaven-dependency-tree-java
Description-md5: 7d206b04cad7972c178523d08b7718ff
Description-en: Maven Dependency Tree
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships a shared library for analysis dependencies.

Package: libmaven-dependency-tree-java-doc
Description-md5: 5964c17a7e3fb788097c9584038f1c61
Description-en: Documentation for Maven Dependency Tree
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package contains the API documentation of libmaven-dependency-tree-java.

Package: libmaven-deploy-plugin-java
Description-md5: 3dda82639aa68826a460f468f824cca8
Description-en: Maven Deploy plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Deploy Plugin is used to add artifacts to a remote repository for sharing
 with other developers and projects.

Package: libmaven-doxia-tools-java
Description-md5: 1f96c0869ae811d724b33c6f0d41a772
Description-en: utilities for integrating Doxia in Maven
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This shared component has some utilities that are useful when integrating
 Doxia in Maven, mainly for site generation and report creation.

Package: libmaven-doxia-tools-java-doc
Description-md5: d7b73dcf0f883f2ec9f1215d8af53c3c
Description-en: Documentation for Maven Doxia Integration Tools
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package contains the API documentation of libmaven-doxia-tools-java.

Package: libmaven-ejb-plugin-java
Description-md5: b8eb55c83266c028e46a62a2f390b7a6
Description-en: Maven EJB Plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven EJB plugin generates a J2EE Enterprise JavaBean (EJB) file as well
 as the associated client JAR.

Package: libmaven-enforcer-plugin-java
Description-md5: c0d090850f16fffc77c7f0d0b89503c8
Description-en: Maven build rule execution framework
 Enforcer is a Maven build rule execution framework.
 Maven Enforcer Plugin provides goals to control certain environmental
 constraints such as Maven version, JDK version and OS family along with many
 more standard rules:
  * alwaysPass - Always passes... used to test plugin configuration.
  * alwaysFail - Always fail... used to test plugin configuration.
  * bannedDependencies - enforces that excluded dependencies aren't included.
  * evaluateBeanshell - evaluates a beanshell script.
  * requireReleaseDeps - enforces that no snapshots are included as
    dependencies.
  * requireReleaseVersion - enforces that the artifact is not a snapshot.
  * requireMavenVersion - enforces the Maven version.
  * requireJavaVersion - enforces the JDK version.
  * requireOS - enforces the OS / CPU Archictecture.
  * requirePluginVersions - enforces that all plugins have a specified version.
  * requireProperty - enforces the existence and values of properties.
  * requireFilesDontExist - enforces that the list of files do not exist.
  * requireFilesExist - enforces that the list of files do exist.
  * requireFilesSize - enforces that the list of files exist and are within a
    certain size range.
 Custom rules are easy to make with the maven-enforcer-rule-api.

Package: libmaven-exec-plugin-java
Description-md5: 94a9fdd6036111504f362d171b148276
Description-en: Exec Maven Plugin (transitional package)
 Maven plugin to allow execution of system and Java programs.
 It provides two goals to help execute system and Java programs:
  * exec:exec, execute programs and Java programs in a separate process
  * exec:java, execute Java programs in the same VM.
 .
 This is a dummy transitional package. It may be safely removed.

Package: libmaven-file-management-java
Description-md5: 3de687777d3813c7cd6e410622523c78
Description-en: Maven File Management API
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven File Management API provides an API to collect files from a given
 directory using several include/exclude rules.

Package: libmaven-file-management-java-doc
Description-md5: 9038bcee8c4e52f4a41d71ea3883798b
Description-en: Documentation for Maven File Management API
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven File Management API provides an API to collect files from a given
 directory using several include/exclude rules.
 .
 This package contains the API documentation of libmaven-file-management-java.

Package: libmaven-filtering-java
Description-md5: 7adb0ae6c841a338cd86c73e45d2190b
Description-en: Maven Filtering
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package provides a shared component for all Maven plugins which needs to
 filter resources.

Package: libmaven-install-plugin-java
Description-md5: 92b01fcb00f36673ef4e6421b881b1a6
Description-en: Maven install plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships the maven install plugin which is used to install the
 built artifact into the local repository.

Package: libmaven-invoker-java
Description-md5: 4630c9a9073a931071de4debcb50f6f0
Description-en: Maven Invoker
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package provides a component to programmatically invoke Maven.

Package: libmaven-invoker-plugin-java
Description-md5: 996739448953bd57d0d95a7dc8ddf2ae
Description-en: Maven Invoker Plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven Invoker Plugin is used to run a set of Maven projects. The plugin
 can determine whether each project execution is successful, and optionally
 can verify the output generated from a given project execution.

Package: libmaven-jar-plugin-java
Description-md5: 72e5d9f9ec980a750be8dd071ff6576c
Description-en: Maven Jar Plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This plugin provides the capability to build and sign jars.

Package: libmaven-javadoc-plugin-java
Description-md5: 70c0543dcc6effb9a18d9d11b0f52b8b
Description-en: Maven Javadoc Plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven Javadoc Plugin is a plugin that uses the javadoc tool for generating
 javadocs for the specified project.

Package: libmaven-jaxb2-plugin-java
Description-md5: 9993014ba27a3754bceab37bf1111cf5
Description-en: Maven JAXB 2.x Plugin Project
 Maven2 plugin for JAXB 2.x XJC compiler to generate Java sources from XML
 Schemas.

Package: libmaven-mapping-java
Description-md5: e76b51574b37e2dc097e998e13772416
Description-en: Apache Maven Mapping
 Maven Mapping is a shared component to assist in interpolating file names
 using properties from a Maven project.

Package: libmaven-parent-java
Description-md5: 0f4128bd2a4f9ba0e4a83f9b539e763f
Description-en: Maven metadata for Apache Maven itself
 Maven is a software project management and comprehension tool. Based on
 the concept of a project object model (POM), Maven can manage a project's
 build, reporting and documentation from a central piece of information.
 .
 This package contains the metadata (POM file) used by Maven during the build of
 Maven itself and related projects.

Package: libmaven-plugin-testing-java
Description-md5: b84a3d1b76b0423f13f103778be0a77f
Description-en: Maven Plugin Testing
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships the necessary modules to be able to test Maven Plugins.

Package: libmaven-plugin-tools-java
Description-md5: 0c6c3c21cdb2866207ee7ed03967a57e
Description-en: Maven Plugin Tools
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships some basic POM tools.

Package: libmaven-processor-plugin-java
Description-md5: c1c1150726cef6ac365c1582b3bca9b0
Description-en: Maven plugin to process annotations for Java 6 at compile time
 The Maven processor plugin helps to use from Maven the new annotation
 processing provided by Java 6 integrated in the Java compiler.
 This plugin could be considered the 'alter ego' of the Maven apt plugin.

Package: libmaven-reporting-api-java
Description-md5: 8f8ba8b6a5d01199402bd65d87fae3d8
Description-en: Maven Reporting API
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package provides the Maven Reporting API.

Package: libmaven-reporting-exec-java
Description-md5: b1aaf6040f187d3d0a012c34a12f0801
Description-en: Apache Maven Reporting Executor
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package provides classes to manage report plugin executions with Maven 3.

Package: libmaven-reporting-impl-java
Description-md5: 199d447b295430cc7a0b510cd2394c9e
Description-en: Maven Reporting API Implementation
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package implements the Maven Reporting API.

Package: libmaven-reporting-impl-java-doc
Description-md5: bb5b8e61a336bbb28cc24faa1843d4e0
Description-en: Documentation for Maven Reporting API Implementation
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package contains the API documentation of libmaven-reporting-impl-java.

Package: libmaven-repository-builder-java
Description-md5: 96812f716576b3d8de23703ffead5b08
Description-en: Maven Repository Builder
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven Repository builder is a shared library used by Maven.

Package: libmaven-repository-builder-java-doc
Description-md5: 99dc65238411aa7f0593c0a95f7c2dca
Description-en: Documentation for Maven Repository Builder
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package contains the API documentation of
 libmaven-repository-builder-java.

Package: libmaven-resolver-java
Description-md5: edaa553990cf545d9368136d4688ef6e
Description-en: Library to handle Java artifact repositories
 Apache Maven Artifact Resolver is a library for working with artifact
 repositories and dependency resolution. Maven Artifact Resolver deals
 with the specification of local repository, remote repository, developer
 workspaces, artifact transports and artifact resolution.

Package: libmaven-resolver-transport-http-java
Description-md5: 9ead610d3acd350162a6e699972c9a52
Description-en: Library to handle Java artifact repositories (HTTP transport)
 Apache Maven Artifact Resolver is a library for working with artifact
 repositories and dependency resolution. Maven Artifact Resolver deals
 with the specification of local repository, remote repository, developer
 workspaces, artifact transports and artifact resolution.
 .
 This package contains the maven-resolver-transport-http module.

Package: libmaven-resources-plugin-java
Description-md5: e7cc6fef7fc280ca1b11542ba8e1c198
Description-en: Maven resources plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Resources Plugin handles the copying of project resources to the output
 directory.

Package: libmaven-scm-java
Description-md5: c0a45b47d64253f7778cb66e89c6eb9d
Description-en: Maven SCM - Common API for SCM operations (Core API)
 Maven SCM supports Maven 2.x plugins and other tools by providing them
 with a common API for doing SCM operations. Maven SCM supports the main
 SCMs such as Subversion, Git, Mercurial, Bazaar, CVS, Perforce and more.
 .
 This package contains the core API (maven-scm-api and maven-scm-managers).

Package: libmaven-scm-java-doc
Description-md5: c49c67eb6c1888c2d205030ff6e50c73
Description-en: Maven SCM - Common API for SCM operations (Documentation)
 Maven SCM supports Maven 2.x plugins and other tools by providing them
 with a common API for doing SCM operations. Maven SCM supports the main
 SCMs such as Subversion, Git, Mercurial, Bazaar, CVS, Perforce and more.
 .
 This package provides the API documentation for Maven SCM.

Package: libmaven-scm-providers-java
Description-md5: 4b4bb411ce2be4da71c4f10d65f4e069
Description-en: Maven SCM - Common API for SCM operations (Providers)
 Maven SCM supports Maven 2.x plugins and other tools by providing them
 with a common API for doing SCM operations. Maven SCM supports the main
 SCMs such as Subversion, Git, Mercurial, Bazaar, CVS, Perforce and more.
 .
 This package contains the providers (maven-scm-provider-*).

Package: libmaven-script-interpreter-java
Description-md5: 59798a5524454883494b3bd8690da943
Description-en: Maven Script Interpreter
 This component provides some utilities to interpret/execute some scripts
 for various implementations: groovy or beanshell.

Package: libmaven-shade-plugin-java
Description-md5: d74a4ccc7ba5c603eb34e5b37309303b
Description-en: Maven shade plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships the Maven shade plugin which is used to build an Uber-JAR
 from the current project, including dependencies.

Package: libmaven-shared-incremental-java
Description-md5: 7b0d8f9e4ef27ba381350f15f6239023
Description-en: Maven incremental build utilities
 This project provides various utility classes and plexus components for
 supporting incremental build functionality in maven plugins.

Package: libmaven-shared-incremental-java-doc
Description-md5: 1545ce8046ebef4774dd783cfd64cd27
Description-en: Maven incremental build utilities (documentation)
 This project provides various utility classes and plexus components for
 supporting incremental build functionality in maven plugins.
 .
 This package contains the libmaven-shared-incremental-java
 API documentation.

Package: libmaven-shared-io-java
Description-md5: 4e791301504af276bac7fb2d9e50a785
Description-en: Maven API for I/O support
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships the I/O support API like logging, download or file
 scanning.

Package: libmaven-shared-io-java-doc
Description-md5: be99d7a9d15a8e6154dd23dda7258608
Description-en: Documentation for Maven API for I/O support
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package contains the API documentation of libmaven-shared-io-java.

Package: libmaven-shared-jar-java
Description-md5: b4402f5f7aaa20c8a7ecac387c9c53a2
Description-en: Maven JAR Utilities
 Utilities that help identify the contents of a JAR, including Java class
 analysis and Maven metadata analysis.

Package: libmaven-shared-jar-java-doc
Description-md5: 32f81e9b373b33f882742fd9147e2ab3
Description-en: Documentation for Maven JAR Utilities
 Utilities that help identify the contents of a JAR, including Java class
 analysis and Maven metadata analysis.
 .
 This package contains the API documentation of libmaven-shared-jar-java.

Package: libmaven-shared-utils-java
Description-md5: 8fa2d1eba2915564dd11957b446b8c4e
Description-en: Replacement for plexus-utils in Maven
 This project aims to be a functional replacement for plexus-utils in Maven.
 .
 It is not a 100% API compatible replacement though, but a replacement with
 improvements: lots of methods got cleaned up, generics got added and a lot
 of unused code was dropped.

Package: libmaven-shared-utils-java-doc
Description-md5: 47784c83c53b621de9a5a13ce22be475
Description-en: Replacement for plexus-utils in Maven (documentation)
 This project aims to be a functional replacement for plexus-utils in Maven.
 .
 It is not a 100% API compatible replacement though, but a replacement with
 improvements: lots of methods got cleaned up, generics got added and a lot
 of unused code was dropped.
 .
 This package contains the API documentation of libmaven-shared-utils-java.

Package: libmaven-site-plugin-java
Description-md5: 0e1c9eb4f8ae122ada04f914f7b4b49d
Description-en: Maven Site Plugin for generating a site
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven 2 Site Plugin is used to generate a site for the project. The
 generated site also includes the project's reports that were configured in the
 <reporting>  section of the POM.

Package: libmaven-source-plugin-java
Description-md5: 14c38dbcd196c8e8be08c43ccf9b0542
Description-en: Maven Source Plugin
 The Maven 2 Source Plugin creates a JAR archive of the source files of
 the current project. The Source Plugin has five goals:
  * source:aggregate aggregrates sources for all modules in an aggregator
    project.
  * source:jar is used to bundle the main sources of the project into a
    jar archive.
  * source:test-jar on the other hand, is used to bundle the test sources
    of the project into a jar archive.
  * source:jar-no-fork is similar to jar but does not fork the build lifecycle.
  * source:test-jar-no-fork is similar to test-jar but does not fork the
    build lifecycle.

Package: libmaven-verifier-java
Description-md5: 2b00534712de3f7b539e4e403064dfba
Description-en: Maven Verifier Component
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 Maven Verifier is a shared library for Maven and it provides a test harness
 for Maven integration tests.

Package: libmaven-verifier-java-doc
Description-md5: 42008f77778fc18c2ac4a58d935deccd
Description-en: Documentation for Maven Verifier Component
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package contains the API documentation of libmaven-verifier-java.

Package: libmaven-war-plugin-java
Description-md5: 47bd766c27436fa5b1c3ba038fa365cb
Description-en: Maven WAR Plugin
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 The Maven WAR plugin builds a Web Application Archive (WAR) file from the
 project output and its dependencies.

Package: libmaven3-core-java
Description-md5: 41295c849c119074f62a8c0591bc9fe6
Description-en: Core libraries for Maven 3
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package contains the core libraries for Maven 3.

Package: libmavibot-java
Description-md5: ab8411a81f568d9380be371ec6ecefac
Description-en: Apache Mavibot - MVCC BTree Java Implementation
 Mavibot is a Multi Version Concurrency Control (MVCC) BTree in Java.
 It is expected to be a replacement for JDBM (The current backend for
 the Apache Directory Server), but could be a good fit for any other
 project in need of a Java MVCC BTree implementation.

Package: libmawk-dev
Description-md5: 838bd7e7e8bde2b693e186d427083d87
Description-en: embeddable mawk lib
 Libmawk is a fork of the popular awk implementation mawk, and aims to be
 the lightweight script language programmers embed in their application.
 .
 This package constains all header files to allow applications to compile
 with embedded awk scripting.

Package: libmawk1
Description-md5: ae521b34c9e050de7381a97b66a96d8b
Description-en: embeddable mawk lib
 Libmawk is a fork of the popular awk implementation mawk, and aims to be
 the lightweight script language programmers embed in their application.
 .
 This implementation also supports some minor extensions over mawk:
  - dynamic awk function calls from awk scripts (by name in string)
  - dynamic variables (access variable by name in string)
  - include "scriptname.awk"
 .
 Besides the shared object, an lmawk binary is also installed, which is
 command-line-compatible with mawk but provides all those extra features.

Package: libmaxflow-dev
Description-md5: 3bfd08cf539a26d95feeb22bc9e5040e
Description-en: Development files for the maxflow-mincut algorithm
 This library implements an efficient minimum cut/maximum flow
 algorithms on graphs that can be used for exact or approximate
 energy minimization in low-level vision. The algorithm provides a high
 performance that makes near real-time performance possible.
 This package provides the development files for the library.

Package: libmaxflow0
Description-md5: 1ee5a6a07bfc764a4fcc79b47ac7e1cb
Description-en: This library provides the maxflow-mincut algorithm
 This library implements an efficient minimum cut/maximum flow
 algorithms on graphs that can be used for exact or approximate
 energy minimization in low-level vision. The algorithm provides a high
 performance that makes near real-time performance possible.

Package: libmaxmind-db-common-perl
Description-md5: e5760e13d2dc34d404775fa6648cd528
Description-en: collection of common code for the MaxMind DB Perl modules
 MaxMind::DB::Common provides some shared code for use by both the
 MaxMind DB reader and writer Perl modules.
 .
 For now, the only piece documented for public consumption is
 MaxMind::DB::Metadata.

Package: libmaxmind-db-reader-perl
Description-md5: 516385a51a2741ca94f12cbdb233fb39
Description-en: Perl module to read MaxMind DB files and look up IP addresses
 MaxMind::DB::Reader provides a low-level interface to the MaxMind DB
 file format as described at https://maxmind.github.io/MaxMind-DB/.
 .
 If you are looking for an interface to MaxMind's GeoIP2 or GeoLite2
 downloadable databases, you should also check out the libgeoip2-perl
 package, which provides a higher level OO interface to those databases.
 .
 The MaxMind-DB-Reader distribution ships with a single pure Perl
 implementation of the Reader API. There is a separate distribution that
 provides an XS implementation, which links against libmaxminddb. It is
 packaged as libmaxmind-db-reader-xs-perl and approximately 100 times
 faster than the pure Perl implementation.
 .
 This module is deprecated and will only receive fixes for major bugs and
 security vulnerabilities. New features and functionality will not be added.

Package: libmaxmind-db-reader-xs-perl
Description-md5: 8a239b28dd462f36a5fdc2a931e2814e
Description-en: fast XS implementation of the MaxMind DB reader
 MaxMind::DB::Reader::XS is an implementation of the MaxMind::DB::Reader
 API using XS to link against the libmaxminddb library.  This is much
 faster than the Pure Perl implementation: the speedup is typically by a
 factor of 50-100. Simply installing this package is enough to have
 MaxMind::DB::Reader automatically load it.

Package: libmbassador-java
Description-md5: 1a722a6f73e28ff7f461fb777f59e834
Description-en: feature-rich Java event bus optimized for high-throughput
 MBassador is a light-weight, high-performance event bus implementing the
 publish subscribe pattern. It is designed for ease of use and aims to be
 feature rich and extensible while preserving resource efficiency and
 performance.
 .
 The core of MBassador is built around a custom data structure that provides
 non-blocking reads and minimized lock contention for writes such that
 performance degradation of concurrent read/write access is minimal.

Package: libmbedcrypto3
Description-md5: 64e8017b074d44682fa9beefe2836425
Description-en: lightweight crypto and SSL/TLS library - crypto library
 mbed TLS (formerly known as PolarSSL) is a lean open source crypto library for
 providing SSL and TLS support in your programs. It offers an intuitive API and
 documented header files, so you can actually understand what the code does.
 It features:
  - Symmetric algorithms, like AES, Blowfish, Triple-DES, DES, ARC4, Camellia
    and XTEA
  - Hash algorithms, like SHA-1, SHA-2, RIPEMD-160 and MD5
  - Entropy pool and random generators, like CTR-DRBG and HMAC-DRBG
  - Public key algorithms, like RSA, Elliptic Curves, Diffie-Hellman, ECDSA
    and ECDH
  - TLS 1.0, 1.1 and 1.2
  - Abstraction layers for ciphers, hashes, public key operations, platform
    abstraction and threading
 .
 This package contains the shared library handling cryptography.

Package: libmbedtls-dev
Description-md5: 40f9a71b90e23ccf34a190093807138a
Description-en: lightweight crypto and SSL/TLS library - development files
 mbed TLS (formerly known as PolarSSL) is a lean open source crypto library for
 providing SSL and TLS support in your programs. It offers an intuitive API and
 documented header files, so you can actually understand what the code does.
 It features:
  - Symmetric algorithms, like AES, Blowfish, Triple-DES, DES, ARC4, Camellia
    and XTEA
  - Hash algorithms, like SHA-1, SHA-2, RIPEMD-160 and MD5
  - Entropy pool and random generators, like CTR-DRBG and HMAC-DRBG
  - Public key algorithms, like RSA, Elliptic Curves, Diffie-Hellman, ECDSA
    and ECDH
  - TLS 1.0, 1.1 and 1.2
  - Abstraction layers for ciphers, hashes, public key operations, platform
    abstraction and threading
 .
 This package contains the header files and static libraries for mbed TLS.

Package: libmbedtls-doc
Description-md5: d7efa3d44eed7295a7ef4eab9e9c1e43
Description-en: lightweight crypto and SSL/TLS library - documentation
 mbed TLS (formerly known as PolarSSL) is a lean open source crypto library for
 providing SSL and TLS support in your programs. It offers an intuitive API and
 documented header files, so you can actually understand what the code does.
 It features:
  - Symmetric algorithms, like AES, Blowfish, Triple-DES, DES, ARC4, Camellia
    and XTEA
  - Hash algorithms, like SHA-1, SHA-2, RIPEMD-160 and MD5
  - Entropy pool and random generators, like CTR-DRBG and HMAC-DRBG
  - Public key algorithms, like RSA, Elliptic Curves, Diffie-Hellman, ECDSA
    and ECDH
  - TLS 1.0, 1.1 and 1.2
  - Abstraction layers for ciphers, hashes, public key operations, platform
    abstraction and threading
 .
 This package contains the API documentation.

Package: libmbedtls12
Description-md5: 1e82a34e0ec1aaef0f1111022bea643f
Description-en: lightweight crypto and SSL/TLS library - tls library
 mbed TLS (formerly known as PolarSSL) is a lean open source crypto library for
 providing SSL and TLS support in your programs. It offers an intuitive API and
 documented header files, so you can actually understand what the code does.
 It features:
  - Symmetric algorithms, like AES, Blowfish, Triple-DES, DES, ARC4, Camellia
    and XTEA
  - Hash algorithms, like SHA-1, SHA-2, RIPEMD-160 and MD5
  - Entropy pool and random generators, like CTR-DRBG and HMAC-DRBG
  - Public key algorithms, like RSA, Elliptic Curves, Diffie-Hellman, ECDSA
    and ECDH
  - TLS 1.0, 1.1 and 1.2
  - Abstraction layers for ciphers, hashes, public key operations, platform
    abstraction and threading
 .
 This package contains the shared library handling TLS.

Package: libmbedx509-0
Description-md5: e9e538e6b46c494f6f91769598986fce
Description-en: lightweight crypto and SSL/TLS library - x509 certificate library
 mbed TLS (formerly known as PolarSSL) is a lean open source crypto library for
 providing SSL and TLS support in your programs. It offers an intuitive API and
 documented header files, so you can actually understand what the code does.
 It features:
  - Symmetric algorithms, like AES, Blowfish, Triple-DES, DES, ARC4, Camellia
    and XTEA
  - Hash algorithms, like SHA-1, SHA-2, RIPEMD-160 and MD5
  - Entropy pool and random generators, like CTR-DRBG and HMAC-DRBG
  - Public key algorithms, like RSA, Elliptic Curves, Diffie-Hellman, ECDSA
    and ECDH
  - TLS 1.0, 1.1 and 1.2
  - Abstraction layers for ciphers, hashes, public key operations, platform
    abstraction and threading
 .
 This package contains the shared library handling x509 certificates.

Package: libmbim-utils
Description-md5: 26954fb9739457f3079bb1d716bba004
Description-en: Utilities to use the MBIM protocol from the command line
 libmbim is a glib-based library for talking to WWAN modems and devices
 which speak the Mobile Interface Broadband Model (MBIM) protocol.
 .
 This package contains the utilities that make it easier to use MBIM
 functionality from the command line.

Package: libmbt-dev
Description-md5: 4e8583a56e3ed89c37c48042a432b103
Description-en: memory-based tagger-generator and tagger - development
 MBT is a memory-based tagger-generator and tagger in one. The tagger-generator
 part can generate a sequence tagger on the basis of a training set of tagged
 sequences; the tagger part can tag new sequences. MBT can, for instance, be
 used to generate part-of-speech taggers or chunkers for natural language
 processing.
 .
 MBT is a product of the Centre of Language and Speech Technology (Radboud
 University Nijmegen, The Netherlands), the ILK Research Group (Tilburg
 University, The Netherlands) and the CLiPS Research Centre (University
 of Antwerp, Belgium).
 .
 If you do scientific research in natural language processing, MBT will
 likely be of use to you.
 .
 This package provides the header files required to compile C++ programs that
 use libmbt.

Package: libmbt1
Description-md5: aa5125e7d30275fbf0696f3e3d1de9b7
Description-en: memory-based tagger-generator and tagger - runtime
 MBT is a memory-based tagger-generator and tagger in one. The tagger-generator
 part can generate a sequence tagger on the basis of a training set of tagged
 sequences; the tagger part can tag new sequences. MBT can, for instance, be
 used to generate part-of-speech taggers or chunkers for natural language
 processing.
 .
 MBT is a product of the Centre of Language and Speech Technology (Radboud
 University Nijmegen, The Netherlands), the ILK Research Group (Tilburg
 University, The Netherlands) and the CLiPS Research Centre (University
 of Antwerp, Belgium).
 .
 If you do scientific research in natural language processing, MBT will
 likely be of use to you.
 .
 This package provides the runtime files required to run programs that use
 libmbt.

Package: libmccs-ocaml
Description-md5: 07e43fdef92ca49e839c3d6a694d1d42
Description-en: stripped-down MCCS CUDF solver for OCaml
 mccs (which stands for Multi Criteria CUDF Solver) is a CUDF problem solver
 developed at UNS during the European MANCOOSI project.
 .
 This repository contains a stripped-down version of the mccs solver, taken from
 snapshot 1.1, with a binding as an OCaml library, and building with dune.
 .
 The binding enables interoperation with binary CUDF data from the OCaml CUDF
 library, and removes the native C++ parsers and printers from mccs.
 Only the GLPK backend and the lpsolve interface are compiled

Package: libmccs-ocaml-dev
Description-md5: 0fbfab5ffc963a215dbd0c7a67ebe3cb
Description-en: stripped-down MCCS CUDF solver for OCaml -- development files
 mccs (which stands for Multi Criteria CUDF Solver) is a CUDF problem solver
 developed at UNS during the European MANCOOSI project.
 .
 This repository contains a stripped-down version of the mccs solver, taken from
 snapshot 1.1, with a binding as an OCaml library, and building with dune.
 .
 The binding enables interoperation with binary CUDF data from the OCaml CUDF
 library, and removes the native C++ parsers and printers from mccs.
 Only the GLPK backend and the lpsolve interface are compiled
 .
 This package contains development files, necessary to compile OCaml code that
 uses the ocaml-mccs library.

Package: libmce-perl
Description-md5: 110445ce0d1ec17c87d4c2eaec27bc5a
Description-en: Many-Core Engine for Perl providing parallel processing capabilities
 Many-core Engine (MCE) for Perl helps enable a new level of performance
 by maximizing all available cores. MCE spawns a pool of workers and
 therefore does not fork a new process per each element of data. Instead,
 MCE follows a bank queuing model. Imagine the line being the data and
 bank-tellers the parallel workers. MCE enhances that model by adding the
 ability to chunk the next n elements from the input stream to the next
 available worker.
 .
 Chunking and input data are optional in MCE. One may use MCE to run many
 workers in parallel without specifying input data.

Package: libmckoisqldb-java
Description-md5: b49ef12c1532b1c7e170f1e0c33240b9
Description-en: Mckoi SQL Database (MckoiSQLDB)
 A full SQL database system with JDBC driver that can be embedded in a Java
 application or operate as a stand-alone server with clients connecting
 via TCP/IP.

Package: libmckoisqldb-java-doc
Description-md5: 392702ac6706fa6bde79afd7e1895806
Description-en: Documentation for Mckoi SQL Database (MckoiSQLDB)
 A full SQL database system with JDBC driver that can be embedded in a Java
 application or operate as a stand-alone server with clients connecting
 via TCP/IP.
 .
 This package contains the API documentation of libmckoisqldb-java.

Package: libmcpp-dev
Description-md5: b54ee1320257f6ea0d2704922300ce56
Description-en: Alternative C/C++ preprocessor (development files)
 This package installs the development files for the library version
 of mcpp.

Package: libmcpp0
Description-md5: 50d35e9f6b87fff3c47b700d85bb7bc0
Description-en: Alternative C/C++ preprocessor (shared library)
 This package installs the shared library version of mcpp.

Package: libmcrypt-dev
Description-md5: 7747f034552ead7f2790761262219efc
Description-en: De-/Encryption Library development files
 libmcrypt is the library which implements all the algorithms and
 modes found in mcrypt.
 .
 libmcrypt supports the algorithms: BLOWFISH, TWOFISH, DES, TripleDES,
 3-WAY, SAFER-sk64, SAFER-sk128, SAFER+, LOKI97, GOST, RC2, RC6, MARS,
 IDEA, RIJNDAEL-128, RIJNDAEL-192, SERPENT, RIJNDAEL-256, CAST-128
 (known as CAST5), CAST-256, ARCFOUR, ENIGMA, PANAMA, XTEA and WAKE.
 Block algorithms can be used in: CBC, ECB, CFB and OFB (8 bit and n
 bit, where n is the size of the algorithm's block length).
 .
 More information can be found at the libmcrypt homepage
 http://mcrypt.sourceforge.net/ .

Package: libmcrypt4
Description-md5: 6d3f2cee399b5a6ab367c5ad4acf32af
Description-en: De-/Encryption Library
 libmcrypt is the library which implements all the algorithms and
 modes found in mcrypt.
 .
 libmcrypt supports the algorithms: BLOWFISH, TWOFISH, DES, TripleDES,
 3-WAY, SAFER-sk64, SAFER-sk128, SAFER+, LOKI97, GOST, RC2, RC6, MARS,
 IDEA, RIJNDAEL-128, RIJNDAEL-192, SERPENT, RIJNDAEL-256, CAST-128
 (known as CAST5), CAST-256, ARCFOUR, ENIGMA, PANAMA, XTEA and WAKE.
 Block algorithms can be used in: CBC, ECB, CFB and OFB (8 bit and n
 bit, where n is the size of the algorithm's block length).
 .
 More information can be found at the libmcrypt homepage
 http://mcrypt.sourceforge.net/ .

Package: libmd-dev
Description-md5: d0bc2c571f3eaf281dd1d2eaea135720
Description-en: message digest functions from BSD systems - development files
 This package contains the header files and static library needed to
 compile applications that use libmd.
 .
 The currently provided hashing algorithms are:
 .
  * MD2
  * MD4
  * MD5
  * RIPEMD-160
  * SHA-1
  * SHA-2 (SHA-256, SHA-384 and SHA-512)

Package: libmd0
Description-md5: 9f178d99a8400fec0a8ae1aa28518f9b
Description-en: message digest functions from BSD systems - shared library
 The libmd library provides various message digest ("hash") functions,
 as found on various BSDs on a library with the same name and with a
 compatible API.

Package: libmd4c-dev
Description-md5: b035061e243da14d92d4a0555b7da0ff
Description-en: Markdown for C - development files
 MD4C is C Markdown parser with the following features:
 .
 Compliance: Generally MD4C aims to be compliant to the latest version of
 CommonMark specification. Right now fully compliant to CommonMark 0.28.
 .
 Extensions: MD4C supports some commonly requested and accepted extensions.
 .
 Compactness: MD4C is implemented in one source file and one header file.
 .
 Embedding: MD4C is easy to reuse in other projects, its API is very
 straightforward: There is actually just one function, md_parse().
 .
 Push model: MD4C parses the complete document and calls callback functions
 provided by the application for each start/end of block, start/end of a span,
 and with any textual contents.
 .
 Portability: MD4C builds and works on Windows and Linux, and it should
 be fairly simple to make it run also on most other systems.
 .
 Encoding: MD4C can be compiled to recognize ASCII-only control characters,
 UTF-8 and, on Windows, also UTF-16, i.e. what is on Windows commonly
 called just "Unicode". See more details below.
 .
 Permissive license: MD4C is available under the MIT license.
 .
 This package ships the library's development files.

Package: libmd4c0
Description-md5: b1a7a354ebf1c0e39e45738f2bc87adf
Description-en: Markdown for C
 MD4C is C Markdown parser with the following features:
 .
 Compliance: Generally MD4C aims to be compliant to the latest version of
 CommonMark specification. Right now fully compliant to CommonMark 0.28.
 .
 Extensions: MD4C supports some commonly requested and accepted extensions.
 .
 Compactness: MD4C is implemented in one source file and one header file.
 .
 Embedding: MD4C is easy to reuse in other projects, its API is very
 straightforward: There is actually just one function, md_parse().
 .
 Push model: MD4C parses the complete document and calls callback functions
 provided by the application for each start/end of block, start/end of a span,
 and with any textual contents.
 .
 Portability: MD4C builds and works on Windows and Linux, and it should
 be fairly simple to make it run also on most other systems.
 .
 Encoding: MD4C can be compiled to recognize ASCII-only control characters,
 UTF-8 and, on Windows, also UTF-16, i.e. what is on Windows commonly
 called just "Unicode". See more details below.
 .
 Permissive license: MD4C is available under the MIT license.

Package: libmdb2
Description-md5: 4662ebd8f8175e8d1b5b5b1a82a207cc
Description-en: Core library for accessing JET / MS Access (MDB) files
 Core library for accessing JET / MS Access database (MDB) files
 programmatically.
 .
 Allows one to use MDB files with PHP for example.

Package: libmdbsql2
Description-md5: 4631d1cf31db9c8b0dbb06584f110982
Description-en: mdbtools SQL library
 Libraries built on libmdb to provide a SQL engine for reading
 JET / MS Access database (MDB) files.
 .
 See mdb-sql util in mdbtools package.

Package: libmdc-dev
Description-md5: 53a2aab93f8109ef0a25825d34ccb705
Description-en: Medical Image (DICOM, ECAT, ...) conversion tool (development)
 This project stands for Medical Image Conversion. Released under the
 (L)GPL, it comes with the full C-source code of the library, a
 flexible command line utility and a neat graphical front-end using
 the GTK+ toolkit. The currently supported formats are: Acr/Nema 2.0,
 Analyze (SPM), DICOM 3.0, InterFile 3.3 and PNG.
 .
 Static library, include files and documentation for developers.

Package: libmdc3
Description-md5: b860f64f5e205b878e90adc7e37cbc41
Description-en: Medical Image (DICOM, ECAT, ...) conversion tool (library)
 This project stands for Medical Image Conversion. Released under the
 (L)GPL, it comes with the full C-source code of the library, a
 flexible command line utility and a neat graphical front-end using
 the GTK+ toolkit. The currently supported formats are: Acr/Nema 2.0,
 Analyze (SPM), DICOM 3.0, InterFile 3.3 and PNG.
 .
 This is the central library needed by medcon, xmedcon and derived
 programs.

Package: libmdds-doc
Description-md5: bbf4c8373fecae797744372c33dba360
Description-en: Multi Dimension Data structure library -- documentation
 A collection of multi-dimensional data structure and indexing algorithm.
 .
 This is a C++ library, and is a collection of various data structures designed
 to efficiently store and query multi-dimensional data for various
 filtering criteria. Different structures are optimized for different
 query needs.
 .
 This library is a source-code only library. It’s designed to be
 header-only meaning that the user program does not need to link to any
 additional shared library in order to use these data structures. The
 data structures are all available as C++ templates.
 .
 This package contains the API documentation for mdds.

Package: libmdsp-dev
Description-md5: c39609261e20eb868b11bddc9f0a1f08
Description-en: METAR Decoder Software Package Library development files
 METAR (Meteorological Aviation Routine Weather Report) is the standard format
 for reporting meterological conditions. The MDSP Library provides a programmer
 with functions to decode and print METAR data.
 .
 This is a static only library!

Package: libmeanwhile-dev
Description-md5: 8fd2a69c10b4d3d15506fb7abd55ad82
Description-en: development package for libmeanwhile1
 This library provides the basic Lotus Sametime Community Client session
 functionality along with the core services; Presence, Messaging, and
 Conferencing.
 .
 This package contains development files of the libmeanwhile0 library.

Package: libmeanwhile1
Description-md5: 82e65bea7e1388bd4ffee62b6cc57363
Description-en: open implementation of the Lotus Sametime Community Client protocol
 This library provides the basic Lotus Sametime Community Client session
 functionality along with the core services; Presence, Messaging, and
 Conferencing.

Package: libmecab-java
Description-md5: 0da229f287ef0947feed6981190e91a9
Description-en: mecab binding for Java - java classes
 Mecab is a Japanese morphological analysis system.
 .
 libmecab-java is built for Java.

Package: libmecab-jni
Description-md5: d366666b4b4d1ade8cced89e04dc2f81
Description-en: mecab binding for Java - native interface
 Mecab is a Japanese morphological analysis system.
 .
 libmecab-jni is built for Java.

Package: libmecab-perl
Description-md5: ca50e133dc90548b12d8092a7b91fd55
Description-en: Mecab binding for Perl
 Mecab is a Japanese morphological analysis system.
 .
 libmecab-perl is its binding for Perl.

Package: libmed-dev
Description-md5: 6247a3e8f3474ac1927444ea6172c773
Description-en: Development files for libmed
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.

Package: libmed-doc
Description-md5: 22ea389dbc51d700f3dec52e96ca96de
Description-en: Documentation for the MED-fichier library
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.
 .
 This package provides the documentation for the MED-fichier library
 (in French).

Package: libmed-tools
Description-md5: 2189702a55c5a394c512f8770123c2d2
Description-en: Runtime tools to handle MED files
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.
 .
 This package contains runtime tools for med-fichier:
  - mdump: a tool to dump MED files
  - xmdump: graphical version of mdump.
  - medconforme: a tool to validate a MED file
  - medimport: a tool to convert a MED v2.1 or v2.2 file into a MED v2.3
    file

Package: libmed11
Description-md5: 2f0d6f36c3259dd9231f7dde9469055f
Description-en: Library to exchange meshed data (Fortran version)
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.
 .
 This package contains the MED-fichier runtime library (Fortran version).

Package: libmedc-dev
Description-md5: 9f91a0945dddc9dc6c190f9a667c2941
Description-en: Development files for libmedc
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.
 .
 This package contains the header files and static library needed to
 compile applications that use libmedC.

Package: libmedc11
Description-md5: 240c8fa72a78b0c954354b94f28bb7cf
Description-en: Library to exchange meshed data (C version)
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.
 .
 This package contains the MED-fichier runtime library (C version).

Package: libmediainfo-dev
Description-md5: 4c2245062ea2caf1c7a7453a3bf0062b
Description-en: library reading metadata from media files -- headers
 MediaInfo is a library used for retrieving technical information and other
 metadata about audio or video files.
 .
 A non-exhaustive list of the information MediaInfo can retrieve from media
 files include:
  - General: title, author, director, album, track number, date, duration...
  - Video: codec, aspect, fps, bitrate...
  - Audio: codec, sample rate, channels, language, bitrate...
  - Text: language of subtitle
  - Chapters: number of chapters, list of chapters
 .
 MediaInfo supports the following formats:
  - Video: MKV, OGM, AVI, DivX, WMV, QuickTime, Real, MPEG-1, MPEG-2,
           MPEG-4, DVD (VOB)...
  - Video Codecs: DivX, XviD, MSMPEG4, ASP, H.264, AVC...)
  - Audio: OGG, MP3, WAV, RA, AC3, DTS, AAC, M4A, AU, AIFF...
  - Subtitles: SRT, SSA, ASS, SAMI...
 .
 This package contains the headers and other development support files needed
 for compiling and linking applications and libraries which use this library.

Package: libmediainfo-doc
Description-md5: a09164a8756db0b41db83d61a332cfe4
Description-en: library for reading metadata from media files -- documentation
 MediaInfo is a library used for retrieving technical information and other
 metadata about audio or video files.
 .
 A non-exhaustive list of the information MediaInfo can retrieve from media
 files include:
  - General: title, author, director, album, track number, date, duration...
  - Video: codec, aspect, fps, bitrate...
  - Audio: codec, sample rate, channels, language, bitrate...
  - Text: language of subtitle
  - Chapters: number of chapters, list of chapters
 .
 MediaInfo supports the following formats:
  - Video: MKV, OGM, AVI, DivX, WMV, QuickTime, Real, MPEG-1, MPEG-2,
           MPEG-4, DVD (VOB)...
  - Video Codecs: DivX, XviD, MSMPEG4, ASP, H.264, AVC...)
  - Audio: OGG, MP3, WAV, RA, AC3, DTS, AAC, M4A, AU, AIFF...
  - Subtitles: SRT, SSA, ASS, SAMI...
 .
 This package contains the Doxygen generated API reference for developing
 applications/libraries using this library.

Package: libmediainfo0v5
Description-md5: 0d9ada95eeeb12fd1b1f4680f75efd55
Description-en: library for reading metadata from media files -- shared library
 MediaInfo is a library used for retrieving technical information and other
 metadata about audio or video files.
 .
 A non-exhaustive list of the information MediaInfo can retrieve from media
 files include:
  - General: title, author, director, album, track number, date, duration...
  - Video: codec, aspect, fps, bitrate...
  - Audio: codec, sample rate, channels, language, bitrate...
  - Text: language of subtitle
  - Chapters: number of chapters, list of chapters
 .
 MediaInfo supports the following formats:
  - Video: MKV, OGM, AVI, DivX, WMV, QuickTime, Real, MPEG-1, MPEG-2,
           MPEG-4, DVD (VOB)...
  - Video Codecs: DivX, XviD, MSMPEG4, ASP, H.264, AVC...)
  - Audio: OGG, MP3, WAV, RA, AC3, DTS, AAC, M4A, AU, AIFF...
  - Subtitles: SRT, SSA, ASS, SAMI...
 .
 This package contains the shared library needed for running applications which
 use this library.

Package: libmedialibrary-dev
Description-md5: 80b73cc8238c02cd773f095a88fe0810
Description-en: library for managing media files in a media library (development files)
 Medialibrary provides tools for media applications to manage their media
 libraries. It supports media discovery, metadata handling, and a database
 backend. The latter provides ways to easily search and browse the media
 library.
 .
 This package contains the development files for medialibrary.

Package: libmedialibrary0
Description-md5: 69cb6a71455a20746399ee1d22b00732
Description-en: library for managing media files in a media library (shared library)
 Medialibrary provides tools for media applications to manage their media
 libraries. It supports media discovery, metadata handling, and a database
 backend. The latter provides ways to easily search and browse the media
 library.
 .
 This package contains the shared library.

Package: libmediastreamer-base10
Description-md5: 6950a75e17c619fb9e02b5860d73831d
Description-en: Voice and video streaming engine for telephony (base)
 Mediastreamer2 is a powerful and lightweight streaming engine
 specially designed for voice/video telephony applications.
 .
 This open source library is responsible for all receiving and
 sending of multimedia streams in Linphone, including voice/video
 capture, encoding, decoding, and rendering.
 .
 This package contains the base library.

Package: libmediastreamer-dev
Description-md5: 70a6dd6f4bb604660ad7eb871f205f9f
Description-en: Development files for the mediastreamer2 library
 Mediastreamer2 is a powerful and lightweight streaming engine
 specially designed for voice/video telephony applications.
 .
 This open source library is responsible for all receiving and
 sending of multimedia streams in Linphone, including voice/video
 capture, encoding, decoding, and rendering.
 .
 This package contains the development files for the development library.

Package: libmediastreamer-voip10
Description-md5: d58e075b048717874af48daaede2758f
Description-en: Voice and video streaming engine for telephony (voip)
 Mediastreamer2 is a powerful and lightweight streaming engine
 specially designed for voice/video telephony applications.
 .
 This open source library is responsible for all receiving and
 sending of multimedia streams in Linphone, including voice/video
 capture, encoding, decoding, and rendering.
 .
 This package contains the voip library.

Package: libmediawiki-api-perl
Description-md5: 3753bd8e38dd0f59c42dc0ff2e67caa8
Description-en: Perl interface to the MediaWiki API
 MediaWiki::API is a Perl module that provides an interface to the MediaWiki
 API (see <URL:http://www.mediawiki.org/wiki/API>), which allows the creation
 of scripts to automate editing and extraction of data from MediaWiki-driven
 sites like Wikipedia.

Package: libmediawiki-bot-perl
Description-md5: 21dac13b6e4fe9c4b73f5fd781b8d74c
Description-en: high-level bot framework for interacting with MediaWiki wikis
 MediaWiki::Bot is a framework that can be used to write bots which interface
 with the MediaWiki API (http://en.wikipedia.org/w/api.php).
 .
 Actions the framework supports include:
 .
  * logging in/out of a MediaWiki wiki
  * editing a wiki page
  * moving a wiki page
  * retrieving the edit history of a wiki page
  * listing all pages linking to a wiki page
  * downloading an image file from a wiki
  * searching for text across all wiki pages

Package: libmediawiki-dumpfile-perl
Description-md5: 6288e73f2b4754f73d0f7d836c972b46
Description-en: Perl module to parse MediaWiki dump files
 MediaWiki::DumpFile is used to parse various dump files from a MediaWiki
 instance. The most likely use-case is that you want to parse content at
 http://download.wikimedia.org/backup-index.html provided by WikiMedia,
 which includes the English and all other language Wikipedias.
 .
 This module could also be considered Parse::MediaWikiDump version 2. It
 has been created as a separate distribution to improve the API without
 breaking existing code that is using Parse::MediaWikiDump.

Package: libmedimport-dev
Description-md5: b52f0c78ed2124ddf544e253cf42161e
Description-en: Development files for libmedimport0
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.
 .
 This package contains the header files and static library needed to
 compile applications that use libmedimport, including C++ applications.

Package: libmedimport0v5
Description-md5: 182d0b9e5ac0a9842a525879342fe142
Description-en: Library to import old version files
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.
 .
 This package contains the library able to import old version files (C
 version).

Package: libmedley-clojure
Description-md5: 7dcc12d0eef5dfbfaa4c0a2cd6c4e9f1
Description-en: Clojure/ClojureScript utility library
 Medley is a lightweight Clojure/ClojureScript library of useful,
 mostly pure functions that are "missing" from clojure.core.
 Medley has a tight focus and limits itself to a small set of general-purpose
 functions.

Package: libmeep-dev
Description-md5: dedac53a17bfec0a01c3a5f2a3afeec9
Description-en: development library for using meep
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains some files for developing software.

Package: libmeep-mpi-default-dev
Description-md5: 5424e00051beae09dfe0d942e73c64ff
Description-en: development library for using parallel (OpenMPI) version of meep
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains some files for developing software linked to MPICH2.

Package: libmeep-mpi-default17
Description-md5: 822938469907ed691adccc959d2664d5
Description-en: library for using parallel (OpenMPI) version of meep
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the MPICH2 version of the library.

Package: libmeep-openmpi-dev
Description-md5: 7ba25b02191ed98cd2dee2ae035ce80f
Description-en: development library for using parallel (OpenMPI) version of meep
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains some files for developing software linked to MPI.

Package: libmeep-openmpi17
Description-md5: c87c250e03bce8dd56ec92d62424af95
Description-en: library for using parallel (OpenMPI) version of meep
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the MPI version of the library.

Package: libmeep17
Description-md5: cdb0c5171d5203cc457d88e8ce3274bb
Description-en: library for using meep
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the library.

Package: libmemcached-libmemcached-perl
Description-md5: 379264443df279bb58fb67608d8b1ad2
Description-en: thin, fast, full interface to the libmemcached client API
 Memcached::libmemcached is a very thin, highly efficient, wrapper around the
 libmemcached library. It's implemented almost entirely in C.
 .
 It gives full access to the rich functionality offered by libmemcached.
 libmemcached is fast, light on memory usage, thread safe, and provide full
 access to server side methods.
 .
  - Synchronous and Asynchronous support.
 .
  - TCP and Unix Socket protocols.
 .
  - A half dozen or so different hash algorithms.
 .
  - Implementations of the new cas, replace, and append operators.
 .
  - Man pages written up on entire API.
 .
  - Implements both modulo and consistent hashing solutions.

Package: libmemkind-dev
Description-md5: 4dce06527fb5e942581a126dcf9d3766
Description-en: user-extensible heap manager for heterogeneous memory platforms
 The memkind library is a user extensible heap manager built on top of
 jemalloc which enables control of memory characteristics and a partitioning
 of the heap between kinds of memory.  While arbitrary user control is
 possible, built-in characteristics include NUMA and page size.
 .
 This package contains the development files for libmemkind and related
 libraries.

Package: libmemkind-progs
Description-md5: 0ccda07d8887503b418366406db19f6a
Description-en: user-extensible heap manager for heterogeneous memory platforms
 The memkind library is a user extensible heap manager built on top of
 jemalloc which enables control of memory characteristics and a partitioning
 of the heap between kinds of memory.  While arbitrary user control is
 possible, built-in characteristics include NUMA and page size.
 .
 This package contains support programs that use libmemkind.

Package: libmemkind0
Description-md5: ee4ae8e10d5f73748fafb84663b58044
Description-en: user-extensible heap manager for heterogeneous memory platforms
 The memkind library is a user extensible heap manager built on top of
 jemalloc which enables control of memory characteristics and a partitioning
 of the heap between kinds of memory.  While arbitrary user control is
 possible, built-in characteristics include NUMA and page size.
 .
 This package contains the runtime library.

Package: libmemoize-expirelru-perl
Description-md5: 362b3f35fc4ae7b5a06f9d76fde2ddbf
Description-en: Expiry plug-in for Memoize that adds LRU cache expiration
 Memoize::ExpireLRU implements an expiry policy for Memoize that follows LRU
 semantics, that is, the last n results, where n is specified as the argument
 to the CACHESIZE parameter, will be cached.
 .
 For the theory of Memoization, please see the Memoize module documentation.

Package: libmemoize-memcached-perl
Description-md5: 5fb94d848a28914a27b84a2f8adc7fbd
Description-en: implementation of Memoize using memcached for storage
 Memoize::Memcached is a Perl module that implements an interface similar to
 the Memoize module available in Perl core. It is designed to store results
 using one or more memcached servers.
 .
 For the theory of Memoization, please see the Memoize module documentation.

Package: libmemory-usage-perl
Description-md5: 42abea55c4c4e24c5805f127942a56d4
Description-en: Determine actual memory usage of Perl programs
 Memory::Usage measures, from the operating system's perspective,
 how much memory a Perl program is using at any given time.
 .
 It can record memory usage at specific times, and report about
 it afterwards.

Package: libmems-dev
Description-md5: d69753138606636bd9743d8705e86fd7
Description-en: development library to support DNA string matching and comparative genomics
 libMems is a freely available software development library to support DNA
 string matching and comparative genomics. Among other things, libMems
 implements an algorithm to perform approximate multi-MUM and multi-MEM
 identification. The algorithm uses spaced seed patterns in conjunction
 with a seed-and-extend style hashing method to identify matches. The method
 is efficient, requiring a maximum of only 16 bytes per base of the largest
 input sequence, and this data can be stored externally (i.e. on disk) to
 further reduce memory requirements.
 .
 This is the development package containing the statically linked
 library and the header files.

Package: libmems1
Description-md5: c60c05b4ca212f4f7c319570e75ba1d3
Description-en: library to support DNA string matching and comparative genomics
 libMems is a freely available software development library to support DNA
 string matching and comparative genomics. Among other things, libMems
 implements an algorithm to perform approximate multi-MUM and multi-MEM
 identification. The algorithm uses spaced seed patterns in conjunction
 with a seed-and-extend style hashing method to identify matches. The method
 is efficient, requiring a maximum of only 16 bytes per base of the largest
 input sequence, and this data can be stored externally (i.e. on disk) to
 further reduce memory requirements.
 .
 This package contains the dynamic library.

Package: libmemtailor-dev
Description-md5: faf6393357ed0432450318ed728e6c65
Description-en: C++ library of special purpose memory allocators (developer tools)
 Memtailor is a C++ library of special purpose memory allocators. It currently
 offers an arena allocator and a memory pool.
 .
 This package contains the developer tools.

Package: libmemtailor0
Description-md5: 9a6736b1608b67887a364e9298fc3c59
Description-en: C++ library of special purpose memory allocators (shared library)
 Memtailor is a C++ library of special purpose memory allocators. It currently
 offers an arena allocator and a memory pool.
 .
 This package contains the shared library.

Package: libmenhir-ocaml-dev
Description-md5: 477c47ea99fa9e825953cf0002c2609d
Description-en: Menhir library for OCaml
 Menhir is a LR(1) parser generator for the OCaml programming language.
 It is mostly compatible with the ocamlyacc parser generator provided with
 OCaml, and has a number of enhancements over ocamlyacc.
 .
 This package contains the Menhir library for the OCaml programming language.
 It gives access to, among others, the following modules:
  * InfiniteArray: implements infinite arrays, that is, arrays
    that grow transparently upon demand.
  * RowDisplacement: compresses a two-dimensional table, where some
    values are considered insignificant, via row displacement.
  * Engine: LR parsing engine.

Package: libmenlo-legacy-perl
Description-md5: 2de7f94d6d8cfb4a34a132b0f7a6bccd
Description-en: legacy internal and client support for Menlo
 Menlo::Legacy is a package to install Menlo::CLI::Compat which is a
 compatibility library that implements the classic version of cpanminus
 internals and behaviors. This is so that existing users of cpanm and API
 clients such as Carton, Carmel and App::cpm can rely on the stable features
 and specific behaviors of cpanm.

Package: libmenlo-perl
Description-md5: 426f4f325e9f873310ab7ca14f651ec0
Description-en: CPAN client backend
 Menlo is a backend for cpanm 2.0, developed with the goal to replace cpanm
 internals with a set of modules that are more flexible, extensible and easier
 to use.

Package: libmenu-cache-bin
Description-md5: 725d65d225e430ba8faeb2a194b5ea8f
Description-en: LXDE implementation of the freedesktop Menu's cache (libexec)
 Libmenu-cache is a library creating and utilizing caches to speed up
 the access to freedesktop.org defined application menus.
 .
 This package contains the binaries required to use libmenu-cache library.

Package: libmenu-cache-dev
Description-md5: 9176db60f0bc3d4cc5dea61f3800257e
Description-en: LXDE implementation of the freedesktop Menu's cache (devel)
 Libmenu-cache is a library creating and utilizing caches to speed up
 the access to freedesktop.org defined application menus.
 .
 This package contains the development files.

Package: libmenu-cache-doc
Description-md5: c863cdc802b3b4239d7d47d2183fe47e
Description-en: LXDE implementation of the freedesktop Menu's cache (docs)
 Libmenu-cache is a library creating and utilizing caches to speed up
 the access to freedesktop.org defined application menus.
 .
 This package contains the development documentation.

Package: libmenu-cache3
Description-md5: 339ffca81b7cd3e81a0fd029f499996a
Description-en: LXDE implementation of the freedesktop Menu's cache
 Libmenu-cache is a library creating and utilizing caches to speed up
 the access to freedesktop.org defined application menus.
 .
 It can be used as a replacement of libgnome-menu of gnome-menus:
 .
  * Shorten time for loading menu entries.
  * Ease of use (API is very similar to that of libgnome-menu).
  * Lightweight runtime library (parsing of the menu definition files
    are done by menu-cache-gen when the menus are really changed).
  * Less unnecessary and complicated file monitoring.
  * Heavily reduced disk I/O.

Package: libmercator-0.3-4
Description-md5: 733e257d30ef8a489f0865da3fc7094c
Description-en: WorldForge terrain library
 Mercator is primarily aimed at terrain for multiplayer online games and
 forms one of the WorldForge core libraries.
 It is intended to be used as a terrain library on the client, while a
 subset of features are useful on the server.
 .
 Mercator is designed in such a way that individual tiles can be
 generated on-the-fly from a very small source data set.  Each tile uses
 a fast deterministic random number generation to ensure that identical
 results are produced "anytime, anywhere".  This enables transmission of
 terrain across low bandwidth links as part of the standard data stream,
 or server side collision detection with the same terrain that the
 player sees.
 .
 The use of tiles means that there is inherently a large degree of gross
 control of the shape of the terrain.  Finer control is implemented by
 allowing geometric modifications - for example, a polygonal area might
 be flattened, or a crater could be applied.

Package: libmercator-0.3-dev
Description-md5: c778f4a0eab06ff0c516705c00ff1b6b
Description-en: WorldForge terrain library - development files
 Mercator is primarily aimed at terrain for multiplayer online games and
 forms one of the WorldForge core libraries.
 It is intended to be used as a terrain library on the client, while a
 subset of features are useful on the server.
 .
 Mercator is designed in such a way that individual tiles can be
 generated on-the-fly from a very small source data set.  Each tile uses
 a fast deterministic random number generation to ensure that identical
 results are produced "anytime, anywhere".  This enables transmission of
 terrain across low bandwidth links as part of the standard data stream,
 or server side collision detection with the same terrain that the
 player sees.
 .
 The use of tiles means that there is inherently a large degree of gross
 control of the shape of the terrain.  Finer control is implemented by
 allowing geometric modifications - for example, a polygonal area might
 be flattened, or a crater could be applied.
 .
 This package contains the files for developing with the mercator library.

Package: libmeschach-dev
Description-md5: 0ebca0d1c27b5eb2f5823cf1eaab080f
Description-en: development files for meschach
 These are files necessary for compiling programs with the
 meschach linear algebra library.

Package: libmeschach1.2
Description-md5: 69dba98206becf30985c64c094368e12
Description-en: library for performing operations on matrices and vectors
 Meschach is a library of routines written in C for matrix
 computations. These include operations for basic numerical linear
 algebra; routines for matrix factorisations; solving systems of
 equations; solving least squares problems; computing eigenvalues,
 eigenvectors and singular values;sparse matrix computations including
 both direct and iterative methods. This package makes use of the
 features of the C programming language: data structures, dynamic
 memory allocation and deallocation, pointers, functions as parameters
 and objects. Meschach has a number of self-contained data structures
 for matrices, vectors and other mathematical objects.
 Web site: ftp://ftpmaths.anu.edu.au/pub/meschach/meschach.html

Package: libmessage-filters-dev
Description-md5: 2f9598402431752c2612322ee62fd27f
Description-en: Development files for Robot OS message-filters
 This package is part of Robot OS (ROS). It contains the development
 files for libmessage-filters, which implements a set of message
 filters which take in messages and may output those messages at a
 later time, based on the conditions that filter needs being met.

Package: libmessage-filters1d
Description-md5: b5d162f206a36c73ad103ac9d49f0453
Description-en: Library for Robot OS message-filters
 This package is part of Robot OS (ROS). It implemtants a set of
 message filters which take in messages and may output those messages
 at a later time, based on the conditions that filter needs met.
 .
 This package contains the library.

Package: libmessage-passing-amqp-perl
Description-md5: 7c750ff66a00b810e294b3eca09c2583
Description-en: input and output message-pass messages via AMQP
 Message::Passing::AMQP is an AMQP adaptor
 for Message::Passing for speaking to AMQP servers,
 for example <RabbitMQ http://www.rabbitmq.com/> or QPID.
 .
 The Advanced Message Queuing Protocol (AMQP)
 is an open standard application layer protocol
 for message-oriented middleware.

Package: libmessage-passing-filter-regexp-perl
Description-md5: 734a28bca95c6739f619b7a02da72929
Description-en: regexp capture filter For Message::Passing
 Message::Passing::Filter::Regexp is a Message::Passing filter which
 passes all incoming messages through regexp captures.
 .
 Note it must be applied after Message::Passing::Filter::ToLogstash
 because it won't process JSON data but directly captures
 "$message-"{'@message'}> data lines into "%{ $message-"{'@fields'} }>.

Package: libmessage-passing-perl
Description-md5: 1bc8e41b64761e73ec88ab508d64241b
Description-en: simple way of doing messaging
 Message::Passing is a library for building high performance, loosely
 coupled and reliable/reseliant applications, structured as small
 services which communicate over the network by passing messages.

Package: libmessage-passing-zeromq-perl
Description-md5: 6c3d6a8b97c6321fe8a6d5c74e417e68
Description-en: input and output messages to ZeroMQ
 Message::Passing::ZeroMQ is a ZeroMQ transport for Message::Passing.
 .
 Designed for use as a log transport and aggregation mechanism for perl
 applications, allowing you to aggregate structured and non-structured
 log messages across the network in a non-blocking manner.
 .
 Clients (i.e. users of the Message::Passing::Output::ZeroMQ class)
 connect to a server (i.e. a user of the Message::Passing::Input::ZeroMQ
 class) via ZeroMQ's pub/sub sockets. These are setup to be lossy and
 non-blocking, meaning that if the log-receiver process is down or slow,
 then the application will queue a small (and configurable) amount of
 logs on its side, and after that log messages will be dropped.
 .
 Whilst throwing away log messages isn't a good thing to do, or
 something that you want to happen regularly, in many (especially web
 application) contexts, network logging being a single point of failure
 is not acceptable from a reliability and graceful degradation
 standpoint.
 .
 The application grinding to a halt as a non-essential centralised
 resource is unavailable (e.g. the log aggregation server) is
 significantly less acceptable than the loss of non-essential logging
 data.

Package: libmessagingmenu-cil-dev
Description-md5: 0a342b3549d57499586afb15ca62e6f1
Description-en: CLI binding for the MessagingMenu library - development files
 This package provides the messagingmenu-sharp assembly that allows CLI (.NET)
 programs to use the MessagingMenu library provided by
 ayatana-indicator-messages.
 .
 This package contains development files for the messagingmenu-sharp library,
 and should be used for compilation.

Package: libmessagingmenu12.10-cil
Description-md5: 8eda6e914ceec183c578eee5ff6d6cf1
Description-en: CLI binding for the MessagingMenu library
 This package provides the messagingmenu-sharp assembly that allows CLI (.NET)
 programs to use the MessagingMenu library provided by
 ayatana-indicator-messages.

Package: libmeta-builder-perl
Description-md5: e6a5397d4bcdd289b5a5d6cb9da9d0f2
Description-en: tool for creating Meta objects to track custom metrics
 Meta programming is becoming more and more popular. The popularity of Meta
 programming comes from the fact that many problems are made significantly
 easier. There are a few specialized Meta tools out there, for instance
 Class:MOP which is used by Moose to track class metadata.
 .
 Meta::Builder is designed to be a generic tool for writing Meta objects.
 Unlike specialized tools, Meta::Builder makes no assumptions about what
 metrics you will care about. Meta::Builder also mkaes it simple for others to
 extend your meta-object based tools by providing hooks for other packages to
 add metrics to your meta object.
 .
 If a specialized Meta object tool is available to meet your needs please use
 it. However if you need a simple Meta object to track a couple metrics, use
 Meta::Builder.

Package: libmetabase-fact-perl
Description-md5: fc8a9896c4a0cdbe7a9f2dcc2c13ce02
Description-en: base class for Metabase Facts
 Metabase is a system for associating metadata with CPAN
 distributions. The metabase can be used to store test reports, reviews,
 coverage analysis reports, reports on static analysis of coding style, or
 anything else for which datatypes are constructed.
 .
 Metabase::Fact is a base class for Facts (really opinions or analyses) that
 can be sent to or retrieved from a Metabase repository.
 .
 Metabase::Report is a base class for collections of Metabase::Fact objects
 that can be sent to or retrieved from a Metabase system.

Package: libmetacity-dev
Description-md5: 73dfc7ddc60f3c7fc625adfe06749201
Description-en: development files for the Metacity window manager
 Metacity is a small window manager, using GTK+ to do everything.
 .
 As the author says, metacity is a "Boring window manager for the adult in
 you. Many window managers are like Marshmallow Froot Loops; Metacity is
 like Cheerios."
 .
 This package contains the development files.

Package: libmetacity1
Description-md5: ee7c90f337314f26935c451de494dba0
Description-en: library for the Metacity window manager
 Metacity is a small window manager, using GTK+ to do everything.
 .
 As the author says, metacity is a "Boring window manager for the adult in
 you. Many window managers are like Marshmallow Froot Loops; Metacity is
 like Cheerios."
 .
 This package contains the shared library.

Package: libmetacpan-client-perl
Description-md5: 4975b3b7e4ab0df4e4fe7a14ab34007a
Description-en: MetaCPAN API client
 MetaCPAN::Client is a hopefully-complete API-compliant client to MetaCPAN
 (https://metacpan.org) with DWIM capabilities, to make your life easier.
 .
 This module has three purposes:
 .
  * Provide 100% of the MetaCPAN API
  * Be lightweight, to allow flexible usage
  * DWIM

Package: libmetadata-extractor-java
Description-md5: 2d715b7fe2f3b1a84b2c8be5b3ec5f89
Description-en: JPEG metadata extraction framework
 Java based metadata extraction library for JPEG images
 with support for Exif and Iptc metadata segments, including
 manufacturer specific metadata of several digital camera models.

Package: libmetainf-services-java
Description-md5: 95f22d783f8a44fa750f726637afc616
Description-en: META-INF/services generator
 This tiny library is an annotation processor that automatically generates
 META-INF/services/* file from annotations that you placed on your source
 code, thereby eliminating the need for you to do it by yourself.

Package: libmetainf-services-java-doc
Description-md5: 44261d83d0596120290a8346d112e8f1
Description-en: Documentation for META-INF/services generator
 This tiny library is an annotation processor that automatically generates
 META-INF/services/* file from annotations that you placed on your source
 code, thereby eliminating the need for you to do it by yourself.
 .
 This package provides the API documentation for libmetainf-services-java.

Package: libmethod-alias-perl
Description-md5: 8958c19cdc2efae927960bbd0cb65be6
Description-en: module to create method aliases
 The traditional way to create a method alias (provide an alternate
 name for a method) is simply a GLOB alias.  While this works fine for
 functions, it does not work for methods overloaded by a subclass.
 .
 Instead, Method::Alias creates a number of methods in the caller's
 package to call the real method. It is designed to be used as a pragma,
 to which you provide a set of pairs of method names.

Package: libmethod-autoload-perl
Description-md5: 23cb1b1f372cc45492a9066744a0bf0e
Description-en: autoloads methods from a list of packages into the current package
 The Method::Autoload base class package is used to autoload methods
 from a list of packages where you may not know what methods are
 available until run time.  A good use of this package is programming
 support for user contributed packages or user contributed plugins.

Package: libmethod-signatures-perl
Description-md5: 4e7365eb54dc9096b107c62eb4e8bf36
Description-en: method and function declarations with signatures and no source filter
 Method::Signatures provides two new keywords, func and method, which
 may be used to write subroutines with signatures, very similar to
 perl6 signatures.
 .
 It also does type checking, understanding all the types that Moose
 (or Mouse) would understand.

Package: libmethod-signatures-simple-perl
Description-md5: 346511f6edf0c614647c9acc26865cea
Description-en: module for basic method declarations with signatures
 Method::Signatures::Simple is a Perl module that enables developers to make
 basic method declarations, optionally with a signature as well. It provides a
 small amount of syntactic sugar and is intended to be a stepping stone to the
 more feature-complete Method::Signatures (see libmethod-signatures-perl) and
 MooseX::Method::Signatures (see libmoosex-method-signatures-perl) modules.

Package: libmetrics-clojure
Description-md5: 79bcc98430caabc53c6042e27b593df1
Description-en: Clojure wrapper for Coda Hale's metrics library
 This package contains the following Clojure libraries
  * metrics-clojure: A Clojure façade for Coda Hale's metrics library
  * metrics-clojure-ganglia: Ganglia reporter integration for metrics-clojure
  * metrics-clojure-health: Gluing together metrics-clojure and healthchecks
  * metrics-clojure-jvm: Gluing together metrics-clojure and JVM
    instrumentation
  * metrics-clojure-ring: Integration of metrics-clojure with Ring

Package: libmetro-policy-java
Description-md5: 2f73950b6619a394db883dafcd74825f
Description-en: WS-Policy implementation in Java
 Metro Policy is the WS-Policy implementation for the Metro Web Services
 stack.
 .
 WS-Policy is a specification that allows web services to use XML
 to advertise their policies (on security, quality of service, etc.)
 and for web service consumers to specify their policy requirements.

Package: libmetrohash-dev
Description-md5: a55a21e78689aa0c8264bccf61a93bee
Description-en: hash functions for non-cryptographic use cases (headers)
 Set of state-of-the-art hash functions for non-cryptographic use cases. They
 are notable for being algorithmically generated in addition to their
 exceptional performance. The set of published hash functions may be expanded
 in the future, having been selected from a very large set of hash functions
 that have been constructed this way.
 .
 This package provides library headers.

Package: libmetrohash1
Description-md5: 8b45383ebdb75731de00f0cefeb1376b
Description-en: hash functions for non-cryptographic use cases
 Set of state-of-the-art hash functions for non-cryptographic use cases. They
 are notable for being algorithmically generated in addition to their
 exceptional performance. The set of published hash functions may be expanded
 in the future, having been selected from a very large set of hash functions
 that have been constructed this way.

Package: libmetview-dev
Description-md5: aab56829c1a4f7ec880dc0283fb8b3eb
Description-en: Development files for MetView
 Metview has been designed as a flexible, modular and extendible system
 able to accommodate the evolving needs of the user.
 The system is based on the ECMWF standards for graphics (Magics) and
 data access (MARS) but can also access locally stored data.
 The user interface is based on Motif and Qt. Metview is a
 fully distributed system where modules can run on different workstations
 and servers.
 .
 This package provides static libraries and include files for building
 Metview-based software.

Package: libmetview0d
Description-md5: 538ea032789f4cb1b8c6534dbc24d0df
Description-en: Shared libraries  for MetView
 Metview has been designed as a flexible, modular and extendible system
 able to accommodate the evolving needs of the user.
 The system is based on the ECMWF standards for graphics (Magics) and
 data access (MARS) but can also access locally stored data.
 The user interface is based on Motif and Qt. Metview is a
 fully distributed system where modules can run on different workstations
 and servers.
 .
 This package provides shared libraries and  for  Metview-based software.

Package: libmfx-dev
Description-md5: 702176fd78633300296bae38c7a2df2a
Description-en: Intel Media SDK -- development files
 Intel® Media SDK provides an API to access hardware-accelerated video decode,
 encode and filtering on Intel® platforms with integrated graphics.
 .
 Supported video encoders: HEVC, AVC, MPEG-2, JPEG
 Supported Video decoders: HEVC, AVC, VP8, MPEG-2, VC1, JPEG
 Supported video pre-processing filters: Color Conversion, Deinterlace, Denoise,
 Resize, Rotate, Composition
 .
 This package contains files needed for development.

Package: libmfx-tools
Description-md5: 77df6c7177c65d4a045b44395b6c2c06
Description-en: Intel Media SDK -- tools
 Intel® Media SDK provides an API to access hardware-accelerated video decode,
 encode and filtering on Intel® platforms with integrated graphics.
 .
 Supported video encoders: HEVC, AVC, MPEG-2, JPEG
 Supported Video decoders: HEVC, AVC, VP8, MPEG-2, VC1, JPEG
 Supported video pre-processing filters: Color Conversion, Deinterlace, Denoise,
 Resize, Rotate, Composition
 .
 This package contains tools (asg-hevc and hevc_fei_extractor) and a test to
 check the functionality.

Package: libmfx1
Description-md5: 464136395447a9703a4fd31233e63687
Description-en: Intel Media SDK -- shared library
 Intel® Media SDK provides an API to access hardware-accelerated video decode,
 encode and filtering on Intel® platforms with integrated graphics.
 .
 Supported video encoders: HEVC, AVC, MPEG-2, JPEG
 Supported Video decoders: HEVC, AVC, VP8, MPEG-2, VC1, JPEG
 Supported video pre-processing filters: Color Conversion, Deinterlace, Denoise,
 Resize, Rotate, Composition
 .
 This package contains the shared library.

Package: libmgba
Description-md5: 5ad27beebff5c20e643d23f8d5a547c8
Description-en: Game Boy Advance emulator (common library for mGBA)
 mGBA is a new emulator for running Game Boy Advance games. It aims to be faster
 and more accurate than many existing Game Boy Advance emulators, as well as
 adding features that other emulators lack.
 .
 This package provides the common library for mGBA.
 .
 Game Boy Advance is a registered trademark of Nintendo of America Inc. mGBA is
 not affiliated with or endorsed by any of the companies mentioned.

Package: libmgl-data
Description-md5: 72a1e314d7edd0e8da326b2891f7a2c6
Description-en: library for scientific graphs (data files)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the MathGL data files.

Package: libmgl-dev
Description-md5: 441e5bcf090e9cc82c7c471500af5e51
Description-en: library for scientific graphs (development files)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the development files.

Package: libmgl-fltk7.5.0
Description-md5: e266cf0dd887b9170b6126ba6b9f77c6
Description-en: library for scientific graphs (fltk interface for windows)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the fltk interface shared object files for window
 opening.

Package: libmgl-glut7.5.0
Description-md5: bc00345a6654105a9c2bc4b3630451c6
Description-en: library for scientific graphs (glut interface for windows)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the glut interface shared object files for window
 opening.

Package: libmgl-mpi7.5.0
Description-md5: 2d3d49746caedfdbced3dc37fdf8f29e
Description-en: library for scientific graphs (mpi enhanced runtime library)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the, parallel interface enhanced, shared object
 files.

Package: libmgl-qt5-7.5.0
Description-md5: 65674fc1ba0d029dd5fccd30999c71b8
Description-en: library for scientific graphs (Qt interface for windows)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the Qt interface shared object files for window
 opening.

Package: libmgl-wnd7.5.0
Description-md5: ae1ba382faceb7364c853fce1dda06e4
Description-en: library for scientific graphs (windows runtime library)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the window opening related shared object files based
 on Fltk, Qt and Wx.

Package: libmgl-wx7.5.0
Description-md5: 756ea267aac5d394736c05f89a837fad
Description-en: library for scientific graphs (wx interface for windows)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the wx interface shared object files for window
 opening.

Package: libmgl7.5.0
Description-md5: 5b29b5827601f6236045854d314cfdae
Description-en: library for scientific graphs (main runtime library)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the shared object files.

Package: libmia-2.4-4
Description-md5: 1c01274852920737b2c1e078f7c40a6f
Description-en: library for 2D and 3D gray scale image processing
 libmia comprises a set of libraries and plug-ins for general purpose
 2D and 3D gray scale image processing and basic handling of triangular
 meshes. The libraries provide a basic infrastructure and  generic
 algorithms, that can be specialized by specifying the appropriate plug-ins.

Package: libmia-2.4-dev
Description-md5: bb521e97149b4aeedba27558e3984ed4
Description-en: library for 2D and 3D gray scale image processing, development files
 libmia comprises a set of libraries and plug-ins for general purpose
 2D and 3D gray scale image processing and basic handling of triangular
 meshes. The libraries provide a basic infrastructure and  generic
 algorithms, that can be specialized by specifying the appropriate plug-ins.
 This package provides the development files for the library.

Package: libmia-2.4-doc
Description-md5: e40d97a996009c44675411a8605dc5b8
Description-en: library for 2D and 3D gray scale image processing, documentation
 libmia comprises a set of libraries and plug-ins for general purpose
 2D and 3D gray scale image processing and basic handling of triangular
 meshes. The libraries provide a basic infrastructure and  generic
 algorithms, that can be specialized by specifying the appropriate plug-ins.
 This package provides the Doxygen generated API reference.

Package: libmialm-dev
Description-md5: 4c34c6fccb569f445b0f518a0dc930eb
Description-en: Development files for the MIA landmark library
 This library implements handling for landmarks and 3D view positioning
 for optimal landmark visibility, and in-and output of these landmarks.
 This library is part of the MIA tool chain for medical image analysis.
 This package contains the development files - headers, shared libraries,
 and pkg-config files.

Package: libmialm-doc
Description-md5: 901a8c5b35e7f36483d39223da7c1514
Description-en: Documentation for the MIA landmark library
 This library implements handling for landmarks and 3D view positioning
 for optimal landmark visibility, and in-and output of these landmarks.
 This library is part of the MIA tool chain for medical image analysis.
 This package contains the library documentation.

Package: libmialm3
Description-md5: f5423b5188f970f23d008c70addf6bc5
Description-en: Landmark handling for the MIA tool chain
 This library implements handling for landmarks and 3D view positioning
 for optimal landmark visibility, and in-and output of these landmarks.
 This library is part of the MIA tool chain for medical image analysis.

Package: libmiaviewit-dev
Description-md5: 508c42c64facd2528afdd8bc6da36000
Description-en: development files for the 3D visualization library
 The MIA addon library for simple 3D visualizations provides an interface
 for the visualization of 3D data generated by using mia-tools
 These are the development files.

Package: libmiaviewit0
Description-md5: 5ae43ca848d83c001d4ef1cb6a75c999
Description-en: MIA addon library for 3D visualization
 The MIA addon library for 3D visualizations provides the backend
 for the visualization of 3D data generated by using mia-tools.
 This inclused the visualization of surfaces, vector fields and
 deformations of surfaces.

Package: libmicroba-java
Description-md5: cd5bd2f95a550527fad90b538d370f16
Description-en: set of JFC (Swing) components
 Microba is a set of finely crafted & feature rich JFC (Swing) components.
 Keywords: jfc, swing, java, date picker, datepicker, date-picker, calendar,
 gradient editor, marker, slider, palette editor

Package: libmicroba-java-doc
Description-md5: 9cc99d5a647870c51068b137865d5160
Description-en: Documentation for libmicroba-java
 Microba is a set of finely crafted & feature rich JFC (Swing) components.
 Keywords: jfc, swing, java, date picker, datepicker, date-picker, calendar,
 gradient editor, marker, slider, palette editor
 .
 This package contains the documentation.

Package: libmicrohttpd-dev
Description-md5: 6b3cdefbc2dd69a7a37417617c2c0dbf
Description-en: library embedding HTTP server functionality (development)
 GNU libmicrohttpd is a small C library that is supposed to make it easy to run
 an HTTP server as part of another application. Key features that distinguish
 GNU Libmicrohttpd from other projects are:
  * C library: fast and small
  * API is simple, expressive and fully reentrant
  * Implementation is HTTP 1.1 compliant
  * HTTP server can listen on multiple ports
  * Four different threading models (select, poll, pthread, thread pool)
  * Support for IPv6
  * Support for SHOUTcast
  * Support for incremental processing of POST data (optional)
  * Support for basic and digest authentication (optional)
  * Support for SSL3 and TLS
 .
 This package contains the development files.

Package: libmicrohttpd12
Description-md5: 819e00491fd6d04994a45d91e1e50b90
Description-en: library embedding HTTP server functionality
 GNU libmicrohttpd is a small C library that is supposed to make it easy to run
 an HTTP server as part of another application. Key features that distinguish
 GNU Libmicrohttpd from other projects are:
  * C library: fast and small
  * API is simple, expressive and fully reentrant
  * Implementation is HTTP 1.1 compliant
  * HTTP server can listen on multiple ports
  * Four different threading models (select, poll, pthread, thread pool)
  * Support for IPv6
  * Support for SHOUTcast
  * Support for incremental processing of POST data (optional)
  * Support for basic and digest authentication (optional)
  * Support for SSL3 and TLS

Package: libmidi-perl
Description-md5: 68b887cc4a8661f85f45b0db18c80866
Description-en: module to read, compose, modify, and write MIDI files in Perl
 This suite of Perl modules provides routines for reading, composing,
 modifying, and writing MIDI files.

Package: libmigemo-dev
Description-md5: 331bc9292238aec2b7599692111b2028
Description-en: Japanese incremental search tool written in C - development binaries
 Migemo is a tool that supports Japanese incremental search with Romaji.
 Originally migemo is written in Ruby. C/Migemo provides search tool and
 library written in C.
 .
 This package provides static library, header file.

Package: libmigemo1
Description-md5: efbf037d1387fc225f6af6885818e383
Description-en: Japanese incremental search tool written in C - library
 Migemo is a tool that supports Japanese incremental search with Romaji.
 Originally migemo is written in Ruby. C/Migemo provides search tool and
 library written in C.
 .
 This package provides shared library.

Package: libmiglayout-java
Description-md5: 2b6f1c0de04f183efc795a7fbebc7d3c
Description-en: Java Layout Manager
 MiGLayout is a superbly versatile SWT/Swing layout manager that makes
 layout problems trivial. It is using String or API type-checked
 constraints to format the layout. MiGLayout can produce flowing, grid
 based, absolute (with links), grouped and docking layouts. MiGLayout
 is created to be to manually coded layouts what Matisse/GroupLayout
 is to IDE supported visual layouts.

Package: libmigrate-parsetree-ocaml
Description-md5: 3d589c0a41f995068f14cbf2d782d764
Description-en: Convert OCaml parsetrees between different major versions (Runtime library)
 This library converts between parsetrees of different OCaml versions.
 For each version, there is a snapshot of the parsetree and conversion
 functions to the next and/or previous version.
 .
 This package contains the runtime library.

Package: libmigrate-parsetree-ocaml-dev
Description-md5: bc0eaabf8aae4430cd89045084d99e43
Description-en: Convert OCaml parsetrees between different major versions (Development package)
 This library converts between parsetrees of different OCaml versions.
 For each version, there is a snapshot of the parsetree and conversion
 functions to the next and/or previous version.
 .
 This package contains the development library.

Package: libmigrate-parsetree-ocaml-doc
Description-md5: 7f14166c0fdc11f3b1547fd8fd8951c0
Description-en: Documentation for ocaml-migrate-parsetree
 This library converts between parsetrees of different OCaml versions.
 For each version, there is a snapshot of the parsetree and conversion
 functions to the next and/or previous version.
 .
 This package contains documentation for ocaml-migrate-parsetree in html
 format.

Package: libmikmatch-ocaml
Description-md5: a32d5b1fe546b478cf9f9c1592f2bd8a
Description-en: camlp4 extension for pattern matching with regexps - runtime
 Mikmatch provides enhanced pattern matching with regexps for OCaml.
 .
 The goal of Mikmatch is to make text-oriented programs even easier to write,
 read and run without losing the unique and powerful features of OCaml.
 Mikmatch provides a concise and highly readable syntax for regular
 expressions, and integrates it into the syntax of OCaml thanks to Camlp4.
 .
 The implementation of Mikmatch consists essentially of:
  * a library which is loaded by the OCaml preprocessor (Camlp4) and
    defines sophisticated "macros", i.e. the modified syntax;
  * a traditional library (runtime) which is required by the programs that
    use the Mikmatch syntax;
  * a dedicated 'mikmatch' command which can be used as a replacement for
    'ocaml' in scripts or as an interactive toplevel. It performs automatically
    these steps: preprocessing, compilation and execution.
 .
 This package contains the shared runtime libraries.

Package: libmikmatch-ocaml-dev
Description-md5: 9385f36ec0057582f7ba08af2698d244
Description-en: camlp4 extension for pattern matching with regexps - development
 Mikmatch provides enhanced pattern matching with regexps for OCaml.
 .
 The goal of Mikmatch is to make text-oriented programs even easier to write,
 read and run without losing the unique and powerful features of OCaml.
 Mikmatch provides a concise and highly readable syntax for regular
 expressions, and integrates it into the syntax of OCaml thanks to Camlp4.
 .
 The implementation of Mikmatch consists essentially of:
  * a library which is loaded by the OCaml preprocessor (Camlp4) and
    defines sophisticated "macros", i.e. the modified syntax;
  * a traditional library (runtime) which is required by the programs that
    use the Mikmatch syntax;
  * a dedicated 'mikmatch' command which can be used as a replacement for
    'ocaml' in scripts or as an interactive toplevel. It performs automatically
    these steps: preprocessing, compilation and execution.
 .
 This package contains the development files needed for programming
 with the library.

Package: libmikmod-config
Description-md5: 131299ceaac4545cc302544895a8926b
Description-en: Portable sound library - development binaries
 This library is capable of playing samples as well as module files
 and was originally written by Jean-Paul Mikkers (MikMak) for DOS. It
 has subsequently been hacked by many hands and now runs on many Unix
 flavours.
 .
 It supports OSS, ALSA, SDL and PulseAudio outputs, and can also write
 to disk in raw, WAV and AIFF formats.
 .
 Supported file formats include mod, stm, s3m, mtm, xm, and it.
 .
 This package contains libmikmod-config.

Package: libmikmod-dev
Description-md5: 9decf0eef32854cd26522f5a1ae8e9e1
Description-en: Portable sound library - development files
 This library is capable of playing samples as well as module files
 and was originally written by Jean-Paul Mikkers (MikMak) for DOS. It
 has subsequently been hacked by many hands and now runs on many Unix
 flavours.
 .
 It supports OSS, ALSA, SDL and PulseAudio outputs, and can also write
 to disk in raw, WAV and AIFF formats.
 .
 Supported file formats include mod, stm, s3m, mtm, xm, and it.
 .
 This package contains the symlinks, headers, and object files needed
 to compile and link programs which use libmikmod.

Package: libmikmod3
Description-md5: 09d5d4293a767b876db757a8e2def065
Description-en: Portable sound library
 This library is capable of playing samples as well as module files
 and was originally written by Jean-Paul Mikkers (MikMak) for DOS. It
 has subsequently been hacked by many hands and now runs on many Unix
 flavours.
 .
 It supports OSS, ALSA, SDL and PulseAudio outputs, and can also write
 to disk in raw, WAV and AIFF formats.
 .
 Supported file formats include mod, stm, s3m, mtm, xm, and it.

Package: libmilib-java
Description-md5: ddecc4a1117c8082c039b60168afe7e1
Description-en: library for Next Generation Sequencing (NGS) data processing
 A helping Java package adopted by a range of Open Source tools for the
 analysis of B and T cell repertoires.

Package: libmilter-dev
Description-md5: e961a139d1a575ab5b69e686c367e8be
Description-en: Sendmail Mail Filter API (Milter) (development files)
 The Sendmail Mail Filter API (Milter) is designed to allow third-party
 programs access to mail messages as they are being processed in order
 to filter meta-information (headers) and content.
 .
 The Debian Sendmail package is built to support libmilter.
 .
 This is the libmilter static library and include files for development.
 .
 The documentation on building a Milter is in the sendmail-doc package.

Package: libmilter1.0.1
Description-md5: 1ab872167c7f9ea0ae2341dd78f2e74a
Description-en: Sendmail Mail Filter API (Milter)
 The Sendmail Mail Filter API (Milter) is designed to allow third-party
 programs access to mail messages as they are being processed in order
 to filter meta-information(headers) and content.
 .
 The Debian Sendmail package is built to support libmilter.
 .
 This package contains libmilter.so

Package: libmime-base32-perl
Description-md5: 2a82d236fc39b10d2cbbb5e62d33159e
Description-en: Base32 encoder/decoder
 Similar to Base64, Base32 encodes arbitrary (binary) data in ASCII text.  The
 difference to Base64 is that Base32 encoding is case insensitive.
 .
 Base32 is defined in rfc3548 using the characters [A-Z2-7], but this module
 by default uses [0-9A-V] for compatibility with older versions of
 MIME::Base32.  An RFC compliant mode is also provided, though.

Package: libmime-base64-urlsafe-perl
Description-md5: 684a20d951cf537b4e624a15fc2673f6
Description-en: Perl version of Python's URL-safe base64 codec
 MIME::Base64::URLSafe is a perl version of python's URL-safe base64 encoder /
 decoder.
 .
 When embedding binary data in URL, it is preferable to use base64 encoding.
 However, two characters ('+' and '/') used in the standard base64 encoding have
 special meanings in URLs, often leading to re-encoding with URL-encoding, or
 worse, interoperability problems.
 .
 To overcome the problem, the module provides a variation of base64 codec
 compatible with python's urlsafe_b64encode / urlsafe_b64decode

Package: libmime-charset-perl
Description-md5: c18f33cdbc0746a4313e3e4add8bbe41
Description-en: module for MIME character set information
 MIME::Charset provides information about character sets specified in the MIME
 (Multipurpose Internet Mail Extensions) format. It is often used to describe
 character set and encoding information for messages on the Internet.
 .
 This module allows one to work with character sets and encodings, providing
 a simple facility for converting between them.

Package: libmime-encwords-perl
Description-md5: ac8fca2903bc6cb4eba07dcc84ea629f
Description-en: Perl interface to deal with RFC 2047 encoded words
 MIME::EncWords is a module providing several utilities to encode and decode
 arbitrary text in RFC 2047 (formerly RFC 1522) format. The MIME standard gives
 users the ability to represent any characters in any character set by using
 special sequences like:
 .
  =?ISO-8859-1?Q?Keld_J=F8rn_Simonsen?=
 .
 There are various modules available to manipulate these special character
 sequences. This module bears most resemblance to the MIME::Words module and
 provides a similar interface, providing for relatively painless upgrading,
 while having stricter conformance to the aforementioned RFCs. It provides
 more flexibility than MIME::WordDecoder because characters can be mapped
 into any desired encoding, rather than simply the local system representation.

Package: libmime-explode-perl
Description-md5: 0a007f260cf8e89cc519d89afe13fea0
Description-en: Perl extension to explode MIME messages
 MIME::Explode is a Perl module for parsing and decoding single or multipart
 MIME messages, and outputting its decoded components to a given directory.
 The module is designed to allows users to extract the attached files
 out of a MIME encoded email messages or mailboxes.

Package: libmime-lite-html-perl
Description-md5: 6816a26ce3ae9813c57660815685bc1d
Description-en: Transform HTML page into MIME email
 Mime::Lite::Html provides an interface for sending message that supports HTML
 format and builds it for you. This is useful to transform HTML pages into MIME
 email like that:
 .
  * Get the page with LWP if needed
  * Parse page to find included images and objects (gif, jpg, flash)
  * Attach them to mail with proper header
  * Include external CSS and Javascript files
  * Replace relative urls with absolute ones
  * Build the MIME email with any parts found

Package: libmime-lite-tt-perl
Description-md5: 498e30f01cf3d407029a58162a31f510
Description-en: module to generate MIME messages from Template Toolkit templates
 MIME::Lite::TT is a wrapper around MIME::Lite which is used to create MIME
 messages. The text part of the message is generated from a Template Toolkit
 template.

Package: libmime-util-java
Description-md5: 4c72a68217a295fd7ae05896b9a2e40c
Description-en: MIME types detector library
 Enable Java programs to detect MIME types based on file extensions, magic data
 and content sniffing. Supports detection from java.io.File,
 java.io.InputStream, java.net.URL and byte arrays.

Package: libmimelib1-dev
Description-md5: cdd94e0b8e7f53e6f398263960458fad
Description-en: mime library - development
 The mimelib library is a C++ class library for creating, parsing, and
 modifying messages in MIME format.
 .
 This version of the library is a slightly patched version from kdepim3.
 .
 This is the development package which contains the headers for the
 libmimelib library.

Package: libmimelib1c2a
Description-md5: d272f87290e9f22d96aeece6fc195ce0
Description-en: mime library - runtime
 The mimelib library is a C++ class library for creating, parsing, and
 modifying messages in MIME format.
 .
 This version of the library is a slightly patched version from kdepim3.
 .
 This is the runtime package for programs that use the libmimelib library.

Package: libmimepull-java
Description-md5: 84b425dcdbc2d53186444553f5288473
Description-en: Pull API for parsing MIME messages
 Mimepull provides a streaming API to access attachments parts
 in a MIME message.

Package: libmimetic-dev
Description-md5: 68c15515a9d7bb98ff22d92473d63da4
Description-en: C++ MIME library (development)
 mimetic is a MIME library written in C++ designed to be easy to use and
 integrate but yet fast and efficient.
 .
 mimetic has been built around the standard lib. This means that you'll not
 find yet another string class or list implementation and that you'll feel
 comfortable in using this library from the very first time.
 .
 mimetic doesn't use exceptions but it heavily uses templates so a mostly
 standard compliant C++ compiler is required.
 .
 This package contains the header files.

Package: libmimetic-doc
Description-md5: d761973d51ff525a30f73641210aaf53
Description-en: C++ MIME library (documentation)
 mimetic is a MIME library written in C++ designed to be easy to use and
 integrate but yet fast and efficient.
 .
 mimetic has been built around the standard lib. This means that you'll not
 find yet another string class or list implementation and that you'll feel
 comfortable in using this library from the very first time.
 .
 mimetic doesn't use exceptions but it heavily uses templates so a mostly
 standard compliant C++ compiler is required.
 .
 This package contains the developers' documentation.

Package: libmimetic0v5
Description-md5: 5c4c04016c81bdb53a48f8013fcf4342
Description-en: C++ MIME library (runtime)
 mimetic is a MIME library written in C++ designed to be easy to use and
 integrate but yet fast and efficient.
 .
 mimetic has been built around the standard lib. This means that you'll not
 find yet another string class or list implementation and that you'll feel
 comfortable in using this library from the very first time.
 .
 mimetic doesn't use exceptions but it heavily uses templates so a mostly
 standard compliant C++ compiler is required.
 .
 This package contains the libraries.

Package: libmina-java
Description-md5: 2aea1c5ceb93d2b37c2707648ca2a53c
Description-en: Java network application framework
 Apache MINA is a network application framework which helps users develop high
 performance and high scalability network applications easily. It provides an
 abstract - event-driven - asynchronous API over various transports such as
 TCP/IP and UDP/IP via Java NIO.
 .
 Some of the features of Apache Mina are:
  - Unified API for various transport types: TCP/UDP/RS232/In-VM
  - Filter interface as an extension point; similar to Servlet filters
  - Low-level and high-level API
  - Highly customizable thread model
  - Out-of-the-box SSL / TLS and StartTLS support using Java 5 SSLEngine
  - Overload shielding & traffic throttling
  - Unit testability using mock objects
  - JMX managability
  - Stream-based I/O support via StreamIoHandler
 .
 This package contains Apache Mina 1.X release

Package: libmina-java-doc
Description-md5: 37498d7032bf782a5942e1fd6be0560c
Description-en: Java network application framework - documentation
 Apache MINA is a network application framework which helps users develop high
 performance and high scalability network applications easily. It provides an
 abstract - event-driven - asynchronous API over various transports such as
 TCP/IP and UDP/IP via Java NIO.
 .
 Some of the features of Apache Mina are:
  - Unified API for various transport types: TCP/UDP/RS232/In-VM
  - Filter interface as an extension point; similar to Servlet filters
  - Low-level and high-level API
  - Highly customizable thread model
  - Out-of-the-box SSL / TLS and StartTLS support using Java 5 SSLEngine
  - Overload shielding & traffic throttling
  - Unit testability using mock objects
  - JMX managability
  - Stream-based I/O support via StreamIoHandler
 .
 This package contains Javadoc API for Apache Mina 1.X release.

Package: libmina2-java
Description-md5: 942cb6b5af6993c01aa8bf4460071872
Description-en: Java network application framework
 Apache MINA is a network application framework which helps users develop high
 performance and high scalability network applications easily. It provides an
 abstract - event-driven - asynchronous API over various transports such as
 TCP/IP and UDP/IP via Java NIO.
 .
 Some of the features of Apache Mina are:
  - Unified API for various transport types: TCP/UDP/RS232/In-VM
  - Filter interface as an extension point; similar to Servlet filters
  - Low-level and high-level API
  - Highly customizable thread model
  - Out-of-the-box SSL / TLS and StartTLS support using Java 5 SSLEngine
  - Overload shielding & traffic throttling
  - Unit testability using mock objects
  - JMX managability
  - Stream-based I/O support via StreamIoHandler
 .
 This package contains Apache Mina 2.X release.

Package: libmina2-java-doc
Description-md5: 16eb0e965a8158155571e21bb0ed7fa0
Description-en: Java network application framework - documentation
 Apache MINA is a network application framework which helps users develop high
 performance and high scalability network applications easily. It provides an
 abstract - event-driven - asynchronous API over various transports such as
 TCP/IP and UDP/IP via Java NIO.
 .
 Some of the features of Apache Mina are:
  - Unified API for various transport types: TCP/UDP/RS232/In-VM
  - Filter interface as an extension point; similar to Servlet filters
  - Low-level and high-level API
  - Highly customizable thread model
  - Out-of-the-box SSL / TLS and StartTLS support using Java 5 SSLEngine
  - Overload shielding & traffic throttling
  - Unit testability using mock objects
  - JMX managability
  - Stream-based I/O support via StreamIoHandler
 .
 This package contains Javadoc API for Apache Mina 2.x release.

Package: libminc-dev
Description-md5: 34b28ce77489a2a57d3469e48b4802b2
Description-en: MNI medical image format development environment
 This package contains the library and headers for libminc2 and
 libminc_io.
 .
 The Minc file format is a highly flexible medical image file format.
 Minc version 1 is built on top of the NetCDF generalized data format.
 Minc version 2 is built on top of the HDF data format.  This library
 handles both formats.  In each case the format is
 simple, self-describing, extensible, portable and N-dimensional, with
 programming interfaces for both low-level data access and high-level
 volume manipulation. On top of the libraries is a suite of generic
 image-file manipulation tools. The format, libraries and tools are
 designed for use in a medical-imaging research environment : they are
 simple and powerful and make no attempt to provide a pretty interface
 to users.

Package: libminc2-5.2.0
Description-md5: faea1d0686f84353dbdd5663b760d99e
Description-en: MNI medical image format library
 This package contains the libraries libminc2 and libminc_io.
 .
 The Minc file format is a highly flexible medical image file format.
 Minc version 1 is built on top of the NetCDF generalized data format.
 Minc version 2 is built on top of the HDF data format.  This library
 handles both formats.  In each case the format is
 simple, self-describing, extensible, portable and N-dimensional, with
 programming interfaces for both low-level data access and high-level
 volume manipulation. On top of the libraries is a suite of generic
 image-file manipulation tools. The format, libraries and tools are
 designed for use in a medical-imaging research environment : they are
 simple and powerful and make no attempt to provide a pretty interface
 to users.

Package: libmini18n-dev
Description-md5: 0e91d9059a3000a55d1f87ea9a454d1c
Description-en: minimal internationalization library - devel headers
 mini18n is a small and non-intrusive translation library,
 designed for small memory and (non-)GNU systems.
 .
 Features:
  * Overrideable system-locale support
  * Full UTF-8 support
  * Converters for systems not using UTF-8
 .
 This package contains the devel headers needed to link agains libmini18n.

Package: libmini18n1
Description-md5: e8438317a3e577bf670410b7f4b96887
Description-en: minimal internationalization library
 mini18n is a small and non-intrusive translation library,
 designed for small memory and (non-)GNU systems.
 .
 Features:
  * Overrideable system-locale support
  * Full UTF-8 support
  * Converters for systems not using UTF-8

Package: libmini18n1-dbg
Description-md5: e48d4ff2833e75d1b35c566fd4015f34
Description-en: minimal internationalization library - debug symbols
 mini18n is a small and non-intrusive translation library,
 designed for small memory and (non-)GNU systems.
 .
 Features:
  * Overrideable system-locale support
  * Full UTF-8 support
  * Converters for systems not using UTF-8
 .
 This package contains the debug symbols needed for gdb.

Package: libminicoredumper-dev
Description-md5: 75da1ef13d0e5ad0ab062527528ecb4a
Description-en: minicoredumper library development files
 Using libminicoredumper, an application can register exactly what data
 should be dumped by minicoredumper. This data will not only be dumped in
 case of a crash, but also can be triggered to dump during runtime.
 .
 This package provides a dynamic library and a C header file.

Package: libminicoredumper2
Description-md5: 9eeb387e48332f33cc0c54ff0ba247da
Description-en: minicoredumper library
 Using libminicoredumper, an application can register exactly what data
 should be dumped by minicoredumper. This data will not only be dumped in
 case of a crash, but also can be triggered to dump during runtime.

Package: libminidjvu-dev
Description-md5: abafc38d182bba5b5c2b2bb227782f3e
Description-en: Small DjVu encoder/decoder, development files
 MiniDjVu library development files, for DjVu format encoding and
 decoding.

Package: libminidjvu0
Description-md5: 15255b84817ab14284c6fc253fb483f2
Description-en: Small DjVu encoder/decoder, shared library
 MiniDjVu shared library, for DjVu format encoding and decoding.

Package: libminify-maven-plugin-java
Description-md5: 7da43027bd18b73192ce54bb6aeaeb8f
Description-en: Minify Maven Plugin
 Combine and minimize JavaScript and CSS files for faster page loading.
 This plugin produces a merged and a minified version of your CSS and
 JavaScript resources which can be re-used across your project, using
 yui-compressor and closure-compiler but allowing later addition of
 support for other tools.

Package: libminimap-dev
Description-md5: 647ab7371e272e602da39011f6ce9161
Description-en: development headers for libminimap
 Minimap is an experimental tool to efficiently find multiple approximate
 mapping positions between two sets of long sequences, such as between
 DNA reads and reference genomes, between genomes and between long noisy reads.
 .
 This package contains the C library headers for using minimap in custom tools,
 along with a static library.

Package: libminimap0
Description-md5: 4e4ec317efc08dd8c8b487237f940e42
Description-en: library for approximate mapping of long biosequences
 Minimap is an experimental tool to efficiently find multiple approximate
 mapping positions between two sets of long sequences, such as between DNA
 reads and reference genomes, between genomes and between long noisy reads.
 .
 This package contains a shared library offering the minimap API to custom C
 programs.

Package: libminini-dev
Description-md5: 0ad3a01ef3a922e3aa6991a32943fad8
Description-en: minimal INI file parser - development headers
 minIni is a programmer's library to read and write "INI" files in embedded
 systems. minIni takes little resources, has a deterministic memory footprint
 and can be configured for various kinds of file I/O libraries. The principal
 purpose for minIni is to be used on embedded systems that run on an RTOS (or
 even without any operating system). minIni requires that such a system provides
 a kind of storage and file I/O system, but it does not require that this file
 I/O system is compatible with the standard C/C++ library.
 .
 This package contains the development headers.

Package: libminini1
Description-md5: 75fa2922cfe4ce463001c2b3c424e4e8
Description-en: minimal INI file parser
 minIni is a programmer's library to read and write "INI" files in embedded
 systems. minIni takes little resources, has a deterministic memory footprint
 and can be configured for various kinds of file I/O libraries. The principal
 purpose for minIni is to be used on embedded systems that run on an RTOS (or
 even without any operating system). minIni requires that such a system provides
 a kind of storage and file I/O system, but it does not require that this file
 I/O system is compatible with the standard C/C++ library.

Package: libminion-backend-sqlite-perl
Description-md5: ef8f709eeaf5c8cca752630c491fea86
Description-en: SQLite backend for Minion job queue
 Minion::Backend::SQLite is a backend for Minion based on Mojo::SQLite. All
 necessary tables will be created automatically with a set of migrations named
 minion.
 .
 If no connection string or :temp: is provided, the database will be
 created in a temporary directory.

Package: libminion-perl
Description-md5: b0ccb9faec6e766d876160196fbc70f3
Description-en: job queue for Mojolicious
 Minion is a job queue for the Mojolicious real-time web framework, with
 support for multiple named queues, priorities, delayed jobs, job
 dependencies, job results, retries with backoff, statistics, distributed
 workers, parallel processing, autoscaling, resource leak protection and
 multiple backends (such as PostgreSQL).
 .
 Job queues allow you to process time and/or computationally intensive tasks
 in background processes, outside of the request/response lifecycle. Among
 those tasks you'll commonly find image resizing, spam filtering, HTTP
 downloads, building tarballs, warming caches and basically everything else
 you can imagine that's not super fast.

Package: libminizip-dev
Description-md5: 7e04fd2db94142bac7088b40d3d54230
Description-en: compression library - minizip development files
 minizip is a minimalistic library that supports compressing, extracting,
 viewing, and manipulating zip files.
 .
 This package includes development support files for the minizip library.

Package: libminizip1
Description-md5: a12204dcd77c9ce884283c34fabfb8e6
Description-en: compression library - minizip library
 minizip is a minimalistic library that supports compressing, extracting,
 viewing, and manipulating zip files.
 .
 This package includes the minizip library.

Package: libminlog-java
Description-md5: b06e3397fa5b6b0d9d020fe5e57b0f58
Description-en: minimal Java logging library
 MinLog is a Java logging library. Key features:
  * Zero overhead Logging statements below a given level can
    be automatically removed by javac at compile time.
    This means applications can have detailed trace and debug logging
    without having any impact on the finished product.
  * Simple and efficient The API is concise and the code is
    very efficient at runtime.
  * Extremely lightweight The entire project consists of a single Java file
    with ~100 non-comment lines of code.

Package: libminlog-java-doc
Description-md5: fa85c4dde04b0172412dff1821cfa631
Description-en: minimal Java logging library - doc
 MinLog is a Java logging library. Key features:
  * Zero overhead Logging statements below a given level can
    be automatically removed by javac at compile time.
    This means applications can have detailed trace and debug logging
    without having any impact on the finished product.
  * Simple and efficient The API is concise and the code is
    very efficient at runtime.
  * Extremely lightweight The entire project consists of a single Java file
    with ~100 non-comment lines of code.
 .
 This package contains the Javadoc API

Package: libminpack1
Description-md5: 26a32cc8b7faa989a6a4b9ebb1be080f
Description-en: nonlinear equations and nonlinear least squares shared library
 Minpack includes software for solving nonlinear equations and
 nonlinear least squares problems.  Five algorithmic paths each include
 a core subroutine and an easy-to-use driver.  The algorithms proceed
 either from an analytic specification of the Jacobian matrix or
 directly from the problem functions.  The paths include facilities for
 systems of equations with a banded Jacobian matrix, for least squares
 problems with a large amount of data, and for checking the consistency
 of the Jacobian matrix with the functions.
 .
 This package provides the shared library.

Package: libmir-core-dev
Description-md5: b1a392eee3426fb766e273c8f3087452
Description-en: D software building blocks and conventions -- development files
 Generic building blocks and conventions for software
 written in the D programming language.
 .
 Using the code does not introduce a D runtime dependency
 (betterC compatibility).
 This package contains development files for the D programming language.

Package: libmir-core0
Description-md5: a143a5662ad49e210ca89ed1385fb5ec
Description-en: Base D software building blocks and conventions
 Generic building blocks and conventions for software
 written in the D programming language.
 .
 Using the code does not introduce a D runtime dependency
 (betterC compatibility).

Package: libmirisdr-dev
Description-md5: 5ba07644c184ce726698a18ca30a1571
Description-en: Software defined radio support for Mirics hardware (development files)
 Software for the Mirics MSi2500 + MSi001 SDR platform
 .
 The hardware part of MiriSDR brings information from an antenna connector
 to a USB plug.
 .
 This package is the software that provides control of the USB hardware
 and an API to pass data to software defined radio applications on the host.
 .
 This package contains development files.

Package: libmirisdr0
Description-md5: 1daf67ef471d1dfebd5e792abc6825a1
Description-en: Software defined radio support for Mirics hardware (library)
 Software for the Mirics MSi2500 + MSi001 SDR platform
 .
 The hardware part of MiriSDR brings information from an antenna connector
 to a USB plug.
 .
 This package is the software that provides control of the USB hardware
 and an API to pass data to software defined radio applications on the host.
 .
 This package contains the shared library.

Package: libmission-control-plugins-dev
Description-md5: 0115beafbafd4cd8fe1e1d8f4a8a84b8
Description-en: management daemon for Telepathy (headers for plugins)
 Telepathy Mission Control 5 is an account manager and channel dispatcher for
 the Telepathy framework. This package contains development headers to build
 plugins for Mission Control.

Package: libmission-control-plugins-doc
Description-md5: e1975b335d367bc7d6e7733a2fbafd49
Description-en: management daemon for Telepathy (documentation for plugins)
 Telepathy Mission Control 5 is an account manager and channel dispatcher for
 the Telepathy framework. This package contains API documentation to build
 plugins for Mission Control.

Package: libmission-control-plugins0
Description-md5: c170b6dd6040fbef530e3b58104d894e
Description-en: management daemon for Telepathy (library for plugins)
 Telepathy Mission Control 5 is an account manager and channel dispatcher for
 the Telepathy framework. This package contains a library against which plugins
 for Mission Control must be linked.

Package: libmixin-extrafields-param-perl
Description-md5: e3001f56161d574a572801a70bcfe358
Description-en: module to make classes provide a familiar "param" method
 Mixin::ExtraFields::Param mixes in to your class to provide a param method
 like the ones provided by CGI, CGI::Application, and other classes. It uses
 Mixin::ExtraFields, which means it can use any Mixin::ExtraFields driver to
 store your data.

Package: libmixin-extrafields-perl
Description-md5: 06b4eac35df5ef8760932b62afde2594
Description-en: module to add extra stashes of data to objects
 Mixin::ExtraFields is a Perl module that provides a simple way to add an
 arbitrary number of stashes for named data. These data can be stored in the
 object, in a database, or anywhere else. The storage mechanism is abstracted
 away from the provided interface, so one storage mechanism can be easily
 swapped for another. Multiple ExtraFields stashes can be mixed into one
 class, using one or many storage mechanisms.

Package: libmixin-linewise-perl
Description-md5: b897f901f14cbe990b45d74aa28eb863
Description-en: module to handle general file input/output operations
 Mixin::Linewise is a Perl module that provides a generalized framework for
 handling input/output operations. It can open files for I/O, convert strings
 to handle type objects, and more.
 .
 With Reader and Writer classes, you can just write a method to manipulate
 normal handles, and let the modules do the rest, like providing string and
 filename handling methods.

Package: libmjpegtools-dev
Description-md5: 36202d3a50477c3c07aa5acf4beb6788
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset (development)
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.
 .
 This package contains the development files.

Package: libmjpegutils-2.1-0
Description-md5: 4bd44d51ba1f5fa7bd7e2b60574c1a03
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset (library)
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.
 .
 This package contains the shared mjpegutils library.

Package: libmkdoc-xml-perl
Description-md5: d10c023700b04bda94a70d529fdd6dea
Description-en: MKDoc XML Toolkit
 MKDoc is a web content management system written in Perl which focuses on
 standards compliance, accessiblity and usability issues, and multi-lingual
 websites.

Package: libmkldnn-dev
Description-md5: b45ec5b0887d02f33e7c3c880fe6ae84
Description-en: Intel Math Kernel Library for Deep Neural Networks (dev)
 Intel(R) Math Kernel Library for Deep Neural Networks (Intel(R) MKL-DNN) is
 an open source performance library for deep learning applications. The library
 accelerates deep learning applications and framework on Intel(R) architecture.
 Intel(R) MKL-DNN contains vectorized and threaded building blocks which you
 can use to implement deep neural networks (DNN) with C and C++ interfaces.
 .
 DNN functionality optimized for Intel architecture is also included in
 Intel(R) Math Kernel Library (Intel(R) MKL). API in this implementation
 is not compatible with Intel MKL-DNN and does not include certain new and
 experimental features.
 .
 This package contains the header files, and symbol links to the shared object.

Package: libmkldnn-doc
Description-md5: 5187ab913aa7cd7a9601e838ca7dbae2
Description-en: Intel Math Kernel Library for Deep Neural Networks (doc)
 Intel(R) Math Kernel Library for Deep Neural Networks (Intel(R) MKL-DNN) is
 an open source performance library for deep learning applications. The library
 accelerates deep learning applications and framework on Intel(R) architecture.
 Intel(R) MKL-DNN contains vectorized and threaded building blocks which you
 can use to implement deep neural networks (DNN) with C and C++ interfaces.
 .
 DNN functionality optimized for Intel architecture is also included in
 Intel(R) Math Kernel Library (Intel(R) MKL). API in this implementation
 is not compatible with Intel MKL-DNN and does not include certain new and
 experimental features.
 .
 This package contains the doxygen documentation.

Package: libmkldnn1
Description-md5: 53fc8595310b4c192d308aa91f7f1a17
Description-en: Intel Math Kernel Library for Deep Neural Networks (lib)
 Intel(R) Math Kernel Library for Deep Neural Networks (Intel(R) MKL-DNN) is
 an open source performance library for deep learning applications. The library
 accelerates deep learning applications and framework on Intel(R) architecture.
 Intel(R) MKL-DNN contains vectorized and threaded building blocks which you
 can use to implement deep neural networks (DNN) with C and C++ interfaces.
 .
 DNN functionality optimized for Intel architecture is also included in
 Intel(R) Math Kernel Library (Intel(R) MKL). API in this implementation
 is not compatible with Intel MKL-DNN and does not include certain new and
 experimental features.
 .
 This package contains the shared object.

Package: libmldbm-perl
Description-md5: a49b82508cdff6005f1e481de6ec405d
Description-en: module for storing multidimensional hash structures in perl tied hashes
 The MLDBM perl module can be used to store multidimensional hash structures
 in tied hashes (including DBM files).
 .
 It depends on the Data::Dumper module and by default uses the
 SDBM tied hash. But you can use it with DB_File (and others
 DBM modules). You can also use other serializing packages
 like Storable and FreezeThaw.

Package: libmldbm-sync-perl
Description-md5: 544f797861a961314cf981ce79afc3c4
Description-en: Perl module for safe concurrent access to MLDBM databases
 MLDBM::Sync wraps around the MLDBM interface, by handling concurrent
 access to MLDBM databases with file locking, and flushes i/o explicity
 per lock/unlock.  The new [Read]Lock()/UnLock() API can be used to serialize
 requests logically and improve performance for bundled reads & writes.

Package: libmlnlffi-smlnj
Description-md5: 91042464312368b337439290860d5775
Description-en: No Longer Foreign Function Interface
 Allows ML programs to inspect and manipulate C data structures
 directly. This is implemented through three separate libraries, one
 that provides a user-code accessible frontend, one that
 implements this, and another that handles low-level raw memory
 access.

Package: libmlpack-dev
Description-md5: 5660c8c90c61f0ce67048a9b1b536b58
Description-en: intuitive, fast, scalable C++ machine learning library (development libs)
 This package contains the mlpack Library development files.
 .
 Machine Learning Pack (mlpack) is an intuitive, fast, scalable C++
 machine learning library, meant to be a machine learning analog to
 LAPACK.  It aims to implement a wide array of machine learning
 methods and function as a "swiss army knife" for machine learning
 researchers.

Package: libmlpack3
Description-md5: 33db5712b542ba2c5ba42b1f6295e6ff
Description-en: intuitive, fast, scalable C++ machine learning library (runtime library)
 This package contains the mlpack Library runtime files.
 .
 Machine Learning Pack (mlpack) is an intuitive, fast, scalable C++
 machine learning library, meant to be a machine learning analog to
 LAPACK.  It aims to implement a wide array of machine learning
 methods and function as a "swiss army knife" for machine learning
 researchers.

Package: libmlpcap-ocaml
Description-md5: 40473b1e306e72013282dd7669cad853
Description-en: binding of libpcap for OCaml (runtime package)
 MLpcap implements OCaml stubs to libpcap. It allows one to call almost
 all function of libpcap from OCaml.

Package: libmlpcap-ocaml-dev
Description-md5: a5eac13d299e0fdf07305ba98c02d49f
Description-en: binding of libpcap for OCaml
 MLpcap implements OCaml stubs to libpcap. It allows one to call almost
 all function of libpcap from OCaml.
 .
 Development files.

Package: libmlpost-ocaml-dev
Description-md5: 509e61867830c36e51f91be45359cc66
Description-en: OCaml interface to Metapost (library)
 Mlpost is an OCaml library for scientific drawing. It relies on
 Metapost, giving the possibility to include (La)TeX fragments.
 Mlpost users can use the full power of OCaml to generate their
 diagrams, including static typing and the possibility to use any
 OCaml library. Moreover, Mlpost promotes a declarative style quite
 different from existing tools.
 .
 This package contains the library itself.

Package: libmlrisctools-smlnj
Description-md5: 0d24329346bff9f7ec34be3dd4b38321
Description-en: Library for generating MLRISC modules
 The MLRISC system allows an easy way to create a back-end to convert
 SML into machine code. These tools provide an easy way to generate
 MLRISC modules from machine descriptions.

Package: libmlt++-dev
Description-md5: 4d6b5bbde9cc62c5817cd8317333a0ef
Description-en: MLT multimedia framework C++ wrapper (development)
 MLT is an open source multimedia framework, designed and developed for
 television broadcasting. It provides a toolkit for broadcasters, video
 editors, media players, transcoders, web streamers and many more types of
 applications. The functionality of the system is provided via an assortment
 of ready to use tools, xml authoring components, and an extendible plug-in
 based API.
 .
 This package contains the static libraries and headers for developing
 applications that use the MLT multimedia framework C++ wrapper.

Package: libmlt++3
Description-md5: aca1ad662b6b3311655b23307bbc7454
Description-en: MLT multimedia framework C++ wrapper (runtime)
 MLT is an open source multimedia framework, designed and developed for
 television broadcasting. It provides a toolkit for broadcasters, video
 editors, media players, transcoders, web streamers and many more types of
 applications. The functionality of the system is provided via an assortment
 of ready to use tools, xml authoring components, and an extendible plug-in
 based API.
 .
 This package contains the files necessary for running applications that
 use the MLT multimedia framework C++ wrapper.

Package: libmlt-data
Description-md5: b51503d2acd3e514d41cada40a978ea6
Description-en: multimedia framework (data)
 MLT is an open source multimedia framework, designed and developed for
 television broadcasting. It provides a toolkit for broadcasters, video
 editors, media players, transcoders, web streamers and many more types of
 applications. The functionality of the system is provided via an assortment
 of ready to use tools, xml authoring components, and an extendible plug-in
 based API.
 .
 This package contains the data files for the MLT multimedia framework.

Package: libmlt-dev
Description-md5: 560d6021b000ad5387abd7a319907b74
Description-en: multimedia framework (development)
 MLT is an open source multimedia framework, designed and developed for
 television broadcasting. It provides a toolkit for broadcasters, video
 editors, media players, transcoders, web streamers and many more types of
 applications. The functionality of the system is provided via an assortment
 of ready to use tools, xml authoring components, and an extendible plug-in
 based API.
 .
 This package contains the static libraries and headers for developing
 applications that use the MLT multimedia framework.

Package: libmlt6
Description-md5: eafc70788fe70ed5740aa0a320784b9c
Description-en: multimedia framework (runtime)
 MLT is an open source multimedia framework, designed and developed for
 television broadcasting. It provides a toolkit for broadcasters, video
 editors, media players, transcoders, web streamers and many more types of
 applications. The functionality of the system is provided via an assortment
 of ready to use tools, xml authoring components, and an extendible plug-in
 based API.
 .
 This package contains the files necessary for running applications that
 use the MLT multimedia framework.

Package: libmlv3
Description-md5: 3115f258531d058c4c584183ec5b4c87
Description-en: simplified multimedia library in C for beginners.
 The mlv library is a simplified multimedia library.
 The library is perfect for beginners in C programming who
 want to use graphic and sound effects.
 The library are dedicated to:
  - draw figures, text and boxed text,
  - display images,
  - plays musics,
  - get Keyboard and mouse event,
  - get data by input boxes.
 This tools is a simplified interface of the SDL libraries.
 If you are not a beginner, we recommend you to use the
 SDL libraries (sdl, sdl-gfx, sdl-mixer,sdl-ttf) instead of
 the mlv library.
 The mlv library can be compiled for Linux, Macintosh
 and windows.
 This package provide the runtime binary needed by the
 execution of program using the mlv library.

Package: libmlv3-dev
Description-md5: 0601d7b5278ef69e20c881a8d9203c3c
Description-en: simplified multimedia library in C for beginners.
 The mlv library is a simplified multimedia library.
 The library is perfect for beginners in C programming who
 want to use graphic and sound effects.
 The library are dedicated to:
  - draw figures, text and boxed text,
  - display images,
  - plays musics,
  - get Keyboard and mouse event,
  - get data by input boxes.
 This tools is a simplified interface of the SDL libraries.
 If you are not a beginner, we recommend you to use the
 SDL libraries (sdl, sdl-gfx, sdl-mixer,sdl-ttf) instead of
 the mlv library.
 The mlv library can be compiled for Linux, Macintosh
 and windows.
 This package provide all the development infrastructure
 of the mlv library.

Package: libmm-dev
Description-md5: 8bdc2dd04706caf12a5940813c3bc8d1
Description-en: Shared memory library - development files
 OSSP mm is a two layer abstraction library which simplifies the use of
 shared memory between forked (and therefore closely related) processes.
 It hides all platform-dependent aspects of the process from the user
 and provides a malloc(3)-style API.
 .
 This package contains the development files required to compile
 programs that use mm.

Package: libmm-ocaml
Description-md5: c0336f4b8f658f3e7b4eda5d114b48f6
Description-en: OCaml multimedia library -- runtime files
 OCaml-mm is a toolkit for audio and video processing
 in OCaml. It provides a standard interface and various
 usual manipulations on audio data, images and video data.
 .
 This package contains only the shared runtime stub libraries.

Package: libmm-ocaml-dev
Description-md5: 2ac2c905038e8a33dab8203c54de4171
Description-en: OCaml multimedia library -- development files
 OCaml-mm is a toolkit for audio and video processing
 in OCaml. It provides a standard interface and various
 usual manipulations on audio data, images and video data.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-mm.

Package: libmm14
Description-md5: fd39b9e2ef5b012984147eecb02586ce
Description-en: Shared memory library - runtime
 OSSP mm is a two layer abstraction library which simplifies the use of
 shared memory between forked (and therefore closely related) processes.
 It hides all platform-dependent aspects of the process from the user
 and provides a malloc(3)-style API.
 .
 This package contains the runtime libraries required to run programs
 dynamically linked against mm.

Package: libmmap-ocaml
Description-md5: 6819ab93aba77d04dc317339c25b2fcd
Description-en: file mapping functionality in OCaml (runtime)
 This project provides a Mmap.map_file function for mapping files in
 memory. This function is the same as the Unix.map_file function added
 in OCaml >= 4.06.
 .
 This package contains runtime files.

Package: libmmap-ocaml-dev
Description-md5: c152208aa281cbafcb15a0eb54eb4d06
Description-en: file mapping functionality in OCaml (development)
 This project provides a Mmap.map_file function for mapping files in
 memory. This function is the same as the Unix.map_file function added
 in OCaml >= 4.06.
 .
 This package contains development files.

Package: libmmdb2-0
Description-md5: c53d422a24955cc05597e8d702264679
Description-en: macromolecular coordinate library - runtime
 MMDB is designed to assist developers in working with macromolecular
 coordinate files. The library handles both PDB and mmCIF format files.
 .
 The Library also features an internal binary format, portable between
 different platforms. This is achieved at uniformity of the Library's
 interface functions, so there is no difference in handling different
 formats.
 .
 MMDB provides various high-level tools for working with coordinate files,
 including reading and writing, orthogonal-fractional transforms,
 generation of symmetry mates, editing the molecular structure and more.
 .
 This package contains the shared library components needed for programs
 that have been linked to the mmdb library.

Package: libmmdb2-dev
Description-md5: 4d7af372a796d3be4fc8804d182d5a51
Description-en: macromolecular coordinate library - development files
 MMDB is designed to assist developers in working with macromolecular
 coordinate files. The library handles both PDB and mmCIF format files.
 .
 The Library also features an internal binary format, portable between
 different platforms. This is achieved at uniformity of the Library's
 interface functions, so there is no difference in handling different
 formats.
 .
 MMDB provides various high-level tools for working with coordinate files,
 including reading and writing, orthogonal-fractional transforms,
 generation of symmetry mates, editing the molecular structure and more.
 .
 This package contains library and header files needed for program
 development.

Package: libmms-dev
Description-md5: 62bfa1d7651879a27e6bbeac3d60d0ce
Description-en: MMS stream protocol library - development files
 LibMMS is a common library for parsing mms:// and mmsh:// type network
 streams.  These are commonly used to stream Windows Media Video content
 over the web.  LibMMS itself is only for receiving MMS stream, it
 doesn't handle sending at all.
 .
 This package holds the development files.

Package: libmms0
Description-md5: f0d8b772e6cf9505dde2b805a2408997
Description-en: MMS stream protocol library - shared library
 LibMMS is a common library for parsing mms:// and mmsh:// type network
 streams.  These are commonly used to stream Windows Media Video content
 over the web.  LibMMS itself is only for receiving MMS stream, it
 doesn't handle sending at all.
 .
 This package holds the shared library.

Package: libmmtf-java
Description-md5: 4ffa7c1bd4a2981609b704c167b2e9ac
Description-en: Java API for macromolecular transmission format encoder/decoder
 The Macromolecular Transmission Format (MMTF) is a compact binary format to
 transmit and store biomolecular structures for fast 3D visualization and
 analysis.

Package: libmnemonicsetter-java
Description-md5: 36647495f146bcdd10a1620c972ccf5d
Description-en: Java library for automatically setting Swing mnemonics
 This simple Java library generates mnemonics for Swing menu items
 and toolbar elements.
 .
 It has no dependencies beside Java 7.

Package: libmng-dev
Description-md5: fdb8e2a268bfbd4c9984a39c4e1aa7eb
Description-en: M-N-G library (Development headers)
 The libmng library supports decoding, displaying, encoding, and various other
 manipulations of the Multiple-image Network Graphics (MNG) format image files.
 It uses the zlib compression library, and optionally the JPEG library by the
 Independent JPEG Group (IJG) and/or lcms (little cms), a color-management
 library by Marti Maria Saguar.
 .
 This package provides development headers.

Package: libmng2
Description-md5: 44b84456d07b211a83ed1660f6cea215
Description-en: Multiple-image Network Graphics library
 The libmng library supports decoding, displaying, encoding, and various other
 manipulations of the Multiple-image Network Graphics (MNG) format image files.
 It uses the zlib compression library, and optionally the JPEG library by the
 Independent JPEG Group (IJG) and/or lcms (little cms), a color-management
 library by Marti Maria Saguar.

Package: libmock-quick-perl
Description-md5: e9998ff2e7f48e393aec028b23b17070
Description-en: Perl module for quick side-effect free mocking of objects and classes
 Mock::Quick is here to solve the current problems with Mocking libraries.
 .
 There are a couple Mocking libraries available on CPAN. The primary
 problems with these libraries include verbose syntax, and most
 importantly side-effects. Some Mocking libraries expect you to mock a
 specific class, and will unload it then redefine it. This is
 particularly a problem if you only want to override a class on a
 lexical level.
 .
 Mock::Quick provides a declarative mocking interface that results in a
 very concise, but clear syntax. There are separate facilities for
 mocking object instances, and classes. You can quickly create an
 instance of an object with custom attributes and methods. You can also
 quickly create an anonymous class, optionally inhereting from another,
 with whatever methods you desire.
 .
 Mock::Quick also provides a tool that provides an OO interface to
 overriding methods in existing classes. This tool also allows for the
 restoration of the original class methods. Best of all this is a
 localized tool, when your control object falls out of scope the original
 class is restored.

Package: libmocked-perl
Description-md5: 306ea86e7eb4fdfeb32474f160741f42
Description-en: module to use real libraries from within mocked libraries
 When mocking modules using 'mocked', you are certain that no extra "real"
 libraries are being loaded.  But sometimes you don't want to use real
 libraries from within your mocked library.  This module allows you to load
 real libraries using your previous include paths.

Package: libmockito-java
Description-md5: 0ac7d720808d8c696b626cda053fa6c3
Description-en: mocking framework for Java
 Mockito is a mocking library which lets you write tests with a
 clean and simple API.
 .
 It generates mocks using reflection, it records all mock invocations,
 including methods arguments.

Package: libmockobjects-java
Description-md5: 0fdfc535a285dd309dd5b489afd2e132
Description-en: Framework for developing and using mock objects
 Mock Objects is a test-first driven framework for building
 generic software and/or unit testing frameworks. It supports:
  * A methodology for developing and using mock objects.
  * A core mock object framework. This is a library of code that
  supports the implementation of mock objects, based around a
  set of expectation classes for values and collections. There are
  also various other classes to make mock objects easier to write
  or to use.
  * A default set of mock implementations for the standard Java
  platform APIs. We have made a start on packages such as servlets, sql,
  and io.

Package: libmockobjects-java-doc
Description-md5: e9118712852fe80341b139bac407078d
Description-en: Framework for developing and using mock objects -- documentation
 Mock Objects is a test-first driven framework for building
 generic software and/or unit testing frameworks. It supports:
  * A methodology for developing and using mock objects.
  * A core mock object framework. This is a library of code that
  supports the implementation of mock objects, based around a
  set of expectation classes for values and collections. There are
  also various other classes to make mock objects easier to write
  or to use.
  * A default set of mock implementations for the standard Java
  platform APIs. We have made a start on packages such as servlets, sql,
  and io.
 .
 This package includes the mock objects javadocs.

Package: libmodbus-dev
Description-md5: 319ed6ee1d4051d730fb32bd227a21ca
Description-en: development files for the Modbus protocol library
 A Modbus library written in C, to send/receive data with a device which
 respects the Modbus protocol. This library can use a serial port or an
 Ethernet connection.
 .
 This package contains the development files.

Package: libmodbus5
Description-md5: c6ad0aa78fc2b26d475235f37a7cbbff
Description-en: library for the Modbus protocol
 A Modbus library written in C, to send/receive data with a device which
 respects the Modbus protocol. This library can use a serial port or an
 Ethernet connection.
 .
 This package contains the shared library.

Package: libmodello-java
Description-md5: 318c6bdf23c0cc3bcf38325b204f7dff
Description-en: Data Model toolkit in use by the Maven 2 Project
 Once a DataModel is defined, the toolkit can be used to generate any of the
 following at compile time.
 .
    * Java Pojos of the DataModel.
    * Java Pojos to XML Writer. (provided via xpp3, stax, jdom or dom4j)
    * XML to Java Pojos Reader. (provided via xpp3, stax or dom4j)
    * XDOC documentation of the DataModel.
    * XML Schema to validate the DataModel.
 .
 Modello is used to build the maven system.

Package: libmodello-maven-plugin-java
Description-md5: aa19150c09565d32e25407f329a0cd49
Description-en: Modello Maven Plugin enables the use of Modello in Maven builds
 Modello is a Data Model toolkit in use by the Maven 2 Project.
 .
 This package provides a Maven plugin to enable the use of Modello in Maven
 builds.

Package: libmodem-vgetty-perl
Description-md5: ebcceb32cb30626b0a02d6e538fc084b
Description-en: Perl module for interfacing with vgetty (Modem::Vgetty)
 Modem::Vgetty is a Perl interface to vgetty(8), which can be used for
 communication with voice modems.

Package: libmodern-perl-perl
Description-md5: 11718f96220726ae18a842d080ca3541
Description-en: module for enabling all of the features of Modern Perl
 Modern Perl programs use several modules to enable additional features of
 Perl and of the CPAN. Instead of copying and pasting all of these 'use'
 lines, instead write only one:
 .
    use Modern::Perl;
 .
 This enables the strict and warnings pragmas, as well as all of the features
 available since Perl 5.10.
 .
 For enabling features of newer Perl releases, a year can be specified as an
 import tag, e.g.:
 .
    use Modern::Perl '2017';
 .
 enables 5.24 features. Cf. Modern::Perl(3pm) for details.
 .
 See http://www.modernperlbooks.com/mt/2009/01/toward-a-modernperl.html for
 more information, http://www.modernperlbooks.com/ for further discussion of
 Modern Perl and its implications, and
 http://onyxneon.com/books/modern_perl/index.html for a freely-downloadable
 Modern Perl tutorial.

Package: libmodglue1-dev
Description-md5: 50c2b4528e8f3a21c33b8eb61fc4e94d
Description-en: development files for a C++ library for handling of multiple co-processes
 Modglue is a C++ library with classes for forking external
 processes and asynchronous reading from streams. It takes
 away the burden of all subtleties involving the Unix fork
 call. The asynchronous read facility enables one to read on
 multiple input streams at the same time, without losing any
 of the standard C++ stream facilities.
 .
 This package contains the development header files.

Package: libmodglue1v5
Description-md5: b7f8fd5fb901550576c4c6e4d2a64c21
Description-en: C++ library for handling of multiple co-processes
 Modglue is a C++ library with classes for forking external
 processes and asynchronous reading from streams. It takes
 away the burden of all subtleties involving the Unix fork
 call. The asynchronous read facility enables one to read on
 multiple input streams at the same time, without losing any
 of the standard C++ stream facilities.

Package: libmodhmm-dev
Description-md5: a28911d9948c3709e84d452c97509368
Description-en: library for constructing, training and scoring hidden Markov models (dev)
 Library for constructing, training and scoring hidden Markov models.  It
 is provided with PSORTb but might be used separately.
 .
 PSORTb enables prediction of bacterial protein subcellular localization
 (SCL) and provides a quick and inexpensive means for gaining insight
 into protein function, verifying experimental results, annotating newly
 sequenced bacterial genomes, detecting potential cell surface/secreted
 drug targets, as well as identifying biomarkers for microbes.
 .
 This library needed by PSORTb is distributed separately by upstream.
 .
 This package contains the static library which is needed to link PSORTb.

Package: libmodhmm0
Description-md5: b3050f1ca429453acb05f8abbbac34de
Description-en: library for constructing, training and scoring hidden Markov models
 Library for constructing, training and scoring hidden Markov models.  It
 is provided with PSORTb but might be used separately.
 .
 PSORTb enables prediction of bacterial protein subcellular localization
 (SCL) and provides a quick and inexpensive means for gaining insight
 into protein function, verifying experimental results, annotating newly
 sequenced bacterial genomes, detecting potential cell surface/secreted
 drug targets, as well as identifying biomarkers for microbes.
 .
 This library needed by PSORTb is distributed separately by upstream.
 .
 This package contains the shared library which is needed to run PSORTb.

Package: libmodpbase64-0
Description-md5: ba41475b08bffae7688c2bb4730a6ff9
Description-en: collection of high performance c-string transformations
 This implementation is frequently 2x faster than standard implementations.
 The transformations include base64, base16, base85, base2, url and javascript
 escaping, as well as fast number to string and upper/lower case conversions.

Package: libmodpbase64-dev
Description-md5: c7e831968e9b26299fa758a9cf315a51
Description-en: collection of high performance c-string transformations (development files)
 This implementation is frequently 2x faster than standard implementations.
 The transformations include base64, base16, base85, base2, url and javascript
 escaping, as well as fast number to string and upper/lower case conversions.
 .
 Development files

Package: libmodplug-dev
Description-md5: 9c41f5f23e12c7200721f4a159e891e8
Description-en: development files for mod music based on ModPlug
 Module files (MOD music, tracker music) are a family of music file
 formats originating from the MOD file format on Amiga systems used in
 late 1980s.
 .
 This package contains the header files and .so symlinks required to
 compile code against libmodplug, a library based on the mod rendering
 code from ModPlug, a popular Windows mod player written by Olivier
 Lapicque, found at http://www.modplug.com.

Package: libmodplug1
Description-md5: fa315d9a6c9673cd063644e36eb92a84
Description-en: shared libraries for mod music based on ModPlug
 Module files (MOD music, tracker music) are a family of music file
 formats originating from the MOD file format on Amiga systems used in
 late 1980s.
 .
 This is a library based on the mod music rendering code from ModPlug,
 a popular Windows mod player written by Olivier Lapicque, found at
 http://www.modplug.com.

Package: libmods-record-perl
Description-md5: 0ea13aa28d9459f5b77de9a88ced97fe
Description-en: module for handling MODS records
 MODS::Record provides MODS parsing and creation for MODS Schema 3.5.
 .
 MODS is specified and described at the Library of Congress:
 <http://www.loc.gov/standards/mods/>

Package: libmodsecurity-dev
Description-md5: 4ea364f415fa1f3a1042e49f9f70bcbb
Description-en: ModSecurity v3 library component (development files)
 Libmodsecurity is one component of the ModSecurity v3 project. The library
 codebase serves as an interface to ModSecurity Connectors taking in web
 traffic and applying traditional ModSecurity processing. In general, it
 provides the capability to load/interpret rules written in the ModSecurity
 SecRules format and apply them to HTTP content provided by your application
 via Connectors.
 .
 This package includes the development support files.

Package: libmodsecurity3
Description-md5: e02d4affae1707ad1d4512b9e8eb7108
Description-en: ModSecurity v3 library component
 Libmodsecurity is one component of the ModSecurity v3 project. The library
 codebase serves as an interface to ModSecurity Connectors taking in web
 traffic and applying traditional ModSecurity processing. In general, it
 provides the capability to load/interpret rules written in the ModSecurity
 SecRules format and apply them to HTTP content provided by your application
 via Connectors.

Package: libmodulator-java
Description-md5: 5a20c7fcda8f932bdf28795629c39cd7
Description-en: Java small shim library that wraps Java 9 APIs and exposes them to recent JDKs
 Modulator is just a very lightweight shim library that wraps
 Java 9 and previous reflection APIs to allow a uniform API
 into "module"-like behaviors on all recent JDKs.

Package: libmodule-build-cleaninstall-perl
Description-md5: 0a5c86a8efbc1cf3cd1513ecb44e812c
Description-en: module for removing the old module before installing the new one
 Module::Build::CleanInstall is a subclass of Module::Build with one
 additional feature, before upgrading the module from and old version to a new
 one, it first removes the files installed by the previous version. This is
 useful especially when the new version will not contain some files that the
 old one did, and it is necessary that those files do not remain in place.
 .
 Since it is a subclass of Module::Build it is used exactly like that module.
 Module::Build::CleanInstall does provide an additional action uninstall, but
 it need not be called separately; the action install will call it when
 invoked.
 .
 The uninstalling is done by removing the files in the installed module's
 packlist which is created when the module is first installed.

Package: libmodule-build-perl
Description-md5: fa2ee309b6f2eec3e9cf7b941eb79c8b
Description-en: framework for building and installing Perl modules
 Module::Build is a framework for easily building, testing and installing Perl
 packages. It is meant as a next-generation replacement for ExtUtils::MakeMaker
 and offers many additional features characteristic of a more modern install
 system, including simple subclassing for custom build actions.
 .
 Because it is written in Pure Perl, it has very few external dependencies. In
 particular, it allows for installation of packages on systems without 'make'
 and will even work without a shell. Its only prerequisites are modules that
 are included with perl 5.6.0 and it is core as of perl 5.10.

Package: libmodule-build-pluggable-perl
Description-md5: c952c097cde8779c6270db76153a5c2b
Description-en: plugins for the perl module Module::Build
 Module::Build::Pluggable adds pluggability for Module::Build. You can call
 HOOK_prepare on preparing arguments for Module::Build->new, HOOK_configure
 on configuration step, and HOOK_build on build step.

Package: libmodule-build-pluggable-ppport-perl
Description-md5: 89d734800328dd33ae48eac2396f591a
Description-en: module to generate a ppport.h file automatically
 Module::Build::Pluggable::PPPort can be used to generate the file ppport.h
 automatically for use with the Module::Build::Pluggable Perl module.

Package: libmodule-build-tiny-perl
Description-md5: 1c8c004a8b88e4f7cc5ca7f268bdd7bf
Description-en: tiny replacement for Module::Build
 Many Perl distributions use a Build.PL file instead of a Makefile.PL file
 to drive distribution configuration, build, test and installation.
 Traditionally, Build.PL uses Module::Build as the underlying build system.
 Module::Build::Tiny provides a simple, lightweight, drop-in replacement.

Package: libmodule-build-using-pkgconfig-perl
Description-md5: 89084e2f7a59e159ecc06643e3de3401
Description-en: Module::Build extension for using platform libraries provided by pkg-config
 Module::Build::Using::PkgConfig is a subclass of Module::Build that provides
 some handy methods to assist the Build.PL script of XS-based module
 distributions that make use of platform libraries managed by pkg-config.
 .
 As well as supporting libraries installed on a platform-wide basis and thus
 visible to pkg-config itself, this subclass also assists with Alien::-based
 wrappers of these system libraries, allowing them to be dynamically installed
 at build time if the platform does not provide them.

Package: libmodule-build-withxspp-perl
Description-md5: 002590c6eb3fa8d7a4ae534410cefa5c
Description-en: XS++ enhanced flavour of Module::Build
 Module::Build::WithXSpp is a subclass of Module::Build that adds some
 tools and processes to make it easier to use for wrapping C++ using
 XS++ (ExtUtils::XSpp).

Package: libmodule-build-xsutil-perl
Description-md5: c3699a66f9a8ce14b660d9193ecdd0d6
Description-en: Module::Build class for building XS modules
 Module::Build::XSUtil is subclass of Module::Build for support building XS
 modules.
 .
 Beyond other features it supports checking for C99 and C++ compilers
 as well as to enable compiler warnings or debug options.

Package: libmodule-bundled-files-perl
Description-md5: 4f9173d97019575b8448ae3b802263b4
Description-en: perl module to access files bundled with your module
 Module::Bundled::Files provides a simple method of accessing files that need
 to be bundled with a module.

Package: libmodule-compile-perl
Description-md5: 2c895f469bca163c4a3ab8c4e6b7e773
Description-en: Perl module providing a system to compile Perl modules
 Module::Compile provides a system for writing modules that *compile* other
 Perl modules.
 .
 Modules that use these compilation modules get compiled into some
 altered form the first time they are run. The result is cached into
 ".pmc" files.
 .
 Perl has native support for ".pmc" files. It always checks for them,
 before loading a ".pm" file.

Package: libmodule-corelist-perl
Description-md5: c21e63c7a8b93430a7380fd85795db3b
Description-en: module to determine modules shipped with perl
 Module::CoreList is a Perl module that can provide various information about
 the versions of Perl modules that shipped with perl interpreter releases.
 .
 It contains a hash of hashes which is keyed on the perl interpreter version,
 as indicated in $]. The second level hash has pairs of modules and as well as
 their versions. It's possible that the version of a module is unspecified, in
 which case it will be undef.
 .
 Other features include a hash providing ISO formatted versions of the release
 dates, as gleaned from perlhist, and a hash that clusters known perl releases
 by their major versions.

Package: libmodule-cpanfile-perl
Description-md5: bd04706dbc8c738be5e31b5abb9a9ecf
Description-en: format for describing CPAN dependencies of Perl applications
 Module::CPANfile is a tool to handle cpanfile format to load application
 specific dependencies, not just for CPAN distributions.
 .
 `cpanfile` describes CPAN dependencies required to execute associated Perl
 code.
 .
 Place the `cpanfile` in the root of the directory containing the associated
 code. For instance, in a Catalyst application, place the `cpanfile` in the
 same directory as `myapp.conf`.
 .
 Tools supporting `cpanfile` format (e.g. cpanm and carton) will automatically
 detect the file and install dependencies for the code to run.

Package: libmodule-cpants-analyse-perl
Description-md5: 3f107ff03ea640300d187a83eba0e9c3
Description-en: Perl module to generate Kwalitee ratings for a distribution
 Module::CPANTS::Analyse is a utility module used by Test::Kwalitee and others
 to analyse CPAN distributions and determine their Kwalitee. Kwalitee is a set
 of software metrics useful for determine how good your software is; it's not
 true software quality, which your computer can't measure in a general sense.
 (If you can, you've solved a hard problem in computer science.)
 .
 Kwalitee looks like quality, sounds like quality, but it's not quite quality.
 If you plan to release a distribution to the CPAN -- or even within your own
 organization -- testing its Kwalitee before creating a release can help you
 improve your quality as well.

Package: libmodule-depends-perl
Description-md5: 2936d4ca9f7140b8b0664bbff8dac3c8
Description-en: Perl module to identify the dependencies of a distribution
 Module::Depends extracts module dependencies from an unpacked
 distribution tree.
 .
 Module::Depends only evaluates the {MY,}META.{json,yml} files shipped with a
 distribution. This won't be effective until all distributions ship such
 files, so you should look at Module::Depends::Intrusive.

Package: libmodule-extract-perl
Description-md5: ea2d7cd52575ddd25ad110573710a74d
Description-en: base class for working with Perl distributions
 Module::Extract is a convenience base class for modules that work with
 Perl distributions.
 .
 Its purpose is to take care of the mechanisms of locating and extracting
 a Perl distribution so that your module can do something specific to the
 distribution.

Package: libmodule-extract-use-perl
Description-md5: 7e55ad26f8bca9280f0aa57526ae3fc6
Description-en: Perl module to extract which modules some code uses
 Module::Extract::Use extracts the names of the modules used in a file using a
 static analysis. It uses PPI (Perl Parsing Interface) to tokenize and extract
 the file data.
 .
 Since this module does not run code, it cannot find dynamic uses of modules,
 such as eval "require $class".

Package: libmodule-extractuse-perl
Description-md5: 7dcf947b8c07987f1d0d8f98d647bdfa
Description-en: Perl module to find out modules used by the specified Perl source
 Module::ExtractUse is basically a Parse::RecDescent grammar to parse Perl
 code. It tries very hard to find all modules (whether pragmas, Core, or from
 CPAN) used by the parsed code.
 .
 "Usage" is defined by either calling use or require.

Package: libmodule-faker-perl
Description-md5: a594dc90ff087b2464e5baa2f82a32ee
Description-en: module to build fake dists for testing CPAN tools
 Module::Faker is a tool for building fake CPAN modules and, perhaps more
 importantly, fake CPAN distributions. These are useful for running tools that
 operate against CPAN distributions without having to use real CPAN
 distributions. This is much more useful when testing an entire CPAN instance,
 rather than a single distribution, for which see CPAN::Faker.

Package: libmodule-find-perl
Description-md5: cc5e109724f5af65cc95c1183bb933a6
Description-en: module to find and use installed Perl modules
 Module::Find is a Perl module that allows developers to find and use modules
 in categories. This is useful for auto-detecting driver or plugin modules.
 You can differentiate between looking in the category itself or in all
 subcategories.
 .
 If you want Module::Find to search in a certain directory (like the plugins
 directory of your software installation), make sure you modify @INC before
 you call the Module::Find functions.

Package: libmodule-info-perl
Description-md5: d2f74c45bf0bbe7c7b37ef4ffb97d061
Description-en: Perl module providing information about Perl modules
 Module::Info is a Perl module for determining information about Perl modules
 without actually loading the module. It isn't actually specific to modules
 and should work on any Perl code.

Package: libmodule-inspector-perl
Description-md5: ebcd4d546ec09599c7eb1158bd2a65da
Description-en: integrated API for inspecting Perl distributions
 Module::Inspector provides an unified and easy to use API for examining Perl
 module distributions, either unrolled on disk or as a tarball.
 .
 It provides a single API for accessing various module features, such as
 dependencies, regardless of the method used by the module to describe them.

Package: libmodule-install-authorrequires-perl
Description-md5: d829ce0461180ea8741070c651ab47fb
Description-en: declare author-only dependencies
 Modules often have optional requirements, for example dependencies that
 are useful for (optional) tests, but not required for the module to
 work properly.
 .
 Usually you want all developers of a project to have these optional
 modules installed. However, simply telling everyone or printing
 diagnostic messages if optional dependencies are missing often isn't
 enough to make sure all authors have all optional modules installed.
 .
 Module::Install already has a way of detecting an author environment,
 so an easy way to achieve the above would be something like:
 .
  if ($Module::Install::AUTHOR) {
    requires 'Some::Module';
    requires 'Another::Module' => '0.42';
  }
 .
 Unfortunately, that'll also make the optional dependencies show up in
 the distributions "META.yml" file, which is obviously wrong, as they
 aren't actually hard requirements.
 .
 Working that around requires a considerable amount of non-trivial
 Makefile.PL hackery, or simply using Module::Install::AuthorRequires's
 "author_requires" command.

Package: libmodule-install-authortests-perl
Description-md5: d58628dfae5efbe6dd40ea321ace7ab4
Description-en: designate tests only run by module authors
 Module::Install::AuthorTests adds two Module::Install commands which
 declares that the test files found in the directory ./xt should be run
 only if the module is being built by an author.

Package: libmodule-install-autolicense-perl
Description-md5: 1747c5d390219a47179c5c68d681c449
Description-en: automagically generate LICENSE files
 Module::Install::AutoLicense is a Module::Install extension that
 generates a "LICENSE" file automatically whenever the author runs
 "Makefile.PL". On the user side it does nothing.
 .
 When "make distclean" is invoked by the author, the "LICENSE" is
 removed.
 .
 The appropriate license is determined from the meta provided with the
 "license" command and the holder of the license from the "author"
 command.
 .
 Software::License is used to generate the "LICENSE" file.

Package: libmodule-install-automanifest-perl
Description-md5: 185f4c4946bbf47368fbe7df1291b664
Description-en: generate MANIFEST automatically
 The Module::Install::AutoManifest extension adds behavior for automatically
 generating MANIFEST to Module::Install.
 .
 Before 'make distdir', 'make manifest' will be run for you
 automatically. Likewise, 'make distclean' will remove your
 automatically-generated MANIFEST.

Package: libmodule-install-contributors-perl
Description-md5: d76a17b416799dd6ea94895b1095720e
Description-en: add an "x_contributors" section to your META.yml
 Module::Install::Contributors is a plugin for Module::Install. It adds
 a "x_contributors" section to your META.yml file. This is an array of
 strings, which should normally be in "Name <email>" format.

Package: libmodule-install-copyright-perl
Description-md5: 0a2c1f83baa837191c1b9a66650f3d2c
Description-en: package a COPYRIGHT file with a distribution
 Module::Install::Copyright extracts copyright and licensing information
 from embedded pod and/or RDF metadata included in the distribution, and
 outputs it as a text file called "COPYRIGHT" which should roughly
 conform to the Debian copyright file format.
 .
 This package also provides Module::Install::Credits.

Package: libmodule-install-doap-perl
Description-md5: a57ca3fef18eeba4ab810f371fa79656
Description-en: generate META.yml data from DOAP
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 Module::Install::DOAP generates your META.yml file from RDF data
 (especially DOAP) in your distribution's 'meta' directory.

Package: libmodule-install-doapchangesets-perl
Description-md5: eeb48bad1a9d4f979fdab2fd491df9b3
Description-en: write your distribution change log in RDF
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 Module::Install::DOAPChangeSets allows you to write your Changes file
 in Turtle or RDF/XML and autogenerate a human-readable text file.
 .
 To do this, create an RDF file called "meta/changes.ttl" (or something
 like that) and describe your distribution's changes in RDF using the
 Dublin Core, DOAP, and DOAP Change Sets vocabularies. Then in your
 Makefile.PL, include:
 .
  write_doap_changes "meta/changes.ttl", "Changes", "turtle";
 .
 This line will read your data from the file named as the first
 argument, parse it using either Turtle or RDFXML parsers (the third
 argument), and output a human-readable changelog to the file named as
 the second argument.

Package: libmodule-install-extratests-perl
Description-md5: 72328931ce5e05e97109b25c2f326de0
Description-en: contextual tests that the harness can ignore
 Module::Install::ExtraTests adds one Module::Install command:
 .
  extra_tests;
 .
 This declares that the test files found in the directory ./xt should be
 run only in certain instances:
 .
  ./xt/author  - run when tests are being run in an author's working copy
  ./xt/smoke   - run when the dist is being smoked (AUTOMATED_TESTING=1)
  ./xt/release - run during "make disttest"
 .
 These directories are recurisvely scanned for *.t files.  If any
 directories or files exist in ./xt that are not recognized, the
 Makefile.PL will die.

Package: libmodule-install-manifestskip-perl
Description-md5: 41d6a9d117e9570c321128aad55e9559
Description-en: generate a MANIFEST.SKIP file
 Module::Install::ManifestSkip generates a "MANIFEST.SKIP" file for you
 (using Module::Manifest::Skip) that contains the common files that
 people do not want in their "MANIFEST" files. The SKIP file is
 generated each time that you (the module author) run "Makefile.PL".
 .
 You can add your own custom entries at the top of the "MANIFEST" file.
 Just put a blank line after your entries, and they will be left alone.
 .
 This module also adds 'MANIFEST' to the "clean_files()" list so that
 "make clean" will remove your "MANIFEST".

Package: libmodule-install-perl
Description-md5: 39bd50891a371c10b1ee578cd6c09c7a
Description-en: framework for installing Perl modules
 NOTE: while Module::Install pioneered many great ideas in its time, its
 primary benefits have been better achieved by other tools, like Dist::Zilla,
 and its spinoffs. Use of Module::Install for new distributions is therefore
 discouraged by the maintainers.
 .
 Module::Install is a package for writing installers for CPAN (or CPAN-like)
 distributions that are clean, simple, minimalist, act in a strictly correct
 manner with ExtUtils::MakeMaker. It will run on any Perl installation version
 5.005 or newer.
 .
 It is designed to automatically include a copy of itself with modules that
 use it, thus ensuring that bleeding-edge features will be available for use
 in Makefile.PL in a simple and portable way. It is simple to learn, and has
 the same features as ExtUtils::MakeMaker and Module::Build. However, it has
 the advantage that it requires no installation for end-users.

Package: libmodule-install-rdf-perl
Description-md5: 06347aa1c55860c06e99e0f25f0c9150
Description-en: advanced metadata for your Perl packaging
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 Module::Install::RDF doesn't really do much on its own, but is a
 pre-requisite for Module::Install::DOAP.
 .
 Specifically, it reads all the RDF it can find in the distribution's
 'meta' directory and exposes it for other modules to make use of. It
 also allows you to write out a combined graph using Turtle.

Package: libmodule-install-readmefrompod-perl
Description-md5: 572ad419b006ba4dc684e5625836a57f
Description-en: automatically convert POD to a README
 Module::Install::ReadmeFromPod is a Module::Install extension that
 generates a "README" file automatically from an indicated file
 containing POD, whenever the author runs "Makefile.PL". Several output
 formats are supported: plain-text, HTML, PDF or manpage.

Package: libmodule-install-rtx-perl
Description-md5: 664c8ebea0c190abdeb2766fae33b3bb
Description-en: RT extension installer
 This Module::Install extension implements several functions
 for installing RT extensions.
 .
 This is for Debian packagers of RT extensions.

Package: libmodule-install-trustmetayml-perl
Description-md5: 65c50a284e897b93d6afde928daacfb6
Description-en: trust META.yml list of dependencies
 CPAN doesn't trust "META.yml"'s list of dependencies for a module.
 Instead it expects "Makefile.PL" run on the computer the package is
 being installed upon to generate its own list of dependencies (called
 "MYMETA.yml" or "MYMETA.json").
 .
 Module::Install::TrustMetaYml generates "MYMETA.yml" by simply passing
 through the dependencies from "META.yml".

Package: libmodule-install-xsutil-perl
Description-md5: fc0a9dba08228573538ee6390e1a2278
Description-en: Module::Install extension for handling XS modules
 Module::Install::XSUtil is a Perl module which extends Module::Install by
 providing a set of utilities to setup distributions which include or depend
 on XS module.
 .
 For examples of this module in action in the wild, see XS::MRO::Compat and/or
 Method::Cumulative.

Package: libmodule-load-conditional-perl
Description-md5: c762ba860ae06f9230999cb027d2e479
Description-en: module for looking up information about modules
 Module::Load::Conditional provides simple ways to query and possibly load any
 of the modules you have installed on your system during runtime. It is able
 to load multiple modules at once or none at all if one of them was not able
 to load. It also takes care of any error checking and so forth.

Package: libmodule-manifest-perl
Description-md5: 25e7dafa9186706da0d58cbea4f1f9db
Description-en: module for parsing and examining a Perl distribution MANIFEST file
 Module::Manifest is a simple utility module created originally for use in
 Module::Inspector.
 .
 It allows you to load the MANIFEST file that comes in a Perl distribution
 tarball, examine the contents, and perform some simple tasks.
 .
 Granted, the functionality needed to do this is quite simple, but the Perl
 distribution MANIFEST specification contains a couple of little
 idiosyncracies, such as line comments and space-seperated inline comments.
 .
 The use of this module means that any little nigglies are dealt with behind
 the scenes, and you can concentrate the main task at hand.

Package: libmodule-manifest-skip-perl
Description-md5: 211ce72c2a88489aef81bba355d4457e
Description-en: MANIFEST.SKIP management for Perl modules
 Module::Manifest::Skip is mostly intended for module packaging
 frameworks to share a common, up-to-date "MANIFEST.SKIP" base. For
 example, Module::Install::ManifestSkip, uses this module to get the
 actual SKIP content. However this module may be useful for any module
 author.
 .
 CPAN module authors use a MANIFEST.SKIP file to exclude certain well
 known files from getting put into a generated MANIFEST file, which
 would cause them to go into the final distribution package.
 .
 The packaging tools try to automatically skip things for you, but if
 you add one of your own entries, you have to add all the common ones
 yourself. This module attempts to make all of this boring process as
 simple and reliable as possible.
 .
 Module::Manifest::Skip can create or update a MANIFEST.SKIP file for
 you. You can add your own entries, and it will leave them alone. You
 can even tell it to not skip certain entries that it normally skips,
 although this is rarely needed.

Package: libmodule-math-depends-perl
Description-md5: 4d6a95573f90ebddad82257bbf47ae30
Description-en: convenience object for manipulating Perl module dependencies
 Module::Math::Depends is a convenience module for storing Perl module
 interdependencies. It can accumulate several dependency links, picking the
 most requiring ones, so that you end up with a set of dependencies that
 represent all of imput dependency relationships.

Package: libmodule-metadata-perl
Description-md5: eca75d7541a5cefdf17187227243db09
Description-en: Perl module to gather package and POD information from perl module files
 Module::Metadata provides a standard way to gather metadata (like name,
 version, list of packages, list of pod sections, ...) about a .pm file
 through (mostly) static analysis and (some) code execution. When
 determining the version of a module, the $VERSION assignment is evaled,
 as is traditional in the CPAN toolchain.

Package: libmodule-optional-perl
Description-md5: c0a317fb7084df4e2da924b0b9223d7d
Description-en: Module::Optional - Breaking module dependency chains
 This module provides a way of using a module which may or may not be
 installed on the target machine. If the module is available it behaves
 as a straight use. If the module is not available, subs are repointed to
 their equivalents in a dummy namespace.

Package: libmodule-package-perl
Description-md5: 433166e3b0522e88aff945b3b3a3aa57
Description-en: postmodern Perl module packaging
 Module::Package is a drop-in replacement for Module::Install. It does
 everything Module::Install does, but just a bit better.
 .
 Actually this module is simply a wrapper around Module::Install. It
 attempts to drastically reduce what goes in a Makefile.PL, while at the
 same time, fixing many of the problems that people have had with
 Module::Install (and other module frameworks) over the years.

Package: libmodule-package-rdf-perl
Description-md5: 62d6ed8738c1aa3a22471437c44266e8
Description-en: drive your distribution with RDF
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 Module::Package::RDF allows you to keep a really simple Makefile.PL:
 Just add semantic packaging information as RDF Turtle files.

Package: libmodule-path-perl
Description-md5: 19a59345d1e412e07e1a436aadd06f93
Description-en: module to get the full path to a locally installed Perl module
 Module::Path provides a single function, module_path(), which takes a module
 name and finds the first directory in the @INC path where the module is
 installed locally. It returns the full path to that file, resolving any
 symlinks.

Package: libmodule-pluggable-fast-perl
Description-md5: c05c18640bfcfbea329a589bf6681afa
Description-en: module for fast plugins with instantiation
 Module::Pluggable::Fast is similar to Module::Pluggable but instantiates
 plugins as soon as they are found, which is useful for code generators like
 Class::DBI::Loader.

Package: libmodule-pluggable-ordered-perl
Description-md5: 8773144fb6b6131835f6fc3295fc20af
Description-en: Perl module to load plugins in a specified order
 Module::Pluggable::Ordered is a Perl module which extends the functionality
 provided by Module::Pluggable, allowing hooks to determine an ordering for
 modules to be loaded, producing an effect like the System V init process,
 where files can specify where in the init sequence they'd like to be called.

Package: libmodule-pluggable-perl
Description-md5: c7c2682ba1b76dc1b9bc7f5fdb566d38
Description-en: module for giving  modules the ability to have plugins
 Module::Pluggable provides a simple but, hopefully, extensible way of having
 'plugins' for your module. Essentially all it does is export a method into
 your namespace that looks through a search path for .pm files and turn those
 into class names. Optionally it instantiates those classes for you.

Package: libmodule-reader-perl
Description-md5: 9d0b7cf5080a2ad9c72af29d19dfa3ab
Description-en: module to find and read perl modules like perl does
 Module::Reader finds modules in @INC using the same algorithm perl does. From
 that, it will give you the source content of a module, the file name (where
 available), and how it was found. Searches (and content) are based on the
 same internal rules that perl uses for require() and do().

Package: libmodule-refresh-perl
Description-md5: 9642ecb5a41ac4e2b3eb5262b6338805
Description-en: tool to refresh %INC files when updated on disk
 Module::Refresh is a generalization of the functionality provided by
 Apache::StatINC and Apache::Reload.  It's designed to make it
 easy to do simple iterative development when working in a persistent
 environment.
 .
 It does not require mod_perl.

Package: libmodule-runtime-conflicts-perl
Description-md5: dcfb0eb8923b383b591430fcbbbceebe
Description-en: module to provide information on conflicts for Module::Runtime
 Module::Runtime::Conflicts provides conflicts checking for Module::Runtime,
 which had a recent release that broke some versions of Moose. It is called
 from Moose::Conflicts and moose-outdated.

Package: libmodule-scandeps-perl
Description-md5: e27f79a2df77de085028e5eb8da94d32
Description-en: module to recursively scan Perl code for dependencies
 Module::ScanDeps is a Perl module that scans potential modules used by perl
 programs to determine information about modules they depend on. It performs
 static analysis as well as more aggressive scanning (by running files in
 compile-only or normal mode).

Package: libmodule-signature-perl
Description-md5: 003b4a0e2accc05cf4a4ef88654a7733
Description-en: module to manipulate CPAN SIGNATURE files
 Module::Signature is a Perl module that adds cryptographic authentications to
 CPAN distributions, via the special SIGNATURE file. It also includes various
 tools to sign distributions and to verify signatures and supports using many
 different cryptographic hashing algorithms.

Package: libmodule-starter-pbp-perl
Description-md5: d3479be11b5fa51ddc9b4b54275f190d
Description-en: Perl module to create new perl modules following best practices
 Module::Starter::PBP implements a simple approach to creating modules and
 their support files, based on the Module::Starter approach. Module::Starter
 needs to be installed before this module can be used.
 .
 When used as a Module::Starter plugin, this module allows you to specify a
 simple directory of templates which are filled in with module-specific
 information, and thereafter form the basis of your new module.
 .
 The default templates that this module initially provides are based on the
 recommendations in the book "Perl Best Practices" by Damian Conway.

Package: libmodule-starter-perl
Description-md5: 50f4c2a62ee9044d7a70aaf3a959f7bc
Description-en: simple starter kit for Perl modules
 Module::Starter is used to create a skeletal Perl module distribution,
 including basic builder scripts, tests, documentation, and module code.
 The module-starter script should be enough for most users, but the core
 Module::Starter module can also be overridden for more complex situations.

Package: libmodule-starter-plugin-cgiapp-perl
Description-md5: e2e6e0be26a18a011a0159c1117a2d71
Description-en: template based module starter for CGI apps
 This is a plugin for Module::Starter that builds you a skeleton
 CGI::Application module with all the extra files needed to package it for
 CPAN. You can customize the output using HTML::Template.

Package: libmodule-starter-plugin-simplestore-perl
Description-md5: dd998326b60306be370418573a62305b
Description-en: template storage methods for Module::Starter
 This Module::Starter plugin is intended to be loaded after
 Module::Starter::Plugin::Template. It implements the templates method,
 required by the Template plugin. The DirStore plugin stores all the required
 templates as files in a directory.

Package: libmodule-starter-plugin-tt2-perl
Description-md5: af931c7f089359e83c731c056394e59b
Description-en: module providing Template toolkit support for Module::Starter
 Module::Starter::Plugin::TT2 is intended to be loaded after
 Module::Starter::Plugin::Template. It implements the renderer and render
 methods, required by the Template plugin. The methods are implemented with
 Template Toolkit.
 .
 Module::Starter::Plugin::TT2's distribution includes a directory,
 templates/dir, and a file templates/inline that contain stock templates for
 use with the InlineStore and DirStore plugins. The module itself contains
 default templates in its data section.

Package: libmodule-starter-smart-perl
Description-md5: 4c52c28700cff768ce2f5684205e69ad
Description-en: Module::Starter plugin to add new modules into existing distributions
 Module::Starter::Smart is a simple helper plugin for Module::Starter. It
 overrides the create_distro, create_modules, and create_t subroutines
 defined in whichever engine plugin in use (say, Module::Starter::Simple).
 .
 When invoked with an existing distribution, the plugin may bypass the
 create_basedir subroutine, pull in a list of existing modules as well as
 test files, create new modules, and recreate the manifest file accordingly.

Package: libmodule-used-perl
Description-md5: 3a90a4cc77e0581df729cbd83aa0f6ac
Description-en: library to detect modules loaded by Perl code without running it
 Module::Used is a Perl module that does static analysis of arbitrary Perl
 code, looking for use and require statements to determine what modules are
 required by the code. It also knows about Moose and Moose::Role, so it can
 determine appropriate dependencies in that case too.

Package: libmodule-util-perl
Description-md5: a2483ec7172b039fb899fb776345eae6
Description-en: Perl module to manipulate Perl module names
 Module::Util provides useful functions to manipulate module names. Its main
 aim is to centralise some of the functions commonly used by modules that
 manipulate other modules in some way, like converting module names to relative
 paths.
 .
 It also provides some general utility functions for working with modules, such
 as determining whether a string "looks like" a module name, or testing if a
 module is loaded (using %INC magic).

Package: libmodule-versions-report-perl
Description-md5: ec0704ecc86790f2aaac6ec5b16e24ed
Description-en: Report versions of all modules in memory
 The author says: "I often get email from someone reporting a bug in a
 module I've written. I email back, asking what version of the module
 it is, what version of Perl on what OS, and sometimes what version of
 some relevant third library (like XML::Parser). They reply, saying
 "Perl5". I say "I need the exact version, as reported by 'perl -v'".
 They tell me. And I say "I, uh, also asked about the version of my
 module and XML::Parser [or whatever]". They say "Oh yeah. It is 2.27".
 "Is that my module or XML::Parser?" "XML::Parser." "OK, and what
 about my module's version?" "Oh yeah. That's 3.11." By this time,
 days have passed, and what should have been a simple operation --
 reporting the version of Perl and relevant modules, has been
 needlessly complicated".
 .
 This module is for simplifying that task. If you add "use
 Module::Versions::Report;" to a program (especially handy if your
 program is one that demonstrates a bug in some module), then when the
 program has finished running, you well get a report detailing all the
 modules in memory, and noting the version of each (for modules that
 defined a $VERSION, at least).

Package: libmodule-want-perl
Description-md5: 4a32e42f6fbe8b2d469bd8094d4aa685
Description-en: module to check @INC only once for wanted modules
 Sometimes you want to lazy load a module for use in, say, a loop or function.
 First you do the eval-require but then realize if the module is not available
 it will re-search @INC each time. So then you add a lexical boolean to your
 eval and do the same simple logic all over the place.
 .
 Module::Want encapsulates that logic so that have_mod() is like eval {
 require X; 1 } but if the module can't be loaded it will remember that fact
 and not look in @INC again on subsequent calls.

Package: libmoe-dev
Description-md5: 565fd817ce34396e6f3fb5fcf9575c6a
Description-en: library to handle multiple octets character encoding scheme (devel files)
 libmoe is a collection of routines to handle sequence of characters
 consisting of multiple octets.  The main functionalities are to convert
 the encoding of a character from ISO 2022 to "fake" UTF-8, and vice versa.
 .
 This development package contains include files for the interface.
 It includes also a static lib for particular cases.

Package: libmoe1.5
Description-md5: d186220a55afb1c065c446cb35c59616
Description-en: library to handle multiple octets character encoding scheme
 libmoe is a collection of routines to handle sequence of characters
 consisting of multiple octets.  The main functionalities are to convert
 the encoding of a character from ISO 2022 to "fake" UTF-8, and vice versa.

Package: libmojo-executor-java
Description-md5: 51e7a511b27f7cb184f07a6843ed369c
Description-en: Maven Mojo Executor
 The Mojo Executor provides a way to execute other Mojos (plugins) within a
 Maven plugin, allowing one to easily create Maven plugins that are composed
 of other plugins.

Package: libmojo-ioloop-readwriteprocess-perl
Description-md5: 1c6dd792ea9dbefd808c9584b3786e29
Description-en: Execute external programs or internal code blocks as separate process
 Mojo::IOLoop::ReadWriteProcess is yet another process manager.

Package: libmojo-jwt-perl
Description-md5: 84a89b8cca435d57acd49790fc1850de
Description-en: JSON Web Token the Mojo way
 JSON Web Token is described in https://tools.ietf.org/html/rfc7519. Mojo::JWT
 implements that standard with an API that should feel familiar to Mojolicious
 users (though of course it is useful elsewhere). Indeed, JWT is much like
 Mojolicious::Sessions except that the result is a url-safe text string rather
 than a cookie.

Package: libmojo-pg-perl
Description-md5: bb1d2a95f3d8bb64471f38eff726ac32
Description-en: module to make PostgreSQL fun to use with Mojolicious
 Mojo::Pg is a wrapper around DBD::Pg that makes PostgreSQL a lot of
 fun to use with the Mojolicious real-time web framework.
 .
 Features of note include URL-based database connections, automatic
 transaction rollback support, database migrations written in plain SQL,
 and asynchronous triggers.
 .
 Support for Mojo::Pg is present in the Minion job queue for Mojolicious.

Package: libmojo-rabbitmq-client-perl
Description-md5: 565b020aad89c9a41719b2a700dff4b5
Description-en: Mojo::IOLoop based RabbitMQ client
 Mojo::RabbitMQ::Client is a rewrite of AnyEvent::RabbitMQ to work
 on top of Mojo::IOLoop.

Package: libmojo-server-fastcgi-perl
Description-md5: 1bd85cef707a7f036b9e0a3611f45167
Description-en: Mojolicious FastCGI Server
 Mojo::Server::FastCGI is a portable pure-Perl FastCGI implementation as
 described in the FastCGI Specification.
 .
 Mojolicious::Command::fastcgi is a command interface to
 Mojo::Server::FastCGI.

Package: libmojo-sqlite-perl
Description-md5: 2cca63908adb56d3912d039d3e4e1c99
Description-en: tiny Mojolicious wrapper for SQLite
 Mojo::SQLite is a tiny wrapper around DBD::SQLite that makes SQLite a
 lot of fun to use with the Mojolicious real-time web framework. Use
 all SQL features SQLite has to offer, generate CRUD queries from data
 structures, and manage your database schema with migrations.

Package: libmojolicious-perl
Description-md5: c5246a296b06051e6ccc13560c65eaf4
Description-en: simple, yet powerful, Web Application Framework
 Mojolicious is a Perl Web Application Framework built around the familiar
 Model-View-Controller philosophy. It supports a simple single file mode via
 Mojolicious::Lite, RESTful routes, plugins, Perl-ish templates, session
 management, signed cookies, a testing framework, internationalization, first
 class Unicode support, and more.
 .
 The package also provides the morbo and hypnotoad (development) web servers
 and the mojo command line script.

Package: libmojolicious-plugin-assetpack-perl
Description-md5: c155e7c6e01afda45a63b9cc4f738ca3
Description-en: Mojolicious plugin for compressing and converting assets
 Mojolicious::Plugin::AssetPack is Mojolicious plugin for processing static
 assets. The idea is that JavaScript and CSS files should be served as one
 minified file to save bandwidth and roundtrip time to the server.
 .
 It compresses and converts less, sass, javascript and coffeescript files.

Package: libmojolicious-plugin-authentication-perl
Description-md5: a404f7fe20619b11be702977d1d6e5e2
Description-en: module to make authentication a bit easier for Mojolicious
 Mojolicious::Plugin::Authentication is a module that helps you deal with
 authenticating users in your Mojolicious application.

Package: libmojolicious-plugin-authorization-perl
Description-md5: f0afc05b2b7a311eacf27a9a88bb0d47
Description-en: module to make authorization a bit easier for Mojolicious
 Mojolicious::Plugin::Authorization provides a very simple API implementation
 of role-cbased access control (RBAC). This plugin is only an API you will
 have to do all the work of setting up your roles and privileges and then
 provide four subs that are used by the plugin. The plugin expects that the
 current session will be used to get the role its privileges. It also assumes
 that you have already been authenticated and your role set. That is about it
 you are free to implement any system you like.

Package: libmojolicious-plugin-basicauth-perl
Description-md5: 17b29798e65b2eff2bc6050859443881
Description-en: basic HTTP auth helper for Mojolicious
 Mojolicious::Plugin::BasicAuth contains mojolicious plugin that allows You to
 use basic HTTP authentication inside Your mojolicious web application.

Package: libmojolicious-plugin-bcrypt-perl
Description-md5: af43bf6a1c1a19e936d99f2fb9af6ff0
Description-en: module to bcrypt passwords for Mojolicious
 Mojolicious::Plugin::Bcrypt module provides a helper for crypting and
 validating passwords via bcrypt algorithm inside your mojolicious web
 application.

Package: libmojolicious-plugin-cgi-perl
Description-md5: 7d48195550ed17ccc4d96998df7e87e9
Description-en: Mojolicious plugin to run CGI scripts
 Mojolicious::Plugin::CGI plugin enables Mojolicious to run Perl CGI scripts.
 It does so by forking a new process with a modified environment and reads
 the STDOUT in a non-blocking manner.

Package: libmojolicious-plugin-i18n-perl
Description-md5: 4c22f7962990d63a4e711719b259f2f8
Description-en: internationalization plugin for Mojolicious 3.x and higher
 Mojolicious::Plugin::I18N is an internationalization plugin for Mojolicious 3.x
 and higher. I18N is provided by Locale::Maketext.

Package: libmojolicious-plugin-mailexception-perl
Description-md5: 611507c51d1724734c1ce04b18d90b79
Description-en: Mojolicious plugin to send crash information by email
 The plugin catches all exceptions, packs them into email and sends them to
 email.

Package: libmojolicious-plugin-openapi-perl
Description-md5: 601c2ecf8f16dfb159f38935f3302c84
Description-en: OpenAPI/Swagger plugin for Mojolicious
 Mojolicious::Plugin::OpenAPI is a Mojolicious plugin that adds routes and
 input/output validation to your Mojolicious application based on an OpenAPI
 (Swagger) specification.

Package: libmojolicious-plugin-renderfile-perl
Description-md5: 845c6b72aa3295ffb2555558d6ae1227
Description-en: "render_file" helper for Mojolicious
 Mojolicious::Plugin::RenderFile is a Mojolicious plugin that adds
 "render_file" helper. It does not read file in memory and just streaming it
 to a client.

Package: libmojomojo-perl
Description-md5: 2191e2316d436ff26141e14c7734fb9d
Description-en: wiki- and blog-inspired content management system
 MojoMojo is a feature-rich content management system, borrowing many useful
 concepts from wikis and blogs. It provides a simple interface to maintain a
 full tree-structure of pages, connecting them in various ways. It has full
 support for tracking document versions, so you can always revert changes or
 see what has changed with a simple AJAX-based diff system.
 .
 MojoMojo includes a variety of other features including built-in fulltext
 search, live AJAX previews of edited pages, and RSS feeds for every page.
 To discover more ways MojoMojo can be useful to your organization, please
 visit <URL:http://mojomojo.org>.

Package: libmondrian-java
Description-md5: 72c61fc606fce88f9877e31e8b9b92ed
Description-en: OLAP server written in Java
 Mondrian is an OLAP server written in Java. It enables to interactively
 analyze very large datasets stored in SQL databases without writing SQL.
 .
 It can be used for :
  * High performance, interactive analysis of large or small volumes of
    information
  * "Dimensional" exploration of data, for example analyzing sales by product
    line, by region, by time period
  * Parsing of Multi-Dimensional eXpression (MDX) language into Structured Query
    Language (SQL) to retrieve answers to dimensional queries
  * High-speed queries through the use of aggregate tables in the RDBMS
  * Advanced calculations using the calculation expressions of the MDX language

Package: libmondrian-java-doc
Description-md5: d405e579e9b180f2db2576b40d2ea9de
Description-en: OLAP server written in Java - documentation
 Mondrian is an OLAP server written in Java. It enables to interactively
 analyze very large datasets stored in SQL databases without writing SQL.
 .
 It can be used for :
  * High performance, interactive analysis of large or small volumes of
    information
  * "Dimensional" exploration of data, for example analyzing sales by product
    line, by region, by time period
  * Parsing of Multi-Dimensional eXpression (MDX) language into Structured Query
    Language (SQL) to retrieve answers to dimensional queries
  * High-speed queries through the use of aggregate tables in the RDBMS
  * Advanced calculations using the calculation expressions of the MDX language
 .
 This package contains documentation of libmondrian-java.

Package: libmongo-client-dev
Description-md5: 9444758d0519df5440772b02a6d69585
Description-en: Development files for the alternate C driver for MongoDB
 libmongo-client is an alternative C language driver to the MongoDB
 document-oriented datastore.
 .
 This package is needed to compile programs against libmongo-client0,
 as only it includes the header files and static libraries needed for
 compiling.

Package: libmongo-client-doc
Description-md5: acda6e707f62abb1fbaf5d083c7d3b1e
Description-en: Documentation for the alternate C driver for MongoDB
 libmongo-client is an alternative C language driver to the MongoDB
 document-oriented datastore.
 .
 This package contains the API documentation, tutorials and examples.

Package: libmongo-client0
Description-md5: 8843fd9e99878ab83863afe381d78104
Description-en: Alternate C driver for the MongoDB document-oriented datastore
 MongoDB is a high-performance, open source, schema-free
 document-oriented data store.
 .
 This library provides an alternative C language driver, focusing on
 stability, ease of use, striving to make the most common use cases as
 convenient as possible.
 .
 Among its features are:
 .
   * Well documented, easy, clean and stable API.
   * Support for asynchronous operation.
   * ReplicaSet support, with support for automatic reconnecting and
   discovery.
   * Safe-mode support, to optionally enable extra safety checks on
   writes.

Package: libmongoc-1.0-0
Description-md5: e6169cf9d29c80f10bc51741c28ec2f6
Description-en: MongoDB C client library - runtime files
 libmongoc is the officially supported MongoDB client library for C
 applications.
 .
 This package contains the libmongoc runtime libraries, for applications that
 have been built with libmongoc.

Package: libmongoc-dev
Description-md5: f75b0d98dc34e4da08d5b1a695963802
Description-en: MongoDB C client library - dev files
 libmongoc is the officially supported MongoDB client library for C
 applications.
 .
 This package contains all files necessary to develop applications which
 use libmongoc.

Package: libmongoc-doc
Description-md5: 11b136dcf9b8276606b7deea828477ff
Description-en: MongoDB C client library - documentation
 libmongoc is the officially supported MongoDB client library for C
 applications.
 .
 This package contains the man pages for libmongoc.

Package: libmongoclient-dev
Description-md5: 833edf20ba45ead2addba2c7b3391651
Description-en: MongoDB C++ Driver (development)
 This package provides C++ development file to interact with MongoDB.
 This is the legacy C++ driver and currently supports MongoDB 2.4, 2.6,
 3.0 and 3.2.
 .
 This package contains the development support files.

Package: libmongoclient0
Description-md5: eb40943b02fc97fefbff705e6ede8c92
Description-en: MongoDB C++ Driver (runtime)
 This package provides C++ development file to interact with MongoDB.
 This is the legacy C++ driver and currently supports MongoDB 2.4, 2.6,
 3.0 and 3.2.
 .
 This package includes the shared library.

Package: libmongodb-java
Description-md5: 52a11228eee9abf4d87d93775e661a4b
Description-en: MongoDB Java Driver
 Debian package for the official MongoDB Java Driver providing both synchronous
 and asynchronous interaction with MongoDB. Powering the drivers is a new driver
 core and BSON library.
 .
 Features
 .
 BSON Library
 A standalone BSON library, with a new Codec infrastructure that you can use to
 build high-performance encoders and decoders without requiring an intermediate
 Map instance.
 .
 MongoDB Driver
 An updated Java driver that includes the legacy API as well as a new generic
 MongoCollection interface that complies with a new cross-driver CRUD
 specification.
 .
 MongoDB Async Driver
 A new asynchronous API that can leverage either Netty or Java 7's
 AsynchronousSocketChannel for fast and non-blocking IO.
 .
 Core driver
 The MongoDB Driver and Async Driver are both built on top of a new core
 library, which anyone can use to build alternative or experimental high-level
 APIs.

Package: libmongodb-perl
Description-md5: dd0e80e924cd906751b91fc525ab16ab
Description-en: Mongo Driver for Perl
 MongoDB is a high-performance, open source, schema-free document-oriented
 data store. The MongoDB module provides an interface to easily access
 it from Perl.

Package: libmonitoring-availability-perl
Description-md5: f1aa6cace4e416c02bd7c0bf7fdaae0d
Description-en: Calculate Availability Data from Nagios and Icinga Logfiles
 This module calculates the availability for hosts/server from given
 logfiles. The Logfileformat is Nagios/Icinga only.
 .
 It accepts log messages for calculation in several ways:
  * Strings
  * Single files
  * Directories containing *.log files
  * Livestatus messages (obtained through Monitoring::Livestatus)

Package: libmonitoring-icinga2-client-rest-perl
Description-md5: aae443c42ed2d8186cf96f013a39a926
Description-en: Perl module providing REST integration with icinga2
 Monitoring::Icinga2::Client::REST is used to integrate with the REST API that
 Icinga2 provides.

Package: libmonitoring-livestatus-class-perl
Description-md5: 80bfe351317816250422da5870222860
Description-en: Object-Oriented interface for Monitoring::Livestatus
 This module is an object-oriented interface for Monitoring::Livestatus
 .
 The module is still in an early stage of development, there can be some
 api changes between releases.

Package: libmonitoring-livestatus-perl
Description-md5: da293a11eabfb69fc0dcde833b3907f1
Description-en: Perl API for check_mk livestatus to access runtime
 Monitoring::Livestatus connects via socket/tcp to the check_mk livestatus
 addon for Nagios and Icinga. You first have to install and activate the
 mklivestatus addon in your monitoring installation.

Package: libmonitoring-plugin-perl
Description-md5: 06f8b4f1b7aedf19122bd13f37e0286c
Description-en: family of perl modules to streamline writing Monitoring plugins
 Monitoring::Plugin and its associated Monitoring::Plugin::* modules are a
 family of perl modules to streamline writing Monitoring plugins. The main end
 user modules are Monitoring::Plugin, providing an object-oriented interface
 to the entire Monitoring::Plugin::* collection, and
 Monitoring::Plugin::Functions, providing a simpler functional interface to a
 useful subset of the available functionality.
 .
 The purpose of the collection is to make it as simple as possible for
 developers to create plugins that conform the Monitoring Plugin guidelines
 (https://www.monitoring-plugins.org/doc/guidelines.html).

Package: libmonkey-patch-action-perl
Description-md5: e5b8f722580417ee6ecc0c15dfbbd9d8
Description-en: module to monkey-patching subs from other packages, with restore
 Monkey patching is a way to extend or modify the runtime code of a program or
 library without altering the original source code.
 .
 Monkey::Patch::Action is based on Monkey::Patch and provides lexical scope
 monkey-patching so that you can wrap any other package's subroutine with your
 own code. It makes things even easier by helping you apply a stack of patches
 and unapply them later in flexible order.

Package: libmonkey-patch-perl
Description-md5: 599fcefcdc18f8a3f74021cf28bce735
Description-en: scoped monkey-patching Perl module
 Monkey patching is a way to extend or modify the runtime code of a
 program or library without altering the original source code.
 .
 Monkey::Patch provides lexical scope monkey-patching so that you can wrap
 any other package's subroutine with your own code and still have access
 to the original subroutine.

Package: libmono-2.0-1
Description-md5: ab1dbebe17d39cf9b85626eec8cc58c4
Description-en: Mono JIT library (Default version)
 Shared library for Mono, used for embedding/hosting of the JIT.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmono-2.0-dev
Description-md5: 40549c7fb70e8b2f699f56eecd2d5ef6
Description-en: Mono JIT library - Development files (Default version)
 Header files and static libraries for libmono-2.0.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmono-accessibility4.0-cil
Description-md5: 01e25690427b6fb0bf061c65ec1f199a
Description-en: Mono Accessibility library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Accessibility library for CLI 4.0.

Package: libmono-addins-cil-dev
Description-md5: 95422c97f461dde72935b3b040007273
Description-en: addin framework for extensible CLI applications/libraries
 Mono.Addins is a framework for creating extensible CLI applications, and for
 creating libraries which extend those applications.
 Mono.Addins has been designed to be easy to use and useful for a wide range
 of applications: from simple applications with small extensibility needs, to
 complex applications which need support for large add-in structures.
 .
 This new framework intends to set an standard for building extensible
 applications and add-ins in Mono.
 .
 This package contains development files related to the Mono.Addins library,
 and should be used for compilation.

Package: libmono-addins-gui-cil-dev
Description-md5: 7da1903356cf0fdc5e3c34d1464d7ce9
Description-en: GTK# frontend library for Mono.Addins
 Mono.Addins is a framework for creating extensible CLI applications, and for
 creating libraries which extend those applications.
 Mono.Addins has been designed to be easy to use and useful for a wide range
 of applications: from simple applications with small extensibility needs, to
 complex applications which need support for large add-in structures.
 .
 This package contains a GTK# frontend library for the Mono.Addins.Setup
 library.
 .
 This package contains development files relating to the Mono.Addins.Gui
 library, and should be used for compilation.

Package: libmono-addins-gui0.2-cil
Description-md5: 1011cb7894553eb42897cee6ab5f276d
Description-en: GTK# frontend library for Mono.Addins
 Mono.Addins is a framework for creating extensible CLI applications, and for
 creating libraries which extend those applications.
 Mono.Addins has been designed to be easy to use and useful for a wide range
 of applications: from simple applications with small extensibility needs, to
 complex applications which need support for large add-in structures.
 .
 This package contains a GTK# frontend library for the Mono.Addins.Setup
 library.
 .
 This package contains the Mono.Addins.Gui library.

Package: libmono-addins-msbuild-cil-dev
Description-md5: abc915987d3da7f60c89d44c5233a1ab
Description-en: MSBuild task library for Mono.Addins
 Mono.Addins is a framework for creating extensible CLI applications, and for
 creating libraries which extend those applications.
 Mono.Addins has been designed to be easy to use and useful for a wide range
 of applications: from simple applications with small extensibility needs, to
 complex applications which need support for large add-in structures.
 .
 This package contains a MSBuild task library for the Mono.Addins
 library.
 .
 This package contains development files relating to the Mono.Addins.MSBuild
 library, and should be used for compilation.

Package: libmono-addins-msbuild0.2-cil
Description-md5: 15048664ea9fce937fe46c05094814f5
Description-en: MSBuild task library for Mono.Addins
 Mono.Addins is a framework for creating extensible CLI applications, and for
 creating libraries which extend those applications.
 Mono.Addins has been designed to be easy to use and useful for a wide range
 of applications: from simple applications with small extensibility needs, to
 complex applications which need support for large add-in structures.
 .
 This package contains a MSBuild task library for the Mono.Addins
 library.
 .
 This package contains the Mono.Addins.MSBuild library.

Package: libmono-addins0.2-cil
Description-md5: b4ba8fdb865824f80b27afe107b641ce
Description-en: addin framework for extensible CLI applications/libraries
 Mono.Addins is a framework for creating extensible CLI applications, and for
 creating libraries which extend those applications.
 Mono.Addins has been designed to be easy to use and useful for a wide range
 of applications: from simple applications with small extensibility needs, to
 complex applications which need support for large add-in structures.
 .
 This new framework intends to set an standard for building extensible
 applications and add-ins in Mono.
 .
 This package contains the Mono.Addins assemblies.

Package: libmono-btls-interface4.0-cil
Description-md5: b64ec819f7bd998586fc971bb0eef204
Description-en: Mono Mono.Btls.Interface library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Mono.Btls.Interface library for CLI 4.0, needed
 for using the new BTLS SSL engine.

Package: libmono-cairo4.0-cil
Description-md5: 3cad538bdd5017f4146b38389cb19d3c
Description-en: Mono Cairo library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Cairo library for CLI 4.0.

Package: libmono-cecil-cil
Description-md5: 21204068f21588e11e8c399d633a8225
Description-en: library to generate and inspect CIL assemblies
 Cecil is a library under development to generate and inspect programs and
 libraries in the ECMA CIL format.
 .
 In simple English, with Cecil, you can load existing managed assemblies,
 browse all the contained types, modify them on the fly and save back to the
 disk the modified assembly.
 .
 This package contains the Cecil library

Package: libmono-cecil-cil-dev
Description-md5: 56007ad4828b7a8dc1595202e2633051
Description-en: library to generate and inspect CIL assemblies
 Cecil is a library under development to generate and inspect programs and
 libraries in the ECMA CIL format.
 .
 In simple English, with Cecil, you can load existing managed assemblies,
 browse all the contained types, modify them on the fly and save back to the
 disk the modified assembly.
 .
 This package contains development files for the Cecil library, and should
 be used for compilation.

Package: libmono-cecil-flowanalysis-cil
Description-md5: 72c1ebe0fc3fa19d2cd7a290f09a4635
Description-en: FlowAnalysis extension for Mono.Cecil
 Cecil.FlowAnalysis is an extension for the Cecil CIL-mangling library
 which enhances the ability to read back and analyse program execution
 patterns and flow.
 .
 This package contains the Cecil.FlowAnalysis library itself

Package: libmono-cecil-flowanalysis-cil-dev
Description-md5: 2beb4727722d1ee42e9b9ecf0f0cbf37
Description-en: FlowAnalysis extension for Mono.Cecil
 Cecil.FlowAnalysis is an extension for the Cecil CIL-mangling library
 which enhances the ability to read back and analyse program execution
 patterns and flow.
 .
 This package contains development files for the Cecil.FlowAnalysis
 library, and should be used for compilation

Package: libmono-cecil-private-cil
Description-md5: d564873520a1b5990e4c4443e97c1984
Description-en: Mono.Cecil library
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the private Mono.Cecil library that allows one to
 generate and inspect programs and libraries in the ECMA CIL format.

Package: libmono-cecil-vb0.9-cil
Description-md5: 2f690b21510013bbe7f4251e4e75be14
Description-en: Visual Basic 2012 Cecil libraries for Mono
 This package contains the Cecil libraries for the Mono Visual Basic library,
 aimed at the specifications / features of the Visual Basic 2012.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Novell.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmono-cil-dev
Description-md5: b3ffc3f2c198d9bf41ba035ceb7c5c5e
Description-en: Mono Base Class Libraries (BCL) - Development files
 This package contains development headers for the Mono Base Class Libraries.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmono-codecontracts4.0-cil
Description-md5: 0f9bf7bad06cfb237e0947b1bdc3bef7
Description-en: Mono.CodeContracts library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.CodeContracts library for CLI 4.0.

Package: libmono-compilerservices-symbolwriter4.0-cil
Description-md5: 18b1e3a7c7cc618f86b8abed7427378c
Description-en: Mono.CompilerServices.SymbolWriter library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.CompilerServices.SymbolWriter library
 for CLI 4.0.

Package: libmono-corlib4.5-cil
Description-md5: b9348047abaa2f15655ede6d853a4c94
Description-en: Mono core library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Core Library (mscorlib.dll) of Mono for CLI 4.5,
 which is the glue between the BCL (Base Class Libraries) and the JIT.
 .
 You should install libmono-i18n-west4.0-cil if you are using
 ISO 8859-15 (Latin 9) or other common Western European code pages.
 US-ASCII, ISO 8859-1 (Latin 1) and UTF-8 users don't need any extra I18N
 packages.

Package: libmono-cscompmgd0.0-cil
Description-md5: 85ebf14f16a0ed6e044f00dd7704e908
Description-en: Mono cscompmgd library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono cscompmgd library version 0.0, for CLI 4.0.

Package: libmono-csharp4.0c-cil
Description-md5: d674a3b6e4a7b4a83ff74b492cecb43c
Description-en: Mono.CSharp library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.CSharp library for CLI 4.0.

Package: libmono-custommarshalers4.0-cil
Description-md5: 1ef3fc5c461965be6e44da8d40fcf3aa
Description-en: Mono CustomMarshalers library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the CustomMarshalers library for CLI 4.0.

Package: libmono-data-tds4.0-cil
Description-md5: 3692f0cb3febb7a4eaefe872d097f5b6
Description-en: Mono Data Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler
 and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Data library for CLI 4.0.

Package: libmono-db2-1.0-cil
Description-md5: 3c28ae2d2103f915d76e9bbe31dec2bc
Description-en: Mono DB2 library
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono DB2 library.

Package: libmono-debugger-libs-cil-dev
Description-md5: a55df737bbc59179b31e673d7f7aebba
Description-en: Mono soft debugger interface libraries - development headers
 Mono's debugger-libs is a repository of various libraries to interface
 with the soft debugger libraries (and abstract debugger functions so
 other debuggers may be inserted as replacements).
 .
 This package contains the development headers for all debugger-libs
 assemblies.

Package: libmono-debugger-soft-cil
Description-md5: 324ac7b4a8b635670812efb719ed2344
Description-en: Mono soft debugger interface libraries - low level API
 Mono's debugger-libs is a repository of various libraries to interface
 with the soft debugger libraries (and abstract debugger functions so
 other debuggers may be inserted as replacements).
 .
 The Mono Soft Debugger low level API.

Package: libmono-debugger-soft4.0a-cil
Description-md5: b2b9fac809d6201b221707a5c359d5b6
Description-en: Mono Soft Debugger library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Soft Debugger library for CLI 4.0.

Package: libmono-debugging-cil
Description-md5: 3065e03e35767fb5c4dfaff738524218
Description-en: Mono soft debugger interface libraries - API abstraction
 Mono's debugger-libs is a repository of various libraries to interface
 with the soft debugger libraries (and abstract debugger functions so
 other debuggers may be inserted as replacements).
 .
 Pluggable debugger API abstraction. It provides a common API to be
 used as frontend to different debuggers.

Package: libmono-debugging-soft-cil
Description-md5: 0297986325d13563815e2b5ab70e05e1
Description-en: Mono soft debugger interface libraries - Mono.Debugging backend
 Mono's debugger-libs is a repository of various libraries to interface
 with the soft debugger libraries (and abstract debugger functions so
 other debuggers may be inserted as replacements).
 .
 Mono.Debugging backend for the Mono Soft Debugger.

Package: libmono-fuse-cil
Description-md5: 1c723e535f354a3bc1b886121bf3c4c4
Description-en: CLI binding for FUSE
 Mono.Fuse is a binding for the FUSE library, permitting user-space
 file systems to be written in C# or other CLI based / enabled
 languages.

Package: libmono-http4.0-cil
Description-md5: 65a561fb620581a1190c638b7d41ec59
Description-en: Mono.Http library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.Http library for CLI 4.0.

Package: libmono-i18n-cjk4.0-cil
Description-md5: 8106d7f4f8f7ac7f375ae9a0d8510346
Description-en: Mono I18N.CJK library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the I18N.CJK library for CLI 4.0.

Package: libmono-i18n-mideast4.0-cil
Description-md5: c04c9e411d7ece0c75314a03d75cf032
Description-en: Mono I18N.MidEast library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the I18N.MidEast library for CLI 4.0.

Package: libmono-i18n-other4.0-cil
Description-md5: 8b53dbaa17e32844ec1ee3ceb9a6ffab
Description-en: Mono I18N.Other library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the I18N.Other library for CLI 4.0.

Package: libmono-i18n-rare4.0-cil
Description-md5: 4e36031c4da3969fa20f779345da4700
Description-en: Mono I18N.Rare library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the I18N.Rare library for CLI 4.0.

Package: libmono-i18n-west4.0-cil
Description-md5: bbb1f3ed6bdf1f7980de1f5d24143fb1
Description-en: Mono I18N.West library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the I18N.West library for CLI 4.0, containing Central
 and Western European code pages such as ISO 8859-3 (Latin 3),
 ISO 8859-15 (Latin 9) and others.

Package: libmono-i18n4.0-all
Description-md5: 684c9eabe2a32a5e074d1d5380a6fddf
Description-en: Mono I18N libraries metapackage (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package will install all I18N libraries for CLI 4.0.

Package: libmono-i18n4.0-cil
Description-md5: 09cc8dcd1de793c67cfef1e6ef05d039
Description-en: Mono I18N base library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package also includes the base I18N library used by all I18N
 libraries.

Package: libmono-ldap4.0-cil
Description-md5: d9a2c83fc9264bc3e18041fc5c146d92
Description-en: Mono LDAP library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono LDAP library for CLI 4.0.

Package: libmono-management4.0-cil
Description-md5: caec665407fe914e55aec4c0bcb0e9de
Description-en: Mono Management library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.Management library for CLI 4.0, which provides
 attach functionality for the Mono runtime. It allows one to load code
 externally into a Mono process to debug or augment code live.

Package: libmono-messaging-rabbitmq4.0-cil
Description-md5: 55621d64556c992fdb784d67d974b47b
Description-en: Mono Messaging RabbitMQ library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler
 and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.Messaging.RabbitMQ library for CLI 4.0.

Package: libmono-messaging4.0-cil
Description-md5: cb7410fa63ae6b8cc40e13af2fb1daa1
Description-en: Mono Messaging library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.Messaging library for CLI 4.0, which provides
 messaging functionality using different implementations. At this time Mono
 does not provide a System.Messaging implementation.

Package: libmono-microsoft-build-engine4.0-cil
Description-md5: 1247f414de9e2e1efc48d56354c7a235
Description-en: Mono Microsoft.Build.Engine library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Microsoft.Build.Engine library for CLI 4.0.

Package: libmono-microsoft-build-framework4.0-cil
Description-md5: 521ef46eb4fff058ea953411bf6e7834
Description-en: Mono Microsoft.Build.Framework library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Microsoft.Build.Framework library for CLI 4.0.

Package: libmono-microsoft-build-tasks-v4.0-4.0-cil
Description-md5: d0c9af113a05fc7c9e5734e78dbd3aac
Description-en: Mono Microsoft.Build.Tasks.v4.0 library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Microsoft.Build.Tasks.v4.0 library for CLI 4.0.

Package: libmono-microsoft-build-utilities-v4.0-4.0-cil
Description-md5: dc4ca24f26da919a9e39d2717b00115a
Description-en: Mono Microsoft.Build.Utilities.v4.0 library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Microsoft.Build.Utilities.v4.0 library
 for CLI 4.0.

Package: libmono-microsoft-build4.0-cil
Description-md5: 97b7e9a521375d342b1a2a4b98b88d05
Description-en: Mono Microsoft.Build library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Microsoft.Build library for CLI 4.0.

Package: libmono-microsoft-csharp4.0-cil
Description-md5: 3a6ea56d61d663ae9e9136d99bc86e03
Description-en: Mono Microsoft.CSharp library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Microsoft.CSharp library version 4.0.

Package: libmono-microsoft-visualbasic10.0-cil
Description-md5: 0bd31a27e6c1681a197b6d2349eb7bb6
Description-en: Visual Basic 2012 runtime libraries for Mono
 This package contains the Mono Visual Basic runtime library, aimed at
 the specifications / features of the Visual Basic 2010.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Novell.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmono-microsoft-visualc10.0-cil
Description-md5: 749143437420c731c2bca738530c7336
Description-en: Mono Microsoft.VisualC library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Microsoft.VisualC library version 10.0,
 for CLI 4.0.

Package: libmono-microsoft-web-infrastructure1.0-cil
Description-md5: cb233cf50954f57990a6629556218df9
Description-en: Mono Microsoft.Web.Infrastructure library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Microsoft.Web.Infrastructure library
 version 1.0, for CLI 4.0.

Package: libmono-oracle4.0-cil
Description-md5: 7a9aa9055753eb855953d2702e716ec1
Description-en: Mono Oracle library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Oracle library for CLI 4.0.

Package: libmono-parallel4.0-cil
Description-md5: ea043104b1d2835242422612c8f9888f
Description-en: Mono.Parallel library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.Parallel library for CLI 4.0 which ships some
 threading utility classes.

Package: libmono-peapi4.0a-cil
Description-md5: f348871ae4d227e0fec02055a78f296d
Description-en: Mono PEAPI library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono PEAPI library for CLI 4.0.

Package: libmono-posix4.0-cil
Description-md5: ff788b5250a346c6b6a19c2694d5a435
Description-en: Mono.Posix library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.Posix library for CLI 4.0 that binds many APIs
 found in glibc or intl.

Package: libmono-profiler
Description-md5: ea7923bb590dd0f96c9a33145568a361
Description-en: Mono profiler libraries
 Profiler libraries for Mono, used for profiling applications running on Mono.
 For details how to use them, please take a look at the mono manpage.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmono-profiler-gui-thread-check
Description-md5: d98ecab6cee3ee92b042c1b67b4309e9
Description-en: Mono profiler library to debug GUI threading issues
 This package provides the gui-thread-check Mono profiler library. This library
 is used to find incorrect thread usage in GTK# applications. The
 gui-thread-check library is only activated if explicitly passed to the Mono
 runtime by adding --profile=gui-thread-check as parameter.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libmono-rabbitmq4.0-cil
Description-md5: 4053f71554e92f8366c253de467b6c7d
Description-en: Mono RabbitMQ.Client library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler
 and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the RabbitMQ.Client library for CLI 4.0.

Package: libmono-reflection-cil
Description-md5: 4f2ebaacb03b80ada8a49483d3d6c0a2
Description-en: CLI reflection helper library
 This package provides the Mono.Reflection assembly that provides CLI (.NET)
 programs with additional helper namespaces as a companion to System.Reflection
 and System.Reflection.Emit.
 .
 This package contains the Mono.Reflection assembly.

Package: libmono-reflection-cil-dev
Description-md5: 6e7352304fecf0b3032311c2480f4361
Description-en: CLI reflection helper library
 This package provides the Mono.Reflection assembly that provides CLI (.NET)
 programs with additional helper namespaces as a companion to System.Reflection
 and System.Reflection.Emit.
 .
 This package contains development files for the Mono.Reflection assembly,
 and should be used for compilation.

Package: libmono-relaxng4.0-cil
Description-md5: f797ef231e2a4b9169f8655dd37de4d7
Description-en: Mono Relaxng library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Relaxng library for CLI 4.0.

Package: libmono-security4.0-cil
Description-md5: 1d62f62d6ff4829f9ec59087a3745678
Description-en: Mono Security library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Security library for CLI 4.0.

Package: libmono-sharpzip4.84-cil
Description-md5: dda1f56260ba57d30ddbff3bd080700e
Description-en: Mono SharpZipLib library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono SharpZipLib library version 4.84, for CLI 4.0.

Package: libmono-simd4.0-cil
Description-md5: 960d4c7486db3919eb931dc09c8515b7
Description-en: Mono SIMD (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.Simd library for CLI 4.0, which provides a
 number of classes that are hardware accelerated by mapping the classes and
 the actual operations to native SIMD instructions on a processor.

Package: libmono-smdiagnostics0.0-cil
Description-md5: 5e1b26624d57a26adfa815fb445ef57b
Description-en: Mono SMDiagnostics Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the SMDiagnostics library which is part
 of the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-sqlite4.0-cil
Description-md5: 63658f1705fd06d82fb68709d2f2f974
Description-en: Mono Sqlite library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Sqlite library for CLI 4.0.

Package: libmono-system-componentmodel-composition4.0-cil
Description-md5: 89ec387d9cbb3fad6638bc0bdcfedad2
Description-en: Mono System.ComponentModel.Composition library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.ComponentModel.Composition library
 for CLI 4.0.

Package: libmono-system-componentmodel-dataannotations4.0-cil
Description-md5: 12aca1b12cfb475a38b10fcc73c5adc7
Description-en: Mono System.ComponentModel.DataAnnotations library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.ComponentModel.DataAnnotations library
 for CLI 4.0.

Package: libmono-system-configuration-install4.0-cil
Description-md5: e909f36aad931871f9ede259b3012902
Description-en: Mono System.Configuration.Install library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Configuration.Install library
 for CLI 4.0.

Package: libmono-system-configuration4.0-cil
Description-md5: 469753ae0d40212a01babae012f08e8a
Description-en: Mono System.Configuration library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Configuration library for CLI 4.0.

Package: libmono-system-core4.0-cil
Description-md5: 079b833979f82b349b9c4a6442ee7ebe
Description-en: Mono System.Core library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Core library for CLI 4.0.

Package: libmono-system-data-datasetextensions4.0-cil
Description-md5: fc5af1076aa2fee837e42300e2d524e9
Description-en: Mono System.Data.DataSetExtensions library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Data.DataSetExtensions library
 for CLI 4.0.

Package: libmono-system-data-entity4.0-cil
Description-md5: be0d47b3e07bb93d5aeab8dd54692d78
Description-en: Mono System.Data.Entity library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Data.Entity library for CLI 4.0.

Package: libmono-system-data-linq4.0-cil
Description-md5: a9c4f8e6b007e9d4b0896bfd91bd1e9d
Description-en: Mono System.Data.Linq Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Data.Linq library which implements
 LINQ to SQL. LINQ to SQL allows one to query relational databases using the
 language-integrated query (LINQ) extension of the C# programming language.

Package: libmono-system-data-services-client4.0-cil
Description-md5: 1d12433e18c72903465a2c6a5a3e8782
Description-en: Mono System.Data.Services.Client library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Data.Services.Client library which is part
 of the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-data-services4.0-cil
Description-md5: bc60ed731c6627be2dd10e4c262623f6
Description-en: Mono System.Data.Services library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Data.Services library which is part of
 the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-data4.0-cil
Description-md5: d275fa424da50595100b96e89c355f21
Description-en: Mono System.Data library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Data library for CLI 4.0.

Package: libmono-system-deployment4.0-cil
Description-md5: ca884fbd20dae7c08b34ee4a054b0387
Description-en: Mono System.Deployment library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Deployment library.

Package: libmono-system-design4.0-cil
Description-md5: e2b15383d3cc985f571f53e8f2f724d3
Description-en: Mono System.Design Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Design library for CLI 4.0.

Package: libmono-system-drawing-design4.0-cil
Description-md5: a242f727948c05a384613bcc38e40bf2
Description-en: Mono System.Drawing.Design (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Drawing.Design library for CLI 4.0.

Package: libmono-system-drawing4.0-cil
Description-md5: de6103336ff20c3962301af20fd206d7
Description-en: Mono System.Drawing library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Drawing library for CLI 4.0.

Package: libmono-system-dynamic4.0-cil
Description-md5: bd26089fbb24907394dd3e69b4a7c33d
Description-en: Mono System.Dynamic library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Dynamic library for CLI 4.0.

Package: libmono-system-enterpriseservices4.0-cil
Description-md5: 8d77270a093b520449a4e678e4dad811
Description-en: Mono System.EnterpriseServices library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.EnterpriseServices library for CLI 4.0.

Package: libmono-system-identitymodel-selectors4.0-cil
Description-md5: e7d8a141889c50ec194d6e4a75fad38f
Description-en: Mono System.IdentityModel.Selectors Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.IdentityModel.Selectors library which is part
 of the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-identitymodel4.0-cil
Description-md5: 41e795a45e724dc21c6594f7f8cc23d1
Description-en: Mono System.IdentityModel Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.IdentityModel library which is part of
 the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-io-compression-filesystem4.0-cil
Description-md5: bc9ea852026cc901e0e77185a03fae5e
Description-en: Mono System.IO.Compresion.FileSystem library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.IO.Compression.FileSystem library
 for CLI 4.0.

Package: libmono-system-io-compression4.0-cil
Description-md5: 182e1875730346e94596ec57aae6630b
Description-en: Mono System.IO.Compression library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.IO.Compression library for CLI 4.0.

Package: libmono-system-json-microsoft4.0-cil
Description-md5: feeaf88c32dc05342abf4ff264bd28f0
Description-en: Mono System.Json.Microsoft library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Json.Microsoft library for CLI 4.0
 which provides serialization of JavaScript Object Notation (JSON).

Package: libmono-system-json4.0-cil
Description-md5: 0b5914f5bf8c7889de43931b947c5fbe
Description-en: Mono System.Json library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Json library for CLI 4.0 which provides
 serialization of JavaScript Object Notation (JSON).

Package: libmono-system-ldap-protocols4.0-cil
Description-md5: f22af9cbb6c8f1daa9eeeeba086a00df
Description-en: Mono System.DirectoryServices.Protocols library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.DirectoryServices.Protocols library
 for CLI 4.0.

Package: libmono-system-ldap4.0-cil
Description-md5: 89e35238b89ef34c4376190a265d1a7a
Description-en: Mono System.DirectoryServices library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.DirectoryServices library for CLI 4.0.

Package: libmono-system-management4.0-cil
Description-md5: fb7779f23f7edc794220e3e3fb382d19
Description-en: Mono System.Management library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Management library for CLI 4.0.

Package: libmono-system-messaging4.0-cil
Description-md5: c23fb451f91e0512b56ac658a4452eae
Description-en: Mono System.Messaging library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Messaging library for CLI 4.0.

Package: libmono-system-net-http-formatting4.0-cil
Description-md5: 6ff24db9913d8dbcd84bcb312a85c1fb
Description-en: Mono System.Net.Http.Formatting library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Net.Http.Formatting library for CLI 4.0.

Package: libmono-system-net-http-webrequest4.0-cil
Description-md5: d23a5a969ad99f2528f6f20bf7af1d0a
Description-en: Mono System.Net.Http.WebRequest library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Net.Http.WebRequest library for CLI 4.0.

Package: libmono-system-net-http4.0-cil
Description-md5: 3ec76f2b58b6261dcce4c1bbd3c6bec2
Description-en: Mono System.Net.Http library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Net.Http library for CLI 4.0.

Package: libmono-system-net4.0-cil
Description-md5: a78b7887c44a2788b4f26e7209b77705
Description-en: Mono System.Net library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Net library for CLI 4.0.

Package: libmono-system-numerics-vectors4.0-cil
Description-md5: db45b94d48fcafdf2fd0c5004ab2fdc1
Description-en: Mono System.Numerics.Vectors library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Numerics.Vectors library for CLI 4.0.

Package: libmono-system-numerics4.0-cil
Description-md5: 79c7c0610ecb45e074d8f3a64d150aa8
Description-en: Mono System.Numerics library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Numerics library for CLI 4.0.

Package: libmono-system-reactive-core2.2-cil
Description-md5: cfdd3305626b0c07410f79fc424185c6
Description-en: Mono System.Reactive.Core Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Core library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-debugger2.2-cil
Description-md5: 3405fcae681eb7c28dff82ed09995ed1
Description-en: Mono System.Reactive.Debugger Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Debugger library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-experimental2.2-cil
Description-md5: 1355db046b4e2167bac2002535b1eb4a
Description-en: Mono System.Reactive.Experimental Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Experimental library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-interfaces2.2-cil
Description-md5: a9fe74abf54ff2ae09917753c9061eb6
Description-en: Mono System.Reactive.Interfaces Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Interfaces library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-linq2.2-cil
Description-md5: c40f458f1d727566957c5b8acde033a0
Description-en: Mono System.Reactive.Linq Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Linq library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-observable-aliases0.0-cil
Description-md5: 49e508928c5e5aff7b9ac827fc0ca276
Description-en: Mono System.Reactive.Linq Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Observable.Aliases library which
 is part of Reactive Extensions.

Package: libmono-system-reactive-platformservices2.2-cil
Description-md5: 322009509c26a7c7b04c4e1ca2b82161
Description-en: Mono System.Reactive.PlatformServices Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.PlatformServices library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-providers2.2-cil
Description-md5: b0979f03c4c2ac7cc61b04ae225ba073
Description-en: Mono System.Reactive.Providers Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Providers library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-runtime-remoting2.2-cil
Description-md5: f6ef8872f8e0ec23a456b2815424f21c
Description-en: Mono System.Reactive.Runtime.Remoting Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Runtime.Remoting library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-windows-forms2.2-cil
Description-md5: a523436653a4eaf34467f9f72ab11622
Description-en: Mono System.Reactive.Windows.Forms Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.Windows.Forms library which is part
 of Reactive Extensions.

Package: libmono-system-reactive-windows-threading2.2-cil
Description-md5: e959458cba93d66d5184e4b8255ec83c
Description-en: Mono System.Reactive.Windows.Threading Library (for CLI 4.5)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reactive.windows.Threading library which is part
 of Reactive Extensions.

Package: libmono-system-reflection-context4.0-cil
Description-md5: 8ae9516316befe94b345372193fb4b12
Description-en: Mono System.Reflection.Context library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Reflection.Context library.

Package: libmono-system-runtime-caching4.0-cil
Description-md5: eea4d8a6ab7a06844e37e38e3afd3ac1
Description-en: Mono System.Runtime.Caching Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Runtime.Caching library for CLI 4.0.

Package: libmono-system-runtime-durableinstancing4.0-cil
Description-md5: 07668d407020d98267e6f13a0b6c03c8
Description-en: Mono System.Runtime.DurableInstancing Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Runtime.DurableInstancing library
 for CLI 4.0.

Package: libmono-system-runtime-serialization-formatters-soap4.0-cil
Description-md5: 8992cf5587ba2f42ce4741a889ca93f1
Description-en: Mono System.Runtime.Serialization.Formatters.Soap Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Runtime.Serialization.Formatters.Soap library
 for CLI 4.0.

Package: libmono-system-runtime-serialization4.0-cil
Description-md5: ffb5ddef8df6850900866c0298e34b9d
Description-en: Mono System.Runtime.Serialization Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Runtime.Serialization library which is part
 of the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-runtime4.0-cil
Description-md5: 20bd31e4da5dfefced9ed2aa90867b86
Description-en: Mono System.Runtime library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Runtime library for CLI 4.0.

Package: libmono-system-security4.0-cil
Description-md5: 0de0138f9e1f5850367960a1ea4fb89b
Description-en: Mono System.Security library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Security library for CLI 4.0.

Package: libmono-system-servicemodel-activation4.0-cil
Description-md5: 369d0cfd7f336a6afd7e4153d24eb18b
Description-en: Mono System.ServiceModel.Activation Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.ServiceModel.Activation library which is part
 of the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-servicemodel-discovery4.0-cil
Description-md5: a59d32badd3d07b7cfc65f67a22d7687
Description-en: Mono System.ServiceModel.Discovery Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.ServiceModel.Discovery library which is part
 of the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-servicemodel-internals0.0-cil
Description-md5: 55d4aabba9dd85ed5d48bde549d30ed8
Description-en: Mono System.ServiceModel.Internals Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.ServiceModel.Internals library which is part
 of the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-servicemodel-routing4.0-cil
Description-md5: f001ecc15f330dfbf75414ee56371bc9
Description-en: Mono System.ServiceModel.Routing Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.ServiceModel.Routing library which is part
 of the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-servicemodel-web4.0-cil
Description-md5: 3d8c5480adc3521d9376558cb9d706cf
Description-en: Mono System.ServiceModel.Web Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.ServiceModel.Web library which is part of
 the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-servicemodel4.0a-cil
Description-md5: 4d848dcddcd260ebf7a4f0c8a3f036b4
Description-en: Mono System.ServiceModel Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.ServiceModel library which is part of
 the Windows Communication Foundation (WCF) libraries of Mono for CLI 4.0.
 The WFC stack is for building SOA-based applications.
 Its development is in early stages.

Package: libmono-system-serviceprocess4.0-cil
Description-md5: c10a35882a03c1de2c9395594d40b772
Description-en: Mono System.ServiceProcess library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.ServiceProcess library for CLI 4.0.

Package: libmono-system-threading-tasks-dataflow4.0-cil
Description-md5: e77d1e3eee76cebf27811fa68012f5fe
Description-en: Mono System.Threading.Tasks.Dataflow library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Threading.Tasks.Dataflow library for
 CLI 4.0.

Package: libmono-system-transactions4.0-cil
Description-md5: f9364a553b7af50d6f9946805fa44814
Description-en: Mono System.Transactions library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Transactions library for CLI 4.0.

Package: libmono-system-web-abstractions4.0-cil
Description-md5: 03ec665c776331f5b37ab7eed6cecdd7
Description-en: Mono System.Web.Abstractions library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Abstractions library for CLI 4.0.

Package: libmono-system-web-applicationservices4.0-cil
Description-md5: f3a3b4b7d61cbd379992c7bf5986e7e3
Description-en: Mono System.Web.ApplicationServices library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.ApplicationServices library for CLI 4.0.

Package: libmono-system-web-dynamicdata4.0-cil
Description-md5: a18483df70ea217bf245be8762fb9656
Description-en: Mono System.Web.DynamicData library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.DynamicData library for CLI 4.0.

Package: libmono-system-web-extensions-design4.0-cil
Description-md5: 6b16bd81d976bf77288d30d485a7e675
Description-en: Mono System.Web.Extensions.Design library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Extensions.Design library
 for CLI 4.0.

Package: libmono-system-web-extensions4.0-cil
Description-md5: 1f3d7abee274af3e8853e3a0a75d9421
Description-en: Mono System.Web.Extensions library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Extensions library for CLI 4.0.

Package: libmono-system-web-http-selfhost4.0-cil
Description-md5: a53fb6bd27292ed1b82ef4586655c5b3
Description-en: Mono System.Web.Http.SelfHost library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Http.SelfHost library for CLI 4.0.

Package: libmono-system-web-http-webhost4.0-cil
Description-md5: ad32a533df16b24de07ccd7ea83e9138
Description-en: Mono System.Web.Http.WebHost library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Http.WebHost library for CLI 4.0.

Package: libmono-system-web-http4.0-cil
Description-md5: 9d6b570be220a57eb672343efb313c28
Description-en: Mono System.Web.Http library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Http library for CLI 4.0.

Package: libmono-system-web-mobile4.0-cil
Description-md5: 78c446fb4e3ca2db4850ce9dc587f733
Description-en: Mono System.Web.Mobile library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Web.Mobile library.

Package: libmono-system-web-mvc3.0-cil
Description-md5: b0141d2156c9d6c4dcd2edc42fd87e19
Description-en: Mono ASP.NET MVC 3.0 Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Mvc 3.0 library for CLI 4.0.
 .
 The ASP.NET MVC framework is a web application framework that implements the
 model-view-controller (MVC) pattern.

Package: libmono-system-web-razor2.0-cil
Description-md5: 6d05dbe7d05fdbbb92a3a1438614c77d
Description-en: Mono System.Web.Razor (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Razor library for CLI 4.0.

Package: libmono-system-web-regularexpressions4.0-cil
Description-md5: 559f58029980a2f1bfeb8c890230c96b
Description-en: Mono System.Web.RegularExpressions library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Web.RegularExpressions
 library.

Package: libmono-system-web-routing4.0-cil
Description-md5: f8c4cc1bef8f1d8f0bb6dcf58cc802ff
Description-en: Mono System.Web.Routing (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Routing library for CLI 4.0.

Package: libmono-system-web-services4.0-cil
Description-md5: 239a8493cd0df37ccec20bcc85176042
Description-en: Mono System.Web.Services (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.Services library for CLI 4.0.

Package: libmono-system-web-webpages-deployment2.0-cil
Description-md5: b72890be0369bb83b282dd379607b6cb
Description-en: Mono System.Web.WebPages.Deployment (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.WebPages.Deployment library for
 CLI 4.0.

Package: libmono-system-web-webpages-razor2.0-cil
Description-md5: b4c763bec5037099f14535cf16323480
Description-en: Mono System.Web.WebPages.Razor (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.WebPages.Razor library for CLI 4.0.

Package: libmono-system-web-webpages2.0-cil
Description-md5: 26ec2648eaa6798ff8130422ed75eb4b
Description-en: Mono System.Web.WebPages (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web.WebPages library for CLI 4.0.

Package: libmono-system-web4.0-cil
Description-md5: a1aee459b39706adf3d4f84b1da163ee
Description-en: Mono System.Web library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Web library for CLI 4.0.

Package: libmono-system-windows-forms-datavisualization4.0a-cil
Description-md5: b335c0060781bdf70cc2795a530eef51
Description-en: Mono System.Windows.Forms.DataVisualization Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Windows.Forms.DataVisualization library
 for CLI 4.0.

Package: libmono-system-windows-forms4.0-cil
Description-md5: 27cd7a56d703e2aee53f71bcb7409782
Description-en: Mono System.Windows.Forms Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Windows.Forms library for CLI 4.0.

Package: libmono-system-windows4.0-cil
Description-md5: 4c44d0cff2eebbef87b9c05254dd83f3
Description-en: Mono System.Windows library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Windows library for CLI 4.0.

Package: libmono-system-workflow-activities4.0-cil
Description-md5: 9b81890d14819ccce6d1709b0b811a93
Description-en: Mono System.Workflow.Activities library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Workflow.Activities library.

Package: libmono-system-workflow-componentmodel4.0-cil
Description-md5: 9a71db8aeceb7bf1ba549132f8077a49
Description-en: Mono System.Workflow.ComponentModel library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Workflow.ComponentModel library.

Package: libmono-system-workflow-runtime4.0-cil
Description-md5: 8a5f10ebb45d96738249647c6a11a8f8
Description-en: Mono System.Workflow.Runtime library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the System.Workflow.Runtime library.

Package: libmono-system-xaml4.0-cil
Description-md5: 733cd5e627fabb4e931efc678dbca605
Description-en: Mono System.Xaml Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Xaml library for CLI 4.0.

Package: libmono-system-xml-linq4.0-cil
Description-md5: 5c37690477ab5977633f2bdaa3984e88
Description-en: Mono System.Xml.Linq library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Xml.Linq library for CLI 4.0.

Package: libmono-system-xml-serialization4.0-cil
Description-md5: 537790e9aaf2d5755bd7d9b76edf57ae
Description-en: Mono System.Xml.Serialization library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Xml.Serialization library for CLI 4.0.

Package: libmono-system-xml4.0-cil
Description-md5: 7fff3f7341fbfda36ac6be079b1bd9e0
Description-en: Mono System.Xml library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono System.Xml library for CLI 4.0.

Package: libmono-system4.0-cil
Description-md5: 7da73ba1f9a0504ce08abe86bdea9596
Description-en: Mono System libraries (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the BCL (Base Class Libraries) of Mono for CLI 4.0.

Package: libmono-tasklets4.0-cil
Description-md5: e68cdfd7ec973e65b9ad944c126520a3
Description-en: Mono Tasklets library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono Tasklets library for CLI 4.0.
 .
 The Mono.Tasklets library provides a continuation framework that allows for
 a number of high-level abstractions like co-routines and cooperative
 multi-threading to be implemented on top of it.

Package: libmono-upnp-cil
Description-md5: 996ce4d5f0455e5bb75e41cf5b2d3e2b
Description-en: client/server libraries for UPnP -- CIL assemblies
 Mono.Upnp is a set of client/server libraries for the Universal Plug 'n Play
 specification, which can be found at http://www.upnp.org.
 .
 Mono.Upnp includes the following projects:
  - Mono.Ssdp: An implementation of the Simple Discovery Protocol.
  - Mono.Upnp: An implementation of the UPnP Device Architecture 1.1, Sections
    2-6.
  - Mono.Upnp.GtkClient: An executable Gtk+ user interface for inspecting UPnP
    devices and services on the network.
  - Mono.Upnp.Dcp.MediaServer1: An implementation of the UPnP Audio/Video
    MediaServer1 Device Control Protocol.
  - Mono.Upnp.Dcp.MediaServer1.FileSystem: A MediaServer1 implementation which
    serves media from the filesystem.
  - Mono.Upnp.Dcp.MediaServer1.FileSystem.ConsoleServer: An executable console
    program which serves media from the filesystem.
  - Mono.Upnp.Dcp.MSMediaServerRegistrar1: An implementation of the Microsoft
    MSMediaServerRegistrar1 Device Control Protocol.
 .
 This package contains Mono.Upnp and Mono.Ssdp CIL assemblies required for
 building and linking applications using this library.

Package: libmono-upnp-cil-dev
Description-md5: 734a46a44eed6b8621d9b1ef4800538c
Description-en: client/server libraries for the UPnP -- development files
 Mono.Upnp is a set of client/server libraries for the Universal Plug 'n Play
 specification, which can be found at http://www.upnp.org.
 .
 Mono.Upnp includes the following projects:
  - Mono.Ssdp: An implementation of the Simple Discovery Protocol.
  - Mono.Upnp: An implementation of the UPnP Device Architecture 1.1, Sections
    2-6.
  - Mono.Upnp.GtkClient: An executable Gtk+ user interface for inspecting UPnP
    devices and services on the network.
  - Mono.Upnp.Dcp.MediaServer1: An implementation of the UPnP Audio/Video
    MediaServer1 Device Control Protocol.
  - Mono.Upnp.Dcp.MediaServer1.FileSystem: A MediaServer1 implementation which
    serves media from the filesystem.
  - Mono.Upnp.Dcp.MediaServer1.FileSystem.ConsoleServer: An executable console
    program which serves media from the filesystem.
  - Mono.Upnp.Dcp.MSMediaServerRegistrar1: An implementation of the Microsoft
    MSMediaServerRegistrar1 Device Control Protocol.
 .
 This package contains the development files used for building applications and
 libraries which use this library.

Package: libmono-webbrowser4.0-cil
Description-md5: 5a836904d4f0579b1bd932032f3c6bdc
Description-en: Mono Web Browser library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains an empty implementation of the WebControl class - it will
 not render anything, but also should not crash applications which try to create
 a WebControl.

Package: libmono-webmatrix-data4.0-cil
Description-md5: 452e9d33bddfb5d96638707da28b9cd5
Description-en: Mono WebMatrix.Data Library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the WebMatrix.Data library for CLI 4.0.

Package: libmono-windowsbase4.0-cil
Description-md5: 89a28b534b3d998d48eeb1e5e2c8f31a
Description-en: Mono WindowsBase library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the WindowsBase library for CLI 4.0 which provides
 the System.IO.Packaging namespace.

Package: libmono-xbuild-tasks4.0-cil
Description-md5: ebd43542948b924c755c3bdea7040be2
Description-en: Mono Mono.XBuild.Tasks library (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Mono.XBuild.Tasks library for CLI 4.0 which provides
 the Mono.XBuild.Tasks namespace.

Package: libmono-zeroconf-cil-dev
Description-md5: 68f370949fa61ba1ea9a1387297a5086
Description-en: CLI library for multicast DNS service discovery
 Mono.Zeroconf is a cross platform Zero Configuration Networking library for
 Mono and .NET. It provides a unified API for performing the most common
 zeroconf operations on a variety of platforms and subsystems: all the
 operating systems supported by Mono and both the Avahi and
 Bonjour/mDNSResponder transports.
 .
 By using Mono.ZeroConf applications can use a single API that will work
 regardless of the underlying implementation that a particular operating
 system uses.
 .
 Applications can publish services that will be exposed to other computers on
 the network and also query the local machines on the network for services
 that could have been exposed.
 .
 This package contains development files for the Mono.Zeroconf library, and
 should be used for compilation

Package: libmono-zeroconf1.0-cil
Description-md5: b64bd1d189ac0c64a87a77cd01456d98
Description-en: CLI library for multicast DNS service discovery
 Mono.Zeroconf is a cross platform Zero Configuration Networking library for
 Mono and .NET. It provides a unified API for performing the most common
 zeroconf operations on a variety of platforms and subsystems: all the
 operating systems supported by Mono and both the Avahi and
 Bonjour/mDNSResponder transports.
 .
 By using Mono.ZeroConf applications can use a single API that will work
 regardless of the underlying implementation that a particular operating
 system uses.
 .
 Applications can publish services that will be exposed to other computers on
 the network and also query the local machines on the network for services
 that could have been exposed.
 .
 This package contains the Mono.Zeroconf library itself.

Package: libmonoboehm-2.0-1
Description-md5: ce0f2b97cfc799889408047098999cc8
Description-en: Mono JIT library (Boehm GC)
 Shared library for Mono, used for embedding/hosting of the JIT.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmonoboehm-2.0-1-dbg
Description-md5: 2607171726a37a3c76b8d104a4bcedd4
Description-en: Mono JIT library, debugging symbols (Boehm GC)
 This package contains the debugging symbols of the Mono JIT library.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmonoboehm-2.0-dev
Description-md5: af43ee4a1b703efca9250c987d486381
Description-en: Mono JIT library - Development files (Boehm GC)
 Header files and static libraries for libmonoboehm-2.0.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmonosgen-2.0-1
Description-md5: ca9b24575a07c5b8b0d40f68999605d1
Description-en: Mono JIT library (SGen GC)
 Shared library for Mono, used for embedding/hosting of the JIT.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmonosgen-2.0-1-dbg
Description-md5: 68f58a69a75e6df10ffbba7e59366ce6
Description-en: Mono JIT library, debugging symbols (SGen GC)
 This package contains the debugging symbols of the Mono JIT library.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmonosgen-2.0-dev
Description-md5: 77a08e6c81926eb0fee724b67503f444
Description-en: Mono JIT library - Development files (SGen GC)
 Header files and static libraries for libmonosgen-2.0.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: libmonospaceif-common
Description-md5: e0ee4c001461f8dd751def8ae33fc332
Description-en: Provides localization data for libmonospaceif
 This package is required by all packages which are using the static library
 provided by the "libmonospaceif-dev" package. Usually there's no need to
 install this package manually.

Package: libmonospaceif-dev
Description-md5: b537573ff03b13462bca030b5879339e
Description-en: Interface translating libfizmo output into monospaced text
 This interface will translate all Z-Machine output, including window
 operations and text output, into simple place-cursor-at-x-y-and-print-text
 events, which in turn can be used for simple ncurses-like output on
 terminal-like displays. This is used by the fizmo-ncursesw frontend.

Package: libmoonshot1
Description-md5: 3d8f7a7ba96d5ac27fe1d6b9317944c3
Description-en: Moonshot Identity Shared Library
 Project moonshot provides federated authentication using EAP, RADIUS
 and SAML to applications beyond the web platform.  This library
 allows other components to interact with the Moonshot identity store.

Package: libmoops-perl
Description-md5: a54d77fa2efa9318b44972aabe0e7ac8
Description-en: moops object-oriented programming sugar
 Moops is sugar for declaring and using roles and classes in Perl.
 .
 The syntax is inspired by MooseX::Declare, and Stevan Little's
 p5-mop-redux project (which is in turn partly inspired by Perl 6).
 .
 Moops has fewer than half of the dependencies as MooseX::Declare, loads
 in about 25% of the time, and the classes built with it run
 significantly faster.  Moops does not use Devel::Declare, instead using
 Perl's pluggable keyword API.
 .
 Moops uses Moo to build classes and roles by default, but allows you to
 use Moose if you desire. (And Mouse experimentally.)
 .
 Install libmoosex-xsaccessor-perl to automatically speedup Moose
 classes.

Package: libmoose-autobox-perl
Description-md5: a808f2947ccd9972ca6e19c560046e42
Description-en: Perl autobox wrapper that understands Moose roles
 Moose::Autobox implements SCALAR, ARRAY, HASH and CODE handlers for use with
 autobox, which is a Perl pragma facilitating method calls on native Perl data
 types. This module understands the hierarchy of roles and provides support for
 data manipulation in a manner similar to what Perl 6 might do.

Package: libmoose-perl
Description-md5: cdb749f6802d04685cc71b5a7f9a5c87
Description-en: modern Perl object system framework
 Moose is an extension of the Perl 5 object system. The main goal of Moose is to
 make Perl 5 Object Oriented programming easier, more consistent, and less
 tedious. With Moose you can think more about what you want to do and less about
 the mechanics of OOP.
 .
 Additionally, Moose is built on top of Class::MOP, which is a metaclass system
 for Perl 5. This means that Moose not only makes building normal Perl 5 objects
 better, but it provides the power of metaclass programming as well.
 .
 Moose also conveniently manages all attributes (including inherited ones) that
 are defined, but also provides facilities for properly initializing instance
 slots, setting defaults where appropriate and performing any necessary type
 constraint checking or coercion.
 .
 More details about the structure of Moose as well as its features can be found
 in the ever-expanding Moose::Cookbook document.

Package: libmoosex-aliases-perl
Description-md5: 7b738094d429699937a456d2b025b46b
Description-en: Moose extension for easy aliasing of methods and attributes
 MooseX::Aliases is an extension to Moose that facilitates simple aliasing of
 methods and attributes. It provides an alias parameter for has() to generate
 aliased accessors as well as the standard ones. Further, attributes can also
 be initialized in the constructor via their aliased names.

Package: libmoosex-app-cmd-perl
Description-md5: 5cd90db13e5ab3902dd7f58c0e7e8579
Description-en: Perl module combining App::Cmd and MooseX::Getopt
 MooseX::App::Cmd allows one to write moose commands as moose classes,
 with MooseX::Getopt defining the options.

Package: libmoosex-app-perl
Description-md5: b5106cf4910c31d90b1ffeb5d750d404
Description-en: helper for user-friendly Perl/Moose command line apps
 MooseX::App is a highly customizeable helper to write user-friendly command
 line applications without having to worry about most of the annoying things
 usually involved. Just take any existing Moose class, add a single line
 (use MooseX-App qw(PluginA PluginB ...);) and create one class for each
 command in an underlying namespace.

Package: libmoosex-arrayref-perl
Description-md5: 5d954b7422d86937f318ddb72eb40b03
Description-en: blessed arrayrefs with Moose
 Objects implemented with arrayrefs rather than hashrefs are often
 faster than those implemented with hashrefs. Moose's default object
 implementation is hashref based. Can we go faster?
 .
 Simply "use MooseX::ArrayRef" instead of "use Moose", but note the
 limitations (see documentation).
 .
 The current implementation is mostly a proof of concept, but it does
 mostly seem to work.

Package: libmoosex-async-perl
Description-md5: 28e7ab85aab98dcc50cee74ce48e595a
Description-en: set of Moose metaclasses to support asynchronous operations
 MooseX::Async is a collection of metaclasse modules for MooseX::POE (see
 libmoosex-poe-perl) and it's siblings. As such, it is probably not very
 useful on its own. Please see them for documentation.

Package: libmoosex-attribute-chained-perl
Description-md5: 541dab1e8b6ab46e301e4dcd85270ade
Description-en: Moose attribute that returns the instance to allow for chaining
 MooseX::Attribute::Chained is a Moose Trait which allows for method chaining
 on accessors by returning $self on write/set operations.

Package: libmoosex-attributehelpers-perl
Description-md5: 663960d998485526b855e4ba71943afa
Description-en: Perl library to extend your attribute interfaces
 MooseX::AttributeHelpers provides commonly-used attribute helper methods for
 more specific types of data than those offered by Moose alone. It provides
 Bool, Counter, Number, String, Array, Bag, Hash, ImmutableHash, and List
 attribute types.
 .
 These modules are deprecated, as their functionality is available in Moose
 itself as native attribute traits.

Package: libmoosex-attributeshortcuts-perl
Description-md5: 2a436f27d5ea643bb6455ad7f7aeac47
Description-en: Moose extension providing shorthands for common attribute options
 Ever found yourself repeatedly specifying writers and builders, because
 there's no good shortcut to specifying them? Sometimes you want an
 attribute to have a read-only public interface, but a private writer. And
 wouldn't it be easier to just say "builder => 1" and have the attribute
 construct the canonical "_build_$name" builder name for you?
 .
 MooseX::AttributeShortcuts causes an attribute trait to be applied to all
 attributes  defined to the using class. This trait extends the attribute
 option processing to handle the above variations.

Package: libmoosex-attributetags-perl
Description-md5: ca451db1cb51c1195d155de5caae4754
Description-en: tag your Moose attributes
 MooseX::AttributeTags is a factory for attribute traits.

Package: libmoosex-blessed-reconstruct-perl
Description-md5: 8848bea384c4421c2b9d72caed5bb9a8
Description-en: Data::Visitor for creating Moose objects
 The purpose of MooseX::Blessed::Reconstruct module is to "fix up" blessed data
 into a real Moose object.
 .
 This is used internally by MooseX::YAML but has no implementation details
 having to do with YAML itself.

Package: libmoosex-classattribute-perl
Description-md5: 749904ff588e917fbec21f7c1066fbe7
Description-en: module to declare class attributes Moose-style
 MooseX::ClassAttribute allows you to declare class attributes in exactly the
 same way as object attributes, using class_has() instead of has().
 .
 You can use any feature of Moose's attribute declarations, including
 overriding a parent's attributes, delegation (handles), attribute traits,
 etc. All features should just work. The one exception is the "required" flag,
 which is not allowed for class attributes.
 .
 The accessor methods for class attribute may be called on the class directly,
 or on objects of that class. Passing a class attribute to the constructor
 will not set that attribute.

Package: libmoosex-clone-perl
Description-md5: 02ae664ddc642f60145aa5a9551b9650
Description-en: Moose extension providing fine-grained cloning support
 MooseX::Clone extends Moose's low level cloning functionality by providing
 selective deep cloning using introspection. Attributes with the Clone trait
 will handle cloning of data within the object, typically delegating to the
 attribute value's own clone method.

Package: libmoosex-compiletime-traits-perl
Description-md5: 63cafeb95fa12cd7f695abdcbb8aa65c
Description-en: Moose extension to allow role application at compile-time
 MooseX::CompileTime::Traits is an extension for the Moose object framework
 that allows role application at compile time via use statements. What this
 class does is provide an import method that will apply each of the roles
 (along with any arguments for parameterized roles).

Package: libmoosex-configfromfile-perl
Description-md5: 1aa290654993a468cd3d3fa7b3766f2c
Description-en: Moose role for setting attributes from a config file
 MooseX::ConfigFromFile is an abstract Moose role that provides an alternate
 constructor for creating objects, using parameters passed in from a config
 file. The actual implementation of reading the configuration file is left
 to subroles.

Package: libmoosex-configuration-perl
Description-md5: c4dc391958065098ac8648b0c3425080
Description-en: module for defining attributes which come from configuration files
 MooseX::Configuration lets you define attributes which can come from a
 configuration file. It also adds a role to your class which allows you to
 write a configuration file.
 .
 It is based on using a simple INI-style configuration file, which contains
 sections and keys.

Package: libmoosex-daemonize-perl
Description-md5: d04a46bdf39d4d23f11ceeaab889f554
Description-en: role for daemonizing your Moose based application
 MooseX::Daemonize provides a set of basic roles as an infrastructure to
 write a persistent daemon that has a pid file, and responds appropriately
 to Signals.
 .
 The upstream maintainers of this module now recommend using Daemon::Control
 instead.

Package: libmoosex-declare-perl
Description-md5: a23c86ccf4625922a046a429eca34150
Description-en: Moose extension providing a declarative syntax
 MooseX::Declare provides syntactic sugar for Moose, the postmodern object
 system for Perl 5, inspired by Perl 6. When used in your code, it sets up the
 "class" and "role" keywords that can be used to declare classes in an elegant
 and concise manner.

Package: libmoosex-emulate-class-accessor-fast-perl
Description-md5: ab471d7501a91acaab36ca0b5f7d5d07
Description-en: module to emulate Class::Accessor::Fast using Moose attributes
 MooseX::Emulate::Class::Accessor::Fast attempts to emulate the behavior of
 Class::Accessor::Fast as accurately as possible using the Moose attribute
 system.
 .
 The public API of Class::Accessor::Fast is wholly supported, but the private
 methods are not. If you are only using the public methods (as you should) then
 migrating to this is trivial.

Package: libmoosex-followpbp-perl
Description-md5: 7a2c05470b867cdaaadbcbe9bcf30c91
Description-en: Moose extension to name your accessors get_foo() and set_foo()
 MooseX::FollowPBP does not provide any methods. Simply loading it changes the
 default naming policy for the loading class so that accessors are separated
 into get and set methods. The get methods are prefixed with "get_" as the
 accessor, while set methods are prefixed with "set_". This is the naming
 style recommended by Damian Conway in Perl Best Practices.

Package: libmoosex-getopt-perl
Description-md5: d2d01e1e90e652a7c1653de56457288a
Description-en: Moose extension for processing command line options
 MooseX::Getopt is a Moose role which provides an alternate constructor for
 creating objects using parameters passed in from the command line. This module
 automatically discovers command line parameters by introspecting your class
 attributes, using the name of your attribute as the command line option. If
 there is a type constraint defined, it will configure Getopt::Long to handle
 the option accordingly.
 .
 By default, attributes beginning with an underscore are considered private and
 are not given commandline argument support, unless the attribute's metaclass
 is set to MooseX::Getopt::Meta::Attribute. You can also use this behaviour to
 get non-default commandline option names and aliases.

Package: libmoosex-has-options-perl
Description-md5: b1029d0421ff58e38e43f0126e1a6acb
Description-en: module for succinctly declaring options for Moose attributes
 MooseX::Has::Options provides a succinct syntax for declaring options for
 Moose attributes. It hijacks the has function imported by Moose and replaces
 it with one that understands the options syntax.

Package: libmoosex-has-sugar-perl
Description-md5: 614df1cdfdd2fdac2284b59b3cb87802
Description-en: Moose extension for syntactic sugar in 'has' fields
 MooseX::Has::Sugar is a module that provides a simple way to avoid quoting
 option strings. Normally, Moose accepts parameters in Perl's hash style,
 which requires a list of key/value pairs. This module is convenient for
 boolean options and to prevent errors with mistyping string values, since
 the expressions provided by this module are checked at compile-time.

Package: libmoosex-hasdefaults-perl
Description-md5: ed9e9d471314143a3bd5b7d49f3d1a8f
Description-en: Moose module to default "is" to "ro" or "rw" for all attributes
 MooseX::HasDefaults allows one to set a default of "ro" or "rw" for the "is"
 of all Moose object "has" attributes, thus saving some typing.
 .
 MooseX::HasDefaults is similar to, but requires less typing than,
 MooseX::AttributeDefaults and MooseX::Attributes::Curried.

Package: libmoosex-insideout-perl
Description-md5: 9641c707c7a3d0e4842091a6db00f65c
Description-en: Moose extension for non-intrusive subclassing
 MooseX::InsideOut is a Perl module that enables non-intrusive subclassing of
 non-Moose classes with Moose. By setting up attribute slot storage somewhere
 other than $self, you can extend classes whose internals are not hash-based.

Package: libmoosex-lazyrequire-perl
Description-md5: 1e96bbb53ad1b001052eee857ff00392
Description-en: Moose extension to delay errors for attribute declarations
 MooseX::LazyRequire adds a lazy_require option to Moose attribute
 declarations.
 .
 The reader methods for all attributes with that option will throw an
 exception unless a value for the attributes was provided earlier by a
 constructor parameter or through a writer method.

Package: libmoosex-log-log4perl-perl
Description-md5: f7d3b385c7f6018add242f8384b64a1e
Description-en: logging role for Moose based on Log::Log4perl
 MooseX::Log::Log4perl is a Perl module that provides a Moose role, built on
 Log::Log4perl, for use with your Moose classes. The initialization of the
 Log4perl instance must be performed prior to logging the first log message.
 Otherwise the default initialization will happen, probably not doing the
 things you expect.

Package: libmoosex-markasmethods-perl
Description-md5: 5ca28fbd0c048b699c6d300bce022f0b
Description-en: moose extension to ark overload code symbols as methods
 MooseX::MarkAsMethods is a Perl module that allows one to easily mark
 certain functions as Moose methods. This will allow other packages
 such as namespace::autoclean to operate without blowing away your
 overloads. After using MooseX::MarkAsMethods your overloads will be
 recognized by Class::MOP as being methods, and class extension as well
 as composition from roles with overloads will "just work".
 .
 By default this module checks for overloads, and marks those functions
 as methods.
 .
 namespace::autoclean will be invoked to clear out non-methods when
 'autoclean => 1' is passed to import or use.

Package: libmoosex-meta-typeconstraint-forcecoercion-perl
Description-md5: 3094192037a72bf1505d2d0812fd249d
Description-en: Perl modeule for forcing coercion when validating type constraints
 MooseX::Meta::TypeConstraint::ForceCoercion allows one to wrap any
 Moose::Meta::TypeConstraint in a way that will force coercion of the
 value when checking or validating a value against it.

Package: libmoosex-meta-typeconstraint-mooish-perl
Description-md5: fb46959317d727f91cc5faa6afc9b330
Description-en: module to translate Moo-style constraints to Moose-style
 Moose::Meta::TypeConstraint are expected to return true if the value passes
 the constraint, and false otherwise; Moo "constraints", on the other hand,
 die if validation fails.
 .
 The MooseX::Meta::TypeConstraint::Mooish metaclass allows for Moo-style
 constraints; it will wrap them and translate their Moo into a dialect Moose
 understands.
 .
 Note that this is largely to enable functionality in
 MooseX::AttributeShortcuts; the easiest way use this metaclass is by using
 that package. Also, as it's not inconceivable that this functionality may be
 desired in other constraint metaclasses, the bulk of this metaclass'
 functionality is implemented as a trait
 (MooseX::TraitFor::Meta::TypeConstraint::Mooish).

Package: libmoosex-method-signatures-perl
Description-md5: 4537e90a157f267575a28838db18ef77
Description-en: Moose extension for method declarations with type constraints
 MooseX::Method::Signatures provides a proper method keyword, like
 "sub" but specifically for making methods and validating their
 arguments against Moose type constraints.

Package: libmoosex-methodattributes-perl
Description-md5: 27f5d3a86a6b8f803f0a9be5fd7d6a83
Description-en: Moose extension for code attribute introspection
 MooseX::MethodAttributes is a Perl module that allows code attributes of
 methods to be introspected using Moose meta method objects.

Package: libmoosex-multiinitarg-perl
Description-md5: 4fd79e81354e1cee35238f19bd8b498f
Description-en: Perl library providing attributes with aliases for constructors
 MooseX::MultiInitArg is an extension to Moose that allows you to call an
 attribute any number of things while you're passing arguments to your object
 constructor. This is useful when you want to change attribute names without
 breaking backward compatibility with the existing API.

Package: libmoosex-multimethods-perl
Description-md5: 7bc0b952d047533f10f3dc973d24eeb3
Description-en: Moose extension enabling multi method dispatch
 MooseX::MultiMethods is a Perl module providing multi method dispatch based
 on Moose type constraints. When invoking a method declared as "multi", a
 matching variant will be selected based on the passed parameters and the
 declared type constraints.

Package: libmoosex-mungehas-perl
Description-md5: 9ed21556eac9d559fceea5602d85ccad
Description-en: munge your "has" (works with Moo, Moose and Mouse)
 MooseX::MungeHas alters the behaviour of the attributes
 of your Moo, Moose or Mouse based class.
 It manages to support all three
 because it doesn't attempt to do anything smart with metathingies;
 it simply installs a wrapper for 'has'
 that munges the attribute specification hash
 before passing it on to the original 'has' function.

Package: libmoosex-nonmoose-perl
Description-md5: b7b79048dd6bc602cffd352272e6e329
Description-en: Moose extension to allow easy subclassing of non-Moose classes
 MooseX::NonMoose allows for easily subclassing non-Moose classes with Moose,
 taking care of the annoying details connected with doing this. It tries to be
 as non-intrusive as possible - in most cases, inheriting from Moose classes
 and inheriting from non-Moose classes should work identically.

Package: libmoosex-object-pluggable-perl
Description-md5: 888565feaea69a9ff50f0e4bf33b2d39
Description-en: Perl module for adding plugin support to your Moose classes
 MooseX::Object::Pluggable is meant to be loaded as a role from Moose-based
 classes. It will add five methods and four attributes to assist you with the
 loading and handling of plugins and extensions for plugins. This may pollute
 your namespace, however great care has been taken in using the least
 ambiguous names possible.

Package: libmoosex-oneargnew-perl
Description-md5: e306d4cf9539c9a990579cd2ce364729
Description-en: Moose role that extends class' constructor to accept single arguments
 MooseX::OneArgNew lets your constructor take a single argument, which will be
 translated into the value for a one-entry hashref. It is a parameterized
 role with two parameters:
  * type - The Moose type that the single argument must be for the one-arg form
    to work. This should be an existing type, and may be either a string type or
    a MooseX::Type.
  * init_arg - This is the string that will be used as the key for the hashref
    constructed from the one-arg call to new.

Package: libmoosex-param-perl
Description-md5: 44d5f97c516049aee1d16bb23d1b3a1d
Description-en: simple Moose role providing a standard param method
 The MooseX::Param Perl module is a very simple Moose role which provides a
 CGI like param method. It provides a params attribute which has a read-only
 accessor and a HashRef type constraint. It also adds a builder method to
 properly initialize it.

Package: libmoosex-params-validate-perl
Description-md5: e775b245149a47c21ca222c0ce69d02d
Description-en: Moose extension for validating method parameters
 MooseX::Params::Validate is a Perl module that enables Moose programs to
 validate method parameters, ensuring that incoming parameters are of the
 appropriate Moose types and roles.
 .
 This module is one of many developing options to do this sort of thing;
 See MooseX::Method::Signatures (libmoosex-method-signatures-perl) and
 MooseX::Declare (libmoosex-declare-perl) for others.

Package: libmoosex-poe-perl
Description-md5: 0ddfad0692c01608463bde9f5a3c724f
Description-en: Moose wrapper around a POE::Session
 MooseX::POE is a Perl module that provides a Moose-ish way to manipulate
 POE::Session instances. It provides an 'event' keyword to associate events
 with a given block of code. The current session will then be able to run
 these events in the normal fashion, whilst providing the encapsulation and
 other nice features afforded by Moose.

Package: libmoosex-relatedclassroles-perl
Description-md5: d7f9e1a1c842796b44995e9fa8028919
Description-en: module for applying Moose roles to a related class
 Frequently, you have to use a class that provides some foo_class accessor or
 attribute as a method of dependency injection. Use MooseX::RelatedClassRoles
 when you'd rather apply roles to make your custom foo_class instead of
 manually setting up a subclass.

Package: libmoosex-role-parameterized-perl
Description-md5: 98fbfdefabbd132fbe332435d072319a
Description-en: Moose extension providing parameterized roles
 MooseX::Role::Parameterized is a Moose extension that consists of two things:
 parameter declarations and a role block.
 .
 Parameters are declared using the "parameter" keyword which resembles Moose's
 "has", even supporting any option that "has" accepts. You can use any option
 that "has" accepts. The default value for the "is" option is read-only, as
 that's a very common case. These parameters will get their values when the
 consuming class (or role) uses Moose's "with". A parameter object will be
 constructed with these values, and passed to the role block.

Package: libmoosex-role-strict-perl
Description-md5: 6f70e0344bcc6e4ffcff9f73798f3e5b
Description-en: module for using strict 'roles' with Moose
 When using Moose::Role, a class which provides a method a role provides will
 silently override that method. This can cause strange, hard-to-debug errors
 when the role's methods are not called. Simple use MooseX::Role::Strict
 instead of Moose::Role and overriding a role's method becomes a
 composition-time failure.
 .
 WARNING: this is ALPHA code. More features to be added later.

Package: libmoosex-role-timer-perl
Description-md5: 024111e9422e7c8e15ebc4c2222230dd
Description-en: Moose role for measuring elapsed time with Time::HiRes
 MooseX::Role::Timer is a Moose role that provides timers to your
 object, making it easier to keep track of how long whatever actions
 take. Time is measured with Time::Hires so sub-second timers are possible.
 .
 This Perl module is compatible with Any::Moose.

Package: libmoosex-role-withoverloading-perl
Description-md5: e64b01a9b1f222e4a9f6a351de828b8f
Description-en: Moose extension for roles that support overloading
 MooseX::Role::WithOverloading allows you to write a Moose::Role which defines
 overloaded operators and allows those operator overloadings to be composed
 into the classes/roles/instances it's compiled to, while plain Moose::Roles
 would lose the overloading.

Package: libmoosex-runnable-perl
Description-md5: e819ecf4799d5c56f40718569ccb7272
Description-en: tag a class as a runnable application
 MooseX::Runnable is a framework
 for making classes runnable applications.
 This role doesn't do anything
 other than tell the rest of the framework
 that your class is a runnable application
 that has a run method which accepts arguments
 and returns the process' exit code.
 .
 This is a convention that the community has been using for a while.
 This role tells the computer that your class uses this convention,
 and let's the computer abstract away
 some of the tedium this entails.

Package: libmoosex-semiaffordanceaccessor-perl
Description-md5: aeeeea7b3a4b7eb1d4b64a2c78c8417f
Description-en: Perl module to generate accessors automatically
 MooseX::SemiAffordanceAccessor is a module that changes the default naming
 policy for the loading class so that methods are separated into accessors
 (which have the same name as the attribute) and mutators (via set_ methods).
 .
 It is compatible with existing naming schemes, since attributes that explicitly
 provide a "reader" or "writer" name are left unchanged. The terminology
 "semi-affordance" is inspired by David Wheeler's Class::Meta module (see
 libclass-meta-perl).

Package: libmoosex-setonce-perl
Description-md5: d9f5fcf353a7d29f4d1de2c6b615893d
Description-en: module providing write-once, read-many attributes for Moose
 MooseX::SetOnce provides the SetOnce attribute which lets your class have
 attributes that are not lazy and not set, but that cannot be altered once
 set.
 .
 The logic is very simple: if you try to alter the value of an attribute with
 the SetOnce trait, either by accessor or writer, and the attribute has a
 value, it will throw an exception.
 .
 If the attribute has a clearer, you may clear the attribute and set it again.

Package: libmoosex-simpleconfig-perl
Description-md5: 6a9d7666a07f5abe57fa645c15f6c57b
Description-en: Moose role for setting attributes from a simple configfile
 The MooseX::SimpleConfig role loads simple configuration files to set object
 attributes. It uses Config::Any to actually parse the config files.
 Like all MooseX::ConfigFromFile - derived configfile loaders, it is
 automatically supported by the MooseX::Getopt role as well.

Package: libmoosex-singlearg-perl
Description-md5: a03a9e68bca511f11f9f89885a72d757
Description-en: module to instantiate Moose objects using a single argument
 MooseX::SingleArg allows Moose instances to be constructed with a single
 argument. Your class or role must use this module and then use the single_arg
 method to declare which attribute will be assigned the single argument value.
 .
 If the class is constructed using the typical argument list name/value pairs,
 or with a hashref, then things work as is usual. But, if the arguments are a
 single non-hashref value then that argument will be assigned to whatever
 attribute you have declared.

Package: libmoosex-singleton-perl
Description-md5: 8fe31c8c64caaf518706bd9d74d33ccb
Description-en: Moose extension to turn a class into a singleton
 MooseX::Singleton lets you easily change your Moose class into a singleton,
 which is a class that only has one instance in an application. All you should
 need to do is change your use of "use Moose" to "use MooseX::Singleton". This
 module uses a new class metaclass and instance metaclass, so if you're doing
 metamagic you may not be able to use this.

Package: libmoosex-storage-perl
Description-md5: 037d004ba3ed57369dba6955a7331944
Description-en: serialization framework for Moose classes
 MooseX::Storage is a serialization framework for Moose classes. It provides a
 flexible and highly pluggable way to serialize Moose classes to a number of
 different formats and styles.

Package: libmoosex-strictconstructor-perl
Description-md5: a7ea30805a0339e3e3ae9e55c8531007
Description-en: Make your object constructors blow up on unknown attributes
 "use MooseX::StrictConstructor" instead of just "use Moose" makes your
 constructors strict. If your constructor is called with an attribute
 init argument that your class does not declare, then it calls
 "Carp::confess()". This is a great way to catch small typos.

Package: libmoosex-traitfor-meta-class-betteranonclassnames-perl
Description-md5: 5c601cae7cca3eb08bead52c959411ea
Description-en: helper for MooseX::Util to generate anonymous class names
 MooseX::TraitFor::Meta::Class::BetterAnonClassNames helps MooseX::Util's
 with_traits() method to create better named anonymous classes.
 .
  my $anon_class_name = with_traits('Zombie::Catcher', 'SomeTrait');
 .
 now becomes
 .
  Zombie::Catcher::__ANON__::SERIAL::1
 .
 rather than
 .
  Moose::Meta::Class::__ANON__::SERIAL::1

Package: libmoosex-traits-perl
Description-md5: 22262244829860021f01a1e641f1459f
Description-en: Moose extension to apply roles at object creation time
 MooseX::Traits provides a method to add some roles to a class when creating
 it (during new). Since components can be added easily to a given class, users
 don't have to create a named class with the desired roles applied or apply
 the roles to the instance one-by-one. This module also provides a basic way
 to initialize the applied roles' attributes while constructing objects.

Package: libmoosex-traits-pluggable-perl
Description-md5: d52f341a516bc775f2888f2c262abffe
Description-en: Moose extension for class precedence search of traits
 MooseX::Traits::Pluggable extends the functionality of MooseX::Traits (see
 libmoosex-traits-perl) by providing support for class precedence searching
 and some extra attributes.

Package: libmoosex-types-common-perl
Description-md5: 1501f858c7d108c67cc250f7f560e80b
Description-en: module with commonly used type constraints for Moose
 MooseX::Types::Common is a Perl module that contains a set of commonly-used
 type constraints that do not ship with Moose by default. In particular, it
 has string and numeric type constraints.

Package: libmoosex-types-datetime-morecoercions-perl
Description-md5: 57f336036d08ab338217faca6cbe3e8d
Description-en: extensions to MooseX::Types::DateTime
 MooseX::Types::DateTime::MoreCoercions builds on MooseX::Types::DateTime to
 add additional custom types and coercions. Since it builds on an existing
 type, all coercions and constraints are inherited.

Package: libmoosex-types-datetime-perl
Description-md5: 9d662b1a588e487ea50a264e1000ddc7
Description-en: Moose extension for DateTime-related constraints and coercions
 MooseX::Types::DateTime packages several Moose::Util::TypeConstraints with
 coercions, designed to work with the DateTime suite of objects.

Package: libmoosex-types-email-perl
Description-md5: 685fead3eb39184c7f2d4f272b3fc8ae
Description-en: email address validation type constraints for Moose
 MooseX::Types::Email provides a Moose type constraints which uses
 Email::Valid and Email::Abstract to check for valid email addresses
 and messages.  Types that support both single items and an arrayref
 of items are available.

Package: libmoosex-types-iso8601-perl
Description-md5: 7d913fb2a81f135bdb425191f1a8fbea
Description-en: ISO8601 date and duration string type constraints for Moose
 MooseX::Types::ISO8601 packages several TypeConstraints with coercions for
 working with ISO8601 date strings and the DateTime suite of objects.
 .
 Available date constraints:
 .
  - ISO8601DateStr
  - ISO8601TimeStr
  - ISO8601DateTimeStr
  - ISO8601DateTimeTZStr

Package: libmoosex-types-json-perl
Description-md5: 5c36d8c2dabe7091844f028eb051871c
Description-en: module providing JSON-constrained strings
 MooseX::Types::JSON is a Moose extension that provides type constraints that
 validate strings in the JavaScript Object Notation (JSON) format. It can use
 either the JSON or relaxed JSON specifications and depends on JSON to do
 the heavy lifting (see libjson-perl for details).

Package: libmoosex-types-laxnum-perl
Description-md5: ad16b2cbb34fca9d41f036cdf2bfe80d
Description-en: Moose type providing the loose behavior of Moose's old Num type
 MooseX::Types::LaxNum accepts everything for which Scalar::Util's
 looks_like_number() returns true. It can be used to get the old behaviour of
 Moose::Util::TypeConstraints::Num, since Num has been changed to be more
 strict since 2.10.

Package: libmoosex-types-loadableclass-perl
Description-md5: a2a88f65f5cb963061305bdc496ef87c
Description-en: ClassName type constraint with coercion to load the class
 MooseX::Types::LoadableClass and MooseX::Types::LoadableRole are type
 constraints with coercion to load a class/role.

Package: libmoosex-types-netaddr-ip-perl
Description-md5: 2dc16b5853407a5ecb2687fea9f4b0ad
Description-en: Moose extension for NetAddr::IP type constraints
 MooseX::Types::NetAddr::IP provides IP Address type constraints and coercions
 for Moose, based on NetAddr::IP (see libnet-addr-ip-perl). It provides three
 subtypes: NetAddrIPv4 and NetAddrIPv6 (which must be IPv4 and IPv6 addresses
 respectively) as well as NetAddrIP (which may be an IPv4 or IPv6 address).

Package: libmoosex-types-path-class-perl
Description-md5: 24588c3a136510377f71605d6545dd56
Description-en: Path::Class type library for Moose
 MooseX::Types::Path::Class creates common Moose types, coercions and option
 specifications useful for dealing with Path::Class objects as Moose
 attributes.
 .
 Coercions (see Moose::Util::TypeConstraints) are made from both 'Str' and
 'ArrayRef' to both Path::Class::Dir and Path::Class::File objects. If you
 have MooseX::Getopt installed, the Getopt option type ("=s") will be added
 for both Path::Class::Dir and Path::Class::File.

Package: libmoosex-types-path-tiny-perl
Description-md5: 9db789e0be53f0e8fd4df2472b86cb32
Description-en: Path::Tiny types and coercions for Moose
 MooseX::Types::Path::Tiny provides Path::Tiny types for Moose. It handles two
 important types of coercion:
  * coercing objects with overloaded stringification
  * coercing to absolute paths
 .
 It also can check to ensure that files or directories exist.
 .
 File::Tiny is a file path utility module.
 .
 Moose is an extension of the Perl 5 object system.

Package: libmoosex-types-perl
Description-md5: ea789ae5da0d3bcdcb1d07ca01b94e82
Description-en: Moose extension to organise types in libraries
 MooseX::Types allows developers to organise and selectively import your own
 and the built-in types in libraries, rather than the default behaviour where
 types are global. As a nice side effect, it catches typographic errors at
 compile-time too.
 .
 The main motivation for this module is to provide an easy way of preventing
 conflicts with your type names, since the internal fully qualified names of
 the types will be prefixed with the library's name. It also provides some
 convenient functions for working with Moose types.

Package: libmoosex-types-perl-perl
Description-md5: 3e3f63c2f7d8ab89dcd3b71c0d2e0a07
Description-en: Moose types that check against Perl syntax
 MooseX::Types::Perl provides Moose types for checking things (mostly strings)
 against syntax that is, or is a reasonable subset of, Perl syntax.

Package: libmoosex-types-portnumber-perl
Description-md5: b441bf4bc30d020b76930143614a8397
Description-en: Moose extension for IANA Port Number types
 MooseX::Types::PortNumber provides IANA port number types for Moose.
 .
 It provides three specific subtypes as described by The Internet Assigned
 Numbers Authority (IANA): the Well Known Ports, Registered Ports, and the
 Dynamic/Free ports.

Package: libmoosex-types-set-object-perl
Description-md5: 58d85f032e30daff3d515c3b311ea821
Description-en: Perl module providing a Set::Object type
 MooseX::Types::Set::Object provides Moose type constraint (see MooseX::Types
 and Moose::Util::TypeConstraints) that is a Set::Object, with coercions. It
 is essentially a collection of unordered objects without duplication (see
 Set Theory in Mathematics).
 .
 Similarly, it allows one to operate on these sets - determining their union,
 intersection, difference and symmetric difference in a trivial way. There are
 many more operations, which are discussed in Set::Object's documentation.

Package: libmoosex-types-stringlike-perl
Description-md5: 07db6cc6e6c77ae57ed9fa7e7e582d06
Description-en: Moose type constraints for strings or string-like objects
 MooseX::Types::Stringlike provides a more general version of the Str type. If
 coercions are enabled, it will accepts objects that overload stringification
 and coerces them into strings.
 .
 Moose is an extension of the Perl 5 object system.

Package: libmoosex-types-structured-perl
Description-md5: 5e7f1a5247daa4375b8c1205ca47e4eb
Description-en: Moose extension for type constraints on structured types
 MooseX::Types::Structured provides structured type constraints for Moose. A
 structured type constraint is a standard container Moose type constraint,
 such as an ArrayRef or HashRef, which has been enhanced to allow you to
 explicitly name all the allowed type constraints inside the structure.

Package: libmoosex-types-uri-perl
Description-md5: 5a6d24759e445323e3f8e96b5ce5f6fa
Description-en: package provides URI related types and coercions for Moose
 MooseX::Types::URI provides Moose types for fun with URIs.
 .
 It has slightly DWIMier types than the URI classes have due to implementation
 details, so the types should be more forgiving when ducktyping will work
 anyway (e.g. URI::WithBase does not inherit URI).

Package: libmoosex-types-varianttable-perl
Description-md5: a2ff3d3194957596612c33f4a1f08256
Description-en: Moose extension for a type-constraint based dispatch table
 MooseX::Types::VariantTable is a Moose extension that implements a simple
 dispatch table based on Moose type constraints. Subtypes will be checked
 before their parents, meaning that the order of the declaration does not
 matter.

Package: libmoosex-undeftolerant-perl
Description-md5: 0aadc15f3936679a5c8e8cbef762aa52
Description-en: makes Moose attribute(s) tolerant to undef initialization
 Loading MooseX::UndefTolerant in your Moose class
 makes initialization of your attributes tolerant of undef.
 If you specify the value of undef to any of the attributes
 they will not be initialized,
 effectively behaving as if you had not provided a value at all.

Package: libmoosex-util-perl
Description-md5: 35945ed8ec354a9c868c245c90b6018e
Description-en: Moose::Util extensions
 MooseX::Util is a utility module that handles all of the same functions that
 Moose::Util handles. In fact, most of the functions exported by this package
 are simply re-exports from Moose::Util. However, a number of the functions
 have been re-implemented, for a variety of reasons.

Package: libmoosex-xsaccessor-perl
Description-md5: 87b3c3e51bc75ed7c41b814d8f415964
Description-en: use Class::XSAccessor to speed up Moose accessors
 MooseX::XSAccessor accelerates
 Moose-generated accessor, reader, writer and predicate methods
 using Class::XSAccessor.
 You get a speed-up for no extra effort.
 It is automatically applied to every attribute in the class.

Package: libmoosex-yaml-perl
Description-md5: d1a554ba679ddfb906049999a553e2e2
Description-en: module to load Moose objects from YAML
 MooseX::YAML provides DWIM loading of Moose based objects from YAML
 documents.
 .
 Any hashes blessed into a Moose class will be replaced with a properly
 constructed instance (respecting init args, BUILDALL, and the meta instance
 type).

Package: libmoox-buildargs-perl
Description-md5: f326754cf9c8feebfdda7e48cd42c162
Description-en: Perl role for saving the instantiation arguments
 It is often useful to be able to access the arguments that were
 used to create an object in their unadulterated form, before any
 coercions or init_args have changed them.  The MooX::BuildArgs role
 provides the arguments via the "build_args" attribute.

Package: libmoox-cmd-perl
Description-md5: 43bbd3721bffe6a2f4cfc2ec3bfe76b5
Description-en: easy Moo style way to make command organized CLI apps
 MooX::Cmd eases the writing of command line utilities,
 accepting commands and subcommands and so on.
 These commands can form a tree,
 which is mirrored in the package structure.
 On invocation each command along the path through the tree
 (starting from the toplevel command
 through to the most specific one)
 is instantiated.

Package: libmoox-configfromfile-perl
Description-md5: ce85edd8dac1a04dd9b6caf219844f11
Description-en: Moo extension for initializing objects from config file
 MooX::ConfigFromFile is intended to easy load initialization values
 for attributes on object construction from an appropriate config file.
 The building is done in MooX::ConfigFromFile::Rule -
 using MooX::ConfigFromFile ensures the role is applied.

Package: libmoox-file-configdir-perl
Description-md5: dbeaa2d48b71178eb948b585ca037855
Description-en: Moo extension for File::ConfigDir
 MooX::File::ConfigDir is a helper
 for easily find configuration file locations.
 Whether to use this information
 for finding a suitable place for installing them
 or looking around for finding any piece of settings,
 heavily depends on the requirements.

Package: libmoox-handlesvia-perl
Description-md5: ec231b5b5585262d38b271cb4cf49985
Description-en: Moose Native Traits-like behavior for Moo
 MooX::HandlesVia is an extension of Moo's 'handles' attribute
 functionality. It provides a means of proxying functionality from an
 external class to the given attribute.
 .
 This is most commonly used as a way to emulate 'Native Trait'
 behavior that is common in Moose code.

Package: libmoox-late-perl
Description-md5: 6e0267f89517492827e7d260f875dd76
Description-en: easily translate Moose code to Moo
 Moo is a light-weight object oriented programming framework which
 aims to be partly compatible with Moose. However, the surface syntax
 of Moo differs somewhat from Moose.
 .
 MooX::late provides some assistance by enabling a slightly more
 Moosey surface syntax. MooX::late makes it easier:
 .
  - to port code that was initially written for Moose to Moo
  - to write Moo code that can later be converted to use the
    full Moose feature-set if needed.

Package: libmoox-locale-passthrough-perl
Description-md5: a5d7a516dc4a30d5a2d8ec9ce3a907c1
Description-en: Perl module to provide a translation API and passthrough
 MooX::Locale::Passthrough is made to allow CPAN modules use a translator API
 without adding heavy dependencies. It can be used together with
 MooX::Locale::TextDomain::OO to plugin any desired translation.

Package: libmoox-log-any-perl
Description-md5: 342b14ee7d7320da79d3c02a6475918e
Description-en: role to add Log::Any
 MooX::Log::Any is a logging role building a very lightweight wrapper to
 Log::Any for use with your Moo or Moose classes.

Package: libmoox-options-perl
Description-md5: 9c31a4766c20ee27c2dc1c13a382b885
Description-en: explicit options extension for object class
 MooX::Options will add "option" which act as "has" but support
 additional feature for getopt.
 .
 You will have "new_with_options" to instantiate new object for command
 line.

Package: libmoox-role-cloneset-perl
Description-md5: 1a7ce7858f25b90d5a231fec6c6ed318
Description-en: Perl Moo role for creating updated copies of immutable objects
 MooX::Role::CloneSet is a role for immutable objects, providing an easy
 way to create a new object with some modified properties.  It provides
 the cset() method that creates a new object with the specified changes,
 shallowly copying all the rest of the original object's properties.

Package: libmoox-role-logger-perl
Description-md5: 19a62f652270869b98d7a234f621e649
Description-en: provide logging via Log::Any
 MooX::Role::Logger provides universal logging via Log::Any.  The class
 using this role doesn't need to know or care about the details of log
 configuration, implementation or destination.
 .
 Use it when you want your module to offer logging capabilities, but
 don't know who is going to use your module or what kind of logging they
 will implement. This role lets you do your part and leaves actual log
 setup and routing to someone else.
 .
 The application that ultimately uses your module can then choose to
 direct log messages somewhere based on its own needs and configuration
 with Log::Any::Adapter.
 .
 This role is based on Moo so it should work with either Moo or Moose
 based classes.

Package: libmoox-shorthas-perl
Description-md5: 01b4e61290539ef49953b56f34d12d4b
Description-en: Shortcuts for common Moo has attribute configurations
 Moo's has asks developers to repeat themselves a lot to set up attributes,
 and since its inceptions the most common configurations of attributes have
 crystallized through long usage.
 .
 MooX::ShortHas module provides sugar shortcuts that wrap around has under the
 appropriate names to reduce the effort of setting up an attribute to naming
 it with a shortcut.

Package: libmoox-singleton-perl
Description-md5: 111f89545cac5e7f36c4e64a43b833dd
Description-en: module for turning a Moo class into singleton
 Moox::Singleton is a Role::Tiny role that provides the "instance" method
 turning objects into singletons.
 .
 NOTE: Subsequent calls to "instance" will return the singleton instance
 ignoring any arguments. This is different from MooseX::Singleton which does
 not allow any arguments.

Package: libmoox-strictconstructor-perl
Description-md5: 0bd7d8089f8b121d5a6b4aee71c69d52
Description-en: make Moo-based object constructors blow up on unknown attributes
 Simply loading MooX::StrictConstructor
 makes your constructors "strict".
 If your constructor is called with an attribute init argument
 that your class does not declare,
 then it dies.
 This is a great way to catch small typos.

Package: libmoox-traits-perl
Description-md5: 2379ef27cdb9a0daccd098cb5b9ee563
Description-en: module to automatically apply roles at object creation time
 Like MooseX::Traits, the MooX::Traits module provides a method to add some
 roles to a class when creating it (during new), only for Moo.
 .
 Although called MooX::Traits, this module actually uses Role::Tiny, so
 doesn't really require Moo. If you use it in a non-Moo class, you should be
 able to safely consume any Role::Tiny-based traits.
 .
 If you use it in a Moo class, you should also be able to consume
 Moo::Role-based traits and Moose::Role-based traits.

Package: libmoox-types-mooselike-numeric-perl
Description-md5: 85543ffb56cb40b1a5bc83be92e77e77
Description-en: Moo types for numbers
 Moo attributes (like Moose) have an 'isa' property.
 MooX::Types::MooseLike(::Base) provides some basic types for this property.
 .
 MooX::Types::MooseLike::Numeric provides type for numbers.

Package: libmoox-types-mooselike-perl
Description-md5: 48fe7a8dd4dc5a30cc81e96cf123717b
Description-en: module providing some Moosish types and a type builder
 Moo attributes (like Moose) have an 'isa' property.
 MooX::Types::MooseLike(::Base) provides some basic types for this property.
 One can import all types with the ':all' tag or import a list of types.
 .
 These types provide a check that the contact attribute is a hash reference,
 that the guest_list is an array reference, and that the records are an array
 of hash references.

Package: libmoox-types-setobject-perl
Description-md5: cf34d97006ac90076f5a6c628e7faf72
Description-en: Set::Object type for Moo
 Moo attributes (like Moose) have an 'isa' property.
 MooX::Types::MooseLike(::Base) provides some basic types for this property.
 .
 MooX::Types::SetObject provides the SetObject type.

Package: libmopac7-1gf
Description-md5: abfbf47df15af016738b812d75e6ee07
Description-en: Semi-empirical Quantum Chemistry Library (library)
 MOPAC provides routines to solve the electronic structure of molecules
 on a semi-empirical level. Available methods include MNDO, MINDO/3, AM1
 and PM3.
 .
 This package contains the MOPAC7 code folded into a dynamic library.

Package: libmopac7-dev
Description-md5: c01ccccc1cf220e57da1e3be482760f4
Description-en: Semi-empirical Quantum Chemistry Library (development files)
 MOPAC provides routines to solve the electronic structure of molecules
 on a semi-empirical level. Available methods include MNDO, MINDO/3, AM1
 and PM3.
 .
 This package contains the MOPAC7 code folded into a static library and
 the headers.

Package: libmorbig-ocaml-dev
Description-md5: ba5ef021c11ef2ee4b4950a4fe7b7a8f
Description-en: OCaml libraries of the morbig shell parser (development files)
 Morbig is a parser for shell scripts that are written in the POSIX
 shell script language. It parses the scripts statically, that is
 without executing them, and constructs a concrete syntax tree for
 each of them. The concrete syntax trees are built using constructors
 according to the shell grammar of the POSIX standard.
 .
 This package contains the development stuff you need to use morbig for
 the development of programs. It provides, among others, functions for
 parsing shell scripts, the type definition of concrete syntax trees,
 and functions for reading and writing concrete syntax trees.

Package: libmorfologik-stemming-java
Description-md5: 82c000eb2f46d5174cae7826f456d9ba
Description-en: Finite state automaton and stemming engine library
 Java based morfologik-stemming library provides the following fatures:
 .
   - Finite state automaton traversal routines for Jan Daciuk's FSA package.
   - A stemming engine for the Polish language built on top of FSA traversal.
 .
 The library may be used for other languages as well.

Package: libmorph
Description-md5: b196f7a62101a6f8b5bca107b1d501b4
Description-en: digital image warping library
 libmorph warps images; the warping technique was invented and first used by
 Industrial Light and Magic, and is commonly called "morphing."
 libmorph also contains utilities to handle control meshes, that are
 line grids that are used to design an image warp.

Package: libmorph-dev
Description-md5: d90127c803a43b47c5b2cb6edf22d208
Description-en: digital image warping library (development files)
 This package contains header files for, and a static version of, the libmorph
 library.

Package: libmorsmall-ocaml-dev
Description-md5: 37af2166c902045c700f2913c257a622
Description-en: OCaml libraries for abstract syntax of shell scripts
 The morsmall library defines a type for abstract syntax trees of
 POSIX shell scripts. It also provides a converter from the concrete
 syntax trees produced by the morbig parser to abstract syntax, and
 a printer from abstract syntax to shell.
 .
 This development library is only useful when you are developing
 OCaml programs that act on shell scripts.

Package: libmosquitto-dev
Description-md5: c7c1d296f95ce5d3f19f1eff322ffba8
Description-en: MQTT version 5.0/3.1.1/3.1 client library, development files
 This is the header and man page for the libmosquitto1 C library, which is a
 library for implementing MQTT version 5.0/3.1.1/3.1 clients. This package is
 needed to do development with libmosquitto1.

Package: libmosquitto1
Description-md5: d6db03b5024e1bf84fc82288546d2e4d
Description-en: MQTT version 5.0/3.1.1/3.1 client library
 This is a C library for implementing MQTT version 5.0/3.1.1/3.1 clients.
 .
 MQTT provides a method of carrying out messaging using a publish/subscribe
 model. It is lightweight, both in terms of bandwidth usage and ease of
 implementation. This makes it particularly useful at the edge of the network
 where a sensor or other simple device may be implemented using an arduino for
 example.

Package: libmosquittopp-dev
Description-md5: c5f9bbcc930cd67ad24072907bcd3b2a
Description-en: MQTT version 3.1 client C++ library, development files
 This is the header and man page for the libmosquittopp1 C++ library, which is
 a library for implementing MQTT version 3.1 clients. This package is needed to
 do development with libmosquittopp1.

Package: libmosquittopp1
Description-md5: 0c7667ef38834199bd13c53fd6b80d2a
Description-en: MQTT version 5.0/3.1.1/3.1 client C++ library
 This is a C++ library for implementing MQTT version 5.0/3.1.1/3.1 clients.
 .
 MQTT provides a method of carrying out messaging using a publish/subscribe
 model. It is lightweight, both in terms of bandwidth usage and ease of
 implementation. This makes it particularly useful at the edge of the network
 where a sensor or other simple device may be implemented using an arduino for
 example.

Package: libmotif-common
Description-md5: d91fa974c4776914380a3919f93ff4c1
Description-en: Motif - common files
 Motif is the industry standard GUI component toolkit for *NIX.
 .
 This package contains Motif common bindings and bitmaps.

Package: libmotif-dev
Description-md5: e1370e2ae54252d3f861255b7e91945d
Description-en: Motif - development files
 Motif is the industry standard GUI component toolkit for *NIX.
 .
 This package provides everything needed for developing Motif
 applications, including header files, static libraries and the API
 manual pages.

Package: libmouse-perl
Description-md5: 55f7e94e9b6928e2310d1788660e05cf
Description-en: lightweight object framework for Perl
 Mouse is a lightweight Perl object framework based largely on the techniques
 created for Moose, the leading Perl framework for painless OO class creation.
 It is designed to be similar in functionality to Moose, though faster, by only
 providing a subset of Moose's functionality, alleviating some of the compile-
 time penalty incurred by Moose. It also has considerably less dependencies.

Package: libmousex-configfromfile-perl
Description-md5: c8ae675d8f81ad4941e7729eea4bd8a4
Description-en: abstract Mouse role for setting attributes from a configfile
 MouseX::ConfigFromFile is an abstract role which provides an alternate
 constructor for creating objects using parameters passed in from a
 configuration file. The actual implementation of reading the configuration
 file is left to concrete subroles.
 .
 It declares an attribute configfile and a class method new_with_config, and
 requires that concrete roles derived from it implement the class method
 get_config_from_file.
 .
 Attributes specified directly as arguments to new_with_config supercede those
 in the configfile.

Package: libmousex-foreign-perl
Description-md5: ac727d213470447ba5833d115bb0cca7
Description-en: module to extend non-Mouse classes as well as Mouse classes
 MouseX::Foreign provides an ability for Mouse classes to extend any
 classes, including non-Mouse classes, including Moose classes.
 .
 It is a Mouse port of MooseX::NonMoose, although the name is different.

Package: libmousex-getopt-perl
Description-md5: ed9ea4e2a5a4300ee8ddd69d83a2d4df
Description-en: Mouse role for processing command line options
 MouseX::Getopt is a role which provides an alternate constructor for creating
 objects using parameters passed in from the command line.
 .
 MouseX::Getopt attempts to DWIM as much as possible with the command line
 params by introspecting your class's attributes. It will use the name of your
 attribute as the command line option, and if there is a type constraint
 defined, it will configure Getopt::Long to handle the option accordingly.
 .
 You can use the trait MouseX::Getopt::Meta::Attribute::Trait or the attribute
 metaclass MouseX::Getopt::Meta::Attribute to get non-default commandline
 option names and aliases.
 .
 You can use the trait MouseX::Getopt::Meta::Attribute::Trait::NoGetopt or the
 attribute metaclass MouseX::Getopt::Meta::Attribute::NoGetopt to have
 MouseX::Getopt ignore your attribute in the commandline options.

Package: libmousex-nativetraits-perl
Description-md5: fcd34f27ebee085378af8eb64892147c
Description-en: extension for attribute interfaces for Mouse
 While Mouse attributes provide a way to name your accessors, readers,
 writers, clearers and predicates, MouseX::NativeTraits provides
 commonly used attribute helper methods for more specific types of data.
 .
 As seen in the "SYNOPSIS", you specify the data structure via the
 "traits" parameter. These traits will be loaded automatically, so you
 need not load MouseX::NativeTraits explicitly.
 .
 This extension is compatible with Moose native traits, although it is
 not a part of Mouse core.

Package: libmousex-strictconstructor-perl
Description-md5: dd01af90f7d0204f38b8cb8abc3253ea
Description-en: Mouse extension for making object constructors die on unknown attributes
 Simply loading MouseX::StrictConstructor in your Perl package will make
 your constructor "strict". If your constructor is called with an attribute
 argument that your class does not declare, then it dies. This is a great
 way to catch small typos.

Package: libmousex-types-path-class-perl
Description-md5: 3fb90dc37e7de9bfe0a4f719691c4746
Description-en: Path::Class type library for Mouse
 MouseX::Types::Path::Class creates common Mouse types, coercions and
 option specifications useful for dealing with Path::Class objects as
 Mouse attributes.
 .
 Coercions (see Mouse::Util::TypeConstraints) are made from both "Str"
 and "ArrayRef" to both Path::Class::Dir and Path::Class::File objects.
 If you have MouseX::Getopt installed, the Getopt option type ("=s") will
 be added for both Path::Class::Dir and Path::Class::File.

Package: libmousex-types-perl
Description-md5: 24a0ecd5dd2b722381df9d16aa028a65
Description-en: Mouse extension to organise types in libraries
 MouseX::Types allows developers to organise and selectively import your own
 and the built-in types in libraries, rather than the default behaviour where
 types are global. As a nice side effect, it catches typographic errors at
 compile-time too.
 .
 The main motivation for this module is to provide an easy way of preventing
 conflicts with your type names, since the internal fully qualified names of
 the types will be prefixed with the library's name. It also provides some
 convenient functions for working with Mouse types.

Package: libmove-base-msgs-dev
Description-md5: a2850000fa72958c503ee70c09d422dd
Description-en: C/C++ headers for move-base-related ROS Messages
 This package is part of Robot OS (ROS). Holds the action description and
 relevant messages for the move_base package.
 .
 It contains the navigation-related C++ headers.

Package: libmovit-dev
Description-md5: f63472b43766bbdb443478d2f439d651
Description-en: GPU video filter library - development files
 Movit is a high-quality, high performance, open-source library for video
 filters. It uses the GPU present in almost any modern computer to
 accelerate computation of common filters and transitions, facilitating
 real-time HD video editing even on modest hardware.
 .
 This package contains the header files and static libraries.

Package: libmovit8
Description-md5: 71920f634a98a85930b8d071d02bf927
Description-en: GPU video filter library
 Movit is a high-quality, high performance, open-source library for video
 filters. It uses the GPU present in almost any modern computer to
 accelerate computation of common filters and transitions, facilitating
 real-time HD video editing even on modest hardware.

Package: libmozilla-ldap-perl
Description-md5: 3cf9ec3d62768dbea7871eb72e032165
Description-en: LDAP Perl module for the OpenLDAP C SDK
 This package consists of set of modules to communicate with LDAP
 (Lightweight Directory Access Protocol) directory servers.
 It is made up of two parts: an interface to the OpenLDAP C SDK API,
 and a set of Object Oriented Perl classes.

Package: libmozjs-52-0
Description-md5: 247de625905215d1bc80cdedfb706453
Description-en: SpiderMonkey JavaScript library
 SpiderMonkey is the code-name for Mozilla Firefox's C++ implementation of
 JavaScript. It is intended to be embedded in other applications
 that provide host environments for JavaScript.

Package: libmozjs-52-dev
Description-md5: 3c8d4f93eea612af33c937c89a33870f
Description-en: SpiderMonkey JavaScript library - development headers
 SpiderMonkey is the code-name for Mozilla Firefox's C++ implementation of
 JavaScript. It is intended to be embedded in other applications
 that provide host environments for JavaScript.
 .
 This package contains the header files which are
 needed for developing SpiderMonkey embedders.

Package: libmp3-info-perl
Description-md5: d5f5d5bca6324450c20208c0f71c0e58
Description-en: Perl MP3::Info - Manipulate / fetch info from MP3 audio files
 This Perl library gives a set of function for manipulating info tags in MP3
 files and retrieving technical information from them.
 .
 This package was formerly known as MPEG::MP3Info and still has a wrapper
 for applications that refer to it using the old name.
 .
 The Debian package also provides a simple tool for editing MP3 tags - mp3id.

Package: libmp3-tag-perl
Description-md5: 0f2d27df41fbba3de7fecf6d46b51b7b
Description-en: Module for reading tags of MP3 audio files
 MP3::Tag is a wrapper module to read different tags of mp3 files.
 It provides an easy way to access the functions of separate modules
 which do the handling of reading/writing the tags itself.
 .
 At the moment MP3::Tag::ID3v1 and MP3::Tag::ID3v2 are supported.

Package: libmp3lame-ocaml
Description-md5: 06ef1a05721e61b470e156444e4e1ce1
Description-en: OCaml interface to the lame library -- runtime files
 This package provides an interface to the lame library for
 OCaml programmers.
 .
 LAME (LAME Ain't an MP3 Encoder) is a research project for
 learning about and improving MP3 encoding technology. LAME
 includes an MP3 encoding library, a simple frontend application,
 and other tools for sound analysis, as well as convenience tools.
 .
 This package contains only the shared runtime stub libraries.

Package: libmp3lame-ocaml-dev
Description-md5: 28be0c76acfe9f5293d7677016e8071c
Description-en: OCaml interface to the lame library -- developpement files
 This package provides an interface to the lame library for
 OCaml programmers.
 .
 LAME (LAME Ain't an MP3 Encoder) is a research project for
 learning about and improving MP3 encoding technology. LAME
 includes an MP3 encoding library, a simple frontend application,
 and other tools for sound analysis, as well as convenience tools.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-lame.

Package: libmp3spi-java
Description-md5: 6b4ed4c8cec0df24e7c960717c539b82
Description-en: interface to support MP3 (MPEG 1/2/2.5 Layer 1/2/3) audio format
 MP3SPI is a Java Service Provider Interface that adds MP3 (MPEG
 1/2/2.5 Layer 1/2/3) audio format support for Java Platform. It
 supports streaming, ID3v2 frames and Equalizer.

Package: libmp3splt
Description-md5: 4794c51a43d96344f0bb7a6ae62e3e74
Description-en: support library for mp3splt and mp3splt-gtk
 The mp3splt utility splits audio files at a given begin and end time position
 without decoding.  This package provides the library functions used by the
 command line and graphical interfaces.

Package: libmp4-info-perl
Description-md5: 639ea71c37c62f6309b8a6abfa134683
Description-en: Fetch info from MPEG-4 files
 This module can be used to extract tag and meta information from MPEG-4
 audio (AAC) and video files. It is designed as a drop-in replacement
 for MP3::Info.
 .
 Note that this module does not allow you to update the information in MPEG-4
 files.

Package: libmpack-dev
Description-md5: 431e2792fe6de971c9b8cacc730061f3
Description-en: small binary serialization/RPC library (development files)
 libmpack is a small binary serialization/RPC library that implements both the
 msgpack and msgpack-rpc specifications.
 .
 Differences from msgpack-c:
 .
  * Callback-based API to simplify (de)serialization directly to/from
    application-specific objects.
  * C89 compatible code
  * No allocation performed by the library, but helpers to simplify dynamic
    allocation if the application needs it.
  * Non-backtracking, incremental/iterative parse/serialization API
 .
 This package contains the headers files needed for developing with mpack

Package: libmpack0
Description-md5: 96462bcefae121fdf9a2ae57cd4abea1
Description-en: small binary serialization/RPC library
 libmpack is a small binary serialization/RPC library that implements both the
 msgpack and msgpack-rpc specifications.
 .
 Differences from msgpack-c:
 .
  * Callback-based API to simplify (de)serialization directly to/from
    application-specific objects.
  * C89 compatible code
  * No allocation performed by the library, but helpers to simplify dynamic
    allocation if the application needs it.
  * Non-backtracking, incremental/iterative parse/serialization API

Package: libmpcdec-dev
Description-md5: 25ad82b8c07d97a152de2e7bbb249382
Description-en: MusePack decoder - development files
 Musepack is an audio compression format with a strong emphasis on
 high quality. It's not lossless, but it is designed for transparency,
 so that you won't be able to hear differences between the original
 wave file and the much smaller MPC file.
 .
 It is based on the MPEG-1 Layer-2 / MP2 algorithms, but since 1997
 it has rapidly developed and vastly improved and is now at an advanced
 stage in which it contains heavily optimized and patentless code.
 .
 This package contains the header files, static libraries
 and symbolic links that developers using libreplaygain will need.

Package: libmpcdec6
Description-md5: 7c6006299630a14c4bd10aa79555250e
Description-en: MusePack decoder - library
 Musepack is an audio compression format with a strong emphasis on
 high quality. It's not lossless, but it is designed for transparency,
 so that you won't be able to hear differences between the original
 wave file and the much smaller MPC file.
 .
 It is based on the MPEG-1 Layer-2 / MP2 algorithms, but since 1997
 it has rapidly developed and vastly improved and is now at an advanced
 stage in which it contains heavily optimized and patentless code.

Package: libmpd-dev
Description-md5: cbbcab803f9be956e62c0803dc2bba1b
Description-en: High-level client library for accessing Music Player Daemon
 LibMpd is a library that provides high-level, callback-based access to
 Music Player Daemon (mpd).
 .
 This package contains the development libraries, headers and documentation.

Package: libmpd1
Description-md5: f68285677e81099625f7602eb71ee49e
Description-en: High-level client library for accessing Music Player Daemon
 LibMpd is a library that provides high-level, callback-based access to
 Music Player Daemon (mpd).
 .
 This package contains the shared libraries.

Package: libmpdclient-dev
Description-md5: aa72ed3bbb87ac52789de78f949a1781
Description-en: client library for the Music Player Daemon (development files)
 libmpdclient provides an API for interfacing the Music Player Daemon (MPD). It
 offers a low-level asynchronous API which knowns the MPD protocol syntax as
 well as a higher level synchronous API implementing the MPD commands and
 parsing all responses.
 .
 This package contains the header files.

Package: libmpdclient-doc
Description-md5: d7dc349499fbc57204a2fe76c3a281ee
Description-en: client library for the Music Player Daemon (API documentation)
 libmpdclient provides an API for interfacing the Music Player Daemon (MPD). It
 offers a low-level asynchronous API which knowns the MPD protocol syntax as
 well as a higher level synchronous API implementing the MPD commands and
 parsing all responses.
 .
 This package contains the API documentation.

Package: libmpdclient2
Description-md5: 78173fe783f2412444a570648f780872
Description-en: client library for the Music Player Daemon
 libmpdclient provides an API for interfacing the Music Player Daemon (MPD). It
 offers a low-level asynchronous API which knowns the MPD protocol syntax as
 well as a higher level synchronous API implementing the MPD commands and
 parsing all responses.
 .
 This package contains the shared library.

Package: libmpeg2-4
Description-md5: db91ac1224d061812f67e50854741652
Description-en: MPEG1 and MPEG2 video decoder library
 libmpeg2 is a library which can decode MPEG1 and MPEG2 video streams.
 .
 The main features in libmpeg2 are:
 .
  * Conformance - libmpeg2 is able to decode all mpeg streams that conform to
    certain restrictions. For streams that follow these restrictions, libmpeg2
    is probably 100% conformant to the mpeg standards - and there's a pretty
    extensive test suite to check this.
 .
  * Speed - there has been huge efforts there, and libmpeg2 is probably the
    fastest library around for what it does.
 .
  * Portability - most of the code is written in C, and when platform-specific
    optimizations are used, there always is a generic C routine to fall back
    on.  This should be portable to all architectures - at least it is known
    people run this code on x86, ppc, sparc, arm and sh4.
 .
  * Reuseability - libmpeg2 is not intended to include any project-specific
    code, but it should still include enough features to be used by very
    diverse projects.
 .
 This package contains the libmpeg2 shared libraries.

Package: libmpeg2-4-dev
Description-md5: 6409bb41bb30b8b0aa5e75e68f50776b
Description-en: libmpeg2 development libraries and headers
 libmpeg2 is a library which can decode MPEG1 and MPEG2 video streams.
 .
 This package contains the libraries and headers required to compile
 programs which use libmpeg2.

Package: libmpeg2encpp-2.1-0
Description-md5: b4bb7b2f044280cd1eb6c72c720660d1
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset (library)
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.
 .
 This package contains the shared mpeg2encpp library.

Package: libmpeg3-2
Description-md5: ea30d5d0217b04417f1fba5194a70b52
Description-en: MPEG streams decoding library
 LibMPEG3 is a versatile and high-performance library capable
 of decoding both audio and video from many different MPEG formats.
 .
 LibMPEG3 decodes several MPEG standards into uncompressed
 data suitable for editing and playback. It currently decodes:
 .
  - MPEG-2 video
  - MPEG-1 video
  - mp3 audio
  - mp2 audio
  - ac3 audio
  - MPEG-2 transport streams
  - MPEG-2 program streams
  - MPEG-1 program streams
  - IFO files

Package: libmpeg3-dev
Description-md5: 53b72b72b3e98ad74300d249b7741d80
Description-en: Headers and static libraries for libMPEG3
 Headers and static libraries for the libMPEG3 decoding
 library. Refer to libmpeg3-2 package for description.

Package: libmpfi-dev
Description-md5: b4a9e72e03e8fe69b6be2cb690144e91
Description-en: multiple precision floating-point interval computation library -- libdev
 This package provides a C library of functions for interval arithmetic
 computations with arbitrary precision.
 .
 The basic principle of interval arithmetic consists in enclosing every number
 by an interval containing it and being representable by machine numbers: for
 instance it can be stored as its lower and upper endpoints and these bounds
 are machine numbers, or as a centre and a radius which are machine numbers.
 .
 The arithmetic operations are extended for interval operands in such a way
 that the exact result of the operation belongs to the computed interval.
 .
 The purpose of an arbitrary precision interval arithmetic is on the one hand
 to get guaranteed results, thanks to interval computation, and on the other
 hand to obtain accurate results, thanks to multiple precision arithmetic. The
 MPFI library is built upon MPFR in order to benefit from the correct roundings
 provided by MPFR. Further advantages of using MPFR are its portability and
 compliance with the IEEE 754 standard for floating-point arithmetic.
 .
 This package provides the static library and symbolic links needed for
 development.

Package: libmpfi-dev-common
Description-md5: 16bad2ee62363c94c264e4d3d5bb4ec0
Description-en: multiple precision floating-point interval computation library -- headers
 This package provides a C library of functions for interval arithmetic
 computations with arbitrary precision.
 .
 The basic principle of interval arithmetic consists in enclosing every number
 by an interval containing it and being representable by machine numbers: for
 instance it can be stored as its lower and upper endpoints and these bounds
 are machine numbers, or as a centre and a radius which are machine numbers.
 .
 The arithmetic operations are extended for interval operands in such a way
 that the exact result of the operation belongs to the computed interval.
 .
 The purpose of an arbitrary precision interval arithmetic is on the one hand
 to get guaranteed results, thanks to interval computation, and on the other
 hand to obtain accurate results, thanks to multiple precision arithmetic. The
 MPFI library is built upon MPFR in order to benefit from the correct roundings
 provided by MPFR. Further advantages of using MPFR are its portability and
 compliance with the IEEE 754 standard for floating-point arithmetic.
 .
 This package provides the headers needed by developers.

Package: libmpfi-doc
Description-md5: f91bd601adb0e54e67c6cda299f13229
Description-en: multiple precision floating-point interval computation library -- doc
 This package provides a C library of functions for interval arithmetic
 computations with arbitrary precision.
 .
 The basic principle of interval arithmetic consists in enclosing every number
 by an interval containing it and being representable by machine numbers: for
 instance it can be stored as its lower and upper endpoints and these bounds
 are machine numbers, or as a centre and a radius which are machine numbers.
 .
 The arithmetic operations are extended for interval operands in such a way
 that the exact result of the operation belongs to the computed interval.
 .
 The purpose of an arbitrary precision interval arithmetic is on the one hand
 to get guaranteed results, thanks to interval computation, and on the other
 hand to obtain accurate results, thanks to multiple precision arithmetic. The
 MPFI library is built upon MPFR in order to benefit from the correct roundings
 provided by MPFR. Further advantages of using MPFR are its portability and
 compliance with the IEEE 754 standard for floating-point arithmetic.
 .
 This package provides the user manual.

Package: libmpfi0
Description-md5: 062409ab526856212342ab4f10dcb533
Description-en: multiple precision floating-point interval computation library -- lib
 This package provides a C library of functions for interval arithmetic
 computations with arbitrary precision.
 .
 The basic principle of interval arithmetic consists in enclosing every number
 by an interval containing it and being representable by machine numbers: for
 instance it can be stored as its lower and upper endpoints and these bounds
 are machine numbers, or as a centre and a radius which are machine numbers.
 .
 The arithmetic operations are extended for interval operands in such a way
 that the exact result of the operation belongs to the computed interval.
 .
 The purpose of an arbitrary precision interval arithmetic is on the one hand
 to get guaranteed results, thanks to interval computation, and on the other
 hand to obtain accurate results, thanks to multiple precision arithmetic. The
 MPFI library is built upon MPFR in order to benefit from the correct roundings
 provided by MPFR. Further advantages of using MPFR are its portability and
 compliance with the IEEE 754 standard for floating-point arithmetic.
 .
 This package provides the dynamic library.

Package: libmpfrc++-dev
Description-md5: cea93988c8a7a85d6c06e9c6d82fe0f6
Description-en: multi-precision floating point number class for C++
 MPFR C++ introduces C++ arbitrary precision numeric types; based on
 GNU MPFR --- Multiple Precision Floating-Point Reliable Library.
 .
 MPFR C++ makes possible to use MPFR calculations in the same simple
 way as calculations with numbers of built-in types double or float:
 all arithmetic and boolean operators (+, -, *, /, >, !=, etc.) are
 implemented through operator overloading technique; elementary
 mathematical functions (sqrt, pow, sin, cos, etc.) are supported.

Package: libmpich-dev
Description-md5: 205143ab86543f81bee9061da3c41b22
Description-en: Development files for MPICH
 MPICH is a high-performance and widely portable implementation of the
 MPI-3.1 standard from the Argonne National Laboratory.
 It efficiently supports different computation and communication platforms
 including commodity clusters, SMPs, massively parallel systems, and
 high-speed networks.
 This release has all MPI 3.1 functions and features required by the standard
 with the exception of support for the "external32" portable I/O format and
 user-defined data representations for I/O.
 .
 This package includes the MPICH headers and static libraries, as well
 as the compiler wrappers needed to build MPICH programs.

Package: libmpich12
Description-md5: 791075ca4caa9c5a6ee65f1aa2b76f75
Description-en: Shared libraries for MPICH
 MPICH is a high-performance and widely portable implementation of the
 MPI-3.1 standard from the Argonne National Laboratory.
 It efficiently supports different computation and communication platforms
 including commodity clusters, SMPs, massively parallel systems, and
 high-speed networks.
 This release has all MPI 3.1 functions and features required by the standard
 with the exception of support for the "external32" portable I/O format and
 user-defined data representations for I/O.
 .
 This package includes the MPICH shared libraries.

Package: libmpj-java
Description-md5: 81a4287139c3768721186cb871101724
Description-en: Java library for parallel applications for multicore processors and clusters
 MPJ Express is a Java message passing library that allows application
 developers to write and execute parallel applications for multicore
 processors and compute clusters/clouds.

Package: libmplex2-2.1-0
Description-md5: 2bb2239c3582fa2873aa92be9b466c13
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset (library)
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.
 .
 This package contains the shared mplex2 library.

Package: libmpris-qt5-1
Description-md5: def25e07a9f517c06abdb06e06334650
Description-en: Qt MPRIS interface and adaptor
 qtmpris is a library which implement the MPRIS v.2 specification.
 .
 The MPRIS ( Media Player Remote Interfacing Specification ) is a
 standard D-Bus interface which aims to provide a common programmatic
 API for controlling media players.
 .
 This package contains the header files of qtmpris.

Package: libmpris-qt5-dev
Description-md5: 6b066fbfa93914732b728f9bd4dbe2c9
Description-en: Qt MPRIS interface and adaptor (development files)
 qtmpris is a library which implement the MPRIS v.2 specification.
 .
 The MPRIS ( Media Player Remote Interfacing Specification ) is a
 standard D-Bus interface which aims to provide a common
 programmatic API for controlling media players.
 .
 This package contains the shared libraries.

Package: libmpv-dev
Description-md5: 75dc37959dc839bda92d807f6bf8032d
Description-en: video player based on MPlayer/mplayer2 (client library dev files)
 mpv is a movie player based on MPlayer and mplayer2. It supports a wide
 variety of video file formats, audio and video codecs, and subtitle types.
 .
 This package provides development files for libmpv.

Package: libmpv1
Description-md5: f53ab59815e161d1223f2ea17a8a58bd
Description-en: video player based on MPlayer/mplayer2 (client library)
 mpv is a movie player based on MPlayer and mplayer2. It supports a wide
 variety of video file formats, audio and video codecs, and subtitle types.
 .
 This package provides a client library that can be used to embed mpv in other
 applications.

Package: libmpx2
Description-md5: 068b37fc53b1ed6a5ce5c0b46ead8728
Description-en: Intel memory protection extensions (runtime)
 Intel MPX is a set of processor features which, with compiler,
 runtime library and OS support, brings increased robustness to
 software by checking pointer references whose compile time normal
 intentions are usurped at runtime due to buffer overflow.

Package: libmpx2-amd64-cross
Description-md5: 068b37fc53b1ed6a5ce5c0b46ead8728
Description-en: Intel memory protection extensions (runtime)
 Intel MPX is a set of processor features which, with compiler,
 runtime library and OS support, brings increased robustness to
 software by checking pointer references whose compile time normal
 intentions are usurped at runtime due to buffer overflow.

Package: libmpx2-i386-cross
Description-md5: 068b37fc53b1ed6a5ce5c0b46ead8728
Description-en: Intel memory protection extensions (runtime)
 Intel MPX is a set of processor features which, with compiler,
 runtime library and OS support, brings increased robustness to
 software by checking pointer references whose compile time normal
 intentions are usurped at runtime due to buffer overflow.

Package: libmqdb-perl
Description-md5: f159a2a24d53376a5adc192ddb8a0fb9
Description-en: MappedQueryDB toolkit for federated databases
 MQdb.pm is a base toolkit for working with relational databases in the
 context of a federation of object databases. It provides some base federation
 support and a variation on an Object-relational-mapped template model.

Package: libmqtt-client-java
Description-md5: 56cadfc978f8096b3bee38eeefddf1fa
Description-en: Java MQTT Client API
 mqtt-client is a Java implementation of the MQTT publish-subscribe messaging
 protocol. It takes care of automatically reconnecting to the MQTT server and
 restoring the client session if any network failures occur. Applications can
 use a blocking API style, a futures based API, or a callback/continuations
 passing API style.

Package: libmr-tarantool-perl
Description-md5: f1c0b20e962f987ff39c707cdab8b9d1
Description-en: perl driver for tarantool
 Tarantool is a high performance key/value storage server.
 .
 The package contains perl driver for the storage server.

Package: libmrm4
Description-md5: b5e9899babc1f3ae9752ea7de354d238
Description-en: Motif - MRM (Motif Resource Manager) shared library
 Motif is the industry standard GUI component toolkit for *NIX.
 .
 This package contains the MRM (Motif Resource Manager) shared library.

Package: libmrml1-dev
Description-md5: 5c066bd745d7c4ddf516ef8343ed9f43
Description-en: libmrml development files
 MRML's aims are to unify access to multimedia retrieval and management
 software component in order to extend their capabilities. The success
 of such a context has already been demonstrated via the development of
 the GNU Image Finding Tool (GIFT).
 .
 The GIFT (the GNU Image-Finding Tool) is a Content Based Image
 Retrieval System (CBIRS). It enables you to do Query By Example on
 images, giving you the opportunity to improve query results by
 relevance feedback. For processing your queries the program relies
 entirely on the content of the images, freeing you from the need
 to annotate all images before querying the collection.
 .
 The GIFT is an open framework. The communication protocol for
 client-server communication, MRML, is XML based and fully documented.

Package: libmrml1v5
Description-md5: 8775149dcb60a4a88302759e18d0a58e
Description-en: Multimedia Retrieval Markup Language
 MRML's aims are to unify access to multimedia retrieval and management
 software component in order to extend their capabilities. The success
 of such a context has already been demonstrated via the development of
 the GNU Image Finding Tool (GIFT).
 .
 The GIFT (the GNU Image-Finding Tool) is a Content Based Image
 Retrieval System (CBIRS). It enables you to do Query By Example on
 images, giving you the opportunity to improve query results by
 relevance feedback. For processing your queries the program relies
 entirely on the content of the images, freeing you from the need
 to annotate all images before querying the collection.
 .
 The GIFT is an open framework. The communication protocol for
 client-server communication, MRML, is XML based and fully documented.

Package: libmrmpi-dev
Description-md5: bb79131e88b83173f39b39400f4edeef
Description-en: Implements MapReduce operation on top of standard MPI message - development
 The MapReduce-MPI (MR-MPI) library is open-source software that implements the
 MapReduce operation popularized by Google on top of standard MPI message
 passing.
 .
 The MR-MPI library is written in C++ and is callable from hi-level languages
 such as C++, C, Fortran. A Python wrapper is also included, so MapReduce
 programs can be written in Python, including map() and reduce() user callback
 methods. A hi-level scripting interface to the MR-MPI library, called OINK, is
 also included which can be used to develop and chain MapReduce algorithms
 together in scripts with commands that simplify data management tasks. OINK has
 its own manual and doc pages.
 .
 This package contains development files needed to build MapReduce-MPI
 applications.

Package: libmrmpi1
Description-md5: 9a698058ad1c96ec48e87ca5bb14aa20
Description-en: Implements MapReduce operation on top of standard MPI message - runtime
 The MapReduce-MPI (MR-MPI) library is open-source software that implements the
 MapReduce operation popularized by Google on top of standard MPI message
 passing.
 .
 The MR-MPI library is written in C++ and is callable from hi-level languages
 such as C++, C, Fortran. A Python wrapper is also included, so MapReduce
 programs can be written in Python, including map() and reduce() user callback
 methods. A hi-level scripting interface to the MR-MPI library, called OINK, is
 also included which can be used to develop and chain MapReduce algorithms
 together in scripts with commands that simplify data management tasks. OINK has
 its own manual and doc pages.
 .
 This package contains the libraries needed to run MapReduce-MPI applications.

Package: libmro-compat-perl
Description-md5: 195130cee4f6bea2731a762f3f96eadc
Description-en: mro::* interface compatibility for Perls < 5.9.5
 The "mro" namespace provides several utilities for dealing with method
 resolution order and method caching in general in Perl 5.9.5 and higher.
 .
 MRO::Compat provides those interfaces for earlier versions of Perl
 (back to 5.6.0 anyway).

Package: libmrss0
Description-md5: 388c19f32b006fa0ccf750fb76a91a9a
Description-en: C library for parsing, writing and creating RSS files or streams
 libmrss is a C library for parsing, writing and creating RSS
 (0.91, 0.92, 1.0, 2.0) files or streams.
 .
 This package contains the shared libraries.

Package: libmrss0-dev
Description-md5: 021c7ce3022f6890a219973284d750a7
Description-en: libmrss development files
 libmrss is a C library for parsing, writing and creating RSS
 (0.91, 0.92, 1.0, 2.0) files or streams.
 .
 This package provides a static library and C header files.

Package: libmrtd-dev
Description-md5: 5d3a4249cc8408547f219af68fa8a07c
Description-en: Development files for libmrtd0
 Machine-readable travel documents such as passports nowadays usually contain
 an RFID chip for storing various data. This library provides useful functions
 for reading out the data from these documents. This version of the library
 supports the Basic Access Control (BAC). It uses several cryptographic
 functions from either libgcrypt or libtomcrypt (depending on compile-time
 options) in order to do the necessary decryption of the content of the MRTDs.
 The key for the BAC-scheme is derived from the Machine-readable zone (MRZ)
 which is printed on the MRTD.
 .
 The library depends on libnfc for the hardware interaction and only devices
 supported by libnfc will therefore work.
 .
 This package contains header files for building programs linked with
 libmrtd0.

Package: libmrtd0
Description-md5: 2c2406a745d9163477377627376b82da
Description-en: MRTD - Machine-readable travel document library
 Machine-readable travel documents such as passports nowadays usually contain
 an RFID chip for storing various data. This library provides useful functions
 for reading out the data from these documents. This version of the library
 supports the Basic Access Control (BAC). It uses several cryptographic
 functions from either libgcrypt or libtomcrypt (depending on compile-time
 options) in order to do the necessary decryption of the content of the MRTDs.
 The key for the BAC-scheme is derived from the Machine-readable zone (MRZ)
 which is printed on the MRTD.
 .
 The library depends on libnfc for the hardware interaction and only devices
 supported by libnfc will therefore work.

Package: libmruby-dev
Description-md5: 6bddf1a81d2ccb06577675e9dd117322
Description-en: lightweight implementation of the Ruby language (development files)
 mruby is the lightweight implementation of the Ruby language complying to
 the ISO standard.
 This can be linked and embedded within your application.
 .
 This package contains the development files.

Package: libmsdw-smtp-perl
Description-md5: e9f05ac40a59a1191cae925c4a79c66d
Description-en: SMTP Client/Server modules from smtpprox
 Includes the Client.pm and Server.pm SMTP modules from smtpprox
 at http://bent.latency.net/smtpprox/ with Debian enhancements.
 .
 These modules are designed to expose every step of the protocol dialogue
 to the calling program, which provides for the greatest flexibility in
 hooking in envelope and content controls and scanning.

Package: libmseed-dev
Description-md5: 5968499563a877938f021eefd1aab54b
Description-en: seed data records manipulation library (development files)
 Provides a framework for manipulation of SEED (Standard for the Exchange
 of Earthquake Data) data records.
 .
 This package includes development files for compiling against libmseed.

Package: libmseed-doc
Description-md5: 2cd856f92c9037d75663003c0f9fe5da
Description-en: seed data records manipulation library (documentation and example files)
 Provides a framework for manipulation of SEED (Standard for the Exchange
 of Earthquake Data) data records.
 .
 This package includes documentation and example files for compiling against
 libmseed.

Package: libmseed2
Description-md5: 867615f7d8d90ead569ce591985be577
Description-en: seed data records manipulation library
 Provides a framework for manipulation of SEED (Standard for the Exchange
 of Earthquake Data) data records.
 .
 Functionality is also included for managing waveform data as continuous
 traces. All structures of seed 2.4 data records are supported with the
 following exceptions: Blockette 2000 opaque data which has an unknown
 data structure by definition and Blockette 405.

Package: libmsgcat-perl
Description-md5: 1cca3614d4f7702026ec6658d4f094e7
Description-en: perl module to access XPG4 message catalog functions
 Locale::Msgcat is a small perl module for systems which support the XPG4
 message catalog functions : catopen(3), catgets(3) and catclose(3).
 .
 The module can be used to internationalize a perl program. Messages
 inside a catalog are identified by integers (unlike gettext which uses the
 English string as identifier).

Package: libmsgpack-dev
Description-md5: aaa4ec8746da17cd92797d0ede58eeae
Description-en: binary-based efficient object serialization library (development files)
 MessagePack is a binary-based efficient object serialization library.
 It enables to exchange structured objects between many languages like JSON.
 But unlike JSON, it is very fast and small.
 .
 This package contains the headers files needed for developing with msgpack-c.

Package: libmsgpack-doc
Description-md5: b2f85ec02ee96cd50bfcfde6ddcbc386
Description-en: binary-based efficient object serialization library (docs)
 MessagePack is a binary-based efficient object serialization library.
 It enables to exchange structured objects between many languages like JSON.
 But unlike JSON, it is very fast and small.
 .
 This package contains the documentation of msgpack-c's API.

Package: libmsgpack-java
Description-md5: 1b7c3020a8bf5ed927b6470950d27e16
Description-en: MessagePack for Java
 MessagePack is a binary serialization format. For example, a small integer can
 be encoded in a single byte, and short strings only need a single byte prefix
 + the original byte array. MessagePack implementation is already available in
 various languages and works as a universal data format.
 .
 msgpack-java supports serialization and deserialization of Java objects through
 jackson-databind.

Package: libmsgpackc2
Description-md5: 677c4104c271c72734cdb3d0e0f5a033
Description-en: binary-based efficient object serialization library
 MessagePack is a binary-based efficient object serialization library.
 It enables to exchange structured objects between many languages like JSON.
 But unlike JSON, it is very fast and small.

Package: libmsgpuck-dev
Description-md5: 80c201c55c2840cc2403ff7c91f2e90d
Description-en: MsgPack serialization library in a self-contained header file
 MsgPack is a binary-based efficient object serialization library.
 It enables to exchange structured objects between many languages like JSON.
 But unlike JSON, it is very fast and small.
 .
 msgpuck is very lightweight header-only library designed to be embedded to
 your application by the C/C++ compiler. The library is fully documented and
 covered by unit tests.
 .
 This package provides a self-contained header file and a static library.
 The static library contains generated code for inline functions and
 globals tables needed by the some library functions.

Package: libmsgsl-dev
Description-md5: 0cbebf36c35250963c3a365e8229090b
Description-en: Microsoft Guidelines Support Library
 The Guideline Support Library (GSL) contains functions and types that are
 suggested for use by the C++ Core Guidelines maintained by the Standard C++
 Foundation. This package contains Microsoft's implementation of GSL.
 .
 The library includes types like span<T>, string_span, owner<> and others.
 .
 The entire implementation is provided inline in the headers under the gsl
 directory. The implementation generally assumes a platform that implements
 C++14 support.

Package: libmshr-dev
Description-md5: ba896410f446a5d77b8d19e8682420c8
Description-en: Shared links and header files for mshr
 mshr generates simplicial DOLFIN meshes in 2D and 3D from geometries
 described by Constructive Solid Geometry (CSG) or from surface files,
 utilizing CGAL and Tetgen as mesh generation backends.
 .
 This package contains the development files.

Package: libmshr2019.1
Description-md5: 98e1c2d6b1d51adfc8932768e0d1f845
Description-en: Shared libraries for mshr
 mshr generates simplicial DOLFIN meshes in 2D and 3D from geometries
 described by Constructive Solid Geometry (CSG) or from surface files,
 utilizing CGAL and Tetgen as mesh generation backends.
 .
 This package contains the shared libraries.

Package: libmsi-dev
Description-md5: 1044fc13c13eae095e13d1e1dcd642e1
Description-en: Windows Installer file manipulation library - development files
 libmsi provides functions to manipulate Windows Installer (.msi)
 files, both for reading and writing their contents and querying their
 databases.
 .
 This package contains the header files and libraries required to
 develop software using libmsi.

Package: libmsi0
Description-md5: c3107717249ea3478ae89c661ff1bcd9
Description-en: Windows Installer file manipulation library
 libmsi provides functions to manipulate Windows Installer (.msi)
 files, both for reading and writing their contents and querying their
 databases.
 .
 This package contains the runtime library.

Package: libmsiecf-dev
Description-md5: 51a72abfbec3c08d9578db4a08f812ae
Description-en: Microsoft Internet Explorer Cache File access library -- development files
 libmsiecf is a library to access the Microsoft Internet Explorer
 (MSIE) Cache File (index.dat) files.
 .
 This package includes the development support files.

Package: libmsiecf-utils
Description-md5: d9a22e29c04bd434470ed61cd9550e95
Description-en: Microsoft Internet Explorer Cache File access library -- Utilities
 libmsiecf is a library to access the Microsoft Internet Explorer
 (MSIE) Cache File (index.dat) files.
 .
 This package contains tools to access data stored in index.dat files:
 msiecfexport, msiecfinfo.

Package: libmsiecf1
Description-md5: 618c14c263bf713f588eb80e2b1b3176
Description-en: Microsoft Internet Explorer Cache File access library
 libmsiecf is a library to access the Microsoft Internet Explorer
 (MSIE) Cache File (index.dat) files.
 .
 This package contains the shared library.

Package: libmsnumpress-dev
Description-md5: fe7e741ae17200e85e1ea8b4b0dbcbeb
Description-en: Numeric data compression for mass spectrometry (devel files)
 Implementations of two compression schemes for numeric data from mass
 spectrometers.
 .
 The library provides implementations of 3 different algorithms, 1 designed to
 compress first order smooth data like retention time or M/Z arrays, and 2 for
 compressing non smooth data with lower requirements on precision like ion count
 arrays.
 .
 This package ships the library development files.

Package: libmsnumpress-dev-doc
Description-md5: ae4401442b99a51640b1e0ca6c1d4453
Description-en: set of programs to perform proteomics data analyses (doc)
 Implementations of two compression schemes for numeric data from mass
 spectrometers.
 .
 The library provides implementations of 3 different algorithms, 1 designed to
 compress first order smooth data like retention time or M/Z arrays, and 2 for
 compressing non smooth data with lower requirements on precision like ion count
 arrays.
 .
 This package ships the documentation to the ms-numpress software.

Package: libmsnumpress1
Description-md5: 54a9ad9aa901b77183159bceec58f524
Description-en: Numeric data compression for mass spectrometry (runtime)
 Implementations of two compression schemes for numeric data from mass
 spectrometers.
 .
 The library provides implementations of 3 different algorithms, 1 designed to
 compress first order smooth data like retention time or M/Z arrays, and 2 for
 compressing non smooth data with lower requirements on precision like ion count
 arrays.
 .
 This package ships the binary shared object library.

Package: libmsoffice-word-html-writer-perl
Description-md5: 6b90a146a1755ac7bba47ad8deca1716
Description-en: module for writing MsWord documents in HTML format
 MsOffice::Word::HTML-Writer is one way to programmatically generate documents
 targeted for Microsoft Word (MsWord).
 .
 MsWord can read documents encoded in native binary format, in Rich Text
 Format (RTF), in WordML (an XML dialect), or -- maybe this is less known --
 in HTML, with some special markup for pagination and other MsWord-specific
 features. Such HTML documents are often in several parts, because attachments
 like images or headers/footers need to be in separate files; however, since
 it is more convenient to carry all data in a single file, MsWord also
 supports the "MHTML" format (or "MHT" for short), i.e. an encapsulation of a
 whole HTML tree into a single file encoded in MIME multipart format. This
 format can be generated interactively from MsWord by calling the "SaveAs"
 menu and choosing the .mht extension.
 .
 MsOffice::Word::HTML::Writer helps you to programmatically generate MsWord
 documents in MHT format. The advantage of this technique is that one can rely
 on standard HTML mechanisms for layout control, such as styles, tables, divs,
 etc -- of course this markup can be produced using your favorite HTML module.
 The added value of MsOffice::Word::HTML::Writer is to help building the MIME
 multipart file, and provide some abstractions for representing
 MsWord-specific features (headers, footers, fields, etc.). This is probably
 the most convenient way for programmatic document generation.

Package: libmspub-tools
Description-md5: 5c0f4c021dc4968b465139c6ac4dcf22
Description-en: library for parsing the mspub file structure -- tools
 Libmspub is library providing ability to interpret and import mspub
 diagrams into various applications.
 .
 This package contains pub2xhtml and pub2raw.

Package: libmstch-dev
Description-md5: 93ba6373ddf92f46953c53e814eaa32c
Description-en: Mustache implementation in C++11
 Mstch is a complete implementation of {{mustache}} templates using
 modern C++. It's compliant with specifications v1.1.3, including the
 lambda module.
 .
 Mustache is a logic-less template language. As such, it is very well
 suited for programs that are written in a compiled language, such as C
 and C++, as they cannot easily evaluate code found in a template.
 Mustache does however supports a simple conditional and a loop statement.
 .
 This package contains the header files and a static library.

Package: libmstoolkit-dev
Description-md5: 818234f92e7dde2c8324a47880c2aef5
Description-en: libraries for manipulating mass spectrometry data - dev files
 The MSToolkit is a light-weight C++ library for reading, writing, and
 manipulating mass spectrometry data. The MSToolkit is easily linked
 to virtually any C++ algorithm for simple, fast file reading and
 analysis.
 .
 Supported File Formats:
 -----------------------
   - read-only mzML including internal compression (zlib and numpress)
     and external compression (.mzML.gz) read-only;
   - read-only mzXML including internal compression (zlib) and external
     compression (.mzXML.gz)
   - read/write mgf, ms1, ms2, bms1, bms2, cms1, cms2
 .
 Simple Interface:
 ------------------
   - Open any file format from a single function call;
   - Store any  spectrum in a simple, comprehensive data structure;
   - Sequential or random-access file reading.

Package: libmstoolkit-tools
Description-md5: 84874eae7b5e9ecba4cbae0589164075
Description-en: libraries for manipulating mass spectrometry data - tools
 The MSToolkit is a light-weight C++ library for reading, writing, and
 manipulating mass spectrometry data. The MSToolkit is easily linked
 to virtually any C++ algorithm for simple, fast file reading and
 analysis.
 .
 Supported File Formats:
 -----------------------
   - read-only mzML including internal compression (zlib and numpress)
     and external compression (.mzML.gz) read-only;
   - read-only mzXML including internal compression (zlib) and external
     compression (.mzXML.gz)
   - read/write mgf, ms1, ms2, bms1, bms2, cms1, cms2
 .
 Simple Interface:
 ------------------
   - Open any file format from a single function call;
   - Store any  spectrum in a simple, comprehensive data structure;
   - Sequential or random-access file reading.
 .
 This package ships a MS/MS spectrum loader tool.

Package: libmstoolkit82
Description-md5: 55496b5937ca993cce0f68cfae62cc95
Description-en: libraries for manipulating mass spectrometry data - runtime
 The MSToolkit is a light-weight C++ library for reading, writing, and
 manipulating mass spectrometry data. The MSToolkit is easily linked
 to virtually any C++ algorithm for simple, fast file reading and
 analysis.
 .
 Supported File Formats:
 -----------------------
   - read-only mzML including internal compression (zlib and numpress)
     and external compression (.mzML.gz) read-only;
   - read-only mzXML including internal compression (zlib) and external
     compression (.mzXML.gz)
   - read/write mgf, ms1, ms2, bms1, bms2, cms1, cms2
 .
 Simple Interface:
 ------------------
   - Open any file format from a single function call;
   - Store any  spectrum in a simple, comprehensive data structure;
   - Sequential or random-access file reading.
 .
 This package ships these libraries:
 .
   - libmstoolkit;
   - libmstoolkitlite;

Package: libmsv-dev
Description-md5: 438d531872d307d4473b7465316824fe
Description-en: Monkeysphere validation library (development files)
 libmsv provides a C API to the Monkeysphere Validation Agent
 using the Validation Agent Protocol
 .
 This package contains the development header and library.

Package: libmsv-java
Description-md5: b093f492f833d72e71ea36d946a51555
Description-en: Sun multi-schema XML validator
 The Sun Multi-Schema XML Validator is a Java tool to validate XML
 documents against several kinds of XML schemata. It supports DTD,
 RELAX Namespace, RELAX Core, RELAX NG, TREX, and a subset of W3C
 XML Schema Part 1.
 .
 It features both a command line utility that can read XML documents and
 validate them against a schema and a library that can be incorporated in
 a Java program.

Package: libmsv1
Description-md5: 60ea2cac789af91189aec05849c97c54
Description-en: Monkeysphere validation library
 libmsv provides a C API to the Monkeysphere Validation Agent
 using the Validation Agent Protocol

Package: libmtbl-dev
Description-md5: 64219bdc8f47cf6bd803646f1c2540c7
Description-en: immutable sorted string table library (development files)
 mtbl is a C library implementation of the Sorted String Table (SSTable)
 data structure, based on the SSTable implementation in the open source
 Google LevelDB library. An SSTable is a file containing an immutable
 mapping of keys to values. Keys are stored in sorted order, with an
 index at the end of the file allowing keys to be located quickly.
 .
 mtbl is not a database library. It does not provide an updateable
 key-value data store, but rather exposes primitives for creating,
 searching and merging SSTable files. Unlike databases which use
 the SSTable data structure internally as part of their data store,
 management of SSTable files -- creation, merging, deletion, combining
 of search results from multiple SSTables -- is left to the
 discretion of the mtbl library user.
 .
 This package contains the static library, header file, and documentation
 for libmtbl.

Package: libmtbl1
Description-md5: ae19f39416dba25831787faa865c6ff0
Description-en: immutable sorted string table library
 mtbl is a C library implementation of the Sorted String Table (SSTable)
 data structure, based on the SSTable implementation in the open source
 Google LevelDB library. An SSTable is a file containing an immutable
 mapping of keys to values. Keys are stored in sorted order, with an
 index at the end of the file allowing keys to be located quickly.
 .
 mtbl is not a database library. It does not provide an updateable
 key-value data store, but rather exposes primitives for creating,
 searching and merging SSTable files. Unlike databases which use
 the SSTable data structure internally as part of their data store,
 management of SSTable files -- creation, merging, deletion, combining
 of search results from multiple SSTables -- is left to the
 discretion of the mtbl library user.
 .
 This package contains the shared library for libmtbl.

Package: libmu-perl
Description-md5: 220f34f59b2fa16202ab9131da528fd0
Description-en: Moo but with less typing
 Mu is Moo, but with less typing.
 .
 Mu imports both Moo and MooX::ShortHas, making it even less work in typing
 and reading to set up an object.

Package: libmuffin-dev
Description-md5: 92b7ea1405214436c85ba71590de4a5f
Description-en: lightweight window and compositing manager (development files)
 Muffin is a small window manager performing compositing as well based on
 GTK+ and Clutter and used in desktop environment such as Cinnamon.
 .
 It is fairly extensible by the mean of plugins, providing new or customized
 visual effects as well as a flexibility in the behavior of the window
 manager.
 .
 This package contains the development files.

Package: libmuffin0
Description-md5: 6a323fd84cc278afef2afdf4892a683f
Description-en: lightweight window and compositing manager (shared library)
 Muffin is a small window manager performing compositing as well based on
 GTK+ and Clutter and used in desktop environment such as Cinnamon.
 .
 It is fairly extensible by the mean of plugins, providing new or customized
 visual effects as well as a flexibility in the behavior of the window
 manager.
 .
 This package contains the window manager shared library, used by muffin
 itself, and Cinnamon.

Package: libmulticobex1
Description-md5: 3babf24a5b7263db42a893bdebe1a3d5
Description-en: multi-protocol cable OBEX library
 This library add support for making use of several ways to use OBEX
 over serial lines. Phones from several vendors are supported.
 .
 This package contains the runtime library.

Package: libmulticobex1-dev
Description-md5: fc557dab11a648bd7bc0ae7a690c4a50
Description-en: multi-protocol cable OBEX library - development files
 This library add support for making use of several ways to use OBEX
 over serial lines. Phones from several vendors are supported.
 .
 This package contains the development files.

Package: libmultidimensional-perl
Description-md5: f50272f01a5c6a0c8af9b5d7d30aefa3
Description-en: Perl pragma to disable multidimensional array emulation
 Perl's multidimensional array emulation stems from the days before the
 language had references, but these days it mostly serves to bite you
 when you typo a hash slice by using the $ sigil instead of @.
 .
 This module lexically makes using multidimensional array emulation a
 fatal error at compile time. To enable it, simply say
 .
    no multidimensional;
 .
 at the beginning of your script.

Package: libmultiverse-core-java
Description-md5: acaba5cf37a814bddf01aba42dafc18f
Description-en: Java library implementing Software Transactional Memory (STM)
 Multiverse is meant as an alternative to traditional lock based
 concurrency. If you have worked with databases before, Transactional
 Memory should feel familiar because both share one very important
 concept: transactions.
 .
 Multiverse is language independent so it can be used without relying
 on instrumentation and therefore can easily be used with other
 languages that can run on the JVM like Scala, Groovy or JRuby.
 .
 Also it reduces complexity of concurrency control, it can be used
 demanding production environments and provides a framework for STM
 experiments.

Package: libmultiverse-core-java-doc
Description-md5: e51f449bfbb67feddc42b675e8bf1e71
Description-en: Documentation for libmultiverse-core-java
 Multiverse is meant as an alternative to traditional lock based
 concurrency. If you have worked with databases before, Transactional
 Memory should feel familiar because both share one very important
 concept: transactions.
 .
 Multiverse is language independent so it can be used without relying
 on instrumentation and therefore can easily be used with other
 languages that can run on the JVM like Scala, Groovy or JRuby.
 .
 Also it reduces complexity of concurrency control, it can be used
 demanding production environments and provides a framework for STM
 experiments.
 .
 This package contains the Multiverse Core API javadocs.

Package: libmumps-5.2.1
Description-md5: 3f5077d4617d80daf0ac8274bb6a5446
Description-en: Direct linear systems solver - parallel shared libraries
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.

Package: libmumps-dev
Description-md5: df8d2f2904a9df44aec3849c3beec234
Description-en: Direct linear systems solver - parallel development files
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.

Package: libmumps-ptscotch-5.2.1
Description-md5: f21ebfe4be95469e22888668200e3014
Description-en: Direct linear systems solver - PTScotch-version shared libraries
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.
 .
 This package is built with ptscotch support.

Package: libmumps-ptscotch-dev
Description-md5: 1c08f2ba0f044934e91aa41ae9906d94
Description-en: Direct linear systems solver - PTScotch-version development files
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.
 .
 This package is built with ptscotch support.

Package: libmumps-scotch-5.2.1
Description-md5: 8180d310c6941d79c013ed23cf14928f
Description-en: Direct linear systems solver - Scotch-version shared libraries
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.
 .
 This package is built the MUMPS libseq dummy sequential MPI library
 with scotch support. Unless you know that you specifically need this,
 then you almost certainly want the libmumps-5.2.1 package.

Package: libmumps-scotch-dev
Description-md5: 7c3c188ffc9eded1c5ff28c3f72fd8ea
Description-en: Direct linear systems solver - Scotch-version development files
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.
 .
 This package is built the MUMPS libseq dummy sequential MPI library
 with scotch support. Unless you know that you specifically need this,
 then you almost certainly want the libmumps-5.2.1 package.

Package: libmumps-seq-5.2.1
Description-md5: 4b19eb891ab18fafa0d48bebfe656fb2
Description-en: Direct linear systems solver - non-parallel shared libraries
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.
 .
 This package is built the MUMPS libseq dummy sequential MPI library.
 Unless you know that you specifically need this, then you almost
 certainly want the libmumps-5.2.1 package.

Package: libmumps-seq-dev
Description-md5: f5a73cf19e9751103fcb0255d1e6d748
Description-en: Direct linear systems solver - non-parallel development files
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.
 .
 This package is built the MUMPS libseq dummy sequential MPI library.
 Unless you know that you specifically need this, then you almost
 certainly want the libmumps-dev package.

Package: libmunge-dev
Description-md5: 6744487a7ffdff391eee3a1dc939981e
Description-en: authentication service for credential -- development package
 MUNGE (MUNGE Uid 'N' Gid Emporium) is an authentication service
 for creating and validating credentials.  It allows a process
 to authenticate the UID and GID of another local or remote
 process within a group of hosts having common users and groups.
 These hosts form a security realm that is defined by a shared
 cryptographic key.
 .
 This package contains the development files for compiling
 applications using MUNGE.

Package: libmunge-maven-plugin-java
Description-md5: 811c0c653719edd20cc0adebddc8a013
Description-en: Maven plugin to pre-process Java code
 The Munge Plugin for Maven provides mojos to munge (pre-process) Java code.
 .
 It only supports conditional inclusion of source based on defined strings of
 the form "if[tag]", "if_not[tag]", "else[tag], and "end[tag]".

Package: libmunge2
Description-md5: b0b124971cd61d5ccbdcc2325e9f38b3
Description-en: authentication service for credential -- library package
 MUNGE (MUNGE Uid 'N' Gid Emporium) is an authentication service
 for creating and validating credentials.  It allows a process
 to authenticate the UID and GID of another local or remote
 process within a group of hosts having common users and groups.
 These hosts form a security realm that is defined by a shared
 cryptographic key.
 .
 This package contains the shared library required to run
 applications using MUNGE.

Package: libmuparser-dev
Description-md5: faf54a2506b453eacbe6f5a65f6f52e6
Description-en: fast mathematical expressions parse library (development)
 muParser is a high performance mathematical parser library, written in pure
 C++. It is based on transforming an expression into a bytecode and
 precalculating constant parts of it.
 .
 This package contains the development files.

Package: libmuparser2v5
Description-md5: 589ec95875ec092646bb06ac6c9bb5ff
Description-en: fast mathematical expressions parser library (runtime)
 muParser is a high performance mathematical parser library, written in pure
 C++. It is based on transforming an expression into a bytecode and
 precalculating constant parts of it.
 .
 This package contains the files necessary for running applications that use
 the muParser library.

Package: libmuparserx-dev
Description-md5: a18d03bc5256032e45d7b60132182226
Description-en: mathematical expression parser library (development files)
 The evaluation of a mathematical expression is a standard task required in
 many applications. It can be solved by either using a standard math expression
 parser such as muparser or by embedding a scripting language such as Lua. There
 are however some limitations: Although muparser is pretty fast it will only
 work with scalar values and although Lua is very flexible it does neither
 support binary operators for arrays nor complex numbers. So if you need a math
 expression parser with support for arrays, matrices and strings muparserx may
 be able to help you. It was originally based on the original muparser engine
 but has since evolved into a standalone project with a completely new parsing
 engine.
 .
 This package contains the development files for libmuparserx.

Package: libmuparserx4.0.7
Description-md5: f9600d06e7690140f675958918a85ff3
Description-en: mathematical expression parser library
 The evaluation of a mathematical expression is a standard task required in
 many applications. It can be solved by either using a standard math expression
 parser such as muparser or by embedding a scripting language such as Lua. There
 are however some limitations: Although muparser is pretty fast it will only
 work with scalar values and although Lua is very flexible it does neither
 support binary operators for arrays nor complex numbers. So if you need a math
 expression parser with support for arrays, matrices and strings muparserx may
 be able to help you. It was originally based on the original muparser engine
 but has since evolved into a standalone project with a completely new parsing
 engine.
 .
 This package contains the shared library.

Package: libmupdf-dev
Description-md5: 1971a9536574a0bc27560d5c80c49a3f
Description-en: development files for the MuPDF viewer
 MuPDF is a lightweight PDF viewer and toolkit written in portable C.
 It also reads XPS, OpenXPS and ePub documents.
 .
 This package contains the static library and headers.

Package: libmupen64plus-dev
Description-md5: 8670f9de6df8551442e1b87599ce3bec
Description-en: mupen64plus development package
 Flexible N64 Emulator for Linux that works with many ROMs that are publically
 available. It consists of separate components to provide an user interface,
 audio and graphics output, controller input, co-processor emulation and an
 emulator core.
 .
 This package contains everything which is needed to build plugins or frontends
 against the mupen64plus API.

Package: libmupen64plus2
Description-md5: 83800ee5f3555bf3651a03eeb1c2a10b
Description-en: plugin-based Nintendo 64 emulator, core library
 Flexible N64 Emulator for Linux that works with many ROMs that are publically
 available. It consists of separate components to provide an user interface,
 audio and graphics output, controller input, co-processor emulation and an
 emulator core.
 .
 It has the following features:
  * cheat system
  * exchangeable plugins for graphics, sound and input emulation
  * multiple save states
  * common configuration system for all components
 .
 This package contains the actual emulator which must be loaded by a frontend.

Package: libmurmurhash-dev
Description-md5: b7eb2cce3b7d7dd05fcc151dbba69919
Description-en: libmurmurhash header files
 MurmurHash is one of the most commonly used non-cryptographic hash
 functions. This project bundles it into a shared library to avoid code
 duplication. Further it provides compatibility even for big-endian
 systems.
 .
 This package installs files needed for development.

Package: libmurmurhash2
Description-md5: de92456beacfaf0ff9af9eb35eb0a6f2
Description-en: Portable MurmurHash Implementation
 MurmurHash is one of the most commonly used non-cryptographic hash
 functions. This project bundles it into a shared library to avoid code
 duplication. Further it provides compatibility even for big-endian
 systems.
 .
 This package installs shared library files.

Package: libmuroar-dev
Description-md5: 5d4659f86deb749d4b7d72c7a4c42890
Description-en: minimalist RoarAudio client library (development headers)
 RoarAudio is a sound-server for audio mixing. Its main purpose is to mix audio
 from different clients before sending it to its outputs (for example a
 soundcard).
 .
 It is completely network transparent (UNIX sockets, TCP/IP and DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 This is a minimalist client library for RoarAudio.
 It exists because it allows non-GPL applications to use
 the RoarAudio sound system.
 .
 This package contains the development headers for the libmuroar library.

Package: libmuroar0
Description-md5: 9d58bb975ec953ed306c8f55001cf299
Description-en: minimalist RoarAudio client library
 RoarAudio is a sound-server for audio mixing. Its main purpose is to mix audio
 from different clients before sending it to its outputs (for example a
 soundcard).
 .
 It is completely network transparent (UNIX sockets, TCP/IP and DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 This is a minimalist client library for RoarAudio.
 It exists because it allows non-GPL applications to use
 the RoarAudio sound system.

Package: libmuroard3
Description-md5: 76ffcea229baaa7d49c13b0c8634ccf4
Description-en: minimalist RoarAudio sound daemon (library package)
 RoarAudio is a sound-server for audio mixing. Its main purpose is to mix audio
 from different clients before sending it to its outputs (for example a
 soundcard).
 .
 This package provides libraries needed to embed muRoarD into an existing
 application (as thread).

Package: libmuscle-dev
Description-md5: d5fbef309f543e667cfbe72a5c2b786f
Description-en: multiple alignment development library for protein sequences
 MUSCLE is a multiple alignment program for protein sequences. MUSCLE
 stands for multiple sequence comparison by log-expectation. In the
 authors tests, MUSCLE achieved the highest scores of all tested
 programs on several alignment accuracy benchmarks, and is also one of
 the fastest programs out there.
 .
 This library was derived from the original MUSCLE and turned into
 a library.
 .
 This package contains the static library and header files.

Package: libmuscle1
Description-md5: ff9ed9e8164b525907c7ee17683c733a
Description-en: multiple alignment library for protein sequences
 MUSCLE is a multiple alignment program for protein sequences. MUSCLE
 stands for multiple sequence comparison by log-expectation. In the
 authors tests, MUSCLE achieved the highest scores of all tested
 programs on several alignment accuracy benchmarks, and is also one of
 the fastest programs out there.
 .
 This library was derived from the original MUSCLE and turned into
 a library.
 .
 This package contains the dynamic library.

Package: libmusic-dev
Description-md5: a5e50c9d0fb03b123c6533f9334581f6
Description-en: Multi-Simulation Coordinator for MPI -- Development files
 MUSIC allows spike events and continuous time series to be
 communicated between parallel applications within the same MPI job in
 a cluster computer.  Typical usage cases are connecting models
 developed for different simulators and connecting a parallel
 simulator to a post-processing tool.
 .
 This package contains the header files which are needed to compile
 and link programs against libmusic.

Package: libmusic1v5
Description-md5: c929caad0761ce3cedba865a3aa1b814
Description-en: Multi-Simulation Coordinator for MPI -- Runtime library
 MUSIC allows spike events and continuous time series to be
 communicated between parallel applications within the same MPI job in
 a cluster computer.  Typical usage cases are connecting models
 developed for different simulators and connecting a parallel
 simulator to a post-processing tool.
 .
 This package contains the MUSIC library.

Package: libmusicbrainz-discid-perl
Description-md5: 966458e4e1d35cd0eac72eae86e4a632
Description-en: Perl interface to the MusicBrainz libdiscid library
 MusicBrainz::DiscID is a Perl interface to the MusicBrainz libdiscid library.
 It is useful for calculating a MusicBrainz DiscID from an audio compact disc
 in the drive. The coding style differs slightly from the C library as this
 module supports Perl's Object-Oriented programming features.

Package: libmusicbrainz5-2
Description-md5: 7ad6173306c78397c257de9dd9218143
Description-en: Library to access the MusicBrainz.org database (C wrapper functions)
 MusicBrainz is a community music metadatabase that attempts to create a
 comprehensive music information site.
 .
 This package contains the shared library for the C wrapper functions.

Package: libmusicbrainz5-dev
Description-md5: 26e3704f92ddc6e02a31ac849916b02c
Description-en: Library to access the MusicBrainz.org database (development files)
 MusicBrainz is a community music metadatabase that attempts to create a
 comprehensive music information site.
 .
 This package contains the development files.

Package: libmusicbrainz5-doc
Description-md5: 487ce9fd5db701549c4399d3eac58a74
Description-en: Library to access the MusicBrainz.org database (developer documentation)
 MusicBrainz is a community music metadatabase that attempts to create a
 comprehensive music information site.
 .
 This package contains the developer documentation.

Package: libmusicbrainz5cc2v5
Description-md5: 3c5224bed9739024ea5815be8194f3a9
Description-en: Library to access the MusicBrainz.org database
 MusicBrainz is a community music metadatabase that attempts to create a
 comprehensive music information site.
 .
 This package contains the shared library.

Package: libmustache-d-dev
Description-md5: 1ff3e9d1caf3d1e278cde195ed17747e
Description-en: Mustache rendering engine for D -- development files
 Mustache is a push-strategy (a.k.a logic-less) template engine.
 .
 This package contains D development files for a Mustache implementation
 in the D programming language.

Package: libmustache-d0
Description-md5: dd778293605d48aeb3ccb2c396a14f94
Description-en: Mustache rendering engine for D
 Mustache is a push-strategy (a.k.a logic-less) template engine.
 .
 This package contains an implementation in the D programming language.

Package: libmustache-java
Description-md5: 2dee289256059fd5beb06eb4f39043a2
Description-en: Mustache implementation in Java.
 Mustache is a logic-less templating system for HTML, config files, anything.
 Mustache processes a template preceded by YAML frontmatter from standard
 input and prints one or more documents to standard output.

Package: libmvel-java
Description-md5: ae2103425edb51679af4a6543f074cac
Description-en: expression language for Java-based applications - Library
 MVEL may be useful as an extension to anything from use in JSP Tag Libraries,
 to the configuration facilities of your library/framework.
 .
 In addition to being an expression language, MVEL also comes packaged with an
 templating system similar to Velocity and FreeMarker.

Package: libmwaw-tools
Description-md5: 13e3b33c80702e5ba597ca5e98cb7040
Description-en: import library for some old Mac text documents -- tools
 libmwaw contains some import filters for old Mac text documents
 (MacWrite, ClarisWorks, ... ) based on top of libwpd.
 .
 This package contains mwaw2html, mwaw2raw and mwaw2text.

Package: libmxml-dev
Description-md5: 057e9b9a0ef1f5841fe4566b991d7bf1
Description-en: small XML parsing library (development)
 Mini-XML is a small XML parsing library that you can use to read XML and
 XML-like data files in your application without requiring large non-standard
 libraries.
 .
 Mini-XML provides the following functionality:
  * Reading of UTF-8 and UTF-16 and writing of UTF-8 encoded XML files and
    strings.
  * Data is stored in a linked-list tree structure, preserving the XML data
    hierarchy.
  * Supports arbitrary element names, attributes, and attribute values with
    no preset limits, just available memory.
  * Supports integer, real, opaque ("cdata"), and text data types in "leaf"
    nodes.
  * Functions for creating, indexing, and managing trees of data.
  * "Find" and "walk" functions for easily locating and navigating trees of
    data.
 .
  This package contains the Mini-XML development files.

Package: libmxml1
Description-md5: 6f84a5bb28cce80d475ea4a5b5aa6b64
Description-en: small XML parsing library (runtime)
 Mini-XML is a small XML parsing library that you can use to read XML and
 XML-like data files in your application without requiring large non-standard
 libraries.
 .
 Mini-XML provides the following functionality:
  * Reading of UTF-8 and UTF-16 and writing of UTF-8 encoded XML files and
    strings.
  * Data is stored in a linked-list tree structure, preserving the XML data
    hierarchy.
  * Supports arbitrary element names, attributes, and attribute values with
    no preset limits, just available memory.
  * Supports integer, real, opaque ("cdata"), and text data types in "leaf"
    nodes.
  * Functions for creating, indexing, and managing trees of data.
  * "Find" and "walk" functions for easily locating and navigating trees of
    data.
 .
 Mini-XML doesn't do validation or other types of processing on the data based
 upon schema files or other sources of definition information, nor does it
 support character entities other than those required by the XML specification.
 .
 This package contains the files necessary for running applications that
 use the Mini-XML library.

Package: libmygpo-qt-dev
Description-md5: 5544e17a28911618b0b4407d9b827dd0
Description-en: Development headers for the mygpo-qt library
 C++/Qt Library wrapping the gpodder.net Webservice
 .
 This package contains the development headers for the mygpo-qt library

Package: libmygpo-qt5-1
Description-md5: 64f869e36dd4beba0386c55ad0beec67
Description-en: mygpo-qt library package
 C++/Qt Library wrapping the gpodder.net Webservice
 .
 This package contains the myqpo-qt library

Package: libmygui-dev
Description-md5: 6775446dd651c46080ef7974145af00f
Description-en: Fast, simple and flexible GUI for OpenMW - development files
 MyGUI is a GUI library which aims to be fast, flexible and simple GUIs in C++.
  Features include
    Layout Editor.
    Multicolour text.
    Per pixel cut.
    Changing alpha support for widgets (also in states configuration).
    Interface localisation.
    Fast RTTI for safe casts.
    Tool tips.
    Animated cursors and pictures.
    User xml resources.
    Truetype fonts and fonts from texture.
    Widgets controllers (moving, fading and so on).
    Flexible configuration in xml config file.
    Subskins with tiling, with direct access to texture.
    Possibility to store any data in widgets items.
    Skin themes.
    Wrappers for fast UI development.
    Drag'n'drop.
 .
 This package contains the headers and static libraries needed to develop
 programs using MyGUI.

Package: libmygui.ogreplatform0debian1v5
Description-md5: f0bd3a38684a8f4af4789c183d7d501e
Description-en: Fast, simple and flexible GUI - Ogre interface
 MyGUI is a GUI library which aims to be fast, flexible and simple GUIs in C++.
  Features include
    Layout Editor.
    Multicolour text.
    Per pixel cut.
    Changing alpha support for widgets (also in states configuration).
    Interface localisation.
    Fast RTTI for safe casts.
    Tool tips.
    Animated cursors and pictures.
    User xml resources.
    Truetype fonts and fonts from texture.
    Widgets controllers (moving, fading and so on).
    Flexible configuration in xml config file.
    Subskins with tiling, with direct access to texture.
    Possibility to store any data in widgets items.
    Skin themes.
    Wrappers for fast UI development.
    Drag'n'drop.
 .
 This package contains the MyGUI interface to the Ogre graphics engine.

Package: libmygui.openglplatform0debian1v5
Description-md5: 58f1a4ef57d3aa12adcd9be746d64e25
Description-en: Fast, simple and flexible GUI - OpenGL interface
 MyGUI is a GUI library which aims to be fast, flexible and simple GUIs in C++.
  Features include
    Layout Editor.
    Multicolour text.
    Per pixel cut.
    Changing alpha support for widgets (also in states configuration).
    Interface localisation.
    Fast RTTI for safe casts.
    Tool tips.
    Animated cursors and pictures.
    User xml resources.
    Truetype fonts and fonts from texture.
    Widgets controllers (moving, fading and so on).
    Flexible configuration in xml config file.
    Subskins with tiling, with direct access to texture.
    Possibility to store any data in widgets items.
    Skin themes.
    Wrappers for fast UI development.
    Drag'n'drop.
 .
 This package contains the MyGUI interface for OpenGL.

Package: libmyguiengine3debian1v5
Description-md5: 05e9b1fdee387e717e3b2c4bcaef4d5f
Description-en: Fast, simple and flexible GUI - shared library
 MyGUI is a GUI library which aims to be fast, flexible and simple GUIs in C++.
  Features include
    Layout Editor.
    Multicolour text.
    Per pixel cut.
    Changing alpha support for widgets (also in states configuration).
    Interface localisation.
    Fast RTTI for safe casts.
    Tool tips.
    Animated cursors and pictures.
    User xml resources.
    Truetype fonts and fonts from texture.
    Widgets controllers (moving, fading and so on).
    Flexible configuration in xml config file.
    Subskins with tiling, with direct access to texture.
    Possibility to store any data in widgets items.
    Skin themes.
    Wrappers for fast UI development.
    Drag'n'drop.
 .
 This package contains the MyGUI runtime engine.

Package: libmypaint-1.5-1
Description-md5: 067cae648726d4484ef91f7cfb45446a
Description-en: brush library for mypaint
 MyPaint is a pressure- and tilt-sensitive painting program which works well
 with Wacom graphics tablets and other similar devices. It comes with a large
 brush collection including charcoal and ink to emulate real media, but the
 highly configurable brush engine allows you to experiment with your own
 brushes and with not-quite-natural painting.
 .
 This package contains the shared library.

Package: libmypaint-common
Description-md5: 9295afe043cedd4da9c2754efbccf9dd
Description-en: brush library for mypaint - common files
 MyPaint is a pressure- and tilt-sensitive painting program which works well
 with Wacom graphics tablets and other similar devices. It comes with a large
 brush collection including charcoal and ink to emulate real media, but the
 highly configurable brush engine allows you to experiment with your own
 brushes and with not-quite-natural painting.
 .
 This package contains architecture-independent files such as translations.

Package: libmypaint-dev
Description-md5: 7eb8ecd83685415681ee6bd4168f1cc6
Description-en: brush library for mypaint - development files
 MyPaint is a pressure- and tilt-sensitive painting program which works well
 with Wacom graphics tablets and other similar devices. It comes with a large
 brush collection including charcoal and ink to emulate real media, but the
 highly configurable brush engine allows you to experiment with your own
 brushes and with not-quite-natural painting.
 .
 This package contains the development files.

Package: libmypaint-doc
Description-md5: 1539dd84494d71934622e5eb7cfaa4c2
Description-en: brush library for mypaint - documentation
 MyPaint is a pressure- and tilt-sensitive painting program which works well
 with Wacom graphics tablets and other similar devices. It comes with a large
 brush collection including charcoal and ink to emulate real media, but the
 highly configurable brush engine allows you to experiment with your own
 brushes and with not-quite-natural painting.
 .
 This package contains the API reference.

Package: libmyproxy-dev
Description-md5: 321bc934b10e482fb9052c0f64edf742
Description-en: Credential Management Service Development
 MyProxy is open source software for managing X.509 Public Key Infrastructure
 (PKI) security credentials (certificates and private keys). MyProxy
 combines an online credential repository with an online certificate
 authority to allow users to securely obtain credentials when and where needed.
 Users run myproxy-logon to authenticate and obtain credentials, including
 trusted CA certificates and Certificate Revocation Lists (CRLs).
 .
 This package contains development files for MyProxy.

Package: libmyproxy-voms
Description-md5: 330df7d5d0f1c60d7c993c1a28a964bb
Description-en: Credential Management Service VOMS Library
 MyProxy is open source software for managing X.509 Public Key Infrastructure
 (PKI) security credentials (certificates and private keys). MyProxy
 combines an online credential repository with an online certificate
 authority to allow users to securely obtain credentials when and where needed.
 Users run myproxy-logon to authenticate and obtain credentials, including
 trusted CA certificates and Certificate Revocation Lists (CRLs).
 .
 This package contains runtime libs for MyProxy to use VOMS.

Package: libmyproxy6
Description-md5: 91cfb233468452c1468feba783477e4c
Description-en: Credential Management Service Library
 MyProxy is open source software for managing X.509 Public Key Infrastructure
 (PKI) security credentials (certificates and private keys). MyProxy
 combines an online credential repository with an online certificate
 authority to allow users to securely obtain credentials when and where needed.
 Users run myproxy-logon to authenticate and obtain credentials, including
 trusted CA certificates and Certificate Revocation Lists (CRLs).
 .
 This package contains runtime libs for MyProxy.

Package: libmysofa-dev
Description-md5: aa2f9d2fa062666616e95b168ab4b1f1
Description-en: library to read HRTFs stored in the AES69-2015 SOFA format - development files
 libmysofa is a light weight C-library intended to read SOFA (Spatially Oriented
 Format for Acoustics) files for spatial rendering.
 It hardly has any library dependencies and is suitable for embedded devices.
 .
 It reads SOFA files and checks whether the data complies to the
 "SimpleFreeFieldHRIR" conventions. In addition, it provides functions to
 look-up and interpolate the filters for a given orientation and to normalize
 the HRTFs (Head-Related Transfer Functions) to a reference level.
 .
 This package contains the files necessary to compile applications using
 libmysofa.

Package: libmysofa-utils
Description-md5: cd8553dd8d9bf82cad3bb16a9abc6127
Description-en: library to read HRTFs stored in the AES69-2015 SOFA format - utilities
 libmysofa is a light weight C-library intended to read SOFA (Spatially Oriented
 Format for Acoustics) files for spatial rendering.
 It hardly has any library dependencies and is suitable for embedded devices.
 .
 It reads SOFA files and checks whether the data complies to the
 "SimpleFreeFieldHRIR" conventions. In addition, it provides functions to
 look-up and interpolate the filters for a given orientation and to normalize
 the HRTFs (Head-Related Transfer Functions) to a reference level.
 .
 This package contains the mysofa2json utility.

Package: libmysofa1
Description-md5: 22541e7c07a54d434b83ace1302c4215
Description-en: library to read HRTFs stored in the AES69-2015 SOFA format
 libmysofa is a light weight C-library intended to read SOFA (Spatially Oriented
 Format for Acoustics) files for spatial rendering.
 It hardly has any library dependencies and is suitable for embedded devices.
 .
 It reads SOFA files and checks whether the data complies to the
 "SimpleFreeFieldHRIR" conventions. In addition, it provides functions to
 look-up and interpolate the filters for a given orientation and to normalize
 the HRTFs (Head-Related Transfer Functions) to a reference level.
 .
 This package contains the shared objects necessary to run an application using
 libmysofa.

Package: libmysql++-dev
Description-md5: fc9a037d745318a9210658320f325d92
Description-en: MySQL C++ library bindings (development)
 MySQL++ is a complex C++ API for MySQL (and other SQL databases
 soon). The goal of this API is to make working with Queries as easy
 as working with other STL containers.
 .
 This package provides development support.

Package: libmysql++-doc
Description-md5: be32687771bbe79af1a1f8d7287f021b
Description-en: MySQL C++ library bindings (documentation and examples)
 MySQL++ is a complex C++ API for MySQL (and other SQL databases
 soon). The goal of this API is to make working with Queries as easy
 as working with other STL Containers.
 .
 This package provides documentation and examples.  Please read the
 instructions in README.Debian in order to build the examples.

Package: libmysql++3v5
Description-md5: 1fb45f774499d200041215cee05918a3
Description-en: MySQL C++ library bindings (runtime)
 MySQL++ is a complex C++ API for MySQL (and other SQL databases
 soon). The goal of this API is to make working with Queries as easy
 as working with other STL Containers.
 .
 This package provides runtime support.

Package: libmysql-diff-perl
Description-md5: bd3f0ab36aefd53b6bf2d1ed9627dcc2
Description-en: module for comparing the table structure of two MySQL databases
 MySQL::Diff is suite of Perl modules and accompanying CLI script for
 comparing the schema (table structures) of two MySQL databases.
 .
 The package also contains the mysql-schema-diff script.
 .
 For further mysql helper scripts mysql-utilities might be interesting.

Package: libmysql-ocaml
Description-md5: 1a20ccd365b0d7b5c95754bad81741f4
Description-en: OCaml bindings for MySql (runtime package)
 This OCaml library interfaces the MySql C library. It can be used to access
 and modify MySql databases from OCaml programs.
 .
 This package contains only the shared runtime stub libraries.

Package: libmysql-ocaml-dev
Description-md5: e00bebedefaf1906ffa127d61655eea5
Description-en: OCaml bindings for MySql (development package)
 This OCaml library interfaces the MySql C library. It can be used to access
 and modify MySql databases from OCaml programs.
 .
 This package contains all the development stuff you need to use
 OCaml MySql in your programs.

Package: libmysqlcppconn-dev
Description-md5: bb5699994096ff28d4eff6db5acd103e
Description-en: MySQL Connector for C++ (development files)
 MySQL Connector/C++ is a MySQL database connector for C++.
 .
 It mimics the JDBC 4.0 API.
 .
 This package contains the development files (headers, static library).

Package: libmysqlcppconn7v5
Description-md5: 3e05a8a275438c98cfe5d5c6ef4a7d9f
Description-en: MySQL Connector for C++ (library)
 MySQL Connector/C++ is a MySQL database connector for C++.
 .
 It mimics the JDBC 4.0 API.
 .
 This package contains the shared library

Package: libn32atomic1-mips-cross
Description-md5: 5addd5b55f79b05badc5d383cc456bc6
Description-en: support library providing __atomic built-in functions (n32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libn32atomic1-mips64-cross
Description-md5: 5addd5b55f79b05badc5d383cc456bc6
Description-en: support library providing __atomic built-in functions (n32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libn32atomic1-mips64el-cross
Description-md5: 5addd5b55f79b05badc5d383cc456bc6
Description-en: support library providing __atomic built-in functions (n32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libn32atomic1-mips64r6-cross
Description-md5: 5addd5b55f79b05badc5d383cc456bc6
Description-en: support library providing __atomic built-in functions (n32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libn32atomic1-mips64r6el-cross
Description-md5: 5addd5b55f79b05badc5d383cc456bc6
Description-en: support library providing __atomic built-in functions (n32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libn32atomic1-mipsel-cross
Description-md5: 5addd5b55f79b05badc5d383cc456bc6
Description-en: support library providing __atomic built-in functions (n32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libn32atomic1-mipsr6-cross
Description-md5: 5addd5b55f79b05badc5d383cc456bc6
Description-en: support library providing __atomic built-in functions (n32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libn32atomic1-mipsr6el-cross
Description-md5: 5addd5b55f79b05badc5d383cc456bc6
Description-en: support library providing __atomic built-in functions (n32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libn32gcc-10-dev-mips-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-10-dev-mips64-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-10-dev-mips64el-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-10-dev-mips64r6-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-10-dev-mips64r6el-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-10-dev-mipsel-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-10-dev-mipsr6-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-10-dev-mipsr6el-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-9-dev-mips-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-9-dev-mips64-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-9-dev-mips64el-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-9-dev-mips64r6-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-9-dev-mips64r6el-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-9-dev-mipsel-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-9-dev-mipsr6-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-9-dev-mipsr6el-cross
Description-md5: a9971e06562bd28587b8a1e082d3c523
Description-en: GCC support library (n32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libn32gcc-s1-mips-cross
Description-md5: e4cdd3a4afa37f05dd7d913a7af82447
Description-en: GCC support library (mips) (n32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libn32gcc-s1-mips64-cross
Description-md5: 3a5fd2cbfc2abe897c43c2f2d930968a
Description-en: GCC support library (mips64) (n32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libn32gcc-s1-mips64el-cross
Description-md5: 805d07dcb41287d352c8c58eef76a32a
Description-en: GCC support library (mips64el) (n32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libn32gcc-s1-mips64r6-cross
Description-md5: 3bfb9ae0b29dae34fb3e25897f76f941
Description-en: GCC support library (mips64r6) (n32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libn32gcc-s1-mips64r6el-cross
Description-md5: 34df7086427732d35830a6ac338e4ea2
Description-en: GCC support library (mips64r6el) (n32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libn32gcc-s1-mipsel-cross
Description-md5: 41d5d2f2b2e6a8fed0f70cba80bb07c3
Description-en: GCC support library (mipsel) (n32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libn32gcc-s1-mipsr6-cross
Description-md5: 5784aaf7169a025c118ae456a6919566
Description-en: GCC support library (mipsr6) (n32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libn32gcc-s1-mipsr6el-cross
Description-md5: 4a7b2604fcadaa747ac99c959dfe4da5
Description-en: GCC support library (mipsr6el) (n32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libn32gfortran-10-dev-mips-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-10-dev-mips64-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-10-dev-mips64el-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-10-dev-mips64r6-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-10-dev-mips64r6el-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-10-dev-mipsel-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-10-dev-mipsr6-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-10-dev-mipsr6el-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-9-dev-mips-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-9-dev-mips64-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-9-dev-mips64el-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-9-dev-mips64r6-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-9-dev-mips64r6el-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-9-dev-mipsel-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-9-dev-mipsr6-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran-9-dev-mipsr6el-cross
Description-md5: a68254f0dbb2084ef9a4c2b5e1b28c58
Description-en: Runtime library for GNU Fortran applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libn32gfortran5-mips-cross
Description-md5: 512ca0d6fb98fb0cffa3e05c916ca5e9
Description-en: Runtime library for GNU Fortran applications (n32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libn32gfortran5-mips64-cross
Description-md5: 512ca0d6fb98fb0cffa3e05c916ca5e9
Description-en: Runtime library for GNU Fortran applications (n32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libn32gfortran5-mips64el-cross
Description-md5: 512ca0d6fb98fb0cffa3e05c916ca5e9
Description-en: Runtime library for GNU Fortran applications (n32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libn32gfortran5-mips64r6-cross
Description-md5: 512ca0d6fb98fb0cffa3e05c916ca5e9
Description-en: Runtime library for GNU Fortran applications (n32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libn32gfortran5-mips64r6el-cross
Description-md5: 512ca0d6fb98fb0cffa3e05c916ca5e9
Description-en: Runtime library for GNU Fortran applications (n32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libn32gfortran5-mipsel-cross
Description-md5: 512ca0d6fb98fb0cffa3e05c916ca5e9
Description-en: Runtime library for GNU Fortran applications (n32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libn32gfortran5-mipsr6-cross
Description-md5: 512ca0d6fb98fb0cffa3e05c916ca5e9
Description-en: Runtime library for GNU Fortran applications (n32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libn32gfortran5-mipsr6el-cross
Description-md5: 512ca0d6fb98fb0cffa3e05c916ca5e9
Description-en: Runtime library for GNU Fortran applications (n32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libn32go-10-dev-mips-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-10-dev-mips64-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-10-dev-mips64el-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-10-dev-mips64r6-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-10-dev-mips64r6el-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-10-dev-mipsel-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-10-dev-mipsr6-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-10-dev-mipsr6el-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-9-dev-mips-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-9-dev-mips64-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-9-dev-mips64el-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-9-dev-mips64r6-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-9-dev-mips64r6el-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-9-dev-mipsel-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-9-dev-mipsr6-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go-9-dev-mipsr6el-cross
Description-md5: 5bd016d6494e1b31a5eb7479c6885569
Description-en: Runtime library for GNU Go applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libn32go14-mips-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go14-mips64-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go14-mips64el-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go14-mips64r6-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go14-mips64r6el-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go14-mipsel-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go14-mipsr6-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go14-mipsr6el-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go16-mips-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go16-mips64-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go16-mips64el-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go16-mips64r6-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go16-mips64r6el-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go16-mipsel-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go16-mipsr6-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32go16-mipsr6el-cross
Description-md5: 69e12a3330f05e7659c67b24aba9e516
Description-en: Runtime library for GNU Go applications (n32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libn32gomp1-mips-cross
Description-md5: 5658b1146ba69da635efca3683f4a5e6
Description-en: GCC OpenMP (GOMP) support library (n32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libn32gomp1-mips64-cross
Description-md5: 5658b1146ba69da635efca3683f4a5e6
Description-en: GCC OpenMP (GOMP) support library (n32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libn32gomp1-mips64el-cross
Description-md5: 5658b1146ba69da635efca3683f4a5e6
Description-en: GCC OpenMP (GOMP) support library (n32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libn32gomp1-mips64r6-cross
Description-md5: 5658b1146ba69da635efca3683f4a5e6
Description-en: GCC OpenMP (GOMP) support library (n32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libn32gomp1-mips64r6el-cross
Description-md5: 5658b1146ba69da635efca3683f4a5e6
Description-en: GCC OpenMP (GOMP) support library (n32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libn32gomp1-mipsel-cross
Description-md5: 5658b1146ba69da635efca3683f4a5e6
Description-en: GCC OpenMP (GOMP) support library (n32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libn32gomp1-mipsr6-cross
Description-md5: 5658b1146ba69da635efca3683f4a5e6
Description-en: GCC OpenMP (GOMP) support library (n32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libn32gomp1-mipsr6el-cross
Description-md5: 5658b1146ba69da635efca3683f4a5e6
Description-en: GCC OpenMP (GOMP) support library (n32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libn32gphobos-10-dev-mips-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-10-dev-mips64-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-10-dev-mips64el-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-10-dev-mips64r6-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-10-dev-mips64r6el-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-10-dev-mipsel-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-10-dev-mipsr6-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-10-dev-mipsr6el-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-9-dev-mips-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-9-dev-mips64-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-9-dev-mips64el-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-9-dev-mips64r6-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-9-dev-mips64r6el-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-9-dev-mipsel-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-9-dev-mipsr6-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos-9-dev-mipsr6el-cross
Description-md5: f7c139fad8f0733e45b27bd808b1f1ff
Description-en: Phobos D standard library (n32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos1-mips-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos1-mips64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos1-mips64el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos1-mips64r6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos1-mips64r6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos1-mipsel-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos1-mipsr6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos1-mipsr6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos76-mips-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos76-mips64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos76-mips64el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos76-mips64r6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos76-mips64r6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos76-mipsel-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos76-mipsr6-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32gphobos76-mipsr6el-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libn32objc-10-dev-mips-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-10-dev-mips64-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-10-dev-mips64el-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-10-dev-mips64r6-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-10-dev-mips64r6el-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-10-dev-mipsel-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-10-dev-mipsr6-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-10-dev-mipsr6el-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-9-dev-mips-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-9-dev-mips64-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-9-dev-mips64el-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-9-dev-mips64r6-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-9-dev-mips64r6el-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-9-dev-mipsel-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-9-dev-mipsr6-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc-9-dev-mipsr6el-cross
Description-md5: 7b0c3d16ce7999d69258664dbed1aec5
Description-en: Runtime library for GNU Objective-C applications (n32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libn32objc4-mips-cross
Description-md5: 6c3f3826368c5c8a95b9afb8628988b6
Description-en: Runtime library for GNU Objective-C applications (n32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libn32objc4-mips64-cross
Description-md5: 6c3f3826368c5c8a95b9afb8628988b6
Description-en: Runtime library for GNU Objective-C applications (n32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libn32objc4-mips64el-cross
Description-md5: 6c3f3826368c5c8a95b9afb8628988b6
Description-en: Runtime library for GNU Objective-C applications (n32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libn32objc4-mips64r6-cross
Description-md5: 6c3f3826368c5c8a95b9afb8628988b6
Description-en: Runtime library for GNU Objective-C applications (n32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libn32objc4-mips64r6el-cross
Description-md5: 6c3f3826368c5c8a95b9afb8628988b6
Description-en: Runtime library for GNU Objective-C applications (n32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libn32objc4-mipsel-cross
Description-md5: 6c3f3826368c5c8a95b9afb8628988b6
Description-en: Runtime library for GNU Objective-C applications (n32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libn32objc4-mipsr6-cross
Description-md5: 6c3f3826368c5c8a95b9afb8628988b6
Description-en: Runtime library for GNU Objective-C applications (n32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libn32objc4-mipsr6el-cross
Description-md5: 6c3f3826368c5c8a95b9afb8628988b6
Description-en: Runtime library for GNU Objective-C applications (n32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libn32stdc++-10-dev-mips-cross
Description-md5: 3b1ddd09d8c2abe01568fc4a9be41743
Description-en: GNU Standard C++ Library v3 (development files) (mips
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libn32stdc++-10-dev-mips64-cross
Description-md5: 73bf4770ffea230b41195ed574e8691e
Description-en: GNU Standard C++ Library v3 (development files) (mips64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libn32stdc++-10-dev-mips64el-cross
Description-md5: 0dd34ed8c25693e5cf78ddd488acc61e
Description-en: GNU Standard C++ Library v3 (development files) (mips64el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libn32stdc++-10-dev-mips64r6-cross
Description-md5: 406d3a53901c263e938d913d3cc36958
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libn32stdc++-10-dev-mips64r6el-cross
Description-md5: c543e1ccaf1568ad186344f04b6c705d
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libn32stdc++-10-dev-mipsel-cross
Description-md5: f4c6054a0fbe33168064ed142ee8b4e7
Description-en: GNU Standard C++ Library v3 (development files) (mipsel
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libn32stdc++-10-dev-mipsr6-cross
Description-md5: e259c0d5422b38ee9862140537ebca4c
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libn32stdc++-10-dev-mipsr6el-cross
Description-md5: 28c204c15f91a497ad91499cbf920253
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libn32stdc++-9-dev-mips-cross
Description-md5: 3b1ddd09d8c2abe01568fc4a9be41743
Description-en: GNU Standard C++ Library v3 (development files) (mips
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libn32stdc++-9-dev-mips64-cross
Description-md5: 73bf4770ffea230b41195ed574e8691e
Description-en: GNU Standard C++ Library v3 (development files) (mips64
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libn32stdc++-9-dev-mips64el-cross
Description-md5: 0dd34ed8c25693e5cf78ddd488acc61e
Description-en: GNU Standard C++ Library v3 (development files) (mips64el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libn32stdc++-9-dev-mips64r6-cross
Description-md5: 406d3a53901c263e938d913d3cc36958
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libn32stdc++-9-dev-mips64r6el-cross
Description-md5: c543e1ccaf1568ad186344f04b6c705d
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libn32stdc++-9-dev-mipsel-cross
Description-md5: f4c6054a0fbe33168064ed142ee8b4e7
Description-en: GNU Standard C++ Library v3 (development files) (mipsel
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libn32stdc++-9-dev-mipsr6-cross
Description-md5: e259c0d5422b38ee9862140537ebca4c
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libn32stdc++-9-dev-mipsr6el-cross
Description-md5: 28c204c15f91a497ad91499cbf920253
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6el
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-10-dbg-mips-cross
Description-md5: 6e9c58d4cd678e4a78fecfa36243e73f
Description-en: GNU Standard C++ Library v3 (debug build) (mips)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-10-dbg-mips64-cross
Description-md5: 282f559a9454c17f9bb24b2282fce503
Description-en: GNU Standard C++ Library v3 (debug build) (mips64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-10-dbg-mips64el-cross
Description-md5: f06dfcf951ffac7c0df17a21f605fdfe
Description-en: GNU Standard C++ Library v3 (debug build) (mips64el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-10-dbg-mips64r6-cross
Description-md5: 2b0ae6666da6caf3f44a5d26e69a4097
Description-en: GNU Standard C++ Library v3 (debug build) (mips64r6)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-10-dbg-mips64r6el-cross
Description-md5: c878fbe61b0478e9f5b5990ff99d7677
Description-en: GNU Standard C++ Library v3 (debug build) (mips64r6el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-10-dbg-mipsel-cross
Description-md5: 228f9b0e5c5d12c6f1b3ede6bee2b4c0
Description-en: GNU Standard C++ Library v3 (debug build) (mipsel)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-10-dbg-mipsr6-cross
Description-md5: 09986fe9cb4d1b99da8747f8c5d9fa81
Description-en: GNU Standard C++ Library v3 (debug build) (mipsr6)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-10-dbg-mipsr6el-cross
Description-md5: f7476d55bb4d0381dd137d55cf732bb8
Description-en: GNU Standard C++ Library v3 (debug build) (mipsr6el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-mips-cross
Description-md5: 7f38395510354f79c1484dbe8592233e
Description-en: GNU Standard C++ Library v3 (mips) (n32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-mips64-cross
Description-md5: 5564fd4d0364c2f89d205d701d25caec
Description-en: GNU Standard C++ Library v3 (mips64) (n32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-mips64el-cross
Description-md5: 66c3f64757d6455a2c91e61a3addc743
Description-en: GNU Standard C++ Library v3 (mips64el) (n32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-mips64r6-cross
Description-md5: 151337b0b70867c05c55437d421238a8
Description-en: GNU Standard C++ Library v3 (mips64r6) (n32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-mips64r6el-cross
Description-md5: 646e0d001dc2c009a8dc895d0cb4e8a3
Description-en: GNU Standard C++ Library v3 (mips64r6el) (n32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-mipsel-cross
Description-md5: 8ac4361d8e776b0b81d001834537042a
Description-en: GNU Standard C++ Library v3 (mipsel) (n32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-mipsr6-cross
Description-md5: ad39b25427ea8f67e79ade13dc7ab8d3
Description-en: GNU Standard C++ Library v3 (mipsr6) (n32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libn32stdc++6-mipsr6el-cross
Description-md5: eca194d8f4729aa493ebe680021db6e9
Description-en: GNU Standard C++ Library v3 (mipsr6el) (n32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libnabrit-dbg
Description-md5: 2746b896d6b68197ee282f3e4b6836a9
Description-en: LV2 bridging helper library (debugging symbols)
 NASPRO Bridge it is a little helper library to develop insert-your-API-here
 to LV2 bridges.
 .
 This package provides the debugging symbols.

Package: libnabrit-dev
Description-md5: 21d1e1d12a91f9e6b4b92e30a83dab6e
Description-en: LV2 bridging helper library (development files)
 NASPRO Bridge it is a little helper library to develop insert-your-API-here
 to LV2 bridges.
 .
 This package provides the development files.

Package: libnabrit3
Description-md5: 908386c535efdbc76c587495ea565a21
Description-en: LV2 bridging helper library
 NASPRO Bridge it is a little helper library to develop insert-your-API-here
 to LV2 bridges.
 .
 As of now, it basically offers a few utility functions and Turtle/RDF
 serialization for LV2 dynamic manifest generation, supporting the
 following LV2 specifications/extensions:
  * LV2 revision 4.0;
  * LV2 Dynamic Manifest revision 1.0;
  * Extended Port Information draft revision 3 (only for the logarithmic port
    property);
  * LV2 Events revision 1.0;
  * LV2 MIDI Events revision 1.0.
 .
 It is written in C99 and is almost entirely reentrant and thread-safe.
 .
 This package includes the shared library object.

Package: libnacl-dev
Description-md5: e1cdd33a5a613a6864b25b58370820ed
Description-en: High-speed software library for network communication
 NaCl (pronounced "salt") is a new easy-to-use high-speed
 software library for network communication, encryption,
 decryption, signatures, etc. NaCl's goal is to provide
 all of the core operations needed to build higher-level
 cryptographic tools.
 This package contains header and library files needed
 for software development that makes use of NaCl.

Package: libnacore-dev
Description-md5: 285524e77ef892bcb4dfa89921a257ff
Description-en: NASPRO core library (development files)
 A tiny convenience library containing useful code to implement LV2
 dynamic manifest plugins and especially bridges from other APIs to
 LV2.
 .
 This package includes the development tools.

Package: libnacore-doc
Description-md5: e4266314a2a44915d55bfcffa6533592
Description-en: NASPRO core library (documentation)
 A tiny convenience library containing useful code to implement LV2
 dynamic manifest plugins and especially bridges from other APIs to
 LV2.
 .
 This package includes the documentation files.

Package: libnacore5
Description-md5: 315aed0618ef545a04f96a5c8a468b06
Description-en: NASPRO core library
 A tiny convenience library containing useful code to implement LV2
 dynamic manifest plugins and especially bridges from other APIs to
 LV2.
 .
 It provides:
  * LV2 dynamic manifest and descriptor generation;
  * OS-independent path scanning;
  * OS-independent environment variables retrieving;
  * OS-independent dynamic loading;
  * AVL trees.
 .
 It supports the LV2 core specification and the following
 specifications/extensions:
  * LV2 Dynamic Manifest (http://lv2plug.in/ns/ext/dyn-manifest);
  * LV2 Units (http://lv2plug.in/ns/extensions/units);
  * LV2 URI Map (http://lv2plug.in/ns/ext/uri-map);
  * LV2 Events (http://lv2plug.in/ns/ext/event);
  * LV2 MIDI Events (http://lv2plug.in/ns/ext/midi);
  * LV2 Extended Port Information (http://lv2plug.in/ns/dev/extportinfo)
    (only the epp:logarithmic property is supported).
 .
 This package includes the shared library object.

Package: libnaga-java
Description-md5: 0dcf32eb94885ccb1351b3ffe18fe908
Description-en: Simplified Java NIO asynchronous sockets
 Naga aims to be a very small NIO library that provides a handful of java
 classes to wrap the usual Socket and ServerSocket with asynchronous NIO
 counterparts.
 .
 All of this is driven from a single thread, making it useful for both client
 (e.g. allowing I/O to be done in the AWT-thread without any need for threads)
 and server programming (1 thread for all connections instead of 2
 threads/connection).
 .
 Naga contains the code needed to get NIO up and running without having to code
 partially read buffers and setting various selection key flags.

Package: libnagios-object-perl
Description-md5: 56bb35b8181b8f2d51c3d766ff06224c
Description-en: module to parse and represent Nagios configuration as objects
 Nagios::Object is a group of modules for parsing a Nagios configuration and
 providing an object representation in Perl. It has support for inheriting from
 templates and the structure is kept in tact within the Perl representation.
 .
 Nagios::StatusLog reads the Nagios status log and returns Status objects which
 can be used to get status information about a host.
 .
 Nagios::Config creates a new Nagios::Config object, which will parse a Nagios
 main configuration file and all of it's object configuration files.

Package: libnamespace-autoclean-perl
Description-md5: cc577199398ebdf3000ada0b769aeb4a
Description-en: module to remove imported symbols after compilation
 namespace::autoclean is a Perl pragma that keeps your namespace clean by
 removing all imported symbols at the end of the current package's compile
 cycle. Perhaps most importantly, this means that imported functions will no
 longer be made accidentally accessible to users outside of your package via
 method invocations.
 .
 This module differs from namespace::clean (see libnamespace-clean-perl) in
 that it cleans all imported functions, whether or not the imports happened
 prior to using the pragma. Things that "look" like a method (according to
 Class::MOP) will be left alone.

Package: libnamespace-sweep-perl
Description-md5: 4b17b3b9cb63a7beaa5d62f1768f8cd0
Description-en: sweeps up imported subs in your classes
 Because Perl methods are just regular subroutines, it's difficult to
 tell what's a method and what's just an imported function. As a result,
 imported functions can be called as methods on your objects.
 .
 The namespace::sweep pragma will delete imported functions from your
 class's symbol table, thereby ensuring that your interface is as you
 specified it. However, code inside your module will still be able to
 use the imported functions without any problems.

Package: libnanomsg-dev
Description-md5: 061762450db70fa61ff7ffa3e02c96ed
Description-en: nanomsg development files
 nanomsg is a socket library that provides several common
 communication patterns. It aims to make the networking layer fast,
 scalable, and easy to use. Implemented in C, it works on a wide range
 of operating systems with no further dependencies.
 .
 The communication patterns, also called "scalability protocols", are
 basic blocks for building distributed systems. By combining them you
 can create a vast array of distributed applications. The following
 scalability protocols are currently available:
 .
    PAIR - simple one-to-one communication
    BUS - simple many-to-many communication
    REQREP - allows one to build clusters of stateless services
             to process user requests
    PUBSUB - distributes messages to large sets of interested subscribers
    PIPELINE - aggregates messages from multiple sources and
               load balances them among many destinations
    SURVEY - allows one to query state of multiple applications in a single go
 .
 This package contains the development files for nanomsg.

Package: libnanomsg-raw-perl
Description-md5: 8b91ee3f4a866b12f88583e1e7d3872f
Description-en: low-level interface to nanomsg for Perl
 NanoMsg::Raw is a binding directly to the underlying nanomsg C library.
 It is intended to provide a very low-level and manual interface; it does
 not provide a convenient high-level API, integration with event loops,
 or any other abstractions.

Package: libnanomsg5
Description-md5: 3742bb334eb8e58a930f8daf06418e65
Description-en: high-performance implementation of scalability libraries
 nanomsg is a socket library that provides several common
 communication patterns. It aims to make the networking layer fast,
 scalable, and easy to use. Implemented in C, it works on a wide range
 of operating systems with no further dependencies.
 .
 The communication patterns, also called "scalability protocols", are
 basic blocks for building distributed systems. By combining them you
 can create a vast array of distributed applications. The following
 scalability protocols are currently available:
 .
    PAIR - simple one-to-one communication
    BUS - simple many-to-many communication
    REQREP - allows one to build clusters of stateless services
             to process user requests
    PUBSUB - distributes messages to large sets of interested subscribers
    PIPELINE - aggregates messages from multiple sources and
               load balances them among many destinations
    SURVEY - allows one to query state of multiple applications in a single go

Package: libnanopb-dev
Description-md5: d9470ed3f10fa53f7e0649bf817d4bcd
Description-en: Protocol Buffers with small code size, development files
 Plain-C implementation of Google's Protocol Buffers data format. It is
 targeted at 32 bit microcontrollers, but is also fit for other embedded
 systems with tight (<10 kB ROM, <1 kB RAM) memory constraints.
 .
 This package contains the development files (headers, static library).

Package: libnanoxml2-java
Description-md5: a5ce8a9e9d26e5f25d4ae38fa2e4a713
Description-en: Small XML parser for Java
 NanoXML is a (actually more than one) small XML parser for Java. It
 provides the Java packages net.n3.nanoxml.*, net.n3.nanoxml.sax.* and
 nanoxml.* in nanoxml2.jar, nanoxml2-sax.jar and nanoxml2-lite.jar.
 The package nanoxml.* is source compatible with NanoXML 1 but is more
 restrictive on what it will parse than NanoXML 1.

Package: libnanoxml2-java-doc
Description-md5: 1adf7a4968bd97deae5202e9d934dcc2
Description-en: documentation for libnanoxml2-java
 NanoXML is a small XML parser for Java. This is the javadoc for it
 (the Java packages net.n3.nanoxml.*, net.n3.nanoxml.sax.* and nanoxml.*)
 and some examples.

Package: libnative-platform-java
Description-md5: 57b8678c02421b6bad42c1de1fe4c389
Description-en: Java bindings for various native APIs
 A collection of cross-platform Java APIs for various native APIs.
 Supports OS X, Linux, Solaris and Windows.
 .
 These APIs support Java 5 and later. Some of these APIs overlap with APIs
 available in later Java versions.

Package: libnative-platform-java-doc
Description-md5: f7c71def87860676342787e1cf37d091
Description-en: testing and specification framework for Java and Groovy - Docs
 A collection of cross-platform Java APIs for various native APIs.
 Supports OS X, Linux, Solaris and Windows.
 .
 These APIs support Java 5 and later. Some of these APIs overlap with APIs
 available in later Java versions.
 .
 This package contains Javadoc API for libnative-platform-java.

Package: libnative-platform-jni
Description-md5: d2edbb7a20b15d71881f9782c4dc8e81
Description-en: Java bindings for various native APIs - JNI library
 A collection of cross-platform Java APIs for various native APIs.
 Supports OS X, Linux, Solaris and Windows.
 .
 These APIs support Java 5 and later. Some of these APIs overlap with APIs
 available in later Java versions.
 .
 This package contains the java JNI library.

Package: libnativecall-perl
Description-md5: dd8985000f4a835f7e4a1c411df521b8
Description-en: Perl 5 interface to foreign functions in Perl code without XS
 The NativeCall module calls into dynamic libraries that follow the C calling
 convention in order to write simple library bindings.
 .
 It mimics the NativeCall module and interface from Perl 6.
 .
 Under the hood, it uses FFI::Platypus, inheritance and attributes.

Package: libnauty2
Description-md5: e639993c2c7ce968c7db4b545876d6ff
Description-en: library for graph automorphisms -- library package
 nauty (No AUTomorphisms, Yes?) is a set of procedures for computing
 automorphism groups of graphs and digraphs. This mathematical software
 suite is developed by Brendan McKay and Adolfo Piperno:
 http://pallini.di.uniroma1.it
 .
 nauty computes graph information in the form of a set of generators,
 the size of the group, and the orbits of the group; it can also
 produce a canonical label. The nauty suite is written in C and comes
 with a command-line interface, a collection of command-line tools,
 and an Application Programming Interface (API).
 .
 This package provides the shared libraries required to run programs
 compiled against the nauty library. To compile your own programs you
 also need to install the libnauty2-dev package.

Package: libnauty2-dev
Description-md5: c7ded615ba9941568df9fee08666aba9
Description-en: library for graph automorphisms -- development package
 nauty (No AUTomorphisms, Yes?) is a set of procedures for computing
 automorphism groups of graphs and digraphs. This mathematical software
 suite is developed by Brendan McKay and Adolfo Piperno:
 http://pallini.di.uniroma1.it
 .
 nauty computes graph information in the form of a set of generators,
 the size of the group, and the orbits of the group; it can also
 produce a canonical label. The nauty suite is written in C and comes
 with a command-line interface, a collection of command-line tools,
 and an Application Programming Interface (API).
 .
 This package contains the header files, static libraries and symbolic
 links that developers using the nauty API will need.

Package: libnav-msgs-dev
Description-md5: 3b8d0b4284762434e6ee6494be9275a5
Description-en: Messages relating to Robot OS nav, C/C++ interface
 This package is part of Robot OS (ROS), and provides the common
 messages used to interact with the 'nav' https://wiki.ros.org/navigation
 stack.
 .
 This package contains the generated headers files.

Package: libnb-absolutelayout-java
Description-md5: f28eef7772e2a33feff0822e537d93db
Description-en: Java LayoutManager to allow placement in absolute positions
 This library is part of the Netbeans platform and provides an
 implementation of the java.awt.LayoutManager interface. This
 implementation allows you to position components by their
 absolute position.

Package: libnb-apisupport3-java
Description-md5: 07a41369ac0ec99c6e596c6cb0c56e99
Description-en: Common NetBeans Platform Development Related Libraries for NetBeans
 The NetBeans Platform is a set of modules, each providing their own
 APIs and working together or in a standalone mode. This package contains
 common libraries for development of NetBeans Platform modular extensions.

Package: libnb-ide14-java
Description-md5: fbf9319c98e91bc3773aa8716596954d
Description-en: Common Integrated Development Environment Libraries for NetBeans
 The NetBeans Platform is a set of modules, each providing their own
 APIs and working together or in a standalone mode. This package contains
 independent libraries for use in the NetBeans IDE.

Package: libnb-java5-java
Description-md5: 07019d6d08996ffae458d436ff0d3327
Description-en: Common Java Related Libraries for NetBeans
 The NetBeans Platform is a set of modules, each providing their own
 APIs and working together or in a standalone mode. This package contains
 common libraries for the NetBeans Java IDE.

Package: libnb-javaparser-java
Description-md5: cf2595601aa456f02b4a23c0402b0afe
Description-en: Parser for the Java language which is good for use in tools
 NetBeans parser for Java is patched version of the Java compiler.
 The patches are necessary for making the compiler usable in tools.
 They contain things like improved error recovery, performance
 improvements etc.
 .
 Please notice that the NetBeans Java parser is intended solely for use
 in development tools and can not and should not be used as a Java compiler.
 It does not produce class files. If you want to compile Java source code
 use the javac compiler included in JDK releases.

Package: libnb-org-openide-modules-java
Description-md5: b6500a729dfef7d0fc0c3e10a740e61b
Description-en: Utility classes for modules from the NetBeans Platform
 The NetBeans Platform is a set of modules, each providing their own
 APIs and working together or in a standalone mode. This package provides
 some utility functions for managing NetBeans modules.

Package: libnb-org-openide-util-java
Description-md5: 3ff487bf2a6284fe032419bb77136087
Description-en: Utility classes from the NetBeans Platform
 The NetBeans Platform is a set of modules, each providing their own
 APIs and working together or in a standalone mode. This package provides
 some utility functions used by the modules that make up the platform.

Package: libnb-org-openide-util-lookup-java
Description-md5: e4fe9aebd1eba14a5e713de7d1d939d1
Description-en: Utility lookup classes from the NetBeans Platform
 The NetBeans Platform is a set of modules, each providing their own
 APIs and working together or in a standalone mode. This package provides
 some utility functions for lookups and is used by the modules that make
 up the platform.

Package: libnb-platform-devel-java
Description-md5: 3295d82a0d20977cd6b3d096f0408be0
Description-en: Build harness for NetBeans Platform
 The NetBeans Platform is a framework for building rich desktop applications
 in Java. It provides the code that is required to connect actions to menu
 items, toolbar items, keyboard shortcuts and more. It is the core of the
 NetBeans IDE.
 .
 This package contains the NetBeans Platform Harness containing the build
 scripts and ant tasks required to build an application on top of NetBeans
 Platform.

Package: libnb-platform18-java
Description-md5: 9c5fb88cced5a31fb01a6f43ce65c2b1
Description-en: NetBeans Platform for building rich desktop applications in Java
 The NetBeans Platform is a framework for building rich desktop applications
 in Java. It provides the code that is required to connect actions to menu
 items, toolbar items, keyboard shortcuts and more. It is the core of the
 NetBeans IDE.
 .
 This package provides the platform itself.

Package: libnb-svnclientadapter-java
Description-md5: c44c5214c39bf5b62919fdd652bfc4a9
Description-en: High-level Java API to subversion
 That is why svnClientAdapter is easier to use in many cases.
 For example, you can use ISVNClientAdapter addToIgnoredPatterns method
 to add a pattern of files to ignore to a directory.

Package: libnb-svnclientadapter-java-doc
Description-md5: 92f4a420d318aa02d88751b69d0a86ea
Description-en: High-level Java API to subversion javadoc
 That is why svnClientAdapter is easier to use in many cases.
 For example, you can use ISVNClientAdapter addToIgnoredPatterns method
 to add a pattern of files to ignore to a directory.
 This package provides a javadoc

Package: libnbcompat-dev
Description-md5: 26194e6397751eb8b0a625998780dd92
Description-en: NetBSD pkgsrc compatibility library
 libnbcompat is designed to let non-NetBSD operating systems execute
 code that is part of the NetBSD pkgsrc repository.  It is, in
 particular, required for building the NetBSD (package mtree-netbsd).
 .
 This package installs the header and static library files.  libnbcompat
 is not provided as a shared library.

Package: libnbd-dev
Description-md5: 177999def0eb7c9610d511b838c14b91
Description-en: Network Block Device client library -- development files
 NBD — Network Block Device — is a protocol for accessing Block
 Devices (hard disks and disk-like things) over a Network.
 .
 This is the NBD client library in userspace, a simple library for
 writing NBD clients.
 .
 This package contains the development files.

Package: libnbd-ocaml
Description-md5: db5d8b5abeb70b006dae91a1009761e6
Description-en: Network Block Device client library -- OCaml bindings
 NBD — Network Block Device — is a protocol for accessing Block
 Devices (hard disks and disk-like things) over a Network.
 .
 This is the NBD client library in userspace, a simple library for
 writing NBD clients.
 .
 This package contains the OCaml bindings to libnbd.

Package: libnbd-ocaml-dev
Description-md5: 174a81577292d238af4e3a727e837cc8
Description-en: Network Block Device client library -- OCaml development files
 NBD — Network Block Device — is a protocol for accessing Block
 Devices (hard disks and disk-like things) over a Network.
 .
 This is the NBD client library in userspace, a simple library for
 writing NBD clients.
 .
 This package contains all the files needed to develop OCaml programs
 which use OCaml bindings to libnbd.

Package: libnbd0
Description-md5: da99f9821cdc8826ed7d870a6201d13b
Description-en: Network Block Device client library -- shared library
 NBD — Network Block Device — is a protocol for accessing Block
 Devices (hard disks and disk-like things) over a Network.
 .
 This is the NBD client library in userspace, a simple library for
 writing NBD clients.
 .
 The key features are:
 .
  * Synchronous and asynchronous APIs, both for ease of use and for
    writing non-blocking, multithreaded clients.
 .
  * High performance.
 .
  * Minimal dependencies for the basic library.
 .
  * Well-documented, stable API.
 .
  * Bindings in several programming languages.
 .
 This package contains the shared library.

Package: libncap-dev
Description-md5: 884c70036bc3177d8f6ceadd19548707
Description-en: static library and header files for libncap
 ncap is a network capture library like libpcap (on which it is based)
 and tcpdump. It produces binary data in its own ncap format, which can
 be stored in a dump file or transmitted over a UDP socket. Unlike
 libpcap, it discards data link headers and only supports IPv4 and IPv6
 packets, but it can perform reassembly of IP datagrams.
 .
 This package contains the static library, development headers, and
 documentation.

Package: libncap44
Description-md5: ac503e38f51d2dce652990c7a73274ae
Description-en: network capture library
 ncap is a network capture library like libpcap (on which it is based)
 and tcpdump. It produces binary data in its own ncap format, which can
 be stored in a dump file or transmitted over a UDP socket. Unlike
 libpcap, it discards data link headers and only supports IPv4 and IPv6
 packets, but it can perform reassembly of IP datagrams.
 .
 This package contains the shared library.

Package: libncarg-bin
Description-md5: f8affe674e63c9363c21bae99542a011
Description-en: NCAR command-language library - development tools
 This package provides development tools for developing applications of the
 NCARG command library, such as wrappers for compilation of NCL executables.

Package: libncarg-data
Description-md5: b77afafcc6bb70406642ac66445db160
Description-en: NCAR command-language library - Data
 NCAR Command Language library used by NCAR. This package contains data such
 as colormaps, fonts and map data that is used by the ncarg library.

Package: libncarg-dev
Description-md5: 9c9148628e6b5c148812518160386ff6
Description-en: Development files for the NCAR command language library
 ncarg is the NCAR command-language.

Package: libncarg0
Description-md5: 848c564cd2a3d341bcecdb0eb6060b5d
Description-en: NCAR command-language library
 NCAR Command Language library used by NCAR binaries and tools.

Package: libncbi-vdb-dev
Description-md5: fd5ca8c780df37503bf62c080a3cb857
Description-en: libraries for using data in the INSDC Sequence Read Archives (devel)
 Much of the data submitted these days, for example in BAM, Illumina
 export.txt, and Complete Genomics formats, contain alignment
 information. With aligned data, NCBI uses Compression by Reference,
 which only stores the differences in base pairs between sequence data
 and the segment it aligns to. The process to restore original data, for
 example as FastQ, requires fast access to the reference sequences that
 the original data was aligned to. NCBI recommends that SRA users
 dedicate local disk space to store references downloaded from the NCBI
 SRA site. As of Fall 2014, the complete collection of these reference
 sequences is 66 GB. While it isn't usually necessary to download the
 entirety of the reference sequences, this should give you an idea of the
 scale of the storage requirement. By default, the Toolkit will download
 missing reference sequences on demand and cache them in the user's home
 directory. The location of this cache is configurable, as is whether the
 download is automatic or manual.
 .
 This is the development package.

Package: libncbi-vdb2
Description-md5: 6a8b0d57d046ba56c860b3042e625cb7
Description-en: libraries for using data in the INSDC Sequence Read Archives
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 The API is currently expressed in C++, Java and Python languages. The
 design makes it possible to maintain a high degree of similarity between
 the code in one language and code in another - especially between C++
 and Java.

Package: libncbi-wvdb-dev
Description-md5: 968d7daf86471af5860a9084698866ca
Description-en: virtual layer update modul of ncbi-vdb library (devel)
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 This library is part of the ncbi-vdb package.
 .
 This is the development package.

Package: libncbi-wvdb2
Description-md5: 0b9908c75ff4f62dce30475708cca6df
Description-en: virtual layer update modul of ncbi-vdb library
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 This library is part of the ncbi-vdb package.

Package: libncbi6
Description-md5: ee2f5e6d19b749ea798d4e71fc2ea0e6
Description-en: NCBI libraries for biology applications
 The NCBI Software Development Toolkit was developed for the production and
 distribution of GenBank, Entrez, BLAST, and related services by NCBI.  It
 allows you to read and write NCBI ASN.1 files, builds Blast or Entrez, etc.

Package: libncbi6-dev
Description-md5: 397e7576b7b653c951a05767d413c623
Description-en: NCBI libraries for biology applications (development files)
 This package supplies development versions of NCBI's non-graphical C
 libraries, along with the corresponding header files.

Package: libncl-dev
Description-md5: 07d2f750a621cd36412ae478d18f8a3c
Description-en: NEXUS Class Library (static lib and header files)
 The NEXUS Class Library is a C++ library for parsing NEXUS files.
 .
 The NEXUS file format is widely used in bioinformatics. Several popular
 phylogenetic programs such as Paup, MrBayes, Mesquite, and MacClade use
 this format.
 .
 This package contains the static library and header files of the NEXUS
 library.

Package: libncl2
Description-md5: 18f719b8b12804f0384bffe0c07bf0ca
Description-en: NEXUS Class Library
 The NEXUS Class Library is a C++ library for parsing NEXUS files.
 .
 The NEXUS file format is widely used in bioinformatics. Several popular
 phylogenetic programs such as Paup, MrBayes, Mesquite, and MacClade use
 this format.
 .
 This package contains the dynamic NEXUS library.

Package: libncurses-gst
Description-md5: 4798ba848611cceaaf4e523011894a46
Description-en: Ncurses bindings for GNU Smalltalk
 This package contains the Ncurses bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libncurses5
Description-md5: d2741d94112e4fab829e84113efab968
Description-en: shared libraries for terminal handling (legacy version)
 The ncurses library routines are a terminal-independent method of
 updating character screens with reasonable optimization.
 .
 This package contains the legacy shared libraries necessary to run
 programs compiled with ncurses.

Package: libncursesada-doc
Description-md5: bae8a4e2ec9a400f02fbec7a16b3a517
Description-en: Ada binding to the ncurses text interface library: documentation
 NcursesAda allows programmers to use the Ncurses library within the
 Ada language. This means programming panels, colors, forms in text
 terminals or emulators.
 .
 This package contains the documentation.

Package: libncursesada6.2.20180127.1
Description-md5: 7721b23d6dfacd75830af0270b9e3d62
Description-en: Ada binding to the ncurses text interface library: shared library
 NcursesAda allows programmers to use the Ncurses library within the
 Ada language. This means programming panels, colors, forms in text
 terminals or emulators.
 .
 This package contains the runtime shared library.

Package: libncursesada7-dev
Description-md5: b7b81e20d8d590811609a370bf137b06
Description-en: Ada binding to the ncurses text interface library: development
 NcursesAda allows programmers to use the Ncurses library within the
 Ada language. This means programming panels, colors, forms in text
 terminals or emulators.
 .
 Install this package if you want to write programs that use
 libncursesada.

Package: libncursesw5
Description-md5: cdcff7ef64be4d2080a4f12e88e7a43e
Description-en: shared libraries for terminal handling (wide character legacy version)
 The ncurses library routines are a terminal-independent method of
 updating character screens with reasonable optimization.
 .
 This package contains the legacy shared libraries necessary to run
 programs compiled with ncursesw, which includes support for wide
 characters.

Package: libndp-tools
Description-md5: 1746febcf3808f082d79e52c552895b8
Description-en: Library for Neighbor Discovery Protocol (tools)
 libndp is a library for the IPv6 Neighbor Discovery Protocol (NDP).  It
 contains functions for building and parsing NDP messages, and provides
 a high-level interface for sending and receiving NDP messages on a
 network interface.
 .
 This package contains a tool named ndptool for sending and receiving
 NDP messages.

Package: libndpi-bin
Description-md5: 66dafa1fe722761c54103ee068368ca4
Description-en: extensible deep packet inspection library - ndpiReader
 nDPI is a ntop-maintained superset of the popular OpenDPI library. Released
 under the LGPL license, its goal is to extend the original library by adding
 new protocols that are otherwise available only on the paid version of
 OpenDPI.
 .
 nDPI has also been modified to be suitable for traffic monitoring
 applications, by disabling specific features that slow down the DPI engine
 while being them un-necessary for network traffic monitoring.
 .
 With nDPI, it is possible to both detect known protocols on non-standard ports
 (e.g. detect http non ports other than 80), and also the opposite.
 .
 This package contains the ndpiReader binary.

Package: libndpi-dev
Description-md5: e35efcfb938fb1ac13eb734082bade2c
Description-en: extensible deep packet inspection library - development files
 nDPI is a ntop-maintained superset of the popular OpenDPI library. Released
 under the LGPL license, its goal is to extend the original library by adding
 new protocols that are otherwise available only on the paid version of
 OpenDPI.
 .
 nDPI has also been modified to be suitable for traffic monitoring
 applications, by disabling specific features that slow down the DPI engine
 while being them un-necessary for network traffic monitoring.
 .
 With nDPI, it is possible to both detect known protocols on non-standard ports
 (e.g. detect http non ports other than 80), and also the opposite.
 .
 This package contains the static library and compilation headers.

Package: libndpi-wireshark
Description-md5: 809b9def1ac7d2d84b4cbd9d358ba15a
Description-en: extensible deep packet inspection library - wireshark dissector
 nDPI is a ntop-maintained superset of the popular OpenDPI library. Released
 under the LGPL license, its goal is to extend the original library by adding
 new protocols that are otherwise available only on the paid version of
 OpenDPI.
 .
 nDPI has also been modified to be suitable for traffic monitoring
 applications, by disabling specific features that slow down the DPI engine
 while being them un-necessary for network traffic monitoring.
 .
 With nDPI, it is possible to both detect known protocols on non-standard ports
 (e.g. detect http non ports other than 80), and also the opposite.
 .
 This package contains the wireshark dissector based on nDPI.  The dissector is
 installed in the plugin directory for the current wireshark version.

Package: libndpi2.6
Description-md5: 6e2821da8a7bf2aa3eeb62f5026f3a73
Description-en: extensible deep packet inspection library - shared library
 nDPI is a ntop-maintained superset of the popular OpenDPI library. Released
 under the LGPL license, its goal is to extend the original library by adding
 new protocols that are otherwise available only on the paid version of
 OpenDPI.
 .
 nDPI has also been modified to be suitable for traffic monitoring
 applications, by disabling specific features that slow down the DPI engine
 while being them un-necessary for network traffic monitoring.
 .
 With nDPI, it is possible to both detect known protocols on non-standard ports
 (e.g. detect http non ports other than 80), and also the opposite.
 .
 This package contains the shared library.

Package: libneko2
Description-md5: 580c9dcbe61899893890543ecf971276
Description-en: Lightweight virtual machine - shared library
 Neko provides a common runtime for several different languages,
 including JavaScript and haXe.
 .
 This is the shared library implementing the Neko virtual machine.
 .
 Neko was written by Nicolas Cannasse.

Package: libnekohtml-java
Description-md5: afcfa91bf59ae9a50d844a09de31cc84
Description-en: NekoHTML - HTML parser for Java
 NekoHTML is a simple HTML scanner and tag balancer that enables
 application programmers to parse HTML documents and access the
 information using standard XML interfaces. The parser can scan HTML
 files and "fix up" many common mistakes that human (and computer)
 authors make in writing HTML documents. NekoHTML adds missing parent
 elements; automatically closes elements with optional end tags; and
 can handle mismatched in-line element tags.

Package: libnekohtml-java-doc
Description-md5: c40aa156f20eef7cc51d3f368ff2948f
Description-en: NekoHTML - HTML parser for Java (Documentation)
 NekoHTML is a simple HTML scanner and tag balancer that enables
 application programmers to parse HTML documents and access the
 information using standard XML interfaces. The parser can scan HTML
 files and "fix up" many common mistakes that human (and computer)
 authors make in writing HTML documents. NekoHTML adds missing parent
 elements; automatically closes elements with optional end tags; and
 can handle mismatched in-line element tags.
 .
 This package provides the API documentation for NekoHTML.

Package: libnemesis3
Description-md5: 9eb98f1dd4cba9cdd3d2a7faf808a966
Description-en: Parallel extension to the EXODUSII datamodel
 NEMESIS is an enhancement to the EXODUSII finite element database
 model used to store and retrieve data for unstructured parallel finite
 element analyses. NEMESIS adds data structures which facilitate the
 partitioning of a scalar (standard serial) EXODUSII file onto parallel
 disk systems found on many parallel computers.

Package: libnemo-extension-dev
Description-md5: 0846f43492eb6c2c33ee91f3ad3d1d0c
Description-en: Nemo component system facilities (development files)
 Nemo is the official file manager for the Cinnamon desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the Cinnamon
 desktop. It works on local and remote filesystems.
 .
 This package contains the files needed to compile and link nemo extensions.

Package: libnemo-extension1
Description-md5: d4d691032b1dfa111e4b7768fbfeca28
Description-en: Nemo component system facilities
 Nemo is the official file manager for the Cinnamon desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the Cinnamon
 desktop. It works on local and remote filesystems.
 .
 This package contains the shared library needed by the nemo extensions.

Package: libneo4j-client-dev
Description-md5: d0ae733606abcde0adf007bfedd9aa76
Description-en: Development files for libneo4j-client, a client library for Neo4j
 Neo4j is a Graph Database with an open-source, community licensed server.
 .
 libneo4j-client takes care of all the detail of establishing a session with a
 Neo4j server, sending statements for evaluation, and retrieving results.
 .
 This package contains the development files (headers, static libraries).

Package: libneo4j-client-doc
Description-md5: 4b60d5628cad71a70be0640fc4cf3c50
Description-en: Documentation for libneo4j-client, a client library for Neo4j
 Neo4j is a Graph Database with an open-source, community licensed server.
 .
 libneo4j-client takes care of all the detail of establishing a session with a
 Neo4j server, sending statements for evaluation, and retrieving results.
 .
 This package contains the documentation that is also available on the
 libneo4j-client website (https://github.com/cleishm/libneo4j-client).

Package: libneo4j-client11
Description-md5: 58e5790ad2490a798dd10ff0c2116f69
Description-en: Client library for the Neo4j graph database
 Neo4j is a Graph Database with an open-source, community licensed server.
 .
 libneo4j-client takes care of all the detail of establishing a session with a
 Neo4j server, sending statements for evaluation, and retrieving results.

Package: libneon27
Description-md5: 1a393f35895ce4fcb0fd461ebf9d809a
Description-en: HTTP and WebDAV client library
 neon is an HTTP and WebDAV client library, with a C language API.
 .
 WARNING: THE NEON API IS NOT YET STABLE.
 .
 Provides lower-level interfaces to directly implement new HTTP
 methods, and higher-level interfaces so that you don't have to
 worry about the lower-level stuff.
 .
 Current features:
   * High-level interface to HTTP and WebDAV methods (PUT, GET, HEAD, etc.)
   * Low-level interface to HTTP request handling, to allow implementing
     new methods easily.
   * persistent connections
   * RFC2617 basic and digest authentication (including auth-int, md5-sess)
   * Proxy support (including basic/digest authentication)
   * SSL/TLS support using OpenSSL (including client certificate and thread
     safety support)
   * Generic WebDAV 207 XML response handling mechanism
   * XML parsing using the expat or libxml parsers
   * Easy generation of error messages from 207 error responses
   * WebDAV resource manipulation: MOVE, COPY, DELETE, MKCOL.
   * WebDAV metadata support: set and remove properties, query any set ofi
     properties (PROPPATCH/PROPFIND).
   * autoconf macros supplied for easily embedding neon directly inside an
     application source tree.

Package: libneon27-dbg
Description-md5: c2040e90bbd35f5abb489d781dd8d90b
Description-en: Detached symbols for libneon27
 This package contains the debugging symbols for libneon27, suitable for
 debugging.
 .
 Neon provides lower-level interfaces to directly implement new HTTP
 methods, and higher-level interfaces so that you don't have to
 worry about the lower-level stuff.

Package: libneon27-dev
Description-md5: 99a5ffc065d5c4dcb72a47bcb8c89ef5
Description-en: Header and static library files for libneon27
 This package contains the headers and the static library for libneon27.
 .
 Neon provides lower-level interfaces to directly implement new HTTP
 methods, and higher-level interfaces so that you don't have to
 worry about the lower-level stuff.

Package: libnet-abuse-utils-perl
Description-md5: b8f4ca2df69d8fa304c3f74991f89aae
Description-en: Routines useful for processing network abuse
 Net::Abuse::Utils provides several functions useful for determining
 information about an IP address including contact/reporting addresses,
 ASN/network info, reverse DNS, and DNSBL listing status. Functions which take
 an IP accept either IPv6 or IPv4 IPs unless indicated otherwise.
 .
 It also contains a small command-line tool called ip-info which on
 the one hand show-cases the capabilities of this Perl module, but is
 also useful in general for gathering information about some IP
 address.

Package: libnet-address-ip-local-perl
Description-md5: e544515786720e797fccb753fc231972
Description-en: Perl module for discovering the local system's IP address
 Net::Address::IP::Local discovers the local system's IP address that would
 be used as the source address when contacting "the internet" or a certain
 specified remote IP address.

Package: libnet-akamai-perl
Description-md5: 7ee7a1f559bc8be546aae2f7665f2e07
Description-en: Perl module to interact with Akamai
 Net::Akamai is a Perl module to interact with Akamai CCUAPI
 to handle multiple purge requests.

Package: libnet-akismet-perl
Description-md5: 8e85928a0632dc98f84d7ec12271bc9b
Description-en: Perl interface to Akismet - comment and trackback spam fighter
 Net::Akismet is a Perl interface to the Akismet API.
 Akismet is a web comment and trackback spam fighter service.
 .
 See http://akismet.com/ and http://akismet.com/development/api/

Package: libnet-amazon-ec2-perl
Description-md5: bff8f9824bf637578577dac0088f0fb9
Description-en: Perl interface to the Amazon Elastic Compute Cloud (EC2)
 Net::Amazon::EC2 is a Perl interface to Amazon's Elastic Compute Cloud. It
 uses the Query API to communicate with Amazon's Web Services framework. This
 module is coded against the EC2 Query API version '2014-06-15'.

Package: libnet-amazon-perl
Description-md5: 5c52f1a71423517e60c607225862cf2d
Description-en: Perl framework for accessing amazon.com via SOAP and XML/HTTP
 Net::Amazon provides an object-oriented interface to amazon.com's SOAP and
 XML/HTTP interfaces. This way it's possible to create applications using
 Amazon's vast amount of data via a functional interface, without having to
 worry about the underlying communication mechanism.

Package: libnet-amazon-s3-perl
Description-md5: b367b1b11aea58562190b9697382daa9
Description-en: Amazon S3 Perl API - Simple Storage Service
 Net::Amazon::S3 provides a Perlish interface to Amazon S3. From the
 developer blurb: "Amazon S3 is storage for the Internet. It is
 designed to make web-scale computing easier for developers. Amazon S3
 provides a simple web services interface that can be used to store and
 retrieve any amount of data, at any time, from anywhere on the web. It
 gives any developer access to the same highly scalable, reliable,
 fast, inexpensive data storage infrastructure that Amazon uses to run
 its own global network of web sites. The service aims to maximize
 benefits of scale and to pass those benefits on to developers".
 .
 To find out more about S3, please visit: http://s3.amazonaws.com/
 .
 To use this module you will need to sign up to Amazon Web Services and
 provide an "Access Key ID" and " Secret Access Key". If you use this
 module, you will incur costs as specified by Amazon. Please check the
 costs. If you use this module with your Access Key ID and Secret
 Access Key you must be responsible for these costs.

Package: libnet-amazon-s3-tools-perl
Description-md5: 17198601af01de7bdc108808d1a6fe1f
Description-en: Command line tools for Amazon AWS S3
 These S3 command line tools allow you to manipulate and populate an S3
 account.  Refer to the documentation (pod and man) for each of the
 tools.
 .
 This Net::Amazon::S3::Tools module is mostly just a stub, to hoist
 the bundling and installation of the executable scripts that make up
 the actual tools.

Package: libnet-amqp-perl
Description-md5: 44b6d3047b5862d083dc076bcf2befa5
Description-en: AMQP (de)serialization and representation
 Net::AMQP implements the frame (de)serialization and representation of
 the Advanced Message Queue Protocol (http://www.amqp.org/). It is to be
 used in conjunction with client or server software that does the actual
 TCP/IP communication.

Package: libnet-appliance-session-perl
Description-md5: 832f1a82497d86ac73e9863b61dcdbba
Description-en: module for running command-line sessions to network appliances
 Use Net::Appliance::Session to establish an interactive command-line
 session with a network appliance. There is special support for moving
 into "privileged" mode and "configure" mode, along with the ability to
 send commands to the connected device and retrieve returned output.
 .
 Built-in commands come from a phrasebook which supports many network device
 vendors (Cisco, HP, etc) or you can install a new phrasebook. Most phases of
 the connection are configurable for different device behaviours.

Package: libnet-arp-perl
Description-md5: 317356f0268017ecdd742d2a7d4a5cba
Description-en: module to create ARP packets and lookup for ARP information
 Net::ARP module allows for creating arbitrary ARP packages from within
 your Perl code, as well as for looking up the ARP information for
 machines in your local network.

Package: libnet-async-fastcgi-perl
Description-md5: cc11ebe1ad5aea7d3efa1136571772dd
Description-en: FastCGI engine using IO::Async
 Net::Async::FastCGI allows a program to respond asynchronously to FastCGI
 requests, as part of a program based on IO::Async. An object in this class
 represents a single FastCGI responder that the webserver is configured to
 communicate with. It can handle multiple outstanding requests at a time,
 responding to each as data is provided by the program. Individual outstanding
 requests that have been started but not yet finished, are represented by
 instances of Net::Async::FastCGI::Request.

Package: libnet-async-http-perl
Description-md5: ed320e41ec7b410edacd2ea437f438be
Description-en: use HTTP with IO::Async
 This object class implements an asynchronous HTTP user agent. It sends
 requests to servers, returning Future instances to yield responses when they
 are received. The object supports multiple concurrent connections to servers,
 and allows multiple requests in the pipeline to any one connection. Normally,
 only one such object will be needed per program to support any number of
 requests.
 .
 As well as using futures the module also supports a callback-based interface.
 .
 Net::Async::HTTP optionally supports SSL connections, if IO::Async::SSL is
 installed. If so, SSL can be requested either by passing a URI with the https
 scheme, or by passing a true value as the SSL parameter.

Package: libnet-async-irc-perl
Description-md5: 8a0837bc905bf9ed1e07e735ef41ee35
Description-en: IRC client for use with IO::Async
 This object class implements an asynchronous IRC client, for use in programs
 based on IO::Async.
 .
 Most of the actual IRC message handling behaviour is implemented by the
 parent class Net::Async::IRC::Protocol.
 .
 Most of the behaviour related to being an IRC client is implemented by the
 parent class Protocol::IRC::Client.
 .
 The following documentation may make mention of these above two parent
 classes; the reader should make reference to them when required.

Package: libnet-async-matrix-perl
Description-md5: 8c79ef96b8538c79a89f90e84171ae67
Description-en: use Matrix with IO::Async
 Matrix is an new open standard for interoperable Instant Messaging and VoIP,
 providing pragmatic HTTP APIs and open source reference implementations for
 creating and running your own real-time communication infrastructure.
 .
 Net::Async::Matrix allows an program to interact with a Matrix homeserver as
 a connected user client.
 .
 http://matrix.org/
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libnet-async-tangence-perl
Description-md5: a30b4d21ce4e468d4605889865261303
Description-en: module to use Tangence with IO::Async
 This distribution provides concrete implementations of the Tangence base
 classes, allowing either servers or clients to be written based on IO::Async.
 .
 To implement a server, see Net::Async::Tangence::Server.
 .
 To implement a client, see Net::Async::Tangence::Client.
 .
 Net::Async::Tangence itself does not provide any code, and exists only to
 provide the module $VERSION and top-level documentation.

Package: libnet-bluetooth-perl
Description-md5: 740230cefde068844b7a297be8ee5003
Description-en: Perl interface to Bluetooth libraries
 Net::Bluetooth works with the BlueZ libraries as well as with Microsoft
 Windows. It allows developers to manage Bluetooth features such as device
 and services discovery, RFCOMM connections and other Bluetooth functions.

Package: libnet-bonjour-perl
Description-md5: 8cfefe87a9a2c51d00a6bd59ee07df18
Description-en: Perl module for DNS service discovery
 Net::Bonjour is a set of modules that allow one to discover local services
 via multicast DNS (mDNS) or enterprise services via traditional DNS.

Package: libnet-cidr-set-perl
Description-md5: ba9d03ffa7f74aeac7e72af4eacbdc46
Description-en: Net::CIDR::Set perl module to manipulate sets of IP addresses
 Net::CIDR::Set represents sets of IP addresses and allows standard
 set operations (union, intersection, membership test etc.) to be
 performed on them.
 .
 In spite of the name it can work with sets consisting of arbitrary
 ranges of IP addresses - not just CIDR blocks.  It works on IPv4 and
 IPv6 addresses.

Package: libnet-cisco-mse-rest-perl
Description-md5: 494979667107684c80998dc0ff6bea68
Description-en: Perl wrapper for Cisco MSE REST interface
 Net::Cisco::MSE::REST provides a Perl interface for communication with Cisco
 Mobility Services Engine (MSE) using the REST interface.

Package: libnet-citadel-perl
Description-md5: 641ce4e77834e96f71dd7a7fa11f5e13
Description-en: Citadel.org protocol coverage
 Citadel is a "turnkey open-source solution for email and collaboration".
 The main component is the Citadel server. To communicate with it you can
 use either a web interface, or - if you have to automate things - with a
 protocol. NET::Citadel tries to do a bit of abstraction and handles some
 of the protocol handling. The basic idea is that the application using
 the package deals with Citadel's objects: rooms, floors, users.

Package: libnet-cli-interact-perl
Description-md5: 450ace545e16375e1306167ded2e81f3
Description-en: toolkit for CLI Automation
 Automating command line interface (CLI) interactions is not a new idea, but
 can be tricky to implement. Net::CLI::Interact aims to provide a simple and
 manageable interface to CLI interactions, supporting: SSH, Telnet and
 serial-Line connections, Unix and Windows support, and reuseable device
 command phrasebooks.

Package: libnet-cpp-dev
Description-md5: 20bac7d0e1db3ce81bd557744588b094
Description-en: C++11 library for networking purposes - development headers
 Net-Cpp is a simple and straightforward networking library for C++11.
 .
 This package includes all the development headers and libraries for
 net-cpp.

Package: libnet-cpp-doc
Description-md5: 6c90d70d3393c34fe94775a52b04c90a
Description-en: Documentation files for libnet-cpp-dev
 Net-Cpp is a simple and straightforward networking library for C++11.
 .
 This package includes the documentation files for the libnet-cpp
 development.

Package: libnet-cpp2
Description-md5: 3b2ed14f3cc3161baa31ef1f53bd1f61
Description-en: C++11 library for networking purposes - runtime library
 Net-Cpp is a simple and straightforward networking library for C++11.
 .
 This package includes the net-cpp runtime libraries.

Package: libnet-cups-perl
Description-md5: 225566ef494863fc1afa19a899b8080f
Description-en: Perl module for printing through CUPS
 Net::CUPS is a Perl module that provides an object-oriented interface to the
 Common Unix Printing System (CUPS). It supports retrieving information such
 as names of all configured printers, authenticating with username/password
 credentials, and various other utilities for manipulating the CUPS daemon.

Package: libnet-daap-dmap-perl
Description-md5: 4839c4c544fd79328f46f7de6f2ed91b
Description-en: Perl module for reading and writing DAAP structures
 Net::DAAP::DMAP allows reading and writing DAAP structures. A DMAP structure
 is a binary record used in Apple's DAAP protocol. It may contain other DMAP
 structures. Fields in a DMAP structure are identified by a short name
 ("msdc"). The short name is what's in the binary record, but a content codes
 list gives a long name ("dmap.databasescount") and a data type for the record
 (32-bit integer).

Package: libnet-daemon-perl
Description-md5: 96083cade835c6847a252e14ed708eac
Description-en: Perl module for building portable Perl daemons easily
 Net::Daemon is an abstract base class for implementing portable
 server applications in a very simple way. The module is designed
 for Perl 5.005 and threads, but can work with fork() and Perl 5.004.

Package: libnet-dbus-glib-perl
Description-md5: e564872240debae7373f717509575e6b
Description-en: extension for the DBus GLib bindings
 Net::DBus::GLib provides an extension to the Net::DBus module allowing
 integration with the GLib mainloop. To integrate with the main loop, simply
 get a connection to the bus via the methods in Net::DBus::GLib rather than
 the usual Net::DBus module.

Package: libnet-dhcp-perl
Description-md5: 5c78ebceaea7c56360ef6ee525c94b58
Description-en: Perl interface for handling DHCP packets
 Net::DHCP is a release of the DHCP protocol interface to Perl 5. There are
 two parts to the interface: the packet component (Net::DHCP::Packet) and the
 constants component (Net::DHCP::Constants) which represents constants used
 in DHCP protocol, defined in RFC 1533, RFC 2132, RFC 2241, RFC 2485,
 RFC 2563, RFC 2937, RFC 3004, RFC 3011, RFC 3046.
 .
 With Net::DHCP you can create and analyze DHCP packets which are sent or
 received with the help of IO::Socket::INET.

Package: libnet-dhcpv6-duid-parser-perl
Description-md5: 491cc6a5842816e64d8159905b5dd2ea
Description-en: OO interface to parse DHCPv6 Unique Identifiers
 Object oriented interface to parse RFC3315 compliant DHCPv6 Unique
 Identifiers (DUIDs).
 .
 Net::DHCPv6::DUID::Parser was written for the purpose of splitting
 the DUID into its constituent parts. It does some textual conversions
 that may save you some time.

Package: libnet-dict-perl
Description-md5: 12271d0dd13b139216a7f26db71081f6
Description-en: client API for accessing dictionary servers (RFC 2229)
 Net::Dict is a perl module for looking up words and their definitions on
 network dictionary servers. Net::Dict provides a simple DICT client API for
 the network protocol described in RFC2229. This module allows connect with
 DICT server, matching words and selecting databases.

Package: libnet-dns-async-perl
Description-md5: ff37822b9571c0d083fb8e91f50d9029
Description-en: Asynchronous DNS helper for high volume perl applications
 Net::DNS::Async is a fire-and-forget asynchronous DNS helper.
 That is, the user application adds DNS questions to the helper, and
 the callback will be called at some point in the future without
 further intervention from the user application. The application need
 not handle selects, timeouts, waiting for a response or any other
 such issues.
 .
 If the same query is added to the queue more than once, the module
 may combine the queries; that is, it will perform the query only
 once, and will call each callback registered for that query in turn,
 passing the same Net::DNS::Response object to each query. For this
 reason, you should not modify the Net::DNS::Response object in any
 way lest you break things horribly for a subsequent callback.
 .
 This module is similar in principle to POE::Component::Client::DNS, but
 does not require POE.

Package: libnet-dns-cloudflare-ddns-perl
Description-md5: 7c041ff9354c048b1e425ce2320bafc6
Description-en: object orientated Dynamic DNS interface
 Net::DNS::CloudFlare::DDNS provides an object orientated interface that can
 be used to dynamically update DNS records on CloudFlare.

Package: libnet-dns-fingerprint-perl
Description-md5: c9839ea07738d123bb266fd5d70efc24
Description-en: library to determine DNS server vendor, product and version
 Identifying individual nameserver implementations is based on "borderline"
 protocol behaviour. The DNS protocol offers a multitude of message bits,
 response types, opcodes, classes, query types and label types in a fashion
 that makes some mutually exclusive while some are not used in a query
 messages at all.
 .
 Not every implementation offers the full set of features the DNS protocol
 set currently has. Some implementations offer features outside the protocol
 set, and there are implementations that do not conform to standards.
 .
 Amongst the servers it is able to identify are:
 .
  - VGRS ATLAS
  - BIND (v4, v8, v9)
  - eNom DNS
  - Incognito DNS commander
  - MARADNS
  - MS Server NT4/2000/2003
  - MyDNS
  - Net::DNS::Namesever
  - Nominnum ANS/CNS
  - NonSequitur DNS
  - NSD
  - Oak DNS
  - Pliant DNS Server
  - Posadis
  - PowerDNS
  - QuickDNS
  - Rbldnsd
  - Simple DNS plus
  - TinyDNS
  - TotD
  - UltraDNS
  - pdnsd
  - Yaku-NS
  - DeleGate DNS proxy
  - sheerdns
  - dproxy
  - dnrd
  - JDNSS
  - javadns jnamed
  - Nomde DNS tunnel
  - Viking DNS server
  - small HTTP server
  - Cisco Network Registrar
  - Netnumber ENUM server
  - RaidenDNSD
  - Runtop Implementation
  - Mikrotik Implementation
  - Axis Video Network Implementation
  - Fasthosts Envisage DNS server
  - WinGate DNS
  - Ascenvision SwiftDNS
  - Nortel Networks Instant Internet
  - Nortel Networks Alteon ACEswitch
  - Aethra ATOS Stargate ADSL
  - 3Com Office Connect Remote
  - 4d WebSTAR
  - Netopia Implementation
  - DNS4me
  - Tzolkin DNS service
  - jdns javadns service
  - dents
 .
 This module is called: Net::Dns::Fingerprint

Package: libnet-dns-lite-perl
Description-md5: 461c0ef37837ab068ede9af56cadf96c
Description-en: pure-perl DNS resolver with support for timeout
 Net::DNS::Lite provides a replacement function for Socket::inet_aton, with
 support for timeouts.
 .
 Net::DNS::Lite will also cache the DNS responses internally using the
 supplied cache object.

Package: libnet-dns-resolver-mock-perl
Description-md5: f67af5d08c88847e42b3b68dbc8f8599
Description-en: module to mock a DNS Resolver object for testing
 Net::DNS::Resolver::Mock is a subclass of Net::DNS::Resolver which parses a
 zonefile for its data source. Primarily for use in testing.

Package: libnet-dns-resolver-programmable-perl
Description-md5: 0af5d17ab98dea97840b15d23dbd17dc
Description-en: programmable DNS resolver class for offline emulation of DNS
 Net::DNS::Resolver::Programmable is a Net::DNS::Resolver descendant class that
 allows a virtual DNS to be emulated instead of querying the real DNS.  A set
 of static DNS records may be supplied, or arbitrary code may be specified as a
 means for retrieving DNS records, or even generating them on the fly.

Package: libnet-dpap-client-perl
Description-md5: 612872e30e9dab347b8059775a8986a0
Description-en: Perl module for cnnecting to iPhoto shares (DPAP)
 The Net::DPAP::Client module provides a DPAP client. DPAP is the Digital Photo
 Access Protocol and is the protocol that Apple iPhoto uses to share photos.
 This allows you to browse shared albums, and download thumbnail and hires
 versions of shared photos.
 .
 It currently doesn't support password-protected shares.

Package: libnet-dropbox-api-perl
Description-md5: fd59235b8d517ad490cbe06de9ef7940
Description-en: Perl module providing a dropbox API interface
 Net::Dropbox::API is a perl interface to the Dropbox API. The Dropbox
 API is a OAuth based API.

Package: libnet-duo-perl
Description-md5: 33ce35b17b885c145fb16d09adc40c22
Description-en: Perl API for Duo multifactor authentication service
 Net::Duo provides an object-oriented Perl interface for the Duo Security
 REST APIs.  It attempts to abstract some of the API details and provide
 an object-oriented view of the returned objects in order to make use of
 the API in Perl code more natural than dealing with JSON data structures
 directly.  Currently, some parts of the Auth and Admin APIs are
 implemented alongside with generic methods to call any of the JSON-based
 APIs.

Package: libnet-easytcp-perl
Description-md5: 9eae25bde7ce58cd768d2e04928ec669
Description-en: Easily create secure, bandwidth-friendly TCP/IP clients and servers
 This class allows you to easily create TCP/IP clients and
 servers and provides an OO interface to manage the connection(s).
 This allows you to concentrate on the application rather
 than on the transport.
 .
  - One easy module to create both clients and servers
  - Object Oriented interface
  - Event-based callbacks in server mode
  - Internal protocol to take care of all the common
    transport problems
  - Transparent encryption
  - Transparent compression

Package: libnet-epp-perl
Description-md5: de641e98a45cd593ce836edddf28cb90
Description-en: module implementing the Extensible Provisioning Protocol
 Net::EPP is a collection of Perl modules that collectively implement the
 Extensible Provisioning Protocol (EPP) as defined in RFC 4930. EPP is used
 for provisioning and management of objects stored in a shared repository.
 The protocol defines generic object management operations and an extensible
 framework that maps operations to objects.
 .
 Many Network Information Centres use EPP to provide services for provisioning
 of Internet domain names, hosts, and related contact details.

Package: libnet-facebook-oauth2-perl
Description-md5: 9c6d57ab0847c86a923e03a4a5c17e49
Description-en: simple Perl wrapper around Facebook OAuth v2.0 protocol
 Net::Facebook::Oauth2 gives you a way to simply access FaceBook Oauth 2.0
 protocol. It can be used to authenticate users using Facebook and to access to
 user datas.

Package: libnet-fastcgi-perl
Description-md5: a7179b40c4bddc1125cb553e8283e1d2
Description-en: Perl toolkit to write FastCGI applications
 Net::FastCGI aims to provide a complete API for working with the FastCGI
 protocol.
 .
 The primary goal is to provide a function oriented and object oriented
 API which are not tied to a specific I/O model or framework.
 Secondary goal is to provide higher level tools/API which can be used for
 debugging and interoperability testing.

Package: libnet-finger-perl
Description-md5: b08da731386ee2216fb475990618d345
Description-en: perl Module providing an API for Finger queries
 Net::Finger is a Perl interface to the Finger service,
 connecting to a local or remote server and making the request.
 For more details see the Net::Finger(3pm) manpage.

Package: libnet-frame-device-perl
Description-md5: 8b1f6b3f8138e00867e7db59dc7d2395
Description-en: module to get network device information
 Net::Frame::Device is used to get network information, and is especially
 useful when you want to do low-level network programming.
 .
 It also provides useful functions to lookup network MAC addresses.

Package: libnet-frame-dump-perl
Description-md5: 070077349414b96c7ca0f4eb2e3d495b
Description-en: base-class for a tcpdump like implementation
 Net::Frame::Dump is the base class for all dump modules. With them, you can
 open a device for live capture, for offline analysis, or for creating a pcap
 file.
 .
 See Net::Frame::Dump::Offline, Net::Frame::Dump::Online,
 Net::Frame::Dump::Writer for specific usage.

Package: libnet-frame-layer-icmpv6-perl
Description-md5: 2095d09a4deec4ba9554c442ec8ba318
Description-en: module for encoding and decoding of the ICMPv6 layer
 Net::Frame::Layer::ICMPv6 implements the encoding and decoding of the ICMPv6
 layer.
 .
 This module helps to create and decode ICMPv6 packets as well as compute the
 packet checksum and extract the values of the protocol fields allowing them to
 be easily manipulated.
 .
 See also Net::Frame::Layer for other attributes and methods.

Package: libnet-frame-layer-ipv6-perl
Description-md5: 5aa7f9f6e6732cbd76bd1367a11d3815
Description-en: module for encoding and decoding of the IPv6 layer
 Net::Frame::Layer::IPv6 implements the encoding and decoding of the IPv6
 layer.
 .
 This module helps to create and decode IPv6 packets as well as extract the
 values of the protocol fields allowing them to be easily manipulated.
 .
 See also Net::Frame::Layer for other attributes and methods.

Package: libnet-frame-perl
Description-md5: 4d0c290846fe1764bb7a54cfadbcbcf7
Description-en: framework for crafting raw frames
 Net::Frame is a Perl framework for crafting raw frames (Layers 2 through 7).
 Out of the box, it can be used to produce ARP, Ethernet, IPv4, PPP, TCP and
 UDP frames. It has an extensible design for new frame implementations.
 .
 This module only creates frames; Net::Write (see libnet-write-perl) can be
 used to write frames directly to wire.

Package: libnet-frame-simple-perl
Description-md5: f5408326ad2fd75396f3a2a5b01c84d9
Description-en: module to make crafting network frames easier
 Net::Frame::Simple is part of Net::Frame frame crafting framework. It is
 totally optional, but can make playing with the network far easier.
 .
 Basically, it hides the complexity of frame forging, sending, and receiving,
 by providing helper methods, which will analyze internally how to assemble
 frames and find responses to probes.
 .
 For example, it will take care of computing lengths and checksums, and
 matching a response frame to the requesting frame.

Package: libnet-freedb-perl
Description-md5: 97aed50209e0c43e0e4a0e0e338a0d69
Description-en: Perl interface to freedb servers
 Net::FreeDB was inspired by Net::CDDB.  And in-fact was designed as a
 replacement in-part by Net::CDDB's author Jeremy D. Zawodny.  Net::FreeDB
 provides an OOP interface to the FreeDB servers as well as some basic
 CDROM functionality like determining disc ids, track offsets, etc.

Package: libnet-github-perl
Description-md5: 7efb519b012d73012796c1673e0958ad
Description-en: Perl interface for GitHub
 GitHub, https://github.com/, is a service for hosting Git source code
 repositories and managing projects using Git. The Net::GitHub module
 provides a Perl interface that implements V3 and V4 of the GitHub API,
 described at https://developer.github.com/ .

Package: libnet-gmail-imap-label-perl
Description-md5: 83e53f3ae2ddf1681bbe3e42fb9637c8
Description-en: IMAP proxy for Google's Gmail that retrieves message labels
 Net::Gmail::IMAP::Label provides a proxy that sits between an IMAP client and
 Gmail's IMAPS server and adds GMail labels to the X-Label header. This proxy
 uses the Gmail IMAP extensions.
 .
 To use this proxy, your e-mail client will need to connect to the proxy using
 the IMAP protocol (without SSL).

Package: libnet-google-authsub-perl
Description-md5: 6cd9e29552e09f81d5afbeb1d56e932f
Description-en: module to interact with sites that implement Google style AuthSub
 Net::Google::AuthSub allows you to interact with sites that implement Google
 style AuthSub. The module is an API for the Authentication Service of Google.
 It can be used to access both regular Google accounts and hosted Google
 accounts (Google Apps).

Package: libnet-google-safebrowsing2-perl
Description-md5: a0886817950a0da531b13ccdfe7dfa72
Description-en: Perl extension for the Google Safe Browsing v2 API
 The library passes most of the unit tests listed in the API documentation. See
 the documentation
 (http://code.google.com/apis/safebrowsing/developers_guide_v2.html) for more
 details about the failed tests.
 .
 The Google Safe Browsing database must be stored and managed locally.
 Net::Google::SafeBrowsing2::Sqlite uses Sqlite as the storage back-end,
 Net::Google::SafeBrowsing2::MySQL uses MySQL. Other storage mechanisms
 (databases, memory, etc.) can be added and used transparently with this module.
 .
 You may want to look at "Google Safe Browsing v2: Implementation Notes"
 (http://www.zscaler.com/research/Google%20Safe%20Browsing%20v2%20API.pdf), a
 collection of notes and real-world numbers about the API. This is intended for
 people who want to learn more about the API, whether as a user or to make their
 own implementation.
 .
 The source code is available on github at
 https://github.com/juliensobrier/Net-Google-SafeBrowsing2.
 .
 If you do not need to inspect more than 10,000 URLs a day, you can use
 Net::Google::SafeBrowsing2::Lookup with the Google Safe Browsing v2 Lookup API
 which does not require to store and maintain a local database.
 .
 IMPORTANT: If you start with an empty database, you will need to perform
 several updates to retrieve all the Google Safe Browsing information. This may
 require up to 24 hours. This is a limitation of the Google API, not of this
 module. See "Google Safe Browsing v2: Implementation Notes" at
 http://www.zscaler.com/research/Google%20Safe%20Browsing%20v2%20API.pdf.

Package: libnet-gpsd3-perl
Description-md5: e754243b9692eda5e1618e3b02941d09
Description-en: Perl interface to the gpsd server daemon protocol version 3 (JSON)
 Net::GPSD3 provides an object client interface to the gpsd server daemon
 utilizing the version 3.1 protocol. gpsd is an open source GPS daemon from
 http://gpsd.berlios.de/. Support for Version 3 of the protocol (JSON) was
 adding to the daemon in version 2.90. If your daemon is before 2.90 then
 please use the Net::GPSD package.

Package: libnet-hotline-perl
Description-md5: ff133c5a8801bc69d0ca05f735b7177c
Description-en: Hotline interface module for Perl
 Net::Hotline contains an interface to write programs that connect to
 Hotline servers.  Hotline is a set of protocols allowing chat, news, and file
 transfers. Any user can run his/her own server and register it with a public
 tracker, which is a meta-server that users can access to obtain a list of
 registered servers and connect to them.

Package: libnet-https-any-perl
Description-md5: ce1405018546b50a07d64135bd31be2a
Description-en: wrapper around Net::SSLeay
 This is a wrapper around Net::SSLeay providing a simple interface for the use
 of Business::OnlinePayment.
 .
 It used to allow switching between Net::SSLeay and Crypt::SSLeay
 implementations, but that was obsoleted.  If you need to do that, use LWP
 instead.  You can set $Net::HTTPS::SSL_SOCKET_CLASS = "Net::SSL" for
 Crypt::SSLeay instead of the default Net::SSLeay (since 6.02).

Package: libnet-https-nb-perl
Description-md5: 4ed722c00fb782ee7a922ea524cc55e3
Description-en: low-level non-blocking HTTPS client
 Net::HTTPS::NB provides a non-blocking HTTPS client. It has the same
 interface as Net::HTTPS and Net::HTTP, but it will never try multiple
 reads when the read_response_headers() or read_entity_body() methods are
 invoked. If read_response_headers() did not see enough data to complete
 the headers an empty list is returned. If read_entity_body() did not see
 new entity data in its read the value -1 is returned.

Package: libnet-httpserver-perl
Description-md5: e4d0d241cb5fc89770b9fffb972d88fa
Description-en: extensible HTTP server framework for Perl
 Net::HTTPServer provides a light HTTP server. It can serve files, or
 can be configured to call Perl functions when a URL is accessed.
 .
 Net::HTTPServer basically turns a CGI script into a stand alone
 server. Useful for temporary services, mobile/local servers, or
 embedding an HTTP server into another program.

Package: libnet-ident-perl
Description-md5: 8bde7bdbf883d1e2054c42bdfff87766
Description-en: Perl module to lookup the username on the remote end of a TCP/IP connection
 Net::Ident is a Perl module implementing the RFC1413 Identification Protocol,
 also known as "ident".
 .
 It provides both a simple interface for doing one ident lookup at a time, and
 an asynchronous interface to perform (possibly) many simultaneous lookups, or
 simply continue serving other things while the lookup is proceeding.

Package: libnet-idn-encode-perl
Description-md5: 95ed4482037b5404760a3b70d4463646
Description-en: Internationalizing Domain Names in Applications (IDNA)
 Net::IDN::Encode provides an easy-to-use interface to encode and decode
 Internationalized Domain Names (IDNs).
 .
 IDNs use characters drawn from a large repertoire (Unicode), but IDNA
 allows the non-ASCII characters to be represented using only the ASCII
 characters already allowed in so-called host names today
 (letter-digit-hypen, /[A-Z0-9-]/i).

Package: libnet-idn-nameprep-perl
Description-md5: 7c791899ba2cb3f3ee8152cc65157f18
Description-en: stringprep profile for Internationalized Domain Names (RFC 3491)
 Net::IDN::Nameprep implements the nameprep specification, which describes how
 to prepare internationalized domain name (IDN) labels in order to increase
 the likelihood that name input and name comparison work in ways that make
 sense for typical users throughout the world. Nameprep is a profile of the
 stringprep protocol and is used as part of a suite of on-the-wire protocols
 for internationalizing the Domain Name System (DNS).

Package: libnet-ifconfig-wrapper-perl
Description-md5: 749a27e65b4614649c3b323b7cb2148b
Description-en: multiplatform Perl wrapper for ifconfig
 The Net::Ifconfig::Wrapper Perl module provides a multiplatform wrapper
 for ip(8) or ifconfig(8).

Package: libnet-imap-client-perl
Description-md5: 46f38c85b50868a56d92bbfa8090e66e
Description-en: Perl module to communicate with IMAP servers
 Net::IMAP::Client provides methods to access an IMAP server. It aims to
 provide a simple and clean API, while employing a rigorous parser for IMAP
 responses in order to create Perl data structures from them. The code is
 simple, clean and extensible.

Package: libnet-imap-perl
Description-md5: 74dc6df67364a809d9b08b4f715e97e4
Description-en: client interface to IMAP (Internet Message Access Protocol)
 Net::IMAP provides a perl interface to the client portion of IMAP
 (Internet Message Access Protocol).
 .
 Additionally it also supports ACAP (Application Configuration Access
 Protocol), IMSP (Internet Message Support Protocol, superseded by
 ACAP) and ICAP (Internet Calendar Access Protocol).

Package: libnet-imap-simple-perl
Description-md5: aeb731ade36245e781311c35b65133d9
Description-en: Perl module to manage an IMAP account
 Net::IMAP::Simple provides a simple way to access and manipulate information
 on Internet Message Access Protocol (IMAP) capable servers. The API is mostly
 equivalent to Net::POP3, with some additional extensions based on the other
 features supported in the IMAP standard. This module supports connections via
 SSL using IO::Socket::SSL (see libio-socket-ssl-perl), over IPv6 using
 IO::Socket::INET6 (see libio-socket-inet6-perl) and handling messages in
 various ways (delete or undelete, mark as read or unread, expunge, edit
 flags, and more).

Package: libnet-imap-simple-ssl-perl
Description-md5: 1e2fe0d81d5e651a0e51f95e8c900176
Description-en: Subclass of Net::IMAP::Simple with SSL support
 Net::IMAP::Simple::SSL is a subclass of Net::IMAP::Simple that
 includes SSL support. The interface is identical.

Package: libnet-inet6glue-perl
Description-md5: c3d9f7b90999418687d890f32b5289ec
Description-en: glue module to make perl modules IPv6 ready
 Net::INET6Glue is a collection of modules to make common modules IPv6 ready
 by hotpatching them.
 .
 Unfortunatly the current state of IPv6 support in perl is that no IPv6
 support is in the core and that a lot of important modules (like Net::FTP,
 Net::SMTP, LWP, ...) do not support IPv6 even if the modules for IPv6 sockets
 like Socket6, IO::Socket::IP or IO::Socket::INET6 are available.

Package: libnet-interface-perl
Description-md5: 49732a004849c228b21aa9ced3dab18e
Description-en: Perl module for manipulating host network interfaces
 Net::Interface is a module that allows access to the host network interfaces
 in a manner similar to ifconfig(8). Version 1.00 is a complete re-write and
 includes support for IPV6 as well as the traditional IPV4.
 .
 Both read and write access to network device attributes including the
 creation of new logical and physical interfaces is available where supported
 by the OS and this module.
 .
 NOTE: Many of the operations of Net::Interface, particularly those that set
 interface values require privileged access to OS resources. Wherever possible,
 Net::Interface will simply fail softly when there are not adequateprivileges
 to perform the requested operation or where the operation is not supported.

Package: libnet-ip-minimal-perl
Description-md5: b66035221bfc2030f6ff046591fba0de
Description-en: module to check if an IP address is version 4 or 6
 Net::IP::Minimal provides only three of the many functions that Net::IP
 offers, while using a lot less memory: ip_get_version(), ip_is_ipv4()
 and ip_is_ipv6().

Package: libnet-ip-xs-perl
Description-md5: 14c3e0671a7d2f45864553d6f4aa3d30
Description-en: Perl extension for manipulating IPv4/IPv6 addresses (XS)
 Net::IP::XS is a XS (C) implementation of Net::IP (libnet-ip-perl in
 Debian) that provides functions to deal with IPv4/IPv6 addresses.
 .
 The module can be used as a class, allowing the user to instantiate
 IP objects, which can be single IP addresses, prefixes, or ranges of
 addresses.  There is also a procedural way of accessing most of the
 functions. Most subroutines can take either IPv4 or IPv6 addresses
 transparently.

Package: libnet-ipaddress-perl
Description-md5: 36945b1fc0b2d02b16ea49915735a1e0
Description-en: Functions used to manipulate IP addresses, masks and FQDN's
 Collection of helpful functions used to convert IP addresses to/from 32-bit
 integers, applying subnet masks to IP addresses, validating IP address strings,
 and splitting a FQDN into its host and domain parts.

Package: libnet-iptrie-perl
Description-md5: 6d92cc9a7d66db2ee64f61f33a27ea9d
Description-en: Perl module for building IPv4 and IPv6 address space hierarchies
 Net::IPTrie uses a radix tree (or trie) to quickly build the hierarchy of a
 given address space (both IPv4 and IPv6). This allows the user to perform
 fast subnet or routing lookups. It is implemented exclusively in Perl.

Package: libnet-ipv6addr-perl
Description-md5: 4a01c82e74a436d74725bc8b83dd8b51
Description-en: module to validate/manipulate IPv6 addresses
 Net::IPv6Addr checks if strings are valid IPv6 addresses, as specified in
 RFC1884, and can convert them into other supported formats including:
 .
  * Preferred form x:x:x:x:x:x:x:x
  * Compressed form with double colon: x::x
  * Mixed IPv4/IPv6 format: x:x:x:x:x:x:d.d.d.d
  * Mixed IPv4/IPv6 with compression: x::x:d.d.d.d
  * Base-85-encoded
  * Big integers
  * Arrays
  * Reverse-address pointer
 .
 When creating/validating an IPv6 address from a given string, Net::IPv6Addr
 will either accept it or throw an exception.

Package: libnet-irc-perl
Description-md5: 5a62eed077afc0099589f2a31e61bc45
Description-en: IRC (Internet Relay Chat) interface module for Perl
 Net::IRC contains an interface to write programs that connect to the IRC
 (Internet Relay Chat) protocol. Please note that this module is deprecated
 and no longer receives any maintenance whatsoever; for new projects, it is
 recommended that Bot::BasicBot or POE::Component::IRC be used instead.

Package: libnet-irr-perl
Description-md5: 2ecbae4eed037b5962f581cfdf2d677a
Description-en: perl interface to the Internet Route Registry daemon
 Net::IRR provides an object oriented perl interface to the Internet Route
 Registry. The interface uses the RIPE/RPSL Tool Query Language as defined in
 Appendix B of the IRRd User Guide. The guide can be found at
 http://www.irrd.net/, however an understanding of the query language is not
 required to use this module.
 .
 Net::IRR supports IRRd's multiple-command mode. Multiple-command mode is good
 for intensive queries since only one TCP connection needs to be made for
 multiple queries. The interface also allows for additional queries that
 aren't supported by standard UNIX whois utitilies.

Package: libnet-jabber-bot-perl
Description-md5: dbc736a1ef58be68ec661b354d76a295
Description-en: automated Bot creation with safeties
 This Perl module, Net::Jabber::Bot, provides tools for writing a Jabber
 Bot.
 .
 The idea behind the module is that someone creating a bot should not
 really have to know a whole lot about how the Jabber protocol works in
 order to use it. It also allows us to abstract away all the things
 that can get a bot maker into trouble. Essentially the object helps
 protect the coders from their own mistakes.

Package: libnet-jabber-loudmouth-perl
Description-md5: 39039da1c5d891b084066f89d455343b
Description-en: Perl interface for the loudmouth jabber library
 Net::Jabber::Loudmouth is a perl interface for libloudmouth, Lightweight C
 Jabber library. It allows you to do the same stuff with Net::Jabber, but with a
 nicer interface and much faster, because most of the code is written in C.

Package: libnet-jabber-perl
Description-md5: 1570bc9144e437212f292c3fccd61884
Description-en: Perl modules for accessing the Jabber protocol
 Net::Jabber is a collection of Perl modules that provide a Perl Developer
 access to the Jabber protocol. OOP modules provide a clean interface to
 writing anything from a full client to a simple protocol tester.

Package: libnet-ldap-filterbuilder-perl
Description-md5: 51c8e7118f81eae4c3a00a32c3ae3d94
Description-en: module to build LDAP filter statements
 Net::LDAP::FilterBuilder constructs LDAP filter statements which are
 compliant with the RFC 4515 syntax and also safely escape special characters.
 Filter statements can be built incrementally using simple logic operations.

Package: libnet-ldap-server-perl
Description-md5: 1f66e6d94d9026d395588d3b33bf54d1
Description-en: LDAP server-side protocol handling in Perl
 Net::LDAP::Server provides the protocol handling for an LDAP server.
 You can subclass it and implement the request types you need.  Once
 you accept a connection (e.g. using Net::Daemon), Net::LDAP::Server
 takes care of decoding client requests and encoding your replies.

Package: libnet-ldap-server-test-perl
Description-md5: b4b33649b6c7b5ae84411706814ed78e
Description-en: provides a test LDAP server for Net::LDAP testing
 Net::LDAP::Server::Test implements a test LDAP server to be used for
 testing code using Net::LDAP, for use in automated test suites are other
 places where a real LDAP server is not available.

Package: libnet-ldap-sid-perl
Description-md5: 893eb6c426803c88f52efde35ffd167e
Description-en: module for Active Directory Security Identifier (SID) manipulation
 Microsoft Active Directory stores a Security Identifier (SID) in an attribute
 with syntax String(Sid). Net::Ldap::Sid contains functions to convert a SID
 between the binary String(Sid) representation and a string representation.

Package: libnet-ldapapi-perl
Description-md5: 770aa5e273f9a7a2ceafb5dcd378c923
Description-en: Perl bindings for OpenLDAP C API
 Net::LDAPapi provides a Perl interface to the OpenLDAP C libraries.
 Both the original "C API" and new "Perl OO" style interface methods
 are supported.  This module differs from Net::LDAP in that it
 uses C bindings to achieve significant performance improvements.

Package: libnet-ldns-perl
Description-md5: df7789d6fdb347f43cd346aae5bac1e9
Description-en: Perl wrapper for the ldns DNS library.
 The goal of ldns is to simplify DNS programming, it supports recent RFCs
 like the DNSSEC documents, and allows developers to easily create software
 conforming to current RFCs, and experimental software for current Internet
 Drafts.
 .
 This package contains Perl wrapper for ldns library.

Package: libnet-libdnet-perl
Description-md5: a4de6a5ddc3fd70dfae59f99d0d9bead
Description-en: interface to libdumbnet's low-level network functions
 Net::Libdnet is a Perl module that provides a simple and portable interface
 to many useful low-level networking routines. Its functionality includes
 network address manipulation, kernel ARP cache and route table manipulation,
 firewalling, network interface manipulation, network traffic interception via
 tunnel interfaces, and raw IP packet/Ethernet frame transmission.
 .
 This module is intended to complement functionality provided by libpcap. For
 historical reasons, Dug Song's libdnet is called libdumbnet on Debian.

Package: libnet-libdnet6-perl
Description-md5: 7ce865a619fded55a4ea79592a7045e3
Description-en: module to add IPv6 support to Net::Libdnet
 Net::Libdnet6 is a Perl module that adds IPv6 support to Net::Libdnet to
 provide a simple and portable interface to many useful low-level networking
 routines. Its functionality includes network address manipulation, kernel
 ARP cache and route table manipulation, firewalling, network interface
 manipulation, network traffic interception via tunnel interfaces, and raw IP
 packet/Ethernet frame transmission.
 .
 See: libnet-libdnet-perl for further details on Net::Libdnet

Package: libnet-luminis-build-plugin-java
Description-md5: ca1a63ee10dbd49ddd1150f68a624711
Description-en: Wrapper around Bnd to allow easy bundle creation from ant builds.
 The OSGi bundle ant task is a wrapper around Bnd to allow easy bundle creation
 from ant builds.
 .
 Creates an OSGi bundle. Computes OSGi headers (most notably the importPackage
 and exportPackage) from class analysis.

Package: libnet-mac-perl
Description-md5: 367dc4912ff382c4ed63de5447844645
Description-en: module for representing and manipulating MAC addresses
 Net::Mac is a module that allows you to store a MAC address
 in a Perl object, find out information about a stored MAC address and
 convert a MAC address into a specified format and easily compare two
 MAC addresses for string or numeric equality.

Package: libnet-mac-vendor-perl
Description-md5: 1bc441cccdee4c30985ea12d5f31ab36
Description-en: module to look up the vendor by OUI
 The Institute of Electrical and Electronics Engineers (IEEE) assigns an
 Organizational Unique Identifier (OUI) to manufacturers of network
 interfaces. Each interface has a Media Access Control (MAC) address of six
 bytes. The first three bytes are the OUI.
 .
 Net::MAC::Vendor allows you to take a MAC address and turn it into the OUI
 and vendor information. You can, for instance, scan a network, collect MAC
 addresses, and turn those addresses into vendors. With vendor information,
 you can often guess at what you are looking at (e.g. an Apple product).
 .
 You can use this as a module as its individual functions, or call it as a
 script with a list of MAC addresses as arguments. The module can figure it
 out.

Package: libnet-managesieve-perl
Description-md5: c536c86a2cd66ba154745e2b41a8a2b5
Description-en: Perl client module for ManageSieve
 Net::ManageSieve is a Perl module that provides a client interface to the
 ManageSieve protocol. It manipulates scripts on a host running a ManageSieve
 service; this module does not perform, validate or do anything else like the
 Sieve scripts themselves.

Package: libnet-nbname-perl
Description-md5: 8829ba288c0e1298101d5f018cf98a20
Description-en: NetBIOS Name Service Requests
 This is the Net::NBName Perl module, for resolving the NetBIOS host names
 used by Windows/Samba.  It can be used
  1. to resolve NetBIOS names to IP addresses
  2. to determine registered NetBIOS names on a host
 A pure Perl module, using the Socket core module.

Package: libnet-nessus-rest-perl
Description-md5: c17b7ee23d16f856e3aa4eb9402505a2
Description-en: REST interface for Nessus 6.0
 Net::Nessus::REST provides a Perl module for communication with the Nessus
 vulnerability scanner developed by Tenable Network Security, via its REST
 interface.

Package: libnet-nessus-xmlrpc-perl
Description-md5: 0074d8510ec8ad752ff54e0a2ff44e7d
Description-en: module for communication with Nessus scanner(v4.2+) via XMLRPC
 Net::Nessus::XMLRPC is a Perl interface for communication with the Nessus
 scanner over XMLRPC. It includes methods for starting, stopping, pausing,
 resuming and monitoring scans, downloading reports, etc.

Package: libnet-netmask-perl
Description-md5: 23fc78fc77319d6b95410e486fcc3fed
Description-en: module to parse, manipulate and lookup IP network blocks
 Net::Netmask parses and understands IPv4 and IPv6 CIDR blocks. It's built
 with an object-oriented interface, with functions being methods that operate
 on a Net::Netmask object.
 .
 These methods provide nearly all types of information about a network block
 that you might want.
 .
 There are also functions to insert a network block into a table and then
 later lookup network blocks by IP address using that table. There are
 functions to turn a IP address range into a list of CIDR blocks. There are
 functions to turn a list of CIDR blocks into a list of IP addresses.
 .
 There is a function for sorting by text IP address.
 .
 All functions understand both IPv4 and IPv6. Matches, finds, etc, will always
 return false when an IPv4 address is matched against an IPv6 address.

Package: libnet-nis-perl
Description-md5: 4a19626df50e240a383efa2387cc5d50
Description-en: Interface to Sun's Network Information Service
 This is a snapshot release of the NIS interface to Perl 5.  There are
 three parts to the interface: the raw component (Net::NIS), the object-
 oriented component (Net::NIS::Table), and the tied interface (Net::NIS)

Package: libnet-nslookup-perl
Description-md5: 3decb0b326340bfa615d4bb9c99a563c
Description-en: simple DNS lookup module for perl
 Net::Nslookup provides the capabilities of the standard UNIX command line
 tool nslookup(1). Net::DNS is a wonderful and full featured module, but quite
 often, all you need is `nslookup $host`. Net::Nslookup provides that
 functionality.
 .
 Net::Nslookup exports a single function, called nslookup. nslookup can be
 used to retrieve A, PTR, CNAME, MX, and NS records.
 .
  my $a = nslookup(host => "use.perl.org", type => "A");
 .
  my @mx = nslookup(domain => "perl.org", type => "MX");
 .
  my @ns = nslookup(domain => "perl.org", type => "NS");

Package: libnet-ntp-perl
Description-md5: 8fc3922914c9db01a37ffeb69807a5e5
Description-en: Perl module to query NTP servers
 Net::NTP is a small perl module to query an NTP (Network Time Protocol)
 time server for the current time. It exports a single method
 (get_ntp_response) and returns an associative array based upon RFC1305 and
 RFC2030.  The response from the server is "humanized" to a point that further
 processing of the information received from the server can be manipulated.
 For example: timestamps are in epoch, so one could use the localtime function
 to produce an even more "human" representation of the timestamp.

Package: libnet-oauth-perl
Description-md5: 229e57533790e7a628ca66292bde593c
Description-en: implementation of the OAuth 1.0 protocol
 Net::OAuth implements OAuth, which is "An open protocol to allow secure API
 authentication in a simple and standard method from desktop and web
 applications."
 .
 In practical terms, OAuth is a mechanism for a Consumer to request protected
 resources from a Service Provider on behalf of a user.

Package: libnet-oauth2-authorizationserver-perl
Description-md5: e8b79b038a39e9295ac9bee4b9a4736d
Description-en: implementation of an OAuth2 Authorization Server
 Net::OAuth2::AuthorizationServer is the gateway to the various OAuth2 grant
 flows, as documented at https://tools.ietf.org/html/rfc6749. Each module
 implements a specific grant flow and is designed to "just work" with minimal
 detail and effort.

Package: libnet-oauth2-perl
Description-md5: 8bac1037db86bc38d73123f0dfc74539
Description-en: implementation of the OAuth 2.0 protocol
 Net::OAuth2 implements OAuth 2.0 authorization protocol client.
 OAuth 2.0 is imcompatible with OAuth 1.0.
 .
 The library can be used to authenticate users against OAuth 2.0
 service providers such as Google and Facebook.

Package: libnet-openid-common-perl
Description-md5: 7248a5b5dd9be5fa6ccc4e2db1756ca6
Description-en: libraries shared between Net::OpenID::Consumer and Net::OpenID::Server
 The Consumer and Server implementations share a few libraries which are
 provided by this package as Net::Openid::Common and others.

Package: libnet-openid-consumer-perl
Description-md5: 97f98fe1734b596391948303893f9434
Description-en: library for consumers of OpenID identities
 Net::OpenID::Consumer is the Perl API for (the consumer half of) OpenID, a
 distributed identity system based on proving you own a URL, which is then
 your identity.

Package: libnet-openid-server-perl
Description-md5: a632df1b503094240408009162563ea3
Description-en: library for servers of OpenID identities
 This is the Perl API for (the server half of) OpenID, a distributed
 identity system based on proving you own a URL, which is then your
 identity.  More information is available at:
 .
 http://openid.net/

Package: libnet-opensrs-perl
Description-md5: b217c951fc893be30b05a5f0c3152370
Description-en: Perl interface for domain registration via the Tucows OpenSRS HTTPS XML API.
 The client library distributed by OpenSRS can be difficult to integrate into
 a custom environment, and their web interface becomes quickly tedious with
 heavy usage. This is a clean and relatively quick library to perform the
 most common API methods described in the OpenSRS API documentation.

Package: libnet-openssh-compat-perl
Description-md5: 5bcdccfe197fb207ce6895206b45c581
Description-en: collection of compatibility modules for Net::OpenSSH
 Net::OpenSSH::Compat and submodules are a set of adapter modules that
 run on top of Net::OpenSSH providing the APIs of other SSH modules
 available from CPAN. Currently, there are adapters available for
 Net::SSH, Net::SSH2 and Net::SSH::Perl.

Package: libnet-openssh-parallel-perl
Description-md5: 7730c37ab6c5cda17af7a54e2b834d8d
Description-en: run SSH jobs in parallel
 Net::OpenSSH::Parallel is an scheduler that can run commands in parallel in a
 set of hosts through SSH. It tries to find a compromise between being simple
 to use, efficient and covering a good part of the problem space of parallel
 process execution via SSH.

Package: libnet-openssh-perl
Description-md5: e6746f5b94ef6022f9bfcd22b73c2c46
Description-en: Perl SSH client package implemented on top of OpenSSH
 Net::OpenSSH is a secure shell client package implemented on top of the
 OpenSSH binary client (ssh), leveraging the multiplexing feature found
 in current versions of OpenSSH. That is, when a new Net::OpenSSH object
 is created, ssh is run in master mode establishing a permanent
 connection. Then, every time a new operation is requested, a new ssh
 process is started in slave mode, reusing the master SSH
 connection to send the request to the remote side. This makes
 Net::OpenSSH very fast, as most of the latency of ssh is intrinsic to
 the protocol.
 .
 If you like the API of other Perl SSH distributions like Net::SSH,
 Net::SSH2 or Net::SSH::Perl, and would like to use them with
 Net::OpenSSH, have a look at the libnet-openssh-compat-perl package.

Package: libnet-oping-perl
Description-md5: c5e4a15fe5509f3c0ede9fec40e2e8b2
Description-en: module for ICMP latency measurement
 Net::Oping is a Perl module for sending ICMP ECHO_REQUESTs (better known as
 "ping packets") and measuring the time that elapses until the reception of an
 ICMP ECHO_REPLY packet (also known as "pong"). It supports pinging multiple
 hosts in parallel using IPv4 or IPv6 transparently.

Package: libnet-pcap-perl
Description-md5: fdb1a5ec4649c04fab47840f892c83c3
Description-en: Perl binding to the LBL pcap packet capture library
 Net::Pcap allows the user to interface with the LBL pcap(3) library.
 Libpcap describes itself as "a system-independent interface for user-level
 packet capture. Libpcap provides a portable framework for low-level network
 monitoring." This modules gives a program the ability to capture data from a
 network interface in a similar way tcpdump does.

Package: libnet-ph-perl
Description-md5: 6bfb6833cd413a22119a003c4c292a83
Description-en: Perl module for accessing Ph servers
 Net::PH is a Perl class implementing a simple Nameserver/Ph client as
 described in CCSO Nameserver -- Server-Client Protocol or RFC 2378.  The
 Ph protocol is now mostly obsolete, replaced at most sites by LDAP.  This
 module is only of interest if you know you need to access a Ph server.

Package: libnet-prometheus-perl
Description-md5: 04784b3b0dfd04eeedc5c78dc85e294d
Description-en: module to export monitoring metrics for Prometheus
 Net::Prometheus provides the ability for a program to collect monitoring
 metrics and export them to the Prometheus.io monitoring server.
 .
 As Prometheus will expect to collect the metrics by making an HTTP request,
 facilities are provided to yield a PSGI application that the containing
 program can embed in its own structure to provide the results, or the
 application can generate a plain-text result directly and serve them by its
 own means.

Package: libnet-proxy-perl
Description-md5: b7f28eeed72e1fee8b9751d1d915d392
Description-en: Framework for proxying network connections in many ways
 This module is a framework for creating various kinds of network
 proxies in a very simple way.
 .
 A proxy is a program that transfer data across a network boundary between
 a client and a server. Net::Proxy introduces the concept of "connectors",
 which abstract the server part (connected to the client) and the client
 part (connected to the server) of the proxy.
 .
 This makes it very easy to implement specific techniques to cross a
 given network boundary, possibly by using a proxy on one side of the
 network fence, and a reverse-proxy on the other side of the fence.
 .
 This package also provides the sslh SSH/HTTPS service multiplexer
 written in perl.

Package: libnet-radius-perl
Description-md5: be458ad2df2cabaadbbd0e1d141548c6
Description-en: distribution of modules interfacing to the RADIUS protocol
 Net::Radius modules provide an interface to the RADIUS (RFC2138)
 protocol. It consists of the following modules:
 .
 * Net::Radius::Packet - Deals with RADIUS packets
 * Net::Radius::Dictionary - Deals with RADIUS dictionaries
 .
 This module is essentially the original RADIUS-1.0 distribution by
 Christopher Masto plus a number of changes and fixes by Luis Muñoz and
 Ian Smith.

Package: libnet-rawip-perl
Description-md5: cd44a21db2baa31d8ddc1874b5d29721
Description-en: Perl interface to lowlevel TCP/IP
 Net::RawIP gives you the ability to create and manipulate arbitrary IP
 packages and fiddle around with every bit in the protocol header.
 .
 This module is useful if you want to implement a "traceroute" or "ping" in
 PERL or you just want to learn about TCP/IP protocol suite but are afraid of
 the C language.

Package: libnet-rblclient-perl
Description-md5: 6af691534883a3ae3195c3ecda875b55
Description-en: module to query multiple Realtime Blackhole Lists in parallel
 The Net::RBLClient module is used to discover what RBL's are listing a
 particular IP address. It parallelizes requests for fast response.
 .
 An RBL, or Realtime Blackhole List, is a list of IP addresses meeting some
 criteria such as involvement in Unsolicited Bulk Email.  Each RBL has
 its own criteria for addition and removal of addresses.  If you want to
 block email or other traffic to/from your network based on one or more
 RBLs, you should carefully study the behavior of those RBLs before and
 during such blocking.

Package: libnet-remctl-perl
Description-md5: 73543b86cba9d82a24e7ed6ab84b9b94
Description-en: Perl client for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the Net::Remctl Perl library, which provides native
 Perl bindings for the libremctl client library.  It also contains the
 Net::Remctl::Backend module, which assists in writing remctl backends in
 Perl.

Package: libnet-rendezvous-publish-backend-avahi-perl
Description-md5: c11613d6d653b2e9bbf80109c80bf821
Description-en: Perl module to publish zeroconf data with the Avahi library
 Net::Rendezvous::Publish::Backend::Avahi publishes zeroconf data with the
 Avahi library. It is a backend for the Net::Rendezvous::Publish module.

Package: libnet-rendezvous-publish-perl
Description-md5: b8e4d6f970823be097818fe258383a50
Description-en: Perl module to publish Rendezvous services
 Net::Rendezvous::Publish creates, publish and manages Rendezvous services. It
 can manage how much time will handle network events and updates of internal
 state.

Package: libnet-route-perl
Description-md5: 8b93dd66d1b931c8b7630e0945dff930
Description-en: portable interface to the routing table
 Every OS provides its custom interface to the routing table: Linux's
 "route" utility is different from BSD's "route show", from Windows'
 "route print", etc. Parsing all these different output styles in an
 (otherwise portable) script can quickly become inconvenient.
 .
 Net::Route abstracts the system specifics and provides a single,
 portable interface.

Package: libnet-scp-expect-perl
Description-md5: e45682be1362161fe22af1fe902b7825
Description-en: Wrapper for scp to send passwords via Expect
 Net::SCP::Expect allows you to transfer files via scp, but instead of having
 to use shared keys or to input a password interactively, it allows you to
 send them programmataically via Expect.

Package: libnet-scp-perl
Description-md5: b8202df5af81a88e7291cab068f1ca8b
Description-en: Perl extension for secure copy protocol
 Net::SCP provides simple wrappers around the "scp" command and to
 Net::FTP-like interface. It can be called in batch mode to upload
 or download files using SSH protocol.

Package: libnet-server-coro-perl
Description-md5: a49e53202bb6370fe73269dd13a4c38b
Description-en: co-operative multithreaded server using Coro
 Net::Server::Coro implements multithreaded server for the Net::Server
 architecture, using Coro and Coro::Socket to make all reads and
 writes non-blocking. Additionally, it supports non-blocking SSL
 negotiation.

Package: libnet-server-mail-perl
Description-md5: 1e7ecab0f74f93fa9685fc31ea9529a5
Description-en: versatile and extensible mail server framework
 Net::Server::Mail is a versatile and extensible implementation of the SMTP
 protocol and closely-related protocols like ESMTP and LMTP. The event driven
 object-oriented API makes it easy to incorporate the SMTP protocol into perl
 programs.
 .
 It provides a mechanism to easily add future or not yet implemented ESMTP
 extensions. Developers can hook code at each SMTP session state and change
 the module's behaviors by registering event call-backs. The class is designed
 to be easily inherited from.

Package: libnet-server-ss-prefork-perl
Description-md5: b358167f235365b8927e9bca8a8331e3
Description-en: hot-deployable variant of Net::Server::PreFork
 Net::Server::SS::PreFork is a Net::Server personality, extending
 Net::Server::PreFork, that can be run by the start_server script
 of Server::Starter.

Package: libnet-sftp-foreign-perl
Description-md5: 4bd10853c793c5b25b7c15be66463def
Description-en: client for the Secure File Transfer Protocol
 Net::SFTP::Foreign is a Perl client for the Secure File Transfer Protocol
 (SFTP) version 3 as defined in the SSH File Transfer Protocol IETF draft,
 which can be found at
 <http://www.openssh.org/txt/draft-ietf-secsh-filexfer-02.txt>.
 .
 Net::SFTP::Foreign uses any compatible 'ssh' command installed on the system
 (for instance, OpenSSH's 'ssh') to establish the secure connection to the
 remote server.
 .
 A wrapper module Net::SFTP::Foreign::Compat is also provided for
 compatibility with Net::SFTP.

Package: libnet-sftp-sftpserver-perl
Description-md5: 3806f71503f98042b893edc43c77daaa
Description-en: Secure File Transfer Protocol Server
 Net::SFTP::SftpServer is a Perl port of sftp-server from OpenSSH
 providing access control on a per user and per command basis with
 improved logging via syslog.
 .
 This version of the Net::SFTP::SftpServer Perl module currently
 implements SSH File Transfer Protocol version 3.
 .
 The limitations compared with the OpenSSH implementation are as follows:
 .
   - Only files and directories are dealt with - other inode types are not
     returned on readdir.
   - A virtual chroot is performed - / (rootfs) is treated as the users home
     directory from the client perspective and all file access to / will
     be in /<home_path>/<username>. The <home_path> is defined on object
     initialisation, it is not obtained from /etc/passwd.
   - All symlinked files or directories can be hidden and made inaccessible on
     request. Symlink returns "permission denied" then, readlink returns "file
     does not exist".
   - Setting of stats (set_stat or set_fstat) is disabled - the client will
     receive permission denied.
   - Permissions for files and dirs are defaulted - the defaults are set on
     object initialisation.

Package: libnet-sieve-perl
Description-md5: 00d7a9221576bbfe56ce4ed9dc0255ea
Description-en: implementation of managesieve protocol to manage sieve scripts
 Net::Sieve is a package for clients for the "MANAGESIEVE" protocol, which is
 an Internet Draft protocol for manipulation of "Sieve" scripts in a repository.
 More simply, Net::Sieve lets you control your mail-filtering rule files on a
 mail server.
 .
 Net::Sieve supports the use of "TLS" via the "STARTTLS" command. Net::Sieve
 open the connexion to the sieve server, methods allow one to list all scripts,
 activate or deactivate scripts, read, delete or put scripts.

Package: libnet-sieve-script-perl
Description-md5: 06596c271aa665bd53e5c783d994770c
Description-en: module to parse and write sieve scripts
 Net::Sieve::Script provides a way to read, parse and write sieve file script,
 with access to Rule, Action and Condition objects.
 .
 Support for RFC 5228 - sieve base, RFC 5231 - relational, RFC 5230 -
 vacation,  Draft regex.

Package: libnet-sip-perl
Description-md5: 8eca0d18b3bc24e26bd3aeac50a5466a
Description-en: framework for SIP modules
 Net::SIP is a Perl framework that provides many useful tools with which to
 write software for SIP endpoints (e.g phones, answer machines), SIP proxies
 and registrars. It contains no GUI and no real code for working with video
 or audio, though it does have some support for RTP (no RTCP) and working with
 PCMU/8000 data, enough for sending PCMU/8000 encoded audio to a SIP peer and
 for receiving and saving PCMU/8000 audio data.

Package: libnet-smpp-perl
Description-md5: f44f2e2dacecca2f6fabb84580efae85
Description-en: implementation of Short Message Peer to Peer protocol over TCP
 Net::SMPP implements Short Message Peer to Peer protocol, which is frequently
 used to pass short messages between mobile operators implementing short
 message service (SMS). This is applicable to both European GSM and American
 CDMA/TDMA systems.

Package: libnet-smtp-server-perl
Description-md5: 41c1c91538bbd7c7c24d36eb1d7ca28f
Description-en: native Perl SMTP Server implementation for Perl
 The Net::SMTP::Server module implements an RFC 821 compliant SMTP
 server, completely in Perl.  It's extremely extensible, so adding in
 things like spam filtering, or more advanced routing and handling
 features can be easily handled.  An additional module,
 Net::SMTP::Server::Relay has also been implemented as an example of
 just one application of this extensibility.  See the pod for more
 details on that module.  This extension has been tested on both Unix
 and Win32 platforms.
 .
 Creating a new server is as trivial as:
 .
 $server = new Net::SMTP::Server($host, $port);
 .
 This creates a new SMTP::Server.  Both $host and $port are optional,
 and default to the current hostname and the standard SMTP port (25).
 However, if you run on a multi-homed machine, you may want to
 explicitly specify which interface to bind to.

Package: libnet-smtp-tls-butmaintained-perl
Description-md5: 43bd8922459cad487c7ee8278461c57a
Description-en: Perl module for providing SMTP client supporting TLS and AUTH
 Net::SMTP::TLS::ButMaintained is a TLS and AUTH capable SMTP client which
 offers an interface that users will find familiar from Net::SMTP.
 Net::SMTP::TLS::ButMaintained implements a subset of the methods provided by
 that module, but certainly not (yet) a complete mirror image of that API.

Package: libnet-smtp-tls-perl
Description-md5: d7dbad05c04ad5907a5b016a93e8978a
Description-en: Perl SMTP client library supporting TLS and AUTH
 Net::SMTP::TLS is a TLS and AUTH capable SMTP client library which offers an
 interface that users will find familiar from Net::SMTP. Net::SMTP::TLS
 implements a subset of the methods provided by that module, but certainly not
 (yet) a complete mirror image of that API.

Package: libnet-smtpauth-perl
Description-md5: f826978f86bea2e8db98ab8d44e0601f
Description-en: Perl module that provides SMTP authentication (Net::SMTP_auth)
 Net::SMTP_auth implements a client interface to the SMTP and ESMTP protocol
 AUTH service extension, enabling a perl5 application to talk to and
 authenticate against SMTP servers.
 .
 This module supplies a more powerful environment than Net::SMTP (provided
 by perl-modules).

Package: libnet-smtps-perl
Description-md5: 7150aabd679a509c4d4f076f399bf88b
Description-en: SSL/STARTTLS support for Net::SMTP
 Net::SMTPS implements a wrapper for Net::SMTP, enabling over-SSL/STARTTLS
 support. This module inherits all the methods from Net::SMTP. You may use all
 the friendly options that came bundled with Net::SMTP. You can control the
 SSL usage with the options of new() constructor method. 'doSSL' option is the
 switch, and, If you would like to control detailed SSL settings, you can set
 SSL_* options that are brought from IO::Socket::SSL. Please see the document
 of IO::Socket::SSL about these options detail.
 .
 Just one method difference from the Net::SMTP, you may select SMTP AUTH
 mechanism as the third option of auth() method.

Package: libnet-snmp-perl
Description-md5: 822f8f6acfa7834deb310d8498b05082
Description-en: Script SNMP connections
 The module Net::SNMP implements an object oriented interface to
 the Simple Network Management Protocol.  Perl applications can
 use the module to retrieve or update information on a remote
 host using the SNMP protocol.  Net::SNMP is implemented completely
 in Perl, requires no compiling, and uses only standard Perl
 modules.  SNMPv1 and SNMPv2c (Community-Based SNMPv2), as well as
 SNMPv3 with USM are supported by the module.  SNMP over UDP as well
 as TCP with both IPv4 and IPv6 can be used.  The Net::SNMP module
 assumes that the user has a basic understanding of the Simple
 Network Management Protocol and related network management concepts.

Package: libnet-snpp-perl
Description-md5: aaad0a7f50d79a96d04a3afcf2865d4c
Description-en: perl module for accessing SNPP servers
 Net::SNPP is a Perl module that provides access to the SNPP Simple Network
 Paging Protocol. It also provides Net:SNPP::Server for creating SNPP servers.

Package: libnet-socks-perl
Description-md5: a91cc4da438056f04e516b12fc98847d
Description-en: Perl module providing an API to communicate with SOCKS servers
 Net::SOCKS is a SOCKS client module that can communicate with SOCKS v4 and v5
 servers. It currently allows you to make outgoing and incoming TCP
 connections.

Package: libnet-ssh-authorizedkeysfile-perl
Description-md5: a268649f2ee38816f3454f49e5b74690
Description-en: Read and modify ssh's authorized_keys files
 Net::SSH::AuthorizedKeysFile reads and modifies authorized_keys files.
 authorized_keys files contain public keys and meta information to be used by
 ssh on the remote host to let users in without having to type their password.

Package: libnet-ssh-perl
Description-md5: b024edd244261a7f0e89b447433841e3
Description-en: Perl extension for secure shell
 Net::SSH provides simple wrappers around the "ssh" command.
 It can be called in batch mode to launch remote commands or
 interactively.

Package: libnet-ssh2-perl
Description-md5: 3ea5531d51cdeb379015a8d9804127d6
Description-en: Perl module for the SSH 2 protocol
 Net::SSH2 is a perl interface to the libssh2 (http://www.libssh2.org)
 library. It supports the SSH2 protocol (there is no support for SSH1) with
 all of the key exchanges, ciphers, and compression of libssh2.

Package: libnet-sslglue-perl
Description-md5: 92801fa4064bf78045ab9fff9731c382
Description-en: module to add/extend SSL support for common perl modules
 Some commonly used perl modules don't have SSL support at all, even if the
 protocol would support it. Others have SSL support, but most of them don't do
 proper checking of the servers certificate.
 .
 The Net::SSLGlue::* modules try to add SSL support or proper certificate to
 these modules.

Package: libnet-statsd-perl
Description-md5: f5a6250f147d5718793138be516cd7bd
Description-en: perl client for Etsy's statsd daemon
 Net::Statsd implement a UDP client for the statsd statistics collector
 daemon.
 .
 You want to use this module to track statistics in your Perl
 application, such as how many times a certain event occurs (user logins
 in a web application, or database queries issued), or you want to time
 and then graph how long certain events take, like database queries
 execution time or time to download a certain file, etc...

Package: libnet-stomp-perl
Description-md5: 4c9e18e100e6674d5719505005c14468
Description-en: Perl module providing a Streaming Text Orientated Messaging Protocol client
 Net::Stomp allows you to write a Stomp client. Stomp is the Streaming Text
 Orientated Messaging Protocol (or the Protocol Briefly Known as TTMP and
 Represented by the symbol :ttmp). It's a simple and easy to implement
 protocol for working with Message Orientated Middleware from any language.
 Net::Stomp is useful for talking to Apache ActiveMQ, an open source (Apache
 2.0 licensed) Java Message Service 1.1 (JMS) message broker packed with many
 enterprise features.
 .
 A Stomp frame consists of a command, a series of headers and a body - see
 Net::Stomp::Frame for more details.
 .
 For details on the protocol see <https://stomp.github.io/>.

Package: libnet-subnet-perl
Description-md5: 33564dcddb2ced8a966af79a392aacfd
Description-en: Fast IP-in-subnet matcher module for IPv4 and IPv6
 Net::Subnet is a simple but fast pure Perl module for determining whether a
 given IP address is in a given set of IP subnets. It's iterative, and it
 doesn't use any fancy tries, but because it uses simple bitwise operations on
 strings it's still very fast.
 .
 The module supports both IPv4 and IPv6.

Package: libnet-subnets-perl
Description-md5: ca923d06836c558da5e29d58a2b200b5
Description-en: module for IPv4 subnet calculations
 Net::Subnets is a Perl module that provides a quick and simple method to
 perform miscellaneous operations with IP version 4 (IPv4) addresses. It can
 match a list of IP addresses against one or more CIDR (Classless Inter-Domain
 Routing) subnets. It also calculates ranges of IP addresses based on a subnet
 size, specified as a CIDR.

Package: libnet-syslogd-perl
Description-md5: 793499d2c6611809fcc714617701c0a1
Description-en: Perl implementation of Syslog Listener
 Net::Syslogd is a class implementing a simple Syslog listener in Perl.
 Net::Syslogd will accept messages on the default Syslog port (UDP 514) and
 attempt to decode them according to RFC 3164.

Package: libnet-tclink-perl
Description-md5: 6665b14c513cf4edf1184e540996fd28
Description-en: Perl interface to the TrustCommerce payment gateway
 Net::TCLink is a module that allows for fast, secure, reliable credit
 card and check transactions via the TrustCommerce IP gateway. The
 module consists of a single function call that accepts a hash that
 describes the requested transaction and returns a map that describes the
 result.

Package: libnet-telnet-cisco-perl
Description-md5: f99c1fb531aa232bc29ff9d93dbd374b
Description-en: Additional functionality to automate Cisco management
 Net::Telnet::Cisco provides additional functionality to
 Net::Telnet for dealing with Cisco routers. It provides a new
 object that allows for remote management of routers through perl
 issuing commands like you were connected directly to the router
 (or switch).
 .
 For most management issues you might want to use the Net::SNMP
 module better (it's faster, better error handling and doesn't use
 up router virtual terminals) but for those things you can not do
 using that module use this one.

Package: libnet-telnet-perl
Description-md5: 6a162eea4393effd0b42e8c2b126a01e
Description-en: Perl module to script telnetable connections
 Net::Telnet allows you to make client connections to a TCP port and
 do network I/O, especially to a port using the TELNET protocol.
 Simple I/O methods such as print, get, and getline are provided.
 More sophisticated interactive features are provided because
 connecting to a TELNET port ultimately means communicating with a
 program designed for human interaction.  These interactive features
 include the ability to specify a timeout and to wait for patterns to
 appear in the input stream, such as the prompt from a shell.

Package: libnet-tftp-perl
Description-md5: f7d0dc06db613a724949584d676c6a36
Description-en: Perl module for accessing TFTP servers
 Net::TFTP is a class implementing a simple Trivial File Transfer
 Protocol client in Perl as described in RFC1350. Net::TFTP also
 supports the TFTP Option Extension (as described in RFC2347), with the
 following options: RFC2348 Blocksize Option.

Package: libnet-tftpd-perl
Description-md5: 061d6579efe57bdd241982a1ad1d2872
Description-en: Perl extension for Trivial File Transfer Protocol Server
 Net::TFTPd is a class implementing a simple Trivial File Transfer Protocol
 server in Perl as described in RFC1350.
 .
 Net::TFTPd also supports the TFTP Option Extension (as described in RFC2347),
 with the following options:
 .
  - RFC2348 TFTP Blocksize Option
  - RFC2349 TFTP Timeout Interval and Transfer Size Options

Package: libnet-trac-perl
Description-md5: 107008d881117dcf23dc5fa2318be88b
Description-en: Perl client library for Trac
 Net::Trac is simple client library for a remote Trac instance. Because
 Trac doesn't provide a web services API, this module currently "fakes"
 an RPC interface around Trac's webforms and the feeds it exports.
 Because of this, it's somewhat more brittle than a true RPC client would
 be.
 .
 As of now, this module has been tested against Trac 10.4 and Trac 11.0.
 .
 The author's needs for this module are somewhat modest and its current
 featureset reflects this. Right now, only basic read/write functionality
 for Trac's tickets is provided.

Package: libnet-traceroute-perl
Description-md5: 0c3eea9b1153c8f057ab4c5e4a4fb535
Description-en: traceroute(1) functionality in perl
 Net::Traceroute implements a parser for various traceroute implementations.
 At present, it can parse most LBL traceroute derivatives used on typical
 unixes, and the traceroute of cisco IOS. Traceroutes known not to be
 supported include that of Microsoft Windows and HP-UX.
 .
 This module has two basic modes of operation, one, where it will run
 traceroute for you, and the other where you provide text from previously
 runing traceroute to parse.

Package: libnet-traceroute-pureperl-perl
Description-md5: 9a7c3d1777322b24b32b622d003454f3
Description-en: traceroute(1) functionality in perl via raw sockets
 Net::Traceroute::PurePerl implements traceroute(1) functionality for perl5.
 It allows you to trace the path IP packets take to a destination. It is
 implemented by using raw sockets to act just like the regular traceroute.
 .
 You must also be root to use the raw sockets.

Package: libnet-twitter-lite-perl
Description-md5: 586b461994d84b41297447257798a10a
Description-en: interface to the Twitter API
 Net::Twitter::Lite is a lightweight Perl implementation of the Twitter API.
 It uses the same definitions as Net::Twitter (see libnet-twitter-perl), but
 without the extra bells and whistles. Same great taste, less filling.
 .
 This module is related to, but is not part of, Net::Twitter. Its API methods
 and documentation are derived from Net::Twitter's internals. It exists for
 those who cannot, or prefer not to install Moose and its dependencies.
 .
 If you need additional functionality, finer-grained control over features,
 backwards compatibility with older versions and additional error handling
 options, you should using Net::Twitter instead.

Package: libnet-twitter-perl
Description-md5: 4fdf8de458eb19f8a6f3b3ca1f9ee799
Description-en: Perl interface to the Twitter social network
 Net::Twitter is a Perl module that provides an interface to the Twitter.com
 social networking site. This module allows you to set your status message
 as well as review the messages from those you follow.
 .
 This package also provides Net::Identica, an API for the Twitter-inspired
 StatusNet social networking software, <URL:http://status.net/>.
 .
 DEPRECATION NOTICE: According to the upstream author, Net::Twitter has
 been superseded by Twitter::API and will no longer be supported in the future.

Package: libnet-upnp-perl
Description-md5: 4acfc62d46217e2bdaec9e7efd23fcde
Description-en: Perl extensions for UPnP
 Net::UPnP provides support for applications that contact other
 devices via UPnP protocols.
 .
 The following modules are supported:
  - Net::UPnP::AV::Container
  - Net::UPnP::AV::Content
  - Net::UPnP::AV::Item
  - Net::UPnP::AV::MediaServer
  - Net::UPnP::ActionResponse
  - Net::UPnP::ControlPoint
  - Net::UPnP::Device
  - Net::UPnP::GW::Gateway
  - Net::UPnP::HTTP
  - Net::UPnP::HTTPResponse
  - Net::UPnP::QueryResponse
  - Net::UPnP::Service

Package: libnet-vnc-perl
Description-md5: fb56036fa0b278fd3a3a6f88d3db23c8
Description-en: Perl module implementing a simple VNC client
 Virtual Network Computing (VNC) is a desktop sharing system which uses the
 RFB (Remote FrameBuffer) protocol to remotely control another computer.
 Net::VNC acts as a VNC client and communicates to a VNC server using the RFB
 protocol, allowing you to capture the screen of the remote computer.
 .
 This module dies upon connection errors (with a timeout of 15 seconds) and
 protocol errors.
 .
 This implementation is based largely on the RFB Protocol Specification,
 http://www.realvnc.com/docs/rfbproto.pdf. That document has an error in the
 DES encryption description, which is clarified via
 http://www.vidarholen.net/contents/junk/vnc.html.

Package: libnet-whois-ip-perl
Description-md5: 6477567a6fb51617104ef1df087c4951
Description-en: Lookup whois entries of IP addresses with Perl
 Net::Whois::IP is a module to do whois lookup of IP addresses. It
 should recursively query the various whois providers until it gets
 the more detailed information including either TechPhone or
 OrgTechPhone by default; however, this is overrideable.

Package: libnet-whois-parser-perl
Description-md5: 58f1a4b156e630758dcac74706286b8c
Description-en: module for parsing whois information
 The Net::Whois::Parser module provides whois data parsing, it usees
 Net::Whois::Raw module to get whois information for domains and you can add
 your own parsers for any whois server.

Package: libnet-whois-raw-perl
Description-md5: bcc6f5572d82ebe416929ab26a043fad
Description-en: Perl Module providing an API to access whois database
 Net::Whois::Raw returns raw unparsed whois information.
 It follows references to other registrars by Network Solutions. It uses
 the <tld>.whois-servers.net notion.

Package: libnet-works-perl
Description-md5: 4d7c33ca8c299ccd03d3e4aec1c57f65
Description-en: Perl module providing improved APIs for IP addresses and networks
 The NetAddr::IP module is very complete, correct, and useful. However, its
 API design is a bit crufty. Net::Works provides an alternative API that aims
 to address the biggest problems with that module's API, as well as adding
 some additional features.
 .
 This distro contains two main modules, Net::Works::Address and
 Net::Works::Network.
 .
 NOTE: This distro's APIs are still in flux. Use at your own risk.

Package: libnet-write-perl
Description-md5: 73847ea0571c295754821b9e327a9f29
Description-en: portable interface to open and send raw data to network
 Net::Write provides a portable interface to write raw data directly to a
 network interface. It provides three methods: open, send and close. It is
 especially useful because it can send arbitrary packets on the link layer
 (layer 2), network layer (layer 3) or transport layer (layer 4).

Package: libnet-xmpp-perl
Description-md5: 5f02cb8ce817ad0de370c6a2336138aa
Description-en: XMPP Perl library
 Net::XMPP is a convenient tool to use for any perl script that would like to
 utilize the XMPP Instant Messaging protocol.  While not a client in and of
 itself, it provides all of the necessary back-end functions to make a CGI
 client or command-line perl client feasible and easy to use.

Package: libnet-z3950-simple2zoom-perl
Description-md5: b1cca8744cab72ea66f36c42ea27ea71
Description-en: gateway between Z39.50 and SRU/SRW
 The Net::Z3950::Simple2ZOOM module provides all the application logic of a
 generic "Swiss Army Gateway" between Z39.50 and SRU. It is used by the
 simple2zoom program, and there is probably no good reason to make any other
 program to use it. For that reason, this library-level documentation is more
 than usually terse.
 .
 The library has only two public entry points: the new() constructor and the
 launch_server() method. The synopsis above shows how they are used: a
 Simple2ZOOM object is created using new(), then the launch_server() method is
 invoked on it to -- get ready for a big surprise here -- launch the server.
 (In fact, this synopsis is essentially the whole of the code of the
 simple2zoom program. All the work happens inside the library.)

Package: libnet-z3950-simpleserver-perl
Description-md5: 703ef8791f8c832495e0ac84bf7c2609
Description-en: simple perl API for building Z39.50 servers
 The Net::Z3950::SimpleServer module is a tool for constructing Z39.50
 "Information Retrieval" servers in Perl. The module is easy to use, but it does
 help to have an understanding of the Z39.50 query structure and the
 construction of structured retrieval records.
 .
 Z39.50 is a network protocol for searching remote databases and
 retrieving the results in the form of structured "records". It is widely
 used in libraries around the world, as well as in the US Federal Government.
 In addition, it is generally useful whenever you wish to integrate a number
 of different database systems around a shared, asbtract data model.
 .
 The model of the module is simple: It implements a "generic" Z39.50
 server, which invokes callback functions supplied by you to search
 for content in your database. You can use any tools available in
 Perl to supply the content, including modules like DBI and
 WWW::Search.
 .
 The server will take care of managing the network connections for
 you, and it will spawn a new process (or thread, in some
 environments) whenever a new connection is received.

Package: libnet-z3950-zoom-perl
Description-md5: 96c6a6386b727d2e1fd99cf274e06106
Description-en: Perl extension implementing the ZOOM API for Information Retrieval via Z39.50
 Net::Z3950::ZOOM provides a nice, Perlish implementation of the ZOOM
 Abstract API described and documented at http://zoom.z3950.org/api/
 .
 The ZOOM module is implemented as a set of thin classes on top of the
 non-OO functions provided by this distribution's Net::Z3950::ZOOM module,
 which in turn is a thin layer on top of the ZOOM-C code supplied as part of
 Index Data's YAZ Toolkit.  Because ZOOM-C is also the underlying code that
 implements ZOOM bindings in C++, Visual Basic, Scheme, Ruby, .NET
 (including C#) and other languages, this Perl module works compatibly with
 those other implementations.  (Of course, the point of a public API such as
 ZOOM is that all implementations should be compatible anyway; but knowing
 that the same code is running is reassuring.)

Package: libnetapp-perl
Description-md5: 689aeb8749ffce3382a9d8cd62379a95
Description-en: Perl modules for managing NetApp's NAS devices
 NetApp provides a suite of modules for managing NetApp's NAS devices,
 commonly referred to as "filers".
 .
 Both SSH and telnet are supported, but only SSH is really recommended. NetApp
 doesn't support concurrent access via telnet, and the error checking using
 SSH is far more robust. Not to mention, you can configure secure access via
 SSH without using passwords, but telnet access will always require a
 password.

Package: libnetbeans-cvsclient-java
Description-md5: 5f3a2d1af78f1df4e0ab6cec7c1bf17a
Description-en: NetBeans CVS Client library
 The CVS Client library is a pure Java implementation of a CVS client protocol.
 It allows to access CVS servers without setting up an external cvs program.
 It is used in the javacvs module in NetBeans and in other projects, such as
 SmartCVS and Maven SCM.

Package: libnetcdf-c++4
Description-md5: 4fd40bef958d42e2c8ba58b9b2299df1
Description-en: legacy NetCDF C++ interface
 NetCDF (network Common Data Form) is an interface for scientific
 data access and a freely-distributed software library that provides an
 implementation of the interface.  The netCDF library also defines a
 machine-independent format for representing scientific data.
 Together, the interface, library, and format support the creation,
 access, and sharing of scientific data.
 .
 This version of the netCDF C++ library is provided for backwards compatibility
 as a separate package. It was developed before key C++ concepts like templates,
 namespaces, and exceptions were widely supported. It's not recommended for new
 projects, but it still works. This version of the C++ interface only supports
 the "classic" data model from the netCDF-3 release.
 .
 This package contains the legacy C++ shared libraries.

Package: libnetcdf-c++4-1
Description-md5: 8c7bac660c8619174f9e2bab867f280a
Description-en: C++ interface for scientific data access to large binary data
 NetCDF (network Common Data Form) is an interface for scientific
 data access and a freely-distributed software library that provides an
 implementation of the interface.  The netCDF library also defines a
 machine-independent format for representing scientific data.
 Together, the interface, library, and format support the creation,
 access, and sharing of scientific data.
 .
 This package contains the C++ shared library.

Package: libnetcdf-c++4-dev
Description-md5: 71181a739ea7845f4c7cced97dbf2120
Description-en: creation, access, and sharing of scientific data in C++
 NetCDF (network Common Data Form) is a set of interfaces for array-oriented
 data access and a freely distributed collection of data access libraries for
 C, Fortran, C++, Java, and other languages. The netCDF libraries support a
 machine-independent format for representing scientific data. Together, the
 interfaces, libraries, and format support the creation, access, and sharing of
 scientific data.
 .
 This package contains headers for the C++ library.

Package: libnetcdf-c++4-doc
Description-md5: b654a515bbc50638dd000c7b54b34744
Description-en: NetCDF C++ API documentation
 NetCDF (network Common Data Form) is a set of interfaces for array-oriented
 data access and a freely distributed collection of data access libraries for
 C, Fortran, C++, Java, and other languages. The netCDF libraries support a
 machine-independent format for representing scientific data. Together, the
 interfaces, libraries, and format support the creation, access, and sharing of
 scientific data.
 .
 This package contains documentation for the C++ library.

Package: libnetcdf-cxx-legacy-dev
Description-md5: 0112fca6d679f102d841ddefa4d9a768
Description-en: legacy NetCDF C++ interface - development files
 NetCDF (network Common Data Form) is a set of interfaces for array-oriented
 data access and a freely distributed collection of data access libraries for
 C, Fortran, C++, Java, and other languages. The netCDF libraries support a
 machine-independent format for representing scientific data. Together, the
 interfaces, libraries, and format support the creation, access, and sharing of
 scientific data.
 .
 This version of the netCDF C++ library is provided for backwards compatibility
 as a separate package. It was developed before key C++ concepts like templates,
 namespaces, and exceptions were widely supported. It's not recommended for new
 projects, but it still works. This version of the C++ interface only supports
 the "classic" data model from the netCDF-3 release.
 .
 This package contains headers for the legacy C++ library.

Package: libnetcdf-dev
Description-md5: a69d0426580d27f5d4f055305e9c725e
Description-en: creation, access, and sharing of scientific data
 NetCDF (network Common Data Form) is a set of interfaces for array-oriented
 data access and a freely distributed collection of data access libraries for
 C, Fortran, C++, Java, and other languages. The netCDF libraries support a
 machine-independent format for representing scientific data. Together, the
 interfaces, libraries, and format support the creation, access, and sharing of
 scientific data.
 .
 This package provides headers.

Package: libnetcdf-mpi-13
Description-md5: 544d5abff5d8ffd3a09189e30430026f
Description-en: Interface for scientific data access to large binary data
 NetCDF (network Common Data Form) is an interface for scientific
 data access and a freely-distributed software library that provides an
 implementation of the interface.  The netCDF library also defines a
 machine-independent format for representing scientific data.
 Together, the interface, library, and format support the creation,
 access, and sharing of scientific data.
 .
 This package contains the C run-time shared libraries required
 by programs.

Package: libnetcdf-mpi-dev
Description-md5: f5762b06d6f3c4ec071c7868de8d7e05
Description-en: creation, access, and sharing of array-oriented scientific data
 NetCDF (network Common Data Form) is a set of interfaces for array-oriented
 data access and a freely distributed collection of data access libraries for
 C, Fortran, C++, Java, and other languages. The netCDF libraries support a
 machine-independent format for representing scientific data. Together, the
 interfaces, libraries, and format support the creation, access, and sharing of
 scientific data.
 .
 This package provides headers.

Package: libnetcdf-pnetcdf-13
Description-md5: 544d5abff5d8ffd3a09189e30430026f
Description-en: Interface for scientific data access to large binary data
 NetCDF (network Common Data Form) is an interface for scientific
 data access and a freely-distributed software library that provides an
 implementation of the interface.  The netCDF library also defines a
 machine-independent format for representing scientific data.
 Together, the interface, library, and format support the creation,
 access, and sharing of scientific data.
 .
 This package contains the C run-time shared libraries required
 by programs.

Package: libnetcdf-pnetcdf-dev
Description-md5: f5762b06d6f3c4ec071c7868de8d7e05
Description-en: creation, access, and sharing of array-oriented scientific data
 NetCDF (network Common Data Form) is a set of interfaces for array-oriented
 data access and a freely distributed collection of data access libraries for
 C, Fortran, C++, Java, and other languages. The netCDF libraries support a
 machine-independent format for representing scientific data. Together, the
 interfaces, libraries, and format support the creation, access, and sharing of
 scientific data.
 .
 This package provides headers.

Package: libnetcdf15
Description-md5: 544d5abff5d8ffd3a09189e30430026f
Description-en: Interface for scientific data access to large binary data
 NetCDF (network Common Data Form) is an interface for scientific
 data access and a freely-distributed software library that provides an
 implementation of the interface.  The netCDF library also defines a
 machine-independent format for representing scientific data.
 Together, the interface, library, and format support the creation,
 access, and sharing of scientific data.
 .
 This package contains the C run-time shared libraries required
 by programs.

Package: libnetcdff-dev
Description-md5: d2445c4e99243782d72a68eddc1e9245
Description-en: creation, access, and sharing of scientific data in Fortran
 NetCDF (network Common Data Form) is a set of interfaces for array-oriented
 data access and a freely distributed collection of data access libraries for
 C, Fortran, C++, Java, and other languages. The netCDF libraries support a
 machine-independent format for representing scientific data. Together, the
 interfaces, libraries, and format support the creation, access, and sharing of
 scientific data.
 .
 This package contains headers for the Fortran library.

Package: libnetcdff-doc
Description-md5: d7b1767343c951b2b9123beb7655cc31
Description-en: NetCDF Fortran documentation
 NetCDF (network Common Data Form) is a set of interfaces for array-oriented
 data access and a freely distributed collection of data access libraries for
 C, Fortran, C++, Java, and other languages. The netCDF libraries support a
 machine-independent format for representing scientific data. Together, the
 interfaces, libraries, and format support the creation, access, and sharing of
 scientific data.
 .
 This package contains documentation for the Fortran library.

Package: libnetcdff7
Description-md5: abba460c89e69383f8a75eac4626e0e5
Description-en: Fortran interface for scientific data access to large binary data
 NetCDF (network Common Data Form) is an interface for scientific
 data access and a freely-distributed software library that provides an
 implementation of the interface.  The netCDF library also defines a
 machine-independent format for representing scientific data.
 Together, the interface, library, and format support the creation,
 access, and sharing of scientific data.
 .
 This package contains the Fortran shared library.

Package: libnetcf-dev
Description-md5: 817408fc4f8311a5d4236adf144dd58c
Description-en: development library and headers for netcf
 Netcf is a library used to modify the network configuration of a
 system. Network configurations are expressed in a platform-independent
 XML format, which netcf translates into changes to the system's
 'native' network configuration files.
 .
 This package contains all the files that are needed to build
 applications using netcf.

Package: libnetcf1
Description-md5: 5b723cfc442e868502eeda1eb9240d70
Description-en: cross-platform network configuration library (runtime library)
 Netcf is a library used to modify the network configuration of a
 system. Network configurations are expressed in a platform-independent
 XML format, which netcf translates into changes to the system's
 'native' network configuration files.
 .
 This package provides the runtime library.

Package: libnetcf1-dbg
Description-md5: aef320a9a102b9a5a1e7759fec84f35f
Description-en: cross-platform network configuration library (debug symbols)
 Netcf is a library used to modify the network configuration of a
 system. Network configurations are expressed in a platform-independent
 XML format, which netcf translates into changes to the system's
 'native' network configuration files.
 .
 This package contains the debugging symbols for libnetcf1.

Package: libnetclasses-dev
Description-md5: 0975fdf5406762df471f8edcd72eca31
Description-en: Objective-C framework for socket programming with GNUstep (devel)
 Netclasses is an easy to use interface to socket programming in Objective-C
 with GNUstep. The core netclasses supports virtually any protocol, but the
 distribution comes with everything needed for TCP/IP (opening ports,
 background connections, etc.). Netclasses seamlessly integrates into
 NSRunLoop, which means there should be no need for redesigning applications
 to use it.
 .
 This package contains the development files.

Package: libnetclasses0
Description-md5: cab12597d7e61497934c6479ca1ae1b2
Description-en: Objective-C framework for socket programming with GNUstep (libs)
 Netclasses is an easy to use interface to socket programming in Objective-C
 with GNUstep. The core netclasses supports virtually any protocol, but the
 distribution comes with everything needed for TCP/IP (opening ports,
 background connections, etc.). Netclasses seamlessly integrates into
 NSRunLoop, which means there should be no need for redesigning applications
 to use it.
 .
 This package contains the library files.

Package: libnetdot-client-rest-perl
Description-md5: fad2f6c29814467a80fbe082d3123703
Description-en: rESTful API for Netdot
 Netdot::Client::REST can be used in Perl scripts that need access to the
 Netdot application database. Communication occurs over HTTP/HTTPS, thus
 avoiding the need to open SQL access on the machine running Netdot.

Package: libnetfilter-acct-dev
Description-md5: db91488ec6cc730dcfe1cc0d3343a728
Description-en: Development files for libnetfilter-acct1
 libnetfilter_acct is a userspace library providing an interface to the
 extended netfilter accounting infrastructure.
 .
 This package provides development files and static libraries.

Package: libnetfilter-acct1
Description-md5: 2e8fdcf53053e61e9d723d6913b584b6
Description-en: Netfilter acct library
 libnetfilter_acct is a userspace library providing an interface to the
 extended netfilter accounting infrastructure.

Package: libnetfilter-cthelper0
Description-md5: 57ac6830f86b760cec16d3ca335da79e
Description-en: userspace-helper for netfilter library
 libnetfilter_cthelper is the userspace library that provides the programming
 interface to the user-space helper infrastructure available since Linux kernel
 3.6. With this library, you register, configure, enable and disable user-space
 helpers.

Package: libnetfilter-cthelper0-dbg
Description-md5: 5dd3619e6c3872b13ca20685f0349a7a
Description-en: Debugging symbols for libnetfilter-cthelper0
 libnetfilter_cthelper is the userspace library that provides the programming
 interface to the user-space helper infrastructure available since Linux kernel
 3.6. With this library, you register, configure, enable and disable user-space
 helpers.
 .
 This package provides the debugging symbols.

Package: libnetfilter-cthelper0-dev
Description-md5: 6f8029927c127fdd9422f20ce5b074e8
Description-en: Development files for libnetfilter-cthelper0
 libnetfilter_cthelper is the userspace library that provides the programming
 interface to the user-space helper infrastructure available since Linux kernel
 3.6. With this library, you register, configure, enable and disable user-space
 helpers.
 .
 This package provides development files and static libraries.

Package: libnetfilter-cttimeout-dev
Description-md5: 1839053b7537097bdb1bab124091b433
Description-en: fine-grain connection tracking timeout infrastructure for netfilter
 libnetfilter_cttimeout is the userspace library that provides the programming
 interface to the fine-grain connection tracking timeout infrastructure. With
 this library, you can create, update and delete timeout policies that can be
 attached to traffic flows. This library is used by conntrack-tools.
 .
 This package provides development files and static libraries.

Package: libnetfilter-cttimeout1
Description-md5: a68e3fde1d2b753983d68b4765be232d
Description-en: fine-grain connection tracking timeout infrastructure for netfilter
 libnetfilter_cttimeout is the userspace library that provides the programming
 interface to the fine-grain connection tracking timeout infrastructure. With
 this library, you can create, update and delete timeout policies that can be
 attached to traffic flows. This library is used by conntrack-tools.

Package: libnetfilter-cttimeout1-dbg
Description-md5: 12dcf4e5494fa8b28c422307bdf63dca
Description-en: fine-grain connection tracking timeout infrastructure for netfilter
 libnetfilter_cttimeout is the userspace library that provides the programming
 interface to the fine-grain connection tracking timeout infrastructure. With
 this library, you can create, update and delete timeout policies that can be
 attached to traffic flows. This library is used by conntrack-tools.
 .
 This package provides the debugging symbols.

Package: libnetfilter-log-dev
Description-md5: 978d91328b5a12e4b7f2c6aec2972231
Description-en: Development files for libnetfilter-log1
 libnetfilter_log is a userspace library providing interface to
 packets that have been logged by the kernel packet filter. It is part
 of a system that deprecates the old syslog/dmesg based packet
 logging.
 .
 This package provides development files and static libraries.

Package: libnetfilter-log1
Description-md5: 464129ba0fa3fefdcb0be40edef6c09d
Description-en: Netfilter netlink-log library
 libnetfilter_log is a userspace library providing interface to
 packets that have been logged by the kernel packet filter. It is part
 of a system that deprecates the old syslog/dmesg based packet
 logging.

Package: libnetfilter-log1-dbg
Description-md5: 98c16ea0313d704e106360daba1e29f5
Description-en: Debugging symbols for libnetfilter-log1
 libnetfilter_log is a userspace library providing interface to
 packets that have been logged by the kernel packet filter. It is part
 of a system that deprecates the old syslog/dmesg based packet
 logging.
 .
 This package provides the debugging symbols.

Package: libnetfilter-queue-dev
Description-md5: 482010785b18f843898bee125996e9a2
Description-en: Development files for libnetfilter-queue1
 libnetfilter_queue is a userspace library providing an API to packets
 that have been queued by the kernel packet filter. It is part of a
 system that deprecates the old ip_queue / libipq mechanism.
 .
 This package provides development files and static libraries.

Package: libnetfilter-queue1
Description-md5: c65e99ef9fffa7b2e55c1af24e2f7d64
Description-en: Netfilter netlink-queue library
 libnetfilter_queue is a userspace library providing an API to packets
 that have been queued by the kernel packet filter. It is part of a
 system that deprecates the old ip_queue / libipq mechanism.

Package: libnetfilter-queue1-dbg
Description-md5: 9f21c1d16a1e09478a1bd4f99a806bfa
Description-en: Debugging symbols for libnetfilter-queue1
 libnetfilter_queue is a userspace library providing an API to packets
 that have been queued by the kernel packet filter. It is part of a
 system that deprecates the old ip_queue / libipq mechanism.
 .
 This package provides the debugging symbols.

Package: libnethttpd-ocaml-dev
Description-md5: e5cd53edb89f11d396d36ca5724baa7c
Description-en: OCaml application-level Internet libraries - HTTP daemon development libraries
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains the development stuff you need to use the
 nethttpd library in your programs.

Package: libnetpacket-perl
Description-md5: f59c25dfa99c124983f2f9ed23e02b9b
Description-en: modules to manipulate network packets at the protocol level
 NetPacket provides a base class for a cluster of modules related to
 decoding and encoding of network protocols.  Each NetPacket
 descendent module knows how to encode and decode packets for the
 network protocol it implements.  Consult the documentation for the
 module in question for protocol-specific implementation.
 .
 Note that there is no inheritance in the NetPacket:: cluster of
 modules other than each protocol module being a NetPacket.  This
 was seen to be too restrictive as imposing inheritance relationships
 (for example between the IP, UDP and TCP protocols) would make things
 like tunneling or other unusual situations difficult.

Package: libnetpbm10
Description-md5: 7469a853b7df47680b055dd8467b3162
Description-en: Graphics conversion tools shared libraries
 This package contains the shared libraries used by netpbm.

Package: libnetpbm10-dev
Description-md5: 8624b9c657ac8380ac1cc36af98b59f5
Description-en: Graphics conversion tools development libraries and header files
 This development package contains the library and header
 files for the pbm, pgm, pnm, and ppm graphics formats.

Package: libnetpbm9
Description-md5: 7aaa46e4fc6e503581f3c9428fbff681
Description-en: Shared libraries for netpbm (v9)
 This package contains shared libraries used to be used by netpbm.
 Now we've switched to a single shared library, look out for libnetpbm10.
 This package is provided for backward compatibility.

Package: libnetpbm9-dev
Description-md5: 4112c94e072c487bad5a81343f3c6725
Description-en: Development libraries and header files (v9)
 This development package contains the library and header
 files for the pbm, pgm, pnm, and ppm graphics formats, if the split
 and now deprecated libraries are used.
 .
 This package is provided for backward compatibility.

Package: libnetsds-kannel-perl
Description-md5: 4f50ddcd2200ddfba21e2f48574d365e
Description-en: Service Delivery Suite framework - Kannel SMS gateway API
 NetSDS::Kannel module provides API to Kannel SMS gateway.
 .
 To decrease innecessary problems we use a lot of predefined parameters
 while sending and receiving messages via Kannel HTTP API. It's not so
 flexible as direct HTTP processing but less expensive in development
 time ;-)
 .
 This modules uses LWP to send messages and CGI.pm to process messages
 from Kannel.

Package: libnetsds-perl
Description-md5: de9dd9dad62b0da8a35a0c85f913ec3b
Description-en: Service Delivery Suite framework
 NetSDS is a flexible framework for rapid software development using the
 following technologies:
 .
  * Perl5 - default programming language
  * PostgreSQL - default DBMS
  * MemcacheQ - message queue manager
  * Apache - HTTP server with FastCGI support
  * Kannel - SMS and WAP gateway
  * Asterisk - VoIP / telephony applications
  * Mbuni - MMSC and MMS VAS gateway

Package: libnetsds-util-perl
Description-md5: e1945849e761f19da42af978fa172fd3
Description-en: Service Delivery Suite framework - supplementary packages
 NetSDS::Util modules contains functions useful for everyday tasks.
 .
  * NetSDS::Util::Convert - data format conversions
  * NetSDS::Util::DateTime - processing date and time
  * NetSDS::Util::File - work with files and catalogs
  * NetSDS::Util::Spreadsheet - processing spreadsheet files
  * NetSDS::Util::Misc - miscellaneous routines
  * NetSDS::Util::String - text and binary strings processing
  * NetSDS::Util::Struct - data structures conversion
  * NetSDS::Util::Translit - transliteration
  * NetSDS::Util::Types - work with data types

Package: libnetsvcs-6.4.5
Description-md5: 6519ca8cff1774a8d53c9df5b9154391
Description-en: ACE network service implementations - libraries
 ACE network services provide reusable components for common
 distributed system tasks such as logging, naming, locking, and time
 synchronization.
 .
 This package contains runtime libraries for ACE network services.

Package: libnetsvcs-dev
Description-md5: bad0d70b1dc3ef49ed21fae07acddc4a
Description-en: ACE network service implementations - development files
 ACE network services provide reusable components for common
 distributed system tasks such as logging, naming, locking, and time
 synchronization.
 .
 This package contains header files and static library for the ACE
 network services library.

Package: libnetty-java
Description-md5: 4e808a51970b88a65d2691382ec76d52
Description-en: Java NIO client/server socket framework
 Netty is a Java NIO client/server framework which enables quick and easy
 development of network applications such as protocol servers and clients.
 It greatly simplifies and streamlines network programming such as TCP and UDP
 socket server.

Package: libnetty-reactive-streams-java
Description-md5: d1ddacef426c94c6118c08ef7d6bc188
Description-en: Netty Reactive Streams
 This library provides a reactive streams implementation for Netty.
 Essentially it comes in the form of two channel handlers, one that
 publishes inbound messages received on a channel to a Publisher,
 and another that writes messages received by a Subscriber outbound.
 .
 Features include:
  * Full backpressure support, as long as the AUTO_READ channel option
    is disabled.
  * Publishers/subscribers can be dynamically added and removed from
    the pipeline.
  * Multiple publishers/subscribers can be inserted into the pipeline.
  * Customisable cancel/complete/failure handling.

Package: libnetty-tcnative-java
Description-md5: a075d548eb5e708f6244cc5a33b153e1
Description-en: Tomcat native fork for Netty
 netty-tcnative is a fork of Tomcat Native, the native interface used by Tomcat
 to leverage the Apache Portable Runtime and provide superior scalability,
 performance, and better integration with native server technologies.
 .
 netty-tcnative includes a set of changes contributed by Twitter, Inc, such as:
  * Simplified distribution and linkage of native library
  * Complete mavenization of the project
  * Improved OpenSSL support

Package: libnetty-tcnative-jni
Description-md5: 4bcbdac1ac751fad1dd4249c594224d4
Description-en: Tomcat native fork for Netty (JNI library)
 netty-tcnative is a fork of Tomcat Native, the native interface used by Tomcat
 to leverage the Apache Portable Runtime and provide superior scalability,
 performance, and better integration with native server technologies.
 .
 netty-tcnative includes a set of changes contributed by Twitter, Inc, such as:
  * Simplified distribution and linkage of native library
  * Complete mavenization of the project
  * Improved OpenSSL support
 .
 This package contains the JNI library.

Package: libnetwork-ipv4addr-perl
Description-md5: e51343381da729f3a12abaa3fd3872c5
Description-en: Perl extension for manipulating IPv4 addresses
 Net::IPv4Addr provides functions for parsing IPv4 addresses both
 in traditional address/netmask format and in the new CIDR format.
 There are also methods for calculating the network and broadcast
 address and also to see check if a given address is in a specific
 network.

Package: libnetx-java
Description-md5: 65d842b6c094ca98620389032b82eef6
Description-en: An open-source JNLP client
 Netx is a high-quality implementation of the Java Network Launching
 Protocol (JNLP). It downloads code over the network for applications
 and applets, caches it, and runs it in a secure environment.
 .
 General Features of netx and JNLP:
  * Quality: Highest quality open-source JNLP client.
  * Modular: Easily add JNLP capabilities to any applications.
  * Security: Run code in a sandbox or log its activities.
  * Small Size: Loads from a ~130K JAR file.
  * Auto-Update: No special code needed to auto-update apps.
  * Fast startup: Runs code from a cache for fast starting.
  * Saves Memory: Supports running apps in a shared JVM.
  * No Browser: Runs apps and applets without a browser.
  * Web Based: No installers needed to deploy an application

Package: libnetxx-dev
Description-md5: 43e3ab4e600774fa2c200e8a5e601c47
Description-en: C++ library for network programming (development headers)
 Netxx is a C++ network programming library. It is designed to take the
 pain out of programming network communications applications.

Package: libnetxx1v5
Description-md5: a62bde7eeaab9ce41dabdc1c5da6496a
Description-en: C++ library for network programming
 Netxx is a C++ network programming library. It is designed to take the
 pain out of programming network communications applications.

Package: libnewlib-arm-none-eabi
Description-md5: 47fc4150018135ba1d1e6d59b3f93b52
Description-en: C library and math library compiled for bare metal using Cortex A/R/M
 Newlib is a C library and math library intended for use on embedded systems.
 It is a conglomeration of several library parts, all under free software
 licenses that make them easily usable on embedded products.
 .
 This package contains the newlib library compiled for Cortex-A*,
 Cortex-R4/R5/R7 and Cortex-M0/M0+/M3/M4 targets.

Package: libnewlib-dev
Description-md5: 162c7aade6589c92a4fbbfc3569b799e
Description-en: C library and math library intended for use on embedded systems
 Newlib is a C library and math library intended for use on embedded systems.
 It is a conglomeration of several library parts, all under free software
 licenses that make them easily usable on embedded products.
 .
 This package contains the newlib headers.

Package: libnewlib-doc
Description-md5: 40948aff3525e14c614fec3d16fcd682
Description-en: C library and math library intended for use on embedded systems (doc)
 Newlib is a C library and math library intended for use on embedded systems.
 It is a conglomeration of several library parts, all under free software
 licenses that make them easily usable on embedded products.
 .
 This package contains the newlib documentation.

Package: libnewlib-nano-arm-none-eabi
Description-md5: f055cda561dc9a90a54cd29d3e2e7cf0
Description-en: Smaller embedded C library for arm development
 Newlib with all of the shrinking options enabled and stdio
 bits adapted from gcc-avr

Package: libnewmat10-dev
Description-md5: 3a88b77a81fed5a44f0d1af78913cf5b
Description-en: matrix manipulations library (C++ headers files)
 Newmat library is intended for scientists and engineers
 who need to manipulate a variety of types of matrices
 using standard matrix operations.
 Emphasis is on the kind of operations needed in statistical calculations
 such as least squares, linear equation solve and eigenvalues.
 .
 Newmat supports matrix types: Matrix (rectangular matrix);
 UpperTriangularMatrix; LowerTriangularMatrix; DiagonalMatrix;
 SymmetricMatrix; BandMatrix; UpperBandMatrix; LowerBandMatrix;
 SymmetricBandMatrix; IdentityMatrix;
 RowVector; ColumnVector.
 .
 Only one element type (float or double) is supported (default is double).
 .
 The library includes the operations *, +, -, *=, +=, -=, Kronecker product,
 Schur product, concatenation, inverse, transpose, conversion between types,
 submatrix, determinant, Cholesky decomposition, QR triangularisation,
 singular value decomposition, eigenvalues of a symmetric matrix, sorting,
 fast Fourier and trig. transforms and printing.
 .
 libnewmat-dev contains static libraries, headers, and some documentation.

Package: libnewmat10ldbl
Description-md5: 547d97745a4aaefafc82afa00e942f0d
Description-en: matrix manipulations library (C++)
 Newmat library is intended for scientists and engineers
 who need to manipulate a variety of types of matrices
 using standard matrix operations.
 Emphasis is on the kind of operations needed in statistical calculations
 such as least squares, linear equation solve and eigenvalues.
 .
 Newmat supports matrix types: Matrix (rectangular matrix);
 UpperTriangularMatrix; LowerTriangularMatrix; DiagonalMatrix;
 SymmetricMatrix; BandMatrix; UpperBandMatrix; LowerBandMatrix;
 SymmetricBandMatrix; IdentityMatrix;
 RowVector; ColumnVector.
 .
 Only one element type (float or double) is supported (default is double).
 .
 The library includes the operations *, +, -, *=, +=, -=, Kronecker product,
 Schur product, concatenation, inverse, transpose, conversion between types,
 submatrix, determinant, Cholesky decomposition, QR triangularisation,
 singular value decomposition, eigenvalues of a symmetric matrix, sorting,
 fast Fourier and trig. transforms and printing.

Package: libnews-article-nocem-perl
Description-md5: 31a0f9829a97cbc6341baf8c10b8d71a
Description-en: module to generate accurate NoCeM notices
 News::Article::NoCeM is an extension to News::Article that allows the easy and
 accurate creation of NoCeM notices for Usenet articles.
 .
 NoCeM is a mechanism to cryptographically authenticate cancel (hide) control
 messages on usenet. The NoCeM FAQ is available on the web at
 http://www.cm.org/faq.html.

Package: libnews-article-perl
Description-md5: 67d2d90e076ba81eaee6bbea2cf546a6
Description-en: Perl modules for manipulating Usenet articles
 Provides News::Article, News::FormArticle, News::AutoReply, and
 News::FormReply, which are Perl modules for manipulating Usenet articles
 and mail messages.  Supported capabilities include modifying headers,
 signing articles with either the PGPMoose or pgpverify algorithms,
 posting and mailing articles, and instantiating them from templates.  It
 was primarily written for use by gateways and moderation programs.

Package: libnews-newsrc-perl
Description-md5: 30fc04e4cc9075f721e40a883c631bfd
Description-en: Perl module to manage .newsrc files
 News::Newsrc manages newsrc files.
  Methods are provided for
  - reading and writing newsrc files
  - adding and removing newsgroups
  - changing the order of newsgroups
  - subscribing and unsubscribing from newsgroups
  - testing whether groups exist and are subscribed
  - marking and unmarking articles
  - testing whether articles are marked
  - returning lists of newsgroups
  - returning lists of articles

Package: libnews-nntpclient-perl
Description-md5: f06c7822708055bafb51878549dd38a4
Description-en: Perl module to access NNTP servers
 News::NNTPClient allows you full access to NNTP (aka news or NetNews)
 servers from within Perl applications. With it you can write applications
 that post articles to NNTP servers, download articles, etc.

Package: libnews-scan-perl
Description-md5: 1905705aa33510cf9d593d55a8a6caf2
Description-en: Perl module to report Usenet newsgroup stats
 News::Scan provides a class whose objects can be used to gather and
 report Usenet newsgroup statistics, such as number of articles, volume
 of traffic (header/body/total), original text, signatures, threads,
 posters, crossposts etc.

Package: libnewt-pic
Description-md5: 038737cb30e131e1b2b9cdbca20a39cc
Description-en: Not Erik's Windowing Toolkit, shared library subset kit
 This is used to develop subsets of the newt shared libraries for use on
 custom installation floppies and in embedded systems. Unless you're
 making one of those, you won't need this package.

Package: libnewtonsoft-json-cil-dev
Description-md5: 64030a29bba84e3060612ca09ea7ba69
Description-en: high-performance JSON framework for .NET -- development files
 Json.NET is a high-performance JSON framework for .NET. It has the following
 features:
  - Flexible JSON serializer for converting between .NET objects and JSON
  - LINQ to JSON for manually reading and writing JSON
  - High performance, faster than .NET's build-in JSON serializers
  - Write indented, easy to read JSON
  - Convert JSON to and from XML
 .
 The JSON serializer is a good choice when the JSN being read or written maps
 closely to a .NET class.
 .
 LINQ to JSON is good for situations in which there is no class to serialize or
 deserialize to, or the JSON is radically different from the class structure and
 manual manipulation is required.
 .
 This package contains the development files required to compile applications or
 libraries against this package.

Package: libnewtonsoft-json5.0-cil
Description-md5: 48d82e7d1806da68cad6e9a2bf0578b1
Description-en: high-performance JSON framework for .NET
 Json.NET is a high-performance JSON framework for .NET. It has the following
 features:
  - Flexible JSON serializer for converting between .NET objects and JSON
  - LINQ to JSON for manually reading and writing JSON
  - High performance, faster than .NET's build-in JSON serializers
  - Write indented, easy to read JSON
  - Convert JSON to and from XML
 .
 The JSON serializer is a good choice when the JSN being read or written maps
 closely to a .NET class.
 .
 LINQ to JSON is good for situations in which there is no class to serialize or
 deserialize to, or the JSON is radically different from the class structure and
 manual manipulation is required.
 .
 This package contains the Json.NET assemblies.

Package: libnexstar-dev
Description-md5: a38165974d8c97364c00e8196d60d8d3
Description-en: Celestron/SkyWatcher telescope control library development files
 This library implements the serial commands supported by the Celestron
 NexStar hand control (HC) and SkyWatcher/Orion SynScan HC. This applies
 to the Celestron: NexStar GPS, NexStar GPS-SA, NexStar iSeries, NexStar
 SE Series, NexStar GT, CPC, SLT, Advanced-VX, Advanced-GT, CGE, CGEM etc.
 SkyWatcher: EQ5, HEQ5, EQ6 (Pro), AZ-EQ5 GT, AZ-EQ6 GT, EQ8 etc. and the
 corresponding Orion mounts.
 This package contains the development files of the library

Package: libnexstar0
Description-md5: af0cdb61f18c6a8e49bec2c68e24a033
Description-en: Celestron/SkyWatcher telescope control shared library
 This library implements the serial commands supported by the Celestron
 NexStar hand control (HC) and SkyWatcher/Orion SynScan HC. This applies
 to the Celestron: NexStar GPS, NexStar GPS-SA, NexStar iSeries, NexStar
 SE Series, NexStar GT, CPC, SLT, Advanced-VX, Advanced-GT, CGE, CGEM etc.
 SkyWatcher: EQ5, HEQ5, EQ6 (Pro), AZ-EQ5 GT, AZ-EQ6 GT, EQ8 etc. and the
 corresponding Orion mounts.
 This package contains the shared library

Package: libnextcloudsync-dev
Description-md5: 82ea5fe280429dba7572f75ce99316c1
Description-en: Nextcloud folder synchronization - development files
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package contains the library development files.

Package: libnextcloudsync0
Description-md5: 1c95ec7efdb6cd473a548e7a1a6a0129
Description-en: Nextcloud folder synchronization - libraries
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides the library for nextcloud-desktop.

Package: libnexus-dev
Description-md5: 802c2eb417532e82c520d013eda72861
Description-en: NeXus scientific data file format - development libraries
 NeXus is a common data format for neutron, X-ray, and muon science. It
 is being developed as an international standard by scientists and
 programmers representing major scientific facilities in Europe, Asia,
 Australia, and North America in order to facilitate greater cooperation
 in the analysis and visualization of neutron, X-ray, and muon data.
 .
 This is the package containing the development libraries.

Package: libnexus-java
Description-md5: 48093e9012ab64c3bbbd8820acad34de
Description-en: NeXus scientific data file format - java libraries
 NeXus is a common data format for neutron, X-ray, and muon science. It
 is being developed as an international standard by scientists and
 programmers representing major scientific facilities in Europe, Asia,
 Australia, and North America in order to facilitate greater cooperation
 in the analysis and visualization of neutron, X-ray, and muon data.
 .
 This is the package containing the java libraries.

Package: libnexus-jni
Description-md5: e485382d3275cc00bc57a491df3cbb00
Description-en: NeXus scientific data file format - JNI library
 NeXus is a common data format for neutron, X-ray, and muon science. It
 is being developed as an international standard by scientists and
 programmers representing major scientific facilities in Europe, Asia,
 Australia, and North America in order to facilitate greater cooperation
 in the analysis and visualization of neutron, X-ray, and muon data.
 .
 This is the package containing the JNI libraries.

Package: libnexus1
Description-md5: 7c6785979ea1defca70f24f7764c9031
Description-en: NeXus scientific data file format - runtime libraries
 NeXus is a common data format for neutron, X-ray, and muon science. It
 is being developed as an international standard by scientists and
 programmers representing major scientific facilities in Europe, Asia,
 Australia, and North America in order to facilitate greater cooperation
 in the analysis and visualization of neutron, X-ray, and muon data.
 .
 This is the package containing the runtime libraries.

Package: libnf2ff0
Description-md5: b672e16f3295099477ac6ec70ddd5052
Description-en: Near-field to far-field transform library
 This is a library for the near-field to far-field transform used
 by openEMS.
 .
 It is needed by the nf2ff utility.
 .
 openEMS is a simulator for electromagnetic problems using
 the FDTD algorithm.

Package: libnfc-bin
Description-md5: 82a758def65da0f218511a6ffe2b1277
Description-en: Near Field Communication (NFC) binaries
 libnfc is a library for Near Field Communication. It abstracts the
 low-level details of communicating with the devices away behind an
 easy-to-use high-level API.
 It supports most hardware based on the NXP PN531, PN532 or PN533
 controller chips.
 .
 This package contains some utils that come along with libnfc, for
 development or debugging purposes (including nfc-list, nfc-mfclassic,
 nfc-mfultralight, etc).

Package: libnfc-dev
Description-md5: 037ec8e5c2df8a7fd00e1b1adb9c76f2
Description-en: Near Field Communication (NFC) library (development files)
 libnfc is a library for Near Field Communication. It abstracts the
 low-level details of communicating with the devices away behind an
 easy-to-use high-level API.
 It supports most hardware based on the NXP PN531, PN532 or PN533
 controller chips.
 .
 This package contains the header and development files needed to build
 programs and packages using libnfc.

Package: libnfc-examples
Description-md5: 6181d6940d8ce3e02ccce167918f4bfa
Description-en: Near Field Communication (NFC) examples
 libnfc is a library for Near Field Communication. It abstracts the
 low-level details of communicating with the devices away behind an
 easy-to-use high-level API.
 It supports most hardware based on the NXP PN531, PN532 or PN533
 controller chips.
 .
 Some examples are provided with libnfc for debugging and/or
 educational purposes (nfc-anticol, nfc-emulate, etc.).

Package: libnfc-pn53x-examples
Description-md5: ba90f0239b746ed2563a448e0a685a4f
Description-en: Near Field Communication (NFC) examples for PN53x chips only
 libnfc is a library for Near Field Communication. It abstracts the
 low-level details of communicating with the devices away behind an
 easy-to-use high-level API.
 It supports most hardware based on the NXP PN531, PN532 or PN533
 controller chips.
 .
 Some PN53x-only examples are provided with libnfc for debugging
 and/or educational purposes (pn53x-sam, pn53x-tamashell, etc.).

Package: libnfc5
Description-md5: ba9b969f618f147c461db7f7275cbdda
Description-en: Near Field Communication (NFC) library
 libnfc is a library for Near Field Communication. It abstracts the
 low-level details of communicating with the devices away behind an
 easy-to-use high-level API.
 It supports most hardware based on the NXP PN531, PN532 or PN533
 controller chips.
 .
 This package contains the runtime library files needed to run software
 using libnfc.

Package: libnfc5-dbg
Description-md5: 8ce16a5b5b3371b72be76b62a12afb96
Description-en: Near Field Communication (NFC) library (debugging information)
 libnfc is a library for Near Field Communication. It abstracts the
 low-level details of communicating with the devices away behind an
 easy-to-use high-level API.
 It supports most hardware based on the NXP PN531, PN532 or PN533
 controller chips.
 .
 This package contains the debugging information.

Package: libnfft3-2
Description-md5: acb7c6525c6f17f959d182b501e37b44
Description-en: library for computing non-uniform Fourier transforms
 NFFT3 is a software library written in C for computing nonequispaced fast
 Fourier and related transformations. In detail, NFFT3 implements:
 .
 1) The nonequispaced fast Fourier transform (NFFT)
    - the forward transform (NFFT)
    - the adjoint transform (adjoint NFFT)
 .
 2) Generalisations of the NFFT
    - to arbitrary knots in time and frequency domain (NNFFT)
    - to the sphere S^2 (NFSFT)
    - to the hyperbolic cross (NSFFT)
    - to real-valued data, i.e. (co)sine transforms, (NFCT, NFST)
    - to the rotation group (NFSOFT)
 .
 3) Generalised inverses based on iterative methods, e.g. CGNR, CGNE
 .
 4) Applications in
    - medical imaging
         (i) magnetic resonance imaging
        (ii) computerised tomography
    - summation schemes
          (i) fast Gauss transform (FGT)
         (ii) singular kernels
        (iii) zonal kernels
    - polar FFT, discrete Radon transform, ridgelet transform
 .
 This package is a transitional package providing the NFFT library in all
 available precisions.

Package: libnfft3-dev
Description-md5: 2c214ca25f74da98e394a17b2725873f
Description-en: development files for the NFFT library
 NFFT3 is a software library written in C for computing nonequispaced fast
 Fourier and related transformations. In detail, NFFT3 implements:
 .
 1) The nonequispaced fast Fourier transform (NFFT)
    - the forward transform (NFFT)
    - the adjoint transform (adjoint NFFT)
 .
 2) Generalisations of the NFFT
    - to arbitrary knots in time and frequency domain (NNFFT)
    - to the sphere S^2 (NFSFT)
    - to the hyperbolic cross (NSFFT)
    - to real-valued data, i.e. (co)sine transforms, (NFCT, NFST)
    - to the rotation group (NFSOFT)
 .
 3) Generalised inverses based on iterative methods, e.g. CGNR, CGNE
 .
 4) Applications in
    - medical imaging
         (i) magnetic resonance imaging
        (ii) computerised tomography
    - summation schemes
          (i) fast Gauss transform (FGT)
         (ii) singular kernels
        (iii) zonal kernels
    - polar FFT, discrete Radon transform, ridgelet transform
 .
 This package provides the development files for the NFFT library.

Package: libnfft3-doc
Description-md5: 098fe255e6af917dac5f7460429780c7
Description-en: documentation for the NFFT library
 NFFT3 is a software library written in C for computing nonequispaced fast
 Fourier and related transformations. In detail, NFFT3 implements:
 .
 1) The nonequispaced fast Fourier transform (NFFT)
    - the forward transform (NFFT)
    - the adjoint transform (adjoint NFFT)
 .
 2) Generalisations of the NFFT
    - to arbitrary knots in time and frequency domain (NNFFT)
    - to the sphere S^2 (NFSFT)
    - to the hyperbolic cross (NSFFT)
    - to real-valued data, i.e. (co)sine transforms, (NFCT, NFST)
    - to the rotation group (NFSOFT)
 .
 3) Generalised inverses based on iterative methods, e.g. CGNR, CGNE
 .
 4) Applications in
    - medical imaging
         (i) magnetic resonance imaging
        (ii) computerised tomography
    - summation schemes
          (i) fast Gauss transform (FGT)
         (ii) singular kernels
        (iii) zonal kernels
    - polar FFT, discrete Radon transform, ridgelet transform
 .
 This package provides the documentation for the NFFT library.

Package: libnfft3-double2
Description-md5: 35c178f659aa1b3ded374a764ee4c564
Description-en: library for computing non-uniform Fourier transforms (double precision)
 NFFT3 is a software library written in C for computing nonequispaced fast
 Fourier and related transformations. In detail, NFFT3 implements:
 .
 1) The nonequispaced fast Fourier transform (NFFT)
    - the forward transform (NFFT)
    - the adjoint transform (adjoint NFFT)
 .
 2) Generalisations of the NFFT
    - to arbitrary knots in time and frequency domain (NNFFT)
    - to the sphere S^2 (NFSFT)
    - to the hyperbolic cross (NSFFT)
    - to real-valued data, i.e. (co)sine transforms, (NFCT, NFST)
    - to the rotation group (NFSOFT)
 .
 3) Generalised inverses based on iterative methods, e.g. CGNR, CGNE
 .
 4) Applications in
    - medical imaging
         (i) magnetic resonance imaging
        (ii) computerised tomography
    - summation schemes
          (i) fast Gauss transform (FGT)
         (ii) singular kernels
        (iii) zonal kernels
    - polar FFT, discrete Radon transform, ridgelet transform
 .
 This package provides the shared library compiled with double precision.

Package: libnfft3-long2
Description-md5: b2d738ebf4251c0987cae916d9d999f4
Description-en: library for computing non-uniform Fourier transforms (long-double precision)
 NFFT3 is a software library written in C for computing nonequispaced fast
 Fourier and related transformations. In detail, NFFT3 implements:
 .
 1) The nonequispaced fast Fourier transform (NFFT)
    - the forward transform (NFFT)
    - the adjoint transform (adjoint NFFT)
 .
 2) Generalisations of the NFFT
    - to arbitrary knots in time and frequency domain (NNFFT)
    - to the sphere S^2 (NFSFT)
    - to the hyperbolic cross (NSFFT)
    - to real-valued data, i.e. (co)sine transforms, (NFCT, NFST)
    - to the rotation group (NFSOFT)
 .
 3) Generalised inverses based on iterative methods, e.g. CGNR, CGNE
 .
 4) Applications in
    - medical imaging
         (i) magnetic resonance imaging
        (ii) computerised tomography
    - summation schemes
          (i) fast Gauss transform (FGT)
         (ii) singular kernels
        (iii) zonal kernels
    - polar FFT, discrete Radon transform, ridgelet transform
 .
 This package provides the shared library compiled with long-double precision.

Package: libnfft3-single2
Description-md5: 939d59133ec1f4136ab7e208b7c8b335
Description-en: library for computing non-uniform Fourier transforms (single precision)
 NFFT3 is a software library written in C for computing nonequispaced fast
 Fourier and related transformations. In detail, NFFT3 implements:
 .
 1) The nonequispaced fast Fourier transform (NFFT)
    - the forward transform (NFFT)
    - the adjoint transform (adjoint NFFT)
 .
 2) Generalisations of the NFFT
    - to arbitrary knots in time and frequency domain (NNFFT)
    - to the sphere S^2 (NFSFT)
    - to the hyperbolic cross (NSFFT)
    - to real-valued data, i.e. (co)sine transforms, (NFCT, NFST)
    - to the rotation group (NFSOFT)
 .
 3) Generalised inverses based on iterative methods, e.g. CGNR, CGNE
 .
 4) Applications in
    - medical imaging
         (i) magnetic resonance imaging
        (ii) computerised tomography
    - summation schemes
          (i) fast Gauss transform (FGT)
         (ii) singular kernels
        (iii) zonal kernels
    - polar FFT, discrete Radon transform, ridgelet transform
 .
 This package provides the shared library compiled with single precision.

Package: libnfo-dev
Description-md5: 66cbf0778ea1db02be7e22e528808174
Description-en: an NFO file parser/writer library -- development files
 libNFO is a small library to parse and write NFO files. NFO files are used
 to store metadata information on many multimedia files.
 .
 This package contains headers and libraries for developing software
 that makes use of libNFO.

Package: libnfo-doc
Description-md5: ab0e1b65e53893910e3f3cb1248379c8
Description-en: developer documentation for libnfo
 libNFO is a small library to parse and write NFO files. NFO files are used
 to store metadata information on many multimedia files.
 .
 This package contains developer documentation for libnfo.

Package: libnfo1
Description-md5: ab94cf5be840f761eef6ef12aad5c3c4
Description-en: an NFO file parser/writer library
 libNFO is a small library to parse and write NFO files. NFO files are used
 to store metadata information on many multimedia files.
 .
 The NFO format is used, among others, by Enna and XBMC Media Center. See
 http://xbmc.org/wiki/?title=Import_-_Export_Library
 for a format definition.

Package: libnfo1-bin
Description-md5: f4917ee8326aae4216338f5f771375c7
Description-en: an utility to read NFO files which uses libnfo
 libNFO is a small library to parse and write NFO files. NFO files are used
 to store metadata information on many multimedia files.
 .
 This package contains libnfo-reader, an utility to read NFO files which
 uses libnfo.

Package: libnfo1-dbg
Description-md5: 0c10f60d8bf0f8e670b1f2463b55c64f
Description-en: debugging symbols for libnfo1
 libNFO is a small library to parse and write NFO files. NFO files are used
 to store metadata information on many multimedia files.
 .
 This package contains the debugging symbols for libnfo1.

Package: libnfs-utils
Description-md5: 9f0720d9da6558a657cc9c7e38e09522
Description-en: NFS client library (binaries)
 LIBNFS is a client library for accessing NFS shares over a network.
 .
 LIBNFS offers three different APIs, for different use :
 1, RAW : A fully async low level rpc library for nfs protocols
 2, NFS ASYNC : A fully asynchronous library for high level vfs functions
 3, NFS SYNC : A synchronous library for high level vfs functions
 .
 This package provides command line utilities.

Package: libnfsidmap-regex
Description-md5: 487b610044585394f8e737e3d27d8ebe
Description-en: Plugin to map regex NFSv4 names to and from ids.
 The regex plugin parses NFSv4 user and groups names using regex to extract the
 local user or group. NFSv4 names are created by adding constant strings before
 and after the local user and group names.

Package: libnftables-dev
Description-md5: ac9e13fe39146b723a765f1a5a6e0312
Description-en: Development files for libnftables
 This library provides high level semantics to interact with the nftables
 framework by Netfilter project.
 .
 nftables replaces the old popular iptables, ip6tables, arptables and ebtables.
 .
 Netfilter software and nftables in particular are used in applications such
 as Internet connection sharing, firewalls, IP accounting, transparent
 proxying, advanced routing and traffic control.
 .
 A Linux kernel >= 3.13 is required. However, >= 4.14 is recommended.
 .
 This package provides development files and static libraries.

Package: libnftables1
Description-md5: a0142ceed355e6d73013884cbcfb0491
Description-en: Netfilter nftables high level userspace API library
 This library provides high level semantics to interact with the nftables
 framework by Netfilter project.
 .
 nftables replaces the old popular iptables, ip6tables, arptables and ebtables.
 .
 Netfilter software and nftables in particular are used in applications such
 as Internet connection sharing, firewalls, IP accounting, transparent
 proxying, advanced routing and traffic control.
 .
 A Linux kernel >= 3.13 is required. However, >= 4.14 is recommended.
 .
 This package contains the libnftables library.

Package: libnginx-mod-http-auth-pam
Description-md5: f5d57dbf0c65acd242b1a0560e3e3bfd
Description-en: PAM authentication module for Nginx
 The nginx_http_auth_pam module enables authentication using PAM.
 .
 The module uses PAM as a backend for simple http authentication. It
 also allows setting the pam service name to allow more fine grained control.

Package: libnginx-mod-http-cache-purge
Description-md5: 398ab43d3187cbe8c5005bbdf7b75662
Description-en: Purge content from Nginx caches
 Cache Purge module adds purging capabilities to Nginx. It allows purging
 content from caches used by all of Nginx proxy modules, like FastCGI, Proxy,
 SCGI and uWSGI.

Package: libnginx-mod-http-dav-ext
Description-md5: 2690910ae7bd132adb0173b9b23604dc
Description-en: WebDAV missing commands support for Nginx
 WebDAV Ext module complements the Nginx WebDAV module to provide a full
 WebDAV support.
 .
 WebDAV Ext provides the missing PROPFIND & OPTIONS methods.

Package: libnginx-mod-http-echo
Description-md5: abf85fee1f5ab07e15ef30313071a5b7
Description-en: Bring echo and more shell style goodies to Nginx
 Echo module wraps lots of Nginx internal APIs for streaming input and output,
 parallel/sequential subrequests, timers and sleeping, as well as various meta
 data accessing.
 .
 Basically it provides various utilities that help testing and debugging of
 other modules by trivially emulating different kinds of faked subrequest
 locations.
 .
 People will also find it useful in real-world applications that need to:
 .
 1. Serve static contents directly from memory.
 2. Wrap the upstream response with custom header and footer (kinda like the
    addition module but with contents read directly from the config file and
    Nginx variables).
 3. Merge contents of various "Nginx locations" (i.e., subrequests) together in
    a single main request (using echo_location and its friends).

Package: libnginx-mod-http-fancyindex
Description-md5: 6258d67cce31732f8e890750dae6ebf2
Description-en: Fancy indexes module for the Nginx
 The Fancy Index module makes possible the generation of file listings, like
 the built-in autoindex module does, but adding a touch of style by introducing
 ways to customize the result.

Package: libnginx-mod-http-geoip
Description-md5: b9336eed4064843a4bc5981a2d63799f
Description-en: GeoIP HTTP module for Nginx
 The ngx_http_geoip module creates variables with values depending on the
 client IP address, using the precompiled MaxMind databases.
 .
 Those variables include country, region, city, latitude, longitude, postal
 code, etc.

Package: libnginx-mod-http-geoip2
Description-md5: 4ef56d09bfbe37567f3130be2d9fe48c
Description-en: GeoIP HTTP module for Nginx
 The ngx_http_geoip2 module is a third-party plugin which creates variables
 with values from the maxmind geoip2 databases based on the client IP
 (default) or from a specific variable (supports both IPv4 and IPv6).

Package: libnginx-mod-http-headers-more-filter
Description-md5: 92b32d38fc0fbbbb77340cc1cd063a62
Description-en: Set and clear input and output headers for Nginx
 The Headers More module allows you to add, set, or clear any output or input
 header that you specify.
 .
 This is an enhanced version of the standard headers module because it provides
 more utilities like resetting or clearing "builtin headers" like Content-Type,
 Content-Length, and Server.

Package: libnginx-mod-http-lua
Description-md5: 3809630833b46400f90da24b2a051f5c
Description-en: Lua module for Nginx
 Embed Lua runtime into nginx.
 .
 This module embeds Lua, via the standard Lua 5.1 interpreter or LuaJIT
 2.0/2.1, into Nginx and by leveraging Nginx's subrequests, allows the
 integration of the powerful Lua threads (Lua coroutines) into the Nginx event
 model.

Package: libnginx-mod-http-ndk
Description-md5: bb02698c2bb350fa8b6c2dddcabc6895
Description-en: Nginx Development Kit module
 The NDK is an Nginx module that is designed to extend the core functionality of
 the excellent Nginx webserver in a way that can be used as a basis of other
 Nginx modules.
 .
 It has functions and macros to deal with generic tasks that don't currently
 have generic code as part of the core distribution. The NDK itself adds few
 features that are seen from a user's point of view - it's just designed to help
 reduce the code that Nginx module developers need to write.

Package: libnginx-mod-http-perl
Description-md5: e53a1df1937247757c6e3b6896311998
Description-en: Perl module for Nginx
 Embed Perl runtime into nginx.
 .
 The ngx_http_perl module is used to implement location and variable handlers
 in Perl and insert Perl calls into SSI.
 .
 Note that this module is marked experimental.

Package: libnginx-mod-http-subs-filter
Description-md5: d31b147dc61028d8b021b2300c575b74
Description-en: Substitution filter module for Nginx
 Subsitution Nginx module can do both regular expression and fixed string
 substitutions on response bodies. The module is quite different from Nginx's
 native Substitution module. It scans the output chains buffer and
 matches string line by line, just like Apache's mod_substitute.

Package: libnginx-mod-http-uploadprogress
Description-md5: 488a4ddc35482d27784766b871e61d3a
Description-en: Upload progress system for Nginx
 Upload progress module is an implementation of an upload progress system, that
 monitors RFC1867 POST uploads as they are transmitted to upstream servers.
 .
 It works by tracking the uploads proxied by Nginx to upstream servers without
 analysing the uploaded content and offers a web API to report upload progress
 in Javscript, Json or any other format.

Package: libnginx-mod-http-upstream-fair
Description-md5: e1780a80134775b6bb0a8cbe12e76218
Description-en: Nginx Upstream Fair Proxy Load Balancer
 The Nginx fair proxy balancer enhances the standard round-robin load balancer
 provided with Nginx so that it tracks busy backend servers and adjusts
 balancing accordingly.

Package: libnginx-mod-nchan
Description-md5: d6ec98083d8ecb11ff9c5cd125dedf07
Description-en: Fast, flexible pub/sub server for Nginx
 Nchan is a scalable, flexible pub/sub server for the modern web, It can be
 configured as a standalone server, or as a shim between your application and
 tens, thousands, or millions of live subscribers. It can buffer messages in
 memory, on-disk, or via Redis. All connections are handled asynchronously and
 distributed among any number of worker processes. It can also scale to many
 nginx server instances with Redis.
 .
 Full documentation available at https://nchan.slact.net

Package: libnginx-mod-rtmp
Description-md5: 21c88e68945f219c2a8efff37ad9e624
Description-en: RTMP support for Nginx
 The nginx RTMP module is a fully-featured streaming solution implemented in
 nginx.
 .
 It provides the following features:
  - Live streaming with RTMP, HLS and MPEG-DASH;
  - RTMP Video on Demand from local or HTTP sources;
  - Stream relay support via a push or pull model;
  - Integrated stream recording;
  - and more.

Package: libnglib-6.2.1804
Description-md5: 749dde83fc8c0f63e018605be247d718
Description-en: Automatic 3d tetrahedral mesh generator shared library
 NETGEN is an automatic 3d tetrahedral mesh generator. It accepts
 input from constructive solid geometry (CSG) or boundary
 representation (BRep) from STL file format. The connection to a
 geometry kernel allows the handling of IGES and STEP files. NETGEN
 contains modules for mesh optimization and hierarchical mesh
 refinement.
 .
 This package contains the shared libraries.

Package: libnglib-dev
Description-md5: 688d6219dad630c08a816fa98ae2a6fb
Description-en: Automatic 3d tetrahedral mesh generator development files
 NETGEN is an automatic 3d tetrahedral mesh generator. It accepts
 input from constructive solid geometry (CSG) or boundary
 representation (BRep) from STL file format. The connection to a
 geometry kernel allows the handling of IGES and STEP files. NETGEN
 contains modules for mesh optimization and hierarchical mesh
 refinement.
 .
 This package contains the static libraries and header files.

Package: libngram-dev
Description-md5: e9b83162a2490ce57a49794bbdcc3730
Description-en: OpenGRM n-gram Language Modeling toolkit - development files
 The OpenGrm NGram library is used for making and modifying n-gram
 language models encoded as weighted finite-state transducers
 (FSTs). The library includes operations for counting, smoothing,
 pruning, applying, and evaluating n-gram language models.
 .
 This package provides development headers for OpenGRM n-gram.

Package: libngram-tools
Description-md5: 329dc71ce27667e366fec20ea4256e4a
Description-en: OpenGRM n-gram Language Modeling toolkit
 The OpenGrm NGram library is used for making and modifying n-gram
 language models encoded as weighted finite-state transducers
 (FSTs). The library includes operations for counting, smoothing,
 pruning, applying, and evaluating n-gram language models.
 .
 This package provides the command line tools.

Package: libngram2
Description-md5: d0e71adf037fce00008e20bb89f33fc2
Description-en: OpenGRM n-gram Language Modeling toolkit - runtime library
 The OpenGrm NGram library is used for making and modifying n-gram
 language models encoded as weighted finite-state transducers
 (FSTs). The library includes operations for counting, smoothing,
 pruning, applying, and evaluating n-gram language models.
 .
 This package contains the OpenGRM n-gram shared library.

Package: libngraph0
Description-md5: 61e52388cc8318848e6b08329e19d3f6
Description-en: library to create scientific 2-dimensional graphs
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers.
 .
 This package contains library of ngraph-gtk.

Package: libngraph0-dev
Description-md5: bad10b93b9a7153d9e75b505c663fc54
Description-en: Header files for compiling plugins for Ngraph-gtk
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.
 .
 This package contains header files.

Package: libngs-java
Description-md5: 7e9c9b034df5ad8390e688ab3dbb4b4b
Description-en: Next Generation Sequencing language Bindings (Java bindings)
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 The API is currently expressed in C++, Java and Python languages. The
 design makes it possible to maintain a high degree of similarity between
 the code in one language and code in another - especially between C++
 and Java.
 .
 Java bindings.

Package: libngs-sdk-dev
Description-md5: df4bee1541709a414b7357872efa1edf
Description-en: Next Generation Sequencing language Bindings (development)
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 The API is currently expressed in C++, Java and Python languages. The
 design makes it possible to maintain a high degree of similarity between
 the code in one language and code in another - especially between C++
 and Java.
 .
 This is the development package.

Package: libngs-sdk2
Description-md5: 489c16bfddcda1e5a9b045a9876ac205
Description-en: Next Generation Sequencing language Bindings
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 The API is currently expressed in C++, Java and Python languages. The
 design makes it possible to maintain a high degree of similarity between
 the code in one language and code in another - especially between C++
 and Java.

Package: libngspice0
Description-md5: 075c138229f5ac43e53d652ccde81945
Description-en: Spice circuit simulator - library
 NGspice is a mixed-level/mixed-signal circuit simulator. Its code is based on
 three open source software packages:
 Spice3f5, Cider1b1 and Xspice.
 .
 This package contains the NGSpice library.

Package: libngspice0-dev
Description-md5: 75786b317abf58ba43ae82d303a55730
Description-en: Spice circuit simulator - development files for libngspice
 NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on
 three open source software packages:
 Spice3f5, Cider1b1 and Xspice.
 .
 This package contains the development files for NGSpice.

Package: libnhgri-blastall-perl
Description-md5: 47de68dece66ed01a06991abd4a65cbb
Description-en: Perl extension for running and parsing NCBI's BLAST 2.x
 NHGRI::Blastall will enable usage of BLAST out of a Perl script, if BLAST2
 or WU-BLAST are installed locally. Main features are:
  * run BLAST (also via network, which requires blastcl3)
  * BLAST single sequences against each other or against a given library
  * format databases
  * mask out repetitive DNA
  * read, parse and filter existing BLAST reports

Package: libnice-dev
Description-md5: f6f55296bfc2891942fa1c06d3772058
Description-en: ICE library (development files)
 Nice is an implementation of the IETF's draft Interactive Connectivity
 Establishment standard (ICE).  ICE is useful for applications that want to
 establish peer-to-peer UDP data streams. It automates the process of
 traversing NATs and provides security against some attacks.
 .
 Existing standards that use ICE include the Session Initiation Protocol (SIP)
 and the Jingle XMPP extension for audio/video calls.
 .
 Nice includes integration with GStreamer.
 .
 This package provides development files for nice.

Package: libnice-doc
Description-md5: 106ee7b86a0699c970a20a22b5e58c75
Description-en: ICE library (documentation)
 Nice is an implementation of the IETF's draft Interactive Connectivity
 Establishment standard (ICE).  ICE is useful for applications that want to
 establish peer-to-peer UDP data streams. It automates the process of
 traversing NATs and provides security against some attacks.
 .
 Existing standards that use ICE include the Session Initiation Protocol (SIP)
 and the Jingle XMPP extension for audio/video calls.
 .
 Nice includes integration with GStreamer.
 .
 This package contains documentation for libnice.

Package: libnice10
Description-md5: 607bd90945ab95ad3b967004acbc27c6
Description-en: ICE library (shared library)
 Nice is an implementation of the IETF's draft Interactive Connectivity
 Establishment standard (ICE).  ICE is useful for applications that want to
 establish peer-to-peer UDP data streams. It automates the process of
 traversing NATs and provides security against some attacks.
 .
 Existing standards that use ICE include the Session Initiation Protocol (SIP)
 and the Jingle XMPP extension for audio/video calls.
 .
 Nice includes integration with GStreamer.
 .
 This package provides the nice library.

Package: libnids-dev
Description-md5: 3327917b5c1b90867914281272dc4bf3
Description-en: IP defragmentation TCP segment reassembly library (development)
 Libnids is a library that provides the functionality of one of the NIDS
 (Network Intrusion Detection System) components, namely E-component.
 Libnids code watches all local network traffic, cooks received datagrams a
 bit, and provides convenient information about them to the NIDS analyzing
 modules.
 Libnids performs assembly of TCP segments into TCP streams, IP
 defragmentation, and TCP port scan detection.
 .
 This is the development package.

Package: libnids1.21
Description-md5: 9d3ed834284c35a8cc819e07ef022acc
Description-en: IP defragmentation TCP segment reassembly library
 Libnids is a library that provides the functionality of one of the NIDS
 (Network Intrusion Detection System) components, namely E-component.
 Libnids code watches all local network traffic, cooks received datagrams a
 bit, and provides convenient information about them to the NIDS analyzing
 modules.
 Libnids performs assembly of TCP segments into TCP streams, IP
 defragmentation, and TCP port scan detection.

Package: libnifti-dev
Description-md5: 7f428083f20bcff1a6a3063aca61786b
Description-en: IO libraries for the NIfTI-1 data format
 Niftilib is a set of i/o libraries for reading and writing files in the
 NIfTI-1 data format. NIfTI-1 is a binary file format for storing medical
 image data, e.g. magnetic resonance image (MRI) and functional MRI (fMRI)
 brain images.
 .
 This package provides the header files and static libraries of libniftiio,
 znzlib and libnifticdf.

Package: libnifti-doc
Description-md5: 99231d2938c80d5fae677e20b580fbb1
Description-en: NIfTI library API documentation
 Niftilib is a set of i/o libraries for reading and writing files in the
 NIfTI-1 data format. NIfTI-1 is a binary file format for storing medical
 image data, e.g. magnetic resonance image (MRI) and functional MRI (fMRI)
 brain images.
 .
 This package provides the library API reference documentation.

Package: libnifti2
Description-md5: 3b7beb0acbd52dd2c5a1dca2907048ff
Description-en: IO libraries for the NIfTI-1 data format
 Niftilib is a set of i/o libraries for reading and writing files in the
 NIfTI-1 data format. NIfTI-1 is a binary file format for storing medical
 image data, e.g. magnetic resonance image (MRI) and functional MRI (fMRI)
 brain images.
 .
 This package contains the shared library of the low-level IO library niftiio,
 low-level IO library znzlib and the nifticdf shared library that provides
 functions to compute cumulative distributions and their inverses.

Package: libnini-cil-dev
Description-md5: 5ee940628157e6342a7492ca7997c503
Description-en: CLI library for managing configuration files
 Nini is an uncommonly powerful .NET configuration library designed to help
 build highly configurable applications quickly.
 .
 This package contains development files for the Nini library, and should be
 used for compilation

Package: libnini-doc
Description-md5: 3f6dfcb81b159a05981bf02e22b19c12
Description-en: CLI library for managing configuration files (Documentation)
 Nini is an uncommonly powerful .NET configuration library designed to help
 build highly configurable applications quickly.
 .
 This package contains the documentation for Nini

Package: libnini1.1-cil
Description-md5: 1a838236b89f25548708b26f2dcaa6be
Description-en: CLI library for managing configuration files
 Nini is an uncommonly powerful .NET configuration library designed to help
 build highly configurable applications quickly.
 .
 This package contains the Nini library

Package: libnis-dev
Description-md5: 3c23763970620cade5ce05ba371a55cd
Description-en: Library for NIS applications - development files
 libnis fators out some common functionality for applications that form
 part of a NIS system.

Package: libnis1
Description-md5: 6d530af2e088460c9eb006846b037e16
Description-en: Library for Network Information Service (NIS) applications
 libnis fators out some common functionality for applications that form
 part of a NIS system.

Package: libnist-sip-aosp-java
Description-md5: 140a611b14a396a907ed13e02f0cd527
Description-en: Parser and Stack API
 Contains a protocol stack/library that helps to build and test SIP
 applications and servers.

Package: libnitrokey-common
Description-md5: 976d4c1ad1b7a4abd51a724ff36ae397
Description-en: architecture independent files for libnitrokey
 library to communicate with Nitrokey Pro and Storage devices in a clean and
 easy manner. Written in C++14, testable with py.test and Catch frameworks,
 with C API, Python access.
 .
 Architecture independent files

Package: libnitrokey-dev
Description-md5: 8f409009fa51d2277eb8cafe824ba36b
Description-en: library to communicate with Nitrokey stick devices (development)
 library to communicate with Nitrokey Pro and Storage devices in a clean and
 easy manner. Written in C++14, testable with py.test and Catch frameworks,
 with C API, Python access.
 .
 This package contains development headers needed when developing
 C++ applications that use libnitrokey

Package: libnitrokey3
Description-md5: d084336ac37663a7da31ecaa4bb80b9a
Description-en: library to communicate with Nitrokey stick devices
 library to communicate with Nitrokey Pro and Storage devices in a clean and
 easy manner. Written in C++14, testable with py.test and Catch frameworks,
 with C API, Python access.

Package: libnjb-dev
Description-md5: d9af44b62fe01921a674fec98a2c4342
Description-en: Creative Labs Nomad Jukebox library development files
 libnjb is a C library and API for communicating with the Creative
 NOMAD JukeBox and Dell DJ digital audio. The protocol these devices
 use is presumably called PDE (Portable Digital Entertainment protocol)
 at Creative. Newer devices using the Microsoft MTP (Media Transfer
 Protocol) are not supported.
 .
 This package contains the headers and development libraries.

Package: libnjb-doc
Description-md5: b158c44d727855a801674f36f1f9cf07
Description-en: Creative Labs Nomad Jukebox library documentation
 libnjb is a C library and API for communicating with the Creative
 NOMAD JukeBox and Dell DJ digital audio. The protocol these devices
 use is presumably called PDE (Portable Digital Entertainment protocol)
 at Creative. Newer devices using the Microsoft MTP (Media Transfer
 Protocol) are not supported.
 .
 This package contains the development documentation.

Package: libnjb-tools
Description-md5: 04151b7e2e3ff206b39bf4b37906811d
Description-en: Creative Labs Nomad Jukebox library tools
 libnjb is a C library and API for communicating with the Creative
 NOMAD JukeBox and Dell DJ digital audio. The protocol these devices
 use is presumably called PDE (Portable Digital Entertainment protocol)
 at Creative. Newer devices using the Microsoft MTP (Media Transfer
 Protocol) are not supported.
 .
 This package contains the library tools each performing a simple
 function, such as transferring a track to the jukebox. The source
 code for these tools is in the package libnjb-doc.

Package: libnjb5
Description-md5: 865edd41a29231bc3cd9f385ae6e95a8
Description-en: Creative Labs Nomad Jukebox library
 libnjb is a C library and API for communicating with the Creative
 NOMAD JukeBox and Dell DJ digital audio. The protocol these devices
 use is presumably called PDE (Portable Digital Entertainment protocol)
 at Creative. Newer devices using the Microsoft MTP (Media Transfer
 Protocol) are not supported.
 .
 This package contains the shared library.

Package: libnkf-perl
Description-md5: 71f779ea25617dfda560775b4a8c0628
Description-en: Network Kanji code conversion Filter for Perl
 libnkf-perl will let you use the nkf (Network Kanji code conversion
 filter) as NKF.pm from Perl programs.

Package: libnl-utils
Description-md5: 537dfb1fadad9495ce89a4ea80d0d36d
Description-en: Utilities for dealing with netlink sockets
 This is a library for applications dealing with netlink sockets.
 The library provides an interface for raw netlink messaging and various
 netlink family specific interfaces.
 .
 These utilities help dealing with netlink sockets.

Package: libnlopt-cxx-dev
Description-md5: b1b887d825ff0023fdf1105993f65f6b
Description-en: nonlinear optimization library -- development package for C++
 NLopt is a free/open-source library for nonlinear optimization, providing
 a common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms. Its features include:
 .
    * Callable from C, C++, Fortran, GNU Octave, Python, GNU Guile, GNU R.
    * A common interface for many different algorithms
    * Support for large-scale optimization.
    * Both global and local optimization algorithms.
    * Algorithms using function values only (derivative-free) and also
      algorithms exploiting user-supplied gradients.
    * Algorithms for unconstrained optimization, bound-constrained optimization,
      and general nonlinear inequality/equality constraints.
 .
 This package contains the header files, static libraries and symbolic
 links that developers using NLopt library with C++ will need.

Package: libnlopt-cxx0
Description-md5: 1c8baff5ca358ab1ef0b0a9e4b935910
Description-en: nonlinear optimization library (C++ interface)
 NLopt is a free/open-source library for nonlinear optimization, providing
 a common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms. Its features include:
 .
    * Callable from C, C++, Fortran, GNU Octave, Python, GNU Guile, GNU R.
    * A common interface for many different algorithms
    * Support for large-scale optimization.
    * Both global and local optimization algorithms.
    * Algorithms using function values only (derivative-free) and also
      algorithms exploiting user-supplied gradients.
    * Algorithms for unconstrained optimization, bound-constrained optimization,
      and general nonlinear inequality/equality constraints.
 .
 This package provides the shared libraries required to run programs
 compiled with NLopt in C++. To compile your own programs you also need to
 install libnlopt-cxx-dev.

Package: libnlopt-dev
Description-md5: 19940ab4487c5c8f41f227897338354b
Description-en: nonlinear optimization library -- development package
 NLopt is a free/open-source library for nonlinear optimization, providing
 a common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms. Its features include:
 .
    * Callable from C, C++, Fortran, GNU Octave, Python, GNU Guile, GNU R.
    * A common interface for many different algorithms
    * Support for large-scale optimization.
    * Both global and local optimization algorithms.
    * Algorithms using function values only (derivative-free) and also
      algorithms exploiting user-supplied gradients.
    * Algorithms for unconstrained optimization, bound-constrained optimization,
      and general nonlinear inequality/equality constraints.
 .
 This package contains the header files, static libraries and symbolic
 links that developers using NLopt library will need.

Package: libnlopt-guile0
Description-md5: 1be1695ef54bdfcd59e3d1424c19042b
Description-en: nonlinear optimization library -- Guile bindings
 NLopt is a free/open-source library for nonlinear optimization, providing
 a common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms. Its features include:
 .
    * Callable from C, C++, Fortran, GNU Octave, Python, GNU Guile, GNU R.
    * A common interface for many different algorithms
    * Support for large-scale optimization.
    * Both global and local optimization algorithms.
    * Algorithms using function values only (derivative-free) and also
      algorithms exploiting user-supplied gradients.
    * Algorithms for unconstrained optimization, bound-constrained optimization,
      and general nonlinear inequality/equality constraints.
 .
 This package contains the bindings for GNU Guile.

Package: libnlopt0
Description-md5: c6a94e889582821770bbdc8e25b14047
Description-en: nonlinear optimization library
 NLopt is a free/open-source library for nonlinear optimization, providing
 a common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms. Its features include:
 .
    * Callable from C, C++, Fortran, GNU Octave, Python, GNU Guile, GNU R.
    * A common interface for many different algorithms
    * Support for large-scale optimization.
    * Both global and local optimization algorithms.
    * Algorithms using function values only (derivative-free) and also
      algorithms exploiting user-supplied gradients.
    * Algorithms for unconstrained optimization, bound-constrained optimization,
      and general nonlinear inequality/equality constraints.
 .
 This package provides the shared libraries required to run programs
 compiled with NLopt. To compile your own programs you also need to
 install libnlopt-dev.

Package: libnmap-parser-perl
Description-md5: 2261a9dd5003f34203206f0c271373ac
Description-en: module to parse nmap scan results with perl
 Nmap::Parser is implemented by parsing the XML scan data that is
 generated by nmap. This will enable anyone who utilizes nmap to
 quickly create fast and robust security scripts that utilize the
 powerful port scanning abilities of nmap.

Package: libnmz7
Description-md5: 8fc96fc8fe7f207ca75d956d528be706
Description-en: full text search engine - shared library
 Namazu is a full text search engine which is usable via CGI. It features
 a simple and easy setup, and is written in C and Perl. Namazu uses the
 text utilities nkf, kakasi or chasen.
 .
 The package contains shared library. It is for CGI, command line tool,
 and/or another utilities.

Package: libnmz7-dev
Description-md5: 785c068f5d0a992221c7b6ae2f56a8bb
Description-en: full text search engine - header files and static libraries
 Namazu is a full text search engine which is usable via CGI. It features
 a simple and easy setup, and is written in C and Perl. Namazu uses the
 text utilities nkf, kakasi or chasen.
 .
 The package contains libnmz header files and static libraries. It is for
 Namazu search client developments.

Package: libnng-dev
Description-md5: 127155107dc43cb344a8849b7b2344a8
Description-en: Lightweight Messaging Library - development
 Lightweight, broker-less library, offering a simple API to solve
 common recurring messaging problems, such as publish/subscribe,
 RPC-style request/reply, or service discovery. The API frees the
 programmer from worrying about details like connection management,
 retries, and other common considerations, so that they can focus on
 the application instead of the plumbing.
 .
 This package contains the header files needed for developing programs
 using libwebsockets and a static library.

Package: libnng1
Description-md5: f2e865e7a6e1d42209aa49e7e0aecb36
Description-en: Lightweight Messaging Library - shared library
 Lightweight, broker-less library, offering a simple API to solve
 common recurring messaging problems, such as publish/subscribe,
 RPC-style request/reply, or service discovery. The API frees the
 programmer from worrying about details like connection management,
 retries, and other common considerations, so that they can focus on
 the application instead of the plumbing.
 .
 This package contains the shared library.

Package: libnode-dev
Description-md5: 4218e18f84de02eed93701205dfddb33
Description-en: evented I/O for V8 javascript (development files)
 Node.js is a platform built on Chrome's JavaScript runtime for easily
 building fast, scalable network applications. Node.js uses an
 event-driven, non-blocking I/O model that makes it lightweight and
 efficient, perfect for data-intensive real-time applications that run
 across distributed devices.
 .
 This package provides development headers for libnode__ABI.

Package: libnode64
Description-md5: aa09cb3413d563f4b3b5a9d6a7723845
Description-en: evented I/O for V8 javascript - runtime library
 Node.js is a platform built on Chrome's JavaScript runtime for easily
 building fast, scalable network applications. Node.js uses an
 event-driven, non-blocking I/O model that makes it lightweight and
 efficient, perfect for data-intensive real-time applications that run
 across distributed devices.
 .
 Node.js is bundled with several useful libraries to handle server
 tasks:
 .
 System, Events, Standard I/O, Modules, Timers, Child Processes, POSIX,
 HTTP, Multipart Parsing, TCP, DNS, Assert, Path, URL, Query Strings.
 .
 This package provides the dynamic library for Node.js.

Package: libnodelet-dev
Description-md5: 01e9be3fa27b0380ab5b5e6a92a55c48
Description-en: Robot OS nodelet library - service header files
 This package is part of Robot OS (ROS). Nodelet is designed to
 provide a way to run multiple algorithms in the same process with
 zero copy transport between algorithms. The nodelet base class needed
 for implementing a nodelet, and the NodeletLoader class used for
 instantiating nodelets are provided.
 .
 This package contains the development files for the service.

Package: libnodelet-topic-tools-dev
Description-md5: df4338cb9f7b7c45e0eba663fb94f865
Description-en: Robot OS nodelet_topic_tools library - development files
 This package is part of Robot OS (ROS). Nodelet is designed to
 provide a way to run multiple algorithms in the same process with
 zero copy transport between algorithms.
 .
 This package contains the development files for common nodelet tools
 such as a mux, demux and throttle.

Package: libnodeletlib-dev
Description-md5: 08ab9dff71e26a346a0b89891fbafd7d
Description-en: Robot OS nodelet library - development
 This package is part of Robot OS (ROS). Nodelet is designed to
 provide a way to run multiple algorithms in the same process with
 zero copy transport between algorithms. The nodelet base class needed
 for implementing a nodelet, and the NodeletLoader class used for
 instantiating nodelets are provided.
 .
 This package contains the development files for the library.

Package: libnodeletlib-tools
Description-md5: 6af4f66d097696cab7e068728c2037cc
Description-en: Robot OS nodelet library
 This package is part of Robot OS (ROS). Nodelet is designed to
 provide a way to run multiple algorithms in the same process with
 zero copy transport between algorithms. The nodelet base class needed
 for implementing a nodelet, and the NodeletLoader class used for
 instantiating nodelets are provided.
 .
 This package contains the tools.

Package: libnodeletlib1d
Description-md5: 25f6721defab9297d01b0d6bf7e1cddf
Description-en: Robot OS nodelet library
 This package is part of Robot OS (ROS). Nodelet is designed to
 provide a way to run multiple algorithms in the same process with
 zero copy transport between algorithms. The nodelet base class needed
 for implementing a nodelet, and the NodeletLoader class used for
 instantiating nodelets are provided.
 .
 This package contains the library.

Package: libnoggit-java
Description-md5: 08de88469cc4c03f76f9f6641625232d
Description-en: Fast streaming JSON parser for Java
 Noggit is the world's fastest streaming JSON parser for Java.
 Features:
  * Fast! Measured as the fastest JSON parser on char[], String input.
  * Streaming API (StAX/pull-parser like) for both easy and efficient parsing
  * Conforms to the JSON standard: http://www.ietf.org/rfc/rfc4627.txt
  * Memory efficiency
     * incremental parsing (Reader-based) in order to handle huge messages
     * a single byte of state needed per nested object or array
     * does not read large objects (including primitives) completely
       into memory unless asked
     * can eliminate most copying, allowing the user to provide
       the output buffer for values
  * can handle primitives of any size (does not attempt to parse
    numerics into a certain language primitives unless asked)
  * Simple serialization of objects (List, Map, etc)
  * Optional creation of objects (List, Map, etc) when parsing.

Package: libnoise-dev
Description-md5: 828666310d2f8c620404f88966bb65c0
Description-en: Portable, coherent noise-generating library for C++
 libnoise is a portable C++ library that is used to generate coherent noise,
 a type of smoothly-changing noise. libnoise can generate Perlin noise,
 ridged multifractal noise, and other types of coherent-noise. Coherent noise
 is often used by graphics programmers to generate natural-looking textures,
 planetary terrain, and other things.
 .
 This package contains the headers and static libraries needed to build
 programs using libnoise.

Package: libnoise0
Description-md5: 6e79f045f3eef814f5a00d448a179c07
Description-en: Portable, coherent noise-generating library for C++
 libnoise is a portable C++ library that is used to generate coherent noise,
 a type of smoothly-changing noise. libnoise can generate Perlin noise,
 ridged multifractal noise, and other types of coherent-noise. Coherent noise
 is often used by graphics programmers to generate natural-looking textures,
 planetary terrain, and other things.

Package: libnordlicht-dev
Description-md5: e6e08bbfff830373f9c382a0d60985d5
Description-en: create colorful video barcodes -- development files
 nordlicht converts video files into colorful barcodes. It's heavily inspired
 by the "moviebarcode" tumblr. It takes the video's frames in regular
 intervals, scales them to 1px width, and appends them. The resulting barcodes
 can be integrated into video players for simplified navigation.
 .
 This package contains the development files which are needed if you want to
 include nordlicht functionality into your own applications.

Package: libnordlicht0
Description-md5: f66bbaa2a5b07f2203d2e653a1b4758a
Description-en: create colorful video barcodes -- shared library
 nordlicht converts video files into colorful barcodes. It's heavily inspired
 by the "moviebarcode" tumblr. It takes the video's frames in regular
 intervals, scales them to 1px width, and appends them. The resulting barcodes
 can be integrated into video players for simplified navigation.
 .
 This package contains the library which encapsulates the video barcode
 functionality.

Package: libnorm-dev
Description-md5: 731eedfa7e94a2532e7d777936fec0b9
Description-en: NACK-Oriented Reliable Multicast (NORM) library
 The NORM protocol is designed to provide end-to-end reliable transport of
 bulk data objects or streams over generic IP multicast routing and forwarding
 services.
 libnorm provides a shared library and an API to use such protocol.
 .
 This package provides the development files for norm.

Package: libnorm-doc
Description-md5: 252a6668f597fc64c6978552abc0449a
Description-en: NACK-Oriented Reliable Multicast (NORM) library
 The NORM protocol is designed to provide end-to-end reliable transport of
 bulk data objects or streams over generic IP multicast routing and forwarding
 services.
 libnorm provides a shared library and an API to use such protocol.
 .
 This package provides the documentation and examples for norm.

Package: libnorm1
Description-md5: e52904c25bf347aa95f47e0afcfa9ea0
Description-en: NACK-Oriented Reliable Multicast (NORM) library
 The NORM protocol is designed to provide end-to-end reliable transport of
 bulk data objects or streams over generic IP multicast routing and forwarding
 services.
 libnorm provides a shared library and an API to use such protocol.

Package: libnormaliz-dev
Description-md5: 7f408f5c78c66b35f2838bf81080c55c
Description-en: math computing tools for affine monoids, rational polytopes and cones - libdev
 Normaliz is a mathematical tool for computations in affine monoids, vector
 configurations, lattice polytopes, and rational cones.
 .
 This package contains static libraries and symbolic links that developers
 using the Normaliz library will need.

Package: libnormaliz-dev-common
Description-md5: 0383c909fa6cf4484cbb37018ab60c1e
Description-en: math computing tools for affine monoids, rational polytopes and cones - headers
 Normaliz is a mathematical tool for computations in affine monoids, vector
 configurations, lattice polytopes, and rational cones.
 .
 This package contains the header files that developers using the Normaliz
 library will need.

Package: libnormaliz3
Description-md5: 3bfb348a011a8b2266dd66d58a40ae35
Description-en: math computing tools for affine monoids, rational polytopes and cones - libs
 Normaliz is a mathematical tool for computations in affine monoids, vector
 configurations, lattice polytopes, and rational cones.
 .
 This package provides the shared libraries required to run programs compiled
 against the Normaliz library. To compile your own programs you also need to
 install the libnormaliz-dev package.

Package: libnotificationmanager1
Description-md5: 976e3cf80412858da3c96580490e2e86
Description-en: Plasma Workspace for KF5 library
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 Taskmanager library.
 .
 This package contains library files for notifications.

Package: libnotify-cil-dev
Description-md5: 050afcec51228c49876da8e87bb705b3
Description-en: CLI library for desktop notifications
 A library that sends desktop notifications to a notification daemon, as
 defined in the Desktop Notifications spec. These notifications can be
 used to inform the user about an event or display some form of
 information without getting in the user's way.
 .
 This package contains development files for the notify-sharp library,
 and should be used for compilation

Package: libnotify0.4-cil
Description-md5: ba5a345e89ff182b0e7b1870a1584899
Description-en: CLI library for desktop notifications
 A library that sends desktop notifications to a notification daemon, as
 defined in the Desktop Notifications spec. These notifications can be
 used to inform the user about an event or display some form of
 information without getting in the user's way.
 .
 This package contains the notify-sharp library itself

Package: libnotify3.0-cil
Description-md5: d67c64c67df5c2aad24f7487f1ac1ebe
Description-en: CLI library for desktop notifications
 A library that sends desktop notifications to a notification daemon, as
 defined in the Desktop Notifications spec. These notifications can be
 used to inform the user about an event or display some form of
 information without getting in the user's way.
 .
 This package contains the notify-sharp library itself, built against Gtk# 3.0.

Package: libnotify3.0-cil-dev
Description-md5: d0ef3818a24a94df0144addb0a2278bb
Description-en: CLI library for desktop notifications
 A library that sends desktop notifications to a notification daemon, as
 defined in the Desktop Notifications spec. These notifications can be
 used to inform the user about an event or display some form of
 information without getting in the user's way.
 .
 This package contains development files for the notify-sharp library built
 against Gtk# 3.0, and should be used for compilation.

Package: libnotmuch-dev
Description-md5: a1e17324d765b889ff548548272b51f9
Description-en: thread-based email index, search and tagging (development)
 Notmuch is a system for indexing, searching, reading, and tagging
 large collections of email messages in maildir or mh format. It uses
 the Xapian library to provide fast, full-text search with a very
 convenient search syntax.
 .
 This package provides the necessary development libraries and header
 files to allow you to develop new software using libnotmuch.

Package: libnotmuch5
Description-md5: 5d8978b625dc63ed37692e47885061fb
Description-en: thread-based email index, search and tagging (runtime)
 Notmuch is a system for indexing, searching, reading, and tagging
 large collections of email messages in maildir or mh format. It uses
 the Xapian library to provide fast, full-text search with a very
 convenient search syntax.
 .
 This package contains the runtime library, necessary to run
 applications using libnotmuch.

Package: libnova-0.16-0
Description-md5: 6fd9bae1f008c4e52f9bcdbf8dd3b90d
Description-en: celestial mechanics, astrometry and astrodynamics library
 libnova is a general purpose, double precision, Celestial Mechanics,
 Astrometry and Astrodynamics library.

Package: libnova-dev
Description-md5: 126c47badf2d98da18365f95cc27ad82
Description-en: development files for libnova astronomical library
 libnova is a general purpose, double precision, Celestial Mechanics,
 Astrometry and Astrodynamics library.
 .
 This package contains the development files.

Package: libnova-dev-bin
Description-md5: 95ebada6476550b632ff4735a950fc83
Description-en: development config tool for libnova astronomical library
 libnova is a general purpose, double precision, Celestial Mechanics,
 Astrometry and Astrodynamics library.
 .
 This package contains the libnovaconfig tool.

Package: libnpgsql-cil-dev
Description-md5: 3a7b450b9894faf29a978fb58c0595e9
Description-en: PostgreSQL connection library for Mono - devel package
 Npgsql is the .NET data provider for PostgreSQL. It allows any
 program developed for .NET framework to access a PostgreSQL
 database server. It is implemented in 100% C# code. PostgreSQL
 versions since 9.0 are officially supported, others may work.
 .
 This package contains development files for Npgsql and will always
 pull in the current default version - and should be used for compilation.

Package: libnpgsql2.2-cil
Description-md5: 6a1367eaab2b5b846289a96244a37a56
Description-en: PostgreSQL connection library for Mono
 Npgsql is the .NET data provider for PostgreSQL. It allows any
 program developed for .NET framework to access a PostgreSQL
 database server. It is implemented in 100% C# code. PostgreSQL
 versions since 9.0 are officially supported, others may work.

Package: libnproc-ocaml-dev
Description-md5: d7c1d7c761b371acc9516ecb27ee85b6
Description-en: process pool implementation for OCaml
 Nproc is a process pool implementation for OCaml. A process pool is a
 fixed set of processes that perform arbitrary computations for a
 master process, in parallel and without blocking the master. Master
 and workers communicate by message-passing. Nproc relies on fork,
 pipes, Marshal and Lwt.
 .
 This package contains the development modules you need to use Nproc
 in your programs.

Package: libnpth-mingw-w64-dev
Description-md5: de875eb23e65d545a4c54474166192be
Description-en: replacement for GNU Pth using system threads (Windows dev)
 nPth is a non-preemptive threads implementation using an API very
 similar to the one known from GNU Pth. It has been designed as a
 replacement of GNU Pth for non-ancient operating systems. In
 contrast to GNU Pth it is based on the system's standard threads
 implementation. Thus nPth allows the use of libraries which are not
 compatible to GNU Pth.
 .
 This is a Windows version of nPth.  It's meant to be used when
 cross-building software that targets the Windows platform, e.g. the
 win32-loader component of Debian-Installer.

Package: libnrepl-clojure
Description-md5: 4110dbfedb2b89cc9ceed5fd550eb6ff
Description-en: Clojure network REPL
 nrepl provides a REPL server and client, along with some common APIs of
 use to IDEs and other tools that may need to evaluate Clojure code in remote
 environments.
 .
 This project is intended to be a replacement for tools.nrepl, which is no
 longer maintained.

Package: libnss-cache
Description-md5: 6fb15bb8f527d499dc98b3fa39fb6e66
Description-en: NSS module for using nsscache-generated files
 This package provides a Name Service Switch module that uses .cache
 files as a name service. This means providing user account
 information, group ids, netgroups, and automounts.

Package: libnss-docker
Description-md5: bacbf9721e027c8c0aed0e92689357ba
Description-en: nss module for finding Docker containers
 This package contains a plugin for the Name Service Switch, providing host
 name resolution for Docker containers.

Package: libnss-gw-name
Description-md5: a0b2013fceb986fc066cd86d7b81296c
Description-en: nss module that names the current gateway’s IP address
 This Name Service Switch (NSS) module resolves the name “gateway.localhost” to
 the IP of the current default gateways of the system. This allows easy access
 to router configuration and to check if connectivity problems are local or
 not.

Package: libnss-ldap
Description-md5: 56ed54cdb5c4e9e7589f38a95f594518
Description-en: NSS module for using LDAP as a naming service
 This package provides a Name Service Switch that allows your LDAP server
 act as a name service. This means providing user account information,
 group id's, host information, aliases, netgroups, and basically anything
 else that you would normally get from /etc flat files or NIS.
 .
 If used with glibc 2.1's nscd (Name Service Cache Daemon) it will help
 reduce your network traffic and speed up lookups for entries.

Package: libnss-ldapd
Description-md5: e7b7a2438b22672c09b4a1cf9def958e
Description-en: NSS module for using LDAP as a naming service
 This package provides a Name Service Switch module that allows using an LDAP
 server to provide user account, group, host name, alias, netgroup, and
 basically any other information that would normally be retrieved from /etc
 flat files or NIS.

Package: libnss-libvirt
Description-md5: 600b29d53c1dad3be832c6eca2a12669
Description-en: nss plugins providing IP address resolution for virtual machines
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains two nss plugins for IP address resolution of libvirt
 managed virtual machines: the 'libvirt_guest' plugin uses libvirt's domain
 names while the 'libvirt' plugin looks at the guest's hostname.

Package: libnss-pgsql2
Description-md5: 2be1d717de98e4794c5ffabd2a116752
Description-en: NSS module for using PostgreSQL as a naming service
 This module works as a replacement for the flat file databases /etc/passwd,
 /etc/group etc. It is a plugin to glibc's name service switch.
 .
 The module uses tables in a PostgreSQL database to store user, group, and
 shadow information. The module can be configured to use custom queries to
 retrieve it's information from a database. The module allows one to separate
 the configuration for shadow information from group and user information to
 restrict access to shadow information to privileged users (i.e. root or the
 shadow group).

Package: libnss-resolve
Description-md5: fa2bc74d218dfae9ad286df8f31fa19f
Description-en: nss module to resolve names via systemd-resolved
 nss-resolve is a plugin for the GNU Name Service Switch (NSS) functionality
 of the GNU C Library (glibc) providing DNS and LLMNR resolution to programs via
 the systemd-resolved daemon (provided in the systemd package).
 .
 Installing this package automatically adds resolve to /etc/nsswitch.conf.

Package: libnss-securepass
Description-md5: 7519af5e2839ba55c8c9687496231afb
Description-en: NSS (Name Service Switch) module for Securepass
 This is a NSS module for users defined on SecurePass.
 SecurePass provides web single sign-on through the CAS protocol.

Package: libnss-unknown
Description-md5: c31181ce67cbb4c615e3b94eba6bcba9
Description-en: nss module to handle unknown uids
 nss-unknown is a small library to gracefully handle unknown uids
 .
 This library is very useful in host <=> guest container build
 environments, where shifting UIDs/GIDs result in a mismatch in
 between

Package: libnss-wrapper
Description-md5: b40c80337d043205218777eaf49b1e6f
Description-en: NSS wrapper library
 There are projects which provide daemons needing to be able to create, modify
 and delete unix users. Or just switch user ids to interact with the system,
 for example a user space file server. To be able to test that you need the
 privilege to modify the passwd and groups file. With nss_wrapper it is
 possible to define your own passwd and groups file which will be used by
 software to act correctly while under test.
 .
 If you have a client and server under test they normally use functions to
 resolve network names to addresses (DNS) or vice versa. The nss_wrappers allow
 you to create a hosts file to setup name resolution for the addresses you use
 with socket_wrapper.

Package: libnss3-tools
Description-md5: 33cc2c7795e9ef6579f85eabb1dbee18
Description-en: Network Security Service tools
 This is a set of tools on top of the Network Security Service libraries.
 This package includes:
  * certutil: manages certificate and key databases (cert7.db and key3.db)
  * modutil: manages the database of PKCS11 modules (secmod.db)
  * pk12util: imports/exports keys and certificates between the cert/key
    databases and files in PKCS12 format.
  * shlibsign: creates .chk files for use in FIPS mode.
  * signtool: creates digitally-signed jar archives containing files and/or
    code.
  * ssltap: proxy requests for an SSL server and display the contents of
    the messages exchanged between the client and server.

Package: libnsync-cpp1
Description-md5: 516ba7a60666b4842b0e1c655e74abe8
Description-en: C library that exports various synchronization primitives (C++ lib)
 nsync is a C library that exports various synchronization primitives:
 .
  * locks
  * condition variables
  * run-once initialization
  * waitable counter (useful for barriers)
  * waitable bit (useful for cancellation, or other conditions)
 .
 This package ships C++ shared object.

Package: libnsync-dev
Description-md5: ef846b154cb4c8608d22a37671e0a3db
Description-en: C library that exports various synchronization primitives (dev)
 nsync is a C library that exports various synchronization primitives:
 .
  * locks
  * condition variables
  * run-once initialization
  * waitable counter (useful for barriers)
  * waitable bit (useful for cancellation, or other conditions)
 .
 This package ships header files.

Package: libnsync1
Description-md5: 5ca9abf8b4be059f692364e470a75608
Description-en: C library that exports various synchronization primitives (C lib)
 nsync is a C library that exports various synchronization primitives:
 .
  * locks
  * condition variables
  * run-once initialization
  * waitable counter (useful for barriers)
  * waitable bit (useful for cancellation, or other conditions)
 .
 This package ships C shared object.

Package: libntl-dev
Description-md5: c44da408ceecbf12d4a613a7e3f3c5f7
Description-en: Number Theory Library, development files
 NTL is a high-performance, portable C++ library providing
 data structures and algorithms for manipulating signed,
 arbitrary length integers, and for vectors, matrices,
 and polynomials over the integers and over finite fields.
 .
 This package contains development files for NTL.

Package: libntl43
Description-md5: 383c92c19d092a80953746295da6b389
Description-en: Number Theory Library, shared library
 NTL is a high-performance, portable C++ library providing
 data structures and algorithms for manipulating signed,
 arbitrary length integers, and for vectors, matrices,
 and polynomials over the integers and over finite fields.
 .
 This package contains the NTL shared library.

Package: libntlm0
Description-md5: 5ca436a27af5b826b05597158eb164e9
Description-en: NTLM authentication library
 NTLM is an authentication protocol used in various Microsoft network
 protocol implementations and supported by the NTLM Security Support
 Provider ("NTLMSSP"). Originally used for authentication and negotiation
 of secure DCE/RPC, NTLM is also used throughout Microsoft's systems as
 an integrated single sign-on mechanism.
 .
 NTLM employs a challenge-response mechanism for authentication, in  which
 clients are able to prove their identities without sending a  password to
 the server. It consists of three messages, commonly referred  to as Type 1
 (negotiation), Type 2 (challenge) and Type 3 (authentication).

Package: libntlm0-dev
Description-md5: 098568fa96bce198e4e7278e947c8085
Description-en: Development files for the NTLM authentication library
 Libntlm is a library that implements Microsoft's NTLM authentication.
 This package contains all the files necessary for developing programs
 with NTLM support.

Package: libntru-0.5
Description-md5: 2a2ee6c4e3419d3d7ae4591db6a61f0d
Description-en: C implementation of the public-key encryption scheme NTRUEncrypt
 This library is an implementation of the NTRU encryption scheme in C. The
 NTRUEncrypt public key cryptosystem, also known as the NTRU encryption
 algorithm, is a lattice-based alternative to RSA and ECC and is based on the
 shortest vector problem in a lattice (which is not known to be breakable
 using quantum computers).

Package: libntru-0.5-dev
Description-md5: 0375a6426e0627d1e7fe9cd55a4da7d1
Description-en: C implementation of the public-key encryption scheme NTRUEncrypt
 This library is an implementation of the NTRU encryption scheme in C. The
 NTRUEncrypt public key cryptosystem, also known as the NTRU encryption
 algorithm, is a lattice-based alternative to RSA and ECC and is based on the
 shortest vector problem in a lattice (which is not known to be breakable
 using quantum computers).
 .
 This package contains the development headers.

Package: libnuget-core-cil
Description-md5: 8d43916b2e9fff8108776a51357fb5a2
Description-en: Package manager for NuGet repos - library
 NuGet is the package manager for the Microsoft
 development platform including .NET. The NuGet client
 tools provide the ability to produce and consume
 packages. The NuGet Gallery is the central package
 repository used by all package authors and consumers.
 .
 This package contains the NuGet.Core library

Package: libnuget-core-cil-dev
Description-md5: d01fcd670656796eb1f63c86df63f979
Description-en: Package manager for NuGet repos - development
 NuGet is the package manager for the Microsoft
 development platform including .NET. The NuGet client
 tools provide the ability to produce and consume
 packages. The NuGet Gallery is the central package
 repository used by all package authors and consumers.
 .
 This package contains development files for the
 NuGet.Core library.

Package: libnum-ocaml
Description-md5: c7645f0ae07e788a4922176c3460f5c1
Description-en: library for arbitrary-precision and rational arithmetic (runtime)
 This OCaml library implements arbitrary-precision arithmetic on big
 integers and on rationals.
 .
 This is a legacy library. It used to be part of the core OCaml
 distribution (in otherlibs/num) but is now distributed separately. New
 applications that need arbitrary-precision arithmetic should use the
 Zarith library (https://github.com/ocaml/Zarith) instead of the Num
 library, and older applications that already use Num are encouraged to
 switch to Zarith. Zarith delivers much better performance than Num and
 has a nicer API.
 .
 This package contains runtime files.

Package: libnum-ocaml-dev
Description-md5: 8762f0ab01f1b1ec32e62aa775f7fd59
Description-en: library for arbitrary-precision and rational arithmetic (development)
 This OCaml library implements arbitrary-precision arithmetic on big
 integers and on rationals.
 .
 This is a legacy library. It used to be part of the core OCaml
 distribution (in otherlibs/num) but is now distributed separately. New
 applications that need arbitrary-precision arithmetic should use the
 Zarith library (https://github.com/ocaml/Zarith) instead of the Num
 library, and older applications that already use Num are encouraged to
 switch to Zarith. Zarith delivers much better performance than Num and
 has a nicer API.
 .
 This package contains development files.

Package: libnumber-bytes-human-perl
Description-md5: 49a330a6ecdbe4b8caf1428cf18ab4c6
Description-en: module to convert byte count to human readable format and back
 Number::Bytes::Human provides a formatter which turns byte counts to
 usual readable format, like '2.0K' or '3.1GiB', as well as a parser to
 go the opposite way. It was inspired by the -h option of Unix utilities
 like du and df for "human-readable" output.

Package: libnumber-format-perl
Description-md5: f8d366b823c8fede442f059ae4a6588d
Description-en: Perl module to format numbers for display
 Number::Format is a Perl module that formats numbers according to standard
 conventions, such as adding thousands separators and decimal points
 appropriate to the current locale. It can also convert strings into numbers,
 format currency values, and convert numbers to KB, MB, and GB values.

Package: libnumber-fraction-perl
Description-md5: b0795824fa8de69c797da47d881e7eff
Description-en: Perl extension to model fractions
 Number::Fraction is a Perl module
 which allows you to work with fractions in your Perl programs.

Package: libnumber-phone-perl
Description-md5: 4deae243a2c58457f1fb4eeb88921590
Description-en: base class for parsing and dealing with phone numbers
 This Perl module and its sub-classes provides support for parsing
 and dealing with phone numbers, e.g. Number::Phone::Country looks up
 the country based on a telephone number.

Package: libnumber-recordlocator-perl
Description-md5: e1870a4e7db75729ea89837602123094
Description-en: Perl module that encodes integers into a short "locator string"
 Number::RecordLocator encodes integers into a 32 character "alphabet"
 designed to be short and easy to read and pronounce. The encoding maps:
 .
     0 to O
     1 to I
     S to F
     B to P
 .
 With a 32 bit encoding, you can map 33.5 million unique ids into a 5
 character code.

Package: libnumber-tolerant-perl
Description-md5: b0e469a7423c50528159f456f4d1b431
Description-en: module defining tolerance ranges for inexact numbers
 Number::Tolerant creates a number-like object whose value refers to a range
 of possible values, each equally acceptable. It overloads comparison
 operations to reflect this.

Package: libnumbertext-1.0-0
Description-md5: 55c946971de3c67f249d3001ddb1e26e
Description-en: Number to number name and money text conversion library
 libnumbertext provides easy to configure, lightweight open source C++, Java,
 JavaScript, Python libraries and a LibreOffice Spreadsheet extension for
 number to number name conversion, including cardinal and ordinal numbers,
 ordinal indicators and money amounts with currencies in more than 30 languages
 and numeral systems.

Package: libnumbertext-data
Description-md5: 4a3c6c068a6e286614bd70df53f6d2eb
Description-en: Number to number name and money text conversion library -- data files
 libnumbertext provides easy to configure, lightweight open source C++, Java,
 JavaScript, Python libraries and a LibreOffice Spreadsheet extension for
 number to number name conversion, including cardinal and ordinal numbers,
 ordinal indicators and money amounts with currencies in more than 30 languages
 and numeral systems.
 .
 This package contains the data files.

Package: libnumbertext-dev
Description-md5: 3e6bed22f28c23f58c34406c3b0cb380
Description-en: Number to number name and money text conversion library -- development
 libnumbertext provides easy to configure, lightweight open source C++, Java,
 JavaScript, Python libraries and a LibreOffice Spreadsheet extension for
 number to number name conversion, including cardinal and ordinal numbers,
 ordinal indicators and money amounts with currencies in more than 30 languages
 and numeral systems.
 .
 This package contains the needed files to develop/build against
 libnumbertext.

Package: libnumbertext-java
Description-md5: ec1b6a6c2ff46e2cc51f7fed035983a5
Description-en: Number to number name and money text conversion library for Java
 libnumbertext provides easy to configure, lightweight open source C++, Java,
 JavaScript, Python libraries and a LibreOffice Spreadsheet extension for
 number to number name conversion, including cardinal and ordinal numbers,
 ordinal indicators and money amounts with currencies in more than 30 languages
 and numeral systems.
 .
 This package contains the numbertext Java library.

Package: libnumbertext-tools
Description-md5: 7cc0a8b5fc9c98ed8ee6a75bfd3708f5
Description-en: Number to number name and money text conversion library -- speller utility
 libnumbertext provides easy to configure, lightweight open source C++, Java,
 JavaScript, Python libraries and a LibreOffice Spreadsheet extension for
 number to number name conversion, including cardinal and ordinal numbers,
 ordinal indicators and money amounts with currencies in more than 30 languages
 and numeral systems.
 .
 This package contains the "spellout" tool which uses libnumbertext to spell
 out numbers.

Package: libnunit-cil-dev
Description-md5: 8b713607a92635a15dbddc2daa19d485
Description-en: Unit test framework for CLI - Development files
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains development files for the Nunit library, and should
 be used for compilation

Package: libnunit-console-runner2.6.3-cil
Description-md5: 97fcdeb5249aa689e97955dbece70752
Description-en: Unit test framework for CLI - Assemblies
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the nunit-console-interfaces assembly

Package: libnunit-core-interfaces2.6.3-cil
Description-md5: 9c600ad04599ba13579d95d61e3377e7
Description-en: Unit test framework for CLI - Assemblies
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the nunit.core.interfaces assembly

Package: libnunit-core2.6.3-cil
Description-md5: 87eb961237ff1f209187b0a661e03006
Description-en: Unit test framework for CLI - Assemblies
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the nunit.core assembly

Package: libnunit-doc
Description-md5: fdf7244a91a49443b8b0613153c38fe2
Description-en: Unit test framework for CLI - Documentation
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 NUnit targets the CLI (Common Language Infrastructure) and supports Mono and
 the Microsoft .NET Framework.
 .
 This package contains the API documentation and examples.

Package: libnunit-framework2.6.3-cil
Description-md5: f19f48445f4ccd88abb470e9c6a5e309
Description-en: Unit test framework for CLI - Assemblies
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the nunit.framework assembly

Package: libnunit-mocks2.6.3-cil
Description-md5: 92cd54597d01c6c8f04da0c140944102
Description-en: Unit test framework for CLI - Assemblies
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the nunit.mocks assembly

Package: libnunit-util2.6.3-cil
Description-md5: 44e2709e0948da62bd09bc27c5d6fa7c
Description-en: Unit test framework for CLI - Assemblies
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the nunit.util assembly

Package: libnusoap-php
Description-md5: 0f9b5bcbc6be5125e81158e28194fd97
Description-en: SOAP toolkit for PHP
 NuSOAP is a rewrite of SOAPx4. It is a set of PHP classes - no PHP
 extensions required - that allow developers to create and consume
 web services based on SOAP 1.1, WSDL 1.1 and HTTP 1.0/1.1.

Package: libnux-4.0-0
Description-md5: 90d396a1d9bee5777dd30987b07c2d73
Description-en: Visual rendering toolkit for real-time applications - shared lib
 Nux is a graphical user interface toolkit for applications that mixes opengl
 hardware acceleration with high quality visual rendering.
 .
 This package contains shared libraries to be used by applications.

Package: libnux-4.0-common
Description-md5: 4c25406b214daa0c0f7508e217b5c92b
Description-en: Visual rendering toolkit for real-time applications - common files
 Nux is a graphical user interface toolkit for applications that mixes opengl
 hardware acceleration with high quality visual rendering.
 .
 This package contains common architecture files used by the library.

Package: libnux-4.0-dev
Description-md5: cb19142683fff19dae7d5f404499bcd9
Description-en: Visual rendering toolkit for real-time applications - dev files
 Nux is a graphical user interface toolkit for applications that mixes opengl
 hardware acceleration with high quality visual rendering.
 .
 This package contains files that are needed to build applications.

Package: libnvidia-egl-wayland-dev
Description-md5: cac4b19a753a2fd0b0a9f37d89945fd8
Description-en: Wayland EGL External Platform library -- development files
 This is a work-in-progress implementation of a EGL (Embedded-System
 Graphics Library) External Platform library to add client-side Wayland
 support to EGL on top of EGLDevice and EGLStream families of extensions.
 .
 This library implements an EGL External Platform interface to work along
 with EGL drivers that support the external platform mechanism. More
 information about EGL External platforms and the interface can be found at:
 .
 https://github.com/NVIDIA/eglexternalplatform
 .
 This package contains the development files.

Package: libnvidia-egl-wayland1
Description-md5: 52acd44143ac9e16b5cee872ea795954
Description-en: Wayland EGL External Platform library -- shared library
 This is a work-in-progress implementation of a EGL (Embedded-System
 Graphics Library) External Platform library to add client-side Wayland
 support to EGL on top of EGLDevice and EGLStream families of extensions.
 .
 This library implements an EGL External Platform interface to work along
 with EGL drivers that support the external platform mechanism. More
 information about EGL External platforms and the interface can be found at:
 .
 https://github.com/NVIDIA/eglexternalplatform
 .
 This package contains the shared library.

Package: libnvtt-bin
Description-md5: 598e2d6011d38701b5a2a43e7d189162
Description-en: NVIDIA Texture Tools (Binaries)
 NVIDIA Texture Tools is a collection of image processing and texture
 manipulation tools, designed to be integrated in game tools and asset
 conditioning pipelines.  The primary features of the library are mipmap and
 normal map generation, format conversion and DXT compression.
 .
 This package contains the nvidia texture tools.

Package: libnvtt-dev
Description-md5: f8304c0f4bf78dc93f43f9a9aa2c412f
Description-en: NVIDIA Texture Tools (Header)
 NVIDIA Texture Tools is a collection of image processing and texture
 manipulation tools, designed to be integrated in game tools and asset
 conditioning pipelines.  The primary features of the library are mipmap and
 normal map generation, format conversion and DXT compression.
 .
 This package contains the header and development files needed to build
 programs and packages using libnvtt.

Package: libnvtt2
Description-md5: 7273a275ff878793be8b5261fa0f48a6
Description-en: NVIDIA Texture Tools
 NVIDIA Texture Tools is a collection of image processing and texture
 manipulation tools, designed to be integrated in game tools and asset
 conditioning pipelines.  The primary features of the library are mipmap and
 normal map generation, format conversion and DXT compression.

Package: libnx-x11-6
Description-md5: fde12ec89f69b45155aa3fbb34f081c6
Description-en: nxagent's libNX_X11 client-part library
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides the libNX_X11 library (a libX11 drop-in
 replacement used by nxagent).

Package: libnx-x11-dev
Description-md5: bb6ec802cfe36a04708b9d1a7af555b5
Description-en: nxagent's libNX_X11 client-part library (development headers)
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers of the libNX_X11 library (a
 libX11 drop-in replacement used by nxagent).

Package: libnxcl-bin
Description-md5: 14e38fee6e034f52569e67201cd60b12
Description-en: NX X compression client library---runtime
 NX provides a differential X compression system for X11.
 .
 This package provides the runtime binaries for the nx client libraries.

Package: libnxcl-dev
Description-md5: e0189c79ae95957b2f7d3388151143ea
Description-en: NX X compression client library---headers
 NX provides a differential X compression system for X11.
 .
 This package provides the client library headers.

Package: libnxcl1v5
Description-md5: 479a27e7d542b6a096d347315d523961
Description-en: NX X compression client library
 NX provides a differential X compression system for X11.
 .
 This package provides the client library.

Package: libnxml0
Description-md5: 98a4e996c4c183c28a668167e60ef135
Description-en: C library for parsing, writing and creating xml 1.0/1.1 files or streams
 libnxml is a C library for parsing, writing, and creating XML 1.0 and
 1.1 files or streams. It supports UTF-8, UTF-16be and UTF-16le, UCS-4
 (1234, 4321, 2143, 2312).
 .
 This package contains the shared libraries.

Package: libnxml0-dev
Description-md5: 795a9c44eff53f61be8589998e71dbed
Description-en: static library and C header files for libnxml0
 libnxml is a C library for parsing, writing, and creating XML 1.0 and
 1.1 files or streams. It supports UTF-8, UTF-16be and UTF-16le, UCS-4
 (1234, 4321, 2143, 2312).
 .
 This package provides a static library and C header files.

Package: libnxt
Description-md5: daeb5744afc8cb75a2bd13d247f3ff89
Description-en: utility library for talking to the LEGO Mindstorms NXT brick
 LibNXT is an utility library for talking to the LEGO Mindstorms NXT intelligent
 brick at a relatively low level. It currently does:
  * Handling USB communication and locating the NXT in the USB tree.
  * Interaction with the Atmel AT91SAM boot assistant.
  * Flashing of a firmware image to the NXT.
  * Execution of code directly in RAM.
 .
 The design of LibNXT is layered, meaning you can plug into it at any level of
 complexityor abstraction you desire, from the lowest level USB bulk bit-pushing
 interface, to an API exposing the SAM-BA commandset, right up to just calling
 nxt_firmware_flash() and having everything taken care of!
 .
 This package provides two binary utils:
  * fwflash is the program that uses LibNXT. As its name hints, its purpose is
    to take a NXT firmware image file and flash it to a connected NXT device.
  * fwexec is another utility, which takes a specially compiled firmware image,
   uploads it to the NXT's RAM, and executes it directly from there.

Package: libnzb-dev
Description-md5: a82192a08ad8a3ad643c2ceb97fd56a2
Description-en: An nzb based Usenet binary grabber (development files)
 libnzb is a library that provides functions to parse nzb files, grab the
 content over NNTP and decode the yEnc/uuencode encoded file.

Package: libnzb0v5
Description-md5: fd53e088e563fc62eaed7ee46e8b11f4
Description-en: An nzb based Usenet binary grabber (runtime library)
 libnzb is a library that provides functions to parse nzb files, grab the
 content over NNTP and decode the yEnc/uuencode encoded file.

Package: libo2
Description-md5: 417d6a4a69baf1bfdf1790ab9ab85f8e
Description-en: next generation communication protocol for music systems
 O2 is a communication protocol for interactive music and media applications.
 It is inspired by Open Sound Control (OSC) and uses similar means to form
 addresses, specify types, and encode messages.
 .
 In addition to providing message delivery, O2 offers a discovery mechanism
 where processes automatically discover and connect to other processes.
 Furthermore, O2 implements a clock synchronization protocol.
 .
 O2 is based on IP (Internet Protocol), but there are some mechanisms that allow
 an O2 process to serve as a bridge to other networks such as Bluetooth.

Package: libo2-dev
Description-md5: 7774fbee4beb9163aba1c09abfc0133a
Description-en: next generation communication protocol for music systems - development files
 O2 is a communication protocol for interactive music and media applications.
 It is inspired by Open Sound Control (OSC) and uses similar means to form
 addresses, specify types, and encode messages.
 .
 In addition to providing message delivery, O2 offers a discovery mechanism
 where processes automatically discover and connect to other processes.
 Furthermore, O2 implements a clock synchronization protocol.
 .
 O2 is based on IP (Internet Protocol), but there are some mechanisms that allow
 an O2 process to serve as a bridge to other networks such as Bluetooth.
 .
 This package contains headers, documentation and static libraries.

Package: libo3dgc-dev
Description-md5: 1b282a72ce17d1589a49e857c3721e1d
Description-en: Open 3D Graphics Compression library (development)
 Open3DGC provides an efficient implementation of patent free MPEG tools for
 3D graphics compression.
 .
 This package contains the headers and the static library to use Open3DGC.

Package: liboakleaf-dev
Description-md5: 5471e20ea0a2a83f340b6ebcb78cfc60
Description-en: Robust statistical estimates library (development)
 Oakleaf is a computer library implementing robust statistical estimates.
 The library currently supports estimates: robust mean (also weighthed),
 robust mean of Poisson data, mean by quantilies and robust regression.
 .
 This package contains library files for development.

Package: liboakleaf-doc
Description-md5: fd9688180454ec86d0862238de8acdb0
Description-en: Robust statistical estimates library (documentation)
 Oakleaf is a computer library implementing robust statistical estimates.
 The library currently supports estimates: robust mean (also weighthed),
 robust mean of Poisson data, mean by quantilies and robust regression.
 .
 This package contains some documentation.

Package: liboakleaf0
Description-md5: 1a0628fdd79be7440ed14b5484d914e3
Description-en: Robust statistical estimates library (run-time support)
 Oakleaf is a computer library implementing robust statistical estimates.
 The library currently supports estimates: robust mean (also weighthed),
 robust mean of Poisson data, mean by quantilies and robust regression.
 .
 This package contains run-time library.

Package: liboar-perl
Description-md5: 1d9bb63369cc9b6fcc30f821d04f9227
Description-en: OAR batch scheduler common library package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This package installs the common libraries of the OAR batch scheduler

Package: liboasis-ocaml
Description-md5: 514a01748b74de9813274c364123d570
Description-en: Build-system generation for OCaml projects -- runtime
 OASIS generates a full configure, build and install system for your
 application. It starts with a simple `_oasis` file at the toplevel of your
 project and creates everything required.
 .
 It uses external tools like OCamlbuild and it can be considered as the glue
 between various subsystems that do the job. It should support the following
 tools:
 .
  - OCamlbuild
  - OMake (todo)
  - OCamlMakefile (todo),
  - ocaml-autoconf (todo)
 .
 It also features a do-it-yourself command line invocation and an internal
 configure/install scheme. Libraries are managed through findlib. It has been
 tested on GNU Linux and Windows.
 .
 OASIS supports standard entry points and descriptions. It helps to
 integrates your libraries and software with third parties tools like GODI.
 .
 This package contains the shared runtime libraries and plugins.

Package: liboasis-ocaml-dev
Description-md5: 471a4dba708dd56b9ae240659b5ca6fb
Description-en: Build-system generation for OCaml projects -- development files
 OASIS generates a full configure, build and install system for your
 application. It starts with a simple `_oasis` file at the toplevel of your
 project and creates everything required.
 .
 It uses external tools like OCamlbuild and it can be considered as the glue
 between various subsystems that do the job. It should support the following
 tools:
 .
  - OCamlbuild
  - OMake (todo)
  - OCamlMakefile (todo),
  - ocaml-autoconf (todo)
 .
 It also features a do-it-yourself command line invocation and an internal
 configure/install scheme. Libraries are managed through findlib. It has been
 tested on GNU Linux and Windows.
 .
 OASIS supports standard entry points and descriptions. It helps to
 integrates your libraries and software with third parties tools like GODI.
 .
 This package contains the development files needed for using OASIS as
 a library.

Package: liboasis-ocaml-doc
Description-md5: 0db33e744e19f74233e5ddc10f34716d
Description-en: Architecture for building OCaml libraries and applications
 OASIS generates a full configure, build and install system for your
 application. It starts with a simple `_oasis` file at the toplevel of your
 project and creates everything required.
 .
 It uses external tools like OCamlbuild and it can be considered as the glue
 between various subsystems that do the job. It should support the following
 tools:
 .
  - OCamlbuild
  - OMake (todo)
  - OCamlMakefile (todo),
  - ocaml-autoconf (todo)
 .
 It also features a do-it-yourself command line invocation and an internal
 configure/install scheme. Libraries are managed through findlib. It has been
 tested on GNU Linux and Windows.
 .
 OASIS supports standard entry points and descriptions. It helps to
 integrates your libraries and software with third parties tools like GODI.
 .
 This package contains the documentation.

Package: liboasis3-0d
Description-md5: 049119f5f5583161e2ad26b4d71fa235
Description-en: Shared libraries for OASIS3 coupler
 OASIS3-MCT is a library for exchanging via MPI fields between
 components of climate models, such as atmosphere and ocean models.
 In addition, OASIS can perform interpolation between different grids
 used by the components, via the SCRIP library.
 .
 This package contains the shared libraries used in OASIS3.

Package: liboasis3-dev
Description-md5: d3e043cc31fc34fc1ce230da997cfe9f
Description-en: Development files for OASIS3 climate model coupler
 OASIS3-MCT is a library for exchanging via MPI fields between
 components of climate models, such as atmosphere and ocean models.
 In addition, OASIS can perform interpolation between different grids
 used by the components, via the SCRIP library.
 .
 This package contains development files for building against OASIS3.

Package: liboasis3-doc
Description-md5: e3d373f4c35d695017e9591a984e3fb2
Description-en: Documentation and Examples for the OASIS climate model coupler
 OASIS3-MCT is a library for exchanging via MPI fields between
 components of climate models, such as atmosphere and ocean models.
 In addition, OASIS can perform interpolation between different grids
 used by the components, via the SCRIP library.
 .
 This package contains example models and sources for the OASIS3 coupler.

Package: liboauth-dev
Description-md5: 5df69f4fcc6724ea580ccea728759d0f
Description-en: C library implementing OAuth Core 1.0a API (development files)
 OAuth (Open Authorization) is an authentication protocol that allows
 users to approve a client (such as a Twitter third-party app) to act on
 their behalf without sharing their password. liboauth provides basic
 functions to escape and encode parameters according to the OAuth Core
 1.0a API, and offers high-level functions to sign requests or verify
 signatures.
 .
 This package contains the development files.

Package: liboauth-signpost-java
Description-md5: e550a6560d3251aae7cf06bd511b5d66
Description-en: simple OAuth message signing for Java
 Signpost is an easy and intuitive solution for signing HTTP messages on the
 Java platform in conformance with the OAuth Core 1.0a standard. Signpost
 follows a modular and flexible design, allowing you to combine it with
 different HTTP messaging layers. It currently supports the following HTTP
 libraries:
 .
  - Java HttpURLConnection
  - Apache Commons HTTP 4.x

Package: liboauth0
Description-md5: 96ba9de36483859b32e6d2d29813b5ca
Description-en: C library implementing OAuth Core 1.0a API (runtime)
 OAuth (Open Authorization) is an authentication protocol that allows
 users to approve a client (such as a Twitter third-party app) to act on
 their behalf without sharing their password. liboauth provides basic
 functions to escape and encode parameters according to the OAuth Core
 1.0a API, and offers high-level functions to sign requests or verify
 signatures.
 .
 This package contains the shared libraries.

Package: libobantoo-java
Description-md5: 00e10d517903d7c356c06a4c9f956bd3
Description-en: OBanToo is a Java library with banking tools
 A library of tools for German online banking implementing SEPA, IBAN/BIC,
 DETAUS and QIF.

Package: libobexftp-perl
Description-md5: eb467b77a7a5c4c90b4b96ed81eb9621
Description-en: perl binding to the object exchange file transfer library
 OBEX, the OBject EXchange protocol, can best be described as binary HTTP.
 OBEX is optimized for ad-hoc links and can be used to exchange
 all kind of objects like files, pictures, calendar entries (vCal)
 and business cards (vCard) over bluetooth, IrDA, USB and serial cable
 links.
 .
 This package contains the binding for the Perl programming language.

Package: libobexftp0
Description-md5: 3f630006616157ef1d82f6e3b75bdfd0
Description-en: object exchange file transfer library
 OBEX, the OBject EXchange protocol, can best be described as binary HTTP.
 OBEX is optimized for ad-hoc links and can be used to exchange
 all kind of objects like files, pictures, calendar entries (vCal)
 and business cards (vCard) over bluetooth, IrDA, USB and serial cable
 links.
 .
 This package contains the runtime library.

Package: libobexftp0-dev
Description-md5: 445e4aea9a4954325a2cc4bacf4c0c59
Description-en: object exchange file transfer library - development files
 OBEX, the OBject EXchange protocol, can best be described as binary HTTP.
 OBEX is optimized for ad-hoc links and can be used to exchange
 all kind of objects like files, pictures, calendar entries (vCal)
 and business cards (vCard) over bluetooth, IrDA, USB and serial cable
 links.
 .
 This package contains the development files.

Package: libobjc-10-dev
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-alpha-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-amd64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-arm64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-armel-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-armhf-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-hppa-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-i386-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-m68k-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-mips-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-mips64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-mips64el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-mips64r6-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-mips64r6el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-mipsel-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-mipsr6-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-mipsr6el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-powerpc-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-ppc64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-ppc64el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-riscv64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-s390x-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-sh4-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-sparc64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-10-dev-x32-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-7-dev
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-alpha-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-amd64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-arm64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-armel-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-armhf-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-hppa-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-i386-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-m68k-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-powerpc-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-ppc64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-ppc64el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-riscv64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-s390x-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-sh4-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-sparc64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-8-dev-x32-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-alpha-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-amd64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-arm64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-armel-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-armhf-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-hppa-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-i386-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-m68k-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-mips-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-mips64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-mips64el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-mips64r6-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-mips64r6el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-mipsel-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-mipsr6-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-mipsr6el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-powerpc-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-ppc64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-ppc64el-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-riscv64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-s390x-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-sh4-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-sparc64-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc-9-dev-x32-cross
Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d
Description-en: Runtime library for GNU Objective-C applications (development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libobjc4
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-alpha-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-amd64-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-arm64-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-armel-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-armhf-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-hppa-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-i386-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-m68k-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-mips-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-mips64-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-mips64el-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-mips64r6-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-mips64r6el-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-mipsel-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-mipsr6-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-mipsr6el-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-powerpc-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-ppc64-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-ppc64el-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-riscv64-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-s390x-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-sh4-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-sparc64-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobjc4-x32-cross
Description-md5: 30a0a695b3f5d643272b0bb547f0578c
Description-en: Runtime library for GNU Objective-C applications
 Library needed for GNU ObjC applications linked against the shared library.

Package: libobject-accessor-perl
Description-md5: f71a115491842d69a6b1b935437c5d14
Description-en: interface to create per object accessors
 Object::Accessor provides an interface to create per object accessors (as
 opposed to per Class accessors, as, for example, Class::Accessor provides).
 .
 You can choose to either subclass this module, and thus using its accessors
 on your own module, or to store an Object::Accessor object inside your own
 object, and access the accessors from there.

Package: libobject-container-perl
Description-md5: e00eb45aa10da0c96c45b3fce79a2e38
Description-en: module that provides a simple object container
 Object::Container is an object container with an OO interface. It is
 used to make singletons out of ordinary classes.
 .
 For example, if you want to have a single instance of WWW::Mechanize
 throughout a program, you can do
 Object::Container->register('WWW::Mechanize') somewhere and then you
 can retrieve that instance of WWW::Mechanize from anywhere else with
 Object::Container->get('WWW::Mechanize');
 .
 Class::Singleton is a similar module, which makes subclasses
 singletons. Unlike Class::Singleton, Object::Container can make any
 class a singleton.
 .
 Object::Registrar is another similar module, but it does not support
 lazy loading.

Package: libobject-declare-perl
Description-md5: ede60087585a3e565785b8224a1aa61f
Description-en: declarative object constructor
 Object::Declare exports one function, declare, for building named
 objects with a declarative syntax, similar to how Jifty::DBI::Schema
 defines its columns.
 .
 In list context, declare returns a list of name/object pairs in the
 order of declaration (allowing duplicates), suitable for putting into a hash.
 In scalar context, declare returns a hash reference.
 .
 Example: function for defining some declarative object
 .
  sub do_declare { declare {
      column x =>
          is rw,
          is Very::Happy;
      } };

Package: libobject-destroyer-perl
Description-md5: eea7298c0ad92ea7a8a8a938f5a4dbfe
Description-en: module to make objects with circular references DESTROY normally
 Object::Destroyer allows for the creation of "Destroy" handles. The
 handle is "attached" to a circular relationship, but is not a part of
 it. When the destroy handle falls out of scope, it will be cleaned up
 correctly, and while being cleaned up, it will also force the data
 structure it is attached to to be destroyed as well. Object::Destroyer
 can call a specified release method on an object (or method DESTROY by
 default). Alternatively, it can execute an arbitrary user code passed to
 constructor as a code reference.

Package: libobject-event-perl
Description-md5: 7531170760f70d2190fd1c5b1f7c1414
Description-en: Perl event callback interface
 Object::Event is a Perl module that provides a consistent interface for
 registering and emitting events. You can register callbacks for events,
 trigger events and even stop the current event from running further
 callbacks.

Package: libobject-forkaware-perl
Description-md5: 05526b95e2108082441ae295b3c6e0aa
Description-en: module to make an object aware of process forks and threads
 Object::ForkAware invisibly wraps your object and makes it fork-aware,
 automatically checking $$ on every access and recreating the object if the
 process id changes. (The object is also thread-aware; if the thread id
 changes, the object is recreated in the same manner.)
 .
 The object can be safely used with type checks and various type constraint
 mechanisms, as isa() and can() respond as if they were being called against
 the contained object itself.
 .
 Rationale: If you've ever had an object representing a network connection to
 some server, or something else containing a socket, a filehandle, etc, and
 used it in a program that forks, and then forgot to close and reopen your
 socket/handle etc. in the new process, you'll know what chaos can ensue.
 Depending on the type of connection, you can have multiple processes trying
 to write to the same resource at once, or simultaneous reads getting each
 other's data, dogs and cats living together... It's horrible, and it's an
 easy problem to run into.

Package: libobject-insideout-perl
Description-md5: bbae9714f7fd504af165803e8138f458
Description-en: comprehensive inside-out object construction kit
 Object::InsideOut is a Perl module that implements inside-out objects as
 anonymous scalar references that are blessed into a class with the scalar
 containing the ID for the object (usually a sequence number). The scalar
 reference is set as read-only to prevent accidental modifications to the ID.
 Object data (such as fields) are stored within the class's package in either
 arrays indexed by the object's ID, or hashes keyed to the object's ID.

Package: libobject-lazy-perl
Description-md5: 7bd2b2f3dde0e666bd5756fd8b2761f4
Description-en: create objects late from non-owned classes
 Object::Lazy implements lazy evaluation and can create lazy objects from
 every class.
 .
 Creates a dummy object including a subroutine which knows how to build the
 real object.
 .
 Later, if a method of the object is called, the real object will be built.
 .
 Inherited methods from UNIVERSAL.pm are implemented and so overwritten. This
 are isa, DOES, can and VERSION.

Package: libobject-multitype-perl
Description-md5: d4dd0fb2896b15e075b32a9a2aff42a2
Description-en: Perl objects as Hash, Array, Scalar, Code and Glob at once
 The Object::MultiType module returns an object that works like a Hash,
 Array, Scalar, Code and Glob object at the same time.  It uses tie and
 override magic to expose to the perl level the intrinsic typeglob-ness
 of all references. If this does not make sense to you, you probably will
 not be using it directly, although you may wind up installing it as a
 dependency of something else.

Package: libobject-pluggable-perl
Description-md5: 528156a70f9ffdcb318b9e9a1f835189
Description-en: base class for creating plugin enabled objects
 Object::Pluggable is a base class for creating plugin enabled objects.
 It is a generic port of POE::Component::IRC's plugin system. If your
 component dispatches events to listeners, then Object::Pluggable may
 be a good fit for you.

Package: libobject-realize-later-perl
Description-md5: 4a89a313973eee838e28b5b9e696427c
Description-en: Perl module providing delayed creation of objects
 The Object::Realize::Later class helps with implementing transparent
 on demand realization of object data.  This is related to the tricks
 on autoloading of data, the lesser known cousin of autoloading of
 functionality.
 .
 On demand realization is all about performance gain.  Why should you
 spent costly time on realizing an object, when the data on the object is
 never (or not yet) used?  In interactive programs, postponed realization
 may boost start-up: the realization of objects is triggered by the
 use, so spread over time.

Package: libobject-remote-perl
Description-md5: 286da08960f39003aba1849cd7cae642
Description-en: module to call methods on objects in other processes or on other hosts
 Object::Remote allows a process to create an object in another
 process - usually one running on another machine connected via ssh,
 although other connection mechanisms are available.

Package: libobject-signature-perl
Description-md5: 7842e01de2c2fb045d9bdcbdd2333580
Description-en: module perl for generate cryptographic signatures for objects
 Object::Signature is an abstract base class that you can inherit from in
 order to allow your objects to generate unique cryptographic signatures.
 .
 The method used to generate the signature is based on Storable and
 Digest::MD5. The object is fed to "Storable::nfreeze" to get a string,
 which is then passed to Digest::MD5::md5_hex to get a unique 32
 character hexadecimal signature.

Package: libobject-tiny-perl
Description-md5: 69b601e8892d89a9fc49dfa537132a55
Description-en: module for building classes, simply
 Object::Tiny is a Perl module for building classes as simply as possible. It
 is useful for rapid prototyping, especially for data classes with a simple
 structure and mostly read-only accessors. It is a minimalistic way to build
 classes, intentionally omitting complex features that interfere with the way
 you build modules.

Package: libobjenesis-java
Description-md5: 41a22df421c52c7dab71183e98808e36
Description-en: Java library to instantiate a new object of a particular class
 Objenesis is a small Java library that serves one purpose: to instantiate a
 new object of a particular class.
 Java already supports this dynamic instantiation of classes using
 Class.newInstance(). However, this only works if the class has an appropriate
 constructor. There are many times when a class cannot be instantiated this
 way, such as when the class contains:
  * Constructors that require arguments.
  * Constructors that have side effects.
  * Constructors that throw exceptions.
 As a result, it is common to see restrictions in libraries stating that
 classes must require a default constructor.
 Objenesis aims to overcomes these restrictions by bypassing the constructor
 on object instantiation.

Package: libobjenesis-java-doc
Description-md5: c6beba7c9739f156f5e52206464afa6d
Description-en: Documentation for Objenesis
 Objenesis is a small Java library that serves one purpose: to instantiate a
 new object of a particular class.
 Java already supports this dynamic instantiation of classes using
 Class.newInstance(). However, this only works if the class has an appropriate
 constructor. There are many times when a class cannot be instantiated this
 way, such as when the class contains:
  * Constructors that require arguments.
  * Constructors that have side effects.
  * Constructors that throw exceptions.
 As a result, it is common to see restrictions in libraries stating that
 classes must require a default constructor.
 Objenesis aims to overcomes these restrictions by bypassing the constructor
 on object instantiation.
 .
 This is the API documentation for Objenesis

Package: libobrender32v5
Description-md5: bdd80e6a0bfc7f451e19916277d01495
Description-en: rendering library for openbox themes
 Openbox works with your applications, and makes your desktop easier to manage.
 This is because the approach to its development was the opposite of what seems
 to be the general case for window managers. Openbox was written first to
 comply with standards and to work properly. Only when that was in place did
 the team turn to the visual interface.
 .
 Openbox is fully functional as a stand-alone working environment, or can be
 used as a drop-in replacement for the default window manager in the GNOME or
 KDE desktop environments.
 .
 Openbox 3 is a completely new breed of window manager. It is not based upon
 any existing code base, although the visual appearance has been based upon
 that of Blackbox. Openbox 2 was based on the Blackbox 0.65.0 codebase.
 .
 This package contains the libobrender library files used by openbox
 for theme rendering.

Package: libobs-dev
Description-md5: 225773aeb3e625b11d710d9b8c43c078
Description-en: recorder and streamer for live video content (development files)
 OBS Studio is designed for efficiently recording and streaming live video
 content. It supports live RTP streaming to various streaming sites.
 .
 This package contains the development files.

Package: libobs0
Description-md5: e656b9704323c8e11d168b672bfabb94
Description-en: recorder and streamer for live video content (shared library)
 OBS Studio is designed for efficiently recording and streaming live video
 content. It supports live RTP streaming to various streaming sites.
 .
 This package contains the shared library libobs.

Package: libobt2v5
Description-md5: c6c89fc6e3fd0e035c3b5033ac8de758
Description-en: parsing library for openbox
 Openbox works with your applications, and makes your desktop easier to manage.
 This is because the approach to its development was the opposite of what seems
 to be the general case for window managers. Openbox was written first to
 comply with standards and to work properly. Only when that was in place did
 the team turn to the visual interface.
 .
 Openbox is fully functional as a stand-alone working environment, or can be
 used as a drop-in replacement for the default window manager in the GNOME or
 KDE desktop environments.
 .
 Openbox 3 is a completely new breed of window manager. It is not based upon
 any existing code base, although the visual appearance has been based upon
 that of Blackbox. Openbox 2 was based on the Blackbox 0.65.0 codebase.
 .
 This package contains the libobt library files used by openbox
 to load and parse configuration and theme files of openbox.

Package: libobus-ocaml
Description-md5: 6f2a20757691a18a1b983ef212a31a3c
Description-en: pure OCaml implementation of D-Bus (runtime)
 OBus is a pure OCaml implementation of D-Bus. It aims to provide a
 clean and easy way for OCaml programmers to access and provide D-Bus
 services.
 .
 This package contains dynamically loadable plugins of OBus.

Package: libobus-ocaml-bin
Description-md5: a8bf004ef9dfcd573ccb84376066a1dc
Description-en: pure OCaml implementation of D-Bus (command-line tools)
 OBus is a pure OCaml implementation of D-Bus. It aims to provide a
 clean and easy way for OCaml programmers to access and provide D-Bus
 services.
 .
 This package contains command-line tools useful for using OBus.

Package: libobus-ocaml-dev
Description-md5: e72147b0cd345487307857daceca669c
Description-en: pure OCaml implementation of D-Bus (development files)
 OBus is a pure OCaml implementation of D-Bus. It aims to provide a
 clean and easy way for OCaml programmers to access and provide D-Bus
 services.
 .
 D-Bus is a message bus, used for sending messages between
 applications. It supports broadcast messages, asynchronous messages
 (thus decreasing latency), authentication, and more. It is designed
 to be low-overhead. D-Bus also supports a method call mapping for its
 messages.
 .
 This package contains development files of OBus.

Package: libocaml-compiler-libs-ocaml-dev
Description-md5: 13f43406d60df557d1ae97bb320bc71f
Description-en: OCaml compiler libraries repackaged
 This package simply repackages the OCaml compiler libraries so they
 don’t expose everything at toplevel. For instance Ast_helper is now
 Ocaml_common.Ast_helper.
 .
 The special library ocaml_shadow adds a deprecation warning on all
 modules from the compiler libraries, to force the user to use the
 prefixed names.

Package: libocamlbricks-ocaml-dev
Description-md5: 214c978e886cfde0715c25f8b5dbbad4
Description-en: Miscellaneous utility functions in OCaml for Marionnet
 This OCaml library provides a set of needed and useful macros for developing.
 It is mainly used by the marionnet package.
 Modules and functionality are the following :
 .
  - Configuration_files: Allow to get information from configuration files
  - Environments: Environments are useful for maintaining the state, intendend
 as a set of bindings, of a user interaction with a GUI
  - FilenameExtra: Additional features for the standard module Filename
  - Fix: Poor man fix point operators
  - Hashm[m]ap: 2 Module implementing polymorphic unbounded (multi) maps
  - Hashset: Very simple module implementing a polymorphic unbounded sets
  - Identifier: Build and manage unique (fresh) identifiers
  - Ledgrid: Constants Some global constant definitions, for fine-tuning
  - ListExtra: Additional features for the standard module List
  - Memo: Module for building memoised functions
  - Oomarshal: Object-oriented marshalling support
  - PreludeExtra: "Additional features" for the standard module Pervasives
  - Shell: A collection of (mainly quick and easy) wrappers for the most
 famous Unix tools and generic unix commands or scripts
  - StrExtra: Additional features for the standard library Str
  - Sugar: Basic shortcuts and syntactic sugar
  - SysExtra: Additional features for the standard module Sys
  - UnixExtra: Additional features for the standard library Unix
  - Widget: Some generic tools for building GUIs
  - Wrapper: Handling shell scripts in OCaml

Package: libocamlgraph-ocaml-dev
Description-md5: 0d52e56efde08d1b966456e1b27134b5
Description-en: graph library for OCaml
 Ocamlgraph is a graph library for OCaml. Its contribution is
 three-fold:
 .
  * It provides an easy-to-use graph data structure together with
    several operations and algorithms over graphs.  It is a
    reasonably efficient imperative data structure for directed
    graphs with vertices and edges labeled with integers.
 .
  * Then ocamlgraph provides several other graph implementations
    for those not satisfied with the one above. Some are
    persistent (imutable) and other imperative (mutable). Some
    are directed and other are not. Some have labels for
    vertices, or labels for edges, or both. Some have abstract
    types for vertices. etc.
    These implementations are written as functors: you give the
    types of vertices labels, edge labels, etc. and you get the
    data structure as a result.
 .
  * Finally, ocamlgraph provides several classic operations and
    algorithms over graphs.
    They are also written as functors i.e. independently of the
    data structure for graphs. One consequence is that you can
    define your own data structure for graphs and yet re-use all
    the algorithms from this library -- you only need to provide
    a few operations such as iterating over all vertices, over
    the successors of a vertex, etc.

Package: libocamlgraph-ocaml-doc
Description-md5: e776a6d176a4ed15ae93da729fd7f18f
Description-en: documentation for the OCamlgraph library
 This package provides the API reference documentation generated by
 ocamldoc.

Package: libocamlgsl-ocaml
Description-md5: ccd28f9b94a165186d5499b5ed15d8a1
Description-en: GNU scientific library for OCaml
 This is an interface to GSL (GNU scientific library), for the
 Objective Caml language.
 .
 See the libgsl2 package for more details.

Package: libocamlgsl-ocaml-dev
Description-md5: ccd28f9b94a165186d5499b5ed15d8a1
Description-en: GNU scientific library for OCaml
 This is an interface to GSL (GNU scientific library), for the
 Objective Caml language.
 .
 See the libgsl2 package for more details.

Package: libocamlnet-gtk2-ocaml-dev
Description-md5: 87f270212aeb7b9c6bdb399cb45a6637
Description-en: OCaml application-level Internet libraries - GTK2 development libraries
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains the development stuff you need to use GTK-related
 libraries of Ocamlnet in your programs.

Package: libocamlnet-ocaml
Description-md5: 33181c622e82fb74e3c7f57d4257a6c3
Description-en: OCaml application-level Internet libraries - core runtime libraries
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains only the shared runtime stub libraries for the
 core Ocamlnet libraries. Stub libraries for SSL-related components are
 available in the libocamlnet-ssl-ocaml-dev package.

Package: libocamlnet-ocaml-bin
Description-md5: 59cf3fa95bb1763c17ae84793c2ca566
Description-en: OCaml application-level Internet libraries - binaries
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains the binaries ocamlrpcgen (a helper for creating
 RPC stubs) and netplex-admin (generic administration tool for netplex
 systems).

Package: libocamlnet-ocaml-dev
Description-md5: 76b84269a8f0c304d3a7ba727b770ce5
Description-en: OCaml application-level Internet libraries - core development libraries
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains the development stuff you need to use the core
 Ocamlnet libraries in your programs. The following libraries are
 packaged separately: SSL-related libraries (libocamlnet-ssl-ocaml-dev),
 GTK-related libraries (libocamlnet-gtk2-ocaml-dev), nethttpd
 (libnethttpd-ocaml-dev). The netcgi2 Apache connector is also part of
 this package; to run applications built for that connector you will
 need the mod_netcgi_apache Apache module (libapache2-mod-ocamlnet).

Package: libocamlnet-ocaml-doc
Description-md5: f3389897a04ee417c0754664d52aa01f
Description-en: OCaml application-level Internet libraries - documentation and examples
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains the Ocamlnet reference manual in HTML format and
 several examples about how to use the various libraries.

Package: libocamlnet-ssl-ocaml
Description-md5: ed0ae7ccad1bbc2001396f11bfc91b1d
Description-en: OCaml application-level Internet libraries - SSL runtime libraries
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains only the shared runtime stub libraries for the
 SSL-related libraries of Ocamlnet.

Package: libocamlnet-ssl-ocaml-dev
Description-md5: 8bd741ee57a66e6fa3d3b7d83f9e8ad3
Description-en: OCaml application-level Internet libraries - SSL development libraries
 Ocamlnet is a collection of OCaml libraries focusing focus on
 application-level Internet protocols and conventions.
 .
 Ocamlnet consists of the following libraries:
  * netstring: processing of strings that occur in network context
  * netcgi2: portable web applications
  * nethttpd: is a web server component (HTTP server implementation)
  * netplex: generic server framework
  * rpc: OncRPC implementation
  * netclient: clients for HTTP, FTP, and Telnet
  * equeue: event queue used for many protocol implementations
  * shell: external commands invocation
  * netshm: shared memory for IPC purposes
  * netsys: bindings for system functions
  * smtp and pop: two further client implementations
 .
 A number of ideas and concepts are used throughout the libraries:
  * netchannels are a way to abstract sequential I/O channels
  * event queues provided by equeue and unixqueue make asynchronous
    protocol interpreters possible
 .
 This package contains the development stuff you need to use SSL-related
 libraries of Ocamlnet in your programs.

Package: libocamlodbc-ocaml-dev
Description-md5: 2a7a2a3fbd3b47225bc100d0bb51b23e
Description-en: UnixODBC database bindings for OCaml
 Bindings of the UnixODBC library for the OCaml language, letting you access
 many different databases from within OCaml.
 .
 This package provides the development and documentation file.

Package: libocas-dev
Description-md5: 761f8c618d5ce09271f182164daec8ac
Description-en: Development libraries and header files for LIBOCAS
 This library implements Optimized Cutting Plane Algorithm (OCAS) for
 training linear Support Vector Machine (SVM) classifiers from
 large-scale data. The computational effort of OCAS scales linearly with
 the number of training examples. It is one of the fastest SVM solvers
 around for solving linear and multiclass L2 regularized SVMs.
 .
 This package contains the header files and static libraries.

Package: libocas-tools
Description-md5: d0708ea67d3481622667210aecfd4ca6
Description-en: Standalone applications implementing the OCAS solver
 This library implements Optimized Cutting Plane Algorithm (OCAS) for
 training linear Support Vector Machine (SVM) classifiers from
 large-scale data. The computational effort of OCAS scales linearly with
 the number of training examples. It is one of the fastest SVM solvers
 around for solving linear and multiclass L2 regularized SVMs.
 .
 This package contains the standalone applications.

Package: libocas0
Description-md5: 04f2824e0277572b50b051dfb91c0e1b
Description-en: OCAS solver for training linear SVM classifiers
 This library implements Optimized Cutting Plane Algorithm (OCAS) for
 training linear Support Vector Machine (SVM) classifiers from
 large-scale data. The computational effort of OCAS scales linearly with
 the number of training examples. It is one of the fastest SVM solvers
 around for solving linear and multiclass L2 regularized SVMs.
 .
 This package contains the shared libraries.

Package: libocct-data-exchange-7.3
Description-md5: 35430612b534634f960d84997cd7f35c
Description-en: Open CASCADE Technology module for CAD data format interoperability
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package provides support for data exchange.
 .
 This package contains the following shared libraries:
   TKXSBase TKSTEPBase TKSTEPAttr TKSTEP209 TKSTEP TKIGES TKXCAF TKXDEIGES
   TKXDESTEP TKSTL TKVRML TKXmlXCAF TKBinXCAF

Package: libocct-data-exchange-dev
Description-md5: 35a7641131573d6146f9e6afd33439cf
Description-en: Open CASCADE Technology module for CAD data format interoperability - dev files
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 libocct-data-exchange.

Package: libocct-doc
Description-md5: 233099350946d7c2cf0c50910f9f2245
Description-en: Open CASCADE Technology CAE platform documentation
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains documentation files.

Package: libocct-draw-7.3
Description-md5: 6ed447a9052845a407ff1f43daead1df
Description-en: Open CASCADE Technology command interpreter & graphical test library
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 Draw is a command interpreter based on Tcl and a graphical system used to test
 and demonstrate Open CASCADE Technology modeling libraries.
 .
 This package provides the shared libraries component of Draw.

Package: libocct-draw-dev
Description-md5: d6289a88e5768594e0056e1b2ebec896
Description-en: Open CASCADE Technology interpreter & graphics test library - dev files
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 Draw is a command interpreter based on Tcl and a graphical system used to test
 and demonstrate Open CASCADE Technology modeling libraries.
 .
 This package contains the headers and symlinks for libraries shipped by
 libocct-draw.

Package: libocct-foundation-7.3
Description-md5: 0e32ee5c25bc5fcb99c5a830d1fa3ba7
Description-en: OCCT module underlying all other OCCT classes
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains foundation classes which provide a variety of
 general-purpose services such as automated management of heap memory,
 exception handling, classes for manipulating aggregates of data, basic
 math tools.
 .
 This package contains the following shared libraries:
   TKernel TKMath

Package: libocct-foundation-dev
Description-md5: 19348aa8a760adbfdcf466d79693168e
Description-en: Open CASCADE Technology module underlying all other OCCT classes - dev files
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 libocct-foundation.

Package: libocct-modeling-algorithms-7.3
Description-md5: 51e8110666160b8448759ffee1a13563
Description-en: Open CASCADE Technology geometrical & topological algorithms module
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains the following shared libraries:
   TKGeomAlgo TKTopAlgo TKPrim TKBO TKBool TKHLR TKFillet TKOffset TKFeat
   TKMesh TKXMesh TKShHealing

Package: libocct-modeling-algorithms-dev
Description-md5: 02e43bffbf6d5f5f2d5e4ba574962523
Description-en: Open CASCADE Technology geometrical & topological algorithms module - dev files
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 libocct-modeling-algorithms.

Package: libocct-modeling-data-7.3
Description-md5: 04043da67bcd0d2c3f1d686ef3280ed2
Description-en: Open CASCADE Technology 2D/3D geometric primitives data structures
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package supplies data structures to represent 2D and 3D geometric models.
 .
 This package contains the following shared libraries:
   TKG2d TKG3d TKGeomBase TKBRep

Package: libocct-modeling-data-dev
Description-md5: 8dcc2d278c3a990a5e86cc4072cf537f
Description-en: Open CASCADE Technology 2D/3D geometric primitives data structures - dev files
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 libocct-modeling-data.

Package: libocct-ocaf-7.3
Description-md5: 0bf5f84b9e87355de84a9b66dcc32f33
Description-en: Open CASCADE Technology application-specific data and functionality
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package provides Open CASCADE Application Framework services.
 .
 This package contains the following shared libraries:
 .
   TKCDF TKLCAF TKCAF TKBinL TKXmlL TKBin TKXml TKStdL TKStd TKTObj TKBinTObj
   TKXmlTObj TKVCAF

Package: libocct-ocaf-dev
Description-md5: 0eed7999a06b54074076a200bda16730
Description-en: Open CASCADE Technology application-specific data and functionality - dev files
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 libocct-ocaf.

Package: libocct-visualization-7.3
Description-md5: 2005e0977931bf3ffda15815f24feb6d
Description-en: Open CASCADE Technology graphical data visualization module
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package provides services for displaying 2D and 3D graphics.
 .
 This package contains the following shared libraries:
  TKService TKV3d TKOpenGl TKMeshVS TKIVtk TKD3DHost

Package: libocct-visualization-dev
Description-md5: 3dbdb7cb864e3ef32e12fe3eca1da8d1
Description-en: Open CASCADE Technology graphical data visualization module - dev files
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 libocct-visualization.

Package: liboce-foundation-dev
Description-md5: e5918a58da6d1b5f900153e26aa57adc
Description-en: OpenCASCADE Community Edition CAE platform library development files
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 liboce-foundation11.

Package: liboce-foundation11
Description-md5: 6c959baaf00d903255edabd2e73eaeef
Description-en: OpenCASCADE Community Edition CAE platform shared library
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package is based on OCE, OpenCASCADE Community Edition, which is
 maintained by a community of developers, and not by OpenCASCADE SAS.
 .
 This package contains foundation classes which provide a variety of
 general-purpose services such as automated management of heap memory,
 exception handling, classes for manipulating aggregates of data, basic
 math tools.
 .
 This package contains the following shared libraries:
   TKernel TKMath TKAdvTools

Package: liboce-modeling-dev
Description-md5: eb8c20adceddd00a77cd2eb76d8929f3
Description-en: OpenCASCADE Community Edition CAE platform library development files
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 liboce-modeling11.

Package: liboce-modeling11
Description-md5: b9c038d8ab25ee86a6a3340f1ec7bdd5
Description-en: OpenCASCADE Community Edition CAE platform shared library
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package is based on OCE, OpenCASCADE Community Edition, which is
 maintained by a community of developers, and not by OpenCASCADE SAS.
 .
 This package supplies data structures to represent 2D and 3D geometric models,
 as well as topological and geometrical algorithms.
 .
 This package contains the following shared libraries:
   TKG2d TKG3d TKGeomBase TKBRep TKGeomAlgo TKTopAlgo TKPrim TKBO TKHLR
   TKMesh TKShHealing TKXMesh TKBool TKFillet TKFeat TKOffset TKSTL
   TKXSBase TKSTEPBase TKIGES TKSTEPAttr TKSTEP209 TKSTEP

Package: liboce-ocaf-dev
Description-md5: dd500ccac407c367435ddb6203dea688
Description-en: OpenCASCADE Community Edition CAE platform library development files
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 liboce-ocaf11.

Package: liboce-ocaf-lite-dev
Description-md5: 9d70a88db0bd37fa257b291705c87c34
Description-en: OpenCASCADE Community Edition CAE platform library development files
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 liboce-ocaf-lite11.

Package: liboce-ocaf-lite11
Description-md5: 1b8c9116c055aecf268b805fc63d248b
Description-en: OpenCASCADE Community Edition CAE platform shared library
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package is based on OCE, OpenCASCADE Community Edition, which is
 maintained by a community of developers, and not by OpenCASCADE SAS.
 .
 This package is part of the OpenCASCADE Application Framework, it
 provides shared libraries which have no dependency against X11.
 .
 This package contains the following shared libraries:
   TKCDF PTKernel TKLCAF FWOSPlugin TKPShape TKBinL TKXmlL
   TKPLCAF TKTObj TKShapeSchema TKStdLSchema

Package: liboce-ocaf11
Description-md5: a8981d919b18f6b4db0b3908b9ff04dd
Description-en: OpenCASCADE Community Edition CAE platform shared library
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package is based on OCE, OpenCASCADE Community Edition, which is
 maintained by a community of developers, and not by OpenCASCADE SAS.
 .
 This package provides OpenCASCADE Application Framework services and
 support for data exchange.
 .
 This package contains the following shared libraries:
   TKCAF TKBin TKXml TKPCAF TKBinTObj TKXmlTObj TKStdSchema
   TKXCAF TKXCAFSchema TKXmlXCAF TKBinXCAF TKXDEIGES TKXDESTEP

Package: liboce-visualization-dev
Description-md5: 0151c19d41837fa7cd1240a03991935b
Description-en: OpenCASCADE Community Edition CAE platform library development files
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package contains the headers and symlinks for libraries shipped by
 liboce-visualization11.

Package: liboce-visualization11
Description-md5: eb162819b0be9892e305e4bb68226e7d
Description-en: OpenCASCADE Community Edition CAE platform shared library
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package is based on OCE, OpenCASCADE Community Edition, which is
 maintained by a community of developers, and not by OpenCASCADE SAS.
 .
 This package provides services for displaying 2D and 3D graphics.
 .
 This package contains the following shared libraries:
   TKService TKV2d TKV3d TKOpenGl TKMeshVS TKNIS TKVRML TKVoxel

Package: liboclgrind-19.10
Description-md5: b7e03084aab1a534dc523ed270f7f3ae
Description-en: core library for Oclgrind
 Oclgrind is an extensible OpenCL device simulator that provides a
 plugin interface to facilitate the creation of tools to aid analysis
 and development of OpenCL programs. Among the tools that Oclgrind
 provides are various debugging aids, such as out-of-bounds memory
 access checking, data-race detection, and an interactive debugger.
 .
 This package provides the core library for Oclgrind, implementing the
 simulator, plugin interface, and providing several built-in plugins.

Package: liboclgrind-dev
Description-md5: 6e12d50bb0e890f03c353089e81298a4
Description-en: development files for Oclgrind
 Oclgrind is an extensible OpenCL device simulator that provides a
 plugin interface to facilitate the creation of tools to aid analysis
 and development of OpenCL programs. Among the tools that Oclgrind
 provides are various debugging aids, such as out-of-bounds memory
 access checking, data-race detection, and an interactive debugger.
 .
 This package provides the development headers and libraries used for
 creating new Oclgrind plugins.

Package: libocp-indent-ocaml
Description-md5: d3852e0bb1bcaa46b117432658960a42
Description-en: OCaml indentation tool for emacs and vim - libraries
 ocp-indent is a command-line tool that allows one to indent a whole OCaml
 source code file (or parts of it) either to standard output or in-place.
 A configuration file allows user defaults as well as per-project parameters.
 The ratio of correctly indented lines is comparable with emacs tuareg mode
 while being an order of magnitude faster.
 .
 This package includes the shared libraries.

Package: libocp-indent-ocaml-dev
Description-md5: 5fde9882f06552f949cafe81fc719a8d
Description-en: OCaml indentation tool for emacs and vim - development libraries
 ocp-indent is a command-line tool that allows one to indent a whole OCaml
 source code file (or parts of it) either to standard output or in-place.
 A configuration file allows user defaults as well as per-project parameters.
 The ratio of correctly indented lines is comparable with emacs tuareg mode
 while being an order of magnitude faster.
 .
 This package includes the development libraries.

Package: libocplib-endian-ocaml
Description-md5: f3685f572c85ded0e532c2ceab8efe8e
Description-en: optimised functions to read and write int16/32/64 (runtime)
 Optimised functions to read and write int16/32/64 from strings, bytes
 and bigarrays, based on primitives added in version 4.01.
 .
 The library implements three modules:
  * EndianString works directly on strings, and provides submodules
    BigEndian and LittleEndian, with their unsafe counter-parts;
  * EndianBytes works directly on bytes, and provides submodules
    BigEndian and LittleEndian, with their unsafe counter-parts;
  * EndianBigstring works on bigstrings (Bigarrays of chars), and
    provides submodules BigEndian and LittleEndian, with their unsafe
    counter-parts.
 .
 This package contains the runtime files.

Package: libocplib-endian-ocaml-dev
Description-md5: 4bc79cd531e25770b7d4767aa913caf3
Description-en: optimised functions to read and write int16/32/64 (development)
 Optimised functions to read and write int16/32/64 from strings, bytes
 and bigarrays, based on primitives added in version 4.01.
 .
 The library implements three modules:
  * EndianString works directly on strings, and provides submodules
    BigEndian and LittleEndian, with their unsafe counter-parts;
  * EndianBytes works directly on bytes, and provides submodules
    BigEndian and LittleEndian, with their unsafe counter-parts;
  * EndianBigstring works on bigstrings (Bigarrays of chars), and
    provides submodules BigEndian and LittleEndian, with their unsafe
    counter-parts.
 .
 This package contains the development files.

Package: libocrad-dev
Description-md5: 5d6dc17f69ab81875b40715fa732a7cd
Description-en: optical character recognition library
 GNU Ocrad is an OCR (Optical Character Recognition) program based on a
 feature extraction method. It reads a bitmap image in pgm/pbm format and
 produces text in byte (8-bit) or UTF-8 formats.
 .
 Ocrad includes a layout analyzer able to separate the columns or blocks
 of text normally found on printed pages.
 .
 This package includes the static library.

Package: libocsigenserver-ocaml
Description-md5: 85d30e4e1955715ce7329b6590fb7564
Description-en: web server of the Ocsigen project (runtime libraries)
 The Ocsigen project is aimed at proposing clean and safe tools for
 developing and running client/server Web 2.0 applications.
 .
 Ocsigen Server is a full featured Web server. It implements most
 features of the HTTP protocol, and has a very powerful extension
 mechanism that make very easy to plug your own OCaml modules for
 generating pages. Many extensions are available, like a reverse
 proxy, content compression, access control, authentication, etc.
 .
 This package contains runtime libraries.

Package: libocsigenserver-ocaml-dev
Description-md5: a67c331d7c29c9ca274305599b26f3c7
Description-en: web server of the Ocsigen project (dev libraries)
 The Ocsigen project is aimed at proposing clean and safe tools for
 developing and running client/server Web 2.0 applications.
 .
 Ocsigen Server is a full featured Web server. It implements most
 features of the HTTP protocol, and has a very powerful extension
 mechanism that make very easy to plug your own OCaml modules for
 generating pages. Many extensions are available, like a reverse
 proxy, content compression, access control, authentication, etc.
 .
 This package contains development libraries.

Package: liboctave-dev
Description-md5: d64bb815e4915a4b1c241ccc19577e51
Description-en: development files for the GNU Octave language
 Octave is a (mostly Matlab (R) compatible) high-level language, primarily
 intended for numerical computations. It provides a convenient command-line
 interface for solving linear and nonlinear problems numerically.
 .
 This package provides the header files and the mkoctfile script used for
 extending Octave via compiled code.

Package: liboctave7
Description-md5: eb3a81eb5a340b424b928aa9cbd6e66e
Description-en: shared libraries of the GNU Octave language
 Octave is a (mostly Matlab (R) compatible) high-level language, primarily
 intended for numerical computations. It provides a convenient command-line
 interface for solving linear and nonlinear problems numerically.
 .
 This package provides the shared libraries used by the interpreter.

Package: liboctomap-dev
Description-md5: a04d90ca26ee2082e4e268ecef2029d9
Description-en: Octomap library development files
 The OctoMap library implements a 3D occupancy grid mapping approach,
 providing data structures and mapping algorithms in C++ particularly suited
 for robotics. The map implementation is based on an octree and is designed
 to meet the following requirements: Full 3D model, Updatable, Flexible and
 Compact. This package contains the development stuff.

Package: liboctomap1.9
Description-md5: 13517a6f729b239af8aff00c3798cf39
Description-en: 3D occupancy grid mapping approach library for mapping
 The OctoMap library implements a 3D occupancy grid mapping approach,
 providing data structures and mapping algorithms in C++ particularly suited
 for robotics. The map implementation is based on an octree and is designed
 to meet the following requirements: Full 3D model, Updatable, Flexible and
 Compact. This package contains the library itself.

Package: liboctovis-dev
Description-md5: 495c7b1d0175d543a036597158a4c3ec
Description-en: Visualization library for OctoMap development files
 Visualization library for the OctoMap library based on Qt and libQGLViewer.
 This library encapsulates the functions to view a Octomap octrees. This package
 contains the development files.

Package: liboctovis1.9
Description-md5: 72368bf4421864257af7f7ad8e79ef49
Description-en: Visualization library for OctoMap
 Visualization library for the OctoMap library based on Qt and libQGLViewer.
 This library encapsulates the functions to view a Octomap octrees. It
 provides an API to visualizate the octree.

Package: libocxl-doc
Description-md5: f88369c64d004158b113b46860031ede
Description-en: Documentation files for development with libocxl
 LibOCXL provides an access library which allows the user to implement a
 userspace driver for an OpenCAPI accelerator.
 .
 This package contains the documentation files.

Package: libodb-2.4
Description-md5: 85354392dee2fbece67aa83bd791545e
Description-en: Common ODB Runtime Library
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains the common ODB runtime library. Every application
 that includes code generated by the ODB compiler will need to link to this
 library.

Package: libodb-api-0d
Description-md5: 3c964355ebea8e9b9de7563da005e97d
Description-en: Observational Data processing API for meteorology
 ODB API is a software developed at ECMWF for encoding and processing of
 observational data. It includes a SQL filtering and statistics engine,
 command line tools and APIs for C/C++, Fortran and Python.
 .
 ODB API works with data formats used in the ECMWF observational feedback
 archive.  Development of ODB API has been partially funded by the
 UK Met Office.

Package: libodb-api-bin
Description-md5: 046cbbb2897e5b925e86689e8c21a132
Description-en: Tools for the Observational Data Processing (ODB) API
 ODB API is a software developed at ECMWF for encoding and processing of
 observational data. It includes a SQL filtering and statistics engine,
 command line tools and APIs for C/C++, Fortran and Python.
 .
 This package provides tools for ODB handling.

Package: libodb-api-data
Description-md5: 8f9803b9f2e5d0d063493b9f928215a3
Description-en: Data used by ECMWF Observational Data Processing (ODB)
 ODB API is a software developed at ECMWF for encoding and processing of
 observational data.
 .
 This package contains data used by ODB tools.

Package: libodb-api-dev
Description-md5: d433f8496217ec744f503ea500894e8e
Description-en: Observational Data processing API for meteorology
 ODB API is a software developed at ECMWF for encoding and processing of
 observational data. It includes a SQL filtering and statistics engine,
 command line tools and APIs for C/C++, Fortran and Python.
 .
 This package provides shared libraries and headers.

Package: libodb-boost-2.4
Description-md5: 02c5f5b07c1282912c297a5c06319d60
Description-en: Boost ODB runtime library
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains the Boost ODB profile library. The Boost profile
 provides support for persisting Boost smart pointers, containers, and
 value types with the ODB system.

Package: libodb-boost-dev
Description-md5: 63f56cc9a82c717434d8b700a3c6f8cf
Description-en: Boost ODB runtime library (development)
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains libraries and header files for developing
 applications that use libodb-boost .

Package: libodb-dev
Description-md5: 971d2b8460707ddfbc2bee61bff0bf7e
Description-en: Common ODB Runtime Library (development)
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains libraries and header files for developing
 applications that use libodb .

Package: libodb-mysql-2.4
Description-md5: 1f8ddae91ff25f3b0807bf638ddaa3b6
Description-en: ODB Runtime Library for MySQL
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains the MySQL ODB runtime library. Every application
 that includes code generated for the MySQL database will need to link to
 this library.

Package: libodb-mysql-dev
Description-md5: 0316040d34a25faac94ad78e3f0a8573
Description-en: ODB Runtime Library for MySQL (development)
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains libraries and header files for developing
 applications that use libodb-mysql .

Package: libodb-pgsql-2.4
Description-md5: e521205e44673e289cb61b66a8c50c7b
Description-en: ODB Runtime Library for PostgreSQL
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains the PostgreSQL ODB runtime library. Every application
 that includes code generated for the PostgreSQL database will need to link to
 this library.

Package: libodb-pgsql-dev
Description-md5: 5e402e08d75c6c0d369d9121cdda2124
Description-en: ODB Runtime Library for PostgreSQL (development)
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains libraries and header files for developing
 applications that use libodb-pgsql .

Package: libodb-qt-2.4
Description-md5: b372cc98526dbf6e55f3bd55a002f9bc
Description-en: Qt ODB runtime library
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains the Qt profile library. The Qt profile provides
 support for persisting Qt smart pointers, containers, and value types
 with the ODB system.

Package: libodb-qt-dev
Description-md5: 95afc7743453fe7f6f5eab1b31f2e6b5
Description-en: Qt ODB runtime library (development)
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains libraries and header files for developing
 applications that use libodb-qt .

Package: libodb-sqlite-2.4
Description-md5: 13a846e187b6e6695d753a898d27349c
Description-en: ODB Runtime Library for SQLite
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains the SQLite ODB runtime library. Every application
 that includes code generated for the SQLite database will need to link to
 this library.

Package: libodb-sqlite-dev
Description-md5: 43c98bfa05488e05d30602971dcbf294
Description-en: ODB Runtime Library for SQLite (development)
 ODB is an object-relational mapping (ORM) system for C++. It provides
 tools, APIs, and library support that allow you to persist C++ objects to
 a relational database (RDBMS) without having to deal with tables, columns,
 or SQL and without manually writing any of the mapping code.
 .
 This package contains libraries and header files for developing
 applications that use libodb-sqlite .

Package: libode-dev
Description-md5: 3dff7c471911c9afc8d17588d6f76185
Description-en: Open Dynamics Engine - development files
 ODE is a free, industrial quality library for simulating articulated rigid
 body dynamics - for example ground vehicles, legged creatures, and moving
 objects in VR environments. It is fast, flexible, robust and platform
 independent, with advanced joints, contact with friction, and built-in
 collision detection.
 .
 This package provides the header files and static libraries built with
 double precision, default in 64 bits platforms. In 32 bits platforms
 default is single precision.

Package: libode8
Description-md5: b507a6854f75e39dbb6c66c2f979f53c
Description-en: Open Dynamics Engine - runtime library
 ODE is a free, industrial quality library for simulating articulated rigid
 body dynamics - for example ground vehicles, legged creatures, and moving
 objects in VR environments. It is fast, flexible, robust and platform
 independent, with advanced joints, contact with friction, and built-in
 collision detection.
 .
 This package provides the shared libraries built with double precision,
 default in 64 bits platforms. In 32 bits platforms default is single
 precision.

Package: libodil-dev
Description-md5: 60a3d1b088d03e7c5d9517e072327c9b
Description-en: C++11 library for the DICOM standard (development files)
 Odil leverages C++ constructs to provide a user-friendly API of the
 different parts of the DICOM standard. Included in Odil are exception-based
 error handling, generic access to datasets elements, standard JSON and XML
 representation of datasets, and generic implementation of messages, clients
 and servers for the various DICOM protocols.
 .
 This package contains the development files.

Package: libodil-doc
Description-md5: e170551c412c074db16012936c15516c
Description-en: C++11 library for the DICOM standard (documentation)
 Odil leverages C++ constructs to provide a user-friendly API of the
 different parts of the DICOM standard. Included in Odil are exception-based
 error handling, generic access to datasets elements, standard JSON and XML
 representation of datasets, and generic implementation of messages, clients
 and servers for the various DICOM protocols.
 .
 This package contains the documentation files.

Package: libodil0
Description-md5: e2d4d5529d9b8f2f8199d67a281dfb8e
Description-en: C++11 library for the DICOM standard
 Odil leverages C++ constructs to provide a user-friendly API of the
 different parts of the DICOM standard. Included in Odil are exception-based
 error handling, generic access to datasets elements, standard JSON and XML
 representation of datasets, and generic implementation of messages, clients
 and servers for the various DICOM protocols.
 .
 Odil also provides conversion to and from DCMTK data structures.
 .
 This package contains the shared library.

Package: libodin-dev
Description-md5: 963879b22d90216253422ac2fa40b9fa
Description-en: static libraries and header for ODIN sequences
 This package provides static libraries and headers of the ODIN libraries
 odindata, adinpara, odinqt, odinseq and tjutils. They are required for
 building magnetic resonance imaging (MRI) sequences with ODIN.

Package: libodsstream-doc
Description-md5: 6c2f1491330d090e30f09c4461c6660f
Description-en: doxygen documentation of the ODSstream library
 libodsstream provides a simple way to read and write Open
 Document Spreadsheet files using streams only to be memory efficient.
 .
 This package provides the documentation of the library.

Package: libodsstream-qt5-0
Description-md5: 7108a76ae4b41b131b0bdfec1f5e2752
Description-en: C++ library to read or write ODS files
 libodsstream provides a simple way to read and write Open
 Document Spreadsheet files using streams only to be memory efficient.
 .
 This package contains the shared library.

Package: libodsstream-qt5-dev
Description-md5: 19e6fd8967712d335da115974119e3e9
Description-en: C++ library to read or write ODS files (development files)
 libodsstream provides a simple way to read and write Open
 Document Spreadsheet files using streams only to be memory efficient.
 .
 This package contains the header files of the library.

Package: libofa0
Description-md5: 35279c75f95c9f7e6182e0e9ce7c44b3
Description-en: library for acoustic fingerprinting
 LibOFA (Library Open Fingerprint Architecture) is a library for
 generating acoustic fingerprints that can be used to identify music
 files using the MusicDNS service.
 .
 This package provides the runtime library.

Package: libofa0-dev
Description-md5: c0b3f9e7e84c030539bdf0bc3f8ce95c
Description-en: library for acoustic fingerprinting (development files)
 LibOFA (Library Open Fingerprint Architecture) is a library for
 generating acoustic fingerprints that can be used to identify music
 files using the MusicDNS service.
 .
 This package provides is the development files.

Package: libofapi-dev
Description-md5: da286a82f47357d38c0e3a52e1a559e7
Description-en: OpenFirmware device-tree parsing library - development files
 oflib is a library designed to make the parsing of POWER and SPARC
 device-tree's (OpenFirmware) simple and fast.
 .
 It is useful for querying the hardware of the current system in applications
 for Apple, IBM and SUN machines.
 .
 This package contains files that are needed to build applications.

Package: libofapi-example
Description-md5: 04b72439a9d8e7b3d0b1bc32a4267f0f
Description-en: OpenFirmware device-tree parsing library - example files
 oflib is a library designed to make the parsing of POWER and SPARC
 device-tree's (OpenFirmware) simple and fast.
 .
 It is useful for querying the hardware of the current system in applications
 for Apple, IBM and SUN machines.
 .
 Example applications are included to show some of its capabilities.

Package: libofapi0
Description-md5: c69354475e87dc4612d643ecc77111f3
Description-en: OpenFirmware device-tree parsing library - runtime
 oflib is a library designed to make the parsing of POWER and SPARC
 device-tree's (OpenFirmware) simple and fast.
 .
 It is useful for querying the hardware of the current system in applications
 for Apple, IBM and SUN machines.
 .
 This package includes the shared library.

Package: libofx-dev
Description-md5: dc86fba44076201c6a25b5374cdcce88
Description-en: development package for libofx7
 This package provides header files and related support for developing
 packages that use libofx7, a library to support the Open Financial Exchange
 format.
 .
 Open Financial Exchange is an open standard for exchanging financial
 information. Using this library a program can enable support for
 common financial transactions such as bill payment, accessing account
 information and investment tracking.

Package: libofx-doc
Description-md5: 0c24ebb8f2afb74d559a4e3425f5bde2
Description-en: documentation for libofx7
 This package provides HTML documentation and sample OFX files for developing
 packages using libofx7, a library to support the Open Financial Exchange
 format.
 .
 Open Financial Exchange is an open standard for exchanging financial
 information. Using this library a program can enable support for
 common financial transactions such as bill payment, accessing account
 information and investment tracking.

Package: libofx7
Description-md5: e975558c55288eb8c7f6715d9f429c4b
Description-en: library to support the Open Financial Exchange format
 Open Financial Exchange is an open standard for exchanging financial
 information. Using this library a program can enable support for
 common financial transactions such as bill payment, accessing account
 information and investment tracking.

Package: libogdi-dev
Description-md5: 698cfd1b7e48e0ecfc24dd81754791fa
Description-en: Open Geographic Datastore Interface Library -- development
 OGDI is the Open Geographic Datastore Interface. OGDI is an application
 programming interface (API) that uses  a standardized access methods to
 work  in  conjunction  with  GIS software  packages  (the  application)
 and  various  geospatial  data  products.  OGDI  uses  a  client/server
 architecture  to  facilitate  the   dissemination  of  geospatial  data
 products over  any TCP/IP  network, and  a driver-oriented  approach to
 facilitate access to several geospatial data products/formats.
 .
 This package contains the development files to build OGDI enabled
 programs.

Package: libogdi4.1
Description-md5: cf0bd4fd07f44ae81c39ea564a9a9d24
Description-en: Open Geographic Datastore Interface Library -- library
 OGDI is the Open Geographic Datastore Interface. OGDI is an application
 programming interface (API) that uses  a standardized access methods to
 work  in  conjunction  with  GIS software  packages  (the  application)
 and  various  geospatial  data  products.  OGDI  uses  a  client/server
 architecture  to  facilitate  the   dissemination  of  geospatial  data
 products over  any TCP/IP  network, and  a driver-oriented  approach to
 facilitate access to several geospatial data products/formats.
 .
 This package contains the run-time library used by client programs.

Package: libogg-ocaml
Description-md5: 32f66cc9060705ac571538d1444bdedf
Description-en: OCaml bindings for the Ogg bitstream library
 Libogg is a library for manipulating ogg bitstreams. It handles
 both making ogg bitstreams and getting packets from ogg bitstreams.
 .
 This package contains only the shared runtime stub libraries.

Package: libogg-ocaml-dev
Description-md5: e311445925e48bfcdfdabfa6b2d67271
Description-en: OCaml bindings for the Ogg bitstream library
 Libogg is a library for manipulating ogg bitstreams. It handles
 both making ogg bitstreams and getting packets from ogg bitstreams.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ogg.

Package: libogg-vorbis-decoder-perl
Description-md5: 0affa8304ecd77f33d6a5cf503b197a4
Description-en: module for decoding Ogg Vorbis streams
 Ogg::Vorbis::Decoder is a Perl module for decoding Ogg Vorbis files, based on
 the Vorbisfile interface (see libvorbis-dev for details). It can read data in
 Pulse-code Modulation (PCM) format from a file or stream, seek by raw bytes,
 PCM samples, or time.

Package: libogg-vorbis-header-pureperl-perl
Description-md5: 41a525e592aff23eed72bcda723d4584
Description-en: pure Perl interface to Ogg Vorbis information fields
 Ogg::Vorbis::Header::PurePerl is an object-oriented interface to Ogg Vorbis
 information and comment fields, implemented entirely in Perl. Intended to be a
 drop in replacement for Ogg::Vobis::Header.
 .
 Unlike Ogg::Vorbis::Header, this module will go ahead and fill in all of the
 information fields as soon as you construct the object. In other words, the new
 and load constructors have identical behavior.

Package: liboggkate-dev
Description-md5: 42fbab643f09b4832020479a1b182cfe
Description-en: Codec for karaoke and text encapsulation for Ogg (dev)
 Kate is meant to be used for karaoke alongside audio/video streams (typically
 Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text
 data at arbitrary time intervals.
 .
 liboggkate provides an API for the encapsulation of kate streams into Ogg.
 This package contains the development libraries.

Package: liboggkate1
Description-md5: 23431caff7d267e36d535589d0523848
Description-en: Codec for karaoke and text encapsulation for Ogg
 Kate is meant to be used for karaoke alongside audio/video streams (typically
 Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text
 data at arbitrary time intervals.
 .
 liboggkate provides an API for the encapsulation of kate streams into Ogg.

Package: liboggplay1
Description-md5: ddb5c673d34624994659aea29748065f
Description-en: Library for playing OGG multimedia
 liboggplay was designed to allow drop-in playback of Xiph.Org media in an
 application. liboggplay handles demuxing and decoding, generates timestamps for
 raw data, maintains synchronisation across multiple streams, and provides a
 lock-free buffer implementation for easy multithreading.

Package: liboggplay1-dev
Description-md5: b41f1066d7bd37f96e22df6d5a819097
Description-en: Library for playing OGG multimedia (development files)
 liboggplay was designed to allow drop-in playback of Xiph.Org media in an
 application. liboggplay handles demuxing and decoding, generates timestamps for
 raw data, maintains synchronisation across multiple streams, and provides a
 lock-free buffer implementation for easy multithreading.
 .
 This package contains the header files and static libraries required for
 developing applications that use liboggplay.

Package: liboggz2
Description-md5: f1e6c8918c25db317bb7a2e883c5f9f2
Description-en: convenience interface for Ogg stream I/O
 Oggz provides a simple programming interface for reading and writing
 Ogg files and streams.
 .
 liboggz supports the flexibility afforded by the Ogg file format while
 presenting the following API niceties:
 .
  * Strict adherence to the formatting requirements of Ogg bitstreams,
    to ensure that only valid bitstreams are generated.
  * A simple, callback based open/read/close or open/write/close interface
    to raw Ogg files.
  * A customisable seeking abstraction for seeking on multitrack Ogg data.
  * A packet queue for feeding incoming packets for writing, with callback
    based notification when this queue is empty.
  * A handy table structure for storing information on each logical
    bitstream.

Package: liboggz2-dev
Description-md5: 16b2138409de171b7f8e0286db10f1bd
Description-en: convenience interface for Ogg stream I/O (development files)
 Oggz provides a simple programming interface for reading and writing
 Ogg files and streams.
 .
 This package contains the header files, static libraries, and stream
 debugging tools required for developing applications that use Oggz.

Package: liboglappth-dev
Description-md5: ab8f62aba2e96e9c1326b1dee056f31c
Description-en: Oglappth Library (development files)
 A library for creating portable OpenGL applications with easy-to-code
 scene setup and selection operations.
 .
 This package provides the development and header files and the
 static library.

Package: liboglappth2
Description-md5: f140101ad2cef2c62fcd281f92d2261d
Description-en: Oglappth Library
 A library for creating portable OpenGL applications with easy-to-code
 scene setup and selection operations.
 .
 This package provides the shared library.

Package: libogmrip-dev
Description-md5: 222eb3bfcec4cd8e3f5f664da8464c41
Description-en: Application for ripping and encoding DVD - development files
 ogmrip is an application and a set of libraries for ripping and encoding
 DVD into AVI, OGM MP4 or Matroska files using a wide variety of codecs. It
 relies on mplayer, mencoder, ogmtools, mkvtoolnix, oggenc, lame and faac to
 perform its tasks.
  o transcodes from DVD or files
  o outputs ogm, avi, mp4 or matroska files
  o calculates video bitrate for a given filesize
  o calculates cropping parameters and scaling factors
  o supports multiple audio and subtitles streams encoding
  o lots of codecs (vorbis, mp3, pcm, ac3, dts, aac, xvid, lavc, x264, theora)
  o uses maximum quality codec switches
  o rips contiguous chapters
 .
 This package contains the headers and development libraries.

Package: libogmrip1
Description-md5: 95bfcab5a1686565feb07fa1fc609ecf
Description-en: Application for ripping and encoding DVD - libraries files
 ogmrip is an application and a set of libraries for ripping and encoding
 DVD into AVI, OGM MP4 or Matroska files using a wide variety of codecs. It
 relies on mplayer, mencoder, ogmtools, mkvtoolnix, oggenc, lame and faac to
 perform its tasks.
  o transcodes from DVD or files
  o outputs ogm, avi, mp4 or matroska files
  o calculates video bitrate for a given filesize
  o calculates cropping parameters and scaling factors
  o supports multiple audio and subtitles streams encoding
  o lots of codecs (vorbis, mp3, pcm, ac3, dts, aac, xvid, lavc, x264, theora)
  o uses maximum quality codec switches
  o rips contiguous chapters
 .
 This package contains the shared libraries.

Package: libognl-java
Description-md5: 48b49286f4645eb23dac7280ff44af94
Description-en: Java expression language
 OGNL stands for Object-Graph Navigation Language; it is an expression language
 for getting and setting properties of Java objects. You use the same
 expression for both getting and setting the value of a property.

Package: libognl-java-doc
Description-md5: 60ea7d96c7ae7292dadb9e27bde2b8dc
Description-en: Java expression language - Documentation
 OGNL stands for Object-Graph Navigation Language; it is an expression language
 for getting and setting properties of Java objects. You use the same
 expression for both getting and setting the value of a property.
 .
 This package contains Language, Developer Documentation and API of
 OGNL software.

Package: libogre-1.12
Description-md5: ce83dd986432adba1100139c0d09fa07
Description-en: 3D Object-Oriented Graphics Rendering Engine (libraries)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains the library and plugins.

Package: libogre-1.12-dev
Description-md5: c6016e4d1e7a57e4d04758e982fcc7c0
Description-en: 3D Object-Oriented Graphics Rendering Engine (development files)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains the headers needed to develop with OGRE.

Package: libogre-1.9-dev
Description-md5: c6016e4d1e7a57e4d04758e982fcc7c0
Description-en: 3D Object-Oriented Graphics Rendering Engine (development files)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains the headers needed to develop with OGRE.

Package: libogre-1.9.0v5
Description-md5: ce83dd986432adba1100139c0d09fa07
Description-en: 3D Object-Oriented Graphics Rendering Engine (libraries)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains the library and plugins.

Package: libois-1.3.0v5
Description-md5: 33f2dc6f2c4a32f4c0839319e0904906
Description-en: Object Oriented Input System library (C++)
 Object Oriented Input System (OIS) is meant to be a cross platform,
 simple solution for using all kinds of Input Devices
 (KeyBoards, Mice, Joysticks, etc) and feedback devices (e.g. forcefeedback)
 .
 Written in C++ using Object Oriented Design patterns.

Package: libois-dev
Description-md5: e7f238c605de249f41ef35fc0bc18774
Description-en: Object Oriented Input System library (C++ development headers)
 Object Oriented Input System (OIS) is meant to be a cross platform,
 simple solution for using all kinds of Input Devices
 (KeyBoards, Mice, Joysticks, etc) and feedback devices (e.g. forcefeedback)
 .
 This package provides the development files.

Package: libois-perl
Description-md5: 684c304a575bbaba56b19263d1f68010
Description-en: Perl binding for the OIS C++ input framework
 This is a Perl binding for OIS, Object-Oriented Input System, a crossplatform
 C++ input framework, found at <http://sourceforge.net/projects/wgois>. It is
 made so that Ogre (<https://metacpan.org/release/Ogre>) can be useful,
 but there might be uses outside of Ogre.
 .
 The wrapping isn't really complete at the moment.

Package: libokhttp-java
Description-md5: 6d507178469d5c10690a7f8f38e67742
Description-en: HTTP+HTTP/2 client for Android and Java applications
 OkHttp is an HTTP client that’s efficient by default. It supports both
 synchronous blocking calls and async calls with callbacks.
  * HTTP/2 support allows all requests to the same host to share a socket.
  * Connection pooling reduces request latency (if HTTP/2 isn’t available).
  * Transparent GZIP shrinks download sizes.
  * Response caching avoids the network completely for repeat requests

Package: libokio-java
Description-md5: a664357e1d3a64c97d6447344380b008
Description-en: Modern I/O API for Java
 Okio is a new library that complements java.io and java.nio to make it much
 easier to access, store, and process data.

Package: libokio-java-doc
Description-md5: 2c11af9322165df64d8c729f0cae4a2f
Description-en: Modern I/O API for Java - Documentations
 Okio is a new library that complements java.io and java.nio to make it much
 easier to access, store, and process data.
 .
 This package contains the API Javadoc.

Package: libokteta-l10n
Description-md5: 69b48e35e96f7d94506455f6d1431395
Description-en: translations of okteta/kasten libraries
 Okteta is a simple editor for the raw data of files. This type of program is
 also called hexadecimal editor or binary editor.
 .
 This package provides the translations for the various libokteta/libkasten
 libraries.

Package: libokteta3core0
Description-md5: d78e503e2efc6ec4ecb489035a47e92d
Description-en: core Okteta library
 Okteta is a simple editor for the raw data of files. This type of program is
 also called hexadecimal editor or binary editor.
 .
 This package provides the core part of the Okteta libraries.

Package: libokteta3gui0
Description-md5: 117a6d39961e5987ddfc07eec87dcfe4
Description-en: gui Okteta library
 Okteta is a simple editor for the raw data of files. This type of program is
 also called hexadecimal editor or binary editor.
 .
 This package provides the GUI part of the Okteta libraries.

Package: libokular5core9
Description-md5: 43c22bdb4061c61963c0d307cf1a600a
Description-en: libraries for the Okular document viewer
 This package contains libraries used by the Okular document viewer.
 .
 This package is part of the KDE graphics module.

Package: libolap4j-java
Description-md5: 14a2b8a8782e953610c73d97bc8053d1
Description-en: unified Java API to access an OLAP server
 olap4j is a Java library to access a OLAP server with an unified API :
 you may switch your OLAP server to another implementation with ease.
 .
 It can be compared to JDBC for SQL servers.
 .
 This project is supported by many libre software project in this area :
  * Jasperreports
  * Mondrian
  * Pentaho

Package: libolap4j-java-doc
Description-md5: fd66726c94427f5c85a3b5b2c936e05b
Description-en: unified Java API to access an OLAP server - documentation
 olap4j is a Java library to access a OLAP server with an unified API :
 you may switch your OLAP server to another implementation with ease.
 .
 It can be compared to JDBC for SQL servers.
 .
 This project is supported by many libre software project in this area :
  * Jasperreports
  * Mondrian
  * Pentaho
 .
 This package contains Javadoc API of olap4j package.

Package: libole-storage-lite-perl
Description-md5: 7ccfd1f3355666ddce2cc58bedd1df02
Description-en: simple class for OLE document interface
 OLE::Storage_Lite is a Perl module that allows you to read and write an
 OLE-Structured file. OLE (Object Linking and Embedding) is Microsoft's
 framework for a compound document technology which is used throughout the
 Office product family.
 .
 This module provides the OLE functionality for Spreadsheet::ParseExcel (see
 libspreadsheet-parseexcel-perl) which can used to extract data from Excel
 spreadsheets.

Package: libolecf-dev
Description-md5: b300117978cb8f81881f283db6143b08
Description-en: OLE2 Compound File format access library -- development files
 libolecf is a library to access the OLE 2 Compound File (OLECF) format.
 .
 This package includes the development support files.

Package: libolecf-utils
Description-md5: f305d922683243b107ff676d92b74143
Description-en: OLE2 Compound File format access library -- Utilities
 libolecf is a library to access the OLE 2 Compound File (OLECF) format.
 .
 This package contains tools to access data stored in OLECF files:
 olecfexport, olecfinfo, lecfmount.

Package: libolecf1
Description-md5: a5330b1030a39c8b32613af8d0567b4c
Description-en: OLE2 Compound File format access library
 libolecf is a library to access the OLE 2 Compound File (OLECF) format.
 .
 This package contains the shared library.

Package: libolm-dev
Description-md5: 8d32e23d4842324b0c9307a35d5cdd64
Description-en: development files for the olm Double Ratchet implementation
 Olm is an implementation of the Double Ratchet cryptographic ratchet described
 by https://whispersystems.org/docs/specifications/doubleratchet/, written in C
 and C++11 and exposed as a C API.
 .
 This library also includes an implementation of the Megolm cryptographic
 ratchet which is intended for encrypted messaging applications where there
 may be a large number of recipients of each message, thus precluding the use of
 peer-to-peer encryption systems such as Olm.
 .
 Megolm is the end-to-end encryption system for the Matrix communications
 protocol.
 .
 This package contains the development files for compiling programs that use
 olm.

Package: libolm3
Description-md5: 2bf2a9ac50e1d516f79574d04558e3da
Description-en: implementation of the Double Ratchet cryptographic ratchet in C++
 Olm is an implementation of the Double Ratchet cryptographic ratchet described
 by https://whispersystems.org/docs/specifications/doubleratchet/, written in C
 and C++11 and exposed as a C API.
 .
 This library also includes an implementation of the Megolm cryptographic
 ratchet which is intended for encrypted messaging applications where there
 may be a large number of recipients of each message, thus precluding the use of
 peer-to-peer encryption systems such as Olm.
 .
 Megolm is the end-to-end encryption system for the Matrix communications
 protocol.

Package: libomnievents-dev
Description-md5: 9a58968e2039b968e631a17d2c896ed9
Description-en: omniORB event service development files
 This package contains header files, static library and idl source files
 for omniEvents.
 .
 For more information on omniEvents see the omnievents package.

Package: libomnievents2
Description-md5: 064dcc297106779d054577ef62ca5a26
Description-en: omniORB event service shared library
 This package contains omniEvents shared library.
 .
 For more information on omniEvents see the omnievents package.

Package: libomniorb4-2
Description-md5: da969910988d77327fd08022e55d7c9e
Description-en: omniORB core libraries
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes libomniorb4, libomnicodesets4, libomnidynamic4
 and libomnissltp4.

Package: libomniorb4-2-dbg
Description-md5: cd66c74385a469dd2d816dcc8e070d38
Description-en: omniORB core libraries debugging symbols
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes the debug versions of libomniorb4, libomnicodesets4,
 libomnidynamic4 and libomnissltp4.

Package: libomniorb4-dev
Description-md5: 9f73da61615a8b6b129df5815526234e
Description-en: omniORB core libraries development files
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes developer files for libomniorb4, libomnicodesets4,
 libomnidynamic4 and libomnissltp4.

Package: libomnithread4
Description-md5: a3dd18f844eda9e9672e35fcafeac7cf
Description-en: C++ threading library
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes libomnithread4.

Package: libomnithread4-dbg
Description-md5: ce493b5f4e1ae639dc9e563c502c6ac7
Description-en: C++ threading library debugging symbols
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes the debug version of libomnithread4.

Package: libomnithread4-dev
Description-md5: a852499e39385b9cf4750887bef93978
Description-en: C++ threading library development files
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes developer files libomnithread4.

Package: libomp-10-dev
Description-md5: 6f692a0e089a2d4587b533b4f246db62
Description-en: LLVM OpenMP runtime - dev package
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.

Package: libomp-10-doc
Description-md5: 55ecafb377674896611f496b3a8a1433
Description-en: LLVM OpenMP runtime - Documentation
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.
 .
 This package contains the documentation of this package.

Package: libomp-7-dev
Description-md5: 6f692a0e089a2d4587b533b4f246db62
Description-en: LLVM OpenMP runtime - dev package
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.

Package: libomp-8-dev
Description-md5: 6f692a0e089a2d4587b533b4f246db62
Description-en: LLVM OpenMP runtime - dev package
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.

Package: libomp-8-doc
Description-md5: 55ecafb377674896611f496b3a8a1433
Description-en: LLVM OpenMP runtime - Documentation
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.
 .
 This package contains the documentation of this package.

Package: libomp-9-dev
Description-md5: 6f692a0e089a2d4587b533b4f246db62
Description-en: LLVM OpenMP runtime - dev package
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.

Package: libomp-9-doc
Description-md5: 55ecafb377674896611f496b3a8a1433
Description-en: LLVM OpenMP runtime - Documentation
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.
 .
 This package contains the documentation of this package.

Package: libomp-dev
Description-md5: ced9c077d900d44f367cd5d5caa0b9ee
Description-en: LLVM OpenMP runtime - dev package
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.
 This is a dependency package providing the default LLVM OpenMP dev
 package.

Package: libomp5
Description-md5: 1857602f0c7622e7b639d5c31236a858
Description-en: LLVM OpenMP runtime
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.
 This is a dependency package providing the default LLVM OpenMP runtime.

Package: libomp5-10
Description-md5: e28f50eb4beb8e316b69da2c1c0194c3
Description-en: LLVM OpenMP runtime
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.

Package: libomp5-7
Description-md5: e28f50eb4beb8e316b69da2c1c0194c3
Description-en: LLVM OpenMP runtime
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.

Package: libomp5-8
Description-md5: e28f50eb4beb8e316b69da2c1c0194c3
Description-en: LLVM OpenMP runtime
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.

Package: libomp5-9
Description-md5: e28f50eb4beb8e316b69da2c1c0194c3
Description-en: LLVM OpenMP runtime
 The runtime is the part of the OpenMP implementation that your code is
 linked against, and that manages the multiple threads in an OpenMP program
 while it is executing.

Package: libompl-dev
Description-md5: 15b8689b9ec8a047afe0c3ddd9863b4e
Description-en: Open Motion Planning Library (OMPL) development files
 The Open Motion Planning Library is a set of sampling-based motion
 planning algorithms. The content of the library is limited to these
 algorithms, which means there is no environment specification, no
 collision detection, and no visualization. The library is designed so
 it can be easily integrated into systems that provide those
 additional components. This package contains the development files
 needed to use the library.

Package: libompl15
Description-md5: b4ac7fae3bdb3fe02a2138b02d07a0bd
Description-en: Open Motion Planning Library (OMPL)
 The Open Motion Planning Library is a set of sampling-based motion
 planning algorithms. The content of the library is limited to these
 algorithms, which means there is no environment specification, no
 collision detection, and no visualization. The library is designed so
 it can be easily integrated into systems that provide those
 additional components.  This package contains the library itself.

Package: libomxil-bellagio-bin
Description-md5: 59441a5f31ca5cdf000cb3c3e4741f8f
Description-en: implementation of OpenMAX IL, run-time library (utilities)
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group.  By means of the OpenMAX IL API, multimedia frameworks can
 access hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that
 runs on Linux.
 .
 It is intended to show the usage of the IL API and to allow people to
 start developing components.
 .
 This package provides the OpenMAX IL core shared library with a
 "reference" component.
 .
 This package provides the OpenMAX IL core utilities.

Package: libomxil-bellagio-dev
Description-md5: 7128ea5bcd10b90142800095d7d1ea7b
Description-en: implementation of OpenMAX IL, development files
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group.  By means of the OpenMAX IL API, multimedia frameworks can
 access hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that
 runs on Linux.
 .
 It is intended to show the usage of the IL API and to allow people to
 start developing components.
 .
 This package provides the OpenMAX IL core development files.

Package: libomxil-bellagio-doc
Description-md5: b6e692924c015e4b93a7e57089467082
Description-en: Documentation of the Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group.  By means of the OpenMAX IL API, multimedia frameworks can
 access hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that
 runs on Linux.
 .
 It is intended to show the usage of the IL API and to allow people to
 start developing components.
 .
 This package contains the HTML documentation.

Package: libomxil-bellagio0
Description-md5: 1cc86552957cae4b7d3e33d3c4b98c04
Description-en: implementation of OpenMAX IL, run-time library
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group.  By means of the OpenMAX IL API, multimedia frameworks can
 access hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that
 runs on Linux.
 .
 It is intended to show the usage of the IL API and to allow people to
 start developing components.
 .
 This package provides the OpenMAX IL core shared library with a
 "reference" component.

Package: libomxil-bellagio0-components-alsa
Description-md5: 659460e6c0b6a7b9206e6b45a8c54bb0
Description-en: ALSA source/sink components for Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group. By means of the OpenMAX IL API, multimedia frameworks can access
 hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that runs
 on Linux.
 .
 This package contains the libasound library OpenMAX ALSA Source and Sink
 component.

Package: libomxil-bellagio0-components-base
Description-md5: c91719e2eaf6c44efb095fb994e12f4b
Description-en: components for Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group.  By means of the OpenMAX IL API, multimedia frameworks can
 access hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that
 runs on Linux.
 .
 It is intended to show the usage of the IL API and to allow people to
 start developing components.

Package: libomxil-bellagio0-components-base-dbg
Description-md5: bd3a4fc08d0ae514bb7542dd333605f5
Description-en: components for Bellagio OpenMAX IL, debugging symbols
 Debug symbols for libomxil-bellagio.

Package: libomxil-bellagio0-components-camera
Description-md5: 29ad85ccedfd13384eb63fa77b0f5915
Description-en: Motorola Camera components for Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group. By means of the OpenMAX IL API, multimedia frameworks can access
 hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that runs
 on Linux.
 .
 This package contains the Motorola Camera OpenMAX component.

Package: libomxil-bellagio0-components-fbdevsink
Description-md5: 43753b869340c936fe37c8fcce5682c0
Description-en: Frame Buffer Video Sink components for Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group. By means of the OpenMAX IL API, multimedia frameworks can access
 hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that runs
 on Linux.
 .
 This package contains the Frame Buffer Video Sink OpenMAX component.

Package: libomxil-bellagio0-components-mad
Description-md5: f327ed0b98ce8d07541e7c3cedf2ba00
Description-en: MAD MP3 decoder components for Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos group.
 By means of the OpenMAX IL API, multimedia frameworks can access hardware
 accelerators on platforms that provide it.
 .
 Bellagio is an open source implementation of the OpenMAX IL API that runs
 on Linux.
 .
 This package contains the MAD MP3 decoder OpenMAX component.

Package: libomxil-bellagio0-components-videosrc
Description-md5: 1ce8058cbf3c323495be1590487b44fb
Description-en: V4L2 video source components for Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group. By means of the OpenMAX IL API, multimedia frameworks can access
 hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that runs
 on Linux.
 .
 This package contains the V4L2 video source OpenMAX component.

Package: libomxil-bellagio0-components-vorbis
Description-md5: a960a987206e17fa3941bf9d390d5121
Description-en: vorbis decoder components for Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group. By means of the OpenMAX IL API, multimedia frameworks can access
 hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that runs
 on Linux.
 .
 This package contains the libvorbis based OpenMAX vorbis decoder component.

Package: libomxil-bellagio0-components-xvideo
Description-md5: 1fbc5f39332e8a5752130b76e70dfbc9
Description-en: XVideo components for Bellagio OpenMAX IL
 OpenMAX Integration Layer (IL) is a standard API to access Multimedia
 Components on mobile platforms. It has been defined by the Khronos
 group. By means of the OpenMAX IL API, multimedia frameworks can access
 hardware accelerators on platforms that provide it.
 .
 Bellagio is an opensource implementation of the OpenMAX IL API that runs
 on Linux.
 .
 This package contains the XVideo display OpenMAX component that uses the Xlib
 for the visualization.

Package: libomxil-bellagio0-dbg
Description-md5: 299ed91c3754fbb08dd3172a80a8e7b6
Description-en: implementation of OpenMAX IL, debugging symbols
 Debug symbols for libomxil-bellagio.

Package: libonemind-commons-invoke-java
Description-md5: 06505aaa8e3c818b091bac971aba280e
Description-en: Java invocation framework library
 The OneMind commons-invoke framework is a complimentary framework to the
 reflection. While reflection allow discovery/invocation of the java object
 through JVM kernel, the invocation framework allows programmatic help for
 method lookup and invocation.

Package: libonemind-commons-java-java
Description-md5: f72e20a3184b9e75cb0b00e92c4c090d
Description-en: common java library used to support other developments
 The OneMind commons-java library contains java utilities and mini-frameworks
 that are created to support other developments. It contains the following
 packages:
 .
   * org.onemind.commons.java.criterion - for representing logical constraints
   * org.onemind.commons.java.datastructure - some datastructure classes
   * org.onemind.commons.java.event - event/event firer interface and a simple
     listener list implementation
   * org.onemind.commons.java.html.css - css attribute constants for css
     related programming, and a simple css generation framework
   * org.onemind.commons.java.io - FileUtils
   * org.onemind.commons.java.lang - Null, Enum, ConfigurationException and
     ReflectUtils
   * org.onemind.commons.java.servlet - ServletUtils
   * org.onemind.commons.java.sql - sql metadata representation, sql type
     mapper and utils
   * org.onemind.commons.java.util - more utilities classes
   * org.onemind.commons.java.xml - utilities for xml parsing

Package: libonig-dev
Description-md5: 25507f219fbf50e51f393e5fb2482030
Description-en: regular expressions library — development files
 Oniguruma is a library for working with regular expressions.
 .
 Different character encoding for every regular expression object can
 be specified.
 .
 This package provides development files and static libraries.

Package: libonig5
Description-md5: 21359fc7589f6e7db0298bfd50daeb8d
Description-en: regular expressions library
 Oniguruma is a library for working with regular expressions.
 .
 Different character encoding for every regular expression object can
 be specified.

Package: liboobs-1-5
Description-md5: 46d753586494084fa55addf746c12fa1
Description-en: GObject based interface to system-tools-backends - shared library
 Liboobs is a lightweight library that provides a GObject based
 interface to system-tools-backends. It's completely abstracted of the
 communication and authentication details, making it easy for
 applications to integrate with the system details.
 .
 This package contains the shared library.

Package: liboobs-1-5-dbg
Description-md5: 8890ab519a96eb2f282cd69a9dd13e66
Description-en: GObject based interface to system-tools-backends - debug symbols
 Liboobs is a lightweight library that provides a GObject based
 interface to system-tools-backends. It's completely abstracted of the
 communication and authentication details, making it easy for
 applications to integrate with the system details.
 .
 This package contains the debugging symbols.

Package: liboobs-1-dev
Description-md5: 9db16df14687e70477f29ac1737c7214
Description-en: GObject based interface to system-tools-backends - dev files
 Liboobs is a lightweight library that provides a GObject based
 interface to system-tools-backends. It's completely abstracted of the
 communication and authentication details, making it easy for
 applications to integrate with the system details.
 .
 This package contains the development files.

Package: liboop-dev
Description-md5: c1c96d057e9c92c0d339b15a1ca57fff
Description-en: Event loop management library - development files
 Liboop is a low-level event loop management library for POSIX-based
 operating systems. It supports the development of modular, multiplexed
 applications which may respond to events from several sources. It
 replaces the "select() loop" and allows the registration of event
 handlers for file and network I/O, timers and signals. Since processes
 use these mechanisms for almost all external communication, liboop can
 be used as a basis for almost any application.
 .
 This package contains the liboop development libraries and header
 files, required to develop and/or compile applications that use liboop.

Package: liboop-doc
Description-md5: 44622d23807d9fd53cc28d5dafa6811a
Description-en: Event loop management library - documentation
 Liboop is a low-level event loop management library for POSIX-based
 operating systems. It supports the development of modular, multiplexed
 applications which may respond to events from several sources. It
 replaces the "select() loop" and allows the registration of event
 handlers for file and network I/O, timers and signals. Since processes
 use these mechanisms for almost all external communication, liboop can
 be used as a basis for almost any application.
 .
 This package contains a mirror of the
 https://www.lysator.liu.se/liboop/ website and its associated HTML
 documentation for the liboop library.

Package: liboop4
Description-md5: bb66d05af562c8c1e1673c7e4dae7d94
Description-en: Event loop management library
 Liboop is a low-level event loop management library for POSIX-based
 operating systems. It supports the development of modular, multiplexed
 applications which may respond to events from several sources. It
 replaces the "select() loop" and allows the registration of event
 handlers for file and network I/O, timers and signals. Since processes
 use these mechanisms for almost all external communication, liboop can
 be used as a basis for almost any application.

Package: libooptools-dev
Description-md5: 767a7e243d2c8d3c8a6937c94a72f55f
Description-en: Development files of LoopTools
 LoopTools is a package for evaluation of scalar and tensor one-loop
 integrals based on the FF package by G.J. van Oldenborgh. It features
 an easy Fortran, C++, and Mathematica interface to the scalar
 one-loop functions of FF and in addition provides the 2-, 3-, and
 4-point tensor coefficient functions.
 .
 This package provides development files of LoopTools.

Package: libopagent1
Description-md5: 57b54df29d5c516c7835b2aa30363f96
Description-en: system-wide profiler for Linux systems (opagent runtime library)
 OProfile is a performance profiling tool for Linux systems, capable
 of profiling all running code at low overhead.  It consists of a
 daemon for collecting sample data, plus several post-profiling tools
 for turning data into information.
 .
 This package contains the opagent runtime library.

Package: libopam-file-format-ocaml-dev
Description-md5: 65c535efe3fbc718dc985723112f7934
Description-en: Parser and printer for the opam file syntax
 opam-file-format is a library for printing and parsing opam files.
 It is the one used by the OPAM package manager, making it authoritative
 in that it accepts exactly the syntax that OPAM itself uses.
 .
 This binary package provides the development files.

Package: libopamgt-dev
Description-md5: 9fb3653d63ee5151e3eddfc26449ab98
Description-en: Development files for libopamgt0
 libopamgt is the library necessary to build applications that interface with
 an Omni-Path fabric manager.
 .
 This package is needed to compile programs against libopamgt0.
 It contains the header files and links needed for compiling.

Package: libopamgt0
Description-md5: d0dd89464c0f3b0238a11a0a06a908b0
Description-en: Omni-Path fabric management API library
 libopamgt is the library necessary to build applications that interface with
 an Omni-Path fabric manager.
 .
 This package contains the shared libraries.

Package: libopasadb-dev
Description-md5: 8b388b8a8d3036724472ef7ac6017090
Description-en: Development files for libopasadb1
 libopasadb is a library for easy access to the Omni-Path shared memory SA
 cache exported by the ibacm distributed SA provider (dsap).
 .
 This package is needed to compile programs against libopasadb1.
 It contains the header files and links needed for compiling.

Package: libopasadb1
Description-md5: edd3e8241c3dc9430a8b4619f2ea7dd9
Description-en: Omni-Path dsap API library
 libopasadb is a library for easy access to the Omni-Path shared memory SA
 cache exported by the ibacm distributed SA provider (dsap).
 .
 This package contains the shared libraries.

Package: libopen-trace-format-dev
Description-md5: cc31607672380d5ebba77ef4f230204a
Description-en: Open Trace Format support library - development files
 OTF is a standard trace format used by several high-performance tools,
 using an ASCII encoding, which supports multiple streams. The libotf
 provides support for reading/writing them.
 .
 This package contains development files to compile against
 libopen-trace-format.

Package: libopen-trace-format1
Description-md5: 942a33170a37b40f4d87c2a585041442
Description-en: Open Trace Format support library - shared library
 OTF is a standard trace format used by several high-performance tools,
 using an ASCII encoding, which supports multiple streams. The libotf
 provides support for reading/writing them.
 .
 This package contains the libotf shared library.

Package: libopenafs-dev
Description-md5: b6f316eebfa1cc038bb9afed528de9fc
Description-en: AFS distributed filesystem development libraries
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides static development libraries and headers
 needed to compile AFS applications.

Package: libopenal-data
Description-md5: 46f14b2122a33082c8e42cb2ecd28f83
Description-en: Software implementation of the OpenAL audio API (data files)
 OpenAL, the Open Audio Library, is a joint effort to create an open,
 vendor-neutral, cross-platform API for interactive, primarily spatialized
 audio. OpenAL's primary audience are application developers and desktop
 users that rely on portable standards like OpenGL, for games and other
 multimedia applications.
 .
 This package installs data files used by the OpenAL Soft shared library.

Package: libopenal-dev
Description-md5: dc7d0fb4cfa3510d0c5bd03b73f95606
Description-en: Software implementation of the OpenAL audio API (development files)
 OpenAL, the Open Audio Library, is a joint effort to create an open,
 vendor-neutral, cross-platform API for interactive, primarily spatialized
 audio. OpenAL's primary audience are application developers and desktop
 users that rely on portable standards like OpenGL, for games and other
 multimedia applications.
 .
 This package contains the files necessary for development with OpenAL .

Package: libopenal1
Description-md5: 6c583bdfdc4c7b37bbd96c94be753ea6
Description-en: Software implementation of the OpenAL audio API (shared library)
 OpenAL, the Open Audio Library, is a joint effort to create an open,
 vendor-neutral, cross-platform API for interactive, primarily spatialized
 audio. OpenAL's primary audience are application developers and desktop
 users that rely on portable standards like OpenGL, for games and other
 multimedia applications.
 .
 This library is meant as a compatible update/replacement to the OpenAL Sample
 Implementation (the SI). The SI has been unmaintained for quite a while, and
 would require a lot of work to clean up. This is a fork the old Windows version
 to attempt an accelerated ALSA version of an OpenAL implementation.
 .
 OpenAL Soft supports mono, stereo, 4-channel, 5.1, 6.1, and 7.1 output, as
 opposed to the SI's 4-channel max (though it did have some provisions for 6
 channel, this was not 5.1, and was seemingly a "late" addition). OpenAL Soft
 does not support the Vorbis and MP3 extensions, however those were considered
 deprecated even in the SI. It does, though, support some of the newer
 extensions like AL_EXT_FLOAT32 and AL_EXT_MCFORMATS for multi-channel and
 floating-point formats, as well as ALC_EXT_EFX for environmental audio effects,
 and others.
 .
 This package installs the OpenAL Soft shared library.

Package: libopenbabel-dev
Description-md5: 4f0a32197b42c191862bd6c662f5887a
Description-en: Chemical toolbox library (development files)
 Open Babel is a chemical toolbox designed to speak the many languages of
 chemical data. It allows one to search, convert, analyze, or store data from
 molecular modeling, chemistry, solid-state materials, biochemistry, or related
 areas.  Features include:
 .
  * Hydrogen addition and deletion
  * Support for Molecular Mechanics
  * Support for SMARTS molecular matching syntax
  * Automatic feature perception (rings, bonds, hybridization, aromaticity)
  * Flexible atom typer and perception of multiple bonds from atomic coordinates
  * Gasteiger-Marsili partial charge calculation
 .
 File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL
 Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC.
 .
 This package contains the static library and the header files.

Package: libopenbabel-doc
Description-md5: 0fab4d74aecda6153f6001ea00c04b00
Description-en: Chemical toolbox library (documentation)
 Open Babel is a chemical toolbox designed to speak the many languages of
 chemical data. It allows one to search, convert, analyze, or store data from
 molecular modeling, chemistry, solid-state materials, biochemistry, or related
 areas.  Features include:
 .
  * Hydrogen addition and deletion
  * Support for Molecular Mechanics
  * Support for SMARTS molecular matching syntax
  * Automatic feature perception (rings, bonds, hybridization, aromaticity)
  * Flexible atom typer and perception of multiple bonds from atomic coordinates
  * Gasteiger-Marsili partial charge calculation
 .
 File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL
 Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC.
 .
 This package contains the library documentation.

Package: libopenbabel6
Description-md5: 9b073686beba43d7febfa498b6b90bf2
Description-en: Chemical toolbox library
 Open Babel is a chemical toolbox designed to speak the many languages of
 chemical data. It allows one to search, convert, analyze, or store data from
 molecular modeling, chemistry, solid-state materials, biochemistry, or related
 areas.  Features include:
 .
  * Hydrogen addition and deletion
  * Support for Molecular Mechanics
  * Support for SMARTS molecular matching syntax
  * Automatic feature perception (rings, bonds, hybridization, aromaticity)
  * Flexible atom typer and perception of multiple bonds from atomic coordinates
  * Gasteiger-Marsili partial charge calculation
 .
 File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL
 Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC.
 .
 This package contains the shared library.

Package: libopenblas-base
Description-md5: 915018994aa61b061798d4ac101b723d
Description-en: Optimized BLAS (linear algebra) library (transitional)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian
 .
 This is a transitional dummy package, which can be safely removed.

Package: libopenblas-dev
Description-md5: bbcc7e49b59d0c71be5806b1b4b7317b
Description-en: Optimized BLAS (linear algebra) library (dev, meta)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 This package includes the static libraries and symbolic links
 needed for program development.

Package: libopenblas-openmp-dev
Description-md5: bd1cb1afb2e3b0a26485bdc60f3aae88
Description-en: Optimized BLAS (linear algebra) library (dev, openmp)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 This package includes the static libraries and symbolic links
 needed for program development.
 .
 Configuration: USE_THREAD=1 USE_OPENMP=1 INTERFACE64=0

Package: libopenblas-pthread-dev
Description-md5: eb015647e73e8b403f26082c50238b42
Description-en: Optimized BLAS (linear algebra) library (dev, pthread)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 This package includes the static libraries and symbolic links
 needed for program development.
 .
 Configuration: USE_THREAD=1 USE_OPENMP=0 INTERFACE64=0

Package: libopenblas-serial-dev
Description-md5: 7aeeda234b256d2e1aaa9f8e4576cfd7
Description-en: Optimized BLAS (linear algebra) library (dev, serial)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 This package includes the static libraries and symbolic links
 needed for program development.
 .
 Configuration: USE_THREAD=0 USE_OPENMP=0 INTERFACE64=0

Package: libopenblas0
Description-md5: fd308a95c763322907882f3e3b825719
Description-en: Optimized BLAS (linear algebra) library (meta)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian

Package: libopenblas0-openmp
Description-md5: d0e00734f91e044f91378db698915938
Description-en: Optimized BLAS (linear algebra) library (shared lib, openmp)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian
 .
 Configuration: USE_THREAD=1 USE_OPENMP=1 INTERFACE64=0

Package: libopenblas0-pthread
Description-md5: 6ed36fa3bedc87180c0ed035ef208f31
Description-en: Optimized BLAS (linear algebra) library (shared lib, pthread)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian
 .
 Configuration: USE_THREAD=1 USE_OPENMP=0 INTERFACE64=0

Package: libopenblas0-serial
Description-md5: 546a76e67e67a03e30793cd068bb7b26
Description-en: Optimized BLAS (linear algebra) library (shared lib, serial)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian
 .
 Configuration: USE_THREAD=0 USE_OPENMP=0 INTERFACE64=0

Package: libopenblas64-0
Description-md5: e502a7b30a60df84c2567e6d3a19ff16
Description-en: Optimized BLAS (linear algebra) library (shared lib, 64bit, meta)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian
 .
 This metapackage provides a 64-bit indexing version.

Package: libopenblas64-0-openmp
Description-md5: 4eb15ddfc66968f5e67a30e4e8ec3080
Description-en: Optimized BLAS (linear algebra) library (shared lib, 64bit, openmp)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian
 .
 Configuration: USE_THREAD=1 USE_OPENMP=1 INTERFACE64=1

Package: libopenblas64-0-pthread
Description-md5: 089bbf0686fd55da449c365ac973199f
Description-en: Optimized BLAS (linear algebra) library (shared lib, 64bit, pthread)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian
 .
 Configuration: USE_THREAD=1 USE_OPENMP=0 INTERFACE64=1

Package: libopenblas64-0-serial
Description-md5: 930c82bc111c9a6b58cd1526cb6002da
Description-en: Optimized BLAS (linear algebra) library (shared lib, 64bit, serial)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 For more information on how to rebuild locally OpenBLAS, see the section:
 "Building Optimized OpenBLAS Packages on your ARCH" in README.Debian
 .
 Configuration: USE_THREAD=0 USE_OPENMP=0 INTERFACE64=1

Package: libopenblas64-dev
Description-md5: d466167832d014bb414c8df80aba5214
Description-en: Optimized BLAS (linear algebra) library (dev, 64bit, meta)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 This package includes the static libraries and symbolic links
 needed for program development.
 .
 This metapackage provides a 64-bit indexing version.

Package: libopenblas64-openmp-dev
Description-md5: eb5c696cf942f6eb77f2706501755c8b
Description-en: Optimized BLAS (linear algebra) library (dev, 64bit, openmp)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 This package includes the static libraries and symbolic links
 needed for program development.
 .
 Configuration: USE_THREAD=1 USE_OPENMP=1 INTERFACE64=1

Package: libopenblas64-pthread-dev
Description-md5: f5cbfb69f6203252639cd63bb2b1f9eb
Description-en: Optimized BLAS (linear algebra) library (dev, 64bit, pthread)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 This package includes the static libraries and symbolic links
 needed for program development.
 .
 Configuration: USE_THREAD=1 USE_OPENMP=0 INTERFACE64=1

Package: libopenblas64-serial-dev
Description-md5: ea1329a53e7f086a32318af3e703d8e1
Description-en: Optimized BLAS (linear algebra) library (dev, 64bit, serial)
 OpenBLAS is an optimized BLAS library based on GotoBLAS2 1.13 BSD version.
 .
 Unlike Atlas, OpenBLAS provides a multiple architecture library.
 .
 All kernel will be included in the library and dynamically switched to the
 best architecture at run time (only on amd64, arm64, i386 and ppc64el).
 .
 This package includes the static libraries and symbolic links
 needed for program development.
 .
 Configuration: USE_THREAD=0 USE_OPENMP=0 INTERFACE64=1

Package: libopencc-dev
Description-md5: 83d02197146d771b3318b5db9c41bc2e
Description-en: simplified-traditional Chinese conversion library - development
 opencc is a library for converting character between traditional Chinese and
 simplified Chinese.
 .
 This package includes the development support files.

Package: libopencc2
Description-md5: cdef50a529929a6880d82c26934b7b7c
Description-en: simplified-traditional Chinese conversion library - runtime
 opencc is a library for converting character between traditional Chinese and
 simplified Chinese.
 .
 This package includes the shared library.

Package: libopencc2-data
Description-md5: ae564ef7c2216fe88e43fcb28dd01b89
Description-en: simplified-traditional Chinese conversion library - data files
 opencc is a library for converting character between traditional Chinese and
 simplified Chinese.
 .
 This package includes the data files.

Package: libopencl-clang-dev
Description-md5: a3778115ad90d0947318a4ca025d1360
Description-en: thin wrapper for clang -- development files
 Opencl-clang is a thin wrapper library around clang. It has an
 OpenCL-oriented API and is capable of compiling OpenCL C kernels
 to SPIR-V modules.
 .
 This package includes files needed for development.

Package: libopencl-clang10
Description-md5: 99773d47de61dea15893c5e8d11f91fe
Description-en: thin wrapper for clang
 Opencl-clang is a thin wrapper library around clang. It has an
 OpenCL-oriented API and is capable of compiling OpenCL C kernels
 to SPIR-V modules.

Package: libopencolorio-dev
Description-md5: b16326d2299fcdd97a62b43844b80449
Description-en: complete color management solution - development
 OpenColorIO (OCIO) is a complete color management solution geared
 towards motion picture production with an emphasis on visual effects
 and computer animation. OCIO provides a straightforward and consistent
 user experience across all supporting applications while allowing for
 sophisticated back-end configuration options suitable for high-end
 production usage. OCIO is compatible with the Academy Color Encoding
 Specification (ACES) and is LUT-format agnostic, supporting many
 popular formats.
 .
 OpenColorIO is released as version 1.0 and has been in development
 since 2003. OCIO represents the culmination of years of production
 experience earned on such films as SpiderMan 2 (2004), Surf's Up
 (2007), Cloudy with a Chance of Meatballs (2009), Alice in Wonderland
 (2010), and many more. OpenColorIO is natively supported in commercial
 applications like Katana, Mari, Silhouette FX, and others coming soon.
 .
 OpenColorIO is free and is one of several open source projects
 actively sponsored by Sony Imageworks.
 .
 This package provides the development files used to build applications
 using libopencolorio.

Package: libopencolorio1v5
Description-md5: 13861170bb63f063e599ef8914948a2c
Description-en: complete color management solution - runtime
 OpenColorIO (OCIO) is a complete color management solution geared
 towards motion picture production with an emphasis on visual effects
 and computer animation. OCIO provides a straightforward and consistent
 user experience across all supporting applications while allowing for
 sophisticated back-end configuration options suitable for high-end
 production usage. OCIO is compatible with the Academy Color Encoding
 Specification (ACES) and is LUT-format agnostic, supporting many
 popular formats.
 .
 OpenColorIO is released as version 1.0 and has been in development
 since 2003. OCIO represents the culmination of years of production
 experience earned on such films as SpiderMan 2 (2004), Surf's Up
 (2007), Cloudy with a Chance of Meatballs (2009), Alice in Wonderland
 (2010), and many more. OpenColorIO is natively supported in commercial
 applications like Katana, Mari, Silhouette FX, and others coming soon.
 .
 OpenColorIO is free and is one of several open source projects
 actively sponsored by Sony Imageworks.
 .
 This package provides the runtime files used to run applications
 built against libopencolorio.

Package: libopenconnect-dev
Description-md5: 22e99c27bf940ac3c049a5e7ad26eea9
Description-en: open client for Cisco AnyConnect, Pulse, GlobalProtect VPN - development files
 OpenConnect is an SSL VPN client initially created to support Cisco's
 AnyConnect SSL VPN. It has since been extended to support the Pulse Connect
 Secure VPN (formerly known as Juniper Network Connect or Junos Pulse) and
 the Palo Alto Networks GlobalProtect SSL VPN.
 .
 This package provides the header and development files.

Package: libopenconnect5
Description-md5: 8421b01fd4afe230fdbefc3b3989dbb2
Description-en: open client for Cisco AnyConnect, Pulse, GlobalProtect VPN - shared library
 OpenConnect is an SSL VPN client initially created to support Cisco's
 AnyConnect SSL VPN. It has since been extended to support the Pulse Connect
 Secure VPN (formerly known as Juniper Network Connect or Junos Pulse) and
 the Palo Alto Networks GlobalProtect SSL VPN.
 .
 This package provides the shared library.

Package: libopencore-amrnb-dev
Description-md5: 671a2e2b8b441777bcf55f971b9296b8
Description-en: Adaptive Multi Rate speech codec - development files
 This library contains an implementation of the 3GPP TS 26.073 specification for
 the Adaptive Multi Rate (AMR) speech codec. The implementation is derived from
 the OpenCORE framework, part of the Google Android project.
 .
 This package contains the files necessary for development.

Package: libopencore-amrnb0
Description-md5: 6df00c34aece08a5528c0e26a8b0d9f6
Description-en: Adaptive Multi Rate speech codec - shared library
 This library contains an implementation of the 3GPP TS 26.073 specification for
 the Adaptive Multi Rate (AMR) speech codec. The implementation is derived from
 the OpenCORE framework, part of the Google Android project.
 .
 This package contains the encoder/decoder shared library.

Package: libopencore-amrwb-dev
Description-md5: 6e53d2e9b8679f91cd6d47cdc8fe3307
Description-en: Adaptive Multi-Rate - Wideband speech codec - development files
 This library contains an implementation of the 3GPP TS 26.173 specification for
 the Adaptive Multi-Rate - Wideband (AMR-WB) speech decoder. The implementation
 is derived from the OpenCORE framework, part of the Google Android project.
 .
 This package contains the files necessary for development.

Package: libopencore-amrwb0
Description-md5: 97f9a18dd38dc599fb718dd1ee6269fc
Description-en: Adaptive Multi-Rate - Wideband speech codec - shared library
 This library contains an implementation of the 3GPP TS 26.173 specification for
 the Adaptive Multi-Rate - Wideband (AMR-WB) speech decoder. The implementation
 is derived from the OpenCORE framework, part of the Google Android project.
 .
 This package contains the decoder shared library.

Package: libopencryptoki-dev
Description-md5: 5ccede4e52f23a23ec6f510afbb7738e
Description-en: PKCS#11 implementation (development)
 openCryptoki is a PKCS#11 Cryptographic Token Interface Standard
 implementation.  It includes drivers and libraries to enable IBM cryptographic
 hardware such as Trusted Computing Platform (TPM) cryptographic devices as well
 as a software token for testing.
 .
 This package contains the development files.

Package: libopencryptoki0
Description-md5: 8feafc6f1695544c5f562059012b0d01
Description-en: PKCS#11 implementation (library)
 openCryptoki is a PKCS#11 Cryptographic Token Interface Standard
 implementation.  It includes drivers and libraries to enable IBM cryptographic
 hardware such as Trusted Computing Platform (TPM) cryptographic devices as well
 as a software token for testing.
 .
 This package contains the library.

Package: libopencsd-bin
Description-md5: 31d5f108d196b63ad9944f29d45b6126
Description-en: ARM CoreSight trace decode utility
 Tool to decode ARM Coresight trace stream packets.
 .
 The CoreSight library provides an API suitable for the decode of ARM(r)
 CoreSight(tm) trace streams. It supports ETMv3 data & instruction trace,
 ETMv4 instruction trace, PTM (v1.1) instruction trace, STM (v1.1) software
 trace, and support for external/custom decoders.
 .
 This is the tools package containing useful binaries.

Package: libopencsd-dev
Description-md5: 52d6dc5bc57f1d7c4f0b10b069b5844d
Description-en: ARM CoreSight trace decode library development files
 This library provides an API suitable for the decode of ARM(r) CoreSight(tm)
 trace streams. It supports ETMv3 data & instruction trace, ETMv4 instruction
 trace, PTM (v1.1) instruction trace, STM (v1.1) software trace, and support for
 external/custom decoders.
 .
 This is the development package containing the headers and static library build

Package: libopencsd-doc
Description-md5: ac0804d174296d8c0430ecfaa00a9a31
Description-en: ARM CoreSight trace decode library documentation
 This library provides an API suitable for the decode of ARM(r) CoreSight(tm)
 trace streams. It supports ETMv3 data & instruction trace, ETMv4 instruction
 trace, PTM (v1.1) instruction trace, STM (v1.1) software trace, and support for
 external/custom decoders.
 .
 This is the documentation package.

Package: libopencsd0
Description-md5: c49e1c2955dc573abe34af6f679ce304
Description-en: ARM CoreSight Trace decode library
 This library provides an API suitable for the decode of ARM(r) CoreSight(tm)
 trace streams. It supports ETMv3 data & instruction trace, ETMv4 instruction
 trace, PTM (v1.1) instruction trace, STM (v1.1) software trace, and support for
 external/custom decoders.
 .
 This library is trypically used via perf, but libopencsd-bin also contains a
 test binary.

Package: libopencsg-dev
Description-md5: 648c990158737b1708d7cdb8e616e21d
Description-en: image-based CSG library using OpenGL (development files)
 OpenCSG is a library for CSG (Constructive Solid Geometry) that can combine
 geometric primitives to more complex objects, for example the difference
 between two primitives. Instead of explicitly calculating the shape of the
 resulting object, it uses OpenGL's z-buffer to render the image.
 .
 OpenCSG implements both the Goldfeather and the SCS algorithm.
 .
 This package contains files needed to compile opencsg applications.

Package: libopencsg-example
Description-md5: 4d143d91f410cadf627e8ae3ef192884
Description-en: image-based CSG library using OpenGL (example program)
 OpenCSG is a library for CSG (Constructive Solid Geometry) that can combine
 geometric primitives to more complex objects, for example the difference
 between two primitives. Instead of explicitly calculating the shape of the
 resulting object, it uses OpenGL's z-buffer to render the image.
 .
 OpenCSG implements both the Goldfeather and the SCS algorithm.
 .
 This package contains a OpenCSG demo program.

Package: libopencsg1
Description-md5: fdde7d18a8c2636768085ea13ba79f81
Description-en: image-based CSG (Constructive Solid Geometry) library using OpenGL
 OpenCSG is a library for CSG (Constructive Solid Geometry) that can combine
 geometric primitives to more complex objects, for example the difference
 between two primitives. Instead of explicitly calculating the shape of the
 resulting object, it uses OpenGL's z-buffer to render the image.
 .
 OpenCSG implements both the Goldfeather and the SCS algorithm.
 .
 This package contains shared library files.

Package: libopencsg1-dbg
Description-md5: 0e7e9614e27c3e8ad984b8e5f302a7a6
Description-en: debugging symbols for libopencsg
 OpenCSG is a library for CSG (Constructive Solid Geometry) that can combine
 geometric primitives to more complex objects, for example the difference
 between two primitives. Instead of explicitly calculating the shape of the
 resulting object, it uses OpenGL's z-buffer to render the image.
 .
 OpenCSG implements both the Goldfeather and the SCS algorithm.
 .
 This package contains the debugging symbols for libopencsg.

Package: libopencsv-java
Description-md5: 88c68b4b9c6ca3010c28c8b5974d3933
Description-en: opencsv - Library for reading and writing CSV in Java
 Opencsv is a very simple csv (comma-separated values) parser library for Java.
 It supports all the basic csv-type things you're likely to want to do:
 .
  * Arbitrary numbers of values per line
  * Ignoring commas in quoted elements
  * Handling quoted entries with embedded carriage returns
    (ie entries that span multiple lines)
  * Configurable separator and quote characters (or use sensible defaults)
  * Read all the entries at once, or use an Iterator style model
  * Creating csv files from String[] (ie. automatic escaping of embedded
    quote chars)

Package: libopencsv-java-doc
Description-md5: cdaf2cad8bc8231ae3e2344e73d9340a
Description-en: Documentation for opencsv
 Simple library for reading and writing CSV in Java
 .
 This package contains the API documentation of libopencsv-java.

Package: libopenctm-dev
Description-md5: f3f78fa98c7b277518d15c0662ccff13
Description-en: Library headers for compression of 3D triangle meshes
 OpenCTM — the Open Compressed Triangle Mesh file format — is a file format,
 a software library and a tool set for compression of 3D triangle meshes.
 The geometry is compressed to a fraction of comparable file formats,
 and the format is easily accessible through a simple, portable API.
 .
 This package contains the development files needed for compiling programs
 using OpenCTM.

Package: libopenctm1
Description-md5: e7bbed0d3c2e8ddeb50193116d996b27
Description-en: Library for compression of 3D triangle meshes
 OpenCTM — the Open Compressed Triangle Mesh file format — is a file format,
 a software library and a tool set for compression of 3D triangle meshes.
 The geometry is compressed to a fraction of comparable file formats,
 and the format is easily accessible through a simple, portable API.
 .
 This package contains the OpenCTM runtime library.

Package: libopencv-apps-dev
Description-md5: f821b7074e3fe807152d944172d7276b
Description-en: Opencv_apps Robot OS package - development files
 This package is part of Robot OS (ROS). It contains several ROS
 packages for working providing OpenCV functionalities in a simplest
 manner in ROS, i.e., running a launch file that corresponds to
 the functionality.
 .
 The package contains implementations for edge detection, structural analysis,
 people/face detection, motion analysis and object segmentation.
 .
 It contains development files for the opencv_apps library.

Package: libopencv-apps1d
Description-md5: 30dec07808823e82c7e85e2010bf6c8b
Description-en: opencv_apps Robot OS package - runtime files
 This package is part of Robot OS (ROS). It contains several ROS
 packages for working providing OpenCV functionalities in a simplest
 manner in ROS, i.e., running a launch file that corresponds to
 the functionality.
 .
 The package contains implementations for edge detection, structural analysis,
 people/face detection, motion analysis and object segmentation.
 .
 It contains the opencv_apps library itself.

Package: libopencv-calib3d-dev
Description-md5: 34e42d7b0ad0330c1c8d003fe5c7f50b
Description-en: development files for libopencv-calib3d4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Camera Calibration library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-calib3d4.2
Description-md5: 90b6483109ee9ac13cb0398eafec9088
Description-en: computer vision Camera Calibration library
 This package contains the OpenCV (Open Computer Vision) Camera Calibration
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-contrib-dev
Description-md5: 26f8e4c37a1e479a64d733b35317525f
Description-en: development files for libopencv-contrib4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) contrib library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-contrib4.2
Description-md5: 12b10fb988ec65c7a77c56adb9016302
Description-en: computer vision contrlib library
 This package contains the OpenCV (Open Computer Vision) opencv_contrib runtime
 libraries. This package contain following contrlib libraries:
 .
   - aruco
   - bgsegm
   - bioinspired
   - ccalib
   - cnn_3dobj
   - cvv
   - datasets
   - dpm
   - face
   - freetype
   - fuzzy
   - hdf
   - hfs
   - img_hash
   - line_descriptor
   - matlab
   - optflow
   - ovis
   - phase_unwrapping
   - plot
   - reg
   - rgbd
   - saliency
   - sfm
   - stereo
   - structured_light
   - surface_matching
   - tracking
   - ximgproc
   - xobjdetect
   - xphoto
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-core-dev
Description-md5: 3515895e575452b32312698f7e98ed05
Description-en: development files for libopencv-core4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) core.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-core4.2
Description-md5: 8292a7f05662b9e9cf3408ffc2f8e61f
Description-en: computer vision core library
 This package contains the OpenCV (Open Computer Vision) core runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-dev
Description-md5: f9dc67381f1013c39fe59842c79cbddf
Description-en: development files for opencv
 This is a metapackage providing development package necessary for
 development of OpenCV (Open Computer Vision).
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-dnn-dev
Description-md5: fa4d0db6ffdd5a46445bc0a0e992d354
Description-en: development files for libopencv-dnn4.2
 This package contains the header files and static library needed to compile
 in deep neural network module.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-dnn4.2
Description-md5: 72ced12aa6915ca1fd2c25f0f814929c
Description-en: computer vision Deep neural network module
 This package contains the OpenCV (Open Computer Vision) deep neural network
 module.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-features2d-dev
Description-md5: cf50e37d8cc65d77c299a9ebe0f86a00
Description-en: development files for libopencv-features2d4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Feature Detection and
 Descriptor Extraction library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-features2d4.2
Description-md5: 122b12bbf961eda4e13f729263851b16
Description-en: computer vision Feature Detection and Descriptor Extraction library
 This package contains the OpenCV (Open Computer Vision) Feature Detection
 and Descriptor Extraction runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-flann-dev
Description-md5: 3155be51f78365e89913023c47f0e9d8
Description-en: development files for libopencv-flann4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Clustering and Search
 in Multi-Dimensional spaces library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-flann4.2
Description-md5: b0dfaeb42797c690e89719d4e38be30c
Description-en: computer vision Clustering and Search in Multi-Dimensional spaces library
 This package contains the OpenCV (Open Computer Vision) clustering and
 search in Multi-Dimensional spaces runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-highgui-dev
Description-md5: a8857c9304c3fbd770c70dc71b75f6cb
Description-en: development files for libopencv-highgui4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) High-level GUI and
 Media I/O library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-highgui4.2
Description-md5: 4f2ee9c2e44d09b28cce1cc8726b50f0
Description-en: computer vision High-level GUI and Media I/O library
 This package contains the OpenCV (Open Computer Vision) High-level GUI
 and Media I/O runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-imgcodecs-dev
Description-md5: 5bda1e1168bf17894130d2224958c1d9
Description-en: development files for libopencv-imgcodecs4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Image Codecs library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-imgcodecs4.2
Description-md5: a60db0058ca6d4545c6dee49271993ff
Description-en: computer vision Image Codecs library
 This package contains the OpenCV (Open Computer Vision) Image Codecs
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-imgproc-dev
Description-md5: 6180bae2e5e67d84fef4998271c2873d
Description-en: development files for libopencv-imgproc4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Image Processing library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-imgproc4.2
Description-md5: 98d7deaad1f7fb1315ad11b8d946c391
Description-en: computer vision Image Processing library
 This package contains the OpenCV (Open Computer Vision) Image Processing
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-ml-dev
Description-md5: 7e755318b2f5d3b06cc41d397bcde8f3
Description-en: development files for libopencv-ml4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Machine Learning library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-ml4.2
Description-md5: 59ba4a6fa1005775468fe2df9151c0bb
Description-en: computer vision Machine Learning library
 This package contains the OpenCV (Open Computer Vision) Machine Learning
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-objdetect-dev
Description-md5: df494078076ed71e4e3c7d68bc2bd3d1
Description-en: development files for libopencv-objdetect4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Object Detection library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-objdetect4.2
Description-md5: 9a77ab897ba11a70615d3e366bf512ad
Description-en: computer vision Object Detection library
 This package contains the OpenCV (Open Computer Vision) Object Detection
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-photo-dev
Description-md5: b05e092ba30f59f563f4b2d7128d21ba
Description-en: development files for libopencv-photo4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) computational photography
 library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-photo4.2
Description-md5: c096ada5b24714a93c563c0c9324da3b
Description-en: computer vision computational photography library
 This package contains the OpenCV (Open Computer Vision) computational
 photography runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-shape-dev
Description-md5: 7fd143a0cc4db510999bd6b9610f5f6e
Description-en: development files for libopencv-shape4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) shape descriptors and
 matchers library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-shape4.2
Description-md5: 29f59ebec864aa3692b3bfeb6fe9c8d7
Description-en: computer vision shape descriptors and matchers library
 This package contains the OpenCV (Open Computer Vision) shape descriptors
 and matchers runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-stitching-dev
Description-md5: a5fc07bfa65b85526ec1457e2e4fd8d7
Description-en: development files for libopencv-stitching4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) image stitching library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-stitching4.2
Description-md5: ac8bc208181de694f4af969d67121211
Description-en: computer vision image stitching library
 This package contains the OpenCV (Open Computer Vision) image stitching
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-superres-dev
Description-md5: ebe0a091d797e52c6cfdb9b97a36054f
Description-en: development files for libopencv-superres4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Super Resolution library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-superres4.2
Description-md5: d6c05e870423afcb1e1ba16cdd7f3b6b
Description-en: computer vision Super Resolution library
 This package contains the OpenCV (Open Computer Vision) Super Resolution
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-ts-dev
Description-md5: 2ee7e05aea9726c855c282797194db90
Description-en: development files for TS library of OpenCV (Open Computer Vision)
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) TS library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-video-dev
Description-md5: 71df00f63aa06daf8c57e4c43ffe71f1
Description-en: development files for libopencv-video4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Video analysis library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-video4.2
Description-md5: bd7405911f1732d24e276b0fe9a79d56
Description-en: computer vision Video analysis library
 This package contains the OpenCV (Open Computer Vision) Video analysis
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-videoio-dev
Description-md5: 2e69ec0e8a1870004ae9304cd850da02
Description-en: development files for libopencv-videoio4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) Video I/O library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-videoio4.2
Description-md5: 9423a6c92ac81c419de450fdcf11b5f3
Description-en: computer vision Video I/O library
 This package contains the OpenCV (Open Computer Vision) Video I/O
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-videostab-dev
Description-md5: 5f19004ae807347d9665db37e47d2332
Description-en: development files for libopencv-videostab4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) video stabilization
 library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-videostab4.2
Description-md5: efea3ad388a51f61b9510a64b37f2ec2
Description-en: computer vision video stabilization library
 This package contains the OpenCV (Open Computer Vision) video stabilization
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-viz-dev
Description-md5: 67facc5bbec64c1acefa0af4ecd1ccf9
Description-en: development files for libopencv-viz4.2
 This package contains the header files and static library needed to compile
 applications that use OpenCV (Open Computer Vision) 3D data visualization
 library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv-viz4.2
Description-md5: d65227bfb9f1bec6ce226d96bdf9fd6b
Description-en: computer vision 3D data visualization library
 This package contains the OpenCV (Open Computer Vision) 3D data visualization
 runtime libraries.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv4.2-java
Description-md5: 3ec3c157401d8e95562d4ddb20dd6782
Description-en: Java bindings for the computer vision library
 This package contains Java bindings for the OpenCV (Open Computer Vision)
 library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopencv4.2-jni
Description-md5: 3e8bfd86bff143c280bb78e8c95073c6
Description-en: Java jni library for the computer vision library
 This package contains Java jni library for the OpenCV (Open Computer Vision)
 library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: libopendbx1
Description-md5: b7770d4a1f746e6eb3e952f1de74860a
Description-en: Lightweight database access abstraction layer
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 The sqlite3 backend is recommended by default if no other backend is
 installed with libopendbx1

Package: libopendbx1-dev
Description-md5: faa464e753df5c1d3fd7281c38c69353
Description-en: Lightweight database access abstraction layer (dev)
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the header file to develop backends for libopendbx

Package: libopendbx1-firebird
Description-md5: 0f8bb25c8946b4bf468ddd1e3bdffc46
Description-en: Firebird backend for OpenDBX
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the Firebird backend.

Package: libopendbx1-mssql
Description-md5: b40b59006d0986f857eff25955633efa
Description-en: MSSQL backend for OpenDBX
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the MSSQL backend.

Package: libopendbx1-mysql
Description-md5: b323633ef284576959a44e5ac082f4ca
Description-en: MySQL backend for OpenDBX
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the MySQL backend.

Package: libopendbx1-odbc
Description-md5: 8c66f30fa8734bf75466d8a4bd1e36df
Description-en: ODBC backend for OpenDBX
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the ODBC backend.

Package: libopendbx1-pgsql
Description-md5: c0e2d86f4caf23f7173c3ba4791d0060
Description-en: PostgreSQL backend for OpenDBX
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the PostgreSQL backend.

Package: libopendbx1-sqlite
Description-md5: c4f652e841f9349b554ae02f0594151e
Description-en: SQLite backend for OpenDBX
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the SQLite backend.

Package: libopendbx1-sqlite3
Description-md5: 81ba0d87765952c0e44387e44b017475
Description-en: SQLite3 backend for OpenDBX
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the SQLite3 backend.

Package: libopendbx1-sybase
Description-md5: 2f5f814a64af9199d3757c1996b41e0c
Description-en: Sybase ctlib backend for OpenDBX
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package contains the Sybase backend.

Package: libopendht-dev
Description-md5: c79f97721c100499b46569cd3b6b1f7f
Description-en: Development files for the libopendht library
 OpenDHT is a C++11 distributed hash table implementation.
 Feature list:
  - Distributed shared key->value data-store
  - Clean and powerful distributed map API with storage of
    arbitrary binary values of up to 56 KB.
  - Optional public key cryptography layer providing data
    signature and encryption (using GnuTLS).
  - IPv4 and IPv6 support.
 .
 This package contains the static library and headers.

Package: libopendkim-dev
Description-md5: 7c8e983fb8f0a3518aa898cfbdef6dec
Description-en: Headers and development libraries for the OpenDKIM library
 The OpenDKIM Project is a community effort to develop and maintain a C library
 for producing DKIM-aware applications and an open source milter for providing
 DomainKeys Identified Mail (DKIM) service.
 .
 This package provides the required header files and development libraries for
 developing against the OpenDKIM library.

Package: libopendkim11
Description-md5: 958b4519bf58eac03c4ee4df6990475d
Description-en: Library for signing and verifying DomainKeys Identified Mail signatures
 The OpenDKIM Project is a community effort to develop and maintain a C library
 for producing DKIM-aware applications and an open source milter for providing
 DomainKeys Identified Mail (DKIM) service.
 .
 This package provides library for implementing signing and verification of the
 DomainKeys Identified Mail (DKIM) standard. This library provides support for
 signing and verifying signatures according to RFC 4871, and supports both
 DomainKeys signing policy and DKIM ADSP.

Package: libopendmarc-dev
Description-md5: 6738e49405f629656d0c2e887c895829
Description-en: Headers and development libraries for the OpenDMARC library
 Domain-based Message Authentication, Reporting and Conformance (DMARC),
 builds on the successes of technologies such as DomainKeys Identified Mail
 (DKIM) and the Sender Policy Framework (SPF) to create an infrastructure that
 enforces policy on domain names that are visible to end users, and creates a
 feedback framework for identifying and tracking fraudulent use of domain
 names in email.
 .
 This package provides the required header files and development libraries for
 developing against the OpenDMARC library.

Package: libopendmarc2
Description-md5: 71bbf52de43abedcb507d8bbad49846a
Description-en: Library for DMARC validation and reporting
 Domain-based Message Authentication, Reporting and Conformance (DMARC),
 builds on the successes of technologies such as DomainKeys Identified Mail
 (DKIM) and the Sender Policy Framework (SPF) to create an infrastructure that
 enforces policy on domain names that are visible to end users, and creates a
 feedback framework for identifying and tracking fraudulent use of domain
 names in email.
 .
 This package provides library for implementing mail validation and reporting
 for the experimental DMARC standard.

Package: libopendrim0
Description-md5: 410b4eec94e9d67d707dd17f64871b29
Description-en: OpenDRIM Provider Common Library
 Library providing common functionalities
 needed by all the OpenDRIM providers.

Package: libopendrim0-dev
Description-md5: fd774c1e5555bf1decb8204d5c2f67a2
Description-en: OpenDRIM Provider Common Library - development files
 This package contains the headers and other development files not included in
 the main OpenDRIM Provider Common Library package.
 Install this if you wish to compile your own OpenDRIM providers.

Package: libopenems-dev
Description-md5: b9cd47ea03322bec70ee7b5b8d9d0192
Description-en: Development files for openems
 This package contains the development files for all
 the libraries provided by openEMS.
 .
 openEMS is a simulator for electromagnetic problems using
 the FDTD algorithm.

Package: libopenems0
Description-md5: 0b1d46115c600a2c649ad33b8b08b7d5
Description-en: Library for the electromagnetic simulator using the FDTD method
 The finite difference time domain method is a popular numerical algorithm
 for solving electromagnetic problems.
 .
 This package contains the library used by openEMS.

Package: libopenexr-dev
Description-md5: 8e2ac9ae29f1c1eb2cc160c36be0dd18
Description-en: development files for the OpenEXR image library
 OpenEXR is a high dynamic-range (HDR) image file format developed by
 Industrial Light & Magic for use in computer imaging applications.
 .
 OpenEXR's features include:
    * Higher dynamic range and colour precision than existing 8- and
      10-bit image file formats.
    * Support for the "half" 16-bit floating-point pixel format.
    * Multiple lossless image compression algorithms. Some of the
      included codecs can achieve 2:1 lossless compression ratios on
      images with film grain.
    * Extensibility. New compression codecs and image types can easily
      be added by extending the C++ classes included in the OpenEXR
      software distribution. New image attributes (strings, vectors,
      integers, etc.) can be added to OpenEXR image headers without
      affecting backward compatibility with existing OpenEXR
      applications.
 .
 This package contains the header files required if you wish to
 compile/develop applications that use OpenEXR libraries.

Package: libopenexr24
Description-md5: fc6d21580bbce28c399667b4a248a460
Description-en: runtime files for the OpenEXR image library
 OpenEXR is a high dynamic-range (HDR) image file format developed by
 Industrial Light & Magic for use in computer imaging applications.
 .
 OpenEXR's features include:
    * Higher dynamic range and colour precision than existing 8- and
      10-bit image file formats.
    * Support for the "half" 16-bit floating-point pixel format.
    * Multiple lossless image compression algorithms. Some of the
      included codecs can achieve 2:1 lossless compression ratios on
      images with film grain.
    * Extensibility. New compression codecs and image types can easily
      be added by extending the C++ classes included in the OpenEXR
      software distribution. New image attributes (strings, vectors,
      integers, etc.) can be added to OpenEXR image headers without
      affecting backward compatibility with existing OpenEXR
      applications.
 .
 This package contains the following shared library:
    * IlmImf - a library that reads and writes OpenEXR images.

Package: libopenfoam
Description-md5: 03c5770cc760693bbb21400c29d6894b
Description-en: Open source toolbox for Computational Fluid Dynamics (CFD) - libraries
 OpenFOAM is the free, open source CFD software released and developed
 primarily by OpenCFD Ltd since 2004. It has a large user base across most
 areas of engineering and science, from both commercial and academic
 organisations. OpenFOAM has an extensive range of features to solve anything
 from complex fluid flows involving chemical reactions, turbulence and heat
 transfer, to acoustics, solid mechanics and electromagnetics.
 .
 Package contains needed libraries.

Package: libopengl-image-perl
Description-md5: 5dee0e537a129be1a7a36f00e87097c9
Description-en: Image loader for OpenGL
 This module provides methods to load/modify/save images for use
 with OpenGL textures, FBOs and VBOs.
 .
 It also requires at least one supported OpenGL::Image imaging engine.
 At this time, the following drivers are supported:
 .
  * Targa  - Pure Perl - uncompressed RGBA files (comes with OpenGL::Image).
  * Magick - Requires PerlMagick (v6.3.5 or newer for best performance).

Package: libopengl-perl
Description-md5: 49fc80d1b2617a3b2a01b30ff7b21ec5
Description-en: Perl interface providing graphics display using OpenGL
 OpenGL is a Perl module that provides an interface to most of Open Graphics
 Library, API versions 1.0, 1.1 and 1.2. There is full support for the Utility
 Toolkit (GLUT) up to version 3 and provides some additional functionality via
 partial support for the Utility Library (GLU). It also provides functionality
 to use the X Window System (through X11 and GLX) as an alternative to GLUT.

Package: libopengl-xscreensaver-perl
Description-md5: 3f6c7ed7e94264f614d5b888be2aa182
Description-en: Perl module for writing OpenGL-based XScreenSaver hacks
 OpenGL::XScreenSaver allows you to write OpenGL XScreenSaver hacks in Perl.
 It prepares the OpenGL library to be used with XScreenSaver.
 .
 It provides subroutines to support both preview and full screen mode.

Package: libopenhft-affinity-java
Description-md5: 6a5f9d033be8234a0e2d2d4ab65bf7a5
Description-en: OpenHFT Java Thread Affinity library
 The Thread Affinity library can increase applications performance by
 allowing threads to be bound to specific CPU cores. This is a benefit
 if the data is already on the cores L1 cache because it won't have
 to be reloaded. The OpenHFT Thread Affinity library is the ideal choice
 for writing low latency code.

Package: libopenhft-chronicle-bytes-java
Description-md5: c46e4c262fce979adf2f913e24a1e4f9
Description-en: OpenHFT byte buffer library
 Chronicle Bytes has a similar purpose to Java NIO's ByteBuffer with some
 extensions. It's build on Chronicle Core's direct memory and OS system
 call access and contains all the low level memory access wrappers.
 The API supports:
  * 64-bit sizes
  * UTF-8 and ISO-8859-1 encoded strings.
  * thread safe off heap memory operations.
  * deterministic release of resources via reference counting.
  * compressed data types such as stop bit encoding.
  * elastic ByteBuffer wrappers which resize as required.
  * parsing text and writing text directly to off heap bytes.

Package: libopenhft-chronicle-core-java
Description-md5: 74840cf1219e9a1ae2b2bb55fea8dd6e
Description-en: OpenHFT core library
 Chronicle Core wraps up low level access to:
  * Off Heap Memory Access
  * JVM Access Methods
  * OS Calls
  * Resource Reference Counting
  * Object Pools
  * Class Local Caching
  * Maths Functions for casting types, rounding double, faster hashing.
  * Serializable Lambdas
  * Histogram A high performance wide range histogram.

Package: libopenhft-chronicle-network-java
Description-md5: 7dd046ce578ff2c1463244248b826e5b
Description-en: OpenHFT High Performance Network library
 Chronicle Network is a network library designed to be lower latency
 and support higher throughputs than Netty by employing techniques
 used in low latency trading systems.

Package: libopenhft-chronicle-queue-java
Description-md5: 2aae26c3b5e2ef2f96b241dd9e5fcd9f
Description-en: Java library for persisted low latency messaging
 Chronicle is a Java library focused on building a persisted low latency
 messaging framework for high performance and critical applications. Using
 non-heap storage options (RandomAccessFile) Chronicle provides a processing
 environment where applications do not suffer from garbage collection.

Package: libopenhft-chronicle-threads-java
Description-md5: 1600a2074fe9ad47a404606edb11c4fe
Description-en: OpenHFT thread pool library
 Chronicle Threads provides a high performance thread pool. This thread pool
 is designed to share blocking, monitoring and busy waiting threads. Busy
 waiting tasks can be prioritised for HIGH, MEDIUM, DAEMON (low priority)
 as well as TIMER (fixed rate events) tasks in a single thread without
 creating garbage.

Package: libopenhft-chronicle-wire-java
Description-md5: c026c8b6084b0b43f119fcab0ceb9857
Description-en: Wire format abstraction library
 Chronicle Wire supports a separation of describing what data one want
 to store and retrieve and how it should be rendered/parsed. Chronicle
 Wire handles a variety of formatting options for a wide range of formats.

Package: libopenhft-compiler-java
Description-md5: 122d4bc06ee1fca2d0701b0137c3539c
Description-en: Java Runtime Compiler library
 This library takes a String, compiles it and loads it returning a class from
 what was built. By default it uses the current ClassLoader. It supports nested
 classes, but otherwise builds one class at a time.

Package: libopenhft-lang-java
Description-md5: e74a92d57655722d61e5bdb810fefb07
Description-en: High Performance Java library for High Frequency Trading
 This library provides marshalling, de-marshalling and handling
 of thread safe off heap memory through ByteBuffers. It is designed
 to be largely GC-less such that millions of entries with 32 MB heap can be
 queued without triggering the garbage collector.

Package: libopenhmd-dev
Description-md5: 3405c713230ecd03aa561c7eae7dcf18
Description-en: API and drivers for immersive technology (development files)
 OpenHMD aims to provide a Free and Open Source API and drivers for
 immersive technology, such as head mounted displays with built in head
 tracking.
 .
 This package provides the necessary files needed for development.

Package: libopenhmd0
Description-md5: 4f245a94c3c301ef8ed19f4292bb76f9
Description-en: API and drivers for immersive technology (shared library)
 OpenHMD aims to provide a Free and Open Source API and drivers for
 immersive technology, such as head mounted displays with built in head
 tracking.
 .
 This package provides the shared library.

Package: libopenid4java-java
Description-md5: ea9025420cb17647c815a8a62894f8bf
Description-en: This library allows you to OpenID-enable your Java webapp
 OpenID starts with the concept that anyone can identify themselves on the
 Internet the same way websites do - with a URI. Since URIs are at the very core
 of Web architecture, they provide a solid foundation for user-centric identity.
 The first piece of the OpenID framework is authentication -- how you prove
 ownership of a URI. Today, websites require usernames and passwords to login,
 which means that many people use the same password everywhere. With OpenID
 Authentication, your username is your URI, and your password (or other
 credentials) stays safely stored on your OpenID Provider (which you can run
 yourself, or use a third-party identity provider).
 .
 The library provided by this package supports the following specifications:
  - OpenID Authentication 2.0
  - OpenID Authentication 1.1 (in compatibility mode)
  - OpenID Attribute Exchange 1.0
  - OpenID Simple Registration 1.0 and 1.1, draft 1
  - OpenID Provider Authentication Policy Extension 1.0
  - OpenID Information Cards 1.0, draft 1

Package: libopenigtlink-dev
Description-md5: fd3f51b838d40c1415b3720bc1eeb307
Description-en: Open IGT Link is a simple network protocol - development
 Open IGT Link is a simple network protocol intended for trackers,
 robots and other devices to send data to the main application.
 Some devices might also accept commands.
 .
 For example applications may include:
  * Stereotactic surgical guidance using optical position sensor and
    medical image visualization software.
  * Intraoperative image guidance using real-time MRI and medical image
    visualization software
  * Robot-assisted intervention using robotic device and surgical planning
    software
 .
 This package contains the development files needed to build
 your own IGT applications.

Package: libopenigtlink1.11
Description-md5: 0d29bb6317701140ce005ec15b67e475
Description-en: Open IGT Link is a simple network protocol - runtime
 Open IGT Link is a simple network protocol intended for trackers,
 robots and other devices to send data to the main application.
 Some devices might also accept commands.
 .
 For example applications may include:
  * Stereotactic surgical guidance using optical position sensor and
    medical image visualization software.
  * Intraoperative image guidance using real-time MRI and medical image
    visualization software
  * Robot-assisted intervention using robotic device and surgical planning
    software
 .
 This package contains library files for OpenIGTLink.

Package: libopenimageio-dev
Description-md5: 23b3d6c994414e37284e642c437ff65c
Description-en: Library for reading and writing images - development
 OpenImageIO is a library for reading and writing images, and a bunch of
 related classes, utilities, and applications. Main features include:
 .
  * Extremely simple but powerful ImageInput and ImageOutput APIs for reading
    and writing 2D images that is format agnostic -- that is, a "client app"
    doesn't need to know the details about any particular image file formats.
    Specific formats are implemented by DLL/DSO plugins.
 .
  * Format plugins for TIFF, JPEG/JFIF, OpenEXR, PNG, HDR/RGBE, Targa,
    JPEG-2000, DPX, Cineon, FITS, BMP, ICO, RMan Zfile, Softimage PIC, DDS,
    SGI, PNM/PPM/PGM/PBM, Field3d, WebP. More coming! The plugins are really
    good at understanding all the strange corners of the image formats, and
    are very careful about preserving image metadata (including Exif, GPS, and
    IPTC data).
 .
  * An ImageCache class that transparently manages a cache so that it can access
    truly vast amounts of image data (thousands of image files totaling hundreds
    of GB) very efficiently using only a tiny amount (tens of megabytes at most)
    of runtime memory. Additionally, a TextureSystem class provides filtered
    MIP-map texture lookups, atop the nice caching behavior of ImageCache.
 .
  * Several image tools based on these classes, including iinfo (print detailed
    info about images), iconvert (convert among formats, data types, or modify
    metadata), idiff (compare images),igrep (search images for matching
    metadata). Because these tools are based on ImageInput/ImageOutput, they
    work with any image formats for which ImageIO plugins are available.
 .
  * A really nice image viewer, iv, also based on OpenImageIO classes (and so
    will work with any formats for which plugins are available).
 .
  * Supported on Linux, OS X, and Windows.
 .
  * All available under the BSD license, so you may modify it and use it in both
    open source or proprietary apps.
 .
 This package contains the static library and headers for building programs that
 use libOpenImageIO.

Package: libopenimageio-doc
Description-md5: 2dc396f9b0501f9452c51fd765d8ea03
Description-en: Library for reading and writing images - documentation
 OpenImageIO is a library for reading and writing images, and a bunch of
 related classes, utilities, and applications. Main features include:
 .
  * Extremely simple but powerful ImageInput and ImageOutput APIs for reading
    and writing 2D images that is format agnostic -- that is, a "client app"
    doesn't need to know the details about any particular image file formats.
    Specific formats are implemented by DLL/DSO plugins.
 .
  * Format plugins for TIFF, JPEG/JFIF, OpenEXR, PNG, HDR/RGBE, Targa,
    JPEG-2000, DPX, Cineon, FITS, BMP, ICO, RMan Zfile, Softimage PIC, DDS,
    SGI, PNM/PPM/PGM/PBM, Field3d, WebP. More coming! The plugins are really
    good at understanding all the strange corners of the image formats, and
    are very careful about preserving image metadata (including Exif, GPS, and
    IPTC data).
 .
  * An ImageCache class that transparently manages a cache so that it can access
    truly vast amounts of image data (thousands of image files totaling hundreds
    of GB) very efficiently using only a tiny amount (tens of megabytes at most)
    of runtime memory. Additionally, a TextureSystem class provides filtered
    MIP-map texture lookups, atop the nice caching behavior of ImageCache.
 .
  * Several image tools based on these classes, including iinfo (print detailed
    info about images), iconvert (convert among formats, data types, or modify
    metadata), idiff (compare images),igrep (search images for matching
    metadata). Because these tools are based on ImageInput/ImageOutput, they
    work with any image formats for which ImageIO plugins are available.
 .
  * A really nice image viewer, iv, also based on OpenImageIO classes (and so
    will work with any formats for which plugins are available).
 .
  * Supported on Linux, OS X, and Windows.
 .
  * All available under the BSD license, so you may modify it and use it in both
    open source or proprietary apps.
 .
 This package provides the official documentation.

Package: libopenimageio2.1
Description-md5: 52db64dc6fefae0bad8e5f4c01b35e9c
Description-en: Library for reading and writing images - runtime
 OpenImageIO is a library for reading and writing images, and a bunch of
 related classes, utilities, and applications. Main features include:
 .
  * Extremely simple but powerful ImageInput and ImageOutput APIs for reading
    and writing 2D images that is format agnostic -- that is, a "client app"
    doesn't need to know the details about any particular image file formats.
    Specific formats are implemented by DLL/DSO plugins.
 .
  * Format plugins for TIFF, JPEG/JFIF, OpenEXR, PNG, HDR/RGBE, Targa,
    JPEG-2000, DPX, Cineon, FITS, BMP, ICO, RMan Zfile, Softimage PIC, DDS,
    SGI, PNM/PPM/PGM/PBM, Field3d, WebP. More coming! The plugins are really
    good at understanding all the strange corners of the image formats, and
    are very careful about preserving image metadata (including Exif, GPS, and
    IPTC data).
 .
  * An ImageCache class that transparently manages a cache so that it can access
    truly vast amounts of image data (thousands of image files totaling hundreds
    of GB) very efficiently using only a tiny amount (tens of megabytes at most)
    of runtime memory. Additionally, a TextureSystem class provides filtered
    MIP-map texture lookups, atop the nice caching behavior of ImageCache.
 .
  * Several image tools based on these classes, including iinfo (print detailed
    info about images), iconvert (convert among formats, data types, or modify
    metadata), idiff (compare images),igrep (search images for matching
    metadata). Because these tools are based on ImageInput/ImageOutput, they
    work with any image formats for which ImageIO plugins are available.
 .
  * A really nice image viewer, iv, also based on OpenImageIO classes (and so
    will work with any formats for which plugins are available).
 .
  * Supported on Linux, OS X, and Windows.
 .
  * All available under the BSD license, so you may modify it and use it in both
    open source or proprietary apps.
 .
 This package contains the runtime library for building programs that use
 libOpenImageIO.

Package: libopenjfx-java
Description-md5: 238d2eecdf8319dd2b316de08d5badf5
Description-en: JavaFX/OpenJFX - Rich client application platform for Java (Java libraries)
 JavaFX/OpenJFX is a set of graphics and media APIs that enables Java developers
 to design, create, test, debug, and deploy rich client applications that
 operate consistently across diverse platforms.
 .
 This package contains the Java libraries only, installing it won't add
 the JavaFX classes to the default classpath automatically. The integration
 with the JRE is provided by the openjfx package.

Package: libopenjfx-java-doc
Description-md5: ac88b714fc6ab003feed1a3c9d501728
Description-en: JavaFX/OpenJFX - Rich client application platform for Java (Javadoc)
 JavaFX/OpenJFX is a set of graphics and media APIs that enables Java developers
 to design, create, test, debug, and deploy rich client applications that
 operate consistently across diverse platforms.
 .
 This package contains the API documentation for libopenjfx-java.

Package: libopenjfx-jni
Description-md5: aee76293940d3c485509232524f9f5e1
Description-en: JavaFX/OpenJFX - Rich client application platform for Java (native libraries)
 JavaFX/OpenJFX is a set of graphics and media APIs that enables Java developers
 to design, create, test, debug, and deploy rich client applications that
 operate consistently across diverse platforms.
 .
 This package contains the native libraries for libopenjfx-java.

Package: libopenjp2-tools
Description-md5: f29e7484a0cd49077edc02dc570a9a4b
Description-en: command-line tools using the JPEG 2000 library
 This package provides with command-line tools allowing for conversions between
 several formats and also provides tools for encoding and decoding
 motion-jpeg2000 video formats:
 .
  - opj_decompress: decodes j2k, jp2, and jpt files to pgm, ppm, pnm,
                  pgx, and bmp.
  - opj_compress: encodes pnm, pgm, pgx, bmp, and ppm files to j2k,
                  and jp2.
  - opj_dump: dump information contains in j2k and jp2.
  - index_create: create jp2 with JPIP index file from a j2k file.
  - frames_to_mj2: convert YUV video streams to mj2 format.
  - mj2_to_frames: convert mj2 video streams to YUV format.
  - wrap_j2k_in_mj2: wrap j2k codestreams into mj2 format.
  - extract-j2k-from_mj2: extract j2k codestreams from the mj2 format.

Package: libopenjp3d-tools
Description-md5: e0fc9988dcb180b945cb436dca0e0df4
Description-en: command-line tools using the JPEG 2000 - 3D library
 This package provides with command-line tools allowing for conversions between
 several formats and also provides tools for encoding and decoding
 jpeg 3D formats:
 .
  - opj_jp3d_compress: compress into JP3D volume
  - opj_jp3d_decompress: decompress JP3D volume

Package: libopenjp3d7
Description-md5: c1c1eb9c473a3e0073d77e7f46ee7ed8
Description-en: JP3D (JPEG 2000 / Part 10) image compression/decompression library
 OpenJPEG is a library for handling the JPEG 2000 image compression format.
 JPEG 2000 is a wavelet-based image compression standard and permits progressive
 transmission by pixel and resolution accuracy for progressive downloads of an
 encoded image. It supports lossless and lossy compression, supports higher
 compression than JPEG 1991, and has resilience to errors in the image.
 .
 This is an implementation of the JPEG 2000 (JP3D) volumetric imaging (Part-10)

Package: libopenjpa-java
Description-md5: c8c70268ca4e9852bb60095769acc32c
Description-en: Java Persistence 2.0 API (JPA) implementation library
 OpenJPA is Apache's implementation of Sun's Java Persistence 2.0 API (JSR-317
 JPA 2.0) specification for the transparent persistence of Java objects.
 .
 It is an object-relational mapping (ORM) solution for the Java language,
 which simplifies storing objects in databases.

Package: libopenjpip-dec-server
Description-md5: 200d1d78759ac8db1c5fffc4421914fa
Description-en: tool to allow caching of JPEG 2000 files using JPIP protocol
 This is client side application for caching remote JPEG 2000 using the JPIP
 protocol. This command line application needs to run on the client side to
 allow application such as opj_viewer to view images.

Package: libopenjpip-server
Description-md5: a1ff98fe57f04dbd0d10223568f211fe
Description-en: JPIP server for JPEG 2000 files
 OpenJPIP software is an implementation of JPEG 2000 Part9: Interactivity tools,
 APIs and protocols (JPIP). For more info about JPIP, check the website:
 http://www.jpeg.org/jpeg2000/j2kpart9.html. The current implementation uses
 some results from the 2KAN project (http://www.2kan.org).
 .
 First Version covers:
 .
  - JPT-stream (Tile based) and JPP-stream (Precinct based) media types
  - Session, channels, cache model managements
  - JPIP over HTTP
  - Indexing JPEG 2000 files
  - Embedding XML formatted metadata
  - Region Of Interest (ROI) requests

Package: libopenjpip-viewer
Description-md5: 5afa12d72ab835df2e19149b8ff31d51
Description-en: JPEG 2000 java based viewer for advanced remote JPIP access
 Java based client to view remote JPEG 2000 using JPIP protocol.
 This is a simple java viewer to allow:
 .
  - Scale up request: Enlarge the window
  - ROI request: Select a region by mouse click and drag, then click inside the
    red frame of the selected region
  - Annotate image with ROI information in XML metadata: Click button "Region
    Of Interest"
  - Open a new window presenting an aligned image with a locally stored image:
    Click button "Image Registration" (Under Construction)

Package: libopenjpip7
Description-md5: 50cc5e723cb5be57e1d384f85d8d78f9
Description-en: JPEG 2000 Interactive Protocol
 OpenJPEG is a library for handling the JPEG 2000 image compression format.
 JPEG 2000 is a wavelet-based image compression standard and permits progressive
 transmission by pixel and resolution accuracy for progressive downloads of an
 encoded image. It supports lossless and lossy compression, supports higher
 compression than JPEG 1991, and has resilience to errors in the image.
 .
 This is an implementation of the JPEG 2000 Interactive Protocol (Part-9)

Package: libopenlayer-dev
Description-md5: b7d8926f662e38e8e8d2cf6738b5945b
Description-en: hardware accelerated 2D Graphics library : development files
 OpenLayer is a hardware accelerated 2D Graphics library. It specifies
 a new api to be used alongside of Allegro and takes control of how
 the contents of the screen are rendered and uses OpenGL functions
 through AllegroGL to allow hardware acceleration.

Package: libopenlayer2v5
Description-md5: cefa21e469bea0fb9d8bb83cb53e122e
Description-en: hardware accelerated 2D Graphics library
 OpenLayer is a hardware accelerated 2D Graphics library. It specifies
 a new api to be used alongside of Allegro and takes control of how
 the contents of the screen are rendered and uses OpenGL functions
 through AllegroGL to allow hardware acceleration.

Package: libopenlibm-dev
Description-md5: bb804b08635a228ad07ebddfcc214360
Description-en: standalone implementation of C mathematical functions (development files)
 OpenLibm is an effort to have a high quality, portable, standalone libm
 implementation, under a liberal free software license. It can be used
 standalone in applications and programming language implementations.
 .
 The project was born out of a need to have a good libm for the Julia
 programming language that worked consistently across compilers and operating
 systems, and in 32-bit and 64-bit environments.
 .
 This package contains the development files needed to compile software using
 openlibm.

Package: libopenlibm3
Description-md5: aa210078ee5724d12f33e46f7e7ce2d9
Description-en: standalone implementation of C mathematical functions (shared library)
 OpenLibm is an effort to have a high quality, portable, standalone libm
 implementation, under a liberal free software license. It can be used
 standalone in applications and programming language implementations.
 .
 The project was born out of a need to have a good libm for the Julia
 programming language that worked consistently across compilers and operating
 systems, and in 32-bit and 64-bit environments.
 .
 This package contains a shared version of the library.

Package: libopenmpi-dev
Description-md5: b378507a7bc7ecccf3855f2ea92d5e20
Description-en: high performance message passing library -- header files
 Open MPI is a project combining technologies and resources from several other
 projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best
 MPI library available. A completely new MPI-3 compliant implementation, Open
 MPI offers advantages for system and software vendors, application developers
 and computer science researchers.
 .
 This package contains the header files and compiler wrappers which are needed
 to compile and link programs against libopenmpi.

Package: libopenmpi3
Description-md5: 72141e1823cc63cbe9896c2f4fefec5f
Description-en: high performance message passing library -- shared library
 Open MPI is a project combining technologies and resources from several other
 projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best
 MPI library available. A completely new MPI-3.1 compliant implementation, Open
 MPI offers advantages for system and software vendors, application developers
 and computer science researchers.
 .
 This package contains the Open MPI shared libraries.

Package: libopenmpt-dev
Description-md5: e899780c52ed26977044921cd9a42bc1
Description-en: module music library based on OpenMPT -- development files
 libopenmpt is a cross-platform C++ and C library to decode tracked music
 files (modules) into a raw PCM audio stream. It is based on the player code
 of the OpenMPT project, a descendant of the original ModPlug Tracker.
 .
 This package contains the development files required to compile programs
 using libopenmpt.

Package: libopenmpt-doc
Description-md5: 85f44f32077c23f3dbdcf086ba35863b
Description-en: module music library based on OpenMPT -- documentation
 libopenmpt is a cross-platform C++ and C library to decode tracked music
 files (modules) into a raw PCM audio stream. It is based on the player code
 of the OpenMPT project, a descendant of the original ModPlug Tracker.
 .
 This package contains documentation for developing programs which use
 libopenmpt.

Package: libopenmpt-modplug-dev
Description-md5: c6b7faaa95039be9044ebc0a8153dc17
Description-en: module music library based on OpenMPT -- modplug compat development files
 libopenmpt is a cross-platform C++ and C library to decode tracked music
 files (modules) into a raw PCM audio stream. It is based on the player code
 of the OpenMPT project, a descendant of the original ModPlug Tracker.
 .
 This package contains the development files required to compile programs
 using libopenmpt's modplug compatibility layer. It serves as an alternative
 to the libmodplug-dev package.

Package: libopenmpt-modplug1
Description-md5: ea0daa9f2d6090a2c5eb4442a92773c7
Description-en: module music library based on OpenMPT -- modplug compat library
 libopenmpt is a cross-platform C++ and C library to decode tracked music
 files (modules) into a raw PCM audio stream. It is based on the player code
 of the OpenMPT project, a descendant of the original ModPlug Tracker.
 .
 This package contains the modplug compatibility shared library. It is used by
 programs that want to use libopenmpt's decoder, but are written using
 libmodplug's API.

Package: libopenmpt0
Description-md5: bbcfd0fce52b01db5359cbf8dd308d08
Description-en: module music library based on OpenMPT -- shared library
 libopenmpt is a cross-platform C++ and C library to decode tracked music
 files (modules) into a raw PCM audio stream. It is based on the player code
 of the OpenMPT project, a descendant of the original ModPlug Tracker.
 .
 This package contains the main shared library.

Package: libopenms-dev
Description-md5: c8ea1356431bcad3384d7fdcab0bd70a
Description-en: library for LC/MS data management and analysis - dev files
 OpenMS is a library for LC/MS data management and analysis. OpenMS
 offers an infrastructure for the development of mass
 spectrometry-related software and powerful 2D and 3D visualization
 solutions.
 .
 OpenMS offers analyses for various quantitation protocols, including
 label-free quantitation, SILAC, iTRAQ, SRM, SWATH…
 .
 It provides built-in algorithms for de-novo identification and
 database search, as well as adapters to other state-of-the art tools
 like X!Tandem, Mascot, OMSSA…
 .
 OpenMS supports the Proteomics Standard Initiative (PSI) formats for
 MS data and supports easy integration of tools into workflow engines
 like Knime, Galaxy, WS-Pgrade, and TOPPAS via the TOPPtools concept
 and a unified parameter handling.
 .
 This package ships the library development files.

Package: libopenms2.4.0
Description-md5: 7633779758c79e3b5ef79cffb1c599f0
Description-en: library for LC/MS data management and analysis - runtime
 OpenMS is a library for LC/MS data management and analysis. OpenMS
 offers an infrastructure for the development of mass
 spectrometry-related software and powerful 2D and 3D visualization
 solutions.
 .
 OpenMS offers analyses for various quantitation protocols, including
 label-free quantitation, SILAC, iTRAQ, SRM, SWATH…
 .
 It provides built-in algorithms for de-novo identification and
 database search, as well as adapters to other state-of-the art tools
 like X!Tandem, Mascot, OMSSA…
 .
 OpenMS supports the Proteomics Standard Initiative (PSI) formats for
 MS data and supports easy integration of tools into workflow engines
 like Knime, Galaxy, WS-Pgrade, and TOPPAS via the TOPPtools concept
 and a unified parameter handling.

Package: libopenni-dev
Description-md5: 800dca35f2367fd8cd722b16bc899a5a
Description-en: headers for OpenNI 'Natural Interaction' frameworks
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI
 framework, which then produce sensory data. OpenNI also allows selection of
 different hardware and middleware modules.
 .
 This package provides the headers and pkgconfig for building C/C++ programs
 that use OpenNI libraries.

Package: libopenni-java
Description-md5: eede748bf5b638e30531c84eddaf68cc
Description-en: Java framework for sensor-based 'Natural Interaction'
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI
 framework, which then produce sensory data. OpenNI also allows selection of
 different hardware and middleware modules.
 .
 This package provides Java bindings for OpenNI.

Package: libopenni-sensor-pointclouds-dev
Description-md5: 995ee4fbe89ad245dac2a905d5151ac6
Description-en: headers for Kinect sensor modules for the OpenNI framework
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. This package provides modules for OpenNI that get the data from
 the Kinect camera for processing with the OpenNI Middleware, like PrimeSense
 NITE.
 .
 This is a fork of the original OpenNI sensor driver providing support for
 Kinect as well as the original PrimeSense devices.
 .
 This package provides the headers for building against these sensor modules.

Package: libopenni-sensor-pointclouds0
Description-md5: a58b32a8f6c90ea1a1ae6f6ed0eca6d8
Description-en: Microsoft Kinect sensor modules for the OpenNI framework
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. This package provides modules for OpenNI that get the data from
 the Kinect camera for processing with the OpenNI Middleware, like PrimeSense
 NITE.
 .
 This is a fork of the original OpenNI sensor driver providing support for
 Kinect as well as the original PrimeSense devices.
 .
 This package includes all of the sensor modules needed to work with the
 Kinect camera in OpenNI.

Package: libopenni-sensor-primesense-dev
Description-md5: 0c19f532eb91524c4a61134b53cb444d
Description-en: headers for working with PrimeSense sensor OpenNI modules
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. This package provides modules for OpenNI that get the data from
 the PrimeSense camera for processing with the OpenNI Middleware, like
 PrimeSense NITE.
 .
 This package provides the headers for building against these sensor modules.

Package: libopenni-sensor-primesense0
Description-md5: e83321db6c7fcc9ae978e4b9687a6ac0
Description-en: PrimeSense sensor modules for the OpenNI framework
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. This package provides modules for OpenNI that get the data from
 the PrimeSense camera for processing with the OpenNI Middleware, like
 PrimeSense NITE.
 .
 This package includes all of the sensor modules needed to work with the
 PrimeSense camera in OpenNI.

Package: libopenni0
Description-md5: bd9b74a9a5080e5f4ad93e4913099dfd
Description-en: framework for sensor-based 'Natural Interaction'
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI
 framework, which then produce sensory data. OpenNI also allows selection of
 different hardware and middleware modules.

Package: libopenni2-0
Description-md5: c99e775f4ad9a67b93f6530851a5cc14
Description-en: framework for sensor-based 'Natural Interaction'
 OpenNI2 is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI2 provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI2
 framework, which then produce sensory data. OpenNI2 also allows selection of
 different hardware and middleware modules.

Package: libopenni2-dev
Description-md5: 90f7d0b6b089b6719648e02f4c38eed6
Description-en: headers for OpenNI 'Natural Interaction' frameworks
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI
 framework, which then produce sensory data. OpenNI also allows selection of
 different hardware and middleware modules.

Package: libopenoffice-oodoc-perl
Description-md5: 36b9c9ed97f6ef559426773c48d6b0c8
Description-en: module for working with Open Document Format files
 OpenOffice::OODoc is a Perl module for reading from/writing to files that
 comply with the OASIS Open Document Format for Office Applications (ODF),
 also known as the ISO/IEC 26300:2006 standard. It provides a high-level,
 document-oriented language, and isolates the programmer from the details
 of the file format.
 .
 This module can process different document classes (texts, spreadsheets,
 presentations, and drawings). It can retrieve or update styles and images,
 document metadata, as well as text content.

Package: libopenr2-3
Description-md5: 951e5884feffdcdbdfe7247555cd102f
Description-en: MFC/R2 (telephony) call setup library
 OpenR2 is a library that implements the MFC/R2 telephony signalling
 over E1 lines using the DAHDI Telephony interface.
 .
 The MFC/R2 protocol is a telephony signalling protocol, widely used in Latin
 America, China and Africa, similar to the ISDN protocols found in most of
 Europe and North America
 .
 This package contains a shared library implementing the protocol.
 .
 You may want to have a look at the Asterisk PBX for a telephony application
 that uses this library.

Package: libopenr2-bin
Description-md5: 5cd7fd8863a539b6d153d39ad4d6b9ce
Description-en: MFC/R2 (telephony) call setup library - test programs
 OpenR2 is a library that implements the MFC/R2 telephony signalling
 over E1 lines using the DAHDI Telephony interface.
 .
 The MFC/R2 protocol is a telephony signalling protocol, widely used in Latin
 America, China and Africa, similar to the ISDN protocols found in most of
 Europe and North America
 .
 This package contains r2test - test-driver for libopenr2.

Package: libopenr2-dev
Description-md5: 95a8715d17c9976bda257d19a15d5e51
Description-en: MFC/R2 (telephony) call setup library - development headers
 OpenR2 is a library that implements the MFC/R2 telephony signalling
 over E1 lines using the DAHDI Telephony interface.
 .
 The MFC/R2 protocol is a telephony signalling protocol, widely used in Latin
 America, China and Africa, similar to the ISDN protocols found in most of
 Europe and North America
 .
 This package contains libraries and header files for developing applications.

Package: libopenraw-dev
Description-md5: 458ed959fe93b05b3fa8b78b8cd6b38b
Description-en: free implementation for RAW decoding - development files
 libopenraw is an ongoing project to provide a free software implementation for
 camera RAW files decoding. One of the main reason is that dcraw is not suited
 for easy integration into applications, and there is a need for an easy to use
 API to build free software digital image processing application.
 .
 It also has the goal to address missing feature from dcraw like meta-data
 decoding and easy thumbnail extraction.
 .
 This package contains development header files.

Package: libopenraw7
Description-md5: 3fa013f4d9dbdd70d5631e8e10d4f8ba
Description-en: free implementation for RAW decoding
 libopenraw is an ongoing project to provide a free software implementation for
 camera RAW files decoding. One of the main reason is that dcraw is not suited
 for easy integration into applications, and there is a need for an easy to use
 API to build free software digital image processing application.
 .
 It also has the goal to address missing feature from dcraw like meta-data
 decoding and easy thumbnail extraction.

Package: libopenrawgnome-dev
Description-md5: 4fd33ea5af277080af87718cfb838683
Description-en: GNOME integration for libopenraw - development files
 libopenraw is an ongoing project to provide a free software implementation for
 camera RAW files decoding. One of the main reason is that dcraw is not suited
 for easy integration into applications, and there is a need for an easy to use
 API to build free software digital image processing application.
 .
 It also has the goal to address missing feature from dcraw like meta-data
 decoding and easy thumbnail extraction.
 .
 This package contains the development header files.

Package: libopenrawgnome7
Description-md5: b5fd3350b91ac4f5d61b4bdd4620755c
Description-en: free implementation for RAW decoding (GNOME integration)
 libopenraw is an ongoing project to provide a free software implementation for
 camera RAW files decoding. One of the main reason is that dcraw is not suited
 for easy integration into applications, and there is a need for an easy to use
 API to build free software digital image processing application.
 .
 It also has the goal to address missing feature from dcraw like meta-data
 decoding and easy thumbnail extraction.
 .
 This package contains the GNOME integration library.

Package: libopenscap-dev
Description-md5: d2dd68f6585ea71ee270c720423811f0
Description-en: Set of libraries enabling integration of the SCAP line of standards
 OpenSCAP is a set of open source libraries providing an easier path
 for integration of the SCAP line of standards. SCAP is a line of
 standards managed by NIST with the goal of providing a standard language
 for the expression of Computer Network Defense related information.
 .
 The intended scope of this project is to implement working interface
 wrappers for parsing and querying SCAP content including:
  * Common Vulnerabilities and Exposures (CVE)
  * Common Configuration Enumeration (CCE)
  * Common Platform Enumeration (CPE)
  * Common Vulnerability Scoring System (CVSS)
  * Extensible Configuration Checklist Description Format (XCCDF)
  * Open Vulnerability and Assessment Language (OVAL)
 .
 This package contains the development files for OpenSCAP.

Package: libopenscap-perl
Description-md5: af5a812c7a29a25300f29be5496acae2
Description-en: Set of libraries enabling integration of the SCAP line of standards
 OpenSCAP is a set of open source libraries providing an easier path
 for integration of the SCAP line of standards. SCAP is a line of
 standards managed by NIST with the goal of providing a standard language
 for the expression of Computer Network Defense related information.
 .
 The intended scope of this project is to implement working interface
 wrappers for parsing and querying SCAP content including:
  * Common Vulnerabilities and Exposures (CVE)
  * Common Configuration Enumeration (CCE)
  * Common Platform Enumeration (CPE)
  * Common Vulnerability Scoring System (CVSS)
  * Extensible Configuration Checklist Description Format (XCCDF)
  * Open Vulnerability and Assessment Language (OVAL)
 .
 This package contains the Perl bindings for OpenSCAP.

Package: libopenscap8
Description-md5: 55b42427d03da20f3fcfb46dd1a78783
Description-en: Set of libraries enabling integration of the SCAP line of standards
 OpenSCAP is a set of open source libraries providing an easier path
 for integration of the SCAP line of standards. SCAP is a line of
 standards managed by NIST with the goal of providing a standard language
 for the expression of Computer Network Defense related information.
 .
 The intended scope of this project is to implement working interface
 wrappers for parsing and querying SCAP content including:
  * Common Vulnerabilities and Exposures (CVE)
  * Common Configuration Enumeration (CCE)
  * Common Platform Enumeration (CPE)
  * Common Vulnerability Scoring System (CVSS)
  * Extensible Configuration Checklist Description Format (XCCDF)
  * Open Vulnerability and Assessment Language (OVAL)

Package: libopenscap8-dbg
Description-md5: f86424929b4f70b6769276deae8be2f8
Description-en: Set of libraries enabling integration of the SCAP line of standards
 OpenSCAP is a set of open source libraries providing an easier path
 for integration of the SCAP line of standards. SCAP is a line of
 standards managed by NIST with the goal of providing a standard language
 for the expression of Computer Network Defense related information.
 .
 The intended scope of this project is to implement working interface
 wrappers for parsing and querying SCAP content including:
  * Common Vulnerabilities and Exposures (CVE)
  * Common Configuration Enumeration (CCE)
  * Common Platform Enumeration (CPE)
  * Common Vulnerability Scoring System (CVSS)
  * Extensible Configuration Checklist Description Format (XCCDF)
  * Open Vulnerability and Assessment Language (OVAL)
 .
 This package contains debugging symbols for OpenSCAP.

Package: libopenscenegraph-dev
Description-md5: a64373fee457d50bacc3205834dad47b
Description-en: 3D scene graph, development files
 A portable, high level graphics toolkit for the development of high performance
 graphics applications such as flight simulators, games, virtual reality or
 scientific visualization.  Providing an object orientated framework on top of
 OpenGL, it frees the developer from implementing and optimizing low level
 graphics calls, and provide many additional utilities for rapid development of
 graphics applications.
 .
 This package contains the development headers.

Package: libopenscenegraph160
Description-md5: a75df68b0adcf71b7736ef2a388be345
Description-en: 3D scene graph, shared libs
 A portable, high level graphics toolkit for the development of high performance
 graphics applications such as flight simulators, games, virtual reality or
 scientific visualization.  Providing an object orientated framework on top of
 OpenGL, it frees the developer from implementing and optimizing low level
 graphics calls, and provide many additional utilities for rapid development of
 graphics applications.
 .
 This package contains the shared libraries.

Package: libopenshot-audio-dev
Description-md5: 3fd7dc237b3e5ed2601c94a4040cf1bd
Description-en: development files for the OpenShot audio library
 The OpenShot audio library is a program which allows high-quality
 editing and playback of audio based on the JUCE library.
 .
 This package provides the development files.

Package: libopenshot-audio-doc
Description-md5: 16f42549fa26d965998b4a7457618efa
Description-en: documentation for the OpenShot audio library
 The OpenShot audio library is a program which allows high-quality
 editing and playback of audio based on the JUCE library.
 .
 This package provides the documentation.

Package: libopenshot-audio6
Description-md5: ee5da53019df3890000816b7b9043907
Description-en: library for high-quality audio editing
 The OpenShot audio library is a program which allows high-quality
 editing and playback of audio based on the JUCE library.
 .
 This package provides the shared library.

Package: libopenshot-dev
Description-md5: 93fddc73323bce69c0e452cdccaf4bfd
Description-en: development files for the OpenShot video library
 OpenShot Library (libopenshot) is an open-source project dedicated to
 delivering high quality video editing, animation, and playback solutions
 to the world. The API currently supports C++, Python, and Ruby.
 .
 This package provides the development files.

Package: libopenshot-doc
Description-md5: 184778abfcf426ff5ebb574b3ed7583b
Description-en: documentation for the OpenShot video library
 OpenShot Library (libopenshot) is an open-source project dedicated to
 delivering high quality video editing, animation, and playback solutions
 to the world. The API currently supports C++, Python, and Ruby.
 .
 This package provides the documentation.

Package: libopenshot16
Description-md5: 9a6752fee7d26667c4090bff9b2449fd
Description-en: library for high-quality video editing
 OpenShot Library (libopenshot) is an open-source project dedicated to
 delivering high quality video editing, animation, and playback solutions
 to the world. The API currently supports C++, Python, and Ruby.
 .
 This package provides the shared libraries.

Package: libopenslide-dev
Description-md5: 6077f7a63c9f0a2333aba846102c7453
Description-en: Development files for the OpenSlide library
 OpenSlide is a C library that provides a simple interface to read whole-slide
 images also known as virtual slides.
 .
 Whole-slide images, also known as virtual slides, are large, high resolution
 images used in digital pathology. Reading these images using standard image
 tools or libraries is a challenge because these tools are typically designed
 for images that can comfortably be uncompressed into RAM or a swap file.
 Whole-slide images routinely exceed RAM sizes, often occupying tens of
 gigabytes when uncompressed. Additionally, whole-slide images are typically
 multi-resolution, and only a small amount of image data might be needed at a
 particular resolution.
 .
 This library currently supports:
  - Aperio (.svs, .tif)
  - Hamamatsu (.vms, .vmu, .ndpi)
  - Leica (.scn)
  - MIRAX (.mrxs)
  - Sakura (.svslide)
  - Trestle (.tif)
  - Generic tiled TIFF (.tif)
 .
 This package contains development files needed to build OpenSlide applications.

Package: libopenslide0
Description-md5: 202c7d5de9fc203ff41cb50e8d8b653b
Description-en: library for reading whole slide image files
 OpenSlide is a C library that provides a simple interface to read whole-slide
 images also known as virtual slides.
 .
 Whole-slide images, also known as virtual slides, are large, high resolution
 images used in digital pathology. Reading these images using standard image
 tools or libraries is a challenge because these tools are typically designed
 for images that can comfortably be uncompressed into RAM or a swap file.
 Whole-slide images routinely exceed RAM sizes, often occupying tens of
 gigabytes when uncompressed. Additionally, whole-slide images are typically
 multi-resolution, and only a small amount of image data might be needed at a
 particular resolution.
 .
 This library currently supports:
  - Aperio (.svs, .tif)
  - Hamamatsu (.vms, .vmu, .ndpi)
  - Leica (.scn)
  - MIRAX (.mrxs)
  - Sakura (.svslide)
  - Trestle (.tif)
  - Generic tiled TIFF (.tif)
 .
 This package contains the libraries needed to run OpenSlide applications.

Package: libopensm-dev
Description-md5: 5d0feea0f32be89cc048f5044cb99c7a
Description-en: Header files for compiling against libopensm
 OpenSM provides an implementation of an InfiniBand Subnet Manager (SM) and
 Administrator (SA). One Subnet Manager is required to run on each InfiniBand
 subnet in order to initialize the InfiniBand hardware.
 .
 This package contains the header files for compiling applications
 against libopensm.

Package: libopensm8
Description-md5: ec230469dab7baea7db17badf92aa566
Description-en: InfiniBand subnet manager library
 OpenSM provides an implementation of an InfiniBand Subnet Manager (SM) and
 Administrator (SA). One Subnet Manager is required to run on each InfiniBand
 subnet in order to initialize the InfiniBand hardware.

Package: libopensurgsim
Description-md5: 48e0a6c36c50eed75d5d59c9754fea75
Description-en: Free platform for surgical simulation - runtime
 OpenSurgSim is C++ toolkit for developing real-time surgical simulations. It
 lets you build simulators using the included building blocks, such as input
 device support, haptic feedback, graphics, collision detection and physics
 simulation.
 .
 This package contains the libraries needed to run OpenSurgSim
 applications.

Package: libopensurgsim-dev
Description-md5: febb4402ad01c17374a7ff1953501aca
Description-en: Free platform for surgical simulation - development
 OpenSurgSim is C++ toolkit for developing real-time surgical simulations. It
 lets you build simulators using the included building blocks, such as input
 device support, haptic feedback, graphics, collision detection and physics
 simulation.
 .
 This package contains the development files needed to build your
 own OpenSurgSim applications.

Package: libopentest4j-java
Description-md5: 1a7eb9fbfb7a627362d785c0f83c05fd
Description-en: Open Test Alliance API
 Open source project to provide a minimal common foundation for testing
 libraries on the JVM. The primary goal of the project is to enable testing
 frameworks like JUnit, TestNG, Spock, etc. and third-party assertion
 libraries like Hamcrest, AssertJ, etc. to use a common set of exceptions
 that IDEs and build tools can support in a consistent manner across all
 testing scenarios -- for example, for consistent handling of failed
 assertions and failed assumptions as well as visualization of test execution
 in IDEs and reports.

Package: libopenthreads-dev
Description-md5: 7c4c372fddd0511ee9bcb2eaf36abcad
Description-en: Object-Oriented (OO) thread interface for C++, development files
 This library is intended to provide a minimal & complete Object-Oriented (OO)
 thread interface for C++ programmers, used primarily in OpenSceneGraph.  It is
 loosely modeled on the Java thread API, and the POSIX Threads standards.
 .
 This package contains the development headers.

Package: libopenthreads21
Description-md5: 8d3858e711ae2275fd118fff05f23ee7
Description-en: Object-Oriented (OO) thread interface for C++, shared libs
 This library is intended to provide a minimal & complete Object-Oriented (OO)
 thread interface for C++ programmers, used primarily in OpenSceneGraph.  It is
 loosely modeled on the Java thread API, and the POSIX Threads standards.
 .
 This package contains the shared libraries.

Package: libopentk-cil-dev
Description-md5: f6a19ff1f44c50194bc9e2b88c42d33b
Description-en: Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Dev files
 The Open Toolkit is an advanced, low-level C# library that wraps OpenGL,
 OpenCL and OpenAL. It is suitable for games, scientific applications and
 any other project that requires 3d graphics, audio or compute functionality.
 .
 This package contains the development files for OpenTK, and should be used
 for compilation.

Package: libopentk1.1-cil
Description-md5: 221d2aef3693948bdc704fff10217c91
Description-en: Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Assemblies
 The Open Toolkit is an advanced, low-level C# library that wraps OpenGL,
 OpenCL and OpenAL. It is suitable for games, scientific applications and
 any other project that requires 3d graphics, audio or compute functionality.
 .
 This package contains the OpenTK assemblies

Package: libopenturns-dev
Description-md5: 3837ca75f87543fa90c482c2636a4887
Description-en: headers and development libraries for OpenTURNS
 OpenTURNS is a powerful and generic tool to treat and quantify
 uncertainties in numerical simulations in design, optimization and
 control. It allows both sensitivity and reliability analysis studies:
  * defining the outputs of interest and decision criterion;
  * quantify and model the source of uncertainties;
  * propagate uncertainties and/or analyse sensitivity and
  * rank the sources of uncertainty
 .
 Targeted users are all engineers who want to introduce the
 probabilistic dimension in their so far deterministic studies.
 .
 This package contains development files needed to build OpenTURNS applications.

Package: libopenturns0.15
Description-md5: 07b004be9c64714f05783e44ad0efa7e
Description-en: dynamic libraries for OpenTURNS
 OpenTURNS is a powerful and generic tool to treat and quantify
 uncertainties in numerical simulations in design, optimization and
 control. It allows both sensitivity and reliability analysis studies:
  * define the outputs of interest and decision criteria;
  * quantify and model the source of uncertainties;
  * propagate uncertainties and/or analyse sensitivity
  * rank the sources of uncertainty
 .
 Targeted users are all engineers who want to introduce the
 probabilistic dimension in their so far deterministic studies.
 .
 This package provides the dynamic libraries.

Package: libopenusb-dev
Description-md5: 3d2bb9e4eb35e61b6aff96176be742e3
Description-en: alternative userspace USB programming library
 The Open USB library provides a platform independent API to USB, including
 synchronous and asynchronous transfers and thread safety.
 .
 This package contains development headers and the static library.

Package: libopenusb-doc
Description-md5: f1cc6b42a488a3f4daa6c41c79399ead
Description-en: alternative userspace USB programming library
 The Open USB library provides a platform independent API to USB, including
 synchronous and asynchronous transfers and thread safety.
 .
 This package contains the documentation in HTML format.

Package: libopenusb0
Description-md5: 07060a27327f92be52c0ea34b1c55876
Description-en: alternative userspace USB programming library
 The Open USB library provides a platform independent API to USB, including
 synchronous and asynchronous transfers and thread safety.
 .
 This package contains the shared library.

Package: libopenvas-dev
Description-md5: 31738fb5401aadad9f43204a66e121f1
Description-en: remote network security auditor - static libraries and headers
 The Open Vulnerability Assessment System is a modular security auditing
 tool, used for testing remote systems for vulnerabilities that should be
 fixed.
 .
 It is made up of two parts: a server, and a client. The server/daemon,
 openvasd, is in charge of the attacks, whereas the client,
 OpenVAS-Client, provides an X11/GTK+ user interface.
 .
 This package contains the required static libraries, headers, and
 libopenvas-config script.

Package: libopenvas-doc
Description-md5: 8eb7e5e82be5d6267a99f14142df8fb3
Description-en: remote network security auditor - libraries documentation
 The Open Vulnerability Assessment System is a modular security auditing
 tool, used for testing remote systems for vulnerabilities that should be
 fixed.
 .
 It is made up of two parts: a server, and a client. The server/daemon,
 openvasd, is in charge of the attacks, whereas the client,
 OpenVAS-Client, provides an X11/GTK+ user interface.
 .
 This package contains the doxygen generated HTML documentation for the
 libraries.

Package: libopenvas9
Description-md5: c51f5834ac9ce6743a087ccd165212b8
Description-en: remote network security auditor - shared libraries
 The Open Vulnerability Assessment System is a modular security auditing
 tool, used for testing remote systems for vulnerabilities that should be
 fixed.
 .
 It is made up of two parts: a server, and a client. The server/daemon,
 openvasd, is in charge of the attacks, whereas the client,
 OpenVAS-Client, provides an X11/GTK+ user interface.
 .
 This package contains the required shared libraries.

Package: libopenvdb-dev
Description-md5: 9cddbeb9f13eaafdf0af10b6d78d4ee5
Description-en: Sparse Volume Processing toolkit - dev
 OpenVDB is an open source C++ library comprising a novel hierarchical data
 structure and a suite of tools for the efficient storage and manipulation of
 sparse volumetric data discretized on three-dimensional grids. It is developed
 and maintained by DreamWorks Animation for use in volumetric applications
 typically encountered in feature film production.
 .
 This is the package for developping OpenVDB applications

Package: libopenvdb-doc
Description-md5: b244b357ac2fde3bc289641f454b7be9
Description-en: Sparse Volume Processing toolkit - doc
 OpenVDB is an open source C++ library comprising a novel hierarchical data
 structure and a suite of tools for the efficient storage and manipulation of
 sparse volumetric data discretized on three-dimensional grids. It is developed
 and maintained by DreamWorks Animation for use in volumetric applications
 typically encountered in feature film production.
 .
 This is the package for the doc files for OpenVDB

Package: libopenvdb-tools
Description-md5: a46c56ebc009cd2f10fc4b451454d0a2
Description-en: Sparse Volume Processing toolkit - runtime
 OpenVDB is an open source C++ library comprising a novel hierarchical data
 structure and a suite of tools for the efficient storage and manipulation of
 sparse volumetric data discretized on three-dimensional grids. It is developed
 and maintained by DreamWorks Animation for use in volumetric applications
 typically encountered in feature film production.
 .
 This is the package for the runtime. It installs vdb_print, vdb_render and
 vdb_view

Package: libopenvdb6.2
Description-md5: 119ea2ed9b180c78a8b4019abb71bd75
Description-en: Sparse Volume Processing toolkit - lib
 OpenVDB is an open source C++ library comprising a novel hierarchical data
 structure and a suite of tools for the efficient storage and manipulation of
 sparse volumetric data discretized on three-dimensional grids. It is developed
 and maintained by DreamWorks Animation for use in volumetric applications
 typically encountered in feature film production.
 .
 This package contains the libraries needed to run OpenVDB applications

Package: libopenwsman-dev
Description-md5: ea659a1a43a24fe018142b77dd1eb544
Description-en: Open Web Services Manager library development files
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides the library development headers.

Package: libopenwsman1
Description-md5: 1e29bce43731a5b7033c42a60dda598c
Description-en: Transitional dummy package for libwsman
 This is a transitional dummy package. It can be safely removed.

Package: liboping-dev
Description-md5: a26f6bd523cb990b2a189d424ccc838a
Description-en: C/C++ library to generate ICMP ECHO_REQUESTs (development files)
 liboping features pinging multiple hosts in parallel using IPv4 or IPv6
 transparently. The interface is object oriented.
 .
 This package contains the header files, the static library and the manpages.

Package: liboping0
Description-md5: d2f37fbfb9564fb0ca5231e5a1530efc
Description-en: C/C++ library to generate ICMP ECHO_REQUESTs
 liboping features pinging multiple hosts in parallel using IPv4 or IPv6
 transparently. The interface is object oriented.
 .
 This package contains the shared library.

Package: libopkele-dev
Description-md5: edb5bf3cc943cca597c7f0eb10abb39d
Description-en: OpenID support library in C++ (development)
 libopkele is a C++ implementation of an OpenID decentralized identity
 system.  It provides OpenID protocol handling, leaving authentication
 and user interaction to the implementor.
 .
 This package provides its development files.

Package: libopkele3v5
Description-md5: e0f3cbf0762a4e24e58301b07cc90d93
Description-en: OpenID support library in C++ (runtime)
 libopkele is a C++ implementation of an OpenID decentralized identity
 system.  It provides OpenID protocol handling, leaving authentication
 and user interaction to the implementor.
 .
 This package provides its shared library.

Package: libopsin-java
Description-md5: 50ed6d8909ab2c61d44d66b51df2dd2f
Description-en: Chemical name to structure converter
 OPSIN (Open Parser for Systematic IUPAC Nomenclature) converts IUPAC compound
 names to semantic chemical information and outputs a structure either as
 CML (Chemical Markup Language), SMILES, or InChI.
 .
 This package contains the Java library.

Package: libopsin-java-doc
Description-md5: 677b58229c906b3cd493cf6a6f196ba7
Description-en: Chemical name to structure converter (documentation)
 OPSIN (Open Parser for Systematic IUPAC Nomenclature) converts IUPAC compound
 names to semantic chemical information and outputs a structure either as
 CML (Chemical Markup Language), SMILES, or InChI.
 .
 This package comprises the javadoc documentation.

Package: liboptcomp-camlp4-dev
Description-md5: bf1869287c9cb46672791c771230d690
Description-en: syntax extension for optional compilation with cpp-like directives (library)
 Optcomp is a syntax extension which handles #if, #else, ... directives
 in OCaml source files. Compared to cpp:
  * it does not interpret //, /*, and */ as comment delimiters
  * it does not complains about missing '
  * it is easier to integrate in the build process when using other
    camlp4 syntax extensions
  * it does not do macro expansion while cpp does
 Compared to pa_macro, it does not require code that will be dropped to
 be valid OCaml code. This can be useful for code that optionnally uses
 GADTs, but can be compiled with older versions of OCaml.
 .
 This package contains the syntax extension as a camlp4 module.

Package: liboptimade-filter-perl
Description-md5: 94ef90b1b4a7096b862fa5e3617a651f
Description-en: OPTiMaDe filter language parser/composer
 OPTiMaDe filter language is an SQL-like query language. OPTiMaDe::Filter
 contains both a parser and a composer for this language.

Package: liboptions-java
Description-md5: 87f5acf5afde56b160e4afaa44533f18
Description-en: command line option parsing library for Java
 Generic Java API to
  * Check whether the syntax used is valid and supported
  * Retrieve the actual data required for the application
 The Options class implements a generic approach to easily handle the
 most complex situations. The class allows for a simple definition of the
 required options and data arguments, and provides thorough syntax checks
 and easy access to the results of these checks.

Package: liboptions-java-doc
Description-md5: 3ac1ac2ff012281d9691e7a684d8792f
Description-en: command line option parsing library for Java (documentation)
 Generic Java API to
  * Check whether the syntax used is valid and supported
  * Retrieve the actual data required for the application
 The Options class implements a generic approach to easily handle the
 most complex situations. The class allows for a simple definition of the
 required options and data arguments, and provides thorough syntax checks
 and easy access to the results of these checks.
 .
 This package provides the documentation for the library

Package: libopts25
Description-md5: d48a8ad59e617622d38a7b59db3b01bf
Description-en: automated option processing library based on autogen
 This package contains the shared libraries needed by binaries that use
 autoopts for option processing. The package containing the header files
 and static libraries is libopts25-dev. The package containing the
 development tools is autogen.

Package: libopts25-dev
Description-md5: 2d896a368c6ce52025f25873536165ae
Description-en: automated option processing library based on autogen
 This package contains the header files and static libraries used by
 autoopts. The package containing the shared libraries is libopts25. The
 package containing the development tools is autogen.

Package: libopus-ocaml
Description-md5: 2cb8dec222dd70a65dd866b58ba8d6a4
Description-en: OCaml interface to the opus library -- runtime files
 This package provides an interface to the opus library for
 OCaml programmers.
 .
 The Opus codec is designed for interactive speech and audio transmission over
 the Internet. It is designed by the IETF Codec Working Group and incorporates
 technology from Skype's SILK codec and Xiph.Org's CELT codec.
 .
 This package contains only the shared runtime stub libraries.

Package: libopus-ocaml-dev
Description-md5: 1190e56973671c2ec3eb923405ba9ede
Description-en: OCaml interface to the opus library -- development files
 This package provides an interface to the opus library for
 OCaml programmers.
 .
 The Opus codec is designed for interactive speech and audio transmission over
 the Internet. It is designed by the IETF Codec Working Group and incorporates
 technology from Skype's SILK codec and Xiph.Org's CELT codec.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-opus.

Package: libopusfile-dbg
Description-md5: bdccedf8227e48d0eba586173656a6d1
Description-en: debugging symbols for libopusfile
 This package provides the detached debug symbols for libopusfile.

Package: libopusfile-dev
Description-md5: a126cd8b3df02a8d1611d0ca1a62af7b
Description-en: High-level API for basic manipulation of Ogg Opus audio streams
 libopusfile provides several sets of built-in routines for file and stream
 access, and may also use custom stream I/O routines provided by the embedded
 environment.  There are built-in I/O routines provided for ANSI-compliant
 stdio (FILE *), memory buffers, and URLs (including <file:> URLs, plus
 optionally <http:> and <https:> URLs).
 .
 It is implemented as a layer on top of Xiph.Org's reference libogg and
 libopus libraries.
 .
 This package provides the Opus library headers and development files.

Package: libopusfile-doc
Description-md5: 34cf1c0f95092b07662ab0a6cb75b69b
Description-en: libopusfile API documentation
 This package contains the developer documentation for libopusfile.

Package: libopusfile0
Description-md5: cda1839c7101edb36d4008aebdefb6b2
Description-en: High-level API for basic manipulation of Ogg Opus audio streams
 libopusfile provides several sets of built-in routines for file and stream
 access, and may also use custom stream I/O routines provided by the embedded
 environment.  There are built-in I/O routines provided for ANSI-compliant
 stdio (FILE *), memory buffers, and URLs (including <file:> URLs, plus
 optionally <http:> and <https:> URLs).
 .
 It is implemented as a layer on top of Xiph.Org's reference libogg and
 libopus libraries.
 .
 This package provides the opusfile runtime library.

Package: liborcania-dev
Description-md5: 60acd8de600802daef9ab348120f81a3
Description-en: library for angharad programs, development files
 Different functions for different purposes but that can be shared
 between other projects
 .
 Basically these are used for other programs in the anghard project.
 .
 This package contains the development files.

Package: liborcania1.2
Description-md5: b9ef1772f1d745e6c070069fad45265a
Description-en: library for angharad programs
 Different functions for different purposes but that can be shared
 between other projects
 .
 Basically these are used for other programs in the anghard project.

Package: liborcus-bin
Description-md5: 459b163b4324efaf7f2729fc752e1b84
Description-en: library for processing spreadsheet documents - binaries
 Orcus is a library that provides a collection of standalone file processing
 filters.  It is currently focused on providing filters for spreadsheet
 documents, but filters for other productivity application types (such as
 wordprocessor and presentation) are in consideration.
 .
 The library currently includes filters for xlsx, ods, csv, and generic XML
 formats.
 .
 This package contains the command-line applications for converting documents.

Package: liborigin2-1v5
Description-md5: 4f9bf842d2dcd5c6e73ffb78bc4f5098
Description-en: library for reading OriginLab Origin 7.5 project files (runtime)
 liborigin2 is a library for reading the project files from the OriginLab
 Origin 7.5 plotting program. OriginLab Origin provides extensive scientific
 graphing and data analysis capabilities and includes several new tools that
 simplify common operations.
 .
 See http://www.originlab.com for more information about OriginLab Origin.
 .
 This package contains the files necessary for running applications that
 use the liborigin2 library.

Package: liborigin2-dev
Description-md5: a8874cef4caff152b4168ea9a89d50e7
Description-en: library for reading OriginLab Origin 7.5 project files (development)
 liborigin2 is a library for reading the project files from the OriginLab
 Origin 7.5 plotting program. OriginLab Origin provides extensive scientific
 graphing and data analysis capabilities and includes several new tools that
 simplify common operations.
 .
 See http://www.originlab.com for more information about OriginLab Origin.
 .
 This package contains the development header files.

Package: liborlite-migrate-perl
Description-md5: c2023239cf004ef150a095fa4f0c738c
Description-en: Perl helper module for SQLite-to-ORLite schema migration
 ORLite::Migrate is a light weight Database Schema Migration enhancement for
 ORLite. It provides a simple implementation of schema versioning within the
 SQLite database using the built-in user_version pragma (which is set to zero
 by default).

Package: liborlite-mirror-perl
Description-md5: 5d2d8428d9703aba2f56b94b352164ab
Description-en: ORLite extension to use remote SQLite databases
 ORLite::Mirror provides a simple mechanism for opening a read-only SQLite
 database from anywhere on the web. In a method analogous to using ORLite to
 work with a local read-only SQLite database, you can use ORLite::Mirror to
 open a SQLite database at an arbitrary URI. If the remote file has a "gz" or
 "bz2" extension, then ORLite::Mirror will decompress the file before opening.

Package: liborlite-perl
Description-md5: df1c47adf63f30a5524d84ecb2ece3ec
Description-en: lightweight SQLite-specific ORM
 ORLite is a Perl module that implements an object-relational mapper designed
 specifically for SQLite. It follows many of the same principles as the ::Tiny
 series of modules and has a design that aligns directly to the capabilities
 of SQLite.
 .
 ORLite discovers the schema of a SQLite database, and then deploys a set of
 packages for talking to that database.

Package: liborlite-statistics-perl
Description-md5: 9fcc8bd232e729329826fc7d92f89277
Description-en: ORLite extension providing simple statistics
 ORLite::Statistics provides easy integration with the Statistics::Basic set
 of modules (see libstatistics-basic-perl). It enables some basic statistical
 analysis by generating a vector for a particular method, which can then be
 used for any number of things, like determining the median, mean, standard
 deviation and other basic descriptive statistics.

Package: liboro-java
Description-md5: 13828b6fdf02cd24f01df6e9bf8c9f62
Description-en: Regular expression library for Java
 The Jakarta-ORO Java classes are a set of text-processing Java classes
 that provide Perl5 compatible regular expressions, AWK-like regular
 expressions, glob expressions, and utility classes for performing
 substitutions, splits, filtering filenames, etc.
 ORO is faster and more functional than gnu.regexp.

Package: liboro-java-doc
Description-md5: 3ec9395d21a0156e45b1b41488ece071
Description-en: Regular expression library for Java -- documentation
 The Jakarta-ORO Java classes are a set of text-processing Java classes
 that provide Perl5 compatible regular expressions, AWK-like regular
 expressions, glob expressions, and utility classes for performing
 substitutions, splits, filtering filenames, etc.
 ORO is faster and more functional than gnu.regexp.
 .
 This package contains the API documentation of liboro-java

Package: liborocos-bfl-dev
Description-md5: 8273c6e2241699a72c021d23c13c6ee2
Description-en: Orocos Bayesian Filtering Library development files
 Orocos (http://www.orocos.org) is the acronym of the Open Robot Control
 Software project. The project's aim is to develop a general-purpose, free
 software, and modular framework for robot and machine control.  The Orocos
 project supports 4 C++ libraries: the Real-Time Toolkit, the Kinematics and
 Dynamics Library, the Bayesian Filtering Library (BFL) and the Orocos
 Component Library.
 .
 This package provides the headers for developing Orocos-BFL applications.

Package: liborocos-bfl-examples
Description-md5: b361e43a747c76d14578aaeb81ff166c
Description-en: Orocos Bayesian Filtering Library examples
 Orocos (http://www.orocos.org) is the acronym of the Open Robot Control
 Software project. The project's aim is to develop a general-purpose, free
 software, and modular framework for robot and machine control.  The Orocos
 project supports 4 C++ libraries: the Real-Time Toolkit, the Kinematics and
 Dynamics Library, the Bayesian Filtering Library (BFL) and the Orocos
 Component Library.
 .
 This package provides the BFL examples.

Package: liborocos-bfl0.8
Description-md5: 87e683a89aad24ebcefb78ae8ecfb8c2
Description-en: Orocos Bayesian Filtering Library
 Orocos (http://www.orocos.org) is the acronym of the Open Robot Control
 Software project. The project's aim is to develop a general-purpose, free
 software, and modular framework for robot and machine control.  The Orocos
 project supports 4 C++ libraries: the Real-Time Toolkit, the Kinematics and
 Dynamics Library, the Bayesian Filtering Library (BFL) and the Orocos
 Component Library.
 .
 This package provides the Bayesian Filtering Library.

Package: liborocos-kdl-dev
Description-md5: 7a979ce2ca0d6d92e79a1eeaeda0352b
Description-en: Kinematics and Dynamics Library development files
 Orocos project to supply RealTime usable kinematics and dynamics code,
 it contains code for rigid body kinematics calculations and
 representations for kinematic structures and their inverse and forward
 kinematic solvers. This package contains the development files
 of the library.

Package: liborocos-kdl1.4
Description-md5: a8bdca2699499b3eefa3a7d3720cb971
Description-en: Kinematics and Dynamics Library runtime
 Orocos project to supply RealTime usable kinematics and dynamics code,
 it contains code for rigid body kinematics calculations and
 representations for kinematic structures and their inverse and forward
 kinematic solvers. This package contains the library itself.

Package: libortp-dev
Description-md5: df4da39415c94a55fac0e51b2a59c4b1
Description-en: Development files for the ortp RTP library
 This library implements RFC 1889 (RTP) and offers an easy to
 use API with high-level and low-level access. It is part of
 Linphone.
 .
 The main features are support for multiple profiles (AV profile
 RFC 1890 being the default one); an optional packet scheduler
 for synchronizing RTP recv and send; blocking or non-blocking
 IO for RTP sessions; multiplexed IO; some of RFC 2833 for
 telephone events over RTP.
 .
 This package contains the development files.

Package: libortp-doc
Description-md5: 37b2da18596484ec25978c79ab4942f2
Description-en: oRTP API documentation
 This library implements RFC 1889 (RTP) and offers an easy to
 use API with high-level and low-level access. It is part of
 Linphone.
 .
 The main features are support for multiple profiles (AV profile
 RFC 1890 being the default one); an optional packet scheduler
 for synchronizing RTP recv and send; blocking or non-blocking
 IO for RTP sessions; multiplexed IO; some of RFC 2833 for
 telephone events over RTP.
 .
 This package contains the API documentation.

Package: libortp13
Description-md5: ac10e3c31a1525587dc9e43b1af59e75
Description-en: Real-time Transport Protocol (RTP) stack
 This library implements RFC 1889 (RTP) and offers an easy to
 use API with high-level and low-level access. It is part of
 Linphone.
 .
 The main features are support for multiple profiles (AV profile
 RFC 1890 being the default one); an optional packet scheduler
 for synchronizing RTP recv and send; blocking or non-blocking
 IO for RTP sessions; multiplexed IO; some of RFC 2833 for
 telephone events over RTP.

Package: liboscache-java
Description-md5: 109c4e42ac90637feae60a3d42674902
Description-en: caching solution for java server pages (JSP)
 OSCache is a caching solution that includes a JSP tag library and set
 of classes to perform fine grained dynamic caching of JSP content,
 servlet responses or arbitrary objects. It provides both in memory and
 persistent on disk caches, and can allow your site to have graceful
 error tolerance. If an error occurs like your db goes down, you can
 serve the cached content so people can still surf the site almost
 without knowing.

Package: liboscpack-dev
Description-md5: d1348173256b52c57c382c604b671eaf
Description-en: C++ library for packing and unpacking OSC packets - dev files
 Oscpack is simply a set of C++ classes for packing and unpacking OSC
 packets. Oscpack includes a minimal set of UDP networking classes for
 Windows and POSIX which are sufficient for writing many OSC applications
 and servers, but you are encouraged to use another networking framework
 if it better suits your needs. Oscpack is not an OSC application
 framework, it doesn't include infrastructure for constructing or routing
 OSC namespaces, just classes for easily constructing, sending, receiving
 and parsing OSC packets. The library should also be easy to use for
 other transport methods (eg serial).
 .
 The key goals of the oscpack library are:
 .
  - to be a simple and complete implementation of OSC
  - to be portable to a wide variety of platforms
  - to allow easy development of robust OSC applications (for example it
    should be impossible to crash a server by sending it malformed packets,
    and difficult to create malformed packets.)
 .
 This package contains files needed for development.

Package: liboscpack1
Description-md5: cfbf8bf91d37054849d8eb344911405a
Description-en: C++ library for packing and unpacking OSC packets
 Oscpack is simply a set of C++ classes for packing and unpacking OSC
 packets. Oscpack includes a minimal set of UDP networking classes for
 Windows and POSIX which are sufficient for writing many OSC applications
 and servers, but you are encouraged to use another networking framework
 if it better suits your needs. Oscpack is not an OSC application
 framework, it doesn't include infrastructure for constructing or routing
 OSC namespaces, just classes for easily constructing, sending, receiving
 and parsing OSC packets. The library should also be easy to use for
 other transport methods (eg serial).
 .
 The key goals of the oscpack library are:
 .
  - to be a simple and complete implementation of OSC
  - to be portable to a wide variety of platforms
  - to allow easy development of robust OSC applications (for example it
    should be impossible to crash a server by sending it malformed packets,
    and difficult to create malformed packets.)
 .
 This package provides the shared library.

Package: libosd-dev
Description-md5: c8e8c491e7abda9c09069de691efd6e4
Description-en: high performance subdivision surface (subdiv) library - development
 OpenSubdiv is a set of open source libraries that implement high
 performance subdivision surface (subdiv) evaluation on massively
 parallel CPU and GPU architectures. This codepath is optimized for
 drawing deforming subdivs with static topology at interactive
 framerates. The resulting limit surface matches Pixar's Renderman to
 numerical precision.
 .
 This package provides the development files.

Package: libosd-doc
Description-md5: beef9877dc0872688f3a2195dc7d569b
Description-en: high performance subdivision surface (subdiv) library - documentation
 OpenSubdiv is a set of open source libraries that implement high
 performance subdivision surface (subdiv) evaluation on massively
 parallel CPU and GPU architectures. This codepath is optimized for
 drawing deforming subdivs with static topology at interactive
 framerates. The resulting limit surface matches Pixar's Renderman to
 numerical precision.
 .
 This package provides the documentation.

Package: libosdcpu3.4.0
Description-md5: a7a7d763d796280eb194abeb27376356
Description-en: high performance subdivision surface (subdiv) library - CPU runtime
 OpenSubdiv is a set of open source libraries that implement high
 performance subdivision surface (subdiv) evaluation on massively
 parallel CPU and GPU architectures. This codepath is optimized for
 drawing deforming subdivs with static topology at interactive
 framerates. The resulting limit surface matches Pixar's Renderman to
 numerical precision.
 .
 This package provides the CPU-based runtime library.

Package: libosdgpu3.4.0
Description-md5: 5540105c543d600614d04a8e68f84479
Description-en: high performance subdivision surface (subdiv) library - GPU runtime
 OpenSubdiv is a set of open source libraries that implement high
 performance subdivision surface (subdiv) evaluation on massively
 parallel CPU and GPU architectures. This codepath is optimized for
 drawing deforming subdivs with static topology at interactive
 framerates. The resulting limit surface matches Pixar's Renderman to
 numerical precision.
 .
 This package provides the GPU-based runtime library.

Package: libosgearth-dev
Description-md5: 6559fee53ea158b18c4eb93864feef91
Description-en: osgEarth development files
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains the development files.

Package: libosgearth5
Description-md5: 9ccb03dc9e53f0452a08e819669fa99e
Description-en: Dynamic 3D terrain rendering toolkit for OpenSceneGraph (shared lib)
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains the shared library libosgEarth.

Package: libosgearthannotation5
Description-md5: b744c1aeb2fc29cceefebbacdbfe76f9
Description-en: Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthAnnotation)
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains the shared library libosgEarthAnnotation.

Package: libosgearthfeatures5
Description-md5: e2d4b99bec00bb0e76c4ebd17e255bef
Description-en: Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthFeatures)
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains the shared library libosgEarthFeatures.

Package: libosgearthsplat5
Description-md5: c9bf85653ecea863a9ac256016492919
Description-en: Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthSplat)
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains the shared library libosgEarthSplat.

Package: libosgearthsymbology5
Description-md5: 701afdcb27ce19fefb08f6ed95a1cfcf
Description-en: Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthSymbology)
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains the shared library libosgEarthSymbology.

Package: libosgearthutil5
Description-md5: 61bbb0a408f1e4824a492c623f881287
Description-en: Dynamic 3D terrain rendering toolkit for OpenSceneGraph (osgEarthUtil)
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains the shared library libosgEarthUtil.

Package: libosgi-annotation-java
Description-md5: 55175967ff2a0a16445a3ccc638e60e1
Description-en: Java OSGi API - annotation module
 OSGi, for Open Services Gateway initiative framework, is a module system and
 service platform for the Java programming language.
 .
 This package contains the OSGi annotation module.
 .
 On top of OSGi Core it provides additional services to help dynamic
 components.

Package: libosgi-annotation-java-doc
Description-md5: e1c13bb2ffde3caece5c0437f75edc4a
Description-en: Javadoc for Java OSGi API - annotation module
 OSGi, for Open Services Gateway initiative framework, is a module system and
 service platform for the Java programming language.
 .
 This package contains Javadoc HTML documentation for libosgi-annotation-java.

Package: libosgi-compendium-java
Description-md5: 01c5e14456164cb06cfb7f7d9929ce96
Description-en: Java OSGi API - Compendium module
 OSGi, for Open Services Gateway initiative framework, is a module system and
 service platform for the Java programming language.
 .
 This package contains OSGi Compendium for Release 6.
 .
 On top of OSGi Core, this provide additional services to help dynamic
 components.

Package: libosgi-compendium-java-doc
Description-md5: d73d9ffaa4b606d801559aa11994cb8b
Description-en: Javadoc for Java OSGi API - Compendium module
 OSGi, for Open Services Gateway initiative framework, is a module system and
 service platform for the Java programming language.
 .
 This package contains OSGi Compendium for Release 6.
 .
 On top of OSGi Core, this provide additional services to help dynamic
 components.
 .
 This package contains Javadoc HTML documentation for libosgi-compendium-java.

Package: libosgi-core-java
Description-md5: 0155390363f792f5cd8ea825c8b2ade3
Description-en: Java OSGi API - Core module
 OSGi, for Open Services Gateway initiative framework, is a module system and
 service platform for the Java programming language.
 .
 This package contains the OSGi Core module.

Package: libosgi-core-java-doc
Description-md5: 1d508deb3ca928512bda44019f49d646
Description-en: Javadoc for Java OSGi API - Core module
 OSGi, for Open Services Gateway initiative framework, is a module system and
 service platform for the Java programming language.
 .
 This package contains Javadoc HTML documentation for libosgi-core-java.

Package: libosgi-foundation-ee-java
Description-md5: ceb4cc92115eb1d46b5da7f0754307fc
Description-en: Java OSGi API - Foundation Execution Environment
 OSGi, for Open Services Gateway initiative framework, is a module system and
 service platform for the Java programming language.
 .
 This package contains OSGi Foundation Execution Environment
 for Release 4 Version 4.2.
 This provide Java interfaces for javax.microedition package (J2ME) and
 java.net/java.lang/java.io/java.util packages (J2SE).

Package: libosgi-foundation-ee-java-doc
Description-md5: f30ed14553baceed7e18024a1a1698dd
Description-en: Javadoc for Java OSGi API - Foundation Execution Environment
 OSGi, for Open Services Gateway initiative framework, is a module system and
 service platform for the Java programming language.
 .
 This package contains OSGi Foundation Execution Environment
 for Release 4 Version 4.2.
 This provide Java interfaces for javax.microedition package (J2ME) and
 java.net/java.lang/java.io/java.util packages (J2SE).
 .
 This package contains Javadoc HTML documentation for
 libosgi-foundation-ee-java.

Package: libosinfo-1.0-0
Description-md5: f876c13a2dc71fac563bc3ec3984a9df
Description-en: Library for managing information about operating systems and hypervisors
 libosinfo is a GObject based library API for managing information about
 operating systems, hypervisors and the (virtual) hardware devices they
 can support. It includes a database containing device metadata and
 provides APIs to match/identify optimal devices for deploying an
 operating system on a hypervisor.
 .
 Via the GObject Introspection, the API is available in all common
 programming languages.
 .
 This package contains the shared library.

Package: libosinfo-1.0-dev
Description-md5: c452d12ef13dbe942b5b162d84fdc0cc
Description-en: libosinfo development files
 libosinfo is a GObject based library API for managing information about
 operating systems, hypervisors and the (virtual) hardware devices they
 can support. It includes a database containing device metadata and
 provides APIs to match/identify optimal devices for deploying an
 operating system on a hypervisor.
 .
 This package contains the development headers and Vala bindings.

Package: libosinfo-bin
Description-md5: 9b54dcff8b2811fb98d2a5c218b33934
Description-en: Tools for querying the osinfo database via libosinfo
 libosinfo is a GObject based library API for managing information about
 operating systems, hypervisors and the (virtual) hardware devices they
 can support. It includes a database containing device metadata and
 provides APIs to match/identify optimal devices for deploying an
 operating system on a hypervisor.
 .
 This package contains the runtime files to detect operating systems and query
 the database.

Package: libosinfo-l10n
Description-md5: 24dcf86001a20324b976a8a7e8619a24
Description-en: Translations for libosinfo
 libosinfo is a GObject based library API for managing information about
 operating systems, hypervisors and the (virtual) hardware devices they
 can support. It includes a database containing device metadata and
 provides APIs to match/identify optimal devices for deploying an
 operating system on a hypervisor.
 .
 This package contains the libosinfo translations.

Package: libosip2-11
Description-md5: 81e593413d311a5ae5f6d95470b139da
Description-en: Session Initiation Protocol (SIP) library
 oSIP is an implementation of SIP.
 .
 SIP stands for the Session Initiation Protocol and is described by the
 RFC3261.  This library aims to provide multimedia and telecom software
 developers an easy and powerful interface to initiate and control SIP
 based sessions in their applications.
 .
 SIP is the IETF replacement for the ITU's H323. Example programs using this
 library are partysip and linphone.

Package: libosip2-dev
Description-md5: 03c1dfde41a02b6a29ee3d1c13357fa5
Description-en: development files for the SIP library
 libosip2 header files and static libraries to assist in development of
 programs wishing to use SIP.
 .
 The oSIP library is written in C, is thread safe and has no dependencies
 except the standard C library.
 .
 oSIP could be used to implement an IP soft-phone as well as embedded SIP
 software. oSIP is not limited to endpoint agents implementations and can
 also be used to implement SIP proxies or registration services.
 .
 oSIP currently provides an API for the SIP message parser, SDP message
 parser, and library to handle "SIP transactions" as defined by the SIP
 standards (RFC3261).

Package: libosmcomp4
Description-md5: f1c96d5c540fa762e33bd64b4c64a8ac
Description-en: InfiniBand subnet manager - component library
 OpenSM provides an implementation of an InfiniBand Subnet Manager (SM) and
 Administrator (SA). One Subnet Manager is required to run on each InfiniBand
 subnet in order to initialize the InfiniBand hardware.

Package: libosmgpsmap-1.0-1
Description-md5: 949cb1448db43a745f634c5b42194cab
Description-en: GTK+ library to embed OpenStreetMap maps
 libosmgpsmap is a library to embed maps in applications that when given GPS
 co-ordinates, draws a GPS track, and points of interest on a moving map
 display. Downloads map data from a number of websites, including
 openstreetmap.org, openaerialmap.org and others.

Package: libosmgpsmap-1.0-dev
Description-md5: 1f2bceff5ac8f59a7e058fcf5758c7fa
Description-en: GTK+ library to embed OpenStreetMap maps - development files
 libosmgpsmap is a library to embed maps in applications that when given GPS
 co-ordinates, draws a GPS track, and points of interest on a moving map
 display. Downloads map data from a number of websites, including
 openstreetmap.org, openaerialmap.org and others.
 .
 These are the development files for libosmgpsmap0, needed only if you're
 writing or compiling an application which will use it.

Package: libosmium2-dev
Description-md5: 1d96312841d12988a3b703331a930111
Description-en: C++ framework for working with OSM data files
 The Osmium library has extensive support for all types of OSM entities: nodes,
 ways, relations, and changesets. It allows reading from and writing to OSM
 files in XML and PBF formats, including change files and full history files.
 Osmium can store OSM data in memory and on disk in various formats and using
 various indexes. Its easy to use handler interface allows you to quickly write
 data filtering and conversion functions. Osmium can create WKT, WKB, OGR, GEOS
 and GeoJSON geometries for easy conversion into many GIS formats and it can
 assemble multipolygons from ways and relations.
 .
 Osmium is a header-only library, so there is nothing to compile to build it.
 Just include the header files you need.
 .
 This package contains the Osmium library headers.

Package: libosmium2-doc
Description-md5: f1c569f981e7d524d5e9cc9077a2979a
Description-en: C++ framework for working with OSM data files - documentation
 The Osmium library has extensive support for all types of OSM entities: nodes,
 ways, relations, and changesets. It allows reading from and writing to OSM
 files in XML and PBF formats, including change files and full history files.
 Osmium can store OSM data in memory and on disk in various formats and using
 various indexes. Its easy to use handler interface allows you to quickly write
 data filtering and conversion functions. Osmium can create WKT, WKB, OGR, GEOS
 and GeoJSON geometries for easy conversion into many GIS formats and it can
 assemble multipolygons from ways and relations.
 .
 Osmium is a header-only library, so there is nothing to compile to build it.
 Just include the header files you need.
 .
 This package contains the Osmium library documentation.

Package: libosmo-abis-dev
Description-md5: 50a0aef7bb565131d6bfe28417797aa5
Description-en: Development headers for A-bis interface
 The libosmo-abis library contains common/shared code regarding the A-bis
 interface between GSM BTS and BSC. This package in particular contains the
 development files needed to develop with the libosmoabis and libosmotrau
 libraries.

Package: libosmo-fl2k-dev
Description-md5: 74b9d387cd65e5db102df25fe36b9ac7
Description-en: use a VGA USB adapter as DAC and SDR transmitter - development
 This software allows one to use USB 3.0 to VGA adapters based on the
 Fresco Logic FL2000 chip as general purpose DACs and SDR transmitter
 generating a continuous stream of samples by avoiding the HSYNC and
 VSYNC blanking intervals.
 .
 This package provides the development files.

Package: libosmo-fl2k0
Description-md5: f3629df4c2bd4d367c47bb581d28fc6c
Description-en: use a VGA USB adapter as DAC and SDR transmitter - library
 This software allows one to use USB 3.0 to VGA adapters based on the
 Fresco Logic FL2000 chip as general purpose DACs and SDR transmitter
 generating a continuous stream of samples by avoiding the HSYNC and
 VSYNC blanking intervals.
 .
 This package provides the shared library.

Package: libosmo-legacy-mgcp-dev
Description-md5: da4559760dc71b6fd92a94636d5dbaf0
Description-en: Osmocom's Legacy Media Gateway server library - development files
 OsmoMGW, the Osmocom Media Gateway, is a Media Gateway for handling user
 plane (voice) traffic in cellular networks.
 .
 OsmoMGW is the generalized version of an earlier much more special-purpose
 implementation called osmo-bsc_mgcp.
 .
 This package contains deprecated software, please use the development
 files of osmo-mgcp instead.

Package: libosmo-legacy-mgcp0
Description-md5: 1f752f8808d7cdd72649774a202f6b11
Description-en: Osmocom's Legacy Media Gateway server library
 OsmoMGW, the Osmocom Media Gateway, is a Media Gateway for handling user
 plane (voice) traffic in cellular networks.
 .
 OsmoMGW is the generalized version of an earlier much more special-purpose
 implementation called osmo-bsc_mgcp.
 .
 This package contains deprecated software, please use libosmo-mgcp instead.

Package: libosmo-mgcp-client-dev
Description-md5: cdcc75cb9e80e8a6ef91c32b84ced21a
Description-en: Osmocom's Media Gateway Control Protocol client utilities - development files
 OsmoMGW, the Osmocom Media Gateway, is a Media Gateway for handling user
 plane (voice) traffic in cellular networks.
 .
 OsmoMGW is the generalized version of an earlier much more special-purpose
 implementation called osmo-bsc_mgcp.
 .
 This package contains the client utilities - development files.

Package: libosmo-mgcp-client3
Description-md5: cc7cbe5ddc4fb7b6c3b66fb594648d07
Description-en: Osmocom's Media Gateway Control Protocol client utilities
 OsmoMGW, the Osmocom Media Gateway, is a Media Gateway for handling user
 plane (voice) traffic in cellular networks.
 .
 OsmoMGW is the generalized version of an earlier much more special-purpose
 implementation called osmo-bsc_mgcp.
 .
 This package contains the client utilities.

Package: libosmo-netif-dev
Description-md5: 1fe8290816c3b845db47318677dc327c
Description-en: Development headers for Osmocom network interface
 The libosmo-netif library is one of the libraries needed by the
 OpenBSC GSM infrastructure software. This library in particular implements
 the shared code for network interfaces.
 .
 This package contains the development files needed for developing with
 the libosmo-netif library.

Package: libosmo-ranap-dev
Description-md5: c41bed960ccc29dbf404fbd0ad7656a0
Description-en: Osmocom code for the Iuh interface (HNBAP, RUA, RANAP), development
 RANAP (an acronym for Radio Access Network Application Part) is a protocol
 specified by 3GPP in TS 25.413 and used in UMTS for signaling between the
 Core Network, which can be a MSC or SGSN, and the UTRAN. RANAP is carried
 over Iu-interface.
 .
 HNBAP is a control protocol found in Home Node B networks on the Iu-h
 interface.
 .
 This package provides the development files.

Package: libosmo-ranap2
Description-md5: 8c1d0205fc4a2b607e8bcdaf03ea436e
Description-en: Osmocom code for the Iuh interface (HNBAP, RUA, RANAP), library
 RANAP (an acronym for Radio Access Network Application Part) is a protocol
 specified by 3GPP in TS 25.413 and used in UMTS for signaling between the
 Core Network, which can be a MSC or SGSN, and the UTRAN. RANAP is carried
 over Iu-interface.
 .
 HNBAP is a control protocol found in Home Node B networks on the Iu-h
 interface.
 .
 This package provides the shared library.

Package: libosmo-sccp-dev
Description-md5: 8e070ef906970234ad41b0d7cca14c80
Description-en: Development files for libsccp, libmtp and libxua
 SCCP is a network layer protocol that provides extended routing, flow
 control, segmentation, connection-orientation, and error correction
 facilities in Signaling System 7 telecommunications networks. It is heavily
 used in cellular networks such as GSM. This package contains the development
 files for the library.

Package: libosmo-sigtran-dev
Description-md5: 354d370f4fbb4364ad9dc338550d9c6a
Description-en: Development headers for the Osmocom SIGTRAN library
 libosmo-sigtran is a shared library containing SS7/SIGTRAN related
 functionality, including connection-less and connection-oriented SCCP as per
 ITU-T Q.71x, M3UA (MTP3 User Adaptation) according to IETF RFC4666, SUA
 (SCCP User Adpatation) according to IETF RFC3868 as well as MTP-level routing
 function and handling of signaling links, linksets, ASPs, SGs, etc.

Package: libosmo-sigtran-doc
Description-md5: f934691e54ebb87d59e7b356a8e9c29a
Description-en: Documentation for the Osmocom SIGTRAN library
 libosmo-sigtran is a shared library containing SS7/SIGTRAN related
 functionality, including connection-less and connection-oriented SCCP as per
 ITU-T Q.71x, M3UA (MTP3 User Adaptation) according to IETF RFC4666, SUA
 (SCCP User Adpatation) according to IETF RFC3868 as well as MTP-level routing
 function and handling of signaling links, linksets, ASPs, SGs, etc. This
 package provides documentation for the Osmocom SIGTRAN Library.

Package: libosmo-sigtran0
Description-md5: 41b089f4864402089ec9cc59171b3e90
Description-en: Osmocom SIGTRAN library (SCCP, SUA, M3UA and more)
 This is a shared library containing SS7/SIGTRAN related functionality,
 including connection-less and connection-oriented SCCP as per ITU-T Q.71x,
 M3UA (MTP3 User Adaptation) according to IETF RFC4666, SUA (SCCP User
 Adpatation) according to IETF RFC3868 as well as MTP-level routing function
 and handling of signaling links, linksets, ASPs, SGs, etc.

Package: libosmoabis6
Description-md5: 8146bd50c67f3eb0b2fd2bdba549b5dd
Description-en: GSM A-bis handling
 This library contains common/shared code regarding the A-bis interface between
 the GSM Base transceiver station (BTS) and the GSM Base station controller BSC.
 .
 It also implements drivers for mISDN and DAHDI based E1 cards, as well as some
 A-bis/IP dialects.

Package: libosmocodec-doc
Description-md5: 3d271a5120a8f319fb0aa5d5fa3f8c71
Description-en: Documentation for the osmo codec library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 This package contains the documentation for the libosmocodec library.

Package: libosmocodec0
Description-md5: 08fc85971e42077d5b42f4470cde4ab4
Description-en: Osmo codec library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 The libosmocodec library in particular contains the implementation of multiple
 GSM codecs:
 .
  - GSM 06.10 - GSM FR Codec
  - GSM 06.20 - GSM HR Codec
  - GSM 06.60 - GSM EFR Codec
  - GSM 06.90 - GSM AMR Codec

Package: libosmocoding-doc
Description-md5: adf7e5ec016014a24b219879c049e7a5
Description-en: Documentation for the osmo coding library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 This package contains the documentation for the libosmocoding library.

Package: libosmocoding0
Description-md5: 29347cbbdbeef1403b9225924ad94de9
Description-en: Osmo coding library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 The libosmocoding library in particular contains the implementation of
 GSM, GPRS and EDGE transcoding routines, migrated from OsmoBTS.

Package: libosmocore
Description-md5: f59946f33b0378accb65eccb6eade4ca
Description-en: Open Source MObile COMmunications CORE library (metapackage)
 The libraries provided by this package contain various utility functions.
 These were originally developed as part of the
 OpenBSC project but are of a more generic nature and thus useful to (at
 least) other programs that are developed in the sphere of Free Software / Open
 Source mobile communication.

Package: libosmocore-dev
Description-md5: 9b4869af5fb363d878d72d05a4b97c12
Description-en: Development headers for Open Source MObile COMmunications CORE library
 The header files provided by this package may be used to develop
 with any of the libosmocore libraries.
 .
 Also static libraries are installed with this package.

Package: libosmocore-doc
Description-md5: 534b14c764573b8e14874cd13a4d7876
Description-en: Documentation for the Osmo Core library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 This package contains the documentation for the libosmocore library.

Package: libosmocore-utils
Description-md5: 6338eb9f16151f3ccf3fc9e097f06365
Description-en: Utilities for gsm
 This package contains a program for frequency calculation for GSM called
 'osmo-arfcn' and a program called 'osmo-auc-gen' that is used for testing GSM
 authentication.
 .
 They use the libosmocore library. The libosmocore library contain various
 utility functions that were originally developed as part of the OpenBSC
 project, but which are of a more generic nature and thus useful to (at least)
 other programs that are developed in the sphere of Free Software / Open Source
 mobile communication.

Package: libosmocore11
Description-md5: 52a1beaf4fb85449045fd9c6cd0df673
Description-en: Osmo Core library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 The libosmocore11 library in particular is a collection of common code used in
 various sub-projects inside the Osmocom family of projects.

Package: libosmoctrl-doc
Description-md5: 72458ac44178e4187c48f6f3dc061bdd
Description-en: Documentation for the Osmocom CTRL library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 This package contains the documentation for the libosmoctrl library.

Package: libosmoctrl0
Description-md5: 3ed925d636495a7933b8bac6c1972e18
Description-en: Osmo control library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 The libosmoctrl library in particular contains an SNMP-like status interface.

Package: libosmogb6
Description-md5: 2a9ab57c88412c45225f1e0a78b3722b
Description-en: Osmo GPRS GB library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 The libosmogb library in particular contains a GPRS BSSGP protocol
 implementation.

Package: libosmogsm-doc
Description-md5: 822bd515ccfb077d80e20b7dd7e574de
Description-en: Documentation for the Osmo GSM utility library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 This package contains the documentation for the libosmogsm library.

Package: libosmogsm10
Description-md5: c04f7a0e6860b11a8f4106cf5dcafa7d
Description-en: Osmo GSM utility library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 The libosmogsm library in particular is a collection of common code used in
 various GSM related sub-projects inside the Osmocom family of projects. It
 includes A5/1 and A5/2 ciphers, COMP128v1, a LAPDm implementation, a GSM TLV
 parser, SMS utility routines as well as protocol definitions for a series of
 protocols.

Package: libosmomtp0
Description-md5: fe3c70e0aec1adc1289180986ebfa2bd
Description-en: Message Transfer Part for Signaling System 7
 MTP is part of the Signaling System 7 used for communication in Public
 Switched Telephone Networks. MTP is responsible for reliable, unduplicated and
 in-sequence transport of SS7 messages between communication partners.
 This library is closely related to the libosmosccp library.

Package: libosmonetif6
Description-md5: c9fe926ac6f91bb3f3ce6cdf53f72527
Description-en: Common/shared code regarding network interface for OpenBSC
 The libosmo-netif library is one of the libraries needed by the
 OpenBSC GSM infrastructure software. This library in particular implements
 the shared code for network interfaces.

Package: libosmosccp0
Description-md5: 9a8e1655bde7b31c3538347e4f590f92
Description-en: Library for Signalling Connection Control Part (SCCP)
 SCCP is a network layer protocol that provides extended routing, flow control,
 segmentation, connection-orientation, and error correction facilities in
 Signaling System 7 telecommunications networks.
 It is heavily used in cellular networks such as GSM.

Package: libosmosdr-dev
Description-md5: ced59cc23791e11ee054cd868ffa08c1
Description-en: Software defined radio support for OsmoSDR hardware (development files)
 OsmoSDR is a 100% Free Software based small form-factor inexpensive
 SDR (Software Defined Radio) project.
 .
 The hardware part of OsmoSDR brings information from an antenna connector
 to a USB plug.
 .
 This package is the software that provides control of the USB hardware
 and an API to pass data to software defined radio applications on the host.
 .
 This package contains development files.

Package: libosmosdr0
Description-md5: 7ca0e25e4381b1a49425468e74f6e817
Description-en: Software defined radio support for OsmoSDR hardware (library)
 OsmoSDR is a 100% Free Software based small form-factor inexpensive
 SDR (Software Defined Radio) project.
 .
 The hardware part of OsmoSDR brings information from an antenna connector
 to a USB plug.
 .
 This package is the software that provides control of the USB hardware
 and an API to pass data to software defined radio applications on the host.
 .
 This package contains the shared library.

Package: libosmosim0
Description-md5: 01287e6dd28c7786d019b10da5bced11
Description-en: Osmo SIM library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 The libosmosim library in particular contains routines for SIM card access.

Package: libosmotrau2
Description-md5: 0ecceb898592f83711415aac91e4e55d
Description-en: GSM trau handling
 This library implements the Transcoder and Rate Adaptation Unit (TRAU) for
 GSM systems. It is closely related to the libosmo-abis library.
 .
 TRAU performs transcoding function for speech channels and rate adaptation (RA)
 for data channels in the GSM network.

Package: libosmovty-doc
Description-md5: 3d47770504c844d9ad9c7f7ee08be54d
Description-en: Documentation for the Osmo VTY library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 This package contains the documentation for the libosmovty library.

Package: libosmovty4
Description-md5: 77f399cfc0dc6d1a3d1926e4fc98bac9
Description-en: Osmo VTY library
 This is part of the libosmocore "meta"-library. The libosmocore library
 contains various utility functions that were originally developed as part of
 the OpenBSC project, but which are of a more generic nature and thus useful to
 (at least) other programs that are developed in the sphere of Free Software /
 Open Source mobile communication.
 .
 The libosmovty library in particular implements the interactive command-line
 on the VTY (Virtual TTY) as well as configuration file parsing.

Package: libosmoxua0
Description-md5: e7d06984ffdbe59bafb4c4ba160f6fea
Description-en: Osmocom SCCP support library for generating and parsing messages
 SCCP is a network layer protocol that provides extended routing, flow control,
 segmentation, connection-orientation, and error correction facilities in
 Signaling System 7 telecommunications networks.
 It is heavily used in cellular networks such as GSM.
 This library is used to provide generating and parsing message facilities.

Package: libosmpbf-dev
Description-md5: 4efddfc6dce26a29060c007aaeae103b
Description-en: C headers for OpenStreetMap PBF file format
 Osmpbf is a Java/C library to read and write OpenStreetMap PBF files.
 PBF (Protocol buffer Binary Format) is a binary file format for OpenStreetMap
 data that uses Google Protocol Buffers as low-level storage.
 .
 This package provides the C headers to be used in third-party
 applications.

Package: libosmpbf-java
Description-md5: a26476a39a3b93968f0b29cec9f3d944
Description-en: Java access library for OpenStreetMap PBF file format
 Osmpbf is a Java/C library to read and write OpenStreetMap PBF files.
 PBF (Protocol buffer Binary Format) is a binary file format for OpenStreetMap
 data that uses Google Protocol Buffers as low-level storage.
 .
 This package provides a Java library.

Package: libosmvendor4
Description-md5: e23712bce6487fa56657e13b77f7925f
Description-en: InfiniBand subnet manager - vendor library
 OpenSM provides an implementation of an InfiniBand Subnet Manager (SM) and
 Administrator (SA). One Subnet Manager is required to run on each InfiniBand
 subnet in order to initialize the InfiniBand hardware.

Package: libosp-dev
Description-md5: c886d203f924f28f39a7c62f660e64f8
Description-en: OpenJade group's SP suite, developer support
 This contains include files and the static library for libosp.
 This C++ library contains entity management functions, parsing functions,
 and other functions useful for SGML/XML/DSSSL development.

Package: libosp5
Description-md5: 3ba5bab58b5ec24657f78e068cf12ad2
Description-en: Runtime library for OpenJade group's SP suite
 This is the SP suite's shared library runtime support.  This C++
 library contains entity management functions, parsing functions, and
 other functions useful for SGML/XML/DSSSL development.
 .
 This shared library is used by the opensp and openjade packages.

Package: libosptk-dev
Description-md5: 83f94f3669a51cf2e5c5f4b0c74e32aa
Description-en: OSP Toolkit development library and header files
 The Open Settlement Protocol (OSP) standard defined by the European
 Telecommunications Standards Institute (ETSI TS 101 321) www.etsi.org.
 .
 The OSP Toolkit is an open source implementation of the OSP peering protocol
 and is freely available from www.sourceforge.net. It enables applications for
 secure multi-lateral peering.
 .
 libosptk development library and header files for building OSP client end
 applications with OSP Toolkit.

Package: libosptk4
Description-md5: 7f3a18fa0a46ba0f24d90eb1098ffe7f
Description-en: OSP Toolkit shared library
 The Open Settlement Protocol (OSP) standard defined by the European
 Telecommunications Standards Institute (ETSI TS 101 321) www.etsi.org.
 .
 The OSP Toolkit is an open source implementation of the OSP peering protocol
 and is freely available from www.sourceforge.net. It enables applications for
 secure multi-lateral peering.
 .
 libosptk run-time shared library needed by OSP client end applications that
 use OSP Toolkit.

Package: liboss4-salsa-asound2
Description-md5: ba4b32966a682b6600ab6e8f12bc04ce
Description-en: OSS to Alsa compatibility library - binary compatibility symlink
 Liboss4-salsa is a library providing an ALSA interface on top of OSS.
 .
 This package contains a symbolic link that makes applications already compiled
 with ALSA use liboss4-salsa.

Package: liboss4-salsa2
Description-md5: 1ee9befd9bbe018d6f278dddb477dbd5
Description-en: OSS to Alsa compatibility library
 Liboss4-salsa is a library providing an ALSA interface on top of OSS.

Package: libossim-dev
Description-md5: 27152ae96b2c6ebee87889f95fff38a0
Description-en: OSSIM library -- development files
 Open Source Software Image Map (OSSIM) is a high performance engine for
 remote sensing, image processing, geographical information systems and
 photogrammetry. It has been actively developed since 1996.
 .
 Designed as a series of high performance software libraries, it is
 written in C++ employing the latest techniques in object-oriented
 software design.
 .
 The library provides advanced remote sensing, image processing, and
 geo-spatial functionality. A quick summary of OSSIM functionality
 includes ortho-rectification, precision terrain correction, rigorous
 sensor models, very large mosaics, and cross sensor fusions, a wide
 range of map projections and datums, and a large range of commercial
 and government data formats. The architecture of the library supports
 parallel processing with mpi (not enabled), a dynamic plugin architecture,
 and dynamically connectable objects allowing rapid prototyping of custom
 image processing chains.
 .
 This package includes the development files to build programs
 that use the OSSIM library.

Package: libossim1
Description-md5: 43bad2c37ad58478eaec357db245e85b
Description-en: OSSIM library -- shared library
 Open Source Software Image Map (OSSIM) is a high performance engine for
 remote sensing, image processing, geographical information systems and
 photogrammetry. It has been actively developed since 1996.
 .
 Designed as a series of high performance software libraries, it is
 written in C++ employing the latest techniques in object-oriented
 software design.
 .
 The library provides advanced remote sensing, image processing, and
 geo-spatial functionality. A quick summary of OSSIM functionality
 includes ortho-rectification, precision terrain correction, rigorous
 sensor models, very large mosaics, and cross sensor fusions, a wide
 range of map projections and datums, and a large range of commercial
 and government data formats. The architecture of the library supports
 parallel processing with mpi (not enabled), a dynamic plugin architecture,
 and dynamically connectable objects allowing rapid prototyping of custom
 image processing chains.
 .
 This package includes the OSSIM shared library.

Package: libossp-sa-dev
Description-md5: b2e0705b872c9e1a1a49d14a8a7cf047
Description-en: Abstraction library for the Unix socket API
 OSSP sa is an abstraction library for the Unix socket application
 programming interface (API) featuring stream and datagram oriented
 communication over Unix Domain and Internet Domain (TCP and UDP)
 sockets. It provides the following key features: address abstraction
 (local, IPv4, and IPv6), type abstraction, I/O timeouts, I/O stream
 buffering and convenience I/O functions.

Package: libossp-sa12
Description-md5: b2e0705b872c9e1a1a49d14a8a7cf047
Description-en: Abstraction library for the Unix socket API
 OSSP sa is an abstraction library for the Unix socket application
 programming interface (API) featuring stream and datagram oriented
 communication over Unix Domain and Internet Domain (TCP and UDP)
 sockets. It provides the following key features: address abstraction
 (local, IPv4, and IPv6), type abstraction, I/O timeouts, I/O stream
 buffering and convenience I/O functions.

Package: libossp-uuid-dev
Description-md5: 401a1d8f75ee057d66ef71b7471c6e22
Description-en: OSSP uuid ISO-C and C++ - headers and static libraries
 OSSP uuid is an ISO-C and Perl application programming interface (API)
 and corresponding command line interface (CLI) for the generation of
 DCE 1.1 and ISO/IEC 11578:1996 compliant Universally Unique Identifier
 (UUID). It supports DCE 1.1 variant UUIDs of version 1 (time and node
 based), version 3 (name based) and version 4 (random number based).
 .
 UUIDs are 128 bit numbers which are intended to have a high likelihood
 of uniqueness over space and time and are computationally difficult
 to guess. They are globally unique identifiers which can be locally
 generated without contacting a global registration authority. UUIDs
 are intended as unique identifiers for both mass tagging objects
 with an extremely short lifetime and to reliably identifying very
 persistent objects across a network.
 .
 This package contains the development environment for the ossp-uuid library.

Package: libossp-uuid-perl
Description-md5: f8ca90ce3ad25625ddf4be13b04b7091
Description-en: perl OSSP::UUID - OSSP uuid Perl Binding
 OSSP uuid is an ISO-C and Perl application programming interface (API)
 and corresponding command line interface (CLI) for the generation of
 DCE 1.1 and ISO/IEC 11578:1996 compliant Universally Unique Identifier
 (UUID). It supports DCE 1.1 variant UUIDs of version 1 (time and node
 based), version 3 (name based) and version 4 (random number based).
 .
 UUIDs are 128 bit numbers which are intended to have a high likelihood
 of uniqueness over space and time and are computationally difficult
 to guess. They are globally unique identifiers which can be locally
 generated without contacting a global registration authority. UUIDs
 are intended as unique identifiers for both mass tagging objects
 with an extremely short lifetime and to reliably identifying very
 persistent objects across a network.
 .
 OSSP::uuid provides two Perl APIs:
 .
 The OO-style API is a wrapper around the C-style API and intended for
 high-level and regular programming.
 .
 The C-style API is a direct mapping of the OSSP uuid ISO-C API to Perl and
 is intended for low-level programming.
 .
 The Debian package provides also Data::UUID interface so OSSP::uuid can be
 used as free replacement for non-DFSG Perl module.

Package: libossp-uuid16
Description-md5: 4e2b73242022da7d4b05222407c9042f
Description-en: OSSP uuid ISO-C and C++ - shared library
 OSSP uuid is an ISO-C and Perl application programming interface (API)
 and corresponding command line interface (CLI) for the generation of
 DCE 1.1 and ISO/IEC 11578:1996 compliant Universally Unique Identifier
 (UUID). It supports DCE 1.1 variant UUIDs of version 1 (time and node
 based), version 3 (name based) and version 4 (random number based).
 .
 UUIDs are 128 bit numbers which are intended to have a high likelihood
 of uniqueness over space and time and are computationally difficult
 to guess. They are globally unique identifiers which can be locally
 generated without contacting a global registration authority. UUIDs
 are intended as unique identifiers for both mass tagging objects
 with an extremely short lifetime and to reliably identifying very
 persistent objects across a network.
 .
 This package contains the shared library.

Package: libostree-1-1
Description-md5: 7f070d279b2cc988d078b2a019548640
Description-en: content-addressed filesystem for operating system binaries (library)
 libostree is a library for managing bootable, immutable, versioned
 filesystem trees. It is like git in that it checksums individual files
 and has a content-addressed object store; unlike git, it "checks out"
 the files using hardlinks into an immutable directory tree. This
 can be used to provide atomic upgrades with rollback, history and
 parallel-installation, particularly useful on "fixed purpose" systems
 such as embedded devices. It is also used by the Flatpak application
 runtime system.
 .
 This package contains the shared library.

Package: libostree-dev
Description-md5: c22486f6aa7420e21a9ea0a7a63be1ad
Description-en: Development files for the libostree library
 libostree is a library for managing bootable, immutable, versioned
 filesystem trees. It is like git in that it checksums individual files
 and has a content-addressed object store; unlike git, it "checks out"
 the files using hardlinks into an immutable directory tree. This
 can be used to provide atomic upgrades with rollback, history and
 parallel-installation, particularly useful on "fixed purpose" systems
 such as embedded devices. It is also used by the Flatpak application
 runtime system.
 .
 This package contains development headers and the pkg-config file for
 libostree.

Package: libostree-doc
Description-md5: 09cc3f7b6e492af7e658d0d0b52eed53
Description-en: Development documentation for the libostree library
 libostree provides a library and tools for managing bootable, immutable,
 versioned filesystem trees. See the ostree package's description for
 more details.
 .
 This package contains development documentation for libostree.

Package: libostyle-dev
Description-md5: 916e65c0872facd3207bfd335df5203a
Description-en: OpenJade libraries, developer support
 Contains include files and the static library for libogrove,
 libospgrove, and libostyle.  This is useful for those developing on
 top of the OpenJade grove or DSSSL engine.

Package: libostyle1c2
Description-md5: 32031f3a284c6b91eaa28d9ed0cf34ea
Description-en: Runtime libraries for OpenJade
 OpenJade's shared libraries, runtime support.  Includes libogrove,
 providing an abstract interface to groves; libospgrove, an interface
 to groves on top of the OpenSP suite; and libostyle, the actual DSSSL
 style engine.
 .
 This shared library is used by the openjade package.

Package: libotb
Description-md5: 6cfdfb412d8c28a9b58f1e55b475faac
Description-en: ORFEO Toolbox library metapackage
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This metapackage provides all shared libraries used by OTB.

Package: libotb-apps
Description-md5: c0b483b0979007b75fa7038d3506a61f
Description-en: Plugins for ORFEO Toolbox applications
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the application plugins used by otb-bin(commandline)
 and otb-bin-qt(gui).

Package: libotb-dev
Description-md5: 0a13b397537c2794e857a129d540177d
Description-en: Free library of image processing algorithms - development
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the development files needed to build your own OTB
 applications and modules.

Package: libotbapplicationengine-7.0-1
Description-md5: 0f0f741ceab4e2199ddce185dae9fb30
Description-en: ORFEO Toolbox library - OTBApplicationEngine
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBApplicationEngine shared library.

Package: libotbcarto-7.0-1
Description-md5: d5b78b6e076b1d55d724d70c021c97ba
Description-en: ORFEO Toolbox library - OTBCarto
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBCarto shared library.

Package: libotbcommandline-7.0-1
Description-md5: f41f0bab72fa07a7f7bac93f239e136e
Description-en: ORFEO Toolbox library - OTBCommandLine
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBCommandLine shared library.

Package: libotbcommon-7.0-1
Description-md5: a67632f5315e921150cbe407ef2e991c
Description-en: ORFEO Toolbox library - OTBCommon
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBCommon shared library.

Package: libotbcurladapters-7.0-1
Description-md5: 3d5bc8896f1419542b2a6840b5002ae9
Description-en: ORFEO Toolbox library - OTBCurlAdapters
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBCurlAdapters shared library.

Package: libotbextendedfilename-7.0-1
Description-md5: d1a40afe001dc367a998586e43e623b1
Description-en: ORFEO Toolbox library - OTBExtendedFileName
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBExtendedFileName shared library.

Package: libotbfuzzy-7.0-1
Description-md5: 6fb57ad018087168efb25f0c7adba9e1
Description-en: ORFEO Toolbox library - OTBFuzzy
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBFuzzy shared library.

Package: libotbgdaladapters-7.0-1
Description-md5: 53a2ba00cac5a2e73ed376c7afd23411
Description-en: ORFEO Toolbox library - OTBGdalAdapters
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBGdalAdapters shared library.

Package: libotbice-7.0-1
Description-md5: b84701adb886e6031467462418f1e36b
Description-en: ORFEO Toolbox library - OTBIce
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBIce shared library.

Package: libotbimagebase-7.0-1
Description-md5: 9617d4c16b10bfd3a3b31d329347d139
Description-en: ORFEO Toolbox library - OTBImageBase
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBImageBase shared library.

Package: libotbimageio-7.0-1
Description-md5: 4370ecf9ee3fe0fc384a42b49d8703f4
Description-en: ORFEO Toolbox library - OTBImageIO
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBImageIO shared library.

Package: libotbimagemanipulation-7.0-1
Description-md5: 0ceac946529f0de09589bab04e5ec4bb
Description-en: ORFEO Toolbox library - OTBImageManipulation
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBImageManipulation shared library.

Package: libotbiobsq-7.0-1
Description-md5: f00d5e441466ea04ed6f6c79e923726a
Description-en: ORFEO Toolbox library - OTBIOBSQ
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBIOBSQ shared library.

Package: libotbiogdal-7.0-1
Description-md5: 92d98320f3e300642d1e2f125d7959ad
Description-en: ORFEO Toolbox library - OTBIOGDAL
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBIOGDAL shared library.

Package: libotbiokml-7.0-1
Description-md5: 3b09bfc15b845215bd9e8c1610af578a
Description-en: ORFEO Toolbox library - OTBIOKML
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBIOKML shared library.

Package: libotbiolum-7.0-1
Description-md5: 89c199cae9416f2983022e4ee293f4bb
Description-en: ORFEO Toolbox library - OTBIOLUM
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBIOLUM shared library.

Package: libotbiomstar-7.0-1
Description-md5: a51a95fd4b9b66517a188c3779a4ce33
Description-en: ORFEO Toolbox library - OTBIOMSTAR
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBIOMSTAR shared library.

Package: libotbioonera-7.0-1
Description-md5: b4f016cd64b914efed8085b5a8c87f4d
Description-en: ORFEO Toolbox library - OTBIOONERA
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBIOONERA shared library.

Package: libotbiorad-7.0-1
Description-md5: 2a87f246b3cd693da5db4516da66fe7d
Description-en: ORFEO Toolbox library - OTBIORAD
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBIORAD shared library.

Package: libotblearningbase-7.0-1
Description-md5: 86178db0b27f98146b4dfb764d724641
Description-en: ORFEO Toolbox library - OTBLearningBase
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBLearningBase shared library.

Package: libotbmapla-7.0-1
Description-md5: a90fc8faf86ad4bb145cec3a1d457cf4
Description-en: ORFEO Toolbox library - OTBMapla
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBMapla shared library.

Package: libotbmathparser-7.0-1
Description-md5: c4c59b19dd055bd992e626f8bb3d7eab
Description-en: ORFEO Toolbox library - OTBMathParser
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBMathParser shared library.

Package: libotbmathparserx-7.0-1
Description-md5: 999192306bc5b811ed103bb0bbe6565d
Description-en: ORFEO Toolbox library - OTBMathParserX
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBMathParserX shared library.

Package: libotbmetadata-7.0-1
Description-md5: 3354acc426e1f3cdc695acc8c1f041e2
Description-en: ORFEO Toolbox library - OTBMetadata
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBMetadata shared library.

Package: libotbmonteverdi-7.0-1
Description-md5: 500048385c1c79812006fbc914177c7a
Description-en: ORFEO Toolbox library - OTBMonteverdi
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBMonteverdi shared library.

Package: libotbmonteverdicore-7.0-1
Description-md5: 9f9df147404226deea922ded6171509b
Description-en: ORFEO Toolbox library - OTBMonteverdiCore
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBMonteverdiCore shared library.

Package: libotbmonteverdigui-7.0-1
Description-md5: df57cc96448a90088623ad6064a561d5
Description-en: ORFEO Toolbox library - OTBMonteverdiGUI
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBMonteverdiGUI shared library.

Package: libotbossimadapters-7.0-1
Description-md5: 4400900a537aeff397c3296533d77e98
Description-en: ORFEO Toolbox library - OTBOssimAdapters
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBOssimAdapters shared library.

Package: libotbossimplugins-7.0-1
Description-md5: eba20b4f0b3dedb6879c92dafef696f1
Description-en: ORFEO Toolbox library - OTBOssimPlugins
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBOssimPlugins shared library.

Package: libotbpolarimetry-7.0-1
Description-md5: 39e9fd066de494be3eeb0d5fc2b5caf9
Description-en: ORFEO Toolbox library - OTBPolarimetry
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBPolarimetry shared library.

Package: libotbprojection-7.0-1
Description-md5: 21e6348ad6d76b9b0775077143e07e79
Description-en: ORFEO Toolbox library - OTBProjection
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBProjection shared library.

Package: libotbqtadapters-7.0-1
Description-md5: 2a26cc12de837f85d0750b2c1fccaca6
Description-en: ORFEO Toolbox library - OTBQtAdapters
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBQtAdapters shared library.

Package: libotbqtwidget-7.0-1
Description-md5: e31a7997ba947a3f481cd027c62df4b6
Description-en: ORFEO Toolbox library - OTBQtWidget
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBQtWidget shared library.

Package: libotbsampling-7.0-1
Description-md5: 3f2edeae71fc83ce662dcac615a1d594
Description-en: ORFEO Toolbox library - OTBSampling
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBSampling shared library.

Package: libotbsiftfast-7.0-1
Description-md5: e6c99ad99c50fdaaa7b9d7527a893dc5
Description-en: ORFEO Toolbox library - OTBSiftFast
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBSiftFast shared library.

Package: libotbstatistics-7.0-1
Description-md5: 7f8ebfce548aaceb352950d39a6a4395
Description-en: ORFEO Toolbox library - OTBStatistics
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBStatistics shared library.

Package: libotbstreaming-7.0-1
Description-md5: 4bf6632a148920d6e085d3175bbe574b
Description-en: ORFEO Toolbox library - OTBStreaming
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBStreaming shared library.

Package: libotbsupervised-7.0-1
Description-md5: d786c9d87b85800089ce7beddd7f5c70
Description-en: ORFEO Toolbox library - OTBSupervised
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBSupervised shared library.

Package: libotbtestkernel-7.0-1
Description-md5: 598aab00443a6583073b3747329a2a1e
Description-en: ORFEO Toolbox library - OTBTestKernel
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBTestKernel shared library.

Package: libotbvectordatabase-7.0-1
Description-md5: 34b074e6e9c6ee295d1424d612f47911
Description-en: ORFEO Toolbox library - OTBVectorDataBase
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBVectorDataBase shared library.

Package: libotbvectordataio-7.0-1
Description-md5: 627a59d6ea9adbdf67595ba941110a28
Description-en: ORFEO Toolbox library - OTBVectorDataIO
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBVectorDataIO shared library.

Package: libotbwavelet-7.0-1
Description-md5: 0aaaa344d34407136b07c3bdc6c6dd9f
Description-en: ORFEO Toolbox library - OTBWavelet
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBWavelet shared library.

Package: libotcl1
Description-md5: c3dd0d2212218425fcc3f9171bca36e4
Description-en: shared library of OTcl
 OTcl, short for MIT Object Tcl, is an extension to Tcl/Tk for
 object-oriented programming. It shouldn't be confused with the
 IXI Object Tcl extension by Dean Sheenan. (Sorry, but both of them
 like the name and have been using it for a while.)
 .
 Some of OTcl's features as compared to alternatives are:
   designed to be dynamically extensible, like Tcl, from the ground up
   builds on Tcl syntax and concepts rather than importing another language
   compact yet powerful object programming system
   fairly portable implementation (2000 lines of C, without core hacks)
 .
 OTcl was created by David Wetherall as part of the VUsystem project
 at MIT. Since 1997, OTcl has been maintained as part of the Mash and
 VINT/ns efforts (with David's blessing).
 .
 This package contains shared library file.

Package: libotcl1-dev
Description-md5: 942af1efba4cbffbaa73dc7a0c627b50
Description-en: static library of OTcl
 OTcl, short for MIT Object Tcl, is an extension to Tcl/Tk for
 object-oriented programming. It shouldn't be confused with the
 IXI Object Tcl extension by Dean Sheenan. (Sorry, but both of them
 like the name and have been using it for a while.)
 .
 Some of OTcl's features as compared to alternatives are:
   designed to be dynamically extensible, like Tcl, from the ground up
   builds on Tcl syntax and concepts rather than importing another language
   compact yet powerful object programming system
   fairly portable implementation (2000 lines of C, without core hacks)
 .
 OTcl was created by David Wetherall as part of the VUsystem project
 at MIT. Since 1997, OTcl has been maintained as part of the Mash and
 VINT/ns efforts (with David's blessing).
 .
 This package contains static library and header files.

Package: libotf-bin
Description-md5: 1b6a5fe8099563b1d202bbbc2b3ab80d
Description-en: Library for handling OpenType Font - utilities
 The libotf library provides the following facilities:
  - Read Open Type Layout Tables from OTF file.  Currently these
    tables are supported; head, name, cmap, GDEF, GSUB, and GPOS.
  - Convert a Unicode character sequence to a glyph code sequence by
    using the above tables.
 .
 The combination of libotf and the FreeType library (Ver.2) realizes
 CTL (complex text layout) by OpenType fonts.
 .
 This package contains the support utilities of libotf.

Package: libotf-trace-dev
Description-md5: f5368aa013b39af127938fe9591c9125
Description-en: Open Trace format support library - compatibility symlink
 OTF is a standard trace format used by several high-performance tools,
 using an ASCII encoding, which supports multiple streams. The libotf
 provides support for reading/writing them.
 .
 This package contains compatibility symlinks for the old libotf.so library name
 and headers.

Package: libotfaux0
Description-md5: 93324652b397f948446cdfe5c3db4ea7
Description-en: Open Trace Format support library - shared library
 OTF is a standard trace format used by several high-performance tools,
 using an ASCII encoding, which supports multiple streams. The libotfaux
 provides support for reading/writing them.
 .
 This package contains the libotfaux shared library.

Package: libotpw-dev
Description-md5: 8b12e23933b0bd94aff7db81b291b4e0
Description-en: OTPW library development files and documentation
 OTPW is a one-time password system which is robust against
 the password list being stolen and race for the last digit
 attacks.
 .
 This package contains the development files needed to write
 programs using OTPW and the documentation of the OTPW system.

Package: libotr5
Description-md5: cff3e3817189bece0518936b4f3ab901
Description-en: Off-the-Record Messaging library
 OTR allows you to have private conversations over IM by providing:
  - Encryption
    - No one else can read your instant messages.
  - Authentication
    - You are assured the correspondent is who you think it is.
  - Deniability
    - The messages you send do not have digital signatures that are
      checkable by a third party.  Anyone can forge messages after a
      conversation to make them look like they came from you.  However,
      during a conversation, your correspondent is assured the messages
      they see are authentic and unmodified.
  - Perfect forward secrecy
    - If you lose control of your private keys, no previous conversation
      is compromised.
 .
 This package provides the OTR library.

Package: libotr5-bin
Description-md5: e2cff41cba01eb729b59708a65bd3911
Description-en: toolkit for Off-the-Record Messaging library
 OTR allows you to have private conversations over IM by providing:
  - Encryption
    - No one else can read your instant messages.
  - Authentication
    - You are assured the correspondent is who you think it is.
  - Deniability
    - The messages you send do not have digital signatures that are
      checkable by a third party.  Anyone can forge messages after a
      conversation to make them look like they came from you.  However,
      during a conversation, your correspondent is assured the messages
      they see are authentic and unmodified.
  - Perfect forward secrecy
    - If you lose control of your private keys, no previous conversation
      is compromised.
 .
 This package contains the program files for the OTR library.

Package: libotr5-dev
Description-md5: 85b3a9c1be0562fd955915c46ecca1ff
Description-en: Off-the-Record Messaging library development files
 OTR allows you to have private conversations over IM by providing:
  - Encryption
    - No one else can read your instant messages.
  - Authentication
    - You are assured the correspondent is who you think it is.
  - Deniability
    - The messages you send do not have digital signatures that are
      checkable by a third party.  Anyone can forge messages after a
      conversation to make them look like they came from you.  However,
      during a conversation, your correspondent is assured the messages
      they see are authentic and unmodified.
  - Perfect forward secrecy
    - If you lose control of your private keys, no previous conversation
      is compromised.
 .
 This package contains the header files and static libraries needed to
 develop applications using libotr.

Package: libots-dev
Description-md5: 2b057b29bf2b0a3b8c3b04c84a716683
Description-en: Open Text Summarizer (development)
 OTS reads a text and decides which sentences are important and which
 are not.  Then it creates a short summary or will highlight the main
 idea in the text (the output can be HTML).  OTS is m17n'd and
 works with UTF-8 encoding.
 .
 This package contains files used for development with ots.

Package: libots0
Description-md5: f495e7761d2c9a1d28d22b2a4cffc3b7
Description-en: Open Text Summarizer (library)
 OTS reads a text and decides which sentences are important and which
 are not.  Then it creates a short summary or will highlight the main
 idea in the text (the output can be HTML).  OTS is m17n'd and works
 with UTF-8 encoding.
 .
 This package contains shared library and a program (ots).

Package: libouch-perl
Description-md5: 8614d07344e802c3d99e90e9e73d054f
Description-en: exception handling module
 The Ouch module ("exceptions that don't hurt") provides a class for exception
 handling that doesn't require a lot of boilerplate, nor any up front
 definition.  It is fast, easy to use, requires few typing, and has no prereqs,
 but still provides much of that same functionality as e.g. Exception::Class.

Package: libounit-ocaml-dev
Description-md5: 54f3333853eca18a12402e076f6959bc
Description-en: Unit testing framework for OCaml
 OUnit is a unit testing framework for OCaml, imspired
 by the JUnit tool for Java and the HUnit tool for Haskell.
 .
 OUnit is designed to provide a convenient way for you to write tests
 for your OCaml code.  Tests can be aggregated into test suites, making
 it easy to both write tests close to the code being examined and
 execute a comprehensive aggregate set of tests -- or any subset of it.

Package: libow-3.2-3
Description-md5: 086588cdedbaf6679752df008761ccfe
Description-en: 1-Wire File System full library
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package includes the ow library that is able to talk directly to 1-wire
 buses.

Package: libow-dev
Description-md5: 0e072636a466d69d58972850381be786
Description-en: 1-Wire File System (development files)
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package includes development libraries and C header files.

Package: libow-perl
Description-md5: ffbe6c7b2414f987cd55830f1fd7997d
Description-en: Dallas 1-wire support: Perl5 bindings
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 Perl bindings for the OWFS 1-wire support library have been produced
 with SWIG and allow access to libow functions from perl code.

Package: libow-php7
Description-md5: 4212950f79723bdf7d52b38819caa39a
Description-en: Dallas 1-wire support: PHP5 bindings
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 PHP bindings for the OWFS 1-wire support library have been produced
 with SWIG and allow access to libow* functions from PHP code.

Package: libow-tcl
Description-md5: ed32ed77cdcf60344ce287030c0864b8
Description-en: Dallas 1-wire support: Tcl bindings
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package contains Tcl bindings to the OWFS core library (libow),
 which is used to access 1-Wire networks

Package: libowasp-antisamy-java
Description-md5: d7abb20da27a090315946e1efb126ebe
Description-en: OWASP AntiSamy
 The OWASP AntiSamy project is a collection of APIs for safely allowing
 users to supply their own HTML and CSS without exposing the site to XSS
 vulnerabilities

Package: libowasp-antisamy-java-doc
Description-md5: 249a6e4c0e9a16b920e9f4987bc9ba50
Description-en: Documentation for OWASP AntiSamy
 The OWASP AntiSamy project is a collection of APIs for safely allowing
 users to supply their own HTML and CSS without exposing the site to XSS
 vulnerabilities
 .
 This package contains the API documentation of libowasp-antisamy-java.

Package: libowasp-esapi-java
Description-md5: 6e5fb651ab901cb4a139e25eac8c158d
Description-en: Enterprise Security API (ESAPI)
 The Enterprise Security API (ESAPI) project is an OWASP project to
 create simple strong security controls for every web
 platform. Security controls are not simple to build. You can read
 about the hundreds of pitfalls for unwary developers on the OWASP web
 site. By providing developers with a set of strong controls, OWASP
 aims to eliminate some of the complexity of creating secure web
 applications.

Package: libowasp-esapi-java-doc
Description-md5: 13598ca4a226efedeeac7e41a404bef2
Description-en: Documentation for ESAPI
 The Enterprise Security API (ESAPI) project is an OWASP project to
 create simple strong security controls for every web
 platform. Security controls are not simple to build. You can read
 about the hundreds of pitfalls for unwary developers on the OWASP web
 site. By providing developers with a set of strong controls, OWASP
 aim to eliminate some of the complexity of creating secure web
 applications.
 .
 This package contains the API documentation of libowasp-esapi-java.

Package: libowasp-java-html-sanitizer-java
Description-md5: 66d50b51121e76528d69a0641804635b
Description-en: OWASP Java HTML Sanitizer
 A fast and easy to configure HTML Sanitizer written in Java which lets
 you include HTML authored by third-parties in your web application while
 protecting against XSS.

Package: libowasp-java-html-sanitizer-java-doc
Description-md5: c0fae0d8c2fe5b714c303168f83b61ed
Description-en: Documentation for OWASP Java HTML Sanitizer
 A fast and easy to configure HTML Sanitizer written in Java which lets
 you include HTML authored by third-parties in your web application while
 protecting against XSS.
 .
 This package contains the API documentation of
 libowasp-java-html-sanitizer-java.

Package: libowcapi-3.2-3
Description-md5: 09bdc0ef38656c4bf67d53864ca0c146
Description-en: 1-Wire File System C library
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package includes the C API that allows one to talk directly to 1-wire
 buses (through the libow library).

Package: libowfat-dev
Description-md5: 6b52804f4a84fe051114e050641d75ab
Description-en: Reimplementation of libdjb, development files
 This library is a reimplementation of libdjb, which means that it provides
 Daniel Bernstein's interfaces (with some extensions).
 .
 It contains wrappers around memory allocation, buffered I/O, routines for
 formatting and scanning, a full DNS resolver, several socket routines,
 wrappers for socket functions, mkfifo, opendir, wait, and an abstraction
 around errno.  It also includes wrappers for Unix signal functions and a
 layer of mmap and sendfile.
 .
 This package contains libowfat linked with glibc, and man pages.

Package: libowfat-dietlibc-dev
Description-md5: b2aaf0ca089f1b14bde3736ba77d78e5
Description-en: Reimplementation of libdjb, dietlibc version
 This library is a reimplementation of libdjb, which means that it provides
 Daniel Bernstein's interfaces (with some extensions).
 .
 It contains wrappers around memory allocation, buffered I/O, routines for
 formatting and scanning, a full DNS resolver, several socket routines,
 wrappers for socket functions, mkfifo, opendir, wait, and an abstraction
 around errno.  It also includes wrappers for Unix signal functions and a
 layer of mmap and sendfile.
 .
 This package contains libowfat linked with dietlibc.

Package: libowfat0
Description-md5: cc6f1873ef788892d5f821c50f9d601b
Description-en: Reimplementation of libdjb, shared library
 This library is a reimplementation of libdjb, which means that it provides
 Daniel Bernstein's interfaces (with some extensions).
 .
 It contains wrappers around memory allocation, buffered I/O, routines for
 formatting and scanning, a full DNS resolver, several socket routines,
 wrappers for socket functions, mkfifo, opendir, wait, and an abstraction
 around errno.  It also includes wrappers for Unix signal functions and a
 layer of mmap and sendfile.
 .
 This package contains the shared library linked against glibc.  The library is
 also available for use with the diet libc (see libowfat-dietlibc-dev).

Package: libowl-directsemantics-perl
Description-md5: 6a717f6cd1f2c8d1a79f08f4c00b084d
Description-en: representation of the direct semantics of OWL2
 The Web Ontology Language (OWL) is a family of knowledge representation
 languages for authoring ontologies.
 .
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 OWL::DirectSemantics provides a basic framework for representing the
 OWL 2 direct semantics model, and a translator to build that model from
 an RDF-based model.

Package: libowncloudsync-dev
Description-md5: 4ddfc1fd37b4158dec855912f2b9dbe8
Description-en: development files for owncloudsync
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package contains the library development files.

Package: libowncloudsync0
Description-md5: 1d41f22efce53b8457cadd769fa3013e
Description-en: ownCloudSync folder synchronization - libraries
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This library is based on CSync, but adds functions that are missing in
 CSync and more specific to graphical clients.

Package: libownet-3.2-3
Description-md5: 236bfc551d195bb2e90046f9f56c04f5
Description-en: owserver protocol library
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package includes the ownet library that is able to talk to a owserver
 in order to get/send 1-wire information.

Package: libownet-dev
Description-md5: 19807df8706d32cd554aab34316b96a6
Description-en: owserver protocol library (development files)
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package contains the development libraries and interfaces
 to access a remote owserver.

Package: libownet-perl
Description-md5: 55edf9595fd4beca6841998473d4f506
Description-en: Perl module for accessing 1-wire networks
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 OWNet.pm is a perl module for accessing 1-wire sensors through an owserver.

Package: libownet-php
Description-md5: fad0dc678965e2f501262b816b620bb9
Description-en: Dallas 1-wire support: PHP OWNet library
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 The PHP OWNet library lets you access owserver and allows reading,
 writing and listing the 1-wire bus.

Package: liboxford-calendar-perl
Description-md5: a5437504f50ff127c65db72bb80cde27
Description-en: University of Oxford calendar conversion routines
 This module converts University of Oxford dates (Oxford academic dates)
 to and from Real World dates, and provides information on Terms of the
 University.

Package: liboxli-dev
Description-md5: 05473796b69430315cb40ba4575320a0
Description-en: in-memory DNA sequence analysis dev library
 khmer is a library and suite of command line tools for working with DNA
 sequence. It is primarily aimed at short-read sequencing data such as that
 produced by the Illumina platform. khmer takes a k-mer-centric approach to
 sequence analysis, hence the name.
 .
 This package contains the low-level C++ library headers and static archive.

Package: liboxli1
Description-md5: 65649bc7c32bcbc7f7b7e7ae79e236e3
Description-en: in-memory DNA sequence analysis library from the khmer project
 khmer is a library and suite of command line tools for working with DNA
 sequence. It is primarily aimed at short-read sequencing data such as that
 produced by the Illumina platform. khmer takes a k-mer-centric approach to
 sequence analysis, hence the name.
 .
 This package contains the low-level C++ library.

Package: liboxygenstyle5-5
Description-md5: d365c1da5d3c4fdad34292a8c1199a5f
Description-en: style library for the Oxygen desktop theme
 The Oxygen desktop theme is used by Plasma and other KDE Software.
 .
 This package contains the private library used by the widget theme
 and KWin style.

Package: liboxygenstyleconfig5-5
Description-md5: 14500848198e2a0647ab61bbcefce6ff
Description-en: style library configuration for the Oxygen desktop theme
 The Oxygen desktop theme is used by Plasma and other KDE Software.
 .
 This package contains the private library used to configure the widget theme
 and KWin style.

Package: libp4est-2.2
Description-md5: e80a50e228ca07830a64dd22d30e1642
Description-en: Algorithms for Parallel Adaptive Mesh Refinement - shared library
 The p4est software library enables the dynamic management of a collection of
 adaptive octrees, conveniently called a forest of octrees. p4est is designed to
 work in parallel and scale to hundreds of thousands of processor cores.
 .
 This package contains the shared library.

Package: libp4est-dev
Description-md5: 1eb998be717d04c1faca5373d8c4b2f0
Description-en: Algorithms for Parallel Adaptive Mesh Refinement - development files
 The p4est software library enables the dynamic management of a collection of
 adaptive octrees, conveniently called a forest of octrees. p4est is designed to
 work in parallel and scale to hundreds of thousands of processor cores.
 .
 This package contains the development files.

Package: libp4est-sc-2.2
Description-md5: f3676b0f5475017514008ac7151ccff9
Description-en: Algorithms for Parallel Adaptive Mesh Refinement - support library
 The p4est software library enables the dynamic management of a collection of
 adaptive octrees, conveniently called a forest of octrees. p4est is designed to
 work in parallel and scale to hundreds of thousands of processor cores.
 .
 This package contains the support library.

Package: libp8-platform-dev
Description-md5: 88607b7d8df1961ccc0503b3639ffa79
Description-en: Pulse-Eight's platform support library -- development files
 Platform support library of Pulse-Eight. It includes C++ wrappers for
 platform-specific atomic operations, threading, sockets and also
 string utilities.
 .
 This package provides the necessary files needed for development.

Package: libp8-platform2
Description-md5: 29984f9d86b99afba1b934f1755995e5
Description-en: Pulse-Eight's platform support library
 Platform support library of Pulse-Eight. It includes C++ wrappers for
 platform-specific atomic operations, threading, sockets and also
 string utilities.

Package: libpa-ounit-camlp4-dev
Description-md5: 0ca0fcce5f96b845dce103ea04749c4a
Description-en: OCaml Syntax extension for writing inline tests
 Pa_ounit is a syntax extension that helps writing in-line tests in
 OCaml code.

Package: libpackage-constants-perl
Description-md5: 4c46f4771ac9e7ca0ac67002048cf710
Description-en: module to list constants defined in a package
 Package::Constants lists all the constants defined in a certain package. This
 can be useful for, among others, setting up an autogenerated
 @EXPORT/@EXPORT_OK for a Constants.pm file.

Package: libpackage-deprecationmanager-perl
Description-md5: 4cd65f8632548df5aefa4320397a4548
Description-en: module for managing deprecation warnings for Perl distributions
 Package::DeprecationManager allows you to manage a set of deprecations for
 one or more modules. It will issue one warning per deprecated feature used,
 optionally with regard to an -api_version specified by users of your module.

Package: libpackage-locator-perl
Description-md5: 64fd810ea8cc27112833e4a050308583
Description-en: module to find a package among CPAN-like repositories
 Package::Locator attempts to answer the question: "Where a distribution that
 will provide this package?" The answer is divined by searching the indexes
 for one or more CPAN-like repositories. If you also provide a specific
 version number, Package::Locator will attempt to find a distribution with
 that version of the package, or higher. You can also ask to find the latest
 version of a package across all the indexes.
 .
 Package::Locator only looks at the index files for each repository, and those
 indexes only contain information about the latest versions of the packages
 within that repository. So Package::Locator is not BackPAN magic -- you
 cannot use it to find precisely which distribution a particular package (or
 file) came from.

Package: libpackage-new-perl
Description-md5: b0cef8d52f51fb9b3caab197d801f8be
Description-en: simple base package from which to inherit
 The Package::New object provides a consistent constructor for objects,
 similar to Package::Base or Object::Tiny. It provides the methods 'new'
 and 'initialize', as well as a method 'dump' for debugging through
 Package::New::Dump.

Package: libpackage-pkg-perl
Description-md5: 6b80757b499d987653c928a7376b5bb1
Description-en: collection of package manipulation utilities
 Package::Pkg is a Perl module that provides several utility functions useful
 for manipulating packages and their subroutines. You can install arbitrary
 code references as functions in a given namespace, alias functions from one
 package to another, and set up an exporter.
 .
 In many respects, this package provides functionality similar to Sub::Install
 (see libsub-install-perl) and Sub::Exporter (see libsub-exporter-perl).

Package: libpackage-variant-perl
Description-md5: d9e41e8d86276ed2c2189189e6c39977
Description-en: parameterizable packages
 Package::Variant allows you to build a variable package that contains a
 package template and can use it to build variant packages at runtime.
 .
 Your variable package will export a subroutine which will build a
 variant package, combining its arguments with the template, and return
 the name of the new variant package.
 .
 The implementation does not care about what kind of packages it builds,
 be they simple function exporters, classes, singletons or something
 entirely different.

Package: libpackagekitqt5-1
Description-md5: c765de29b2b73330ab7f3e5b3af58def
Description-en: Library for accessing PackageKit using Qt5
 PackageKit allows performing simple software management tasks over a DBus
 interface e.g. refreshing the cache, updating, installing and removing
 software packages or searching for multimedia codecs and file handlers.
 .
 This package provides a library to access PackageKit using Qt.

Package: libpackagekitqt5-dev
Description-md5: f4654b21d824eaa019f83575a11c3967
Description-en: Library for accessing PackageKit using Qt5 (development files)
 PackageKit allows performing simple software management tasks over a DBus
 interface e.g. refreshing the cache, updating, installing and removing
 software packages or searching for multimedia codecs and file handlers.
 .
 This package provides the header files for PackageKit-Qt.

Package: libpacketdump3-dev
Description-md5: 8428bbaa38837f79e4082f98ad0b53af
Description-en: development headers for the libpacketdump library
 This package contains development headers and other ancillary files for
 the libpacketdump library.
 .
 libpacketdump provides a library which can parse packets and display the
 packet contents in a nice human-readable form. The output is similar to that
 produced by tcpdump, although the formatting is somewhat more verbose.
 .
 libpacketdump is developed by the WAND Network Research Group at Waikato
 University in New Zealand.

Package: libpacketdump3v5
Description-md5: ecc8a6935f30064ed6d7a861cb74a8fa
Description-en: network packet parsing and human-readable display library
 libpacketdump provides a library which can parse packets and display the
 packet contents in a nice human-readable form. The output is similar to that
 produced by tcpdump, although the formatting is somewhat more verbose.
 .
 libpacketdump is developed by the WAND Network Research Group at Waikato
 University in New Zealand.

Package: libpacklib-lesstif1-dev
Description-md5: 229abbc41e7773184a11a9465fb6ebd2
Description-en: CERNLIB data analysis suite - core GUI library (development files)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The packlib library includes a great deal of CERNLIB core functionality.
 Best-known are probably the MINUIT function minimization routines, the
 HBOOK histogramming routines, and KUIP (Kit for a User Interface Package).
 Other subsystems include CSPACK, a set of client-server routines; FFREAD,
 a format-free input processing system for FORTRAN; and ZEBRA, a data
 structure management package.
 .
 This package includes the graphical functionality of KUIP, the CERNLIB
 Kit for a User Interface Package. This library has been split off
 from packlib so that the packlib packages need not depend upon
 the X and Lesstif libraries.
 .
 This package was formerly named libkuipx11-1-dev. The text-based
 functionality of KUIP can be found in the libpacklib1-dev package.
 .
 This package provides the static version of the libpacklib-lesstif library,
 to be used for development.

Package: libpacklib-lesstif1-gfortran
Description-md5: 0d8ce3738c541404203d0fce58a5e385
Description-en: CERNLIB data analysis suite - core GUI library
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The packlib library includes a great deal of CERNLIB core functionality.
 Best-known are probably the MINUIT function minimization routines, the
 HBOOK histogramming routines, and KUIP (Kit for a User Interface Package).
 Other subsystems include CSPACK, a set of client-server routines; FFREAD,
 a format-free input processing system for FORTRAN; and ZEBRA, a data
 structure management package.
 .
 This package includes the graphical functionality of KUIP, the CERNLIB
 Kit for a User Interface Package. This library has been split off
 from packlib so that the packlib packages need not depend upon
 the X and Lesstif libraries.
 .
 This package was formerly named libkuipx11-1. The text-based functionality
 of KUIP can be found in the libpacklib1-gfortran package.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libpacklib-lesstif1-dev package.

Package: libpacklib1-dev
Description-md5: 33f1e2e00e755a9a3d10ae3fd61cf474
Description-en: CERNLIB data analysis suite - core library (development files)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The packlib library includes a great deal of CERNLIB core functionality.
 Best-known are probably the MINUIT function minimization routines, the
 HBOOK histogramming routines, and KUIP (Kit for a User Interface Package).
 Other subsystems include CSPACK, a set of client-server routines; FFREAD,
 a format-free input processing system for FORTRAN; and ZEBRA, a data
 structure management package.
 .
 This package contains a static version of packlib. Also included are C
 and FORTRAN header files. Please note that the graphical functionality of
 KUIP has been split out into the separate libpacklib-lesstif1-dev package
 so that this package need not depend upon the X and Lesstif libraries.

Package: libpacklib1-gfortran
Description-md5: 23b8825e988633a42c4e3daa398306f2
Description-en: CERNLIB data analysis suite - core library
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 The packlib library includes a great deal of CERNLIB core functionality.
 Best-known are probably the MINUIT function minimization routines, the
 HBOOK histogramming routines, and KUIP (Kit for a User Interface Package).
 Other subsystems include CSPACK, a set of client-server routines; FFREAD,
 a format-free input processing system for FORTRAN; and ZEBRA, a data
 structure management package.
 .
 This package also includes kuesvr, the KUIP edit server program that permits
 calling an editor asynchronously from within a KUIP-based program.
 .
 Please note that the graphical functionality of KUIP has been split out into
 the separate libpacklib-lesstif1-gfortran package so that this package need
 not depend upon the X libraries. In order to compile and link programs
 against libpacklib, you must also install the libpacklib1-dev (and
 maybe also the libpacklib-lesstif1-dev) package(s).

Package: libpacparser-dev
Description-md5: fc4041d246a7a90ca4c53b8610275047
Description-en: library to parse proxy auto-config files (development files)
 a library to parse proxy auto-config (PAC) files. Proxy auto-config files are
 a vastly used proxy configuration method these days. Web browsers can use a PAC
 file to determine which proxy server to use or whether to go direct for a given
 URL. PAC files are written in JavaScript and can be programmed to return
 different proxy methods (e.g. "PROXY proxy1:port; DIRECT") depending upon URL,
 source IP address, protocol, time of the day etc. PAC files introduce a lot of
 possibilities.
 .
 Needless to say, PAC files are now a widely accepted method for proxy
 configuration management and companies all over are using them in corporate
 environments. Almost all popular web browsers support PAC files. The idea
 behind pacparser is to make it easy to add this PAC file parsing capability to
 any program (C and Python supported right now).
 .
 This package contains the header files to build against the shared library.

Package: libpacparser1
Description-md5: 604ae1599415f153a5d48a871341da3c
Description-en: library to parse proxy auto-config files
 a library to parse proxy auto-config (PAC) files. Proxy auto-config files are
 a vastly used proxy configuration method these days. Web browsers can use a PAC
 file to determine which proxy server to use or whether to go direct for a given
 URL. PAC files are written in JavaScript and can be programmed to return
 different proxy methods (e.g. "PROXY proxy1:port; DIRECT") depending upon URL,
 source IP address, protocol, time of the day etc. PAC files introduce a lot of
 possibilities.
 .
 Needless to say, PAC files are now a widely accepted method for proxy
 configuration management and companies all over are using them in corporate
 environments. Almost all popular web browsers support PAC files. The idea
 behind pacparser is to make it easy to add this PAC file parsing capability to
 any program (C and Python supported right now).
 .
 This package contains the shared library, and pactester, a tool for testing
 PAC files

Package: libpadwalker-perl
Description-md5: f686c2f2dfe85157f03c0ffade424501
Description-en: module to inspect and manipulate lexical variables
 PadWalker is a module that allows you to inspect and even modify lexical
 variables in the current "lexical pad stack." Perl tracks which variables
 are accessible and visible in each lexical scope by keeping a separate
 set of variables for each scope. This module looks for a given variable
 by traversing that stack, which allows it to alter anything in the stack,
 even variables not normally accessible in the current scope.
 .
 In practise, this module is useful for checking anything defined in the full
 stack of subroutines that called your function, making it extremely useful
 for debugging. It is, however, not recommended for use in production code.

Package: libpaje-dev
Description-md5: 5b5e8fecc041e53c27b01d41b0d727c6
Description-en: Parsing, manipulation and simulation of paje trace files (dev)
 The libpaje library features the implementation of the Paje File
 Reader, Event Decoder and Simulator. It is capable of reading trace
 files in the Paje file format and keeping them in memory. Data is
 accessed through a well-defined and efficient interface. You can use
 this library to built new visualization techniques for trace
 analysis, without handling all the parsing of files and how events
 are simulated to rebuilt the behavior of the traced application that
 is meant to be analyzed.
 .
 This package contains the development files (headers, etc.)

Package: libpaje2
Description-md5: 37458e44ffe8f9b9de126262dabf8aa3
Description-en: Parsing, manipulation and simulation of paje trace files
 The libpaje library features the implementation of the Paje File
 Reader, Event Decoder and Simulator. It is capable of reading trace
 files in the Paje file format and keeping them in memory. Data is
 accessed through a well-defined and efficient interface. You can use
 this library to built new visualization techniques for trace
 analysis, without handling all the parsing of files and how events
 are simulated to rebuilt the behavior of the traced application that
 is meant to be analyzed.
 .
 This package contains the run-time files.

Package: libpal-java
Description-md5: 746860c317a376d9cc7d4aee77a54f79
Description-en: Phylogenetic Analysis Library
 The PAL project is a collaborative effort to provide a high quality Java
 library for use in molecular evolution and phylogenetics. At present PAL
 consists of approximately 200 public classes/interfaces in 16 packages
 Please refer to the API documentation for a detailed description of all
 classes and methods available, and to the release history for an
 overview of the development history of PAL.

Package: libpal-java-doc
Description-md5: 626f3545e35af7dabad6f4385dadb93c
Description-en: Phylogenetic Analysis Library - documentation
 The PAL project is a collaborative effort to provide a high quality
 Java library for use in molecular evolution and phylogenetics.  At
 present PAL consists of approximately 200 public classes/interfaces in
 16 packages Please refer to the API documentation for a detailed
 description of all classes and methods available, and to the release
 history for an overview of the development history of PAL.
 .
 This package contains the documentation.

Package: libpalm-pdb-perl
Description-md5: eb5b6128c82c1ce2aa612b7a241fe949
Description-en: modules to parse Palm database files
 The Palm::PDB module provides a framework for reading and writing database
 files for use on PalmOS devices such as the PalmPilot. It can read and write
 both Palm Database (.pdb) and Palm Resource (.prc) files.  It is intended to
 be used in conjunction with supplemental modules for specific types of
 databases, such as Palm::Raw (also included in this package) or Palm::Memo
 (included in libpalm-perl).

Package: libpalm-perl
Description-md5: c55c530dcdc1d00d4dcc21f8d64da7be
Description-en: modules for manipulating pdb and prc database files
 Palm is a collection of Perl modules useful for manipulating Palm Pilot
 databases, including Palm::ToDo, Palm::Datebook, Palm::Address, and
 Palm::Memo.

Package: libpam-abl
Description-md5: 789ce08b518a05d9764bf9713f98255c
Description-en: blocks hosts attempting a brute force attack
 a PAM module that provides auto blacklisting of hosts and users responsible
 for repeated failed authentication attempts. Generally configured so that
 blacklisted users still see normal login prompts but are guaranteed
 to fail to authenticate.

Package: libpam-afs-session
Description-md5: 7a08b5843f4c8a2abbb8d29ebcc472cf
Description-en: PAM module to set up a PAG and obtain AFS tokens
 AFS is a distributed network file system.  It uses in-kernel credentials
 (AFS tokens) obtained from Kerberos tickets for authentication and access
 control, and controls access to those credentials via PAGs (process
 authentication groups).  This module provides a PAM session
 implementation that puts new logins in separate PAGs and optionally runs
 an external program (usually aklog) to obtain tokens from Kerberos
 tickets.  It is designed to work with a Kerberos PAM module that obtains
 the initial Kerberos tickets.

Package: libpam-alreadyloggedin
Description-md5: 3446f8f2cdfb9d65f42219f1c0ba1dff
Description-en: PAM module to skip password authentication for logged users
 libpam-alreadloggedin is a PAM module which allows users to skip
 authentication if they are already logged in on another console.
 .
 Note that this module trades a bit of security for users' convenience and
 thus should be used cautiously.

Package: libpam-barada
Description-md5: 4c6daaf0f978ecc64d1fdf686d0582fd
Description-en: PAM module to provide two-factor authentication based on HOTP
 Use HOTP (RFC4226) two-factor authentication with PAM.
 .
 In addition to a normal password, users are also assigned a 128 bit key and
 arbitrary-length PIN number. Every time you'd like to login using a OTP, you
 calculate a secure hash based on your assigned PIN and an increasing counter,
 the result of which is a six character one time password.
 .
 While this module could be used in conjunction with many different
 client devices, it was written specifically with Android devices in
 mind.  There is companion software which runs on Android, so that your
 phone essentially becomes a SecureID token.  All you need to do is
 open up the software, type in your PIN, and you get back a 6-character
 number that you can use to login to your system.

Package: libpam-biometric
Description-md5: fa0648e72a0ea4d1a93df6ef56517fb8
Description-en: Insertable authentication module for PAM
 The indispensable part for biometric authentication in
 ukui desktop environment.
 This package contains a modules for PAM.

Package: libpam-blue
Description-md5: 8cd0d8b31799ceec9823dbc2d4b2b06c
Description-en: PAM module for local authenticaction with bluetooth devices
 pam_blue is a dynamically loadable binary object for the PAM security
 framework in Linux. It is presented as an extension for the common PAM
 modules, gives the user the ability for authenticate via a
 Bluetooth-compatible device like a cell phone or other bluetooth
 dongles (e.g. epox-presenter). Due to the fact that a whole part of
 the Bluetooth stack is implemented in hardware-side it is relatively
 difficult to change the Bluetooth hardware MAC address which makes
 this module more secure.

Package: libpam-ccreds
Description-md5: 996a71e096b611797c4a85c7f4de3533
Description-en: Pam module to cache authentication credentials
 This package provides the means for Linux workstations to locally
 authenticate using an enterprise identity when the network is unavailable.
 Used in conjunction with the nss_updatedb utility, it provides a mechanism for
 disconnected use of network directories. They are designed to work with
 libpam-ldap and libnss-ldap.

Package: libpam-cgfs
Description-md5: cb57c39f06feeaf43987af0884bc76f8
Description-en: PAM module for managing cgroups for LXC
 Containers are insulated areas inside a system, which have their own namespace
 for filesystem, network, PID, IPC, CPU and memory allocation and which can be
 created using the Control Group and Namespace features included in the Linux
 kernel.
 .
 This provides a Pluggable Authentication Module (PAM) to provide
 logged-in users with a set of cgroups which they can administer.
 This allows for instance unprivileged containers, and session
 management using cgroup process tracking.

Package: libpam-cgroup
Description-md5: 44304588c16a37916a9622e84c7443f2
Description-en: control and monitor control groups (PAM)
 Control Groups (cgroups) provide a mechanism for aggregating/partitioning sets
 of tasks, and all their future children, into hierarchical groups with
 specialized behaviour.
 .
 libcgroup allows one to manipulate, control, administrate and monitor cgroups
 and the associated controllers.
 .
 This package contains the PAM module to move a user session into an existing
 cgroup by attempting to match uid and gid against the defined cgroup rules
 configuration.

Package: libpam-chroot
Description-md5: bbdef08c6105e8de4951ff6fb34ad201
Description-en: Chroot Pluggable Authentication Module for PAM
 This package includes a special (but not thoroughly tested) PAM
 module to provide chrooted (restricted) environments for users.
 The module tries to provide a transparent wrapper around the
 average user, one that puts them in a fake file-system (eg, their
 '/' is really /some/where/else).
 .
 In order to setup this environment in Debian please read the
 README.Debian as well as the detailed example provided in
 /usr/share/doc/libpam-chroot/examples

Package: libpam-duo
Description-md5: 5d0f3e76087d91df8b294245281e2929
Description-en: PAM module for Duo Security two-factor authentication
 This provides the PAM module needed to interact with the Duo Security
 API for handling out-of-band two-factor authentication. Also includes
 manpage.

Package: libpam-encfs
Description-md5: 8d52be1c31cea38768c53d34621d8115
Description-en: PAM module to automatically mount encfs filesystems on login
 This PAM module integrates encfs and PAM, so home directories are
 automatically mounted on login. EncFS provides an encrypted filesystem in
 user-space, this PAM module easily allow each user to have an encrypted home,
 and mount it automatically using its login password as encfs password.

Package: libpam-freerdp2
Description-md5: 679563b11558d7bdbba6162ac227b7ac
Description-en: PAM Module to auth against an RDP server using FreeRDPv2
 Auth and session PAM module that uses FreeRDPv2 to authenticate against
 an RDP server as the remote host and user. Local account required.
 .
 This PAM module is intended for facilitating Remote Logon into RDP
 servers using LightDM's Arctica Greeter.

Package: libpam-freerdp2-dev
Description-md5: 24daf9e0a7efe04af1c1cc4c7df7d46b
Description-en: PAM Module to auth against an RDP server using FreeRDPv2 (development files)
 Auth and session PAM module that uses FreeRDPv2 to authenticate against
 an RDP server as the remote host and user. Local account required.
 .
 This PAM module is intended for facilitating Remote Logon into RDP
 servers using LightDM's Arctica Greeter.
 .
 This package contains the pam_freerdp2.so header files.

Package: libpam-fscrypt
Description-md5: 421164b2c9097f303ec36802927e6dea
Description-en: PAM module for Linux filesystem encryption
 fscrypt is a high-level tool for the management of Linux filesystem
 encryption. This tool manages metadata, key generation, key wrapping,
 PAM integration, and provides a uniform interface for creating and
 modifying encrypted directories.
 .
 This PAM module can be used to unlock one or more directories upon user
 login and then lock those directories when the user ends their session.

Package: libpam-geoip
Description-md5: ca33e249543e210cfc9a49a95f49cece
Description-en: PAM module checking access of source IPs with a GeoIP database
 This PAM module provides GeoIP checking for logins. The user can be
 allowed or denied based on the location of the originating IP address.
 This is similar to pam_access, but uses a GeoIP City database instead
 of host name / IP matching.

Package: libpam-google-authenticator
Description-md5: 33f93312ce7d14b36ca1c90e19dbd5ac
Description-en: Two-step verification
 The Google Authenticator project includes implementations of one-time
 passcode generators for several mobile platforms, as well as a
 pluggable authentication module (PAM). One-time passcodes are generated
 using open standards developed by the Initiative for Open
 Authentication (OATH) (which is unrelated to OAuth).
 .
 These implementations support the HMAC-Based One-time Password (HOTP)
 algorithm specified in RFC 4226 and the Time-based One-time Password
 (TOTP) algorithm currently in draft.

Package: libpam-heimdal
Description-md5: 66e4a509213fb644555c0cb0d4c1efd6
Description-en: PAM module for Heimdal Kerberos
 A Kerberos PAM module build against the Heimdal libraries.  It supports
 authenticating against a Kerberos KDC, obtaining tickets and populating
 an initial ticket cache, authorizing users via a ~/.k5login file, and
 changing Kerberos passwords.

Package: libpam-krb5-migrate-heimdal
Description-md5: 9256099dd92fae358f86ed6494d06571
Description-en: PAM module for migrating to Heimdal Kerberos
 A stackable authentication module that takes a username and password from an
 earlier module in the stack and attempts to transparently add the user to a
 Kerberos realm using the Kerberos 5 kadmin service. The module can be used to
 ease the administrative burdens of migrating a large installed userbase from
 pre-existing authentication methods to a Kerberos-based setup.
 .
 This package allows updating the database of a remote Heimdal server.

Package: libpam-krb5-migrate-mit
Description-md5: 28b3f0d58ef9b81707cbe2363e908600
Description-en: PAM module for migrating to MIT Kerberos
 A stackable authentication module that takes a username and password from an
 earlier module in the stack and attempts to transparently add the user to a
 Kerberos realm using the Kerberos 5 kadmin service. The module can be used to
 ease the administrative burdens of migrating a large installed userbase from
 pre-existing authentication methods to a Kerberos-based setup.
 .
 This package allows updating the database of a remote MIT Kerberos server.

Package: libpam-kwallet-common
Description-md5: 198c662b2e6788430c5a2ce28b1e88b4
Description-en: KWallet integration with PAM (common files)
 The KDE Wallet system provides a secure way to store passwords and other
 secret information, allowing the user to remember only a single KDE Wallet
 password instead of numerous different passwords and credentials.
 .
 This package provides a the common files needed by the KDE 4 and Kf5 version
 of the PAM integration.

Package: libpam-kwallet4
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: libpam-kwallet5
Description-md5: e2da5cb13d0ff39ed9c448ad58e0c7e5
Description-en: KWallet (Kf5) integration with PAM
 The KDE Wallet system provides a secure way to store passwords and other
 secret information, allowing the user to remember only a single KDE Wallet
 password instead of numerous different passwords and credentials.
 .
 This package provides a simple PAM integration for KWallet, so you can log in
 to open a KWallet.

Package: libpam-ldap
Description-md5: 8658200211e507017f592c1760c69a3a
Description-en: Pluggable Authentication Module for LDAP
 This package provides an interface between an LDAP server and the PAM
 user authentication system. Using it along with libnss-ldapd or libnss-ldap
 allows LDAP to entirely replace other lookup methods (such as NIS or
 flat-file) for system account tables.

Package: libpam-ldapd
Description-md5: a68cceed2d243cdea8ef0c6500b412f7
Description-en: PAM module for using LDAP as an authentication service
 This package provides a Pluggable Authentication Module that provides user
 authentication, authorization and password management based on credentials
 stored in an LDAP server.

Package: libpam-mklocaluser
Description-md5: 46c6bb21428cb68afde94f0a962ab65f
Description-en: Configure PAM to create a local user if it do not exist already
 When the user log in for the first time, a local user is created in
 /etc/passwd and primary group created in /etc/group, and a local home
 directory is created in /home.  This is useful on roaming computers when
 the password is set up to be cached by for example libpam-ccreds or sssd
 to allow login without network connectivity using the password provided
 by a network authentication service like Kerberos or LDAP.

Package: libpam-mysql
Description-md5: 41eefce73be5185cbe117d9faa5cbdf2
Description-en: PAM module interfacing with MySQL databases
 This module lets PAM-enabled applications get authentication and
 account information from MySQL databases.  Passwords can be stored
 in various encrypted formats, for example as crypt, MD5 or SHA1 hashes,
 in MySQL format or in the Drupal 7 salted format.  Account and password
 expiration is handled via SQL expressions.  Logging into MySQL is also
 supported.

Package: libpam-oath
Description-md5: adf49b51c8320097d078663c2d667eed
Description-en: OATH Toolkit libpam_oath PAM module
 The OATH Toolkit makes it easy to build one-time password
 authentication systems.  It contains shared libraries, command line
 tools and a PAM module.  Supported technologies include the
 event-based HOTP algorithm (RFC4226) and the time-based TOTP algorithm
 (RFC6238).  OATH stands for Open AuTHentication, which is the
 organization that specify the algorithms.  For managing secret key
 files, the Portable Symmetric Key Container (PSKC) format described in
 RFC6030 is supported.
 .
 This package contain a PAM module to authenticate users against
 a local file-based OATH database.

Package: libpam-ocaml
Description-md5: 66aff82d0fbe733288fdcf6fa319078f
Description-en: OCaml bindings for the PAM library (runtime)
 OCamlPAM is a wrapper for the Pluggable Authentication Modules (PAM)
 library. PAM provides a flexible mechanism for authenticating users
 via administrator-defined policies. PAM has modules for
 authenticating via Unix passwd files, Kerberos, LDAP, etc. Additional
 modules for custom authentication mechanisms can be created and
 deployed without recompiling existing services based on
 PAM. Moreover, policies defining the authentication requirements can
 be changed at runtime without restarting running services.
 .
 This package contains only the shared runtime stub library for
 OCamlPAM.

Package: libpam-ocaml-dev
Description-md5: 625d593784be25ae8c6ee0ec043a4509
Description-en: OCaml bindings for the PAM library (development files)
 OCamlPAM is a wrapper for the Pluggable Authentication Modules (PAM)
 library. PAM provides a flexible mechanism for authenticating users
 via administrator-defined policies. PAM has modules for
 authenticating via Unix passwd files, Kerberos, LDAP, etc. Additional
 modules for custom authentication mechanisms can be created and
 deployed without recompiling existing services based on
 PAM. Moreover, policies defining the authentication requirements can
 be changed at runtime without restarting running services.
 .
 This package contains the development stuff you need to use the
 OCamlPAM library in your programs.

Package: libpam-otpw
Description-md5: a43d1a9a348a59e88243400409ecdfdc
Description-en: Use OTPW for PAM authentication
 OTPW is a one-time password system which is robust against
 the password list being stolen and race for the last digit
 attacks.
 .
 This package contains the PAM library to use OTPW in any
 program which uses PAM.

Package: libpam-passwdqc
Description-md5: b542d8fd5b4f7cc4abbfa33f43aacf71
Description-en: PAM module for password strength policy enforcement
 passwdqc is a password/passphrase strength checking and policy
 enforcement toolset, including a PAM module (libpam-passwdqc),
 command-line programs (pwqcheck and pwqgen), and a library (libpasswdqc0).
 .
 pam_passwdqc (optionally) integrates with PAM such that it gets invoked
 when users change their passwords. The module is capable of checking
 password or passphrase strength, enforcing a policy, and offering
 randomly-generated passphrases, with all of these features being
 optional and easily (re-)configurable.

Package: libpam-pgsql
Description-md5: 607a7e4db75a050e77cab900260b541b
Description-en: PAM module to authenticate using a PostgreSQL database
 This module lets you authenticate users against a table in a PostgreSQL
 database. It also supports checking account information and updating
 authentication tokens (ie. passwords).

Package: libpam-pkcs11
Description-md5: d44f6069a65d3f2315a9b26928bb8649
Description-en: Fully featured PAM module for using PKCS#11 smart cards
 A Pluggable Authentication Module for PKCS#11 based smart cards. Useful for
 enabling smart card based user login to a system.
 .
 More advanced than libpam-p11. Additional features include full verification of
 the card data using certificate chains and certificate revocation lists.
 Advanced username mapping including LDAP, Active Directory and Kerberos.

Package: libpam-poldi
Description-md5: fd9d5e54f9a1ab9458bf10efee04cb7b
Description-en: PAM module allowing authentication using a OpenPGP smartcard
 This PAM module will allow you to login, screenlock and validate to
 services using your GnuPG smartcard.
 You might have expected to find this with a name of libpam-pgp, libpam-gpg,
 libpam-openpgp or libpam-gnupg.
 .
 This code is considered experimental and needs more testing. It is, however,
 already used for the daily login.

Package: libpam-pwdfile
Description-md5: c94cebb47d115f6cc0afcd7203155a04
Description-en: PAM module allowing authentication via an /etc/passwd-like file
 This PAM module lets you use an arbitrarily-named text file similar in
 structure to /etc/passwd to authenticate users. It supports libc's crypt
 plus md5crypt with a broken md5 and Digital UNIX's bigcrypt.

Package: libpam-python
Description-md5: 894c07d34a094a24a02bc960f8dc0943
Description-en: Enables PAM modules to be written in Python
 This PAM module runs the Python interpreter, thus allowing PAM
 modules to be written in Python.  As a Python incurs a considerable
 overhead its intended audience is SysAdmins writing one off PAM
 modules.  If you are doing that, you should probably install
 the documentation in libpam-python-doc as well.

Package: libpam-python-doc
Description-md5: 9f2d4bc8e296e3f593cfce29edad6e2e
Description-en: Documentation for the bindings provided by libpam-python
 The libpam-python PAM module runs the Python interpreter, thus allowing
 PAM modules to be written in Python.  This package documents the bindings
 provided by libpam-python.

Package: libpam-radius-auth
Description-md5: b1d911feec4563ba30fb34237dd03b2f
Description-en: PAM RADIUS authentication module
 This is the PAM to RADIUS authentication module. It allows any PAM-capable
 machine to become a RADIUS client for authentication and accounting
 requests. You will, however, need to supply your own RADIUS server to
 perform the actual authentication

Package: libpam-script
Description-md5: 92f94c216214f5e561093f81177e8f47
Description-en: PAM module which allows executing a script
 This module will allow you to execute scripts during authorization,
 password changes and sessions. This is very handy if your current
 security application has no PAM support but is accessible with
 perl or other scripts.

Package: libpam-securepass
Description-md5: 117c4407789dd0d8c8ea5bee6ad2c3f6
Description-en: PAM module for Securepass
 This is a PAM (Linux Pluggable Authentication Modules) module
 for users defined on SecurePass.
 SecurePass provides web single sign-on through the CAS protocol.

Package: libpam-shield
Description-md5: ceb6233bee814077977c82cd88d94313
Description-en: locks out remote attackers trying password guessing
 In certain situations it is not possible to use host based
 authentication and here pam-shield comes in use.
 .
 It locks out brute-force password crackers using null-route or iptables rules.

Package: libpam-shishi
Description-md5: 79fe735f0d428949cb8ad6159aecf321
Description-en: PAM module for Shishi Kerberos v5
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain a PAM module to authenticate local users against
 a Kerberos KDC, and acquire Kerberos tickets.

Package: libpam-slurm
Description-md5: 18e4c29f5ee1b45e3013dc85a2f1793e
Description-en: PAM module to authenticate using the SLURM resource manager
 Pluggable Authentication Module (PAM) for restricting access to compute
 nodes where SLURM performs resource management. Access to the node is
 restricted to user root and users who have been allocated resources on
 that node.

Package: libpam-slurm-adopt
Description-md5: 858caedf3cfdff46f2cdb5fef75d7dcf
Description-en: PAM module to authenticate users running a SLURM job and track their processes
 Pluggable Authentication Module (PAM) for restricting access to compute
 nodes where SLURM performs resource management to users who have a
 running job. The user's connection is "adopted" into the "external"
 step of the job so that processes spawned are tracked and SLURM can
 perform a complete cleanup when the job is completed.

Package: libpam-snapper
Description-md5: 46efe8ad060046e71acb637119d9384e
Description-en: PAM module for Linux filesystem snapshot management tool
 Snapper is a tool for Linux filesystem snapshot management. Apart from the
 obvious creation and deletion of snapshots, it can compare snapshots and revert
 differences between snapshots. In simple terms, this allows root and non-root
 users to view older versions of files and revert changes.
 .
 This package contains the PAM library for snapper, which allows the
 administrator to take snapshots when an user logs in or out of the system.

Package: libpam-ssh
Description-md5: e2ea543179c66edee8eaa84324a8a08e
Description-en: Authenticate using SSH keys
 This pluggable authentication module (PAM) provides single sign-on
 using secure shell (SSH) keys:
 - during authentication, the user types a SSH passphrase and is authenticated
   if the passphrase successfully decrypts the user's SSH private keys;
 - in session phase, a ssh-agent process is started and decrypted keys are
   added, and thus the user can SSH to other hosts that accept key
   authentication without typing more passwords for the entire session.

Package: libpam-ssh-agent-auth
Description-md5: b497af339bd0a11905af49467fcfcf83
Description-en: PAM Authentication via forwarded ssh-agent
 pam_ssh_agent_auth is a PAM module which permits PAM authentication via your
 keyring in a forwarded ssh-agent.
 .
 This module can be used to provide authentication for anything run locally that
 supports PAM. It was written specifically with the intention of permitting
 authentication for sudo without password entry, and also has been proven useful
 for use with su as an alternative to wheel.

Package: libpam-tacplus
Description-md5: af520f2d3b293d29e4e182e19cc5f0d0
Description-en: PAM module for using TACACS+ as an authentication service
 This PAM module support authentication, authorization (account management) and
 accounting (session management) performed using TACACS+ protocol designed by
 Cisco.

Package: libpam-tmpdir
Description-md5: c9c33816b35630d5805a8dca706f7906
Description-en: automatic per-user temporary directories
 Many programs use $TMPDIR for storing temporary files.  Not
 all of them are good at securing the permissions of those
 files.  libpam-tmpdir sets $TMPDIR and $TMP for PAM sessions
 and sets the permissions quite tight.  This helps system
 security by having an extra layer of security, making such
 symlink attacks and other /tmp based attacks harder or
 impossible

Package: libpam-u2f
Description-md5: 15c1cea4fb85bab8e83154ff676d27f0
Description-en: universal 2nd factor (U2F) PAM module
 Universal 2nd Factor (U2F) is an authentication mechanism that strengthen
 other authentications, and through this PAM module it can be used to
 require a U2F device in order to authenticate.
 .
 This package contains the PAM module, which performs the U2F
 Authentication step.  Before this, you need to perform the U2F Registration
 step which can be completed using the pamu2fcfg package.

Package: libpam-ufpidentity
Description-md5: 20fbb24c1c4dc2a66e4c413137976d92
Description-en: PAM library for UFP identity
 The UFP Identity PAM module provides integration of the UFP Identity
 service into your existing user authentication infrastructure. PAM is
 used by Linux/BSD, Solaris and Mac OS X for user authentication to
 various services such as SSH, login, and sudo.
 See https://github.com/ufpidentity/pam_ufpidentity/blob/master/README.md
 for details about installation.

Package: libpam-wrapper
Description-md5: 77a0eb9127f8beb460a141761f12316f
Description-en: Tool to test PAM applications
 This component of cwrap allows you to test your PAM (Linux-PAM and OpenPAM)
 application.
 .
 For testing PAM applications, simple PAM module called pam_matrix is
 included.

Package: libpam-x2go
Description-md5: 10ed811048a58a8bcef8b9841322f6d1
Description-en: PAM Module to check credentials against X2Go servers
 Auth and session PAM module that uses SSH to authenticate against
 an X2Go server as the remote host and user. Local account required.
 .
 This PAM module is intended for facilitating Remote Logon into X2Go
 servers using LightDM's Arctica Greeter.

Package: libpam-x2go-dev
Description-md5: f00185b0f34f69325edd1b390bf86910
Description-en: PAM Module to check credentials against X2Go servers (development files)
 Auth and session PAM module that uses SSH to authenticate against
 an X2Go server as the remote host and user. Local account required.
 .
 This PAM module is intended for facilitating Remote Logon into X2Go
 servers using LightDM's Arctica Greeter.
 .
 This package contains the pam_x2go.so header files.

Package: libpam-yubico
Description-md5: d0744e8e79ba8b0531d2ced11a11bf5c
Description-en: two-factor password and YubiKey OTP PAM module
 This package provides the Yubico PAM module. It enables the use of
 two-factor authentication, with existing logins and passwords plus
 a YubiKey One-Time Password that is validated against an online
 validation service. The default is the free YubiCloud, but it is easy
 to set up a custom service.
 .
 A second mode of operation is available using the YubiKey's HMAC-SHA-1
 Challenge-Response functionality. This allows for offline validation
 using a YubiKey, for example on a laptop computer. However, this only
 works for local logins, not for instance SSH logins.

Package: libpamtest0
Description-md5: 3d061ba3aa377ff4beb8d88ee52e3bd6
Description-en: Library to test PAM modules
 This component of cwrap allows you to test your PAM (Linux-PAM and OpenPAM)
 module.
 .
 For testing a PAM module you can use the pamtest library, which simplifies
 testing of modules. You can combine it with the cmocka  unit testing framework
 or you can use the provided Python bindings to write tests for your module in
 Python.
 .
 This package provides shared libraries.

Package: libpamtest0-dev
Description-md5: 2686d671111381c8efee747dcb1f42d4
Description-en: Library to test PAM modules
 This component of cwrap allows you to test your PAM (Linux-PAM and OpenPAM)
 module.
 .
 For testing a PAM module you can use the pamtest library, which simplifies
 testing of modules. You can combine it with the cmocka  unit testing framework
 or you can use the provided Python bindings to write tests for your module in
 Python.
 .
 This package provides development headers.

Package: libpandoc-elements-perl
Description-md5: d9024263fe1e9d2a9e8e7213504ac207
Description-en: create and process Pandoc documents
 Pandoc::Elements provides utility functions to create abstract syntax
 trees (AST) of Pandoc documents.  The resulting data structure can be
 converted by Pandoc to many other document formats, such as HTML,
 LaTeX, ODT, and ePUB.
 .
 This package includes the Perl module Pandoc::Elements,
 and the pandoc filter multifilter.

Package: libpandoc-wrapper-perl
Description-md5: 5e02af8c393b7aa92705d8f26a1d652d
Description-en: wrapper for the mighty Pandoc document converter
 Pandoc::Wrapper provides a Perl wrapper
 for John MacFarlane's Pandoc document converter.
 .
 Pandoc is a command-line tool for converting text-centric documents
 from one markup format to another,
 covering a large range of markup formats.

Package: libpanel-applet-dev
Description-md5: c13429d839c0215cba8c078dda7eef97
Description-en: old library for GNOME Panel applets - development files
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package provides the development files for writing GNOME Panel applets.
 .
 This library is deprecated, please use libgnome-panel-dev instead.

Package: libpanel-applet-doc
Description-md5: e60973270c45c17a2e9cd32a3dbbe84e
Description-en: old library for GNOME Panel applets - documentation files
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package provides the documentation files for the GNOME Panel applet
 library functions.

Package: libpanel-applet3
Description-md5: 966bf2be32acfd9a602b6ed7ad27e488
Description-en: old library for GNOME Panel applets
 GNOME Flashback continues the traditional desktop interface which was used
 in GNOME 2.x, but using modern GNOME technologies.
 .
 This package contains the shared library, that is used by GNOME Panel
 applets.

Package: libpango-perl
Description-md5: fa8d0b39e1ea579624a3f5de632ac556
Description-en: Perl module to layout and render international text
 Pango is a library for laying out and rendering text, with an emphasis on
 internationalization. Pango can be used anywhere that text layout is needed,
 but using Pango in conjunction with Cairo and/or Gtk2 provides a complete
 solution with high quality text handling and graphics rendering.
 .
 This package provides the Perl bindings for the Pango library.

Package: libpango3.0-cil
Description-md5: c5765e2ef568b75349381cba56141cf7
Description-en: CLI binding for Pango
 This package provides the pango-sharp assembly that allows CLI (.NET) programs
 to use the Pango library.
 .
 GTK# 3.0 is a CLI (.NET) language binding for the GTK+ 3.0 toolkit and related
 libraries.

Package: libpangox-1.0-0
Description-md5: 553d64a8dceaf05144b88971e17a16b5
Description-en: pango library X backend
 Pango is a library for layout and rendering of text, with an emphasis
 on internationalization. Pango can be used anywhere that text layout is
 needed. however, most of the work on Pango-1.0 was done using the GTK+
 widget toolkit as a test platform. Pango forms the core of text and
 font handling for GTK+-2.0.
 .
 Pango is designed to be modular; the core Pango layout can be used with
 four different font backends:
  - Core X windowing system fonts
  - Client-side fonts on X using the Xft library
  - Direct rendering of scalable fonts using the FreeType library
  - Native fonts on Microsoft backends
 .
 This package contains the Core X backend.

Package: libpangox-1.0-dev
Description-md5: 9f3fbaadca23a7621c45c18b9e239868
Description-en: pango library X backend - development files
 Pango is a library for layout and rendering of text, with an emphasis
 on internationalization. Pango can be used anywhere that text layout is
 needed. however, most of the work on Pango-1.0 was done using the GTK+
 widget toolkit as a test platform. Pango forms the core of text and
 font handling for GTK+-2.0.
 .
 Pango is designed to be modular; the core Pango layout can be used with
 four different font backends:
  - Core X windowing system fonts
  - Client-side fonts on X using the Xft library
  - Direct rendering of scalable fonts using the FreeType library
  - Native fonts on Microsoft backends
 .
 This package contains the development files for the Core X backend.

Package: libpano13-3
Description-md5: c861c2b47e497ed444fd1bf7445a1b37
Description-en: panorama tools library
 This package contains the panoramatools library. It provides basic
 algorithmic tools to generate, edit and transform many kinds of
 panoramic images.

Package: libpano13-bin
Description-md5: f85e3b9bbb28f19ea0656b70963cf71e
Description-en: panorama tools utilities
 This package contains the following tools, all part of the Panorama Tools
 library, and supposed to make it easy to work with panoramic images:
  * panoinfo    - List details about the libpano13 library
  * PTblender   - Colour and brightness correction of panoramas
  * PTcrop      - Crop TIFF images
  * PTinfo      - Display information about a panotools-generated image
  * PTmasker    - Compute stitching masks
  * PTmender    - Replacement for PTStitcher
  * PToptimizer - Wrapper around control point optimization routines
  * PTroller    - Merge several images into a single one
  * PTtiff2psd  - Convert a set of TIFF files into a Photoshop PSD file
  * PTtiffdump  - Compare two TIFF images
  * PTuncrop    - Uncrop TIFF images

Package: libpano13-dev
Description-md5: e56d37fef5d1428c47e6d73b1971d612
Description-en: panorama tools library development files
 This package contains the panoramatools library. It provides basic
 algorithmic tools to generate, edit and transform many kinds of
 panoramic images.
 .
 This package holds static libraries and headers needed by developers
 who wish to use libpano13 in their programs.

Package: libpantomime-clojure
Description-md5: 5881549ca9ef3e129adaece034488552
Description-en: Clojure library dealing with MIME types
 Pantomime is a tiny Clojure library that deals with MIME types (Internet media
 types, sometimes referred to as "content types"). It uses Apache Tika under
 the hood to detect MIME types using several techniques:
 .
  * Known file extensions
  * Magic bytes
  * Content-type information for resources served via HTTP
  * XML schema information

Package: libpantomime-dev
Description-md5: 74dfb4bfa9a02e506f6aa24963857b53
Description-en: GNUstep framework for mail handling (development files)
 Pantomime provides a GNUstep framework that models a mail system. Pantomime
 can be seen as a JavaMail 1.2 clone written in Objective-C.
 .
 Pantomime provides the following features:
 .
  * A full MIME encoder and decoder
  * A "folder view" to POP3 accounts, local (Berkeley Format) or IMAP mailboxes
  * A powerful API to work on all aspects of Message objects
  * A local mailer and a SMTP conduit for sending messages
  * APOP and SMTP AUTH support
  * IMAP and POP3 URL Scheme support
  * iconv and Core Foundation support
  * maildir support
  * SSL support for IMAP, POP3 and SMTP
  * and more!
 .
 This package contains the files required to develop applications that use
 Pantomime.

Package: libpantomime1.3
Description-md5: 22fd0bc0523de50eeb1777efdf4670ed
Description-en: GNUstep framework for mail handling (runtime library)
 Pantomime provides a GNUstep framework that models a mail system. Pantomime
 can be seen as a JavaMail 1.2 clone written in Objective-C.
 .
 This package contains the runtime library files.

Package: libpaperclips-java
Description-md5: c91b2f22a68d76acd5ebc7721f441be4
Description-en: Simplified Java Printing Support for SWT
 Simple, light weight, extensible Java printing plug-in for SWT. PaperClips
 hides the complexity of laying out and rendering documents on the printer,
 helping you focus on what to print instead of how to print it.
 .
 In a nutshell, PaperClips provides an assortment of document "building
 blocks," which you can tweak and combine to form a custom document. The
 assembled document is then sent to PaperClips for printing. PaperClips
 includes support for printing text, images, borders, headers and footers,
 column layouts and grid layouts, to name a few. It can also be extended with
 your own printable classes.
 .
 With PaperClips you do not have to track cursors, calculate line breaking,
 fool around with font metrics, or manage system resources--it's all handled
 internally. And unlike report-generation tools, you are not constrained to a
 predefined document structure (like report bands). Every document is custom
 and the layout is up to you.

Package: libpaperclips-java-doc
Description-md5: 3bc2d998f88cbf7376fc765b523af053
Description-en: Documentation for libpaperclips-java
 Simple, light weight, extensible Java printing plug-in for SWT. PaperClips
 hides the complexity of laying out and rendering documents on the printer,
 helping you focus on what to print instead of how to print it.
 .
 In a nutshell, PaperClips provides an assortment of document "building
 blocks," which you can tweak and combine to form a custom document. The
 assembled document is then sent to PaperClips for printing. PaperClips
 includes support for printing text, images, borders, headers and footers,
 column layouts and grid layouts, to name a few. It can also be extended with
 your own printable classes.
 .
 With PaperClips you do not have to track cursors, calculate line breaking,
 fool around with font metrics, or manage system resources--it's all handled
 internally. And unlike report-generation tools, you are not constrained to a
 predefined document structure (like report bands). Every document is custom
 and the layout is up to you.
 .
 This package contains the API documentation for the actual library.

Package: libpapi-dev
Description-md5: ad8c33eae9baf7e2a45dc255ff4527ee
Description-en: PAPI development files (headers and API documentation)
 Performance Application Programming Interface (PAPI) provides the tool
 designer and application engineer with a consistent interface and methodology
 for use of the performance counter hardware found in most major
 microprocessors. PAPI enables software engineers to see, in near real time,
 the relation between software performance and processor events.
 .
 In addition Component PAPI provides access to a collection of components that
 expose performance measurement opportunites across the hardware and software
 stack.
 .
 This package provides the development files of PAPI.

Package: libpapi5.7
Description-md5: cd2258548a79264446b7c7ff4e485011
Description-en: PAPI runtime (shared libraries)
 Performance Application Programming Interface (PAPI) provides the tool
 designer and application engineer with a consistent interface and methodology
 for use of the performance counter hardware found in most major
 microprocessors. PAPI enables software engineers to see, in near real time,
 the relation between software performance and processor events.
 .
 In addition Component PAPI provides access to a collection of components that
 expose performance measurement opportunites across the hardware and software
 stack.
 .
 Accessing performance counters from userspace may require reducing the paranoia
 level in /proc/sys/kernel/perf_event_paranoid, see README.Debian for details.
 .
 This package provides the shared library.

Package: libpaps-dev
Description-md5: 7cdbcedfb93e1f1acb2a762b9401db07
Description-en: Development files for libpaps
 Paps reads a UTF-8 encoded file and generates a PostScript language rendering
 of the file. The rendering is done by creating outline curves through the
 pango ft2 backend.
 .
 This package contains the development files that are necessary to develop
 applications using paps API.

Package: libpaps0
Description-md5: 79b0a6a43d91c5d30d3b6d58039e1ec2
Description-en: UTF-8 to PostScript converter library using Pango
 Paps reads a UTF-8 encoded file and generates a PostScript language rendering
 of the file. The rendering is done by creating outline curves through the
 pango ft2 backend.
 .
 This package contains the library that implements paps' API.

Package: libpapyrus3-dev
Description-md5: 59a76b18054d40d7d49927a3cbfc68e9
Description-en: DICOM compatible file format library
 PAPYRUS 3.0 file format based on the new DICOM 3.0 Standard addresses the open
 interchange of medical images in files or on removable storage media. This
 specific implementation of the DICOM standard is intended as a generic solution
 for interchange of multi-modality medical images on removable media. It can
 also be used for convenient exchange of image data between different computer
 systems through industry standard file transfer mechanisms. Finally it can also
 be used for storage and archival of medical image data in a DICOM compatible
 format.
 .
 This package contains the libraries needed to run PAPYRUS 3.0 applications.

Package: libpaq-dev
Description-md5: 015a25608d54f3e252457489e25ed13d
Description-en: library for parsing and querying RDF data (header files)
 some tools and libraries that may come in handy
 when writing LV2 plugins in C++.
 .
 This package contains all necessary files
 for development with lv2-c++-tools.

Package: libpaq0
Description-md5: 43cd2ff6f1ef54160b4241a2b2cf5fad
Description-en: library for parsing and querying RDF data
 These are some tools and libraries that may come in handy
 when writing LV2 plugins in C++.
 .
 This package provides libpaq, which is a LIBrary for Parsing And
 Querying RDF data in Turtle format.

Package: libpar-dist-perl
Description-md5: 90e5bcc9819d97c47ac5d1d9a12bcbbe
Description-en: perl module to create and manipulate PAR distributions
 The PAR::Dist module creates and manipulates PAR distributions. These are
 architecture-specific .par files, containing everything under blib/ of a CPAN
 distribution after the make or Build stage, a META.yml describing metadata
 of the original CPAN distribution, and a MANIFEST detailing all files within
 it. Digitally signed PAR distributions will also contain a SIGNATURE file.

Package: libpar-packer-perl
Description-md5: 5baca5dc69d075a0c228c0179cbfc32e
Description-en: utility for creating PAR archives and stand-alone executables
 PAR (Perl Archiving Toolkit) is a Cross-Platform Packaging and Deployment
 tool, dubbed as a cross between Java's JAR and Perl2EXE/PerlApp.
 .
 This package contains the PAR component PAR::Packer, i.e. the modules and
 tools necessary for creating PAR archives and stand-alone executables.

Package: libpar-perl
Description-md5: 9cbc81416e82699691bd175698a63fb5
Description-en: Perl redistributable module packaging framework
 PAR is a Perl framework which allows you to easily bundle a typical blib/
 tree into a compressed file (currently a zip) called a Perl Archive. This
 makes it fairly simple to redistribute, even providing support for loading
 C/XS modules by overriding DynaLoader's bootstrapping methods. It writes a
 shared object file to a temporary file at the time it is needed.

Package: libparallel-forkmanager-perl
Description-md5: 6bb0a40f522764021adf95ad8e0377f6
Description-en: simple parallel processing fork manager for Perl
 Parallel::ForkManager Perl module is intended for use in operations that can
 be done in parallel where the number of processes to be forked off should be
 limited. Typical use is a downloader which will be retrieving
 hundreds/thousands of files.

Package: libparallel-iterator-perl
Description-md5: cf8d98096ef9c23e464564dcfe58faf6
Description-en: Simple parallel execution
 The standard map() function applies a user supplied transformation function
 to each element in a list, returning a new list containing the transformed
 elements.
 .
 Parallel::Iterator provides a 'parallel map()'. Multiple worker processes are
 forked so that many instances of the transformation function may be executed
 simultaneously.

Package: libparallel-prefork-perl
Description-md5: 707358d60f3f7f1e203436d04c819821
Description-en: simple prefork server framework
 Parallel::Prefork is much like Parallel::ForkManager, but supports graceful
 shutdown and run-time reconfiguration.

Package: libparallel-runner-perl
Description-md5: 8fc58689db9e31ff9695ac3a1a320f36
Description-en: Perl module to manage running things in parallel processes
 Parallel::Runner is a super specialised parallel task manager. You create
 the object with a process limit and callbacks for what to do while waiting for
 a free process slot, as well as a callback for what a process should do just
 before exiting.

Package: libparams-callbackrequest-perl
Description-md5: 9e861a31ab365e6beb4586fbf56dea26
Description-en: handler for functional and object-oriented callbacks in Perl
 Params::CallbackRequest provides functional and object-oriented callbacks to
 method and function parameters. Callbacks may be either code references
 provided to the new() constructor, or methods defined in subclasses of
 Params::Callback. Callbacks are triggered either for every call to the
 Params::CallbackRequest request() method, or by specially named keys in the
 parameters to request().
 .
 The idea behind this module is to provide a sort of plugin architecture for
 Perl templating systems. Callbacks are triggered by the contents of a request
 to the Perl templating server, before the templating system itself executes.
 This approach allows you to carry out logical processing of data submitted
 from a form, to affect the contents of the request parameters before they're
 passed to the templating system for processing, and even to redirect or abort
 the request before the templating system handles it.

Package: libparams-coerce-perl
Description-md5: 04abe85bb9a4e225bf3bf7f457960f94
Description-en: Perl module to permit parameter coercion for classes
 Params::Coerce attempts to encourage flexibility in the ways that your class
 accepts parameters by making it easier to take different types of parameters,
 while adding negligible additional complexity to your code.

Package: libparams-validationcompiler-perl
Description-md5: 0a4b565162996119df50ab909e71bdeb
Description-en: module to build an optimized subroutine parameter validator
 Params::ValidationCompiler creates a customized, highly efficient parameter
 checking subroutine. It can handle named or positional parameters, and can
 return the parameters as key/value pairs or a list of values.
 .
 In addition to type checks, it also supports parameter defaults, optional
 parameters, and extra "slurpy" parameters.
 .
 A parameter specification can be provided as either an arrayref (which expects
 positional params) or a hashref (which expects named params). Parameter types
 can be specified as Moose, Type::Tiny or Specio type objects. Parameters can
 be specified as being optional and can be provided with default values.

Package: libparanamer-java
Description-md5: 2bfbed08ac759a4d9cc644440f211864
Description-en: Java library to access method parameter names at runtime
 Paranamer is a library that allows the parameter names of non-private methods
 and constructors to be accessed at runtime. Normally this information is
 dropped by the compiler. With the advent of advanced scripting languages and
 web action frameworks for the Java Virtual Machine it is of increasing
 importance to be able to leverage a method's parameter names. Scripting
 languages like Groovy & JRuby, web action frameworks like Waffle and VRaptor
 and the compelling Grails as well as SOAP and REST designs could also benefit.

Package: libparanamer-java-doc
Description-md5: ca5f621661c69aeb0114087f88d870aa
Description-en: Java library to access method parameter names at runtime -- docs
 Paranamer is a library that allows the parameter names of non-private methods
 and constructors to be accessed at runtime.
 .
 This package contains the Javadoc API documentation for libparanamer-java.

Package: libparanamer-maven-plugin-java
Description-md5: a3b3bb6a276277dc83d98531dd9ffcb5
Description-en: Paranamer Maven Plugin
 Paranamer is a library that allows the parameter names of non-private methods
 and constructors to be accessed at runtime. Normally this information is
 dropped by the compiler. With the advent of advanced scripting languages and
 web action frameworks for the Java Virtual Machine it is of increasing
 importance to be able to leverage a method's parameter names. Scripting
 languages like Groovy & JRuby, web action frameworks like Waffle and VRaptor
 and the compelling Grails as well as SOAP and REST designs could also benefit.
 .
 This package contains the paranamer-maven-plugin and its dependency
 paranamer-generator.

Package: libparanoid-perl
Description-md5: d2cc231211df9ef9e7fa2a98ae301324
Description-en: module for safer, more secure programming
 Paranoid is a collection of Perl modules that began as simple utilities which
 perform things in a (debatably) safer and taint-safe manner. Since then it's
 also grown to include functionality that fit into the same framework and
 conventions of the original modules.

Package: libparboiled-java
Description-md5: 31da10c96f30bda506693ff99e69b37a
Description-en: Parser library based on Parsing Expression Grammars (PEGs)
 Parboiled is a library providing parsing of arbitrary input text based on
 Parsing expression grammars (PEGs). PEGs are an alternative to context free
 grammars (CFGs) for formally specifying syntax, they make a good replacement
 for regular expressions and generally have quite a few advantages over
 the "traditional" way of building parsers via CFGs.

Package: libpari-dev
Description-md5: a85707baed5c7884054cdfe277a18017
Description-en: PARI/GP Computer Algebra System development files
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices,
 polynomials, power series, algebraic numbers etc., and a lot of
 transcendental functions. PARI is also available as a C library to allow
 for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas
 with the help of many volunteer contributors.
 .
 This package contains the include files and static library.

Package: libpari-gmp-tls6
Description-md5: 97f7c30b6b948deb4f4764672b21bea8
Description-en: PARI/GP Computer Algebra System shared library
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices,
 polynomials, power series, algebraic numbers etc., and a lot of
 transcendental functions. PARI is also available as a C library to allow
 for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas
 with the help of many volunteer contributors.
 .
 This package contains the shared library built with GNU MP support.

Package: libparistraceroute-dev
Description-md5: 38a9d006d21e80458ade3010bc98a3eb
Description-en: network measurement tool library (development files)
 libparistraceroute is a library designed to simplify the process of
 creating advanced network measurement tools such as ping or
 traceroute through the use of custom probe packets.
 .
 This package contains the development files required to build programs
 against libparistraceroute.

Package: libparistraceroute1
Description-md5: 9e8ff77696690e2fda5673dbefdc4551
Description-en: network measurement tool library
 libparistraceroute is a library designed to simplify the process of
 creating advanced network measurement tools such as ping or
 traceroute through the use of custom probe packets.

Package: libparlatype-dev
Description-md5: 10099fb62d09d7200757737d7909489c
Description-en: Library for Parlatype - development version
 Provides the GStreamer backend for Parlatype, a wave loader and a wave viewer
 widget. Mainly used by Parlatype but open for other programs as well.
 .
 This package provides the necessary development libraries and include
 files to develop and compile libparlatype.

Package: libparlatype-doc
Description-md5: 1a998580d47c900b4992c69dbebe997f
Description-en: Documentation files for the Parlatype library
 Provides the GStreamer backend for Parlatype, a wave loader and a wave viewer
 widget. Mainly used by Parlatype but open for other programs as well.
 .
 This package contains the HTML documentation for the Parlatype library
 in /usr/share/doc/libparlatype-doc/html/ .

Package: libparlatype2
Description-md5: c0e4a18734bcfb50760157d46a6835bb
Description-en: Library for Parlatype - runtime version
 Provides the GStreamer backend for Parlatype, a wave loader and a wave viewer
 widget. Mainly used by Parlatype but open for other programs as well.
 .
 This package contains runtime libraries needed by Parlatype.

Package: libparmap-ocaml
Description-md5: 280ac6c07f9640a6d90a8cd6d74b62df
Description-en: small OCaml library allowing to exploit multicore architectures (runtime)
 Parmap is a minimalistic library allowing to exploit multicore
 architectures for OCaml programs with minimal modifications.
 .
 This package provides the runtime library.

Package: libparmap-ocaml-dev
Description-md5: d0e330b24f602ae3703a70ce698344dd
Description-en: small OCaml library allowing to exploit multicore architectures (development)
 Parmap is a minimalistic library allowing to exploit multicore
 architectures for OCaml programs with minimal modifications.
 .
 This package provides the development files needed to build OCaml programs
 linking to this library.

Package: libparpack2
Description-md5: bc61facdca0e5843df473acefb3f632d
Description-en: Parallel subroutines to solve large scale eigenvalue problems
 ARPACK software is capable of solving large scale symmetric,
 nonsymmetric, and generalized eigenproblems from significant
 application areas. The software is designed to compute a few (k)
 eigenvalues with user specified features such as those of largest
 real part or largest magnitude. Storage requirements are on the order
 of n*k locations. No auxiliary storage is required. A set of Schur
 basis vectors for the desired k-dimensional eigen-space is computed
 which is numerically orthogonal to working precision. Numerically
 accurate eigenvectors are available on request.
 .
 Important Features:
 .
  * Reverse Communication Interface.
  * Single and Double Precision Real Arithmetic Versions for Symmetric,
    Non-symmetric,
  * Standard or Generalized Problems.
  * Single and Double Precision Complex Arithmetic Versions for Standard or
    Generalized Problems.
  * Routines for Banded Matrices - Standard or Generalized Problems.
  * Routines for The Singular Value Decomposition.
  * Example driver routines that may be used as templates to implement
    numerous Shift-Invert strategies for all problem types, data types and
    precision.
 .
 This package provides parpack: the MPI implementation of arpack.
 .
 This package contains the shared library.

Package: libparpack2-dev
Description-md5: fd30cbaebb5feaf3cce172b72935657f
Description-en: Parallel subroutines to solve large scale eigenvalue problems (development)
 ARPACK software is capable of solving large scale symmetric,
 nonsymmetric, and generalized eigenproblems from significant
 application areas. The software is designed to compute a few (k)
 eigenvalues with user specified features such as those of largest
 real part or largest magnitude. Storage requirements are on the order
 of n*k locations. No auxiliary storage is required. A set of Schur
 basis vectors for the desired k-dimensional eigen-space is computed
 which is numerically orthogonal to working precision. Numerically
 accurate eigenvectors are available on request.
 .
 This package contains the static libraries and the documentation for
 development with libparpack (including examples).

Package: libparse-bbcode-perl
Description-md5: 95d7d8000446970c357e80742ed259e4
Description-en: Perl module to parse BBCode and render it as HTML or text
 Parse::BBCode parses common bbcode like
 .
   [b]bold[/b] [size=10]big[/size]
 .
 short tags like
 .
   [foo://test]
 .
 and custom bbcode tags.

Package: libparse-binary-perl
Description-md5: 6e562a9197ca9b86f44e8ea0b7918e09
Description-en: Binary data structure parser
 Parse::Binary makes parsing binary data structures much easier, by serving as
 a base class for classes that represents the binary data, which may contain
 objects of other classes to represent parts of itself.
 .
 Documentation is unfortunately a bit lacking at this moment. Please read the
 tests and source code of Parse::AFP and Win32::Exe for examples of using this
 module.

Package: libparse-cpan-packages-perl
Description-md5: dfd4e8c7dee00aae4ffdce192f32d0b3
Description-en: module to parse the CPAN Packages file
 Parse::CPAN::Packages is a Perl module that parses the package details index
 file named "02packages.details.txt.gz". This file contains lots of useful
 information and this module provides a simple interface to the data contained
 within.
 .
 Note that this module does not concern itself with downloading or unpacking
 the "02packages.details.txt.gz" file; you will need to do this yourself.

Package: libparse-debcontrol-perl
Description-md5: 31fd75256aab72c27dfdb97f5e3f18e6
Description-en: parser for debian control-like files
 Parse::DebControl is an easy OO way to parse Debian control files and
 other colon separated key-value pairs. It's specifically designed
 to handle the format used in Debian control files, template files, and
 the cache files used by dpkg.
 .
 For basic format information see:
 http://www.debian.org/doc/debian-policy/ch-controlfields.html#s-controlsyntax
 .
 This module does not actually do any intelligence with the file content
 (because there are a lot of files in this format), but merely handles
 the format. It can handle simple control files, or files hundreds of lines
 long efficiently and easily.

Package: libparse-debian-packages-perl
Description-md5: df608c1b32b956e0ad4af2695d85793f
Description-en: module for parsing the data from a Debian Packages.gz
 Parse::Debian::Packages parses the Packages files used by the Debian
 package management tools.
 .
 It presents itself as an iterator.  Each call of the ->next method
 will return the next package found in the file.

Package: libparse-debianchangelog-perl
Description-md5: bb484ad830b9f4fb55ffd1986845bbb1
Description-en: parse Debian changelogs and output them in other formats
 Parse::DebianChangelog is a replacement for the very limited
 dpkg-parsechangelog. It can be used either via the parsechangelog
 command line script or as Perl module.
 .
 Currently supported output formats:
  * original dpkg-parsechangelog output format
  * similar format with multiple stanzas instead of one
  * XML
  * HTML (customizable via HTML::Template templates).

Package: libparse-dia-sql-perl
Description-md5: 14caeb8bcca27c513a0435fab5459e44
Description-en: module for parsing Dia diagram files and generating SQL
 Parse::Dia::SQL is a Perl module that parses files created in the Dia diagram
 creation program, represents it as an internal datastructure, and produces
 the SQL statements it represents.
 .
 This package also provides the 'parsediasql' command-line utility to convert
 diagrams into SQL. this utility replaces the older tedia2sql program.

Package: libparse-dmidecode-perl
Description-md5: 41570676113a9d810efd80d9ad20ef55
Description-en: interface to SMBIOS using dmidecode
 Parse::DMIDecode provides an OO interface to SMBIOS information through the
 dmidecode command which is known to work under a number of Linux, BSD and
 BeOS variants.

Package: libparse-edid-perl
Description-md5: a2958c22c96dd9da09f3bbdc8ac24eb8
Description-en: extended display identification data (EDID) parser
 The Parse::EDID perl module provides some functions to parse Extended
 Display Identification Data binary data structures.
 .
 Extended Display Identification Data (EDID) is a metadata format for
 display devices to describe their capabilities to a video source. The
 data format is defined by a standard published by the Video Electronics
 Standards Association (VESA).
 .
 This module helps to analyse display devices capabilities from perl
 scripts.

Package: libparse-errorstring-perl-perl
Description-md5: f7d65c41ddd87a53a80d77d4b03ee6f0
Description-en: module for parsing error messages from the Perl interpreter
 Parse::ErrorString::Perl is aimed primarily at those developing integrated
 development environments, allowing them to have a nice object oriented
 interface to parsed error messages of the Perl interpreter.

Package: libparse-exuberantctags-perl
Description-md5: 348c262871840a113fe67133ce72899b
Description-en: exuberant ctags parser for Perl
 Parse::ExuberantCTags parses ctags files and handles both traditional
 ctags as well as extended ctags files such as produced with Exuberant
 ctags. It does not handle emacs-style "etags" files.
 .
 The module is implemented as a wrapper around the readtags library
 that normally ships with Exuberant ctags. If you do not know what
 that is, you are encouraged to have a look at
 http://ctags.sourceforge.net/ and look at the exuberant-ctags
 package.

Package: libparse-fixedlength-perl
Description-md5: 6b0ec3df4abc6293ef27c2f668f15e1c
Description-en: Perl module to parse a string containing fixed length fields
 The Parse::FixedLength module facilitates the process of breaking
 a string into its fixed-length components. Sure, it's a glorified
 (and in some ways more limited) substitute for the perl functions pack and
 unpack, but this module helps in the maintainability of working with fixed
 length formats as the number of fields in a format grows.

Package: libparse-http-useragent-perl
Description-md5: b0aa52e53b204b70e822abce23a61545
Description-en: parser for the HTTP User Agent string
 Parse::HTTP::UserAgent implements a rules-based parser and tries to
 identify MSIE, FireFox, Opera, Safari & Chrome first. It then tries to
 identify Mozilla, Netscape, Robots and the rest will be tried with a
 generic parser. There is also a structure dumper, useful for debugging.

Package: libparse-keyword-perl
Description-md5: 96d49cc57906f959a5be5204acfe621a
Description-en: write syntax extensions in perl (with only limited use)
 Parse::Keyword allows you to write keyword-based syntax extensions
 without requiring you to write any C code yourself. It is similar to
 Devel::Declare, except that it uses the Perl parser API introduced in
 Perl 5.14 in order to  allow you to parse parts of things using perl's
 own parser, rather than having to fake it with balanced brace matching
 or other fragile things.
 .
 NB! This module has fundamental errors in the way it handles closures,
 which are not fixable. Runtime keywords will never be able to work
 properly with the current design of this module. There are certain
 cases where this module is still safe to use (keywords that only have
 effect at compile time, or keywords that never call any of the parse_*
 functions), but that is limiting enough to make this module mostly
 worthless, and its author may not continue maintain it. Be warned!

Package: libparse-mediawikidump-perl
Description-md5: 44083e1db74ca29b5b72b1ed2cb028e5
Description-en: Perl module to parse MediaWiki dump files
 Parse::MediaWikiDump is a Perl module which provides tools needed to process
 the contents of XML dump files generated by MediaWiki (page information, with
 single or multiple revisions, as well as link dumps).

Package: libparse-method-signatures-perl
Description-md5: 573c2aa224b46ff6de6e6236dac43ee1
Description-en: Perl module to parse Perl6-like method signatures
 Parse::Method::Signatures takes a method signature and extracts information
 about it. For users of Perl5 who are unfamiliar with this feature, it is
 essentially what prototypes are to other languages like C, where methods and
 functions have parameterized lists that can also optionally enforce data type
 constraints. It is useful to process Perl6 code, but also the subset of Perl6
 supported backported to Perl5 via Moose.
 .
 This is primarily intended as a utility module to be used by developers that
 work with either Moose or Perl6 code, such as MooseX::Method::Signatures
 (see libmoosex-method-signatures-perl).

Package: libparse-mime-perl
Description-md5: b29199e3b6113367095730a9299fe343
Description-en: parse mime-types, match against media ranges
 This module provides basic functions for handling mime-types. It can
 handle matching mime-types against a list of media-ranges according
 the section 14.1 of the HTTP specification RFC 2616.

Package: libparse-nessus-nbe-perl
Description-md5: 441b3865fb666f1d420efb5e3bad6440
Description-en: module to extract specific data from Nessus NBE files
 Parse::Nessus::NBE is designed to extract information from Nessus NBE files.
 Functions have been designed to return certain sets of data, such as service
 banners and OS versions. Other functions have been provided that will return
 more specific information, such as all IPs listening on a given port or all
 IPs associated with a specified plugin id.

Package: libparse-netstat-perl
Description-md5: 924f70132163d21c181a62155034540a
Description-en: module to parse the output of the "netstat" command
 Parse::Netstat provides a function called "parse_netstat()" that
 parses the output of the Unix "netstat" command.

Package: libparse-plainconfig-perl
Description-md5: a40130cf9f43581ec0d3fa7b208c45d7
Description-en: parser for plain-text configuration files
 Parse::PlainConfig provides a simple way to write a config object class that
 supports all the basic primitive data types (scalar, array, and hashes) while
 allowing for arbitrary delimiters, comment characters, and more.

Package: libparse-pmfile-perl
Description-md5: 377b120425c22cb021d9083c286d3df9
Description-en: module to parse .pm file as PAUSE does
 Most of the code of this module is taken from the PAUSE code as of April 2013
 almost verbatim. Thus, the heart of Parse::PMFile should be quite stable.
 .
 Parse::PMFile doesn't provide features to extract a distribution or parse
 meta files intentionally.

Package: libparse-recdescent-perl
Description-md5: 85557a0ccc0e903cc0864afc0029c180
Description-en: Perl module to create and use recursive-descent parsers
 Parse::RecDescent incrementally generates top-down recursive-descent text
 parsers from simple yacc-like grammar specifications. It provides:
 .
   * Regular expressions or literal strings as terminals (tokens)
   * Multiple (non-contiguous) productions for any rule
   * Repeated, optional and alternate sub-rules within productions
   * Late-bound (run-time dispatched) sub-rules
   * Full access to Perl within actions specified as part of the grammar
   * Simple automated error reporting during parser generation and parsing
   * The ability to commit to, uncommit to, or reject particular productions
     during a parse
   * Incremental extension of the parsing grammar (even during a parse)
   * The ability to retrieve the generated parsing code

Package: libparse-syslog-perl
Description-md5: 28b5201a1b3afa310e9ac9a7d47d7090
Description-en: perl module for parsing syslog entries
 Unix syslogs are convenient to read for humans but because of small
 differences between operating systems and things like 'last message
 repeated xx times' not very easy to parse by a script.
 .
 Parse::Syslog presents a simple interface to parse syslog files: you
 create a parser on a file (with new) and call next to get one line at
 a time with Unix-timestamp, host, program, pid and text returned in a
 hash-reference.

Package: libparse-win32registry-perl
Description-md5: 0a57a9741ea8cc17d0825526891ec8fc
Description-en: Perl module for parsing Windows registry files
 Parse::Win32Registry is a module for parsing Windows Registry files, allowing
 you to read the keys and values of a registry file without going through the
 Windows API.
 .
 It provides an object-oriented interface to the keys and values in a registry
 file. Registry files are structured as trees of keys, with each key
 containing further subkeys or values.
 .
 It supports both Windows NT registry files (Windows NT, 2000, XP, 2003,
 Vista) and Windows 95 registry files (Windows 95, 98, and Millennium
 Edition).

Package: libparse-yapp-perl
Description-md5: 20f57c0f17259125725a96b3e0bf60dd
Description-en: Perl module for creating fully reentrant LALR parser OO Perl modules
 This is a collection of modules that let you generate and use yacc
 like thread safe (reentrant) parsers with perl object oriented
 interface.
 .
 The script yapp is a front-end to the Parse::Yapp module and let you
 easily create a Perl OO parser from an input grammar file.

Package: libparser++-dev
Description-md5: 887e0e9b9bc03f60f86671f7a0bf9e49
Description-en: Generic parser base class for C++ (development files)
 This library provides a base class for parsers as well as an adapter to an
 iterator compatible interface.
 .
 A parser for Debian-style RFC822 messages is included, both as an example
 and as a working implementation.

Package: libparser-mgc-perl
Description-md5: 1468b5f97b03283fc7f96f9edfad80bb
Description-en: builder for simple recursive-descent parsers
 Parser::MGC provides a low-level framework for building
 recursive-descent parsers that consume a given input string from left
 to right, returning a parse structure. It takes its name from the
 `m//gc' regexps used to implement the token parsing behaviour.
 .
 It provides a number of token-parsing methods, which each extract a
 grammatical token from the string. It also provides wrapping methods
 that can be used to build up a possibly-recursive grammar structure, by
 applying a structure around other parts of parsing code.

Package: libparsexp-ocaml
Description-md5: b2e450c6d9eb683030fbbe0643134a84
Description-en: S-expression parsing library (runtime)
 This library provides generic parsers for parsing S-expressions from
 strings or other medium.
 .
 The library is focused on performances but still provide full generic
 parsers that can be used with strings, bigstrings, lexing buffers,
 character streams or any other sources effortlessly.
 .
 It provides three different class of parsers:
  * the normal parsers, producing [Sexp.t] or [Sexp.t list] values;
  * the parsers with positions, building compact position sequences so
    that one can recover original positions in order to report
    properly located errors at little cost;
  * the Concrete Syntax Tree parsers, produce values of type
    [Parsexp.Cst.t] which record the concrete layout of the
    s-expression syntax, including comments.
 .
 This library is portable and doesn't provide IO functions. To read
 s-expressions from files or other external sources, you should use
 parsexp_io.
 .
 This package contains runtime files.

Package: libparsexp-ocaml-dev
Description-md5: 9631efb231c6ce739dc96e15e811e910
Description-en: S-expression parsing library (development)
 This library provides generic parsers for parsing S-expressions from
 strings or other medium.
 .
 The library is focused on performances but still provide full generic
 parsers that can be used with strings, bigstrings, lexing buffers,
 character streams or any other sources effortlessly.
 .
 It provides three different class of parsers:
  * the normal parsers, producing [Sexp.t] or [Sexp.t list] values;
  * the parsers with positions, building compact position sequences so
    that one can recover original positions in order to report
    properly located errors at little cost;
  * the Concrete Syntax Tree parsers, produce values of type
    [Parsexp.Cst.t] which record the concrete layout of the
    s-expression syntax, including comments.
 .
 This library is portable and doesn't provide IO functions. To read
 s-expressions from files or other external sources, you should use
 parsexp_io.
 .
 This package contains development files.

Package: libparsington-java
Description-md5: e0ee59cafda9b2079864d4c4aca3d692
Description-en: mathematical expression parser for Java
 Parsington is an infix-to-postfix (or infix-to-syntax-tree) expression
 parser for mathematical expressions written in Java. It is simple yet
 fancy, handling (customizable) operators, functions, variables and
 constants in a similar way to what the Java language itself supports.

Package: libparsington-java-doc
Description-md5: 0894f833c1a6523baf41a7f3078fa74f
Description-en: documentation for parsington
 Parsington is an infix-to-postfix (or infix-to-syntax-tree) expression
 parser for mathematical expressions written in Java. It is simple yet
 fancy, handling (customizable) operators, functions, variables and
 constants in a similar way to what the Java language itself supports.
 .
 This package contains the API documentation.

Package: libparsley-clojure
Description-md5: 293dcb314d36c41a2467cf7a7be5774a
Description-en: DSL for creating total and truly incremental parsers in Clojure
 Parsley can create parsers for custom grammars and yield parse-trees for any
 given input. The parsers generated by Parsley are a variant of LR parsers.

Package: libparted-i18n
Description-md5: 877b5444c339988ec68855c01cf352df
Description-en: disk partition manipulator - i18n support
 GNU Parted is a program that allows you to create, destroy, resize,
 move, and copy disk partitions. This is useful for creating space
 for new operating systems, reorganizing disk usage, and copying data
 to new hard disks.
 .
 This package contains localization data.

Package: libpasswd-unix-perl
Description-md5: 6775f8a3dbea58f644a2b850ab83a3e2
Description-en: object-oriented and function interface to standard Unix files
 The Passwd::Unix module provides an abstract interface to /etc/passwd,
 /etc/shadow and /etc/group format files. It is inspired by Unix::PasswdFile
 module (that one does not handle /etc/shadow file, what is necessary in
 modern systems like Sun Solaris 10 or Linux).

Package: libpasswdqc-dev
Description-md5: 2dcf33319aeaf7a2d1bfceaf48c9fce3
Description-en: password checking and policy enforcement library (devel)
 (C development files) passwdqc is a password/passphrase strength
 checking and policy enforcement toolset, including a PAM module
 (libpam-passwdqc), command-line programs (pwqcheck and pwqgen), and a
 library (libpasswdqc0).
 .
 This package provides the development libraries and header files
 required to build tools using the libpasswdqc library.

Package: libpasswdqc0
Description-md5: 8cf16f0a051ae8d8b0df008a7ad28250
Description-en: password strength checking and policy enforcement library
 passwdqc is a password/passphrase strength checking and policy enforcement
 toolset, including a PAM module (libpam-passwdqc), command-line programs
 (pwqcheck and pwqgen), and a library (libpasswdqc0).
 .
 This package provides the underlying library which exports all the
 functionality.

Package: libpath-class-file-stat-perl
Description-md5: 1ca51cc88931b1cf7b25cf76948ce2f0
Description-en: cache of stat calls for a Path::Class::File object
 Path::Class::File::Stat perl module is an extension of
 Path::Class::File. Path::Class::File::Stat is useful in long-running
 programs (as under mod_perl) where you might have a file handle opened
 and want to check if the underlying file has changed.

Package: libpath-class-perl
Description-md5: 25649d958f6aa1acb64975af942871a3
Description-en: module for cross-platform path specification manipulation
 Path::Class is a module for manipulation of file and directory specifications
 (strings describing their locations; for example: '/home/ken/foo.txt') in a
 cross-platform manner. It supports pretty much every platform Perl runs on,
 including Unix, Windows, Mac, VMS, Epoc, Cygwin, OS/2 and NetWare.
 .
 Path::Class uses File::Spec internally, wrapping all the unsightly details so
 you can concentrate on your application code. Path::Class provides an object
 model of path specifications and their semantics. In other words, it creates
 objects representing files and directories, and provides methods that relate
 them to each other.

Package: libpath-finddev-perl
Description-md5: 740233b696755cc39c08cb31b56e78d6
Description-en: Perl module to find a development source tree somewhere in an upper hierarchy
 Path::FindDev provides an easy and platform-independent way to find the
 root of a development source tree in some parent directory, irrespective
 of which test you put it in, and regardless of what $CWD happens to be
 when you call it.  Path::FindDev is mostly a glue layer around
 Path::IsDev with a few directory walking tricks.

Package: libpath-isdev-perl
Description-md5: 4aa04977fb597466061c00e4a6d1711b
Description-en: Perl module to determine if a given Path resembles a development source tree
 Path::IsDev is more or less a bunch of heuristics for determining if a
 given path is a development tree root of some kind. This has many
 useful applications, notably ones that require behaviours for
 "installed" modules to be different to those that are still "in
 development".

Package: libpath-iter-perl
Description-md5: 872751e419c60f6f7034e94c54fc196b
Description-en: module for simple efficient path iteration
 Path::Iter allows one to iterate through the contents of a given path without
 having to build the entire list first.

Package: libpath-router-perl
Description-md5: bbb8210af16a8e541f4ba203830f8d17
Description-en: tool for routing paths
 Path::Router provides a way of deconstructing paths into parameters
 suitable for dispatching on. It also provides the inverse in that it
 will take a list of parameters, and construct an appropriate uri for
 it.

Package: libpathplan4
Description-md5: 3b349696750e1cfac5e966e847c18e9c
Description-en: rich set of graph drawing tools - pathplan library
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the pathplan library.

Package: libpawlib-lesstif3-dev
Description-md5: a175f2f29d17a794e5bef9e07fec9870
Description-en: CERNLIB PAW library (Lesstif-dependent part - development files)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes the Lesstif-dependent parts of the library pawlib,
 required by the CERNLIB application Paw++, in a static version.  The remainder
 of pawlib is provided in the package libpawlib2-dev.
 .
 The COMIS portion of pawlib is functional on 64-bit machines only when
 statically linked.

Package: libpawlib-lesstif3-gfortran
Description-md5: fc9bfbe21b42a24a16c44db095daad2e
Description-en: CERNLIB PAW library (Lesstif-dependent part)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes the Lesstif-dependent parts of the library pawlib,
 required by the CERNLIB application Paw++.  Note that in order to compile and
 link programs against this library, you must also install the
 libpawlib-lesstif3-dev package.  The remainder of pawlib is provided in the
 package libpawlib2-gfortran.
 .
 The COMIS portion of pawlib is functional on 64-bit machines only when
 statically linked.

Package: libpawlib2-dev
Description-md5: 3f6abe5f8f47e8fec06fdee3b4eafaf1
Description-en: CERNLIB PAW library - portion without Lesstif (development files)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes a static version of (most of) the library pawlib,
 required by the CERNLIB application PAW.  In addition to the internal workings
 of PAW, pawlib also provides the COMIS FORTRAN interpreter and the SIGMA array
 manipulation language.  The Lesstif-dependent parts of the library are
 provided in the libpawlib-lesstif3-dev package.
 .
 The COMIS portion of this library is functional on 64-bit machines only
 when statically linked.

Package: libpawlib2-gfortran
Description-md5: 5f0fb1b13cdb19f249a96c96d1b6411a
Description-en: CERNLIB PAW library - portion without Lesstif dependencies
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes (most of) the library pawlib, required by the CERNLIB
 application PAW.  In addition to the internal workings of PAW, pawlib
 also provides the COMIS FORTRAN interpreter and the SIGMA array
 manipulation language.  The Lesstif-dependent parts of the library are
 provided in the libpawlib-lesstif3-gfortran package.
 .
 Note that in order to compile and link programs against this library, you
 must install the libpawlib2-dev and maybe also the libpawlib-lesstif3-dev
 package(s).
 .
 The COMIS portion of this library is functional on 64-bit machines only
 when statically linked.

Package: libpbbam-dev
Description-md5: 039a4aa6bcb0392ce64021829793ed17
Description-en: Pacific Biosciences binary alignment/map (BAM) library (headers)
 The BAM format is a binary, compressed, record-oriented container format
 for raw or aligned sequence reads. The associated SAM format is a text
 representation of the same data. The specifications for BAM/SAM are maintained
 by the SAM/BAM Format Specification Working Group.
 .
 PacBio-produced BAM files are fully compatible with the BAM specification,
 but makes use of the extensibility mechanisms of the BAM specification to
 encode PacBio-specific information. The pbbam library provides tools to
 work with these files
 .
 This package contains the library header files.

Package: libpbbam1.0.6
Description-md5: c3737d26939fa535670a0bb022994c7f
Description-en: Pacific Biosciences binary alignment/map (BAM) library
 The BAM format is a binary, compressed, record-oriented container format
 for raw or aligned sequence reads. The associated SAM format is a text
 representation of the same data. The specifications for BAM/SAM are maintained
 by the SAM/BAM Format Specification Working Group.
 .
 PacBio-produced BAM files are fully compatible with the BAM specification,
 but makes use of the extensibility mechanisms of the BAM specification to
 encode PacBio-specific information. The pbbam library provides tools to
 work with these files

Package: libpbcopper-dev
Description-md5: b1890fbc9c8584fb8a4afa8b35cdf187
Description-en: data structures, algorithms, and utilities for C++ applications -- header files
 pbcopper provides general tools for C++ applications. It is developed
 for use by applications of the Pacific Biosciences SMRT Analysis
 suite.
 .
 This package contains the header files and static library

Package: libpbcopper1.3.0
Description-md5: 12c9a1573b5e0c0d448a2c7b804a1f50
Description-en: data structures, algorithms, and utilities for C++ applications
 pbcopper provides general tools for C++ applications. It is developed
 for use by applications of the Pacific Biosciences SMRT Analysis
 suite.
 .
 This package contains the dynamic library

Package: libpbdata-dev
Description-md5: ebdc2dbf2e52207fa7ae6fd6d39bf69d
Description-en: tools for handling PacBio sequences (development files)
 Blasr_libcpp is a library used by blasr and other executables such as
 samtoh5, loadPulses for analyzing PacBio sequences. This library contains
 three sub-libraries, including pbdata, hdf and alignment.
 .
 This package contains the header files and static library for the pbdata
 sublibrary.

Package: libpbihdf-dev
Description-md5: ce6f954e33aa91c73a010ee3d8bd448f
Description-en: tools for handling PacBio hdf5 files (development files)
 Blasr_libcpp is a library used by blasr and other executables such as
 samtoh5, loadPulses for analyzing PacBio sequences. This library contains
 three sub-libraries, including pbdata, hdf and alignment.
 .
 This package contains the header files and static library for the hdf
 sublibrary.

Package: libpbkdf2-tiny-perl
Description-md5: f1135ae4a74dc4c888d78129cc3846fe
Description-en: Minimalist PBKDF2 (RFC 2898) with HMAC-SHA1 or HMAC-SHA2
 PBKDF2::Tiny provides a minimal PBKDF2 implementation using HMAC-SHA1
 or HMAC-SHA2 in under 100 lines of code.  It requires no modules
 other than core for versions of Perl greater than 5.10.

Package: libpbseq
Description-md5: b024df8187de72aeaddd0ccb56712bf7
Description-en: library for analyzing PacBio sequencing data
 Blasr_libcpp is a library used by blasr and other executables such as
 samtoh5, loadPulses for analyzing PacBio sequences. This library contains
 three sub-libraries, including pbdata, hdf and alignment.
 .
 This is a metapackage that depends on the pbseqlib component shared libraries.

Package: libpbseq-dev
Description-md5: 947127d931e94ac3a437c44273d0cf6f
Description-en: library for analyzing PacBio sequencing data (development files)
 Blasr_libcpp is a library used by blasr and other executables such as
 samtoh5, loadPulses for analyzing PacBio sequences. This library contains
 three sub-libraries, including pbdata, hdf and alignment.
 .
 This is a metapackage that depends on the pbseqlib component development files.

Package: libpcc-dev
Description-md5: f2b9170f38b089f437bfc52db61fb95b
Description-en: Portable C Compiler (development files)
 PCC is a small, simple, fast and understandable C99 compiler based on the
 original Portable C Compiler by S. C. Johnson, written in the late 1970s.
 Focus on being able to compile and run on a PDP11, even if it may not happen
 in reality, keeps it lean; abstinence from over-complicated algorithms leads
 to quick reliable code generation. Even though much of the compiler has been
 rewritten, some of the basics still remain.
 .
 This package contains the headers and static library files necessary for
 building C programs.

Package: libpcg-cpp-dev
Description-md5: 246e0b2f44aec688bbacf907c3bf7e6e
Description-en: compile-time library for PCG random number generation
 implementation of the PCG family of random number generators, which are fast,
 statistically excellent, and offer a number of useful features.
 .
 Full details can be found at the PCG-Random website:
 http://www.pcg-random.org.

Package: libpcl-apps1.10
Description-md5: bcb6542b5aab1c011a19e1efc585d7b8
Description-en: Point Cloud Library - apps library
 The PCL framework contains numerous state-of-the art algorithms including
 filtering, feature estimation, surface reconstruction, registration, model
 fitting and segmentation.
 .
 This package contains the libraries needed to run applications depending
 on PCL apps.

Package: libpcl-common1.10
Description-md5: e124d70174bf8fd27896e1a2702f7c75
Description-en: Point Cloud Library - common library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL common library.

Package: libpcl-conversions-dev
Description-md5: 349632ee81c3b6df5df13787f9eda8b0
Description-en: Robot OS library to convert from/to PCL data types
 This package is part of Robot OS (ROS). It provides conversions between
 PCL (Point Cloud Library) data types and ROS message types

Package: libpcl-dev
Description-md5: 621d78511d316c10676102f4eb2d5e65
Description-en: Point Cloud Library - development files
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains development files (headers and shared library
 symbolic link).

Package: libpcl-doc
Description-md5: 2eac989d9e8426e817a291a4d72d8b4d
Description-en: Point Cloud Library - documentation
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the Doxygen generated documentation.

Package: libpcl-features1.10
Description-md5: 6b2cfde4ea608cec5667c51cecae1d04
Description-en: Point Cloud Library - features library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL features library.

Package: libpcl-filters1.10
Description-md5: 5dc174cffaaecba9a8e6573ea3742124
Description-en: Point Cloud Library - filters library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL filters library.

Package: libpcl-io1.10
Description-md5: 32b70475a17565f461df37256996889a
Description-en: Point Cloud Library - I/O library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the libraries needed to run applications
 depending on PCL io.

Package: libpcl-kdtree1.10
Description-md5: 2b746b073bd8243924b1f76887ef7870
Description-en: Point Cloud Library - kdtree library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL kdtree library.

Package: libpcl-keypoints1.10
Description-md5: 2ebd8d288fd640a31cadb9a47aea2b49
Description-en: Point Cloud Library - keypoints library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL keypoints library.

Package: libpcl-ml1.10
Description-md5: 555d995717db7a2124ff109f381f6b9c
Description-en: Point Cloud Library - ml library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL ml library.

Package: libpcl-msgs-dev
Description-md5: 4670af3ad4eb9b4c76b0d6488c4defc3
Description-en: C/C++ headers for PCL-related Robot OS Messages
 This package is part of Robot OS (ROS). It contains messages which
 provide the glue between the Point Cloud Library and ROS.
 .
 This package contains the generated C++ headers.

Package: libpcl-octree1.10
Description-md5: 3e222bdd6932ba069557aae7996aee8a
Description-en: Point Cloud Library - octree library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL octree library.

Package: libpcl-outofcore1.10
Description-md5: 4c16f051606217e64d34ed8ce60ab7be
Description-en: Point Cloud Library - outofcore library
 The PCL framework contains numerous state-of-the art algorithms including
 filtering, feature estimation, surface reconstruction, registration, model
 fitting and segmentation.
 .
 This package contains the libraries needed to run applications
 depending on PCL outofcore.

Package: libpcl-people1.10
Description-md5: 9bbbaf09df0646f982c872efc12d8350
Description-en: Point Cloud Library - people library
 The PCL framework contains numerous state-of-the art algorithms including
 filtering, feature estimation, surface reconstruction, registration, model
 fitting and segmentation.
 .
 This package contains the libraries needed to run applications
 depending on PCL people.

Package: libpcl-recognition1.10
Description-md5: e9620e4fb4078a49c799a114eaa07ee5
Description-en: Point Cloud Library - recognition library
 The PCL framework contains numerous state-of-the art algorithms including
 filtering, feature estimation, surface reconstruction, registration, model
 fitting and segmentation.
 .
 This package contains the libraries needed to run applications
 depending on PCL recognition.

Package: libpcl-registration1.10
Description-md5: 99866bb3c92cf3b4f48a9ad2a5ba3faa
Description-en: Point Cloud Library - registration library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL registration library.

Package: libpcl-sample-consensus1.10
Description-md5: 231c3575c742c67f65c7337736d3c42c
Description-en: Point Cloud Library - sample consensus library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL sample consensus library.

Package: libpcl-search1.10
Description-md5: 08d512cb4be213d02f0208aa68cfbe10
Description-en: Point Cloud Library - search library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL search library.

Package: libpcl-segmentation1.10
Description-md5: d78224d9a2146191c1882e51ec9c34bb
Description-en: Point Cloud Library - segmentation library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL segmentation library.

Package: libpcl-stereo1.10
Description-md5: 1d394a47859d0d2b58cbaa560b3c2c06
Description-en: Point Cloud Library - stereo library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL stereo library.

Package: libpcl-surface1.10
Description-md5: d3a8222ea16ee8055baf78527c964e45
Description-en: Point Cloud Library - surface library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL surface library.

Package: libpcl-tracking1.10
Description-md5: 3b3ad71f463a97f672c9a544e952698c
Description-en: Point Cloud Library - tracking library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL tracking library.

Package: libpcl-visualization1.10
Description-md5: 0e570a53d7eb86b10ad020e182428d16
Description-en: Point Cloud Library - visualization library
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package contains the PCL visualization library.

Package: libpcl1
Description-md5: b19d0716b24de9aaeb66a87bdd99ab20
Description-en: Portable Coroutine Library (PCL)
 Coroutines are a very simple cooperative multitasking environment where
 the switch from one task to another is done explicitly by a function
 call. Coroutines are a lot faster than processes or threads switch,
 since there is no OS kernel involvement for the operation. Also
 coroutines require much less OS resources than processes of threads.
 .
 For a more complete definition of the term coroutine see The Art of
 Computer Programming by Donald E. Knuth.

Package: libpcl1-dev
Description-md5: 7d39e36986e7e7364a15a386153922f0
Description-en: Portable Coroutine Library (PCL), development files
 Coroutines are a very simple cooperative multitasking environment where
 the switch from one task to another is done explicitly by a function
 call. Coroutines are a lot faster than processes or threads switch,
 since there is no OS kernel involvement for the operation. Also
 coroutines require much less OS resources than processes of threads.
 .
 For a more complete definition of the term coroutine see The Art of
 Computer Programming by Donald E. Knuth.
 .
 This package contains the static library and header files used in
 development, plus developer's documentation.

Package: libpcp-gui2
Description-md5: 0c532cae8c91f3daedb8dba326dc6943
Description-en: Performance Co-Pilot graphical client tools library
 The libpcp-gui package contains the runtime environment required by any
 monitoring tool that makes use of the time control services of pmtime(1).
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-gui2-dev
Description-md5: 532161d48a74ce75e2f12a2215f53ff9
Description-en: Performance Co-Pilot graphical client tools library and headers
 The libpcp-gui-dev package contains the Performance Co-Pilot (PCP)
 library and header files needed to incorporate graphical time control
 (pmtime) into a monitoring application.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-import-perl
Description-md5: 34705d3426a989b6475587a1a373d4b8
Description-en: Performance Co-Pilot log import Perl module
 The PCP::LogImport Perl module contains the language bindings for
 building Perl applications that import performance data from a file
 or real-time source and create a Performance Co-Pilot (PCP) archive
 suitable for use with the PCP tools.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-import1
Description-md5: e648caaaab90f7984c64d97d3176ac12
Description-en: Performance Co-Pilot data import library
 The libpcp-import package contains the runtime environment required
 by an application that imports performance data from a file or
 real-time source and creates a Performance Co-Pilot (PCP) archive
 suitable for use with the PCP tools.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-import1-dev
Description-md5: 0a8b074356c9afa6d5ed44d808b4ace6
Description-en: Performance Co-Pilot data import library and headers
 The libpcp-import-dev package contains the library and header files
 needed to build an application that imports performance data from
 a file or real-time source and creates a Performance Co-Pilot (PCP)
 archive suitable for use with the PCP tools.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-logsummary-perl
Description-md5: 71f56b1f4ee6cee801c1773c942736ad
Description-en: Performance Co-Pilot historical log summary module
 The PCP::LogSummary module provides a Perl module for using the
 statistical summary data produced by the Performance Co-Pilot
 pmlogsummary utility.  This utility produces various averages,
 minima, maxima, and other calculations based on the performance
 data stored in a PCP archive.  The Perl interface is ideal for
 exporting this data into third-party tools (e.g. spreadsheets).
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-mmv-perl
Description-md5: 0c3176c480fb3ffbbd98fad52c9abd59
Description-en: Performance Co-Pilot Memory Mapped Value Perl module
 The PCP::MMV module contains the Perl language bindings for
 building scripts instrumented with the Performance Co-Pilot
 (PCP) Memory Mapped Value (MMV) mechanism.
 .
 This mechanism allows arbitrary values to be exported from an
 instrumented script into the PCP infrastructure for monitoring
 and analysis with pmchart, pmie, pmlogger and other PCP tools.

Package: libpcp-mmv1
Description-md5: 1942e2593dae279b10fb58b65db96aa1
Description-en: Performance Co-Pilot Memory Mapped Value client library
 The libpcp-mmv package contains the runtime environment required by an
 application that utilises Memory Mapped Value instrumentation to export
 runtime instrumentation into the Performance Co-Pilot infrastructure.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-mmv1-dev
Description-md5: 378b0f85ca464cbd51f1ffb46b8308dc
Description-en: Performance Co-Pilot Memory Mapped Value library and headers
 The libpcp-mmv-dev package contains the Performance Co-Pilot (PCP)
 library and header files needed to utilise memory mapped value
 instrumentation into a monitored application.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-pmda-perl
Description-md5: 8e0a7c4e61a03937eeed385ec9ed2895
Description-en: Performance Co-Pilot Domain Agent Perl module
 The PCP::PMDA Perl module contains the language bindings for
 building Performance Metric Domain Agents (PMDAs) using Perl.
 Each PMDA exports performance data for one specific domain, for
 example the operating system kernel, Cisco routers, a database,
 an application, etc.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-pmda3
Description-md5: 93796b709076d575570c74038b2709ec
Description-en: Performance Co-Pilot Domain Agent library
 The libpcp-pmda package contains the runtime environment required by
 a Performance Metric Domain Agent (PMDA).  A PMDA exports performance
 data for one specific domain, for example the operating system kernel,
 DNS, SMTP, Cisco router, a database, an application, etc.

Package: libpcp-pmda3-dev
Description-md5: 73b2bb904a6d0aa9d0ee405af2364cda
Description-en: Performance Co-Pilot Domain Agent library and headers
 The libpcp-pmda-dev package contains the Performance Co-Pilot (PCP)
 library and header files needed to develop Performance Metric Domain
 Agents (PMDAs).  Each PMDA exports performance data for one domain;
 for example the operating system kernel, Cisco routers, a database,
 an application, etc.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-trace2
Description-md5: cc83a2e0722bda3839b736d55ab0aba9
Description-en: Performance Co-Pilot application tracing library
 The libpcp-trace package contains the runtime environment required by
 an application that is directly instrumented for event and value
 tracking by pmdatrace(3), the Trace Performance Metric Domain Agent.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-trace2-dev
Description-md5: 56a0da729bb476482adb7efa62f09f84
Description-en: Performance Co-Pilot application tracing library and headers
 The libpcp-trace-dev package contains the Performance Co-Pilot (PCP)
 library and header files needed to directly instrument an application
 for event and value tracking by the pmdatrace(1) PCP Trace Performance
 Metric Domain Agent.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-web1
Description-md5: bc7e002196769c23057cec78ed04b49b
Description-en: Performance Co-Pilot data import library
 The libpcp-web package contains the runtime environment required
 by a PMDA to parse and extract metric data from a JSON file.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp-web1-dev
Description-md5: cb9f8e6452c50aa58615c016b63ada5d
Description-en: Performance Co-Pilot web tooling
 The libpcp-web-dev package contains the library and header files
 needed to build a PMDA which parses and extracts metric data from
 a JSON file.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp3
Description-md5: 1ea3c2bd9feab4e5d9b74b4d788b00a3
Description-en: Performance Co-Pilot library
 The libpcp package contains the runtime environment required by
 programs that make use of Performance Co-Pilot (PCP) services.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcp3-dev
Description-md5: 423764500dbadb4d718476c57083e2fe
Description-en: Performance Co-Pilot library and headers
 The libpcp-dev package contains the base Performance Co-Pilot (PCP)
 library and header files needed to develop collector and monitor
 programs which make use of PCP services.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: libpcre++-dev
Description-md5: 2f7e7f992c37437253bb9c7deaeb9822
Description-en: C++ wrapper class for pcre (development)
 PCRE++ is a C++ wrapper-class for the library PCRE (Perl Compatible
 Regular Expressions).
 .
 Its class allows you to use perl alike regular expressions in your C++
 applications. You can use it to search in strings, to split strings
 into parts using expressions or to search and replace a part of a
 string with another part.

Package: libpcre++0v5
Description-md5: 8c677c0d9fee992dcf47ae32491d25c6
Description-en: C++ wrapper class for pcre (runtime)
 PCRE++ is a C++ wrapper-class for the library PCRE (Perl Compatible
 Regular Expressions).

Package: libpcre-ocaml
Description-md5: f824e59f6c7f4f2b7467e1dd90ae0427
Description-en: OCaml bindings for PCRE (runtime)
 This OCaml-library interfaces the PCRE (Perl-compatibility
 regular expressions) C library. it can be used for matching
 regular expressions which are written in Perl style.
 .
 Compared with the OCaml standard library "Str" module, this
 library:
  * uses Perl style rather than Emacs one
  * is reentrant and thus thread safe
  * is faster (when compiled to native code is even faster than
    Perl regular expressions)
  * returns data on which you can safely use destructive updates
  * gives more "programming comfort" through a better API
 .
 This package contains only the shared runtime stub libraries.

Package: libpcre-ocaml-dev
Description-md5: a4e9ff68971ed204b6e244d8f3d05e46
Description-en: OCaml bindings for PCRE (Perl Compatible Regular Expression)
 This OCaml-library interfaces the PCRE (Perl-compatibility
 regular expressions) C library. it can be used for matching
 regular expressions which are written in Perl style.
 .
 Compared with the OCaml standard library "Str" module, this
 library:
  * uses Perl style rather than Emacs one
  * is reentrant and thus thread safe
  * is faster (when compiled to native code is even faster than
    Perl regular expressions)
  * returns data on which you can safely use destructive updates
  * gives more "programming comfort" through a better API
 .
 This package contains all the development stuff you need to use
 OCaml PCRE in your programs.

Package: libpcsc-perl
Description-md5: 5f4fd63e82232da694fe522a31361a67
Description-en: Perl interface to the PC/SC smart card library
 This package contains a Perl wrapper to the PC/SC smartcard library
 (pcsc-lite) together with some small examples.
 .
 The provided modules are Chipcard::PCSC and Chipcard::PCSC::Card

Package: libpcscada0.7.5
Description-md5: cc04dac07193d4a4f34da92e2fb3e8f9
Description-en: Ada bindings to PC/SC middleware
 PCSC/Ada provides thin- and thick-bindings to PC/SC-middleware for the Ada
 programming language. The library allows applications written in Ada to
 communicate with smart cards using the SCard API.

Package: libpcscada7-dev
Description-md5: 7373678593c74fe493ca5bd4b299167c
Description-en: Ada bindings to PC/SC middleware (development)
 PCSC/Ada provides thin- and thick-bindings to PC/SC-middleware for the Ada
 programming language. The library allows applications written in Ada to
 communicate with smart cards using the SCard API.
 .
 This package contains the development files.

Package: libpdal-base9
Description-md5: a2aae3cd06693550e29f38726c4df515
Description-en: Point Data Abstraction Library - libpdal_base
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the libpdal_base shared library.

Package: libpdal-dev
Description-md5: 9bbc9806516054a7fc8e03974849f6ce
Description-en: Point Data Abstraction Library - development files
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the PDAL development files.

Package: libpdal-plugin-faux
Description-md5: 052180ab186534df52c4aff4fbaef266
Description-en: Point Data Abstraction Library - Faux plugin
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the Faux plugin.

Package: libpdal-plugin-icebridge
Description-md5: 9eca4019d92769f477e9dec0b3a03e97
Description-en: Point Data Abstraction Library - Icebridge plugin
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the Icebridge plugin.

Package: libpdal-plugin-pgpointcloud
Description-md5: 3edf9e7d925ab85c37efe365147021fa
Description-en: Point Data Abstraction Library - PostgreSQL PointCloud plugin
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the PostgreSQL PointCloud plugin.

Package: libpdal-plugin-python
Description-md5: 6eef550f2cf144b86f39c4c5149881c0
Description-en: Point Data Abstraction Library - Python plugin
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the Python plugin.

Package: libpdal-plugin-sqlite
Description-md5: 2ae19feeb5845693c9ce643d8cd0eb9a
Description-en: Point Data Abstraction Library - SQLite plugin
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the SQLite plugin.

Package: libpdal-plugins
Description-md5: cf464a189900659e99ef70de1bcff30d
Description-en: Point Data Abstraction Library - plugins
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This metapackage depends on the individual PDAL plugin packages.

Package: libpdal-util9
Description-md5: 1f1c557bbefe7f65847419314e2c2bce
Description-en: Point Data Abstraction Library - libpdal_util
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the libpdal_util shared library.

Package: libpdf-api2-perl
Description-md5: 79df8dc7cf9bac4d2ec9deda8dd750ad
Description-en: module for creating or modifying PDF documents in Perl
 PDF::API2 started as a nice API around the Text::PDF modules created by
 Martin Hosken.
 .
 Features:
  - Works with more than one PDF file open at once
  - It presents a object-oriented API to the user
  - Supports the 14 base PDF Core Fonts
  - Supports TrueType/OpenType fonts with both TT and CFF Outlines
  - Supports Adobe-Type1 Fonts (pfb/pfa/afm)
  - Supports native Embedding of bitmap images (jpeg,ppm,png)
  - Supports modification of existing pdfs and import/cloning of pages
  - Lite version of the API for first-timers

Package: libpdf-api2-simple-perl
Description-md5: f01daf5eff6608497eab848af9c37143
Description-en: simple interface for manipulating PDF files
 PDF::API2::Simple is a Perl module for creating and manipulating files in the
 Portable Document Format (PDF). It aims to provide a simplified interface for
 working with these documents, using PDF::API2 (see libpdf-api2-perl) for the
 heavy lifting.

Package: libpdf-create-perl
Description-md5: 09210befb5791f0fbd6e5c5033af7df9
Description-en: Perl module to create PDF files
 PDF::Create allows you to create documents in the Portable Document Format
 (PDF) using a large number of primitives, and emit the result as a PDF file
 or stream.
 .
 Documents can have several pages, a table of content, an information section
 and many other PDF elements. Since documents are constructed on-the-fly, the
 memory footprint is not tied to the size of the pages; only the number of
 pages.
 .
 It is intended to be simple and highly portable, as it is written purely in
 Perl. For more complex tasks, see libpdf-api2-perl.

Package: libpdf-fdf-simple-perl
Description-md5: 404df3e4a4c4ac582bfcefcd1970386d
Description-en: Perl module to read and write (Acrobat) FDF files
 PDF::FDF::Simple helps creating and extracting the content of FDF files. It
 is meant to be a simple replacement for the Adobe FdfToolkit. Therefore some
 of its behavior, especially handling of diverse whitespace/newline artefacts,
 is orientated on FdfToolkit's handling.
 .
 After the extraction process the content is available within a hash
 reference.
 .
 For creating FDF files it currently only supports setting text
 values. Anyway, this should be enough to create FDF files for text
 fields, text areas, checkboxes and radio buttons.
 .
 PDF::FDF::Simple uses Parse::RecDescent and understands both the
 "Kids" notation and the "dotted" notation for field names. Saving will
 always use the "dotted" notation.

Package: libpdf-report-perl
Description-md5: f9ae5a766155dbae42df0543b4ec47ec
Description-en: simplified PDF report generator
 PDF::Report is written around Alfred Reibenschuh's PDF::API2. It
 provides methods to create a PDF document with text, lines, color and
 graphics.

Package: libpdf-reuse-barcode-perl
Description-md5: c551b293978d5e0d4989f7dec1e1ef6a
Description-en: Create barcodes for PDF documents with PDF::Reuse
 This is a sub-module to PDF::Reuse. It creates barcode "images" to be used in
 PDF documents. It uses GD::Barcode and its sub-modules: GD::Barcode::Code39,
 COOP2of5, EAN13 and so on, to calculate the barcode pattern. For Code128 it
 uses Barcode::Code128.
 .
 Normally the barcodes are displayed on a white background and with the
 characters under the bars. You can rotate the "image", make it smaller or
 bigger, prolong the bars and change the background. (But then, don't forget to
 test that your barcode scanner still understands it.)
 .
 If you don't change the size of the "image", the bars are approximately 24
 pixels high (the guard bars a few pixels longer) and the box/background is 38
 pixels high and something like 20 pixels wider than the barcodes. The text
 under the bars are 10 pixels high.

Package: libpdf-reuse-perl
Description-md5: 8acfed55eb6f8d6519809a5451e580c0
Description-en: Reuse and mass produce PDF documents
 PDF::Reuse could be used when you want to mass produce similar (but not
 identical) PDF documents and reuse templates, JavaScripts and some other
 components. It is functional to be fast, and to give your programs capacity to
 produce many pages per second and very big PDF documents if necessary.
 .
 The module produces PDF-1.4 files. Some features of PDF-1.5, like "object
 streams" and "cross reference streams", are supported, but only at an
 experimental level. More testing is needed. (If you get problems with a new
 document from Acrobat 6 or 7, try to save it or recreate it as a PDF-1.4
 document first, before using it together with this module.)

Package: libpdf-table-perl
Description-md5: 6213d5faf3bfc93d2ffc0e9494b8c04a
Description-en: utility class for building table layouts in a PDF::API2 object
 PDF::Table is a utility for use with the PDF::API2 module from
 CPAN. It can be used to display text data in a table layout within
 the PDF. The text data must be in a 2d array (such as returned by a
 DBI statement handle fetchall_arrayref() call). The PDF::Table will
 automatically add as many new pages as necessary to display all of
 the data. Various layout properties, such as font, font size, and
 cell padding and background color can be specified for each column
 and/or for even/odd rows. See the METHODS section in the man page.

Package: libpdf-writer-perl
Description-md5: 35388a27d46f51ed03cea9a58c2f17c7
Description-en: PDF writer abstraction layer
 PDF::Writer is a generalised API that allows a module that generates PDFs to
 transparently target multiple backends without changing its code. The
 currently supported backends are:
  * PDF::API2
  * PDFlib (versions 3+)
  * Mock
 If both PDF::API2 and pdflib_pl are available, PDF::API2 is preferred.
 If neither is available, a run-time exception will be thrown.

Package: libpdfbox-java
Description-md5: d93792cecbea16312212fb8c98f1a182
Description-en: PDF library for Java
 The Apache PDFBox library is an open source Java tool for working with PDF
 documents. This project allows creation of new PDF documents, manipulation
 of existing documents and the ability to extract content from documents.
 .
 This package contains the library itself.

Package: libpdfbox-java-doc
Description-md5: 533ca944496210643c2e3c5b769c0f75
Description-en: PDF library for Java (documentation)
 The Apache PDFBox library is an open source Java tool for working with PDF
 documents. This project allows creation of new PDF documents, manipulation
 of existing documents and the ability to extract content from documents.
 .
 This package contains the documentation for the library.

Package: libpdfbox2-java
Description-md5: f9b6e1a97ce4e85fefde2532a63c9e89
Description-en: PDF library for Java
 The Apache PDFBox library is an open source Java tool for working with PDF
 documents. This project allows creation of new PDF documents, manipulation
 of existing documents and the ability to extract content from documents.
 .
 This package contains version 2 of the library which is backwards incompatible.

Package: libpdfbox2-java-doc
Description-md5: c1efcaf837cb306c0f0dbe4c7738a223
Description-en: PDF library for Java (documentation)
 The Apache PDFBox library is an open source Java tool for working with PDF
 documents. This project allows creation of new PDF documents, manipulation
 of existing documents and the ability to extract content from documents.
 .
 This package contains the documentation for version 2 of the library.

Package: libpdflib804-2-dev
Description-md5: ffb5260760bec386924317579b331714
Description-en: [Physics] Comprehensive library of parton density functions
 The PDFLIB package gathers about 100 different sets of Parton Density
 Functions into a comprehensive library in a consistent way.  Density functions
 are available for the nucleon, pion and photon.  The library also allows the
 calculation of the strong force coupling constant to first or second order
 at a given value of Q-squared.
 .
 This is the static version of the library.  Also included are FORTRAN
 header files and some documentation.

Package: libpdflib804-2-gfortran
Description-md5: 27fc6a4da932d58b845b11ce30918307
Description-en: [Physics] Comprehensive library of parton density functions
 The PDFLIB package gathers about 100 different sets of Parton Density
 Functions into a comprehensive library in a consistent way.  Density functions
 are available for the nucleon, pion and photon.  The library also allows the
 calculation of the strong force coupling constant to first or second order
 at a given value of Q-squared.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libpdflib804-2-dev package.

Package: libpdfrenderer-java
Description-md5: d5ca09e8d593f090d8939aa930e7b321
Description-en: Java PDF renderer and viewer
 The PDF Renderer is just what the name implies: an open source, all
 Java library which renders PDF documents to the screen using Java2D.
 Typically this means drawing into a Swing panel, but it could also draw
 to other Graphics2D implementations. It could be used to draw on top of
 PDFs, share them over a network, convert PDFs to PNG images, or maybe
 even project PDFs into a 3D scene.

Package: libpdl-ccs-perl
Description-md5: 5a2ad970cb3c58cfc877117b5376e562
Description-en: module to provide various utilities for PDL CSS
 PDL::CCS is a wrapper package which pulls in a number of submodules dealing
 with sparse structures. It provides means of handling large sparse
 n-dimensional structures in an optimized way and some low-level utilities
 permitting to operate on them.

Package: libpdl-graphics-gnuplot-perl
Description-md5: fc796c291cad0fde8b6b45aa42bc6d19
Description-en: gnuplot-based plotting backend for PDL
 PDL::Graphics::Gnuplot allows PDL data to be plotted using Gnuplot as a backend
 for 2D and 3D plotting and image display.
 .
 It is not necessary to understand the gnuplot syntax to generate basic, or
 even complex, plots - though the full syntax is available for advanced users
 who want the full flexibility of the Gnuplot backend.
 .
 Gnuplot recognizes both hard-copy and interactive plotting devices, and on
 interactive devices (like X11) it is possible to pan, scale, and rotate both
 2-D and 3-D plots interactively. You can also enter graphical data through
 mouse clicks on the device window.

Package: libpdl-io-hdf5-perl
Description-md5: 6631f8ba01500451a3855ed7ec5cf15e
Description-en: PDL Interface to the HDF5 Data Format
 PDL::IO::HDF5 provides an object-oriented interface for PDLs to the HDF5
 data-format. Information on the HDF5 Format can be found at the NCSA's web
 site at http://www.hdfgroup.org

Package: libpdl-io-matlab-perl
Description-md5: f5cc485d970d3069b341fb1f72f688cb
Description-en: PDL support to read/write Matlab format data files
 PDL::IO::Matlab provides routines to read and write Matlab data files in PDL
 (perl data language) programs. Both functional and OO interface are provided.
 .
 Only real, multi-dimensional arrays corresponding to PDL data types are
 supported. Compression for both reading and writing is supported.

Package: libpdl-linearalgebra-perl
Description-md5: 5cc2f748a4287fb94987580397449f61
Description-en: Linear Algebra utils for PDL
 PDL::LinearAlgebra provides PDL bindings to LAPACK and BLAS. The package
 contains both the slower-but-more-flexible PDL::LinearAlgebra module and
 faster-but-more-specific PDL::LinearAlgebra::Real and
 PDL::LinearAlgebra::Complex modules.

Package: libpdl-netcdf-perl
Description-md5: 2e8917065cb4ab6e2677394fb303f065
Description-en: Netcdf-IO for PDL
 PDL::NetCDF provides the PDL interface to the Unidata NetCDF library.
 It uses the netCDF version 3 library to make a subset of netCDF functionality
 available to PDL users in a clean, object-oriented interface.
 .
 The NetCDF standard allows N-dimensional binary data to be efficiently
 stored, annotated and exchanged between many platforms.
 .
 When one creates a new netCDF object, this object is associated with one
 netCDF file.

Package: libpdl-stats-perl
Description-md5: b1eb7249fb2dc185a59200dcaf06b883
Description-en: collection of statistics modules in Perl Data Language
 This package provides several statistical Perl module:
  * PDL::GSL::CDF       PDL interface to GSL Cumulative Distribution Functions
  * PDL::Stats::Basic   basic statistics and related utilities such as
    standard deviation, Pearson correlation, and t-tests
  * PDL::Stats::Distr   parameter estimations and probability density functions
    for distributions
  * PDL::Stats::GLM     general and generalized linear modeling methods such as
    ANOVA, linear regression, PCA, and logistic regression
  * PDL::Stats::Kmeans  classic k-means cluster analysis
  * PDL::Stats::TS      basic time series functions

Package: libpdl-vectorvalued-perl
Description-md5: 9820fe6cd21d086d5f06032700b2dfc0
Description-en: module to provide some utilities for vector-valued PDLs
 PDL::VectorValued provides generalizations of some elementary PDL functions
 to higher-order PDLs which treat vectors as "data values".

Package: libpeasd-3-0
Description-md5: f955dad7a381c704ef7c8fd326f78820
Description-en: GTK+ application plugin library - D bindings
 Libpeas is a library that allows GTK+ applications to support plugins.
 .
 This package contains the runtime needed for applications written in D.

Package: libpeasd-3-dev
Description-md5: b379bf0b73d6021045dd7757d5404a94
Description-en: GTK+ application plugin library - development files for D
 Libpeas is a library that allows GTK+ applications to support plugins.
 .
 This package contains development files needed to write applications in
 the D programming language that use Libpeas.

Package: libpegdown-java
Description-md5: 48f635b0b60dada62faf7ead868154dd
Description-en: Lightweight Markdown processing library
 Pegdown is nearly 100% compatible with the original Markdown specification
 and fully passes the original Markdown test suite. On top of the standard
 Markdown feature set pegdown implements a number of extensions similar
 to what other popular Markdown processors offer.

Package: libpegex-perl
Description-md5: 65ab3ca22e1c134d3575b1506bf44f94
Description-en: Acmeist PEG Parser Framework
 Pegex is an Acmeist parser framework. It allows you to easily create parsers
 that will work equivalently in lots of programming languages! The inspiration
 for Pegex comes from the parsing engine upon which the postmodern programming
 language Perl 6 is based on. Pegex brings this beauty to the other
 *just*modern languages that have a normal regular expression engine
 available.
 .
 Pegex gets it name by combining Parsing Expression Grammars (PEG), with
 Regular Expessions (Regex). That's actually what Pegex does.
 .
 PEG is the cool new way to elegantly specify recursive descent grammars. The
 Perl 6 language is defined in terms of a self modifying PEG language called
 Perl 6 Rules. Regexes are familiar to programmers of most modern programming
 languages. Pegex defines a simple PEG syntax, where all the terminals are
 regexes. This means that Pegex can be quite fast and powerful.
 .
 Pegex attempts to be the simplest way to define new (or old) Domain Specific
 Languages (DSLs) that need to be used in several programming languages and
 environments. Things like JSON, YAML, Markdown etc. It also great for writing
 parsers/compilers that only need to work in one language.

Package: libpentaho-reporting-flow-engine-java
Description-md5: b734e8bb20c319e0781dc320fd96b400
Description-en: report library for java
 Pentaho Reporting Flow Engine is a free Java report library.
 .
 It has the following features:
    * full on-screen print preview;
    * data obtained via Swing's TableModel interface
    (making it easy to print data directly from your application);
    * XML-based report definitions;
    * output to the screen, printer or various export formats
    (PDF, HTML, CSV, Excel, plain text);
    * support for servlets (uses the JFreeReport extensions)
    * extensive documentation in Acrobat PDF format, plus full Javadocs;

Package: libpentaho-reporting-flow-engine-java-doc
Description-md5: ea6c47696ca0fbcefc63fa5f70d27ed3
Description-en: report library for java documentation
 Pentaho Reporting Flow Engine is a free Java report library.
 .
 It has the following features:
    * full on-screen print preview;
    * data obtained via Swing's TableModel interface
    (making it easy to print data directly from your application);
    * XML-based report definitions;
    * output to the screen, printer or various export formats
    (PDF, HTML, CSV, Excel, plain text);
    * support for servlets (uses the JFreeReport extensions)
    * extensive documentation in Acrobat PDF format, plus full Javadocs;
  .
 This package contains the Javadoc, the Manual and the Developers Guide of
 the Pentaho Reporting Flow Engine.

Package: libpeony-dev
Description-md5: 7a8cbc28d9541666b5b833226ea366aa
Description-en: libraries for Peony components (development files)
 Peony is the official file manager for the UKUI desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the UKUI
 desktop. It works on local and remote filesystems.
 .
 This package contains the development files for the libraries needed
 by Peony's extensions.

Package: libpeony2
Description-md5: 3ea21947740e28573eeecf5d23f19ca2
Description-en: libraries for Peony components
 Peony is the official file manager for the UKUI desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the UKUI
 desktop. It works on local and remote filesystems.
 .
 This package contains a few runtime libraries needed by Peony's
 extensions.

Package: libperformance-dev
Description-md5: d264d5c10b08063f039efa219b775db1
Description-en: GNUstep performance library (development files)
 The GNUstep performace library implements various classes intended to
 be used in high-performance libraries and applications.  Available
 are classes for caching objects in memory for rapid access, managing
 FIFO queues, thread pools for performing methods which need to make
 use of a runloop for I/O and/or timers, for objects in parallel in
 other threads, linked list functionality, timing information,
 statistics about the number of events or the duration of operations,
 uniqued objects and more.
 .
 This package contains the development files and the library reference
 documentation.

Package: libperformance0.5
Description-md5: b71b46ce56a80372250f78ed0fc4bed5
Description-en: GNUstep performance library (runtime library)
 The GNUstep performace library implements various classes intended to
 be used in high-performance libraries and applications.  Available
 are classes for caching objects in memory for rapid access, managing
 FIFO queues, thread pools for performing methods which need to make
 use of a runloop for I/O and/or timers, for objects in parallel in
 other threads, linked list functionality, timing information,
 statistics about the number of events or the duration of operations,
 uniqued objects and more.
 .
 This package contains the shared library.

Package: libperformance0.5-dbg
Description-md5: 7c6a7301b2ecda00311d9ec29b7464e4
Description-en: GNUstep performance library (debugging symbols)
 The GNUstep performace library implements various classes intended to
 be used in high-performance libraries and applications.  Available
 are classes for caching objects in memory for rapid access, managing
 FIFO queues, thread pools for performing methods which need to make
 use of a runloop for I/O and/or timers, for objects in parallel in
 other threads, linked list functionality, timing information,
 statistics about the number of events or the duration of operations,
 uniqued objects and more.
 .
 This package contains the detached debugging symbols.

Package: libperinci-cmdline-perl
Description-md5: 2e861f4e1f50736354ba09de17b3894c
Description-en: Rinci/Riap-based command-line application framework
 Perinci::CmdLine is currently empty, because the implementation is currently
 split into Perinci::CmdLine::Lite (the lightweight version) and
 Perinci::CmdLine::Classic (the full but heavier version). There's also
 Perinci::CmdLine::Any that lets you choose between the two dynamically, à la
 Any::Moose. And finally there's also Perinci::CmdLine::Inline, the even more
 lightweight version.

Package: libperinci-object-perl
Description-md5: 4de3e8c48baab1193443ec00fc1ddab8
Description-en: module that provides a object-oriented interface for Rinci
 Rinci works using pure data structures, but sometimes it's convenient to have
 an object-oriented interface (wrapper) for those data. Perinci::Object module
 provides just that.

Package: libperinci-sub-normalize-perl
Description-md5: bb901444500db5ef800d950366a802a3
Description-en: Perl module to normalize Rinci function metadata
 Perinci::Sub::Normalize normalizes and checks Rinci function metadata $meta
 and returns a normalized metadata, which is a shallow copy of $meta. This is
 done by normalize_function_metadata subroutine.

Package: libperinci-sub-util-perl
Description-md5: ca874a0f947f8845e61f64b8175236f3
Description-en: Perl module that is a helper to write functions
 Perinci::Sub::Util is a helper to write functions. This module could help with
 handling errors, where the application can be killed or just throw some warn,
 generate stub functions and be used as a caller.

Package: libperinci-sub-util-propertymodule-perl
Description-md5: 94fcf3f4183630264716b16c90b846b7
Description-en: module to detect which property modules are used with Perinci
 Perinci::Sub::Util::PropertyModule provides a function to detect which
 additional property modules (Perinci::Sub::Property::*) are used with the
 Perinci framework.

Package: libperl-critic-freenode-perl
Description-md5: 50e361287223e007a9e7ea135a6acbf0
Description-en: Perl::Critic policies inspired by #perl on freenode IRC
 Perl::Critic::Freenode is a set of Perl::Critic policies to enforce the
 practices generally recommended by the denizens of #perl on Freenode
 IRC|https://freenode.net/. Because this policy "theme" is designed to be
 used with zero configuration on the command line, some duplication will
 occur if it is used in combination with core Perl::Critic policies.

Package: libperl-critic-perl
Description-md5: 5c461a970fff1643c12b1a918d67e5fb
Description-en: Perl module to critique code for best practices
 Perl::Critic is an extensible framework for creating and applying software
 coding policies to Perl source code. By default, it is distributed with a
 number of policy modules that attempt to enforce various coding guidelines,
 including those discussed in Damian Conway's Perl Best Practices. However,
 it is not limited to PBP and will even support policies that contradict PBP.
 You can enable, disable and customize those policies through the Perl::Critic
 interface. You can also create new Policy modules to suit your own tastes.

Package: libperl-critic-policy-variables-prohibitlooponhash-perl
Description-md5: ecce282a05e9de05894bf706da5c8960
Description-en: Perl::Critic policy to avoid writing loops on hashes
 Perl::Critic::Policy::Variables::Prohibitlooponhash is a Perl::Critic policy
 to check whether loops correctly iterate on hashes' keys or values, not on
 hashes themselves.

Package: libperl-critic-pulp-perl
Description-md5: 83a0baeae753247226cf2ae9e5a1ea12
Description-en: add-on policies for Perl::Critic
 Collection of add-on policies for Perl::Critic checking for miscellaneous
 bugs, compatibility, efficiency, and cosmetic things. See the POD of
 Perl::Critic::Pulp for the full list.

Package: libperl-destruct-level-perl
Description-md5: 3dec2c476892862a087e45ef926a1b1a
Description-en: Perl module to change Perl's destruction level
 Perl::Destruct::Level is an interface allowing one to change Perl's internal
 destruction level. While this functionality is available through the
 PERL_DESTRUCT_LEVEL environment variable when perl is compiled with debug
 support, this module provides it for perls without -DDEBUGGING.
 .
 The default value of the destruct level is 0; it means that perl won't bother
 destroying all its internal data structures, but let the OS do the cleanup
 for it at exit.
 .
 Relevant values recognized by perl are 1 and 2. Consult your source code to
 know exactly what they mean. Note that some embedded environments might
 extend the meaning of the destruction level for their own purposes: mod_perl
 does that, for example.

Package: libperl-metrics-simple-perl
Description-md5: 8740cd5f564b45541190e1ef5f1cf100
Description-en: module to provide metrics of Perl code
 Perl::Metrics::Simple is a Perl module that provides several useful methods
 for static analysis of one or many Perl files. It currently offers these
 metrics: packages, subroutines, lines of code, and an approximation of
 cyclomatic (mccabe) complexity for the subroutines and the "main" portion
 of the code.
 .
 This module is designed to be simpler than the similar Perl::Metric module.

Package: libperl-minimumversion-perl
Description-md5: e80178659ae89e167b9b29c1a3673225
Description-en: module to determine minimum required version of perl required
 Perl::MinimumVersion is a module that inspects arbitrary Perl source code and
 determines the minimum version of perl required to be able to run it. Because
 it is based on PPI, it can do this without having to actually load the code.
 .
 Currently it tests both the syntax of your code, and the use of explicit
 version dependencies such as "require 5.005".
 .
 Future plans are to also add support for tracing module dependencies.

Package: libperl-osnames-perl
Description-md5: 3d78f926f9304889058f74d0437ea4f0
Description-en: Perl module to list possible $^O ($OSNAME) values with description
 Perl::osnames provides possible values of $^O, along with a description for
 each. It also provides helper functions, such as whether an operating system
 is POSIX-compatible or Unix-like.

Package: libperl-prereqscanner-notquitelite-perl
Description-md5: 62566753384acd823040cb38beffb5f3
Description-en: Perl module for scanning Perl code for prerequisites
 Perl::PrereqScanner::NotQuiteLite is yet another prerequisites scanner. It
 passes almost all the scanning tests for Perl::PrereqScanner and
 Module::ExtractUse (ie. except for a few dubious ones), and runs slightly
 faster than PPI-based Perl::PrereqScanner. However, it doesn't run as fast as
 Perl::PrereqScanner::Lite (which uses an XS lexer).
 .
 Perl::PrereqScanner::NotQuiteLite also recognizes eval. Prerequisites in eval
 are not considered as requirements, but they may be collected as suggestions.
 .
 Conditional requirements or requirements loaded in a block are treated as
 recommends. Noed modules are stored separately (since 0.94). You may or may
 not need to merge them into requires.

Package: libperl-prereqscanner-perl
Description-md5: eac6ab5f20847dc91f23213c46712fc6
Description-en: module for extracting prerequisites from Perl code
 Perl::PrereqScanner is a Perl module that extracts distribution prerequisites
 from files through various means. The extraction is not perfect but provides
 a best-guess approximation.
 .
 It currently detects the following:
  * plain lines beginning with use or require in perl modules and scripts
  * regular inheritance declared with the base and parent pragmata
  * Moose inheritance declared with the extends keyword
  * Moose roles included with the with keyword

Package: libperl-version-perl
Description-md5: b5d1d1be4d74b733e157ba5fc629cf46
Description-en: module to parse and manipulate Perl version strings
 Perl::Version provides a simple interface for parsing, manipulating and
 formatting Perl version strings.
 .
 Unlike version.pm (which concentrates on parsing and comparing version
 strings) Perl::Version is designed for cases where you'd like to parse a
 version, modify it and get back the modified version formatted like the
 original.

Package: libperl4caml-ocaml
Description-md5: 3ab398347d4d3fb546c6190b756325bb
Description-en: Use Perl code in OCaml programs, runtime library
 perl4caml allows you to use Perl code within Objective CAML (OCaml),
 thus neatly side-stepping the old problem with OCaml which was that it
 lacked a comprehensive set of libraries. Well now you can use any part
 of CPAN in your OCaml code.
 .
 This package provides the runtime dynamic library necessary to use this
 in bytecode OCaml programs.

Package: libperl4caml-ocaml-dev
Description-md5: a60f7295d056cf3e69fc1ad36c23ee62
Description-en: Use Perl code in OCaml programs, development files
 perl4caml allows you to use Perl code within Objective CAML (OCaml),
 thus neatly side-stepping the old problem with OCaml which was that it
 lacked a comprehensive set of libraries. Well now you can use any part
 of CPAN in your OCaml code.
 .
 This package provides the tools necessary to use perl4caml in your own
 programs.  To get the documentation, please install libperl4caml-ocaml-doc.

Package: libperl4caml-ocaml-doc
Description-md5: a06bd1196dedbf0967fb22a13a8a7074
Description-en: Use Perl code in OCaml programs, documentation
 perl4caml allows you to use Perl code within Objective CAML (OCaml),
 thus neatly side-stepping the old problem with OCaml which was that it
 lacked a comprehensive set of libraries. Well now you can use any part
 of CPAN in your OCaml code.
 .
 This package provides developers' documentation and examples about the
 use of perl4caml.

Package: libperl5i-perl
Description-md5: 54e497cbdf949df87bd0d3c9c2233413
Description-en: pragma to fix as much of Perl 5 as possible
 perl5i is a Perl pragma that swiftly enables the features of a collection of
 modules and techniques used to fix Perl 5's warts. It includes changing core
 functions, adding features and changing defaults, so it will likely not be
 100% backwards compatible with standard Perl 5 syntax. However, this pragma
 tries to limit the damage by having a lexical effect.

Package: libperl6-caller-perl
Description-md5: 3b894e27848f66077ea1a431ef6d484b
Description-en: Perl6-like OO caller() interface for Perl 5
 By default, Perl6::Caller exports the caller function. This automatically
 returns a new caller object. An optional argument specifies how many stack
 frames back to skip, just like the CORE::caller function.

Package: libperl6-export-attrs-perl
Description-md5: a798d1078ad74a2194bad3d535b79c68
Description-en: Perl 6 'is export(...)' trait as a Perl 5 attribute
 Perl6::Export::Attrs implements a Perl 5 native version
 of what the Perl 6 symbol export mechanism will look like.

Package: libperl6-export-perl
Description-md5: 39e72eb85fd75dea679c0a8f12355d0b
Description-en: implementation of the Perl 6 'is export(...)' trait
 Perl6::Export prototypes the Perl 6 'exported' and 'exportable' traits
 in Perl 5.
 .
 Instead of messing around with @EXPORT arrays, you just declare which
 subs are to be exported (or are exportable on request) as part of
 those subs.

Package: libperl6-form-perl
Description-md5: a638dca452341e73b0d95f1613de65e6
Description-en: Perl 5 implementation of the Perl 6 'form' built-in
 Formats are Perl 5's mechanism for creating text templates with
 fixed-width fields. Those fields are then filled in using values from
 prespecified package variables.
 .
 Unlike Perl 5, Perl 6 doesn't have a C<format> keyword. Or the
 associated built-in formatting mechanism. Instead it has a Form.pm
 module. And a C<form> function.
 .
 The Perl6::Form module implements virtually all of the functionality of the
 Perl 6 Form.pm module.

Package: libperl6-junction-perl
Description-md5: 7d1e90c462d00dcdfa814a0f11b85633
Description-en: module providing Perl6-style Junction operators in Perl5
 Perl6::Junction is a lightweight module which provides 'Junction' operators
 in Perl5, the most commonly used being any and all. It is inspired by the
 Perl6 design docs, see http://dev.perl.org/perl6/doc/design/exe/E06.html.
 .
 Perl6::Junction provides a limited subset of the functionality of
 Quantum::Superpositions.

Package: libperl6-say-perl
Description-md5: b6fc68faf59676883ca87bdf55a9b9b8
Description-en: module to print without newline needed
 Perl6::Say implements a close simulation of the say function in Perl 6, which
 acts like print but automatically appends a newline.
 .
 Use it just like print (except that it only supports the indirect
 object syntax when the stream is a bareword).
 .
 Since perl 5.10, you don't need this module.  The Perl 6 say function is
 available in Perl 5.10 by saying "use feature 'say';".

Package: libperl6-slurp-perl
Description-md5: 87a33d7220edd876da1517f8e0ca1bc5
Description-en: module implementing the Perl 6 'slurp' built-in
 Perl6::Slurp allows you to "slurp" in contents from a file or
 a file handle into a scalar or an array. This used to be done by
 modifying the $/ built-in, but Perl6::Slurp provides the ease of
 use of the Perl 6's slurp() function.

Package: libperlanet-perl
Description-md5: 213a845372db6362c892ae876b980101
Description-en: program for creating programs that aggregate web feeds
 Perlanet is a Perl module for aggregating web feeds.
 .
 It allows you to aggregate a number of web feeds
 (both Atom and RSS)
 and to publish a web page and another web feed
 containing the aggregated content.

Package: libperlbal-perl
Description-md5: 4666ba8f234e4ce367b1c1a13a3d4e89
Description-en: Perlbal libraries; embed perlbal into another app
 These are libraries that allow an application to internally run an instance
 of perlbal.
 .
 Perlbal is a single-threaded event-based server supporting HTTP load
 balancing, web serving, and a mix of the two. Perlbal can act as either a web
 server or a reverse proxy.
 .
 One of the defining things about Perlbal is that almost everything can be
 configured or reconfigured on the fly without needing to restart the software.
 A basic configuration file containing a management port enables you to easily
 perform operations on a running instance of Perlbal.
 .
 Perlbal can also be extended by means of per-service (and global) plugins that
 can override many parts of request handling and behavior.

Package: libperlbal-xs-httpheaders-perl
Description-md5: 25f8e8c06865df065fae255d42910626
Description-en: Perlbal extension for processing HTTP headers faster
 Perlbal::XS::HTTPHeaders is used to read HTTP headers from a string and to
 parse them into an internal storage format for easy access and modification.
 You can also ask the module to reconstitute the headers into one big string,
 useful if you're writing a proxy and need to read and write headers while
 maintaining the ability to modify individual parts of the whole.
 .
 The goal is to be fast. This is a lot faster than doing all of the text
 processing in Perl directly, and a lot of the flexibility of Perl is
 maintained by implementing the library in Perl and descending from
 Perlbal::HTTPHeaders.

Package: libperldoc-search-perl
Description-md5: 7b3083185d69608b82ea5c1ea6d5a5b9
Description-en: Index and Search local Perl Documentation
 Perldoc::Search uses the swish-e engine to index the local Perl
 documentation. It provides both the command line utility perldig
 and an API to perform searches on the index. It uses SWISH::API::Common
 as the indexing and search engine.
 .
 This package also contains the command line utility perldig, which digs up
 keywords in the local Perl documentation

Package: libperlio-eol-perl
Description-md5: ed2c3daec1c9456d31ec93b1459e831a
Description-en: PerlIO layer for normalizing line endings
 The PerlIO::eol layer normalizes any of CR, LF, CRLF and Native encoding into
 the designated line ending. It works for both input and output handles.

Package: libperlio-layers-perl
Description-md5: 321037522d28dbb934fba3a40596ada5
Description-en: Perl module to query a filehandle's capabilities
 Perl's filehandles are implemented as a stack of layers, with the bottom-most
 usually doing the actual IO and the higher ones doing buffering,
 encoding/decoding or transformations. PerlIO::Layers allows you to query the
 filehandle's properties concerning these layers.

Package: libperlio-utf8-strict-perl
Description-md5: 10a5f752a2d6032b43efaa4395d81b28
Description-en: fast and correct UTF-8 Perl IO module
 PerlIO::utf8_strict provides a fast and correct UTF-8 PerlIO layer.
 Unlike perl's default :utf8 layer it checks the input for correctness
 and will croak if it finds an ill-formed UTF-8 octet sequence or
 noncharacter code points not meant for interchange.

Package: libperlio-via-dynamic-perl
Description-md5: 0622e70223b45a4e1d6b06da574557a1
Description-en: module to create dynamic PerlIO layers
 PerlIO::via::dynamic is used for creating dynamic PerlIO layers. It is
 useful when the behavior or the layer depends on variables. You should
 not use this module as via layer directly (ie :via(dynamic)).
 .
 Use the constructor to create new layers, with two arguments:
 translate and untranslate. Then use $p->via ($fh) to wrap the
 handle.
 .
 Note that PerlIO::via::dynamic uses the scalar fields to reference to
 the object representing the dynamic namespace. If you

Package: libperlio-via-symlink-perl
Description-md5: 27331236e7b038c574532c2a8bf4a5d0
Description-en: PerlIO layer for creating symlinks
 PerlIO::via::symlink allows you to create a symbolic link by
 writing to the file handle.

Package: libperlio-via-timeout-perl
Description-md5: a2bc7549f59ca9bdf0aabc8d109bd47e
Description-en: PerlIO layer that adds read & write timeout to a handle
 PerlIO::via::Timeout implements a PerlIO layer, that adds read / write
 timeout. This can be useful to avoid blocking while accessing a handle (file,
 socket, ...), and fail after some time.

Package: libperlmenu-perl
Description-md5: 0f95e365da98f9a7b9b9656b7742a316
Description-en: Menu and Template (curses-based) UI for Perl
 perlmenu is a Perl module that provides your application
 with access to easy-to-use functions for templates,
 menus, forms, and the like -- everything needed
 to put a slick UI on a program without resorting to
 a GUI.
 .
  Homepage: http://search.cpan.org/~skunz/perlmenu/

Package: libperlx-assert-perl
Description-md5: 0e2a29b8947c233caebd3bd1acba45ad
Description-en: yet another assertion keyword
 PerlX::Assert is a framework for embedding assertions in Perl code.
 Under normal circumstances, assertions are not checked; they are
 optimized away at compile time.
 .
 However if, at compile time, any of the following environment variables
 is true, assertions are checked, and if they fail, throw an exception.
 .
  - PERL_STRICT
  - AUTHOR_TESTING
  - EXTENDED_TESTING
  - RELEASE_TESTING
 .
 That is, assertions will only typically be checked when the test suite
 is being run on the authors' machine, or otherwise opted into.

Package: libperlx-define-perl
Description-md5: 755ec9f7910bc4518183fa335fa0bd9f
Description-en: cute syntax for defining constants
 PerlX::Define is a yet another module for defining constants.
 .
 Differences from constant.pm:
 .
  * Cute syntax.
    Like constant.pm, constants get defined at compile time,
    not run time.
  * Requires Perl 5.12 or above.
    If you're lucky enough to be able to free yourself
    from the shackles of supporting decade-old versions of Perl,
    PerlX::Define is your friend.
  * Only supports scalar constants.
    List constants are rarely useful.
    Your constant can of course be a reference to an array or hash,
    but this module doesn't attempt
    to make the referred-to structure read only.
  * Doesn't try to handle some of the things constant.pm does
    like declaring constants using fully-qualified names,
    or defining constants pointing at magic scalars.
 .
 Prior to version 0.100, PerlX::Define was bundled with Moops.

Package: libperlx-maybe-perl
Description-md5: dc7748f268a4655054307561b9f83c3f
Description-en: return a pair only if they are both defined
 Moose classes (and some other classes) distinguish between an attribute
 being unset and the attribute being set to undef. Supplying a
 constructor arguments like this:
 .
     my $bob = Person->new(
        name => $name,
        age => $age,
        );
 .
 Will result in the "name" and "age" attributes possibly being set to
 undef (if the corresponding $name and $age variables are not defined),
 which may violate the Person class' type constraints.
 .
 PerlX::Maybe checks that $x and $y are both defined. If they are, it
 returns them both as a list; otherwise it returns the empty list.
 .
 This package also includes Syntax::Feature::Maybe.

Package: libperlx-maybe-xs-perl
Description-md5: 923df061de5e574d0943f8c78ed8e6f1
Description-en: XS backend for PerlX::Maybe
 PerlX::Maybe::XS is a (possibly 30% faster) XS implementation of
 PerlX::Maybe.

Package: libpetal-perl
Description-md5: 05b9c761ca804dc0679361b40d50f66f
Description-en: Perl Template Attribute Language - TAL for perl
 Petal is an XML based templating engine that is able to process any kind of
 XML, XHTML and HTML.
 .
 Petal borrows a lot of good ideas from the Zope Page Templates TAL
 specification, it is very well suited for the creation of WYSIWYG XHTML
 editable templates.

Package: libpetal-utils-perl
Description-md5: 31755fd2f020f11be6f1b9af72218412
Description-en: Useful template modifiers for Petal
 Petal::Utils contains commonly used Petal modifiers (or plugins),
 and bundles them with an easy-to-use installation interface.
 .
 Petal is an XML based templating engine that is able to process any kind of
 XML, XHTML and HTML.

Package: libpetsc-complex-dev
Description-md5: 8f875d2e33f062bf8a5090f431f3003d
Description-en: Virtual package depending on latest PETSc development package
 PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite
 of data structures and routines for the scalable (parallel) solution of
 scientific applications modeled by partial differential equations.  It employs
 the MPI standard for all message-passing communication.  Several sample
 scientific applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This is a dummy package which depends on the most recent version of
 libpetsc-complexX-dev with complex number support (X=version).

Package: libpetsc-complex3.12
Description-md5: d6f3a52207530b3d6d157c9abda3519a
Description-en: Shared libraries for version 3.12 of PETSc with Complex Numbers
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package contains the PETSc 3.12 shared library, supporting
 complex numbers.
 .
 It provides soname libpetsc-complex3.12

Package: libpetsc-complex3.12-dbg
Description-md5: 8c3959dd6ee0dac6b374fbd5ea9d7d9f
Description-en: Debugging libraries for PETSc
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package provides the development files and debugging libraries for
 debugging applications using PETSc 3.12 with complex number support.
 .
 Libraries include unstripped symbols, but this package is also built with
 internal PETSc debugging activated.

Package: libpetsc-complex3.12-dev
Description-md5: f6da1c204d297485a3805f1474c500b2
Description-en: Static libraries, shared links, header files for PETSc
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package provides the development files for building applications
 using PETSc 3.12 with support for complex numbers.
 .
 Note HYPRE is not supported with PETSc complex.

Package: libpetsc-real-dev
Description-md5: 0825f2bf23862044ae0b2d06e81d473f
Description-en: Virtual package depending on latest PETSc development package
 PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite
 of data structures and routines for the scalable (parallel) solution of
 scientific applications modeled by partial differential equations.  It employs
 the MPI standard for all message-passing communication.  Several sample
 scientific applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This is a dummy package which depends on the most recent version of
 libpetsc-realX-dev with real number support (X=version).

Package: libpetsc-real3.12
Description-md5: 072db2476b82d0810346f2b49d1355fd
Description-en: Shared libraries for version 3.12 of PETSc
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package contains the PETSc 3.12 shared library for real numbers.
 .
 It provides soname libpetsc-real3.12

Package: libpetsc-real3.12-dbg
Description-md5: 8c6a4f9892fc9cb076bc7edaba302972
Description-en: Debugging libraries for PETSc
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package provides the development files and debugging libraries for
 debugging applications using PETSc 3.12 with real numbers.
 .
 Libraries include unstripped symbols, but this package is also built with
 internal PETSc debugging activated.

Package: libpetsc-real3.12-dev
Description-md5: 733166c0c9fc65395a9ade53d7ba9ba9
Description-en: Static libraries, shared links, header files for PETSc
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package provides the development files for building applications
 using PETSc 3.12 with real numbers.

Package: libpetsc3.12-dev-common
Description-md5: 766d9879abaedc536a9c974c2fed7da9
Description-en: Common header and support dev files for PETSc
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package provides header and other support files common to the
 various dev packages (with real or complex number support) for PETSc 3.12.

Package: libpetsc3.12-dev-examples
Description-md5: 74558fd9820fd033e7871251d449156e
Description-en: Static libraries, shared links, header files for PETSc
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package provides example, test, and tutorial scripts for PETSc 3.12.

Package: libpff-dev
Description-md5: 7c6799e13fd19c9b3ac50d16ac36f3b9
Description-en: library to access various ms outlook files formats (development)
 Libpff is a library to access Personal Folder File (PFF) and Offline Folder
 File (OFF) formats. Those files formats are used by MS Outlook in PAB
 (Personal Address Book), PST (Personal Storage Table) and OST
 (Offline Storage Table) files.
 .
 This package contains the development files.

Package: libpff1
Description-md5: a67c2cedaed212753eb102ce4b65a8d9
Description-en: library to access various ms outlook files formats
 Libpff is a library to access Personal Folder File (PFF) and Offline Folder
 File (OFF) formats. Those files formats are used by MS Outlook in PAB
 (Personal Address Book), PST (Personal Storage Table) and OST
 (Offline Storage Table) files.

Package: libpfqueue-dev
Description-md5: 951262b723c14a141f2f71824c1ca358
Description-en: interactive console-based tool to control MTA queues (development)
 pfqueue is a queue manager for different MTAs (currently postfix and exim),
 allowing to delete, hold, release, or requeue messages.
 .
 This package contains the development files.

Package: libpfqueue0
Description-md5: f626991f04c863055a13f1da97df27f8
Description-en: interactive console-based tool to control MTA queues (library)
 pfqueue is a queue manager for different MTAs (currently postfix and exim),
 allowing to delete, hold, release, or requeue messages.
 .
 This package contains the libraries.

Package: libpfs-dev
Description-md5: 857f9f662fdc40b991ad669389a628df
Description-en: C++ library to read and write pfs files (development files)
 PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate
 existing file formats by providing a simple data format that can be used to
 exchange data between applications. This library can be used to write custom
 applications that can integrate with the existing pfstools programs.
 .
 This package contains the header files and the static library.

Package: libpfs2
Description-md5: 3f4fb3b66eeb1617b63ec44b7ac6de83
Description-en: C++ library to read and write pfs files
 PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate
 existing file formats by providing a simple data format that can be used to
 exchange data between applications. This library can be used to write custom
 applications that can integrate with the existing pfstools programs.
 .
 This package contains the shared library.

Package: libpg-hstore-perl
Description-md5: 135217ea1e5cb990e8d00a5823b0c53c
Description-en: Perl module for working with PostgreSQLs HSTORE data type
 Pg::hstore decodes and encodes PostgreSQLs HSTORE data type into/from Perl
 hash refs.
 .
 This module was previously known as DBD::Pg::hstore, but has been renamed
 to Pg::hstore because it's not DBD specific.

Package: libpg-perl
Description-md5: 32d12efd3e69f23b14f499f2d75a1780
Description-en: Perl modules for PostgreSQL
 This library enables Perl scripts to communicate with the PostgreSQL database
 backend.
 .
 Perl is a widely used scripting language, which is always installed in Debian
 systems.

Package: libpgf-dev
Description-md5: a941972740fd627952445e0807ed0611
Description-en: Progressive Graphics File (PGF) library - development files
 libpgf is a library implementing an interface for handling
 PGF (Progressive Graphic File) format files.
 .
 This package contains files needed for development.

Package: libpgf6
Description-md5: 471071eb7a82ca163d62d7337948a3c6
Description-en: Progressive Graphics File (PGF) library - runtime files
 libpgf is a library implementing an interface for handling
 PGF (Progressive Graphic File) format files.
 .
 This package contains runtime library files needed to run
 software using libpgf.

Package: libpgf6-dbg
Description-md5: dae774cc49843fedc3648439ac55c1df
Description-en: Progressive Graphics File (PGF) library - debugging symbols
 libpgf is a library implementing an interface for handling
 PGF (Progressive Graphic File) format files.
 .
 This package contains debugging symbols.

Package: libpgm-5.2-0
Description-md5: 46e778aa0963aa92ffc1db02a8b200a9
Description-en: OpenPGM shared library
 OpenPGM is an open source implementation of the Pragmatic General Multicast
 (PGM) specification in RFC 3208 available at www.ietf.org. PGM is a reliable
 and scalable multicast protocol that enables receivers to detect loss,
 request retransmission of lost data, or notify an application of
 unrecoverable loss. PGM is a receiver-reliable protocol, which means the
 receiver is responsible for ensuring all data is received, absolving the
 sender of reception responsibility. PGM runs over a best effort datagram
 service, currently OpenPGM uses IP multicast but could be implemented above
 switched fabrics such as InfiniBand.
 .
 This is the runtime package for programs that use the OpenPGM library.

Package: libpgm-dev
Description-md5: c37f162932a99cac3ff26b9610f4fc78
Description-en: OpenPGM development files
 OpenPGM is an open source implementation of the Pragmatic General Multicast
 (PGM) specification in RFC 3208 available at www.ietf.org. PGM is a reliable
 and scalable multicast protocol that enables receivers to detect loss,
 request retransmission of lost data, or notify an application of
 unrecoverable loss. PGM is a receiver-reliable protocol, which means the
 receiver is responsible for ensuring all data is received, absolving the
 sender of reception responsibility. PGM runs over a best effort datagram
 service, currently OpenPGM uses IP multicast but could be implemented above
 switched fabrics such as InfiniBand.
 .
 This is the development package which contains headers and static libraries
 for the OpenPGM library.

Package: libpgobject-perl
Description-md5: 3e71584b2d52f4717a23cebd6bf1bbbf
Description-en: base class for PG Object subclasses
 PGObject contains the base routines for object management using discoverable
 stored procedures in PostgreSQL databases. PGObject contains only common
 functionality and support structures, and low-level API's. Most developers
 will want to use more functional modules which add to these functions.
 .
 The overall approach here is to provide the basics for a toolkit that other
 modules can extend. This is thus intended to be a component for building
 integration between PostgreSQL user defined functions and Perl objects.
 .
 Because decisions such as state handling are largely outside of the scope of
 this module, this module itself does not do any significant state handling.
 Database handles (using DBD::Pg 2.0 or later) must be passed in on every
 call. This decision was made in order to allow for diversity in this area,
 with the idea that wrapper classes would be written to implement this.

Package: libpgobject-simple-perl
Description-md5: 8148effd76039760baa1440bcade7f71
Description-en: minimalist stored procedure mapper based on LedgerSMB's DBObject
 PGObject::Simple is a minimalist framework for mapping stored procedures in
 PostgreSQL to object methods. The framework is truly minimalist and hence the
 "Simple" designation (in fact the module contains less than 50 lines of code,
 and the code is dwarfed by both POD and test cases). It is intended to be of
 use for developers wishing for such a minimalist framework and those who may
 want to have a reference for how to build such a mapping framework themselves.
 .
 The framework lends itself to a few specific antipatterns. Objects can become
 ill-formed, overly nebulous, or the like. It is thus very important when using
 this for actual development to ensure that acceptable data structures are well
 documented and that these are adhered to.
 .
 This module is based on a simple idea, namely that stored procedures can tell
 application classes how to call them. See the POD for specific information and
 guidelines.

Package: libpgobject-simple-role-perl
Description-md5: 7215b29a87631d6f72aa5765dcf1b951
Description-en: Moo/Moose mappers for minimalist PGObject framework
 PGObject::Simple::Role is a Moo/Moose mapper for minimalist PGObject framework
 (embodied in PGObject::Simple).  Basically it provides a way to easily map
 stored procedures using the conventions of PGObject::Simple for Moo/Moose
 environments, allowing you to use that functionality in those environments.
 The role itself makes no assumptions about database state, but provides hooks
 for classes to use to retrieve or create database handles for their use.
 .
 This module is suited to quick and easy integration of PostgreSQL stored
 procedures with Moo and Moose object models. It is quite powerful and it makes
 developing in such environments relatively easy.

Package: libpgobject-type-bigfloat-perl
Description-md5: 0baa081e777d1e998c604aca75da7ba1
Description-en: Math::BigFloat wrappers for PGObject classes
 PGObject::Type::BigFloat provides a PGObject-aware wrapper around
 Math::BigFloat. This can then be the basis of "double-facing" classes sitting
 between user interfaces and the database. Once registered (optionally in a
 registry, which must already exist), it grabs all NUMERIC and FLOAT types and
 returns these as Math::BigFloat-compatible objects.

Package: libpgobject-type-bytestring-perl
Description-md5: a9946d0a49440741942c7141ed8c49cb
Description-en: wrapper for raw strings mapping to BYTEA columns
 PGObject::Type::ByteString provides a basic wrapper around Perl strings,
 mapping them to a BYTEA field.

Package: libpgobject-type-datetime-perl
Description-md5: 6ea5cece4cd0bfecbd38f41a0daa3d8f
Description-en: DateTime Wrappers for PGObject
 PGObject::Type::DateTime provides a basic wrapper around DateTime to allow
 PGObject-framework types to automatically tie date/time related objects, but
 date and timestamp formats are handled in the 'from_db' routines.
 .
 This specific module only supports the ISO YMD datestyle. The MDY or DMY
 datestyles may be usable in future versions but datestyles other than ISO
 raise ambiguity issues, sufficient that they cannot always even be used in
 PostgreSQL as input.
 .
 This module also provides basic default handling. Times are assigned a date
 of '0001-01-01' and dates are assigned a time of midnight. Whether this is
 set is persisted, along with whether timezones are set, and these are
 returned to a valid ISO YMD format on export, if a date component was
 initially set.
 .
 This means you can use this for general math without worrying about many of
 the other nicities. Parsing ISO YMD dates and standard times (24 hr format)
 is supported via the from_db interface, which also provides a useful way of
 handing dates in.

Package: libpgobject-type-json-perl
Description-md5: 940e9fd4e8131569961f1f157af23746
Description-en: JSON wrappers for PGObject
 PGObject::Type::JSON is a type handler for JSON entities. This is a best
 effort mapping of types to references. Literal values are handled as literal
 references. It allows json types or other (specified by custom register) types
 to be converted from JSON into objects according to their values. This module
 assumes that encoding will be in UTF8 across the board and is not safe to use
 with other database encodings.

Package: libpgobject-util-dbadmin-perl
Description-md5: 88eb31a5cff24c18f320646dd1f153e1
Description-en: PostgreSQL Database Management Facilities for PGObject
 The PGObject::Util::DBAdmin module provides an interface to the basic Postgres
 DB manipulation utilities including the following functions: server_version,
 list_dbs, create, run_file, backup, backup_globals, restore, & drop.

Package: libpgobject-util-dbchange-perl
Description-md5: dcc87f50225e5f4b855f6cc40fa3dc13
Description-en: module to track applied change files in the PostgreSQL database
 The PGObject::Util::DBChange Perl Module allows one to track applied change
 files in a PostgreSQL database, using the sha hash of the normalized content
 (comments and whitespace lines stripped) of the file to track its identity.

Package: libpgobject-util-dbmethod-perl
Description-md5: 3cdd5a32eadc95328efa3588e411cf6d
Description-en: object mappings for the PGObject Framework
 PGObject::Util::DBMethod provides syntactic sugar which allows for declarative
 mapping of stored procedures to supported PGObject paradigms.  It is designed
 to work initially with PGObject::Simple, but will almost certainly be supported
 with PGObject::CompositeType when this is released.
 .
 Please see the POD/Man page for detailed information.

Package: libpgobject-util-pseudocsv-perl
Description-md5: 9f2af1c81deeb4f755a9ac080677a8f8
Description-en: PostgreSQL text representations parser
 PostgreSQL can represent tuples and arrays in a text format that is almost
 like CSV. Unfortunately this format has a number of gotchas which render
 existing CSV-parsers useless. PGObject::Util::PseudoCSV provides basic
 parsing functions to other programs for db interface purposes. With this
 module you can both parse pseudocsv representations of tuples and arrays and
 you can create them from a list.
 .
 The API's here assume you send one (and only one) pseudo-csv record to the
 API at once. These may be nested, so a single tuple can contain arrays of
 tuples which can contain arrays of tuples ad infinitum but the parsing only
 goes one layer deep tuple-wise so that handling classes have an opportunity to
 re-parse with appropriate type information. Naturally this has performance
 implications, so depth in SQL structures passed should be reasonably limited.

Package: libpgocaml-ocaml
Description-md5: 6093705cfad4057e7dd443b8a8ccbe6c
Description-en: OCaml type-safe bindings for PostgreSQL (runtime)
 PG'OCaml provides an interface to PostgreSQL databases for OCaml applications.
 It uses Camlp4 to extend the OCaml syntax, enabling one to directly embed SQL
 statements inside the OCaml code. Moreover, it uses the describe feature of
 PostgreSQL to obtain type information about the database. This allows PG'OCaml
 to check at compile-time if the program is indeed consistent with the database
 structure. This type-safe database access is the primary advantage that
 PG'OCaml has over other PostgreSQL bindings for OCaml.
 .
 This package contains dynamically loadable plugins for PG'OCaml.

Package: libpgocaml-ocaml-dev
Description-md5: 8ce3441ca051da9d82af3217ad79aac9
Description-en: OCaml type-safe bindings for PostgreSQL (development)
 PG'OCaml provides an interface to PostgreSQL databases for OCaml applications.
 It uses Camlp4 to extend the OCaml syntax, enabling one to directly embed SQL
 statements inside the OCaml code. Moreover, it uses the describe feature of
 PostgreSQL to obtain type information about the database. This allows PG'OCaml
 to check at compile-time if the program is indeed consistent with the database
 structure. This type-safe database access is the primary advantage that
 PG'OCaml has over other PostgreSQL bindings for OCaml.
 .
 This package contains development files for PG'OCaml.

Package: libpgp-sign-perl
Description-md5: 3272ca51cbeb7d0dd8bcef3195df4528
Description-en: Perl module to create detached PGP signatures
 PGP::Sign creates and checks detached PGP signatures for textual data
 using GnuPG.  Other PGP implementations are also supported with run-time
 configuration.  Secret key passphrases are passed via a pipe, and no
 temporary files are required for signature generation (but are for
 signature verification).

Package: libpgplot0
Description-md5: 31c39ebc5d695f1ee2ee67c33d272e42
Description-en: PGPLOT replacement shared lib based on giza
 Giza is a lightweight scientific plotting library built on top of cairo that
 provides uniform output to multiple devices. Giza also provides a
 drop-in, modern replacement for the PGPLOT graphics library.
 .
 This package provides a free replacement for the PGPLOT shared lib.

Package: libpgpool-dev
Description-md5: e7072bbc9ee688a1df4b094d04b278ed
Description-en: pgpool control protocol library - headers
 pgpool-II is a middleware that works between PostgreSQL servers and a
 PostgreSQL database client.  This package contains headers for the pgpool
 control protocol library (libpcp).

Package: libpgpool0
Description-md5: 2cd55b5780fe90e7a4c652bb45f02e47
Description-en: pgpool control protocol library
 pgpool-II is a middleware that works between PostgreSQL servers and a
 PostgreSQL database client.  This package contains the pgpool control
 protocol library (libpcp).

Package: libpgraphutil-smlnj
Description-md5: 0cf888cac32c70386d1035109950738e
Description-en: Portable graph utility library for SML Compilation Manager
 Utility routines for use with portable dependency graphs. This
 package contains support to build list-of-edges dependency graphs and
 convert them back into valid SML code.

Package: libpgsbox7
Description-md5: 40154db248a195ca9c8964593aa4bdfb
Description-en: Draw and label curvilinear coordinate grids with pgplot
 PGSBOX draws and labels a curvilinear coordinate grid.  The caller
 must provide a separate external function, NLFUNC, to define the
 non-linear coordinate transformation.

Package: libpgtcl
Description-md5: 0a071edc2fb4b55293485317e8770d65
Description-en: Tcl client library binding for PostgreSQL
 This library provides Tcl bindings to the PostgreSQL client library (libpq),
 which is used to communicate with the PostgreSQL database server.
 .
 PostgreSQL is an object-relational SQL database management system.
 Tcl is a widely used and easily extended interpreted language.

Package: libpgtcl-dev
Description-md5: 9b04ca5fe0437bfcd022813a39c6558b
Description-en: Tcl client library binding for PostgreSQL - development files
 This library provides Tcl bindings to the PostgreSQL client library (libpq),
 which is used to communicate with the PostgreSQL database server.
 This package contains the static library and header files.
 .
 PostgreSQL is an object-relational SQL database management system.
 Tcl is a widely used and easily extended interpreted language.

Package: libphat-dev
Description-md5: 9cc3b15a21b37b7613f2b9d605fed34f
Description-en: C++ library for boundary matrix reductions over Z/2Z
 PHAT is a header-only C++ library for performing the filtered Z/2Z
 (co)boundary matrix operations commonly needed when computing
 (persistent) (co)homology in topological data analysis.
 .
 This package contains the header files. A standalone program exposing
 some of the features is available in phat-utils.

Package: libphobos2-ldc-shared-dev
Description-md5: 6d57c0ce5d591dcb28e4af1d7b90b5b1
Description-en: LLVM D Compiler - Standard and runtime libraries, imports
 LDC is a portable compiler for the D programming language with modern
 optimization and code generation capabilities.
 It is based on the latest DMD frontend and uses LLVM as backend.
 .
 This package contains D imports for the Phobos standard library,
 D runtime and LDC JIT.
 It also contains debug builds of the libraries, to make finding
 issues easier while developng with LDC.

Package: libphobos2-ldc-shared90
Description-md5: 5777ddd0d5fdeb4f3f541af7c9f87df2
Description-en: LLVM D Compiler - Standard and runtime libraries
 LDC is a portable compiler for the D programming language with modern
 optimization and code generation capabilities.
 It is based on the latest DMD frontend and uses LLVM as backend.
 .
 This package contains the Phobos D standard library, D runtime library
 and LDC JIT library.

Package: libphodav-2.0-0
Description-md5: 0cfb5907a243adfcd233ba5999d25a79
Description-en: WebDAV server implementation using libsoup
 phởdav is a WebDAV server implementation using libsoup (RFC 4918).

Package: libphodav-2.0-common
Description-md5: bc338a5585feda03fa3c041d0e3a00b2
Description-en: WebDAV server implementation using libsoup (common files)
 phởdav is a WebDAV server implementation using libsoup (RFC 4918).
 .
 This package contains the translations files.

Package: libphodav-2.0-dev
Description-md5: 5ad2db8c16d269c859893e2ac42fc93b
Description-en: WebDAV server implementation using libsoup (development files)
 phởdav is a WebDAV server implementation using libsoup (RFC 4918).
 .
 This package contains the development files.

Package: libphonenumber7-java
Description-md5: 5c4f6b4e2ca96ba0504b6d60e4232276
Description-en: parsing/formatting/validating phone numbers - java
 Google's common C++ and Java library for parsing, formatting, storing and
 validating international phone numbers.
 .
 This package contains the runtime library JARs for Java users.

Package: libphonon4qt5-4
Description-md5: eb7be7fabd46114c915e41a45ed1852b
Description-en: multimedia framework from KDE using Qt 5 - core library
 Phonon is a Qt 5 multimedia API, which provides a task-oriented abstraction
 layer for capturing, mixing, processing, and playing audio and video content.
 .
 This package contains the core library of the Phonon cross-platform multimedia
 framework from KDE. It is required to run applications that use Phonon.

Package: libphonon4qt5-data
Description-md5: a0fbb0a600c018d968e3c5bf29b12e63
Description-en: multimedia framework from KDE using Qt 5 - core library data
 Phonon is a Qt 5 multimedia API, which provides a task-oriented abstraction
 layer for capturing, mixing, processing, and playing audio and video content.
 .
 This package contains the shared data for the core Phonon library.

Package: libphonon4qt5-dev
Description-md5: 518cde877f4ecd37a5c64945a7ed8c9c
Description-en: multimedia framework from KDE using Qt 5 - development files
 Phonon is a Qt 5 multimedia API, which provides a task-oriented abstraction
 layer for capturing, mixing, processing, and playing audio and video content.
 .
 This package contains the development files needed to build Qt 5 applications
 using the Phonon cross-platform multimedia framework.

Package: libphonon4qt5experimental-dev
Description-md5: 02b0850cd92ed16b7257d2225bb2a0d3
Description-en: multimedia framework from KDE using Qt 5 - experimental development files
 Phonon is a Qt 5 multimedia API, which provides a task-oriented abstraction
 layer for capturing, mixing, processing, and playing audio and video content.
 .
 This package contains the development files needed to build Phonon back-ends
 or internal applications which need the Phonon-Experimental library.
 Third-party applications should not depend on this library. Please read
 README.Debian if you are considering a build-dependency on this package.

Package: libphonon4qt5experimental4
Description-md5: 59a3de9d2e24d2d36beb2c51a3fd9032
Description-en: multimedia framework from KDE using Qt 5 - experimental library (internal)
 Phonon is a Qt 5 multimedia API, which provides a task-oriented abstraction
 layer for capturing, mixing, processing, and playing audio and video content.
 .
 This package contains the Phonon-Experimental library, which might be needed
 by Phonon back-ends or other internal applications. Third-party applications
 should not depend on this library. Please read README.Debian before using this
 library.

Package: libphotos202-1-gfortran
Description-md5: 7a00936f18858ad840b3872b155d85a5
Description-en: [Physics] Monte Carlo simulation of photon radiation in decays
 The PHOTOS library simulates QED photon radiative corrections in
 electromagnetic decays.  The library is universal; it allows for easy
 interface with any program generating decays of any particle.  It can be
 used to estimate the size of the QED bremsstrahlung in leading-logarithmic
 approximation.  The proper soft photon behavior is also reproduced.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libphotos202-dev package.

Package: libphotos202-dev
Description-md5: c3286e0d290df8f4d40d51fa8c805743
Description-en: [Physics] Monte Carlo simulation of photon radiation in decays
 The PHOTOS library simulates QED photon radiative corrections in
 electromagnetic decays.  The library is universal; it allows for easy
 interface with any program generating decays of any particle.  It can be
 used to estimate the size of the QED bremsstrahlung in leading-logarithmic
 approximation.  The proper soft photon behavior is also reproduced.
 .
 This is the static version of the library.

Package: libphp-adodb
Description-md5: 2377d690aaafb4578a3d228030eea689
Description-en: ADOdb is a PHP database abstraction layer library
 A library for PHP, to allow for a universal way to access databases.
 ADOdb stands for Active Data Objects Data Base. Currently supported databases
 are MySQL, Interbase, Sybase, PostgreSQL, Oracle, Microsoft SQL server, Foxpro
 ODBC, Access ODBC, Informix, DB2, Sybase SQL Anywhere, generic ODBC and
 Microsoft's ADO.

Package: libphp-embed
Description-md5: 1a81e962eb002d80f2e3fed50156cba2
Description-en: HTML-embedded scripting language (Embedded SAPI library) (default)
 This package provides the library /usr/lib/libphp.so which can
 be used by application developers to embed PHP scripting functionality.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on latest stable
 PHP version (currently 7.4).

Package: libphp-jabber
Description-md5: e8d6034a0e4e78f4c839cd2826067356
Description-en: Object-oriented PHP interface for the Jabber/XMPP protocol
 Class.Jabber.PHP is an object oriented solution to make Jabber connectivity
 for your website, bot, or even IM client as simple as possible when using PHP.
 CJP gives you complete abstraction from Jabber/XMPP so that you don't have
 to worry about API to the server, and instead focus on the quality of the
 Jabber features that you are implementing.

Package: libphp-jpgraph
Description-md5: c9831eb177883d0d61891a683d8e7ee9
Description-en: Object oriented graph library for php
 JpGraph is an object oriented class library for php. JpGraph makes
 it easy to draw both "quick and dirty" graphs with a minimum of code
 and complex professional graphs which requires a very fine grain
 control. JpGraph is equally well suited for both scientific and
 business type of graphs. Though claimed as non php works perfectly
 for all samples with php. This is the last GPLed version,
 more recent version are non DFSG complianti (See copyright file).

Package: libphp-jpgraph-examples
Description-md5: e2bca41ba340850eeb1df9d3fa856e9f
Description-en: Object oriented graph library for php (examples)
 JpGraph is an object oriented class library for php. JpGraph makes
 it easy to draw both "quick and dirty" graphs with a minimum of code
 and complex professional graphs which requires a very fine grain
 control. JpGraph is equally well suited for both scientific and
 business type of graphs. Though claimed as non php works perfectly
 for all samples with php. This is the last GPLed version,
 more recent version are non DFSG complianti (See copyright file).
 .
 This package contains examples for using JpGraph library.

Package: libphp-magpierss
Description-md5: 428efeb51d467e4eb6772a527c05e362
Description-en: provides an XML-based RSS parser in PHP
 MagpieRSS is an XML-based RSS parser in PHP.  It attempts to be "PHP-like",
 and simple to use.
 .
 Some features include:
 .
  * supports RSS 0.9 - 1.0, with limited RSS 2.0 support
  * supports namespaces, and modules, including mod_content and mod_event
  * open minded
  * simple, functional interface, to object oriented backend parser
  * automatic caching of parsed RSS objects makes its easy to integrate
  * supports conditional GET with Last-Modified, and ETag
  * uses constants for easy override of default behaviour
  * heavily commented

Package: libphp-phpmailer
Description-md5: 18eaabdfa1cab6ca0bca6b9f5d84461c
Description-en: full featured email transfer class for PHP
 Many PHP developers utilize email in their code. The only PHP function
 that supports this is the mail() function. However, it does not provide
 any assistance for making use of popular features such as HTML-based
 emails and attachments.
 .
 Class Features:
  - Integrated SMTP support - send without a local mail server
  - Send emails with multiple TOs, CCs, BCCs and REPLY-TOs
  - Multipart/alternative emails for mail clients that do not read
    HTML email
  - Support for UTF-8 content and 8bit, base64, binary, and
    quoted-printable encodings
  - SMTP authentication with LOGIN, PLAIN, NTLM and CRAM-MD5 mechanisms
    over SSL and TLS transports
  - Error messages in 47 languages
  - DKIM and S/MIME signing support

Package: libphp-predis
Description-md5: 89d8866579519ce5a826cbe4dcccace1
Description-en: Flexible and feature-complete PHP client library for the Redis key-value store
 The library does not require any additional extension loaded in PHP but it can
 be optionally paired with the phpiredis (https://github.com/nrk/phpiredis)
 C-based extension to lower the overhead of serializing and parsing the Redis
 protocol. Predis is also available in an asynchronous fashion through the
 experimental client provided by the Predis\Async
 (http://github.com/nrk/predis-async) library.
 .
 For a list of frequently asked questions about Predis see our FAQ
 (https://github.com/nrk/predis/blob/master/FAQ.md). More details are available
 on the official wiki (http://wiki.github.com/nrk/predis) of the project.

Package: libphp-serialization-perl
Description-md5: 983f3ce722457a28e7f6d86cc3d1f9fd
Description-en: Perl module to manipulate serialized PHP data structures
 PHP::Serialization provides a simple means of serializing Perl data structures
 (including object data) into PHP's serialized data format. It can also process
 this data as input, in order to read serialized PHP data structures into their
 Perl equivalents. Numeric arrays are mapped to arrays in Perl and vice-versa.
 Similarly, arrays which contain string keys are mapped to hashes in Perl.

Package: libphp-simplepie
Description-md5: 193f7e12b6027569dd4f18c31bd9bcff
Description-en: RSS and Atom feed parsing in PHP
 SimplePie is a very fast and easy-to-use class, written in PHP,
 that puts the 'simple' back into 'really simple syndication
 .
 Simplepie is an easy to use API that handles all of the dirty work
 when it comes to fetching, caching, parsing, normalizing data structures
 between RSS and Atom formats, handling character encoding translation,
 and sanitizing the resulting data

Package: libphp-snoopy
Description-md5: 3a02ec47f93e21ecdc36bb18df024463
Description-en: Snoopy is a PHP class that simulates a web browser
 It automates the task of retrieving web page content and posting forms,
 for example.
 .
 Some of Snoopy's features:
 .
  * easily fetch the contents of a web page
  * easily fetch the text from a web page (strip html tags)
  * easily fetch the links from a web page
  * supports proxy hosts
  * supports basic user/pass authentication
  * supports setting user_agent, referer, cookies and header content
  * supports browser redirects, and controlled depth of redirects
  * expands fetched links to fully qualified URLs (default)
  * easily submit form data and retrieve the results
  * supports following html frames (added v0.92)
  * supports passing cookies on redirects (added v0.92)

Package: libphp-swiftmailer
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: libphp7.4-embed
Description-md5: c4113b52b74b776814070213fb2828a8
Description-en: HTML-embedded scripting language (Embedded SAPI library)
 This package provides the library /usr/lib/libphp7.4.so which can
 be used by application developers to embed PHP scripting functionality.
 .
 The following extensions are built in: Core date filter hash libxml openssl
 pcntl pcre Reflection session sodium SPL standard zlib.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 WARNING: The embed SAPI is experimental and there's no guarantee that
 the API/ABI will be kept compatible even between minor releases.  You
 have been warned.

Package: libphtools2-dev
Description-md5: e5fe86dae6ba8739b1d68d39569f3e87
Description-en: [Physics] General purpose Monte Carlo routines (development files)
 This library contains three main routines.  FOWL allows calculation of phase
 space distributions arising from particle interactions, generated in a
 Lorentz-invariant way.  GENBOD is an N-body event generator, taking as
 input the center-of-mass energy, number and masses of outgoing particles.
 WICO traces a ray geometrically in a "Winston Cone" light concentrator.
 .
 This is the static version of the library.

Package: libphtools2-gfortran
Description-md5: 99de4e8072d79085892363cafa7359f7
Description-en: [Physics] General purpose Monte Carlo routines
 This library contains three main routines.  FOWL allows calculation of phase
 space distributions arising from particle interactions, generated in a
 Lorentz-invariant way.  GENBOD is an N-body event generator, taking as
 input the center-of-mass energy, number and masses of outgoing particles.
 WICO traces a ray geometrically in a "Winston Cone" light concentrator.
 .
 Note that in order to compile and link programs against this library, you
 must also install the libphtools2-dev package.

Package: libphutil
Description-md5: 6fea6067b9aaefe550d0d5eb0070ce4b
Description-en: Shared library for Arcanist and Phabricator
 libphutil (pronounced as "lib-futile", like the English word futile)
 is principally the shared library for Arcanist and Phabricator,
 but is suitable for inclusion in other projects.
 In particular, some of the classes provided in this library improve the state
 of common operations in PHP, like executing system commands.

Package: libphysfs-dev
Description-md5: 78f64f68fcaa03166671767c2a500825
Description-en: filesystem abstraction library for game programmers (development headers)
 The PhysicsFS filesystem abstraction library provides a simple C
 interface to aid game programmers in utilizing game assets packaged in
 many different types of archive files.
 .
 This package contains the development libraries and headers.

Package: libphysfs1
Description-md5: 690cc5acdd4c5a562184ca3d5289cd66
Description-en: filesystem abstraction library for game programmers
 The PhysicsFS filesystem abstraction library provides a simple C
 interface to aid game programmers in utilizing game assets packaged in
 many different types of archive files.

Package: libpiano-dev
Description-md5: 352089b6067a0296fa1f3e7b887aa9e0
Description-en: library to interface with Pandora radio -- development
 libpiano is a cross-platform library to interface with the personalized
 web radio Pandora, supporting all important features the official Flash™
 client has:
 .
    * Create, delete, rename stations and add more music
    * Rate and temporary ban tracks as well as move them to another station
    * “Shared stations”
 .
 and some that it does not have (yet):
 .
    * last.fm scrobbling
    * Proxy support for use in areas not supported by Pandora (outside the USA)
 .
 You need an account in order to use this player, so please consider
 create one for free before using pianobar at https://pandora.com.
 .
 This package provides the development files used to build application
 using libpiano.

Package: libpiano0
Description-md5: dda0df4ca5daff0335b488c603434d2c
Description-en: library to interface with Pandora radio -- runtime files
 libpiano is a cross-platform library to interface with the personalized
 web radio Pandora, supporting all important features the official Flash™
 client has:
 .
    * Create, delete, rename stations and add more music
    * Rate and temporary ban tracks as well as move them to another station
    * “Shared stations”
 .
 and some that it does not have (yet):
 .
    * last.fm scrobbling
    * Proxy support for use in areas not supported by Pandora (outside the USA)
 .
 You need an account in order to use this player, so please consider
 create one for free before using pianobar at https://pandora.com.
 .
 This package provides the runtime files used to run application
 built against libpiano.

Package: libpicard-java
Description-md5: fd011bcd3681f58c02bb5072a25e58bc
Description-en: Java library to manipulate SAM and BAM files
 SAM (Sequence Alignment/Map) format is a generic format for storing
 large nucleotide sequence alignments. This library provides classes to
 manipulate SAM and BAM files.
 .
 A command line wrapper for this library is provided in the picard-tools
 package.

Package: libpicard-java-doc
Description-md5: 2ec6c008270f52537984bd109df9341e
Description-en: Documentation for the java picard library
 SAM (Sequence Alignment/Map) format is a generic format for storing
 large nucleotide sequence alignments. The picard java library provides classes
 to manipulate SAM and BAM files.
 .
 This package contains the javadoc of the picard java library.

Package: libpiccolo-java
Description-md5: 65c0e7ead052c30e894f4ce7280edbff
Description-en: toolkit for development of 2D structured graphics
 Piccolo is a toolkit for creating graphical applications in Java,
 with visual effects such as zooming, animation and multiple
 representations. It supports the development of 2D structured graphics
 programs, in general, and Zoomable User Interfaces (ZUIs), in particular.
 .
 Piccolo allows programmers to build structured graphical applications
 without worrying about the low level details. The infrastructure provides
 efficient repainting of the screen, bounds management, event handling and
 dispatch, picking (determining which visual object the mouse is over),
 animation, layout, zooming, and more.

Package: libpicocli-java
Description-md5: c8a615df773383502dae2ab4429d938c
Description-en: Tiny command line interpreter library for Java applications
 Picocli is a one-file framework for creating Java command line applications
 with almost zero code. It supports a variety of command line syntax styles
 including POSIX, GNU, MS-DOS and more. Picocli generates highly customizable
 usage help messages with ANSI colors and styles. Picocli-based applications
 can have command line TAB completion showing available options, option
 parameters and subcommands, for any level of nested subcommands.

Package: libpicocontainer-java
Description-md5: b6512ed5df0c09d227cc9625e31951ac
Description-en: Java library implementing the Dependency Injection pattern
 PicoContainer is a highly embeddable full service Inversion of Control (IoC)
 container for components that honour the Dependency Injection pattern. It can
 be used as a lightweight alternative to Sun's J2EE patterns for web
 applications or general solutions.
 .
 Despite it being very compact in size, PicoContainer supports different
 dependency injection types (Constructor, Setter, Annotated Field and Method)
 and offers multiple lifecycle and monitoring strategies.

Package: libpicocontainer-java-doc
Description-md5: 1ac21ce199f1ce8ce62732d72a8026f9
Description-en: Java library implementing the Dependency Injection pattern -- docs
 PicoContainer is a highly embeddable full service Inversion of Control (IoC)
 container for components that honour the Dependency Injection pattern.
 .
 This package contains the Javadoc API documentation for libpicocontainer-java.

Package: libpicocontainer1-java
Description-md5: b40500b5643e6a8cf972f1765feaec13
Description-en: Java library implementing the Dependency Injection pattern
 PicoContainer is a highly embeddable full service Inversion of Control
 (IoC) container for components honour the Dependency Injection pattern.
 It can be used as a lightweight alternative to Sun's J2EE patterns for
 web applications or general solutions.
 .
 Despite it being very compact in size (the core is ~128K and it has no
 mandatory dependencies outside the JDK), PicoContainer supports
 different dependency injection types (Constructor, Setter, Annotated
 Field and Method) and offers multiple lifecycle and monitoring
 strategies.

Package: libpigpiod-if-dev
Description-md5: e08398d1777df211f9eb1cab857b1fcb
Description-en: Development headers for client libraries for Raspberry Pi GPIO control
 Pigpio is a set of software which allows control of the General Purpose Input
 Outputs (GPIO) locally or remotely. Currently only the client side is Packaged
 in Debian as the server side is incompatible with Debian kernels.
 .
 This package provides development headers for the libpigpiod_if2 and
 libpigpiod_if client libraries.

Package: libpigpiod-if1
Description-md5: 2ebd40e447ca63cc60272e0955a9485d
Description-en: Client library for Raspberry Pi GPIO control (deprecated)
 Pigpio is a set of software which allows control of the General Purpose Input
 Outputs (GPIO) locally or remotely. Currently only the client side is Packaged
 in Debian as the server side is incompatible with Debian kernels.
 .
 This package provides the deprecated libpigpiod_if client library

Package: libpigpiod-if2-1
Description-md5: 457dbea1dbad00b3316ff64212a791b9
Description-en: Client library for Raspberry Pi GPIO control
 Pigpio is a set of software which allows control of the General Purpose Input
 Outputs (GPIO) locally or remotely. Currently only the client side is Packaged
 in Debian as the server side is incompatible with Debian kernels.
 .
 This package provides the libpigpiod_if2 client library

Package: libpillowfight-dev
Description-md5: 0b1cf5901aa55a7abad1314ee69f7d94
Description-en: Various image processing algorithms library - development files
 Really simple C Library containing various image processing algorithms.
 It includes several image processing algorithms specialized for scanned paper
 described in the library package.
 .
 This package installs the development headers

Package: libpillowfight0
Description-md5: 2700890c579f14900e7070314bac5862
Description-en: Various image processing algorithms library
 Really simple C Library containing various image processing algorithms.
 It includes the following algorithms:
  - Unpaper's algorithms: Blackfilter, Noisefilter, Blurfilter, Masks,
 Grayfilter, Border
  - Canny edge detection
  - Sobel operator
  - Gaussian blur
  - ACE (Automatic Color Equalization ; Parallelized implementation)
  - SWT (Stroke Width Transformation)
  - Compare : Compare two images (grayscale) and makes the pixels that are
 different really visible (red).
  - Scan borders: Tries to detect the borders of a page in an image coming from
 a scanner.
 .
 This package installs the C library

Package: libpipewire-0.2-1
Description-md5: e2969992ab43c5c5e09a1dfbe958ff8c
Description-en: libraries for the PipeWire multimedia server
 PipeWire is a server and user space API to deal with multimedia
 pipelines. This includes:
 .
  - Making available sources of video (such as from a capture devices or
    application provided streams) and multiplexing this with clients.
  - Accessing sources of video for consumption.
  - Generating graphs for audio and video processing.

Package: libpipewire-0.2-dev
Description-md5: adab11c2f581969dc63418d0d8adafb1
Description-en: libraries for the PipeWire multimedia server - development
 PipeWire is a server and user space API to deal with multimedia
 pipelines. This includes:
 .
  - Making available sources of video (such as from a capture devices or
    application provided streams) and multiplexing this with clients.
  - Accessing sources of video for consumption.
  - Generating graphs for audio and video processing.
 .
 This package contains the development files.

Package: libpipewire-0.2-doc
Description-md5: ac1fedc70254d74581ec23c812f5e1a2
Description-en: libraries for the PipeWire multimedia server - documentation
 PipeWire is a server and user space API to deal with multimedia
 pipelines. This includes:
 .
  - Making available sources of video (such as from a capture devices or
    application provided streams) and multiplexing this with clients.
  - Accessing sources of video for consumption.
  - Generating graphs for audio and video processing.
 .
 This package contains the API reference.

Package: libpithub-perl
Description-md5: 83a107c694bea34ecf6377a7f81aec58
Description-en: Github v3 API
 Pithub is a Perl module to provide access to Github v3 API in an
 object oriented way.
 .
 This module does not support older version of Github API.

Package: libpixelif-common
Description-md5: 9d64e4519c3924a4ba962a103a53c2a6
Description-en: Provides localization and font data for libpixelif
 This package is required by all packages which are using libpixelif. It's
 usually not necessary to install this package manually and should be
 normally installed as a dependency for another package.

Package: libpixelif-dev
Description-md5: 9e0f7e300216a9d67ee81fe21267d070
Description-en: Interface translating fizmo output into pixel data
 This library transforms fizmo's raw Z-Machine output into pixel-based
 output operations. It greatly simplifies the implementation of a
 GUI-based Z-Machine interpreter and is used by the fizmo-sdl2 frontend.

Package: libpixelmed-codec-java
Description-md5: 0cd50202b570e88f30668b6ce79125f6
Description-en: some imaging codecs for pixelmed DICOM image and ECG viewer
 Pixelmed is a stand-alone DICOM toolkit that implements code for reading
 and creating DICOM data, DICOM network and file support, a database of
 DICOM objects, support for display of directories, images, reports and
 spectra, and DICOM object validation.
 .
 This package contains some file format codecs used by pixelmed but
 provided as a separate tarball.

Package: libpixelmed-java
Description-md5: f90f1efa84ffb080ca0823163f82166b
Description-en: DICOM implementation containing Image Viewer and a ECG Viewer
 This is a stand-alone DICOM toolkit that implements code for reading
 and creating DICOM data, DICOM network and file support, a database of
 DICOM objects, support for display of directories, images, reports and
 spectra, and DICOM object validation.

Package: libpixelmed-java-doc
Description-md5: ae54872f343ccba02584c10ced49640b
Description-en: DICOM implementation containing Image Viewer and a ECG Viewer - doc
 This is a stand-alone DICOM toolkit that implements code for reading
 and creating DICOM data, DICOM network and file support, a database of
 DICOM objects, support for display of directories, images, reports and
 spectra, and DICOM object validation.
 .
 This is the documentation package for libpixelmed-java

Package: libpixels-java
Description-md5: 289e8cc01aa5a0b4eeb561300215188a
Description-en: manipulation and filtering of images in Java
 A large number of Java Image filters which are all standard Java
 BufferedImageOps and can be plugged directly into existing programs.
 .
 Many of these filters are useful in applications such as games where images
 need to be generated on the fly, or where it's quicker to generate them rather
 than downloading them. For instance, it's quicker to download one image and
 rotate it several times than to download several separate images.
 .
 Another use for the filters is in animation. For example animating the Water
 Ripple filter can produce a nice rippling effect. Some of the filters have a
 time parameter for this purpose.
 .
 All of the filters are designed to work with TYPE_INT_ARGB images.
 .
 More info and samples can be found in
 http://www.jhlabs.com/ip/filters/index.html

Package: libpixie-java
Description-md5: 6ff37f5ec8cf10752b4a521f268b75b1
Description-en: Java Vector Format Viewer Library
 Pixie is a WMF-File reading library originally written as converter for the
 Pixie-ImageViewer. Pixie was long time dead and is now resurrected as
 WMF-Reader and ImageProducer for Java 1.2.2 or higher.
 .
 Pixie lacks the support for most BitMap-Formats but everything else is
 working fine. Write-Support will be implemented somewhere in the future,
 classes for the writesupport are mostly dummies at the moment.

Package: libpkgconf
Description-md5: f017b0f2de88cda5a09eaf3333e8e8f6
Description-en: development files for pkgconf (transitional package)
 pkgconf is a replacement for pkg-config, a system for managing library
 compile and link flags that works with automake and autoconf.
 .
 pkgconf does not bundle any third-party libraries or depend on any
 third-party libraries.
 .
 This package is a dummy transitional package and may be safely removed.

Package: libpkgconf-dev
Description-md5: 6f378eb21ddee59037151602c08d3720
Description-en: development files for pkgconf
 pkgconf is a replacement for pkg-config, a system for managing library
 compile and link flags that works with automake and autoconf.
 .
 pkgconf does not bundle any third-party libraries or depend on any
 third-party libraries.
 .
 libpkgconf is a library which provides access to most of pkgconf's
 functionality, to allow other tooling such as compilers and IDEs to
 discover and use libraries configured by pkgconf.
 .
 This package ships development files for libpkgconf.

Package: libpkgconf3
Description-md5: c1c9e56a9d100685238d565a6c62b7db
Description-en: shared library for pkgconf
 pkgconf is a replacement for pkg-config, a system for managing library
 compile and link flags that works with automake and autoconf.
 .
 pkgconf does not bundle any third-party libraries or depend on any
 third-party libraries.
 .
 libpkgconf is a library which provides access to most of pkgconf's
 functionality, to allow other tooling such as compilers and IDEs to
 discover and use libraries configured by pkgconf.
 .
 This package ships a shared library for libpkgconf.

Package: libpkgconfig-perl
Description-md5: 81de42c237215e8067d9ed01ea96a960
Description-en: Pure-Perl Core-Only replacement for pkg-config
 PkgConfig provides a pure-perl, core-only replacement for the
 pkg-config utility.
 While pkg-config is a compiled binary linked with glib,
 the pure-perl version has no such requirement, and will run
 wherever Perl ( >= 5.6 ) does.
 The package provides a pure perl binary called ppkg-config which
 can be used as well as pkg-config.

Package: libplacebo-dev
Description-md5: 8573a0e9eaebdc417a110d8bbb759598
Description-en: GPU-accelerated video/image rendering primitives (development files)
 The library provides useful GPU-accelerated image processing primitives based
 on GLSL. It includes shader routines for decoding and transforming colors,
 tone mapping, dithering, and for various algorithms that sample from images,
 such as debanding and scaling.
 .
 This package contains the development files.

Package: libplacebo7
Description-md5: 6e6253382a0be31062c18fbf3b6b6b29
Description-en: GPU-accelerated video/image rendering primitives (shared library)
 The library provides useful GPU-accelerated image processing primitives based
 on GLSL. It includes shader routines for decoding and transforming colors,
 tone mapping, dithering, and for various algorithms that sample from images,
 such as debanding and scaling.
 .
 This package contains the shared library.

Package: libplack-app-proxy-perl
Description-md5: 033054021d7047d1a6e6bc15d34a026c
Description-en: Plack::App::Proxy - middleware-aware proxy application for Plack
 A streaming capable proxy for Plack.
 .
 This application is just like a normal PSGI application and is middleware
 aware, which means you can modify proxy requests (and responses) using Plack
 middleware stack.

Package: libplack-builder-conditionals-perl
Description-md5: 381b35e94e87d5238c484e8516f4b0c6
Description-en: Plack::Builder extension for Conditionals
 Plack::Builder::Conditionals is an extension that provides the following
 functions: 'match_if', 'addr', 'path', 'method', 'header', 'browser',
 'all', and 'any'.

Package: libplack-handler-anyevent-fcgi-perl
Description-md5: 5f146e62c782482149b5c7584cb41e73
Description-en: asynchronous FCGI handler for PSGI using AnyEvent::FCGI
 Plack::Handler::AnyEvent::FCGI is a PSGI adapter for AnyEvent::FCGI
 allowing AnyEvent based non-blocking applications running behind a
 web server using FastCGI as a protocol.

Package: libplack-handler-fcgi-ev-perl
Description-md5: 57628f840b16d9a76fd0a57710cf7c8f
Description-en: asynchronous PSGI handler using FCGI::EV
 Plack::Handler::FCGI::EV is an asynchronous PSGI handler using FCGI::EV as
 its backend. It can be used to replace Plack::Handler::FCGI to run
 application using asynchronous EV library.

Package: libplack-middleware-cache-perl
Description-md5: acff017990f1d4336bae81f3f2c70d64
Description-en: Plack middleware for caching responses of certain URLs
 Plack::Middleware::Cache allows you to cache expensive and non-changing
 responses from URIs that match a list of regular expression patterns.

Package: libplack-middleware-crossorigin-perl
Description-md5: 5270e31b4873d0a9288abd5e18fbb6f6
Description-en: Plack middleware adding headers to allow CORS
 Plack::Middleware::CrossOrigin adds
 Cross Origin Request Sharing headers
 used by modern browsers
 to allow XMLHttpRequest to work across domains.
 This module will also help protect against CSRF attacks
 in some browsers.
 .
 This module attempts to fully conform to the CORS spec,
 while allowing additional flexibility
 in the values specified for the of the headers.
 .
 Plack is a set of tools similar to Ruby's Rack
 or Python's Paste for WSGI.
 It implements the Perl Server Gateway Interface (PSGI)
 standard interface,
 which allows developers to decouple their web application framework
 from the local web server environment.

Package: libplack-middleware-csrfblock-perl
Description-md5: d5d612d6b6700f3dcd4fec83c5bda6ec
Description-en: Plack middleware to block CSRF (cross-site request forgery)
 Plack::Middleware::CSRFBlock helps blocking Cross-Site Request Forgeries,
 and can be used without any modifications to your application, in
 most cases.
 .
 When the application response Content-type header is "text/html" or
 "application/xhtml+xml", this middleware inserts a hidden input tag
 that contains a token string into all POST-forms found in the
 response body.
 .
 For every POST requests, this middleware ensures that the input
 parameters contain the correct token parameter. If not found, the
 middleware throws an HTTP error 403 (Forbidden) and the forged
 request does not even reach your application.

Package: libplack-middleware-debug-perl
Description-md5: ea3f645780cac4028a5519c0660b2505
Description-en: Plack middleware for displaying request/response debug information
 Plack::Middleware::Debug offers a configurable set of panels that
 display information about the current request and response, thus aiding
 in debugging. It is heavily influenced by the Django Debug Toolbar.

Package: libplack-middleware-deflater-perl
Description-md5: 4dff139990d0af8ee3e8d6e10b48de54
Description-en: middleware to compress response body with gzip or deflate
 Plack::Middleware::Deflater is a middleware to encode your response body in
 gzip or deflate, based on the Accept-Encoding HTTP request header. While
 saving a little bandwidth it will increase the Plack server load, so ideally
 you should handle this on the frontend reverse proxy servers.
 .
 This middleware removes Content-Length and streams encoded content, which
 means the server should support HTTP/1.1 chunked response or downgrade to
 HTTP/1.0 and close the connection.

Package: libplack-middleware-expires-perl
Description-md5: dd7dda5da03309b133d812afd76180a5
Description-en: mod_expires for plack
 Plack is a set of tools similar to Ruby's Rack or Python's Paste for
 WSGI. It implements the Perl Server Gateway Interface (PSGI) standard
 interface, which allows developers to decouple their web application
 framework from the local web server environment.
 .
 Plack::Middleware::Expires is Apache's mod_expires for Plack.
 .
 This middleware controls the setting of Expires HTTP header and the
 max-age directive of the Cache-Control HTTP header in server responses.
 .
 Note: Expires works only for successful response and If exists Expires
 HTTP header already, this middleware does not override.

Package: libplack-middleware-file-sass-perl
Description-md5: 1366045a7423d4b80bed3d8fc5ab940f
Description-en: Sass and SCSS support for all Plack-based PSGI frameworks
 PSGI is a specification to decouple web server environments from web
 application framework code.  Plack is an implementation of PSGI.
 .
 Plack::Middleware::File::Sass is a Plack middleware component that
 works with Plack::App::File or Plack::Middleware::Static to compile
 Sass templates into CSS stylesheet in every request.
 .
 When a request comes in for *.css* file, this middleware changes the
 internal path to *.sass* or *.scss*, depending on the configuration, in
 the same directory. If the Sass template is found, a new CSS stylesheet
 is built on memory and served to the browsers. Otherwise, it falls back
 to the original *.css* file in the directory.
 .
 This middleware should be very handy for the development. While Sass to
 CSS rendering is reasonably fast, for the production environment you
 might want to precompile Sass templates to CSS files on disk and serves
 them with a real web server like nginx or lighttpd.
 .
 Text::Sass provides only a limited Sass implementation, so the Ruby
 implementation is recommended even when Text::Sass is available.

Package: libplack-middleware-fixmissingbodyinredirect-perl
Description-md5: c2ac3cabf9fda24c08043541a9c06afc
Description-en: Plack::Middleware which ensures redirect response have a body
 Plack::Middleware::FixMissingBodyInRedirect sets a minimal body for
 redirect responses, in cases where one has not already been set. This can
 be useful e.g. when a user has turned auto-redirect off.

Package: libplack-middleware-header-perl
Description-md5: 88dedf95ff247d458277abda94406c29
Description-en: Plack middleware to modify HTTP response headers
 Plack is a set of tools similar to Ruby's Rack or Python's Paste for
 WSGI. It implements the Perl Server Gateway Interface (PSGI) standard
 interface, which allows developers to decouple their web application
 framework from the local web server environment.
 .
 Plack::Middleware::Header allows modification (setting, appending to,
 and unsetting) of HTTP response headers.

Package: libplack-middleware-logany-perl
Description-md5: 739ec43b6aa737e58a510893f638bdd1
Description-en: use Log::Any to handle logging from your Plack app
 Plack::Middleware::LogAny  is a Plack::Middleware component that allows
 you to use Log::Any to handle the logging object, psgix.logger.
 .
 It really tries to be the thinnest possible shim, so it doesn't handle
 any configuration beyond setting the category to which messages from
 plack might be logged.
 .
 Plack is a set of tools similar to Ruby's Rack or Python's Paste for
 WSGI. It implements the Perl Server Gateway Interface (PSGI) standard
 interface, which allows developers to decouple their web application
 framework from the local web server environment.

Package: libplack-middleware-logerrors-perl
Description-md5: 6cacb49964aa26072a1434e659242ea3
Description-en: map psgi.errors to psgix.logger or other logger
 psgi.errors defaults to stderr in most backends,
 which results in content going somewhere unhelpful
 like the server console.
 .
 Plack::Middleware::LogErrors simply remaps the psgi.errors stream
 to the psgix.logger stream,
 or an explicit logger that you provide.
 .
 This is especially handy
 when used in combination with other middlewares
 such as Plack::Middleware::LogWarn
 (which diverts Perl warnings to psgi.errors);
 Plack::Middleware::HTTPExceptions
 (which diverts uncaught exceptions to psgi.errors);
 and Plack::Middleware::AccessLog,
 which defaults to psgi.errors when not passed a logger --
 which is also automatically applied via plackup
 (so if you provided no --access-log option indicating a filename,
 psgi.errors is used).
 .
 Plack is a set of tools similar to Ruby's Rack
 or Python's Paste for WSGI.
 It implements the Perl Server Gateway Interface (PSGI)
 standard interface,
 which allows developers to decouple their web application framework
 from the local web server environment.

Package: libplack-middleware-logwarn-perl
Description-md5: afed018761614ff34c1ef686a9a73113
Description-en: converts warnings to log messages
 Plack::Middleware::LogWarn is a Plack::Middleware component that will
 help you get warnings into a logger. You probably want to use some sort
 of real logging system such as Log::Log4perl and another
 Plack::Middleware such as Plack::Middleware::Log4perl.
 .
 Plack is a set of tools similar to Ruby's Rack or Python's Paste for
 WSGI. It implements the Perl Server Gateway Interface (PSGI) standard
 interface, which allows developers to decouple their web application
 framework from the local web server environment.

Package: libplack-middleware-methodoverride-perl
Description-md5: 418af3d86c4a2a983ba8214cff4d81db
Description-en: Plack::Middleware to override REST methods via POST
 Plack::Middleware::MethodOverride provides a workaround for writing RESTful
 Plack applications that also support web browsers. Using a header named
 X-HTTP-Method-Override or a form action parameter named x-tunneled-method,
 the REQUEST_METHOD key of the Plack environment hash will be replaced with
 the specified value, allowing a POST request to be used in place of any the
 other HTTP methods.

Package: libplack-middleware-removeredundantbody-perl
Description-md5: a6d3212b0bf499d1ba5d2d1ae3e9c5b3
Description-en: Plack::Middleware which removes an unnecessary HTTP response body
 Plack::Middleware::RemoveRedundantBody is in some ways the opposite of
 Plack::Middleware::FixMissingBodyInRedirect: it removes the body from HTTP
 responses that do not require one.

Package: libplack-middleware-reverseproxy-perl
Description-md5: 5cd0c50c33722c63fdc88c0eb3b140f4
Description-en: module supporting apps to run as a reverse proxy backend
 Plack::Middleware::ReverseProxy resets some HTTP headers, which changed by
 reverse-proxy. You can specify the reverse proxy address and stop fake
 requests using 'enable_if' directive in your app.psgi.

Package: libplack-middleware-session-perl
Description-md5: 5e03df26302212f73884de3797efca7b
Description-en: Perl module for Plack middleware session management
 Plack::Middleware::Session provides Plack Middleware
 component for session management. By default it will
 use cookies to keep session state and store data in
 memory. This library also comes with other state and
 store solutions. It should be noted that it stores
 the current session as a hash reference in the
 psgix.session key inside the $env where it can
 accessed as needed.

Package: libplack-middleware-status-perl
Description-md5: 9fa096597170f0236dd58a97ac717efa
Description-en: Perl module for Plack middleware mapping URLs to status codes
 Plack::Middleware::Status is a Perl module providing a Plack Middleware
 component for mapping application URLs to responses with any given
 HTTP Status Code.

Package: libplack-middleware-test-stashwarnings-perl
Description-md5: 21f6ecdcf0b85c65db632d53c5e75ceb
Description-en: module for testing plack application warnings
 Plack::Middleware::Test::StashWarnings is a Plack middleware component to
 record warnings generated by your application so that you can test them to
 make sure your application complains about the right things.
 .
 The warnings generated by your application are available at a special URL
 (/__test_warnings), encoded with Storable/nfreeze. So using
 Test::WWW::Mechanize you can just get that URL and Storable/thaw its content.

Package: libplack-perl
Description-md5: 645aed1b71f7fd8a2f3134da60b76e77
Description-en: interface between web servers and Perl web applications
 Plack is a set of tools similar to Ruby's Rack or Python's Paste for WSGI. It
 implements the Perl Server Gateway Interface (PSGI) standard interface, which
 allows developers to decouple their web application framework from the local
 web server environment.
 .
 This package contains middleware components, a reference server and utilities
 for web application frameworks.

Package: libplack-request-withencoding-perl
Description-md5: e257b9159ba18b55d356e8c3aa9f8d48
Description-en: Perl module subclass of Plack::Request which supports encoding
 Plack::Request::WithEncoding is a subclass of Plack::Request. It supports
 the encoding for requests. The following attributes will return decoded
 request values: encoding, query_parameters, body_parameters, parameters,
 param, raw_param, raw_query_parameters, and raw_parameters.

Package: libplack-test-agent-perl
Description-md5: e8b7354bb59de47556a41164ad1fb659
Description-en: OO interface for testing low-level Plack/PSGI apps
 Plack is a set of tools similar to Ruby's Rack or Python's Paste for WSGI.
 It implements the Perl Server Gateway Interface (PSGI) standard interface,
 which allows developers to decouple their web application framework from
 the local web server environment.
 .
 Plack::Test::Agent is an OO interface to test PSGI applications. It can
 perform GET and POST requests against PSGI applications either in process or
 over HTTP through a Plack::Handler-compatible backend.
 .
 This is an experimental module and its interface may change.

Package: libplack-test-anyevent-perl
Description-md5: b192b7a7d36d3e31e76237ca6fb9ba69
Description-en: run Plack::Test on AnyEvent-based PSGI applications
 Plack::Test::AnyEvent allows you to easily test
 your AnyEvent-based PSGI applications.
 Normally,
 Plack::Test::MockHTTP or Plack::Test::Server work fine for this,
 but this implementation comes in handy
 when you'd like to test your streaming results as they come in,
 or if your application uses long-polling.
 For non-streaming requests,
 you can use this module exactly like Plack::Test::MockHTTP;
 otherwise, you can set up a content handler and call $res->recv.
 The event loop will then run
 until the PSGI application closes its writer handle
 or until your test client calls send on the response.

Package: libplack-test-externalserver-perl
Description-md5: 8c06f5bb6686cadfbb20287df15160df
Description-en: module for running HTTP tests on external live servers
 Plack::Test::ExternalServer allows you to run your Plack::Test tests against
 an external server instead of just against a local application through either
 mocked HTTP or a locally spawned server.
 .
 See Plack::Test on how to write tests that can use this module.

Package: libplanarity-dev
Description-md5: f28d30077e69f473f522fe3b08e90130
Description-en: Library of planarity-related graph algorithms (devel files)
 This library contains the reference implementation of the
 Edge Addition Planarity Algorithm, which is the best
 linear-time method to embed a planar graph and isolate
 planarity obstructions.
 .
 This package contains the development files.

Package: libplanarity0
Description-md5: d31262e485eaa6bd82908930866cb7b9
Description-en: Library of planarity-related graph algorithms
 This library contains the reference implementation of the
 Edge Addition Planarity Algorithm, which is the best
 linear-time method to embed a planar graph and isolate
 planarity obstructions.
 .
 This package contains the library.

Package: libplank-common
Description-md5: 462b91fa57341b181d80c9f35097cd07
Description-en: Library to build an elegant, simple, clean dock (shared files)
 Plank is a dock enabling you to start applications and manage your windows.
 .
 Plank is meant to be the simplest dock on the planet. The goal is to
 provide just what a dock needs and absolutely nothing more. It is,
 however, a library which can be extended to create other dock programs
 with more advanced features.
 .
 This package contains the architecture-independent files.

Package: libplank-dev
Description-md5: 11674ebacdcc6ad4ee23a2c598e66626
Description-en: Library to build an elegant, simple, clean dock (development files)
 Plank is a dock enabling you to start applications and manage your windows.
 .
 Plank is meant to be the simplest dock on the planet. The goal is to
 provide just what a dock needs and absolutely nothing more. It is,
 however, a library which can be extended to create other dock programs
 with more advanced features.
 .
 This package contains the development files to build docks based on Plank.

Package: libplank-doc
Description-md5: c8e90359fedd364bea31f640687637bc
Description-en: Library to build an elegant, simple, clean dock (documentation)
 Plank is a dock enabling you to start applications and manage your windows.
 .
 Plank is meant to be the simplest dock on the planet. The goal is to
 provide just what a dock needs and absolutely nothing more. It is,
 however, a library which can be extended to create other dock programs
 with more advanced features.
 .
 This package contains the documentation.

Package: libplank1
Description-md5: 69903f312d07e0666b246b6f69dec000
Description-en: Library to build an elegant, simple, clean dock
 Plank is a dock enabling you to start applications and manage your windows.
 .
 Plank is meant to be the simplest dock on the planet. The goal is to
 provide just what a dock needs and absolutely nothing more. It is,
 however, a library which can be extended to create other dock programs
 with more advanced features.
 .
 This package contains the shared library used by docks based on Plank.

Package: libplasma-geolocation-interface5
Description-md5: 4f3b0402a92ec99812086cab6e493f91
Description-en: Plasma Workspace for KF5 library
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 Interface library for geolocation.
 .
 This package contains library files.

Package: libplayerctl-dev
Description-md5: 3a5c7ac5742b13982932dec26c7c63f5
Description-en: utility to control media players via MPRIS (development files)
 Playerctl is a command-line utility and library for controlling media players
 that implement the MPRIS D-Bus Interface Specification. Compatible players
 include audacious, cmus, mopidy, mpd, quod libet, rhythmbox, spotify, vlc and
 xmms2.
 .
 Playerctl makes it easy to bind player actions, such as play and pause,
 to media keys. Playerctl also provides an introspectable library accessible
 in many popular scripting languages that allows more detailed control like
 the ability to subscribe to media player events or get metadata such as
 artist and title for the playing track.
 .
 This package contains the development files.

Package: libplayerctl-doc
Description-md5: b9f62efeac8a37a8e6bd4bc27c880e56
Description-en: utility to control media players via MPRIS (documentation)
 Playerctl is a command-line utility and library for controlling media players
 that implement the MPRIS D-Bus Interface Specification. Compatible players
 include audacious, cmus, mopidy, mpd, quod libet, rhythmbox, spotify, vlc and
 xmms2.
 .
 Playerctl makes it easy to bind player actions, such as play and pause,
 to media keys. Playerctl also provides an introspectable library accessible
 in many popular scripting languages that allows more detailed control like
 the ability to subscribe to media player events or get metadata such as
 artist and title for the playing track.
 .
 This package contains the API documentation.

Package: libplayerctl2
Description-md5: efacf5c7aa75cab0f8035738de0e793e
Description-en: utility to control media players via MPRIS (library)
 Playerctl is a command-line utility and library for controlling media players
 that implement the MPRIS D-Bus Interface Specification. Compatible players
 include audacious, cmus, mopidy, mpd, quod libet, rhythmbox, spotify, vlc and
 xmms2.
 .
 Playerctl makes it easy to bind player actions, such as play and pause,
 to media keys. Playerctl also provides an introspectable library accessible
 in many popular scripting languages that allows more detailed control like
 the ability to subscribe to media player events or get metadata such as
 artist and title for the playing track.
 .
 This package contains the shared library.

Package: libplb-dev
Description-md5: 0c0a0f0dc8684237d68eff48b37d7977
Description-en: CFD solver based on the lattice Boltzmann method. Development files
 A software tool for classical CFD, particle-based models and complex physical
 interaction, Palabos offers a powerful environment for your fluid flow
 simulations.
 .
 Through the innovative matrix-based interface, setting up a massively parallel
 simulation or developing a new physical model has become simpler than ever.
 The package contains development files.

Package: libplb-doc
Description-md5: 019a6d9c804665b81d9aee8feab0d73a
Description-en: CFD solver based on the lattice Boltzmann method. Documentation
 A software tool for classical CFD, particle-based models and complex physical
 interaction, Palabos offers a powerful environment for your fluid flow
 simulations.
 .
 Through the innovative matrix-based interface, setting up a massively parallel
 simulation or developing a new physical model has become simpler than ever.
 The package contains documentation and examples

Package: libplb1
Description-md5: 574552b618b5e22d328e745c436cc1cb
Description-en: CFD solver based on the lattice Boltzmann method. Shared library
 A software tool for classical CFD, particle-based models and complex physical
 interaction, Palabos offers a powerful environment for your fluid flow
 simulations.
 .
 Through the innovative matrix-based interface, setting up a massively parallel
 simulation or developing a new physical model has become simpler than ever.
 The package contains shared library.

Package: libplexus-ant-factory-java
Description-md5: 491fa6e367170a7646f32d103b3ac978
Description-en: Plexus Ant Factory
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package provides a factory for instantiating Ant with Plexus.

Package: libplexus-archiver-java
Description-md5: b3b4a2c20fbbb4da37575bce39e8dbf7
Description-en: Archiver plugin for the Plexus compiler system
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package provides the Archiver plugin for Plexus, used to create
 JARs and other archives.

Package: libplexus-bsh-factory-java
Description-md5: 4c57369165168db5fb166101644f57b0
Description-en: Plexus Beanshell Factory
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package provides a factory for instantiating BeanShell with Plexus.

Package: libplexus-build-api-java
Description-md5: e7ca906de427f3435670667ae9517b6d
Description-en: Incremental build API for Plexus components
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package provides an incremental build API for Plexus components.

Package: libplexus-cipher-java
Description-md5: 08520af3cf05c11f4d559eca3901d8de
Description-en: Plexus Cipher Component used by Maven
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package provides a cipher component for Plexus.

Package: libplexus-cipher-java-doc
Description-md5: 7fe7e970ee8f20bd6aff5a51971a55cc
Description-en: Documentation for Plexus Cipher Component used by Maven
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package contains the API documentation of libplexus-cipher-java.

Package: libplexus-classworlds-java
Description-md5: 308c456c0da52db59e6d7b331be86913
Description-en: Class loading utilities for the Plexus framework
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
  * Component lifecycles
  * Component instantiation strategies
  * Nested containers
  * Component configuration
  * Auto-wiring
  * Component dependencies, and
  * Various dependency injection techniques including constructor injection,
   setter injection, and private field injection.

Package: libplexus-classworlds-java-doc
Description-md5: cabd73b853741d9d06c3718c20cc0f3f
Description-en: Class loading utilities for the Plexus framework - documentation
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
  * Component lifecycles
  * Component instantiation strategies
  * Nested containers
  * Component configuration
  * Auto-wiring
  * Component dependencies, and
  * Various dependency injection techniques including constructor injection,
   setter injection, and private field injection.
 .
 This package provides the API documentation for libplexus-classworlds-java.

Package: libplexus-classworlds2-java
Description-md5: f0fbfeff7cbaa5c6d9f79706a9d4957f
Description-en: Class loading utilities for the Plexus framework (transitional package)
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
  * Component lifecycles
  * Component instantiation strategies
  * Nested containers
  * Component configuration
  * Auto-wiring
  * Component dependencies, and
  * Various dependency injection techniques including constructor injection,
   setter injection, and private field injection.
 .
 This is a transitional package, please use libplexus-classworlds-java instead.

Package: libplexus-cli-java
Description-md5: e7776fc412c960ce1bcb6fb97a0af129
Description-en: Easily create CLIs with Plexus components
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package provides a facility for creating CLIs with Plexus.

Package: libplexus-cli-java-doc
Description-md5: 38948b4e16ce6b3f2abdadb54a298a75
Description-en: Documentation for Plexus CLI
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package contains the API documentation of libplexus-cli-java.

Package: libplexus-compiler-java
Description-md5: cab89c52692850e6656d11896c9440e9
Description-en: Plexus compiler system
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 This package provides the Plexus Compiler API and its implementation modules
 supporting javac, jikes, eclipse, aspectj and csharp compilers.

Package: libplexus-component-annotations-java
Description-md5: 89b5ea235fab1023cc1930a6d89040ac
Description-en: Plexus Component Annotations
 Plexus Component Java 5 annotations, to describe Plexus components
 properties in java sources with standard annotations instead of javadoc
 annotations.

Package: libplexus-component-metadata-java
Description-md5: 1ab4f6891c372135ca1f54040de41f42
Description-en: Component Metadata Maven plugin for Plexus
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 This package provides the Maven plugin generating the component metadata.

Package: libplexus-container-default-java
Description-md5: 9551d02c6ed755e02829fca81251ab8c
Description-en: Plexus Inversion-of-control Container
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 This package contains the plexus-container-default module.

Package: libplexus-container-default1.5-java
Description-md5: 4e8653ac8e93a33b517180caab0dc173
Description-en: Plexus Inversion-of-control Container (transitional package)
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 This is a transitional package, please use libplexus-container-default-java
 instead.

Package: libplexus-digest-java
Description-md5: 3b980eedcd674865fa614dc36e7e237a
Description-en: Digest utilities for the Plexus system
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package provides digest (checksum) utilities for Plexus.

Package: libplexus-i18n-java
Description-md5: b21ac0fb6b6ef92b328a4c9f0c18991f
Description-en: a component to support internationalization of applications using Plexus
 Plexus I18n is a set of Plexus-components supporting internationalization of
 Plexus-based applications.

Package: libplexus-interactivity-api-java
Description-md5: b441762a2ca3051905ed4c2a1a0bcc46
Description-en: interactivity API for the Plexus framework
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
     * Component lifecycles
     * Component instantiation strategies
     * Nested containers
     * Component configuration
     * Auto-wiring
     * Component dependencies, and
     * Various dependency injection techniques including constructor injection,
       setter injection, and private field injection.

Package: libplexus-interactivity-api-java-doc
Description-md5: fe376688d66729a824ae2bddbcad0efe
Description-en: API Documentation for plexus-interactivity-api
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
     * Component lifecycles
     * Component instantiation strategies
     * Nested containers
     * Component configuration
     * Auto-wiring
     * Component dependencies, and
     * Various dependency injection techniques including constructor injection,
       setter injection, and private field injection.
 .
 This package contains the API documentation for plexus-interactivity-api.

Package: libplexus-interpolation-java
Description-md5: e9e3f6c11680506bc02d0f0adfc349a1
Description-en: Plexus Interpolation API
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
     * Component lifecycles
     * Component instantiation strategies
     * Nested containers
     * Component configuration
     * Auto-wiring
     * Component dependencies, and
     * Various dependency injection techniques including constructor injection,
       setter injection, and private field injection.
 .
 Plexus interpolator is focused on providing a more modular, flexible
 interpolation framework for the expression language style commonly seen in
 Maven, Plexus, and other related projects.

Package: libplexus-io-java
Description-md5: 255685e278b935c1cd682eb1695edc57
Description-en: Plexus IO Components
 Plexus IO is a set of plexus components, which are designed for use in I/O
 operations. These I/O operations are doing nothing spectacular. For example,
 Apache Commons IO is a much more powerful library in the same area. However,
 the implementation as a plexus component allows reuse in Maven.
 .
 The following component groups are currently available:
  * File Mappers
  * File Selectors

Package: libplexus-languages-java
Description-md5: 8e34cbf54dcf8b5a06d7dcf6c8e03913
Description-en: Plexus shared language features
 Plexus Languages maintains shared language features used by the Plexus
 components, such as parsing or extracting modules information in various
 ways for the Java language.

Package: libplexus-resources-java
Description-md5: cfa3acbaa8210cd1f18b33a73484bb89
Description-en: Plexus Resource Component
 A component to transparently retrieve resources from the filesystem, classpath
 or internet.

Package: libplexus-sec-dispatcher-java
Description-md5: 5c61edd7f749f27a1bac43cc3b988afc
Description-en: Plexus Security Dispatcher Component used by Maven
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.

Package: libplexus-sec-dispatcher-java-doc
Description-md5: dfc116e76100c3146856565058a7e489
Description-en: Documentation for Plexus Security Dispatcher Component
 The Plexus project provides a full software stack for creating and
 executing software projects. Based on the Plexus container, the applications
 can utilise component-oriented programming to build modular, reusable
 components that can easily be assembled and reused.
 .
 This package contains the API documentation of libplexus-sec-dispatcher-java.

Package: libplexus-utils2-java
Description-md5: 91283a426628f7c19e4d2d1314fef1d3
Description-en: utilities for the Plexus framework
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
  * Component lifecycles
  * Component instantiation strategies
  * Nested containers
  * Component configuration
  * Auto-wiring
  * Component dependencies, and
  * Various dependency injection techniques including constructor injection,
   setter injection, and private field injection.

Package: libplexus-utils2-java-doc
Description-md5: 0189c240ce6417688e86acb66bbeee59
Description-en: utilities for the Plexus framework - documentation
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
  * Component lifecycles
  * Component instantiation strategies
  * Nested containers
  * Component configuration
  * Auto-wiring
  * Component dependencies, and
  * Various dependency injection techniques including constructor injection,
   setter injection, and private field injection.
 .
 This package provides the API documentation for libplexus-utils2-java.

Package: libplexus-velocity-java
Description-md5: 55c1e6c627fac0ace7f0247cd6a36ee7
Description-en: Plexus component interface to velocity
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
     * Component lifecycles
     * Component instantiation strategies
     * Nested containers
     * Component configuration
     * Auto-wiring
     * Component dependencies, and
     * Various dependency injection techniques including constructor injection,
       setter injection, and private field injection.

Package: libplexus-velocity-java-doc
Description-md5: 706626d74e1316fa8a32061404f15a8a
Description-en: API Documentation for plexus-velocity
 The Plexus project provides a full software stack for creating and executing
 software projects. Based on the Plexus container, the applications can
 utilise component-oriented programming to build modular, reusable components
 that can easily be assembled and reused.
 .
 While Plexus is similar to other inversion-of-control (IoC) or dependency
 injection frameworks such as the Spring Framework, it is a full-fledged
 container that supports many more features such as:
 .
     * Component lifecycles
     * Component instantiation strategies
     * Nested containers
     * Component configuration
     * Auto-wiring
     * Component dependencies, and
     * Various dependency injection techniques including constructor injection,
       setter injection, and private field injection.
 .
 This package contains the API documentation for plexus-velocity.

Package: libplf-colony-dev
Description-md5: 86370a069e5bfcf5540a955dcec9f173
Description-en: fast C++ unordered container
 plf::colony is an unordered data container providing fast iteration/
 insertion/erasure while maintaining pointer validity to non-erased
 elements.  It offers no big-O advantages over std::list but its
 performance is drastically better.

Package: libplib-dev
Description-md5: 311b4175473525ac027f57d49600af6c
Description-en: Portability Libraries: Development package
 Provides a Joystick interface, a simple GUI built on top of OpenGL,
 some standard geometry functions, a sound library and a simple scene
 graph API built on top of OpenGL.
 .
 This package provides the static libraries, the header files and the
 development links to the shared libraries. You need this package if you
 want to compile software which needs plib.

Package: libplib-doc
Description-md5: 14fafb3ed99eb96fea9fd86b5eef813b
Description-en: Portability Libraries: documentation and examples
 The Portability Libraries plib provide a Joystick interface, a simple GUI
 built on top of OpenGL, some standard geometry functions, a sound library
 and a simple scene graph API built on top of OpenGL.
 .
 This package contains HTML documentation and examples for plib. The
 documentation is a copy of the documentation which can be found online at
 http://plib.sourceforge.net/

Package: libplib1
Description-md5: 637cad6a8079760abc2b32b8f0e044e2
Description-en: Portability Libraries: Run-time package
 Provides a Joystick interface, a simple GUI built on top of OpenGL,
 some standard geometry functions, a sound library and a simple scene
 graph API built on top of OpenGL.
 .
 This package provides the shared libraries. It should be installed
 automatically by packages which need it.

Package: libplist-utils
Description-md5: f3e61dfbb8451db7dc3eae7293afdb7d
Description-en: Apple property list converter
 This package contains tools to convert Apple property list files from binary
 to XML and vice-versa. It's part of the libimobiledevice stack, providing
 access to iDevices (iPod, iPhone, iPad ...).

Package: libpll-dev
Description-md5: eaedd4763bbb1c111c6bc1bfc015ed92
Description-en: Phylogenetic Likelihood Library (development)
 PLL is a highly optimized, parallelized software library to ease the
 development of new software tools dealing with phylogenetic inference.
 .
 Among the functions included in PLL are parsing multiple sequence
 alignments (MSA) from PHYLIP and FASTA files, reading Newick trees,
 performing topological moves such as SPR and NNI, model optimization,
 likelihood evaluation and partitioned analysis by assigning different
 substitution models to each partition of the MSA. PLL fully implements
 the GTR nucleotide substitution model for DNA data and a number of
 models for aminoacid data.
 .
 This package contains the static library and the header file.

Package: libpll0
Description-md5: cabc80f806b90e2b49087e0912cd8201
Description-en: Phylogenetic Likelihood Library
 PLL is a highly optimized, parallelized software library to ease the
 development of new software tools dealing with phylogenetic inference.
 .
 Among the functions included in PLL are parsing multiple sequence
 alignments (MSA) from PHYLIP and FASTA files, reading Newick trees,
 performing topological moves such as SPR and NNI, model optimization,
 likelihood evaluation and partitioned analysis by assigning different
 substitution models to each partition of the MSA. PLL fully implements
 the GTR nucleotide substitution model for DNA data and a number of
 models for aminoacid data.
 .
 This package contains the dynamic library.

Package: libploop-dev
Description-md5: 8ed129e342dea1be5d5b837fc1a9813a
Description-en: ploop API development library
 Ploop is a disk loopback block device, not unlike loop but with many
 features like dynamic resize, snapshots, backups etc. The main idea is to
 put container filesystem in a file.
 .
 This package contains headers and a static version of Parallels loopback
 (ploop) block device API library.

Package: libploop1
Description-md5: 7448d7b0b3efaef9862dbb4364c076fe
Description-en: ploop API library
 Ploop is a disk loopback block device, not unlike loop but with many
 features like dynamic resize, snapshots, backups etc. The main idea is to
 put container filesystem in a file.
 .
 This package contains the library for Parallels loopback (ploop) block
 device API.

Package: libplot-dev
Description-md5: 5b06f51d830cf0a518b0bc62a70d0f38
Description-en: GNU plotutils libraries (development files)
 The GNU plotting utilities include programs for plotting
 two-dimensional scientific data.  They are built on top of GNU
 `libplot', a library for device-independent two-dimensional vector
 graphics.
 .
 This package contains the header files and static libraries necessary
 for building programs which use libplot.

Package: libplot2c2
Description-md5: 9116339e5ea867da3eda7683a0973ffe
Description-en: GNU plotutils libraries
 The GNU plotting utilities include programs for plotting
 two-dimensional scientific data.  They are built on top of GNU
 `libplot', a library for device-independent two-dimensional vector
 graphics.
 .
 This package contains the shared libraries used by the programmes in
 plotutils to alleviate the need for packages to depend on the entire
 plotutils package.

Package: libploticus0
Description-md5: b47c12e80699be026a5d670960b162ed
Description-en: script driven business graphics library
 libploticus is not a function or mathematical plotting package like
 gnuplot, nor would it be a good choice for applications where
 mathematical formulas or scientific notations are to be rendered
 as an integral part of the data display.  libploticus is also not
 intended as a "marketing" graphics package.  Its goal is to
 display data crisply without extra decoration and distracting
 "dingbats" that cloud the picture.
 .
 libploticus supports a wide range of output options, including jpeg
 (Joint Photographics Experts Group format), png (Portable Network
 Graphics format), svg (Simple Vector Graphics), bmp (Microsoft BitMaP),
 ps (PostScript), swf (Flash), and X11.

Package: libploticus0-dev
Description-md5: e77316437e6b542f3fb53ae4992bcfb7
Description-en: Development files for the ploticus library
 libploticus is not a function or mathematical plotting package like
 gnuplot, nor would it be a good choice for applications where
 mathematical formulas or scientific notations are to be rendered
 as an integral part of the data display.  libploticus is also not
 intended as a "marketing" graphics package.  Its goal is to
 display data crisply without extra decoration and distracting
 "dingbats" that cloud the picture.

Package: libplplot-data
Description-md5: bd59614b4a646f34118ddf9030ebaee2
Description-en: Scientific plotting library (data files)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the architecture-independent data files used by
 the PLplot C library.

Package: libplplot-dev
Description-md5: a4f560488d2925922a3a95d234e764b1
Description-en: Scientific plotting library (development files)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains all that is needed for doing development in C,
 C++ and Fortran with PLplot. For development in Tcl/Tk, Python and
 Java, install the plplot-tcl-dev, python3-plplot and libplplot-java
 packages, respectively. This package also includes the OCaml and Lua
 examples. To use one of these languages you will also need to install
 the libplplot-xxx package for that language.

Package: libplplot-java
Description-md5: 9be651b2fe4b86da141747b3ad0d8f8c
Description-en: Scientific plotting library (Java bindings)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the Java bindings for PLplot.

Package: libplplot-lua
Description-md5: 15b01f15d00c6e0a485725fa37f771ef
Description-en: Scientific plotting library (Lua bindings)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides the Lua bindings for PLplot.

Package: libplplot-ocaml
Description-md5: 037c0775570fa05ff6f5f75b19c4c9fe
Description-en: OCaml bindings for the scientific plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides the OCaml bindings for PLplot.

Package: libplplot-ocaml-dev
Description-md5: 863ea4066e03489260996582c4d047af
Description-en: OCaml support for the PLplot plotting library (development files)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides the development package for PLplot OCaml bindings.

Package: libplplot17
Description-md5: c3f3527bdbadd832ddea1189dc9fba15
Description-en: Scientific plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the PLplot library for C.

Package: libplplotada2-dev
Description-md5: 6882c31d542533f2ffc9e45ece5bbe72
Description-en: Ada support for PLplot, a plotting library (development files)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains all that is needed for doing PLplot development in
 Ada.

Package: libplplotada4
Description-md5: 132b70eb75a1fd1e20f29998334b7a1d
Description-en: Ada support for PLplot, a plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the runtime Ada bindings library for PLplot.

Package: libplplotcxx15
Description-md5: f12263a92a75c6e7dc6362bac29d5c6f
Description-en: Scientific plotting library (C++ binding)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the C++ binding for PLplot.

Package: libplplotfortran0
Description-md5: d794d8932a6170a1b17942ded61821f2
Description-en: Scientific plotting library (fortran bindings)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the Fortran 95 bindings for PLplot. Note: the Fortran
 77 bindings have been deprecated upstream for some time and have now been
 dropped from the Debian packages.

Package: libplplotqt2
Description-md5: 28fedac3e79b55e453c293e32551da5f
Description-en: Scientific plotting library (Qt bindings)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides C++ bindings to allow use of the PLplot API in
 Qt applications.

Package: libplplotwxwidgets1
Description-md5: 2ede11f4982f1eb91c163ebbc4c7cdb4
Description-en: Scientific plotting library (wxWidgets bindings)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides the wxWidgets bindings.

Package: libplucene-perl
Description-md5: 7de236ef6bead77db359661f349d17e3
Description-en: Perl port of the Lucene search engine
 Plucene is a fully-featured and highly customizable search engine toolkit
 based on the Lucene API. (http://jakarta.apache.org/lucene)
 .
 It is not, in and of itself, a functional search engine - you are expected
 to subclass and tie all the pieces together to suit your own needs.
 The synopsis above gives a rough indication of how to use the engine
 in simple cases. See Plucene::Simple for one example of tying it
 all together.

Package: libpluto-jpl-eph-dev
Description-md5: 313b0fdde08dd722b4df39a6c96b77a6
Description-en: development files to interact with JPL ephemeres data
 Header files and a static library that are the basis of tools
 in the pluto-jpl-eph package.
 .
 The package is a build requirement of the pluto-lunar tools.

Package: libpluto-lunar-dev
Description-md5: 7e425c9b43215571eae0cf50314a199b
Description-en: development files for astronomical Lunar library
 This package provides a static library and header files to reuse the
 routines of the Lunar tools to interpret data on asteroids/comments or
 prepare the same for submission to the Minor Planet Center.

Package: libpmem1-debug
Description-md5: 4e3629bb50f5c1c26da3789140d3ffd3
Description-en: Persistent Memory low level support library — debug build
 libpmem provides low level persistent memory support.  In particular, support
 for the persistent memory instructions for flushing changes to pmem is
 provided.
 .
 This library is provided for software which tracks every store to pmem and
 needs to flush those changes to durability.  Most developers will find higher
 level libraries like libpmemobj to be much more convenient.
 .
 This package contains a special build of this library meant for debugging
 purposes.  It contains extra logging, assertions and expensive checks that
 should not be used otherwise.

Package: libpmemblk-dev
Description-md5: f8052a2fce3cfd4c94b570c948d011eb
Description-en: development files for libpmemblk1
 libpmemblk implements a pmem-resident array of blocks, all the same size, where
 a block is updated atomically with respect to power failure or program
 interruption (no torn blocks).
 .
 This package contains libraries and header files used for linking programs
 against libpmemblk.

Package: libpmemblk1
Description-md5: 3873d4fafd81a68a50d94cab692b4040
Description-en: Persistent Memory block array support library
 libpmemblk implements a pmem-resident array of blocks, all the same size, where
 a block is updated atomically with respect to power failure or program
 interruption (no torn blocks).
 .
 This library is provided for cases requiring large arrays of objects at least
 512 bytes each.  Most developers will find higher level libraries like
 libpmemobj to be more generally useful.

Package: libpmemblk1-debug
Description-md5: ddbf05c8e9e2b36a8c300f922ba8d7e1
Description-en: Persistent Memory block array support library — debug build
 libpmemblk implements a pmem-resident array of blocks, all the same size, where
 a block is updated atomically with respect to power failure or program
 interruption (no torn blocks).
 .
 This library is provided for cases requiring large arrays of objects at least
 512 bytes each.  Most developers will find higher level libraries like
 libpmemobj to be more generally useful.
 .
 This package contains a special build of this library meant for debugging
 purposes.  It contains extra logging, assertions and expensive checks that
 should not be used otherwise.

Package: libpmemkv-dev
Description-md5: b2535ee232c119ff8b4c8d2077adf5c0
Description-en: key:value data store for persistent memory
 Pmemkv is a family of key:value stores, developed with persistent memory
 in mind -- yet rather than being tied to a single backing implementation,
 it presents a common interface to a number of engines, both provided by
 pmemkv itself and external.
 .
 This package contains the development headers.

Package: libpmemkv1
Description-md5: e69fc94f69782c27df633db62563095a
Description-en: key:value data store for persistent memory
 Pmemkv is a family of key:value stores, developed with persistent memory
 in mind -- yet rather than being tied to a single backing implementation,
 it presents a common interface to a number of engines, both provided by
 pmemkv itself and external.
 .
 This package contains the runtime library.

Package: libpmemlog-dev
Description-md5: 69bdcdf2c72a6bf4c1c27aa2ecaadd45
Description-en: development files for libpmemlog1
 libpmemlog implements a pmem-resident log file.
 .
 This package contains libraries and header files used for linking programs
 against libpmemlog.

Package: libpmemlog1
Description-md5: 67e3acc4dccc137002792541ef8231f2
Description-en: Persistent Memory log file support library
 libpmemlog implements a pmem-resident log file.
 .
 This library is provided for cases requiring an append-mostly file to record
 variable length entries.  Most developers will find higher level libraries like
 libpmemobj to be more generally useful.

Package: libpmemlog1-debug
Description-md5: 37a8b158394823c70a645db5457aa5f7
Description-en: Persistent Memory log file support library — debug build
 libpmemlog implements a pmem-resident log file.
 .
 This library is provided for cases requiring an append-mostly file to record
 variable length entries.  Most developers will find higher level libraries like
 libpmemobj to be more generally useful.
 .
 This package contains a special build of this library meant for debugging
 purposes.  It contains extra logging, assertions and expensive checks that
 should not be used otherwise.

Package: libpmemobj-cpp-dev
Description-md5: b3e32e195e29b3d56d821685e4a4f1c6
Description-en: C++ bindings to libpmemobj
 The C++ bindings provide an easier to use (and thus less error prone
 version) of libpmemobj -- the persistent memory object store -- through
 the implementation of a pmem-resident property, persistent pointers,
 scoped and closure transactions, locking primitives and many others.
 .
 This package contains the development headers.

Package: libpmemobj-cpp-doc
Description-md5: abe1d0f37304fb57b8f682bf4bb82192
Description-en: C++ bindings to libpmemobj - documentation
 The C++ bindings provide an easier to use (and thus less error prone
 version) of libpmemobj -- the persistent memory object store -- through
 the implementation of a pmem-resident property, persistent pointers,
 scoped and closure transactions, locking primitives and many others.
 .
 This package contains the documentation.

Package: libpmemobj-dev
Description-md5: 1be2d0dffc38c7eae6c164e14c56350d
Description-en: development files for libpmemobj1
 libpmemobj turns a persistent memory file into a flexible object store,
 supporting transactions, memory management, locking, lists, and a number of
 other features.
 .
 This package contains libraries and header files used for linking programs
 against libpmemobj.

Package: libpmemobj-doc
Description-md5: 1f45130bb944aee604dac4dba78d1116
Description-en: Transitional package for libpmemobj-cpp-doc
 This is a transitional package. It can safely be removed.

Package: libpmemobj1
Description-md5: 7ba84bd5e05127b370be21b770e51e73
Description-en: Persistent Memory object store support library
 libpmemobj turns a persistent memory file into a flexible object store,
 supporting transactions, memory management, locking, lists, and a number of
 other features.

Package: libpmemobj1-debug
Description-md5: 0a3fbeef26f18eb7a62fbfb3f2fb42c3
Description-en: Persistent Memory object store support library — debug build
 libpmemobj turns a persistent memory file into a flexible object store,
 supporting transactions, memory management, locking, lists, and a number of
 other features.
 .
 This package contains a special build of this library meant for debugging
 purposes.  It contains extra logging, assertions and expensive checks that
 should not be used otherwise.

Package: libpmempool-dev
Description-md5: 771d209a7ad4856d90a1c2e31b9dc906
Description-en: development files for libpmempool1
 libpmempool provides a set of utilities for management, diagnostics and repair
 of persistent memory pools.
 .
 This package contains libraries and header files used for linking programs
 against libpmempool.

Package: libpmempool1
Description-md5: 75906050b58ab3e64d2442367bfb0470
Description-en: Persistent Memory pool management support library
 libpmempool provides a set of utilities for management, diagnostics and repair
 of persistent memory pools.  A pool in this context means a pmemobj pool,
 pmemblk pool, pmemlog pool or BTT layout, independent of the underlying
 storage.  The libpmempool is for applications that need high reliability or
 built-in troubleshooting.  It may be useful for testing and debugging purposes
 also.

Package: libpmempool1-debug
Description-md5: 758bd9af67c46ca570ad22430535f4cb
Description-en: Persistent Memory pool management support library — debug build
 libpmempool provides a set of utilities for management, diagnostics and repair
 of persistent memory pools.  A pool in this context means a pmemobj pool,
 pmemblk pool, pmemlog pool or BTT layout, independent of the underlying
 storage.  The libpmempool is for applications that need high reliability or
 built-in troubleshooting.  It may be useful for testing and debugging purposes
 also.
 .
 This package contains a special build of this library meant for debugging
 purposes.  It contains extra logging, assertions and expensive checks that
 should not be used otherwise.

Package: libpmi-pmix-dev
Description-md5: 4cd32aed7631848b6d75a9ac0738a614
Description-en: Development files for the PMI library (OpenMPI )
 This is the OpenMPI implementation of the Process Management Interface (PMI)
 Exascale API. PMIx aims to retain transparent compatibility with the existing
 PMI-1 and PMI-2 definitions, and any future PMI releases; Support
 the Instant On initiative for rapid startup of applications at exascale
 and beyond.

Package: libpmi0
Description-md5: fe7100464c941d8eabeb38eabe82473b
Description-en: SLURM PMI library implementation
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM PMI runtime implementation.

Package: libpmi0-dev
Description-md5: 38f1932e49c1075b303cbbf3d85deab5
Description-en: SLURM PMI library implementation development files
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM PMI implementation static library and header
 files

Package: libpmi1-pmix
Description-md5: ec307fae9fb172af77b2f3eb62614849
Description-en: OpenMPI implementation of the PMI v1 library
 This is the OpenMPI implementation of the Process Management Interface (PMI)
 API, v1.

Package: libpmi2-0
Description-md5: adcdf3b7411ff79f117d540276f622a1
Description-en: SLURM PMI2 library implementation
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM PMI2 runtime implementation.

Package: libpmi2-0-dev
Description-md5: 75798154f64cad01665013d4d1f89e09
Description-en: SLURM PMI2 library implementation development files
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM PMI2 implementation static library and header
 files

Package: libpmi2-pmix
Description-md5: a8b5d972e94727c578b50a0d9c2736dd
Description-en: OpenMPI implementation of the PMI v2 library
 This is the OpenMPI implementation of the Process Management Interface (PMI)
 API, v2.

Package: libpmix-dev
Description-md5: 40649f4e98770885669b0326273d7233
Description-en: Development files for the PMI Exascale library
 This is the OpenMPI implementation of the Process Management Interface (PMI)
 Exascale API. PMIx aims to retain transparent compatibility with the existing
 PMI-1 and PMI-2 definitions, and any future PMI releases; Support
 the Instant On initiative for rapid startup of applications at exascale
 and beyond.

Package: libpmix2
Description-md5: aca5aa31def7ae96d62a110030ef497f
Description-en: Process Management Interface (Exascale) library
 This is the OpenMPI implementation of the Process Management Interface (PMI)
 Exascale API. PMIx aims to retain transparent compatibility with the existing
 PMI-1 and PMI-2 definitions, and any future PMI releases; Support
 the Instant On initiative for rapid startup of applications at exascale
 and beyond.

Package: libpnetcdf-dev
Description-md5: 0103ba17a43b67909b8b1d0270e35fa5
Description-en: Development files for the parallel netCDF library
 PnetCDF is a library providing high-performance parallel I/O while still
 maintaining file-format compatibility with  Unidata's NetCDF,
 specifically the formats of CDF-1 and CDF-2.
 Although NetCDF supports parallel I/O starting from version 4, the files
 must be in HDF5 format. PnetCDF is currently the only choice for carrying
 out parallel I/O on files that are in classic formats (CDF-1 and 2).
 .
 In addition, PnetCDF supports the CDF-5 file format, an extension of CDF-2,
 that supports more data types and allows users to define large dimensions,
 attributes, and variables (>2B elements).

Package: libpnetcdf0d
Description-md5: 7091f57470e3f2961350c0e6ced75dba
Description-en: Libraryfor reading and writing parallel NetCDF files
 PnetCDF provides high-performance parallel I/O while still
 maintaining file-format compatibility with  Unidata's NetCDF,
 specifically the formats of CDF-1 and CDF-2.
 .
 In addition, PnetCDF supports the CDF-5 file format, an extension of CDF-2,
 that supports more data types and allows users to define large dimensions,
 attributes, and variables (>2B elements).
 .
 This package provides the static library libpnetcdf.a

Package: libpng++-dev
Description-md5: b1147c8a07a4becb81c4214047c6219f
Description-en: C++ interface to the PNG (Portable Network Graphics) library
 PNG++ aims to provide simple yet powerful C++ interface to libpng, the
 Portable Network Graphics (PNG) reference implementation library.
 .
 Using raw libpng in C++ may impose serious challenge since lots of
 precautions must be taken to handle initialization/deinitialization of
 control structures as well as handling errors correctly. With png++
 you can read or write PNG images just in a single line of C++ code.

Package: libpng-sixlegs-java
Description-md5: 6be0f5a473624889a2e9a40e6b36f966
Description-en: Sixlegs Java PNG Decoder
 Sixlegs Java PNG Decoder is Java library for decoding PNG image files.
 Supports all valid bit depths (grayscale/color), interlacing, paletted images,
 alpha channel/transparency, gamma correction, access to most standard chunks,
 private chunk handling, and progressive display.

Package: libpng-sixlegs-java-doc
Description-md5: 4b5544e5d45c8fa98959b87767ccdb4f
Description-en: Documentation for Sixlegs Java PNG Decoder
 This package contains documentation and examples
 for Sixlegs Java PNG Decoder library.

Package: libpnglite-dev
Description-md5: 9bf6e3b0a52313a1980355e5f20bfb05
Description-en: lightweight C library for loading and writing PNG images
 It was created as a substitute for libpng in situations when libpng is more
 than enough. It currently requires zlib for inflate and crc checking and it
 can read the most common types of PNG images. The library has a small and
 simple to use interface.
 .
 This package provides the header file.

Package: libpnglite0
Description-md5: 7797b3fd26f6aef084eb4796eb64aef2
Description-en: lightweight C library for loading and writing PNG images
 It was created as a substitute for libpng in situations when libpng is more
 than enough. It currently requires zlib for inflate and crc checking and it
 can read the most common types of PNG images. The library has a small and
 simple to use interface.
 .
 This package provides the shared library.

Package: libpocketsphinx-dev
Description-md5: 5ea1ecd749ada3e7e3ea46628c0859ce
Description-en: Speech recognition tool - front-end library development files
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains header files and static libraries for developing programs
 that use the Pocket Shinx frontend.

Package: libpocketsphinx3
Description-md5: 99849d015bdd33057d3551569d51df77
Description-en: Speech recognition tool - front-end library
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains the frontend shared library

Package: libpocl-dev
Description-md5: edd2fcf6dac885dd120b29e19b52fce2
Description-en: development files for the pocl library
 Portable Computing Language is an open source implementation of the OpenCL
 standard which can be easily adapted for new targets. One of the goals of the
 project is improving performance portability of OpenCL programs, avoiding the
 need for target-dependent manual optimizations. A "native" target is included,
 which allows running OpenCL kernels on the host (CPU).
 .
 This package provides the development files for the pocl library. Unless very
 specific needs, this package is useless. This package is only required to link
 directly and explicitly with pocl.
 .
 To develop OpenCL programs (that can be run with pocl), the opencl-dev virtual
 package (provided by ocl-icd-opencl-dev for example) is required, not this one.

Package: libpocl2
Description-md5: aa3b27c7c5041bd439cd25028a5a5f74
Description-en: Portable Computing Language library
 Portable Computing Language is an open source implementation of the OpenCL
 standard which can be easily adapted for new targets. One of the goals of the
 project is improving performance portability of OpenCL programs, avoiding the
 need for target-dependent manual optimizations. A "native" target is included,
 which allows running OpenCL kernels on the host (CPU).
 .
 This package provides the core of pocl.

Package: libpocl2-common
Description-md5: 73ba37913f8df3aa9b2105db2ca31c55
Description-en: common files for the pocl library
 Portable Computing Language is an open source implementation of the OpenCL
 standard which can be easily adapted for new targets. One of the goals of the
 project is improving performance portability of OpenCL programs, avoiding the
 need for target-dependent manual optimizations. A "native" target is included,
 which allows running OpenCL kernels on the host (CPU).
 .
 This package provides the architecture independent files of the pocl library.

Package: libpoco-dev
Description-md5: 001356e1ebbed92df725fe478f69534f
Description-en: C++ Portable Components (POCO) Development files
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 POCO consists of four core libraries, and a number of add-on libraries. The
 core libraries are Foundation, XML, Util and Net. Two of the add-on libraries
 are NetSSL, providing SSL support for the network classes in the Net library,
 and Data, a library for uniformly accessing different SQL databases.

Package: libpoco-doc
Description-md5: 4a4426781b19670b3404231be05a2d04
Description-en: Documentation for POCO - The C++ Portable Components
 The C++ Portable Components currently consist of eleven libraries:
  * The Foundation library (contains a platform abstraction layer)
  * The XML library (contains an XML parser as well as an XMLWriter)
  * The Util library (contains classes for working with configuration files and
    command line arguments)
  * The Net and the NetSSL libraries (contains network classes like sockets,
    SMTP, HTTP, Secure Socket Layer, SSL secured HTTP, etc.)
  * The Data library (contains data abstraction layer)
  * The ODBC, MySQL and the SQLite libraries (contains database connectivity)
  * The Crypto library (contains implementation of DES, AES, RSA, etc.)
  * The Zip library

Package: libpococrypto62
Description-md5: 957adadbf0b64bd764c1279a8aec9dc1
Description-en: C++ Portable Components (POCO) Crypto library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Crypto library.

Package: libpocodata62
Description-md5: fb6d80fd20dc2dcc0ee69c13016664b0
Description-en: C++ Portable Components (POCO) Data library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Data library.

Package: libpocodatamysql62
Description-md5: 1b9c9bfbe86b8e47e61c8f576c7cee26
Description-en: C++ Portable Components (POCO) Data MySQL library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Data MySQL library.

Package: libpocodataodbc62
Description-md5: f46d02e0de24f66b9b24e8b738071439
Description-en: C++ Portable Components (POCO) ODBC library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Data ODBC library. In Debian, it is linked
 against unixODBC but also iODBC can be used instead.

Package: libpocodatasqlite62
Description-md5: 68f67322f31ecfd8028d7912d039a0bf
Description-en: C++ Portable Components (POCO) Data SQLite library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Data SQLite library.

Package: libpocoencodings62
Description-md5: 9c1fe4d655b4ee67b58b0b365fcd27de
Description-en: C++ Portable Components (POCO) Encodings library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Encodings library.

Package: libpocofoundation62
Description-md5: e081d40aeca8b68ce3cde5a257f81bf6
Description-en: C++ Portable Components (POCO) Foundation library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Foundation library.

Package: libpocojson62
Description-md5: 6479e1dc3f5ff49f72ee213c0818a0c4
Description-en: C++ Portable Components (POCO) JSON library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO JSON library.

Package: libpocomongodb62
Description-md5: 69e265fce7a1a9bd78daa6c07079fc04
Description-en: C++ Portable Components (POCO) Data MongoDB library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO MongoDB library.

Package: libpoconet62
Description-md5: 97e4104da0b4d8ded1e57812ce231311
Description-en: C++ Portable Components (POCO) Network library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Net library.

Package: libpoconetssl62
Description-md5: 0984e9137f46535f63a5832fd2155294
Description-en: C++ Portable Components (POCO) Network library with SSL
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Network SSL library.

Package: libpocoredis62
Description-md5: f5b1425eb30bc778a5a62391c2cc8981
Description-en: C++ Portable Components (POCO) Redis library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides POCO Redis library.

Package: libpocoutil62
Description-md5: 8b8088b6042466ea7037415696009240
Description-en: C++ Portable Components (POCO) Util library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides POCO Util library.

Package: libpocoxml62
Description-md5: 8531a471944f44c981b490306ca411c5
Description-en: C++ Portable Components (POCO) XML library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO XML library.

Package: libpocozip62
Description-md5: ebcc16a437b5fad31f4d9dee37f95477
Description-en: C++ Portable Components (POCO) Zip library
 The POCO C++ Libraries are a collection of open source C++ class libraries
 that simplify and accelerate the development of network-centric, portable
 applications in C++. The libraries integrate perfectly with the C++ Standard
 Library and fill many of the functional gaps left open by it.
 .
 POCO is built strictly using standard ANSI/ISO C++, including the standard
 library. The contributors attempt to find a good balance between using advanced
 C++ features and keeping the classes comprehensible and the code clean,
 consistent and easy to maintain.
 .
 This package provides the POCO Zip library.

Package: libpod-2-docbook-perl
Description-md5: 2a2571b9456244d811c0d49b817151e9
Description-en: module for converting Pod data to DocBook SGML
 Pod::2::DocBook is a module for translating Pod-formatted documents to
 DocBook 4.2 SGML (see http://www.docbook.org/). It is primarily a back end
 for pod2docbook, but, as a Pod::Parser subclass, it can be used on its own.

Package: libpod-abstract-perl
Description-md5: 9d92f9c7c7a20fe35dfa0288510f7d59
Description-en: abstract document tree for Perl POD documents
 Pod::Abstract provides an abstract, tree-based interface to POD documents. It
 allows straightforward, round-trip capable manipulation of POD documentation.
 .
 This also allows an easy tree-based mechanism to write a Pod::<format> type
 filter. The package includes a simple, and extensible, command line utility
 called "paf" (Pod::Abstract Filter). This program can chain together filter
 operations, allowing manipulation and extraction of POD documents from the
 command line.

Package: libpod-coverage-perl
Description-md5: e9fce37b1e0cde66dd70b4cc7ef1e2a9
Description-en: checker for comprehensiveness of perl module documentation
 POD::Coverage provides a mechanism for determining if the pod for a given
 module is comprehensive. It checks on a function by function basis and is
 useful for finding holes in module documentation.

Package: libpod-coverage-trustpod-perl
Description-md5: 614551421df10095347ad5aa0ce912ae
Description-en: module to support hints for Pod::Coverage
 Pod::Coverage::TrustPod is a Perl module that extends Pod::Coverage by
 allowing your Plain Old Documentation (POD) to declare certain symbol names
 as trusted. It is similar to using the 'trustme' feature in your test file,
 but does not require changes to the test file; instead, you make such trust
 annotations in your POD in a specially formatted field.

Package: libpod-elemental-perl
Description-md5: 4ede3c3d269ac4360e3b41d27a62d5f0
Description-en: module for manipulating POD elements
 Pod::Elemental is a system for treating Perl's Plain Old Documentation (POD)
 format as trees of elements. This model is similar in nature to, though less
 sophisticated than, the HTML Document Object Model (DOM). This makes it easy
 to perform many different transformations on the document data.

Package: libpod-elemental-perlmunger-perl
Description-md5: c3c2384d55f8dc77b44cc607508dada2
Description-en: Perl module that rewrites Perl documentation
 Pod::Elemental::PerlMunger is a role to be included in classes that rewrite
 the documentation of a Perl document, stripping out all the Pod, munging it,
 and replacing it into the Perl.

Package: libpod-elemental-transformer-list-perl
Description-md5: c4acf05e9d940c10c82d5a1e6b6c6a26
Description-en: module to transform :list regions into =over/=back
 Pod::Elemental::Transformer::List module provides a way to write lists
 in Pod in an easier way than usual =over/=item/back section.
 .
 In your Pod document, you must add a =for declaration and then the list
 items prefixed with '*'

Package: libpod-eventual-perl
Description-md5: 96a8116ac19420213adc098968480acd
Description-en: module to read a POD as a series of trivial events
 Pod::Eventual is a collection of Perl modules designed to read line-based
 input and produce events describing each POD paragraph or directive it finds.
 Once complete, events are immediately passed to the handle_event method. This
 method should be implemented by subclasses of Pod::Eventual; otherwise the
 default handle_event method will raise an exception.

Package: libpod-index-perl
Description-md5: 2bd7070edb1864ddfee11eb6a81f6686
Description-en: index and search PODs using X<> entries
 The Pod-Index distribution includes various modules for indexing and
 searching POD that is appropriately marked with X<> POD codes.
 .
 Pod::Index, as a module, does nothing. Everything is done by
 Pod::Index::Builder, Pod::Index::Search, and other helper modules.

Package: libpod-latex-perl
Description-md5: 10547a7da0ffed32c9f964b6846170f2
Description-en: module to convert Pod data to formatted LaTeX
 Pod::LaTeX is a module to convert documentation in the Pod format into LaTeX.
 The pod2latex command uses this module for translation.
 .
 Pod::LaTeX is a derived class from Pod::Select.

Package: libpod-markdown-perl
Description-md5: ac17805ab377e66f8409a9b0fde99845
Description-en: module to convert POD to the Markdown file format
 Pod::Markdown is a Perl module that parses Plain Old Documentation (POD) and
 converts it into the Markdown text format. It subclasses Pod::Parser, so it
 supports all of its commands, too.
 .
 Markdown is a markup language to convert structured text into (X)HTML. Its
 main design goal is to make the input text as readable as possible. For this,
 the syntax is highly inspired by the format of plain text email. Markdown
 supports features like headers, *emphasis*, code blocks, blockquotes and
 links. For maximum flexibility, it also allows embedding HTML tags, both
 within paragraphs (eg. <span>), and at block level (<div>, <table>).

Package: libpod-minimumversion-perl
Description-md5: d0e91f397fd0fa09d9c19bf077e276a9
Description-en: module to determine minimum Perl version of POD directives
 Pod::MinimumVersion parses the POD in a Perl script, module, or document, and
 reports what version of Perl is required to process the directives in it with
 pod2man etc.

Package: libpod-plainer-perl
Description-md5: 75964be788011871d08e054f7cdba051
Description-en: Perl extension for converting Pod to old-style Pod
 Pod::Plainer uses Pod::Parser which takes Pod with the (new) 'C<< .. >>'
 constructs and returns the old(er) style with just 'C<>'; '<' and '>' are
 replaced by 'E<lt>' and 'E<gt>'.
 .
 This can be used to pre-process Pod before using tools which do not recognise
 the new style Pods.

Package: libpod-pom-perl
Description-md5: 5fc68269056bf4696a3244f764e0ee8c
Description-en: module providing a Pod Object Model
 Pod::POM is a Perl Pod document parser that exposes an object model called
 Pod Object Model. The object model is generated as a hierarchical tree of
 nodes, each of which represents a different element of the original document.
 The tree can be walked manually and the nodes examined, printed or otherwise
 manipulated. Pod::POM also provides view objects, which can automatically
 traverse the tree, or section thereof, and generate an output representation
 in one form or another.

Package: libpod-pom-view-restructured-perl
Description-md5: 01354bc6de537bff68e3cda64355ea0f
Description-en: view for Pod::POM that outputs reStructuredText
 Pod::POM::View::Restructured outputs reStructuredText that is expected to be
 used with Sphinx. Verbatim sections (indented paragraphs) in the POD will be
 output with syntax highlighting for Perl code by default.

Package: libpod-projectdocs-perl
Description-md5: af076f19df3ba1fa30c8b84b7ff0afa4
Description-en: module to generate CPAN like project documents from POD
 The Pod::ProjectDocs module allows you to generate CPAN like POD pages from
 your modules for your projects. It also creates an optional index page.
 .
 Additionally, you can use the command line script pod2projdocs to generate
 your documentation without creating a custom perl script.

Package: libpod-pseudopod-perl
Description-md5: 89b52ab8e32482440920f37556c9d7d2
Description-en: framework for parsing PseudoPod
 PseudoPod is an extended set of Pod tags used for book manuscripts. Standard
 Pod doesn't have all the markup options you need to mark up files for
 publishing production. PseudoPod adds a few extra tags for footnotes, tables,
 sidebars, etc.
 .
 Pod::PseudoPod adds parsing support for the PseudoPod tags. It also overrides
 Pod::Simple's _handle_element_start, _handle_text, and _handle_element_end
 methods so that parser events are turned into method calls.
 .
 In general, you'll only want to use this module as the base class for a
 PseudoPod formatter/processor.

Package: libpod-readme-perl
Description-md5: 99d4a0110c0dd3026000f9ae42ca7b66
Description-en: Perl module to convert POD to README file
 Pod::Readme is no longer a subclass of a POD parsing module. Instead, it is a
 simple POD filter.
 .
 This module allows authors to mark portions of the POD to be included only in,
 or to be excluded from the README file.  It also allows you to include
 portions of another file (such as a separate ChangeLog).

Package: libpod-sax-perl
Description-md5: 3533e91eeaebda08a25dabad9835a67b
Description-en: Perl module for generating SAX events from POD
 The Pod::SAX module parses POD and generates corresponding SAX events. The aim
 of this module is not round trip data preservation, but rather standards
 compliance, while maintaining simple access to the underlying data.

Package: libpod-simple-perl
Description-md5: c7cec2775c221602530ea0f955a7a3a5
Description-en: framework for parsing files in the Pod markup language
 Pod::Simple is a Perl module that provides useful utilities for parsing text
 in the POD (Plain Old Documentation) markup language that is typically used to
 write documentation for Perl modules and scripts.

Package: libpod-simple-wiki-perl
Description-md5: 3acd9c3fccb060a986a34da50351d2f9
Description-en: Perl class for creating Pod to Wiki filters
 Pod::Simple::Wiki is used to convert Pod text to Wiki text.
 .
 Pod (Plain Old Documentation) is a simple markup language used for writing
 Perl documentation.
 .
 A Wiki is a user extensible web site. It uses very simple mark-up that is
 converted to Html. For an introduction to Wikis see:
 http://en.wikipedia.org/wiki/Wiki

Package: libpod-spell-perl
Description-md5: eaff2f86c7bb837f181fc25ef0afe879
Description-en: formatter to easily check the spelling of POD
 Pod::Spell is a POD formatter whose output is good for checking the spelling
 of the document.  Pod::Spell is much like Pod::Text, except that it doesn't
 put much effort into actual formatting, and it suppresses things that look
 like Perl symbols or Perl jargon (so that your spellchecking program won't
 complain about mystery words like "`$thing'" or "`Foo::Bar'" or "hashref").

Package: libpod-strip-perl
Description-md5: ecd274aa20d91e76b4568fd246b4cca9
Description-en: remove POD documentation from Perl code
 Pod::Strip is a subclass of Pod::Simple that removes the POD (plain old
 documentation) from Perl code. The POD may optionally be replaced with
 comments so that line numbers of the code stay the same.
 .
 Pod::Strip is useful in Perl code parsers that don't want to bother about POD.

Package: libpod-tests-perl
Description-md5: 6f653d8206a2db0a82f7aaf97258c47b
Description-en: Perl extension for excts embedded tests and code examples from POD
 Pod::Tests is a specialized POD viewer to extract embedded tests and code
 examples from POD. It doesn't do much more than that.
 .
 This module is deprecated, in favour of Test::Inline.

Package: libpod-tree-perl
Description-md5: e1e352929990975308e558f79ca7fe6c
Description-en: module for creating a static syntax tree for a POD
 Pod::Tree parses a POD into a static syntax tree. Applications walk the
 tree to recover the structure and content of the POD. See Pod::Tree::Node
 for a description of the tree.

Package: libpod-weaver-perl
Description-md5: 67d9ccdef51f5297e3de7508f1a16815
Description-en: Perl module to weave together a Pod document from an outline
 Pod::Weaver is a system for building Pod documents from templates. It doesn't
 perform simple text substitution, but instead builds a
 Pod::Elemental::Document. Its plugins sketch out a series of sections that
 will be produced based on an existing Pod document or other provided
 information.

Package: libpod-weaver-plugin-ensureuniquesections-perl
Description-md5: 581e599099e2b403e924de02cd7ea307
Description-en: Pod::Weaver plugin to check for duplicate Pod section headers
 Pod::Weaver::Plugin::EnsureUniqueSections is a Pod::Weaver plugin to
 ensure that the Pod after weaving has no duplicate top-level section
 headers.  This can help you if you are converting from writing all
 your own POD to generating it with Pod::Weaver.  If you begin
 generating a section with Pod::Weaver but you forget to delete the
 manually written section of the same name, this plugin will warn you.

Package: libpod-weaver-section-contributors-perl
Description-md5: 9483e104ce77448f92ed0302082c4be8
Description-en: Pod::Weaver plugin for a section listing contributors
 Pod::Weaver::Section::Contributors provides a Pod::Weaver plugin to
 generate a section listing modules contributors.  These can be named
 on the source of individual modules as well as on the pod weaver and
 dist zilla configuration files.

Package: libpod-weaver-section-generatesection-perl
Description-md5: c6bb95e1f6eaa4c98162d571f29b7623
Description-en: Pod::Weaver plugin to add Pod sections from a template text
 Pod::Weaver::Section::GenerateSection provides a Pod::Weaver plugin
 to introduce a Pod section in the distribution modules.  This section
 is generated from a template text where multiple variables can be
 expanded to the values in the distribution metadata.

Package: libpod-weaver-section-legal-complicated-perl
Description-md5: 47ae0961fe1e08d8d03bf4d78acfaefc
Description-en: Pod::Weaver plugin for per module authors, copyright holders, and license
 Pod::Weaver::Section::Legal::Complicated is a Pod::Weaver plugin to
 create Pod sections listing authors, copyright owners, and licenses
 of each module in the distribution.  It is targeted at distributions
 with a large number of modules and where different module may have
 different authos, copyright holders, and licenses.  It does so by
 parsing individual modules and looking for specific comments.

Package: libpod-weaver-section-support-perl
Description-md5: 2c77f02f66039d5c924881c5a5da3246
Description-en: Dist::Zilla plugin to add a SUPPORT section to your POD
 Pod::Weaver::Section::Support is a Dist::Zilla plugin to that will
 produce a hunk of pod that lists the various ways to get support for
 your module.
 .
 If you have Dist::Zilla::Plugin::Repository enabled in your dist.ini, be sure
 to check the repository_link attribute!
 .
 The generated support section is added ONLY to the main module's POD,
 because it would be a waste of space to add it to all modules in your
 dist.

Package: libpod-webserver-perl
Description-md5: 0e610d292860729995560c5f0de915e7
Description-en: miniature web server for reading Pod in web browsers
 Pod::Webserver can be run as an application that works as a minimal web
 server to serve local Perl documentation. It's like perldoc except it works
 through your browser.

Package: libpod-wordlist-hanekomu-perl
Description-md5: b347f62302b66254985b81237a1f7234
Description-en: collection of stop words to spell check POD documents
 Pod::Wordlist::hanekomu, when loaded, adds more stopwords for POD spell check,
 that is, words that should be ignored by the spell check.
 .
 WARNING: This module is deprecated. All non-name words from this list have
 been merged into the master Pod::Wordlist module. Therefore, this list is no
 longer maintained.

Package: libpod-wsdl-perl
Description-md5: 8a7bcde46a5dd4644144028766a542b3
Description-en: creator of WSDL documents from (extended) POD
 Pod::WSDL is Perl module to create WSDL documents from (extended) module
 documentation (POD).

Package: libpod-xhtml-perl
Description-md5: fad49be79253f4a67d7c666c33d42690
Description-en: module for translating POD to XHTML
 There's Pod::PXML and Pod::XML, so why is Pod::Xhtml needed? You need
 an XSLT to transform XML into XHTML and many people don't have the time
 or inclination to do this. But they want to make sure that the pages
 they put on their web site are well-formed, they want those pages to
 use stylesheets easily, and possibly they want to squirt the XHTML
 through some kind of filter for more processing.
 .
 By generating well-formed XHTML straight away anyone can just
 use the output files as-is. For those who want to use XML tools or
 transformations they can use the XHTML as a source, because it's a
 well-formed XML document.

Package: libpod2-base-perl
Description-md5: 55516e5141e51f5ef1140411f1176076
Description-en: module for translations of Perl documentation
 POD2::Base is an abstraction of the code in POD2::IT and POD2::FR.
 These modules belong to the Italian and the French translation projects
 of core Perl pods.
 .
 Once a translation package had been installed, the translated
 documentation can be accessed with:
 .
     $ perldoc POD2::<lang>::<podname>
 Or
     $ perldoc -L IT <podpage>

Package: libpodofo-dev
Description-md5: 6fca5148ee2f292cc2afba75a0839028
Description-en: PoDoFo development files
 The PoDoFo library is a free, portable C++ library which includes classes to
 parse PDF files and modify their contents into memory. The changes can be
 written back to disk easily. The parser can also be used to extract
 information from a PDF file (for example the parser could be used in a PDF
 viewer). Besides parsing PoDoFo includes also very simple classes to create
 your own PDF files. All classes are documented so it is easy to start writing
 your own application using PoDoFo.
 .
 This package contains the header development files used for building
 PoDoFo-enabled applications.

Package: libpodofo-utils
Description-md5: 4d9de53690690c97777dfcb5a257b598
Description-en: PoDoFo utilities
 The PoDoFo library is a free, portable C++ library which includes classes to
 parse PDF files and modify their contents into memory. The changes can be
 written back to disk easily. The parser can also be used to extract
 information from a PDF file (for example the parser could be used in a PDF
 viewer). Besides parsing PoDoFo includes also very simple classes to create
 your own PDF files. All classes are documented so it is easy to start writing
 your own application using PoDoFo.
 .
 This package contains tools for working with PDF files.

Package: libpodofo0.9.6
Description-md5: d06b9c84c314e7bd8952e46d020948a4
Description-en: PoDoFo - library to work with the PDF file format
 The PoDoFo library is a free, portable C++ library which includes classes to
 parse PDF files and modify their contents into memory. The changes can be
 written back to disk easily. The parser can also be used to extract
 information from a PDF file (for example the parser could be used in a PDF
 viewer). Besides parsing PoDoFo includes also very simple classes to create
 your own PDF files. All classes are documented so it is easy to start writing
 your own application using PoDoFo.

Package: libpoe-component-client-dns-perl
Description-md5: 0d1861bbda99450b20183889bc098f61
Description-en: POE Component for performing asynchronous DNS queries
 POE::Component::Client::DNS is a wrapper for non-blocking DNS queries based on
 Net::DNS (libnet-dns-perl). It lets other tasks run while something is waiting
 for a nameserver response and allows multiple DNS servers to be queried at the
 same time. DNS client components are spawned as separate sessions rather than
 being created as proper objects; for full details, see the documentation.

Package: libpoe-component-client-http-perl
Description-md5: 763263eed6d9084f4b08667fd44cf1a9
Description-en: POE Component for running asynchronous HTTP transactions
 POE::Component::Client::HTTP is an HTTP User-Agent that allows programs to
 easily make HTTP requests within the POE framework. It allows other sessions
 to run while these transactions are being processed and can handle several
 HTTP transactions in parallel.

Package: libpoe-component-client-ident-perl
Description-md5: e9a9eae77170cf3402f5e787ff70645f
Description-en: a POE component that provides non-blocking ident lookups
 POE::Component::Client::Ident is a POE component that provides
 non-blocking ident lookup services to other POE components and
 sessions.

Package: libpoe-component-client-keepalive-perl
Description-md5: 55ce61326cac4d5f8c8a71cc33687d6c
Description-en: POE component that manages and keeps alive client connections
 POE::Component::Client::Keepalive creates and manages connections for other
 components. It maintains a cache of kept-alive connections for quick reuse.
 It is written specifically for clients that can benefit from kept-alive
 connections, such as HTTP clients. Using it for one-shot connections would
 probably be silly.

Package: libpoe-component-client-mpd-perl
Description-md5: 6092cff107cb7e827f8d2f20161309b9
Description-en: client library for MPD (Music Player Daemon)
 POE::Component::Client::MPD is a Perl POE component module that provides a
 clear message passing interface for talking to and controlling Music Player
 Daemon (MPD) servers. A connection to the MPD server is established as soon
 as a new object is created. Commands are then sent to the server as messages
 are passed.

Package: libpoe-component-client-ping-perl
Description-md5: 36a5b886a5c0597f892c9aa9e411b4c3
Description-en: ICMP ping client component for POE
 POE::Component::Client::Ping is an asynchronous, event driven client
 for ICMP ping. It accepts events containing the specifics of ICMP
 ping requests, and it returns events carrying the results of those
 pings.
 .
 Ping client components are not proper objects.  Instead of being
 created, as most objects are, they are "spawned" as separate sessions.
 To avoid confusion (and hopefully not cause other confusion), they
 must be spawned with a spawn method, not created anew with a new
 one.

Package: libpoe-component-dbiagent-perl
Description-md5: f26dd5d43fff4a74bf1bab1b50b3b9d2
Description-en: POE Component for running asynchronous DBI calls
 POE::Component::DBIAgent is your answer to non-blocking DBI in POE.
 .
 It fires off a configurable number child processes (defaults to 3) and
 feeds database queries to it via two-way pipe (or sockets ... however
 POE::Component::Wheel::Run is able to manage it).  The primary method
 is query.

Package: libpoe-component-irc-perl
Description-md5: b8b646cc0a2eee06f62e229e4f484810
Description-en: POE Component for manipulating IRC sessions
 POE::Component::IRC is a POE component which acts as an easily controllable
 IRC client for your other POE components and sessions. You create an IRC
 component and tell it what events your session cares about and where to
 connect to, and it sends back interesting IRC events when they happen. You
 make the client do things by sending it events.

Package: libpoe-component-jabber-perl
Description-md5: a5645571063ac791a0f5105593dd81aa
Description-en: pOE Component for communicating over Jabber
 POE::Component::Jabber is a module that simplies for the POE developer, access
 to the Jabber protocol through the use of one of the four Client classes. With
 built in events for common Jabber packets, all a POE developer need do is
 provide an event and arguments for most events so the responses to the
 requests can be properly handled by the coder.
 .
 Please see the Client classes for more detailed descriptions of the events
 provided.

Package: libpoe-component-jobqueue-perl
Description-md5: 0ffeab47c7f4380c105f575720ee944f
Description-en: POE component to manage queues and worker pools
 POE::Component::JobQueue manages a finite pool of worker sessions as they
 handle an arbitrarily large number of tasks. It often is used as a form of
 flow control, preventing a large group of tasks from exhausting some sort of
 resource.
 .
 This module implements two kinds of queue: active and passive. Both types of
 queues use a Worker coderef to spawn sessions that process jobs, but how they
 use the Worker differs between them.

Package: libpoe-component-pcap-perl
Description-md5: 78db90abf83a4ef00f8c9af75080d3b3
Description-en: POE Interface to Net::Pcap
 POE::Component::Pcap provides a wrapper for using the Net::Pcap module
 from POE programs.  The component creates a separate session which
 posts events to a specified session and state when packets are
 available.

Package: libpoe-component-pool-thread-perl
Description-md5: 7816203191bc7fd9455fb2b41d023c89
Description-en: POE Managed Boss/Worker thread pool
 Thread pool expand-on-demand managed through a POE session in a
 manner that does not interfere with cooperative multitasking. A single pipe is
 created, each thread communicates its state to the main process through this
 pipe. No serialization occurs (these are threads, not child processes), so
 execution is very fast.
 .
 This package provides the Perl modules Poe::Component::Pool::Thread.

Package: libpoe-component-pubsub-perl
Description-md5: 0ef81bd14c5518de285b69b89dcc6c9e
Description-en: generic publish/subscribe POE::Component
 POE::Component::PubSub is a generic publish/subscribe POE::Component
 that enables POE::Sessions to publish events to which other
 POE::Sessions may subscribe.

Package: libpoe-component-resolver-perl
Description-md5: 447f2364ca043d729c0d6b76eb97d548
Description-en: POE Component for domain name resolution
 POE::Component::Resolver is a Perl module that provides nonblocking domain
 name resolution by using Socket::GetAddrInfo's getaddrinfo() function in
 subprocesses where they are permitted to block indefinitely.
 .
 By default, it will use a maximum of eight subprocesses and prefer address
 families in whatever order Socket::GetAddrInfo returns them. These defaults
 can be overridden with constructor parameters.

Package: libpoe-component-rssaggregator-perl
Description-md5: 82556eb1fc386a71172bc750ee19c116
Description-en: POE component to watch multiple RSS feeds
 POE::Component::RSSAggregator is a POE component that can monitor multiple
 RSS feeds for new headlines/items. Each configured RSS feed has its own
 update interval. Whilst running, new feeds can be added and existing feeds
 paused, resumed and removed.

Package: libpoe-component-schedule-perl
Description-md5: ee3d5c6321f1a1754e7d130bf43eff54
Description-en: Schedule POE events using DateTime::Set iterators
 This perl module is a POE component that sends events to POE client sessions on
 a schedule defined by a DateTime::Set iterator.
 .
 This module originally started as POE::Component::Cron and got forked in order
 to extract the generic parts and isolate the Cron specific code in order to
 reduce dependencies on other Perl modules. Nowadays, POE::Component::Cron
 inherits from POE::Component::Schedule.
 .
 This package provides the Perl module Poe::Component::Schedule.

Package: libpoe-component-server-jsonrpc-perl
Description-md5: 3f79f60d4b105ebd018437621bf6e183
Description-en: POE tcp and http based JSON-RPC 1.0 server
 This Perl module is a POE component that allows you to easily create an HTTP
 or TCP JSON RPC server inside POE.
 .
 The specification is defined on http://json-rpc.org/ and this module uses
 the JSON-RPC specification version 1.0 (version 1.1 does not cover TCP
 streams).
 .
 This package provides Poe::Component::Server::Jsonrpc.

Package: libpoe-component-server-simplehttp-perl
Description-md5: b474a6b22607f4de58bb30ce2d580fcc
Description-en: simple HTTP server for POE
 POE::Component::Server::SimpleHTTP is Perl extension to easily serve HTTP
 requests within the POE framework. It also includes support for secure
 connections via SSL if POE::Component::SSLify (libpoe-component-sslify-perl),
 and pre-forking if IPC::Shareable (libipc-shareable-perl) are available.

Package: libpoe-component-server-soap-perl
Description-md5: 13819232ac1ea303fe5e9ea2f55f9d17
Description-en: POE component to publish event handlers via SOAP over HTTP
 POE::Component::Server::SOAP is a component that can publish event handlers
 via SOAP over HTTP. Currently, this module only supports SOAP/1.1 requests,
 though support for SOAP/1.2 is planned. A simple HTTP server is provided by
 POE::Component::Server::SimpleHTTP (libpoe-component-server-simplehttp-perl).

Package: libpoe-component-sslify-perl
Description-md5: f2654218699d515fc52cd0e503980e87
Description-en: module for SSL connection handling in POE Components
 POE::Component::SSLify is a POE Component that represents the standard way to
 handle SSL connections for other POE Components. It allows for the creation of
 SSL-wrapped sockets, generally used with POE::Wheel::SocketFactory, which are
 correctly handled by the POE engine.

Package: libpoe-component-syndicator-perl
Description-md5: da58a0969a0fb529baad617a3c5e19a6
Description-en: POE component base class which implements the Observer pattern
 POE::Component::Syndicator is a base class for POE components which need to
 handle a persistent resource (e.g. a connection to an IRC server) for one or
 more sessions in an extendable way.
 .
 POE::Component::Syndicator (as well as Object::Pluggable, which this module
 inherits from) was born out of POE::Component::IRC, the guts of which quickly
 spread to other POE components. Now they can all inherit from this module
 instead.

Package: libpoe-filter-http-parser-perl
Description-md5: cdc26331324a0a3b36e16a4ea6e45a0d
Description-en: POE filter for HTTP clients or servers
 POE::Filter::HTTP::Parser is a filter for the Perl Object Environment (POE)
 system based on HTTP::Parser. It can be used to easily create POE-based HTTP
 servers or clients.
 .
 With the type set to client, which is the default behaviour, 'get' will parse
 HTTP::Response objects from HTTP streams and 'put' will accept HTTP::Request
 objects and convert them to HTTP streams.
 .
 For servers, the reverse will happen; 'get' will parse HTTP::Request objects
 from HTTP streams and 'put' will accept HTTP::Response objects and convert
 them to HTTP streams. If there is an error parsing the request, this filter
 will generate a HTTP::Response object instead, encapsulating an error message
 suitable for simply sending back to the requesting client.

Package: libpoe-filter-ircd-perl
Description-md5: a313bd66483397b96c814b036e6a06cb
Description-en: parser for the IRC protocol
 POE::Filter::IRCD is a Perl module that provides a convenient way of creating
 and parsing Internet Relay Chat (IRC) protocol commands using the Perl Object
 Environment (POE) framework.
 .
 This module provides the basic parsing engine for various modules, including
 POE::Component::IRC and POE::Component::Server::IRC. A standalone version is
 available as Parse::IRC.

Package: libpoe-filter-ssl-perl
Description-md5: 7f09cd1824ecad60d59b5e67d9c23f70
Description-en: module to make SSL in POE easy and flexible
 POE::Filter::SSL allows one to secure connections of POE::Wheel::ReadWrite
 with OpenSSL by a POE::Filter object, and behaves (beside of SSLing) as
 POE::Filter::Stream.
 .
 POE::Filter::SSL can be added, switched and removed during runtime, for
 example if you want to initiate SSL (see the SSL on an established
 connection example in SYNOPSIS) on an already established connection. You
 are able to combine POE::Filter::SSL with other filters, for example have
 a HTTPS server together with POE::Filter::HTTPD.
 .
 POE::Filter::SSL is based on Net::SSLeay, but got two XS functions which
 Net::SSLeay is missing.

Package: libpoe-filter-stomp-perl
Description-md5: 3970b6f89dbfaba13f15fcd466c9b06b
Description-en: Perl extension for the POE Environment to provide a Stomp filter
 POE::Filter::Stomp is a filter for the POE environment. It will translate the
 input buffer into Net::Stomp::Frame objects and serialize the output buffer
 from said objects. For more information an the STOMP protocol, please refer
 to: https://stomp.github.io/ .

Package: libpoe-filter-xml-perl
Description-md5: 935985b89a1998077e08c60bf66f072d
Description-en: POE Filter for parsing XML
 POE::Filter::XML is a Perl module that provides POE with an encapsulated XML
 parsing strategy that processes XML streams. The default XML::SAX compliant
 Handler will produce POE::Filter::XML::Node objects, but this behaviour can
 be changed by overriding the default Handler.

Package: libpoe-loop-event-perl
Description-md5: 94e0f2188a4a2c7a9f17e87e58c18005
Description-en: POE event loop implementation using Event
 POE::Loop::Tk implements the standard POE::Loop event loop interface (see the
 documentation from libpoe-perl) for the Event library (see libevent-perl). It
 provides features needed to manage an Event-based event loop in Perl in a
 consistent fashion and does not differ from the standard interface.

Package: libpoe-loop-tk-perl
Description-md5: ee8e64c2f79a0e1424a7a630561f32b8
Description-en: POE event loop implementation using Tk
 POE::Loop::Tk implements the standard POE::Loop event loop interface for the
 Tk toolkit. It provides features needed to manage a Tk-based event loop in
 Perl in a consistent fashion and does not differ from the standard interface.
 Please see POE::Loop from libpoe-perl for details on how this works.

Package: libpoe-perl
Description-md5: 0b5e51f6ec6d0c70457fc5463047ce44
Description-en: event-driven component architecture for Perl
 POE stands for "Perl Object Environment" and provides libraries for event
 driven state machines, I/O abstraction and object management. It includes
 high level interfaces for sockets, alarms and file input/output. It can be
 used as a cooperative threading environment and/or a portable component
 architecture.

Package: libpoe-test-loops-perl
Description-md5: a076a11fd7ccd5694592b83bc3e76961
Description-en: test framework for POE event loops
 POE::Test::Loops is a Perl helper module that provides a framework for testing
 the functionality of POE event loops. It contains a single function that sets
 up all the loop tests for one or more POE::Loop subclasses. It is most useful
 during development and building of POE event loops.

Package: libpoet-perl
Description-md5: 0e6def1f67d13ba4c290db24c18826a5
Description-en: modern Perl web framework for Mason developers
 Poet is a modern Perl web framework designed especially for Mason
 developers. It uses PSGI/Plack for server integration, Mason for request
 routing and templating, and a selection of best-of-breed CPAN modules
 for caching, logging and configuration.

Package: libpolkit-qt5-1-1
Description-md5: 60a9e5489f8fa8c76f239dfe23d37b8e
Description-en: PolicyKit-qt5-1 library
 PolicyKit is an application-level toolkit for defining and handling the policy
 that allows unprivileged processes to speak to privileged processes.
 .
 It is a framework for centralizing the decision making process with respect to
 granting access to privileged operations (like calling the HAL Mount() method)
 for unprivileged (desktop) applications.
 .
 libpolkit-qt5-1 provides convenience classes and methods for Qt/KDE
 applications that want to use PolicyKit.
 .
 This package contains the files necessary for running applications that use
 the libpolkit-qt5-1 library.

Package: libpolkit-qt5-1-dev
Description-md5: 438a57ab990a33a68ac6ec9b2d17fb5b
Description-en: PolicyKit-qt5-1 development files
 PolicyKit is an application-level toolkit for defining and handling the policy
 that allows unprivileged processes to speak to privileged processes.
 .
 It is a framework for centralizing the decision making process with respect to
 granting access to privileged operations (like calling the HAL Mount() method)
 for unprivileged (desktop) applications.
 .
 libpolkit-qt5-1 provides convenience classes and methods for Qt/KDE
 applications that want to use PolicyKit-1.
 .
 This package contains the development libraries and headers.

Package: libpolled-camera-dev
Description-md5: 60129b9b30ab198b8f35d5ce3c328119
Description-en: Robot OS polled_camera package - development
 This package is part of Robot OS (ROS). It contains the C++ header
 files for implementing a polled camera driver node and requesting
 images from it. The package is currently for internal use as the API
 is still under development.

Package: libpolled-camera0d
Description-md5: 825df1306296d69a86a31fc7019aa310
Description-en: Robot OS polled_camera package
 This package is part of Robot OS (ROS). It contains a service and C++
 helper classes for implementing a polled camera driver node and
 requesting images from it. The package is currently for internal use
 as the API is still under development.

Package: libpolyclipping-dev
Description-md5: 8e9dbf3dbcb218bd659852e7ad6eb32b
Description-en: Polygon and line clipping and offsetting library (development files)
 The Clipper library performs polygon clipping, polygon offsetting and polyline
 offsetting. All four boolean clipping operations are supported - intersection,
 union, difference and exclusive-or. Also, there are no restrictions on the
 types of polygons that can be clipped - they can have holes, be
 self-intersecting, have coincident edges etc.
 .
 These are the files needed for compiling programs with libpolyclipping.

Package: libpolyclipping22
Description-md5: 03166c6c67aaf16891cfaa8dbae0a859
Description-en: Polygon and line clipping and offsetting library (shared library)
 The Clipper library performs polygon clipping, polygon offsetting and polyline
 offsetting. All four boolean clipping operations are supported - intersection,
 union, difference and exclusive-or. Also, there are no restrictions on the
 types of polygons that can be clipped - they can have holes, be
 self-intersecting, have coincident edges etc.

Package: libpolyglot-maven-java
Description-md5: 6cc8a4c50d8ec0978239e80fafca21b6
Description-en: modules to enable Maven usage in others JVM languages
 Polyglot Maven harnesses the power of Maven through modern implementations
 of the JVM language like Groovy, Scala, Clojure and JRuby.

Package: libpolyglot-maven-java-doc
Description-md5: 589db571ded3f7e63dfd2f846152b3f1
Description-en: modules to enable Maven usage in others JVM languages - docs
 Polyglot Maven harnesses the power of Maven through modern implementations
 of the JVM language like Groovy, Scala, Clojure and JRuby.
 .
 This package contains the API documentation of libpolyglot-maven-java.

Package: libpolylib64-8
Description-md5: b9a887acc4a438765955b94fcde43136
Description-en: Polyhedral library - long int version
 Polylib is a free C library for doing computations on polyhedra.
 The library is operating on objects like vectors, matrices, lattices,
 polyhedra, Z-polyhedra, unions of polyhedra and other intermediary
 structures.
 It provides functions for all important operations on these structures.
 This package provides the version for operations on long integers.

Package: libpolylib64-dev
Description-md5: b3fb7c1bba36379d4f52f9e8fe851607
Description-en: Development files for the long int version of PolyLib
 Polylib is a free C library for doing computations on polyhedra.
 The library is operating on objects like vectors, matrices, lattices,
 polyhedra, Z-polyhedra, unions of polyhedra and other intermediary
 structures.
 It provides functions for all important operations on these structures.
 .
 This package contains the header files and static libraries which are
 needed for developing polylib applications.

Package: libpolymake-dev
Description-md5: b22abf1005eeb32d0168e9c8a7ad4992
Description-en: Tool for algorithmic discrete geometry -- development package
 Polymake started out as a tool for the algorithmic treatment of convex
 polyhedra. By now it also deals with finite simplicial complexes,
 tight spans of finite metric spaces, polyhedral surfaces, and other
 discrete mathematical objects.
 .
 This package contains shared library symlinks.

Package: libpolymake-dev-common
Description-md5: cdce7cffe84208fee2db82e1fac587c9
Description-en: Tool for algorithmic discrete geometry -- common dev package
 Polymake started out as a tool for the algorithmic treatment of convex
 polyhedra. By now it also deals with finite simplicial complexes,
 tight spans of finite metric spaces, polyhedral surfaces, and other
 discrete mathematical objects.
 .
 This package contains common header files.

Package: libpolymake4.0
Description-md5: bab29e1d36a27516ec3e107e360f035a
Description-en: Tool for algorithmic discrete geometry -- library package
 Polymake started out as a tool for the algorithmic treatment of convex
 polyhedra. By now it also deals with finite simplicial complexes,
 tight spans of finite metric spaces, polyhedral surfaces, and other
 discrete mathematical objects.
 .
 This package contains shared libraries.

Package: libpolyml-dev
Description-md5: db20fd643ea90de1e706c31ed98524f2
Description-en: development files for Poly/ML, a compiler for Standard ML
 Poly/ML is an implementation of the Standard ML programming language
 Standard ML is a general-purpose, modular, type-safe, strict,
 functional programming language. Poly/ML is SML 97 compliant
 interpreter and compiler that supports the generation of stand-alone
 executables with an interactive toplevel (REPL).
 .
 This package provides the files needed to compile stand-alone executables
 with Poly/ML.

Package: libpolyml9
Description-md5: 6e1db82c6b32728b54ff598cdd28d4f4
Description-en: runtime files for Poly/ML, a compiler for Standard ML
 Poly/ML is an implementation of the Standard ML programming language
 Standard ML is a general-purpose, modular, type-safe, strict,
 functional programming language. Poly/ML is SML 97 compliant
 interpreter and compiler that supports the generation of stand-alone
 executables with an interactive toplevel (REPL).
 .
 This package provides the files needed to run stand-alone executables
 built with Poly/ML.

Package: libpomegranate-clojure
Description-md5: 4149edf9922cf9fb6aa2e64710b67505
Description-en: dependency resolution and repository handling library for Clojure
 pomegranate provides a Clojure interface to sonatype-aether. It supports the
 following features from Aether:
 .
  *Dependency resolution and common dependency graph/hierarchy manipulation
   operations.
  *Local installation of artifacts.
  *Remote deployment.
  *Repository authentication.
  *HTTP proxy configuration.
  *Offline mode.
 .
 It also allows provides dynamic inclusion of libraries in the classpath
 whether the libraries are installed or have to be retrieved from a repository.

Package: libpomp-dev
Description-md5: 462dac45625fb4a3c4bf1827c2a30113
Description-en: OpenMP Pragma And Region Instrumentor - development files
 OPARI is a source-to-source translation tool which automatically adds all
 necessary calls to the pomp runtime measurement library which allows one to
 collect runtime performance data of Fortran, C, or C++ OpenMP applications.
 .
 This package contains the development files.

Package: libpomp0
Description-md5: 0086375d9ce71a51b049c4eacc96e93d
Description-en: OpenMP Pragma And Region Instrumentor - shared library
 OPARI is a source-to-source translation tool which automatically adds all
 necessary calls to the pomp runtime measurement library which allows one to
 collect runtime performance data of Fortran, C, or C++ OpenMP applications.
 .
 This package contains the shared library.

Package: libpomp2-dev
Description-md5: 05d40f81c1245cde8f9dfdaf6a3e6f33
Description-en: OpenMP Pragma And Region Instrumentor - development files
 OPARI2 is a source-to-source translation tool which automatically adds all
 necessary calls to the pomp runtime measurement library which allows one to
 collect runtime performance data of Fortran, C, or C++ OpenMP applications.
 .
 This is the successor of opari (1), with a completely reworked API
 .
 This package contains the development files.

Package: libpomp2-doc
Description-md5: 81585be3db083b2aea198678c40cf736
Description-en: OpenMP Pragma And Region Instrumentor - documentation
 OPARI2 is a source-to-source translation tool which automatically adds all
 necessary calls to the pomp runtime measurement library which allows one to
 collect runtime performance data of Fortran, C, or C++ OpenMP applications.
 .
 This is the successor of opari (1), with a completely reworked API
 .
 This package contains the development documentation.

Package: libponapi-client-perl
Description-md5: 012f0215c7c53dc7ec045c50d888a0bc
Description-en: client to a JSON:API v1.0 service
 PONAPI::Client is a JSON:API compliant client; it should be able to communicate
 with any API-compliant service.
 .
 The client does a handful of checks required by the spec, then uses Hijk to
 communicate with the service.
 .
 In most cases, all API methods return a response document:
 .
 my $response = $client->retrieve(...);
 .
 In list context however, all API methods will return the request status and
 the document:
 .
 my ($status, $response) = $client->retrieve(...)

Package: libpoppler-cil
Description-md5: 04b0ffe2a12ed94c9ff877099919d911
Description-en: PDF rendering library -- CLI bindings
 Poppler is a PDF rendering library based on the xpdf PDF viewer.
 .
 This package contains the managed CLI bindings for Poppler, which are needed to
 run CLI applications which use this library.

Package: libpoppler-cil-dev
Description-md5: 6bc9e7a3f117bd6bce0b27fd4e8eb790
Description-en: PDF rendering library -- CLI development files
 Poppler is a PDF rendering library based on the xpdf PDF viewer.
 .
 This package contains the development files needed to compile CLI applications
 which use this library.

Package: libpoppler-qt5-1
Description-md5: 0ac9bcecfcfad7f5e76c5f9836ae7168
Description-en: PDF rendering library (Qt 5 based shared library)
 Poppler is a PDF rendering library based on Xpdf PDF viewer.
 .
 This package provides the Qt 5 based shared library for applications
 using the Qt 5 interface to Poppler.

Package: libpoppler-qt5-dev
Description-md5: 23f42cab8509c36d744da26df5cb855a
Description-en: PDF rendering library -- development files (Qt 5 interface)
 Poppler is a PDF rendering library based on Xpdf PDF viewer.
 .
 This package contains the headers and development libraries needed to
 build applications using the Qt 5-based Poppler interface.

Package: libpopplerkit-dev
Description-md5: b0eeb9a84bc1a995bae5b9f772f8269e
Description-en: GNUstep framework for rendering PDF content (development files)
 PopplerKit is a GNUstep framework for accessing and rendering PDF content.  It
 is based on the poppler library.
 .
 Its features are:
   - Render PDF content.
   - Extract text from a PDF document.
   - Access a PDF document's outline.
   - Search in PDF documents.
 .
 This package contains the development files.

Package: libpopplerkit0
Description-md5: 07702e6643fbfe38be725a27b99f1528
Description-en: GNUstep framework for rendering PDF content (library files)
 PopplerKit is a GNUstep framework for accessing and rendering PDF content.  It
 is based on the poppler library.
 .
 Its features are:
   - Render PDF content.
   - Extract text from a PDF document.
   - Access a PDF document's outline.
   - Search in PDF documents.
 .
 This package contains the runtime libraries.

Package: libportaudio-ocaml
Description-md5: fb65a39d696878c299e81b98a6276e2a
Description-en: OCaml bindings for the portaudio library
 Portaudio is a portable audio I/O library designed for cross-platform
 support of audio. This package provides OCaml interface to this library.
 .
 This package contains only the shared runtime stub libraries.

Package: libportaudio-ocaml-dev
Description-md5: c6e9b6a42f082a419edccce135632fdf
Description-en: OCaml bindings for the portaudio library
 Portaudio is a portable audio I/O library designed for cross-platform
 support of audio. This package provides OCaml interface to this library.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use portaudio.

Package: libportaudio2
Description-md5: a71bbbd00a98fe093b85cc97ff79e9ae
Description-en: Portable audio I/O - shared library
 PortAudio is a portable audio I/O library designed for cross-platform
 support of audio. It uses a callback mechanism to request audio
 processing.  Audio can be generated in various formats, including 32
 bit floating point, and will be converted to the native format
 internally.
 .
 This package contains the shared library.

Package: libportaudiocpp0
Description-md5: cad40baf68fba1028830450850d536d4
Description-en: Portable audio I/O C++ bindings - shared library
 PortAudio is a portable audio I/O library designed for cross-platform
 support of audio. It uses a callback mechanism to request audio
 processing.  Audio can be generated in various formats, including 32
 bit floating point, and will be converted to the native format
 internally.
 .
 This package contains the shared library of the C++ bindings.

Package: libportlet-api-2.0-spec-java
Description-md5: b9f860908ed13a85f414b0a956fb2db4
Description-en: Java Portlet Specification V2.0
 The Java Portlet API version 2.0 developed by the Java Community Process
 JSR-286 Expert Group.
 .
 Portlets are pluggable user interface components that are managed
 and displayed in a web portal like Liferay or JBoss Gatein.
 .
 Package current source code is taken from Apache Portals project
 <http://portals.apache.org/>.

Package: libportlet-api-2.0-spec-java-doc
Description-md5: d761dba5637223ff3812cc2b7d087e53
Description-en: Java Portlet Specification V2.0 - documentation
 The Java Portlet API version 2.0 developed by the Java Community Process
 JSR-286 Expert Group.
 .
 Portlets are pluggable user interface components that are managed
 and displayed in a web portal like Liferay or JBoss Gatein.
 .
 Package current source code is taken from Apache Portals project
 <http://portals.apache.org/>.
 .
 This package provides the API documentation for libportlet-api-2.0-spec-java.

Package: libportmidi-dev
Description-md5: 5228c4cc5fee85d858a90538c466e3bd
Description-en: library for real-time MIDI input/output
 PortMidi is a platform independent library for MIDI input/output.
 This package provides the header files for PortMidi and PortTime.

Package: libportmidi0
Description-md5: 9f9666446f0ffc1d9fc1a123b2e6ae06
Description-en: library for real-time MIDI input/output
 PortMidi is a platform independent library for MIDI input/output.
 This package provide the PortMidi and PortTime libraries.

Package: libportsmf-dev
Description-md5: 9397c31b165890b13d8080d249f55866
Description-en: Portable Standard Midi File Library (development files)
 Portsmf is "Port Standard MIDI File", a cross-platform, C++ library for reading
 and writing Standard MIDI Files.
 .
 Features:
 .
  - input and output of Standard MIDI Files
  - data structures, classes, etc. for representing music data in memory
    o sequence structure consisting of multiple tracks
    o track structure consisting of multiple events
    o events contain note and control data
    o extensible attribute-value property lists
    o tempo track and time signature representation
  - input and output of a text-based representation: Allegro files
  - extensive editing operations on sequences and tracks
  - conversion to/from binary buffers for archiving, undo/redo, etc.
 .
 This package contains the development files.

Package: libportsmf0v5
Description-md5: 3447fc91d252aad27e8609d6417968d9
Description-en: Portable Standard Midi File Library
 Portsmf is "Port Standard MIDI File", a cross-platform, C++ library for reading
 and writing Standard MIDI Files.
 .
 Features:
 .
  - input and output of Standard MIDI Files
  - data structures, classes, etc. for representing music data in memory
    o sequence structure consisting of multiple tracks
    o track structure consisting of multiple events
    o events contain note and control data
    o extensible attribute-value property lists
    o tempo track and time signature representation
  - input and output of a text-based representation: Allegro files
  - extensive editing operations on sequences and tracks
  - conversion to/from binary buffers for archiving, undo/redo, etc.

Package: libposix-2008-perl
Description-md5: 3bd8ff26ec2a2ae64475cd8e8b11e562
Description-en: Perl interface to POSIX.1-2008
 POSIX::2008 contains many of the interfaces specified by POSIX.1-2008 that
 the core POSIX module withholds, implements in Perl or messed up.
 .
 POSIX::2008 is provided "as is" unless someone volunteers to maintain it.

Package: libposix-atfork-perl
Description-md5: d06c6239d2bed0db5bc575fbdc347d41
Description-en: hook registrations at fork(2)
 POSIX::AtFork is an interface to pthread_atfork(3), which registers
 handlers called before and after fork(2).

Package: libposix-strftime-compiler-perl
Description-md5: f03824b2cd502b6dda91d3bda64ab8c9
Description-en: GNU C library compatible strftime for loggers and servers
 POSIX::strftime::Compiler provides a GNU C library compatible
 strftime(3), which is not affected by the system locale. This is useful
 when you want to write loggers, servers and portable applications that
 generate the same result strings on any locale. Technically,
 POSIX::strftime::Compiler wraps POSIX::strftime and converts some format
 characters to perl code.

Package: libposix-strptime-perl
Description-md5: 040fcdfc673050d32fd1454253dacce6
Description-en: Perl extension to the POSIX date parsing strptime(3) function
 POSIX::strptime is a Perl interface to strptime(3). The strptime()
 function converts the specified character string to time values, using
 the specified format.

Package: libpostfix-parse-mailq-perl
Description-md5: 9ef17461b96057383982497f77a88520
Description-en: module to parse the postfix mail queue
 Postfix::Parse::Mailq is a Perl module that reads the output of Postfix's
 mailq command and returns the contents of the queue as an arrayref. Each
 record is then reported as a hashref.

Package: libpostgis-java
Description-md5: 9a0091420d5a2bf380ec2f5dff0a1246
Description-en: Geographic objects support for PostgreSQL -- JDBC support
 PostGIS adds support for geographic objects to the PostgreSQL
 object-relational database. In effect, PostGIS "spatially enables"
 the PostgreSQL server, allowing it to be used as a backend spatial
 database for geographic information systems (GIS), much like ESRI's
 SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS
 "Simple Features Specification for SQL".
 .
 This package contains JDBC support for PostGIS.

Package: libpostgresql-gst
Description-md5: 389bbd2e39dde39e012a7647bdd17165
Description-en: PostgreSQL bindings for GNU Smalltalk
 This package contains the GNU Smalltalk DataBase Interface (DBI) connector
 for PostgreSQL.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libpostgresql-jdbc-java
Description-md5: bb1e9935490d1fa107fc086ecb80a0d4
Description-en: Java database (JDBC) driver for PostgreSQL
 PostgreSQL JDBC Driver allows Java programs to connect to a PostgreSQL
 database (8.4 or later) using standard, database independent Java code.
 It is an open source JDBC driver written in Pure Java (Type 4), and
 communicates in the PostgreSQL native network protocol.

Package: libpostgresql-jdbc-java-doc
Description-md5: cfe48f9a4b076311baccc0cb35fa2b40
Description-en: Java database (JDBC) driver for PostgreSQL (documentation)
 PostgreSQL JDBC Driver allows Java programs to connect to a PostgreSQL
 database (8.4 or later) using standard, database independent Java code.
 It is an open source JDBC driver written in Pure Java (Type 4), and
 communicates in the PostgreSQL native network protocol.
 .
 This package contains the documentation.

Package: libpostgresql-ocaml
Description-md5: 718c84528714ad45f0e927a5223b0c9a
Description-en: OCaml bindings to PostgreSQL's libpq (runtime)
 This OCaml-library provides an interface to PostgreSQL, an
 efficient and reliable, open source, relational database.
 Almost all functionality available through the C-API (libpq) is
 replicated in a type-safe way. This library uses objects for
 representing database connections and results of queries.
 .
 This package contains the shared runtime stub libraries and plugins.

Package: libpostgresql-ocaml-dev
Description-md5: 0df7c2b97d5fb18845f885e61a3b8925
Description-en: OCaml bindings to PostgreSQL's libpq
 This OCaml-library provides an interface to PostgreSQL, an
 efficient and reliable, open source, relational database.
 Almost all functionality available through the C-API (libpq) is
 replicated in a type-safe way. This library uses objects for
 representing database connections and results of queries.
 .
 This package contains all the development stuff you need to use
 OCaml Postgres bindings in your programs.

Package: libpostproc-dev
Description-md5: 6db719e99d6d383cd3dae3c2fe7581d0
Description-en: FFmpeg library for post processing - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides video post processing.
 .
 This package contains the development files.

Package: libpostproc55
Description-md5: c6ed7c9917aafdc1ed5ecc1810e6f056
Description-en: FFmpeg library for post processing - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides video post processing.
 .
 This package contains the runtime files.

Package: libpostscript-file-perl
Description-md5: 5e3fd9196f919855bc71cd4cfe60add7
Description-en: module for creating Adobe PostScript files
 PostScript::File is a Perl module capable of producing the outline for an
 Adobe PostScript file. It provides convenient routines for writing PostScript
 directly, and also includes error reporting and debugging facilities. Though
 it is designed to be used independently, the functions provided are suitable
 for use in other modules.

Package: libpostscript-perl
Description-md5: b41447351b3b7cc358e3bd839b4f91cf
Description-en: Perl module to generate PostScript code
 The PostScript module lets you generate PostScript files within a Perl
 script.
 .
 With PostScript::Element you can represent circles, boxes, lines and images
 such that they can be easily output as PostScript code.
 With PostScript::TextBlock you can write text blocks into your output file.

Package: libpostscript-simple-perl
Description-md5: b74d10367f71b20b827c2c247b5dd416
Description-en: Perl library for generating postscript files
 PostScript::Simple allows you to have a simple method of writing
 PostScript files from Perl. It has several graphics primitives that
 allow lines, circles, polygons and boxes to be drawn. Text can be
 added to the page using standard PostScript fonts.

Package: libpostscriptbarcode
Description-md5: 45acc946dbee69ae19739dbb28c0ed91
Description-en: Barcode Writer in Pure PostScript
 Barcode Writer in Pure Postscript generates all barcode formats entirely
 within PostScript so that the process of converting the input data into the
 printed output can be performed by the printer or RIP itself. This is ideal
 for variable data printing (VDP) and avoids the need to re-implement the
 barcode generation process whenever your language needs change.

Package: libpotemkin-clojure
Description-md5: 435ae19f0541f3351949ac6a5f469444
Description-en: collection of facades and workarounds for Clojure
 Potemkin is a collection of facades and workarounds for things that are more
 difficult than they should be.  All functions are within the `potemkin'
 namespace.

Package: libpotrace-dev
Description-md5: abd121465afda9b39bd9e184a0586e97
Description-en: development files for potrace library
 potrace is a utility for tracing a bitmap, which means, transforming
 a bitmap into a smooth, scalable image.
 .
 libpotrace-dev contains header file needed to compile programs that
 utilize the potrace library.

Package: libpotrace0
Description-md5: 64e073d4ed763198ef6c64366f39e670
Description-en: library for tracing bitmaps
 potrace is a utility for tracing a bitmap, which means, transforming
 a bitmap into a smooth, scalable image.
 .
 libpotrace0 contains files needed to run programs that utilize the
 potrace library.

Package: libpowercap-dev
Description-md5: 00f97407fd3505672d9958fe5b11e7c3
Description-en: Development files for libpowercap
 This package provides everything needed to develop other programs and
 libraries with libpowercap, including header files and pkg-config
 configurations.

Package: libpowercap0
Description-md5: ab0a0f255f2c88f4c166bae8bea7489f
Description-en: Shared library for accessing the powercap Linux kernel feature
 This library provides methods to access the Linux kernel powercap
 interface. It exposes functions for direct access to sysfs files,
 as well as an abstraction to discover and operate on Intel RAPL
 power zones.

Package: libpowerdevilcore2
Description-md5: 8c32d1a6343e997fdec4f09dac398675
Description-en: Global power saver settings ui library.
 Power Devil allows you to define your all power settings.
 .
 This package contains the library files.

Package: libpowerdevilui5
Description-md5: 8c32d1a6343e997fdec4f09dac398675
Description-en: Global power saver settings ui library.
 Power Devil allows you to define your all power settings.
 .
 This package contains the library files.

Package: libpowerman0
Description-md5: 6fdd76e85e33c51f928b9af608effbb5
Description-en: Client library for Powerman - Centralized PDU management
 PowerMan is a tool for manipulating Power Distribution Units (PDUs) from a
 central location. It is suitable for remote operation in data centers or
 compute cluster environment.
 .
 This package contains the shared client library.

Package: libpowerman0-dev
Description-md5: 78e6d7a42ba7b35667ffbb96bd3853c6
Description-en: Development files for Powerman - Centralized PDU management
 PowerMan is a tool for manipulating Power Distribution Units (PDUs) from a
 central location. It is suitable for remote operation in data centers or
 compute cluster environment.
 .
 This package contains the development files.

Package: libpowermock-java
Description-md5: 89084fb3d1ac9503e0f16c8ddb4b11c6
Description-en: PowerMock testing framework for Java
 PowerMock allows you to unit test code normally regarded as untestable.
 For instance it is possible to mock static methods, remove static initializers,
 allow mocking without dependency injection and more. PowerMock works by
 bytecode manipulation. PowerMock also contain some utilities that gives
 you easier access to an objects internal state. PowerMock can be used to
 test otherwise untestable code and also to achieve a cleaner separation
 between test and production code.

Package: libppd-dev
Description-md5: 53b7ebf8070e3e07ab0c82414e6d6a2d
Description-en: postscript PPD file library, development kit
 This package contains the static library and header files used in
 development of programs that use libppd.

Package: libppd0
Description-md5: df813c66a5e2e7f5f63c243f89894c1d
Description-en: postscript PPD file library
 PostScript was designed as a device independent language. To be able
 to access device specific features like selecting different paper
 trays and turning on different imaging models, each printer vendor
 supplies a PostScript Printer Definition or PPD file. This library
 reads those PPD files and provides functions that allow a program to
 modify PostScript print jobs to acces these special features.

Package: libppi-html-perl
Description-md5: 608ded8221d2c21926a52749fdf2d13b
Description-en: module to highlight Perl code using PPI
 PPI::HTML is a Perl module which uses the PPI (a Perl Parsing Interface, see
 libppi-perl) module to create syntax-highlighted HTML documents from Perl
 code. It supports extensibility through stylesheets and provides an easy
 facility to change colours of your output.

Package: libppi-perl
Description-md5: 65e8ee936b1f6025105595702eb5063b
Description-en: module to parse, analyze and manipulate Perl code
 PPI provides the ability to parse, analyze and manipulate Perl code without
 actually executing the code, by implementing a lexer similar to what perl has
 itself internally.
 .
 The purpose of PPI is not to parse Perl Code, but to parse Perl Documents. By
 making this distinction and treating the problem this way, one could analyze
 files containing Perl source code in isolation from any other resources and
 without executing it, including other libraries. A significant feature of PPI
 is the ability to analyze Perl source code without requiring an instance of
 perl to run alongside or inside the parser.

Package: libppi-xs-perl
Description-md5: 3d0bbd6ee1b138a7782503612232647b
Description-en: Perl module to accelerate the Perl Parsing Interface (PPI)
 PPI::XS provides XS-based acceleration of the core PPI packages. It
 selectively replaces a (small but growing) number of methods throughout PPI
 with identical but much faster C versions.
 .
 Once installed, it will be auto-detected and loaded in by PPI completely
 transparently. The integration between the primary (Perl) implementation and
 the XS-accelerated version are designed to degrade gracefully if they fall out
 of sync -- the Perl version is preferred over the XS version.

Package: libppix-documentname-perl
Description-md5: 456bbc6ad027eccc7f4c17b68bef3dc6
Description-en: utility to extract a name from a PPI Document
 PPIx::DocumentName contains a few utilities for extracting a "name" out of an
 arbitrary Perl file.
 .
 Typically, this is the module name, in the form:
 .
  package Foo
 .
 However, it also supports extraction of an override statement in the form:
 .
  # PODNAME: OverrideName::Goes::Here
 .
 which may be more applicable for documents that lack a package statement, or
 the package statement may be "wrong", but they still need the document parsed
 under the guise of having a name (for purposes such as POD).

Package: libppix-editortools-perl
Description-md5: 1ca9ae8202a21cfb6c6fa30e94209844
Description-en: set of tools for editors to use for manipulating Perl via PPI
 PPIx::EditorTools is a set of tools designed to be used by IDEs and Editors
 to manipulate Perl code. It provides base classes and utility methods for
 manipulating Perl via PPI.

Package: libppix-quotelike-perl
Description-md5: d3077502829fc3b1fef390c3e3d0b9f9
Description-en: module to parse Perl string literals and string-literal-like things
 The PPIx::QuoteLike module parses Perl string literals and things that are
 reasonably like string literals. Its real reason for being is to find
 interpolated variables for Perl::Critic policies and similar code.

Package: libppix-regexp-perl
Description-md5: affd490263909622de74933e9561bd66
Description-en: module to parse regular expressions
 PPIx::Regexp is a Perl module that parses regular expressions in a manner
 similar to the way that PPI (see libppi-perl) parses Perl documents. This
 class forms the root of the parse tree, which is similar to PPI::Document.
 Like PPI, this module produces output which is round-trip safe.

Package: libppix-utilities-perl
Description-md5: e922ae96d09b0f657c401d05c9b73c7c
Description-en: Perl module containing extensions to PPI
 This is a collection of functions for dealing with PPI objects, many of which
 originated in Perl::Critic. They are organized into modules by the kind of
 PPI class they relate to, by replacing the "PPI" at the front of the module
 name with "PPIx::Utilities", e.g. functionality related to PPI::Nodes is in
 PPIx::Utilities::Node.

Package: libppl-c4
Description-md5: 3c87365d9c2bd2105b6021fbbfa6cdf0
Description-en: Parma Polyhedra Library (C interface)
 The Parma Polyhedra Library (PPL) is a C++ library for the
 manipulation of (not necessarily closed) convex polyhedra and other
 numerical abstractions.  The applications of convex polyhedra include
 program analysis, optimized compilation, integer and combinatorial
 optimization and statistical data-editing.  The Parma Polyhedra
 Library is user friendly (you write `x + 2*y + 5*z <= 7' when you
 mean it), fully dynamic (available virtual memory is the only
 limitation to the dimension of anything), written in standard C++,
 exception-safe, rather efficient and thoroughly documented.
 .
 This package provides the C interface.

Package: libppl-dev
Description-md5: 4fa45d1b24bb1abf6f705e66d3cc4f4a
Description-en: Parma Polyhedra Library (development)
 The Parma Polyhedra Library (PPL) is a C++ library for the
 manipulation of (not necessarily closed) convex polyhedra and other
 numerical abstractions.  The applications of convex polyhedra include
 program analysis, optimized compilation, integer and combinatorial
 optimization and statistical data-editing.  The Parma Polyhedra
 Library is user friendly (you write `x + 2*y + 5*z <= 7' when you
 mean it), fully dynamic (available virtual memory is the only
 limitation to the dimension of anything), written in standard C++,
 exception-safe, rather efficient and thoroughly documented.
 .
 This package provides the header files and static libraries for the
 C and C++ interfaces.

Package: libppl-doc
Description-md5: e029bc6a5a70f3c124b8771f1c1acfb5
Description-en: Parma Polyhedra Library: Documentation
 The Parma Polyhedra Library (PPL) is a C++ library for the
 manipulation of (not necessarily closed) convex polyhedra and other
 numerical abstractions.  The applications of convex polyhedra include
 program analysis, optimized compilation, integer and combinatorial
 optimization and statistical data-editing.  The Parma Polyhedra
 Library is user friendly (you write `x + 2*y + 5*z <= 7' when you
 mean it), fully dynamic (available virtual memory is the only
 limitation to the dimension of anything), written in standard C++,
 exception-safe, rather efficient and thoroughly documented.
 .
 This package provides the documentation.

Package: libppl-swi
Description-md5: 4c97f42e74e4bb86b89de5582d8bbbdd
Description-en: Parma Polyhedra Library (SWI Prolog interface)
 The Parma Polyhedra Library (PPL) is a C++ library for the
 manipulation of (not necessarily closed) convex polyhedra and other
 numerical abstractions.  The applications of convex polyhedra include
 program analysis, optimized compilation, integer and combinatorial
 optimization and statistical data-editing.  The Parma Polyhedra
 Library is user friendly (you write `x + 2*y + 5*z <= 7' when you
 mean it), fully dynamic (available virtual memory is the only
 limitation to the dimension of anything), written in standard C++,
 exception-safe, rather efficient and thoroughly documented.
 .
 This package provides the SWI Prolog interface.

Package: libppl14
Description-md5: 5bfdb37d7ebe77449accec15da9c6faa
Description-en: Parma Polyhedra Library (runtime library)
 The Parma Polyhedra Library (PPL) is a C++ library for the
 manipulation of (not necessarily closed) convex polyhedra and other
 numerical abstractions.  The applications of convex polyhedra include
 program analysis, optimized compilation, integer and combinatorial
 optimization and statistical data-editing.  The Parma Polyhedra
 Library is user friendly (you write `x + 2*y + 5*z <= 7' when you
 mean it), fully dynamic (available virtual memory is the only
 limitation to the dimension of anything), written in standard C++,
 exception-safe, rather efficient and thoroughly documented.

Package: libppx-compare-ocaml
Description-md5: 3f17cb47e6da3ca3e7b90d4c68df765c
Description-en: generation of comparison functions from OCaml types (runtime)
 Ppx_compare is a ppx rewriter that derives comparison and equality
 functions from type representations. The scaffolded functions are
 usually much faster than ocaml's Pervasives.compare and
 Pervasives.(=). Scaffolding functions also gives you more flexibility
 by allowing you to override them for a specific type and more safety
 by making sure that you only compare comparable values.
 .
 This package contains runtime files.

Package: libppx-compare-ocaml-dev
Description-md5: 806b25c8a40645f87dec90f4ee28dac4
Description-en: generation of comparison functions from OCaml types (development)
 Ppx_compare is a ppx rewriter that derives comparison and equality
 functions from type representations. The scaffolded functions are
 usually much faster than ocaml's Pervasives.compare and
 Pervasives.(=). Scaffolding functions also gives you more flexibility
 by allowing you to override them for a specific type and more safety
 by making sure that you only compare comparable values.
 .
 This package contains development files.

Package: libppx-custom-printf-ocaml
Description-md5: 2ab5185e4d0c30d2b7b5525607659bc9
Description-en: printf-style format-strings for user-defined string conversion (runtime)
 ppx_custom_printf is a ppx rewriter that allows the use of
 user-defined string conversion functions in format strings (that is,
 strings passed to printf, sprintf, etc.).
 .
 No new syntax is introduced. Instead a previously ill-typed use of
 the ! operator is re-purposed.
 .
 This package contains runtime files.

Package: libppx-custom-printf-ocaml-dev
Description-md5: fd363fe67f50a2dcc3969e0c4029b640
Description-en: printf-style format-strings for user-defined string conversion (dev)
 ppx_custom_printf is a ppx rewriter that allows the use of
 user-defined string conversion functions in format strings (that is,
 strings passed to printf, sprintf, etc.).
 .
 No new syntax is introduced. Instead a previously ill-typed use of
 the ! operator is re-purposed.
 .
 This package contains development files.

Package: libppx-derivers-ocaml-dev
Description-md5: ab196b5f3dde65734683dae0de2204bd
Description-en: interoperability of ppx-deriving and ppx-type-conv
 This package is useful when programming with ppx syntax extensions in
 the OCaml programming language. Its purpose is to allow the ppx_deriving
 and ppx_type_conv syntax extensions to interoperate gracefully when linked
 as part of the same ocaml-migrate-parsetree driver.

Package: libppx-deriving-ocaml
Description-md5: 78e04260955cc64c48f886d479237c56
Description-en: type-driven code generation for OCaml (runtime files)
 Ppx_deriving provides common infrastructure for generating code based
 on type definitions, and a set of useful plugins for common tasks.
 .
 This package contains runtime files.

Package: libppx-deriving-ocaml-dev
Description-md5: edb671db6b10ed6c0cce6f7f80f75a61
Description-en: type-driven code generation for OCaml (dev files)
 Ppx_deriving provides common infrastructure for generating code based
 on type definitions, and a set of useful plugins for common tasks.
 .
 This package contains development files.

Package: libppx-deriving-yojson-ocaml-dev
Description-md5: 6ea76790282bcf2c08342200a25522a3
Description-en: OCaml syntax extension for JSON serialization
 Ppx-deriving-yojson is a syntax extension for the OCaml programming
 language. It allows you to annotate your type definitions, such that
 functions for serialization to JSON, and for deserialization from
 JSON, are automatically derived.

Package: libppx-fields-conv-ocaml
Description-md5: 80945b1cda76e83930aa161dec93ddaa
Description-en: generation of accessor and iteration functions for OCaml records (runtime)
 ppx_fields_conv is a ppx rewriter that can be used to define first
 class values representing record fields, and additional routines, to
 get and set record fields, iterate and fold over all fields of a
 record and create new record values.
 .
 This package contains runtime files.

Package: libppx-fields-conv-ocaml-dev
Description-md5: 656bfd81649f55026933dec85d8f1b8c
Description-en: generation of accessor and iteration functions for OCaml records (dev)
 ppx_fields_conv is a ppx rewriter that can be used to define first
 class values representing record fields, and additional routines, to
 get and set record fields, iterate and fold over all fields of a
 record and create new record values.
 .
 This package contains development files.

Package: libppx-optcomp-ocaml
Description-md5: dfb1020181fcc0b7bb913f4c146736dc
Description-en: optional compilation for OCaml (runtime files)
 ppx_optcomp stands for Optional Compilation. It is a tool used to
 handle optional compilations of pieces of code depending of the word
 size, the version of the compiler, ...
 .
 This package contains runtime files.

Package: libppx-optcomp-ocaml-dev
Description-md5: 992a4a6997b984aa47e3ef18c16e08f4
Description-en: optional compilation for OCaml (dev files)
 ppx_optcomp stands for Optional Compilation. It is a tool used to
 handle optional compilations of pieces of code depending of the word
 size, the version of the compiler, ...
 .
 This package contains development files.

Package: libppx-sexp-conv-ocaml
Description-md5: 8250a2d8b74e423443b3fceeeb14252f
Description-en: generation of S-expressions from type definitions (runtime files)
 ppx_sexp_conv is a PPX syntax extension that generates code for
 converting OCaml types to and from S-expressions, as defined in the
 sexplib library.
 .
 This package contains runtime files.

Package: libppx-sexp-conv-ocaml-dev
Description-md5: 8306bf1b08d1d1dc6c1d6dcff4dfcb1a
Description-en: generation of S-expressions from type definitions (dev files)
 ppx_sexp_conv is a PPX syntax extension that generates code for
 converting OCaml types to and from S-expressions, as defined in the
 sexplib library.
 .
 This package contains development files.

Package: libppx-tools-ocaml-dev
Description-md5: c421b2e3c4d038719b4c5284ac837747
Description-en: tools for authors of OCaml syntactic tools
 This package includes tools for authors of syntactic tools (such as
 ppx rewriters):
  * ppx_metaquot: a ppx filter to help writing programs which
    manipulate the Parsetree, by allowing the programmer to use
    concrete syntax for expressions creating Parsetree fragments and
    patterns deconstructing Parsetree fragments;
  * rewriter: a utility to help testing ppx rewriters that runs the
    rewriter on user-provided code and returns the result;
  * Ast_mapper_class: a generic mapper from Parsetree to Parsetree
    implementing a deep identity copy, which can be customized with a
    custom behavior for each syntactic category;
  * dumpast: parses fragments of OCaml code (or entire source files)
    and dump the resulting internal Parsetree representation;
  * genlifter: generates a virtual "lifter" class for one or several
    OCaml type constructors.

Package: libppx-tools-versioned-ocaml
Description-md5: e44b173caafb3d550b3abb1385e9dc4c
Description-en: Tools for authors of OCaml syntactic tools (Runtime library)
 A variant of ppx-tools based on ocaml-migrate-parsetree.
 .
 It includes tools for authors of syntactic tools (such as
 ppx rewriters):
  * ppx_metaquot: a ppx filter to help writing programs which
    manipulate the Parsetree, by allowing the programmer to use
    concrete syntax for expressions creating Parsetree fragments and
    patterns deconstructing Parsetree fragments;
  * rewriter: a utility to help testing ppx rewriters that runs the
    rewriter on user-provided code and returns the result;
  * Ast_mapper_class: a generic mapper from Parsetree to Parsetree
    implementing a deep identity copy, which can be customized with a
    custom behavior for each syntactic category;
  * dumpast: parses fragments of OCaml code (or entire source files)
    and dump the resulting internal Parsetree representation;
  * genlifter: generates a virtual "lifter" class for one or several
    OCaml type constructors.

Package: libppx-tools-versioned-ocaml-dev
Description-md5: eaf96d33f10b0f85fd73ddcc118e439e
Description-en: Tools for authors of OCaml syntactic tools (Development package)
 A variant of ppx-tools based on ocaml-migrate-parsetree.
 .
 It includes tools for authors of syntactic tools (such as
 ppx rewriters):
  * ppx_metaquot: a ppx filter to help writing programs which
    manipulate the Parsetree, by allowing the programmer to use
    concrete syntax for expressions creating Parsetree fragments and
    patterns deconstructing Parsetree fragments;
  * rewriter: a utility to help testing ppx rewriters that runs the
    rewriter on user-provided code and returns the result;
  * Ast_mapper_class: a generic mapper from Parsetree to Parsetree
    implementing a deep identity copy, which can be customized with a
    custom behavior for each syntactic category;
  * dumpast: parses fragments of OCaml code (or entire source files)
    and dump the resulting internal Parsetree representation;
  * genlifter: generates a virtual "lifter" class for one or several
    OCaml type constructors.

Package: libppx-tools-versioned-ocaml-doc
Description-md5: 4cad6edd0cd1faf7ddabe0defbadc17b
Description-en: Documentation for ppx-tools-versioned
 A variant of ppx-tools based on ocaml-migrate-parsetree.
 .
 It includes tools for authors of syntactic tools (such as
 ppx rewriters):
  * ppx_metaquot: a ppx filter to help writing programs which
    manipulate the Parsetree, by allowing the programmer to use
    concrete syntax for expressions creating Parsetree fragments and
    patterns deconstructing Parsetree fragments;
  * rewriter: a utility to help testing ppx rewriters that runs the
    rewriter on user-provided code and returns the result;
  * Ast_mapper_class: a generic mapper from Parsetree to Parsetree
    implementing a deep identity copy, which can be customized with a
    custom behavior for each syntactic category;
  * dumpast: parses fragments of OCaml code (or entire source files)
    and dump the resulting internal Parsetree representation;
  * genlifter: generates a virtual "lifter" class for one or several
    OCaml type constructors.
 .
 This package contains documentation for ppx-tools-versioned in html
 format.

Package: libppx-variants-conv-ocaml
Description-md5: 113f656b761163041088b6e7243c4d47
Description-en: generation of accessor and iteration functions for OCaml variants (runtime)
 ppx_variants_conv is a ppx rewriter that can be used to define first
 class values representing variant constructors, and additional
 routines to fold, iterate and map over all constructors of a variant
 type.
 .
 This package contains runtime files.

Package: libppx-variants-conv-ocaml-dev
Description-md5: f77ab6b5924394377e3724e5c33a4c33
Description-en: generation of accessor and iteration functions for OCaml variants (dev)
 ppx_variants_conv is a ppx rewriter that can be used to define first
 class values representing variant constructors, and additional
 routines to fold, iterate and map over all constructors of a variant
 type.
 .
 This package contains development files.

Package: libppx-visitors-ocaml-dev
Description-md5: b22173fd471aaf707ed2fcb2a3b392dd
Description-en: OCaml syntax extension for object-oriented visitors
 Visitors is a syntax extension for the OCaml programming language. It
 allows you to annotate your type definitions, such that a visitor class
 will be automatically generated. This visitor class contains methods
 for the traversal of your data structure, like iter, map, fold, etc. It
 is then easy to override these methods for your needs. This is very
 convenient when programming with complex algebraic data structures.

Package: libppx-visitors-ocaml-doc
Description-md5: 1f9a0e76214184ea483ad1772f5e5159
Description-en: Documentation of the visitors syntax extension for OCaml
 This package contains the documentation of the visitors syntax extension
 for the OCaml programming language.

Package: libppxlib-ocaml-dev
Description-md5: 9263a3fdec1250da537a263f94f513c3
Description-en: meta-programming for OCaml
 The ppxlib project provides the basis for the ppx system, which is
 currently the officially supported method for meta-programming in
 OCaml. It offers a principled way to generate code at compile time in
 OCaml projects. It features:
  * OCaml AST / parser / pretty-printer snapshot, to create a full
    frontend independent of the version of OCaml;
  * library for ppx rewriters in general, and type-driven code
    generators in particular;
  * feature-full driver for OCaml AST transformers;
  * quotation mechanism allowing to write values representing the
    OCaml AST in the OCaml syntax;
  * generator of open recursion classes from type definitions.

Package: libpqtypes-dev
Description-md5: dc8cbdd791661e86969f5cc927f5146b
Description-en: parameterized queries libpq extension - development
 libpqtypes is a libpq extension that offers a new way of handling
 parameterized queries and getting result field values. Both putting
 parameters and getting values use a printf/scanf style interface, with
 consistent specifiers for both.
 .
  * Full support for binary and text format. (parameter and result)
  * Full support for composites, arrays and composite arrays. (binary format
    only)
  * printf style interface to libpq's binary parameterized API. (PQputf)
  * scanf style interface for getting values: PQgetvalue extension. (PQgetf)
  * Ability to register user-defined types, aliases and data type sub-classes
    for use with printf style interfaces: ex. "%mytype".
  * Per-thread global error message. (PQgeterror)
  * Online docs as well as man pages.
 .
 This package contains the headers and manpages.

Package: libpqtypes0
Description-md5: 51042daf917aa6b5210158e6c4e155bf
Description-en: parameterized queries libpq extension - shared library
 libpqtypes is a libpq extension that offers a new way of handling
 parameterized queries and getting result field values. Both putting
 parameters and getting values use a printf/scanf style interface, with
 consistent specifiers for both.
 .
  * Full support for binary and text format. (parameter and result)
  * Full support for composites, arrays and composite arrays. (binary format
    only)
  * printf style interface to libpq's binary parameterized API. (PQputf)
  * scanf style interface for getting values: PQgetvalue extension. (PQgetf)
  * Ability to register user-defined types, aliases and data type sub-classes
    for use with printf style interfaces: ex. "%mytype".
  * Per-thread global error message. (PQgeterror)
  * Online docs as well as man pages.
 .
 This package contains the shared library.

Package: libpqxx-6.4
Description-md5: 784597ab0014e8e4c4a387e759d0a7b4
Description-en: C++ library to connect to PostgreSQL
 C++ library to enable user programs to communicate with
 the PostgreSQL database back-end. The database back-end can
 be local or it may be on another machine, accessed via
 TCP/IP. This package obsoletes the libpqpp package.

Package: libpqxx-dev
Description-md5: 5b65c966ddc8054c930a94b411672051
Description-en: C++ library to connect to PostgreSQL (development files)
 C++ library to enable user programs to communicate with
 the PostgreSQL database back-end.
 .
 This package contains header files for linking against libpqxx. Obsoletes
 the libpqpp-dev package.

Package: libpqxx-doc
Description-md5: c99836c2f5cd6fe2ab85438cc219add8
Description-en: C++ library to connect to PostgreSQL (documentation)
 C++ library to enable user programs to communicate with
 the PostgreSQL database back-end.
 .
 This package contains documentation and examples.

Package: libpracticalxml-java
Description-md5: 6495b291662ded3fc093619e141d7d7a
Description-en: practical XML handling routines
 The package provides a collection of utility classes for working with
 the JDK's built-in XML packages. These are meant to bring the well
 maintained core routines of the JDK closer to regular applications for
 handling XML data and their schemata. Priorities in the development
 where set to meet specific needs in the maintainers' other professional
 and personal projects.

Package: libpragmatic-perl
Description-md5: 90a291ac55700615d0bece220aeceaa0
Description-en: Adds pragmata to Exporter
 Pragmatic implements a default import method for processing pragmata before
 passing the rest of the import to Exporter.
 .
 Perl automatically calls the import method when processing a use statement
 for a module. Modules and use are documented in perlfunc and perlmod.
 .
 (Do not confuse Pragmatic with pragmatic modules, such as less, strict and
 the like. They are standalone pragmata, and are not associated with any other
 module.)

Package: libpreferencepanes-dev
Description-md5: 5e71eb28f38d0ae53204c25d9cf8b776
Description-en: GNUstep preferences library - development files
 System Preferences is an application which allows one to manage the
 settings of many aspects of the GNUstep environment and its
 applications.  The System Preferences application is made of Modules,
 each one a bundle representing a single control panel, and is thus
 extensible and modular.
 .
 This package contains the development files for the PrefrencePanes
 framework, allowing to add additional SystemPreferences bundles.

Package: libpreferencepanes1
Description-md5: 08571eca7cbb585d7adfadbc1331779c
Description-en: GNUstep preferences library - runtime library
 System Preferences is an application which allows one to manage the
 settings of many aspects of the GNUstep environment and its
 applications.  The System Preferences application is made of Modules,
 each one a bundle representing a single control panel, and is thus
 extensible and modular.
 .
 This package contains the PrefrencePanes runtime library.

Package: libprefork-perl
Description-md5: 657bb353eb91cfbdf66a1da5706db92b
Description-en: pragma for optimized module loading for forking or non-forking processes
 The prefork pragma is intended to allow module writers to optimise module
 loading for both scenarios with as little additional code as possible.
 .
 prefork.pm is intended to serve as a central and optional marshalling point
 for state detection (the software is running in compile-time or run-time mode)
 and to act as a relatively light-weight module loader.

Package: libprelude-dev
Description-md5: 690200baf98a592ce26413fad4f65ff1
Description-en: Security Information and Events Management system [ Development files ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the development files for Prelude components.

Package: libprelude-doc
Description-md5: 35aa5e25f36a55c1346fc8f0902ae961
Description-en: Security Information and Events Management system [ Documentation ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the documentation for developers for
 Prelude components.

Package: libprelude-lua
Description-md5: a1584926ab850438f031972da69c7a21
Description-en: Security Information and Events Management system [ Lua bindings ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the Lua bindings for Prelude.

Package: libprelude-perl
Description-md5: a99e41706f71efe8881d388b611cf7a7
Description-en: Security Information and Events Management system [ Perl bindings ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the perl bindings for Prelude.

Package: libprelude28
Description-md5: b02ea600b91be43ec2557a750781cd88
Description-en: Security Information and Events Management system [ Base library ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the Prelude shared library.

Package: libpreludecpp12
Description-md5: 479c49791ad72aef827e8c262ebf1e70
Description-en: Security Information and Events Management system [ C++ library ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the Prelude C++ shared library.

Package: libpreludedb-dev
Description-md5: 46e44402cd45e3c0e8792554069b3edc
Description-en: Security Information and Events Management System [ Development files ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the development files for PreludeDB components.

Package: libpreludedb-doc
Description-md5: 8b82af9c5fc64683562221e6f50f58bf
Description-en: Security Information and Events Management System [ Documentation ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the documentation for developers for
 PreludeDB components.

Package: libpreludedb7
Description-md5: 94fca143da2f9e1bf005f3b547993978
Description-en: Security Information and Events Management System [ Base library ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the PreludeDB shared library.

Package: libpreludedb7-mysql
Description-md5: b2fb18609f65fae8d350a6e72ae697a0
Description-en: Security Information and Events Management System [ MySQL library ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the PreludeDB shared library for MySQL.

Package: libpreludedb7-pgsql
Description-md5: e13ec092b98a19cca8e105de375f885e
Description-en: Security Information and Events Management System [ PGSQL library ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the PreludeDB shared library for PGSQL.

Package: libpreludedb7-sqlite
Description-md5: 838e78c9bb4a236873b6b34cfc5789e0
Description-en: Security Information and Events Management System [ SQLite library ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the PreludeDB shared library for SQLite.

Package: libpreludedbcpp2
Description-md5: 35a9515053f8df9618882dcbf2b6290a
Description-en: Security Information and Events Management System [ C++ library ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the Prelude C++ shared library.

Package: libpresage-data
Description-md5: c5837aee954ac3b77d4dded1c4ad9146
Description-en: intelligent predictive text entry platform (data files)
 Presage is an intelligent predictive text entry platform.
 .
 This package contains the sample statistical data files and
 abbreviation files needed by presage.

Package: libpresage-dev
Description-md5: 0d2b5291a9f240b3d78b305f84fdce14
Description-en: intelligent predictive text entry platform (development files)
 Presage is an intelligent predictive text entry platform.
 .
 This package contains development files.
 .
 This package contains the header files needed to compile applications
 or shared objects that use libpresage.

Package: libpresage-doc
Description-md5: 1a704d3daf7bca9927616001a97a517f
Description-en: intelligent predictive text entry platform (documentation)
 Presage is an intelligent predictive text entry platform.
 .
 This package contains the documentation for libpresage.
 .
 Documentation is available in HTML and LaTeX format.

Package: libpresage1-dbg
Description-md5: a08265d0317abcfaa0356fdfd65928c3
Description-en: intelligent predictive text entry platform (shared library debugging symbols)
 Presage is an intelligent predictive text entry platform.
 .
 A predictive text entry system attempts to improve the ease and speed
 of textual input by predicting words. Word prediction consists in
 computing which word tokens or word completions are most likely to be
 entered next. The system analyses the text already entered and
 combines the information thus extracted with other information sources
 to calculate the set of most probable tokens.
 .
 Presage exploits redundant information embedded in natural
 languages to generate word predictions. The modular architecture
 allows its language model to be extended and customized to utilize
 statistical, syntactic, and semantic information sources.
 .
 This package contains the debugging symbols for the shared library.

Package: libpresage1v5
Description-md5: da65d13c0bcd366f8d981d4cdf6b2091
Description-en: intelligent predictive text entry platform (shared library)
 Presage is an intelligent predictive text entry platform.
 .
 A predictive text entry system attempts to improve the ease and speed
 of textual input by predicting words. Word prediction consists in
 computing which word tokens or word completions are most likely to be
 entered next. The system analyses the text already entered and
 combines the information thus extracted with other information sources
 to calculate the set of most probable tokens.
 .
 Presage exploits redundant information embedded in natural
 languages to generate word predictions. The modular architecture
 allows its language model to be extended and customized to utilize
 statistical, syntactic, and semantic information sources.
 .
 This package contains the shared library.

Package: libpri-dev
Description-md5: b929f767d75d1ba667c066eaf946044d
Description-en: Primary Rate ISDN specification development files
 Development files for the C implementation of the Primary Rate ISDN
 specification.
 .
 It was based on the Bellcore specification SR-NWT-002343 for National
 ISDN.  As of May 12, 2001, it has been tested work with NI-2, Nortel
 DMS-100, and Lucent 5E Custom protocols on switches from Nortel and Lucent.

Package: libpri1.4
Description-md5: 57ac3ce48778cd2de1ea8c37a3cc934b
Description-en: Primary Rate ISDN specification library
 C implementation of the Primary Rate ISDN specification.  It was
 based on the Bellcore specification SR-NWT-002343 for National ISDN.  As of
 May 12, 2001, it has been tested work with NI-2, Nortel DMS-100, and Lucent
 5E Custom protocols on switches from Nortel and Lucent

Package: libprimesieve-dev
Description-md5: af6c9e8135485b2cd9c3ee10f98650fb
Description-en: fast prime number generator C/C++ library -- libdev
 primesieve is a free software program and C/C++ library that generates
 primes using a highly optimized sieve of Eratosthenes implementation.
 primesieve can generate primes and prime k-tuplets up to nearly 2^64.
 .
 See http://primesieve.org/ for more information.
 .
 This package provides the static library and symbolic links needed
 for development.

Package: libprimesieve-dev-common
Description-md5: 915158f8f06df5d0ae1e4da041151f48
Description-en: fast prime number generator C/C++ library -- headers
 primesieve is a free software program and C/C++ library that generates
 primes using a highly optimized sieve of Eratosthenes implementation.
 primesieve can generate primes and prime k-tuplets up to nearly 2^64.
 .
 See http://primesieve.org/ for more information.
 .
 This package provides the headers needed by developers.

Package: libprimesieve9
Description-md5: 0b1d98bef2f55f2d610f176744a73674
Description-en: fast prime number generator C/C++ library -- lib
 primesieve is a free software program and C/C++ library that generates
 primes using a highly optimized sieve of Eratosthenes implementation.
 primesieve can generate primes and prime k-tuplets up to nearly 2^64.
 .
 See http://primesieve.org/ for more information.
 .
 This package provides the shared library.

Package: libprintsys
Description-md5: f98ca5d8730a134fa199a881dd5e6bbb
Description-en: printcap parser, helper for gnulpr's printfilters
 this package installs the libprintsys libraries and
 /usr/lib/printfilters/pcap, a small utility
 that parses /etc/printcap

Package: libprintsys-dev
Description-md5: 28dd55d71ec1003d96e3e7831b3f9cd1
Description-en: printcap parser, helper for gnulpr's printfilters, dev files
 this package installs the libprintsys development files and static libraries

Package: libprismatic-plumbing-clojure
Description-md5: 3f3efb484b1b55d2862ca27d295ba82d
Description-en: Clojure utility belt library
 Plumbing includes the following libraries:
  * Graph: a simple and declarative way to specify a structured computation,
    which is easy to analyze, change, compose, and monitor.
  * plumbing.core: a library of very commonly used functions.
  * plumbing.map: common operations on maps (both Clojure immutable and mutable
    Java maps)

Package: libprismatic-schema-clojure
Description-md5: 659baec18a32d01783e3a7d8ac77269f
Description-en: Clojure(Script) library for declarative data description and validation
 Schema is a rich language for describing data shapes, with a variety of
 features:
 .
  * Data validation, with descriptive error messages of failures (targeted at
    programmers)
  * Annotation of function arguments and return values, with optional runtime
    validation
  * Schema-driven data coercion, which can automatically, succinctly, and
    safely convert complex data types.

Package: libprivileges-drop-perl
Description-md5: ca07589771d9662364d10618ab2bdf3e
Description-en: module to make it simple to drop all privileges
 Privileges::Drop tries to simplify the process of dropping privileges. This
 can be useful when your Perl program needs to bind to privileged ports, etc.
 This module is much like Proc::UID, except that it's implemented in pure
 Perl. Special care has been taken to also drop saved uid on platforms that
 support this, currently only tested on Linux.

Package: libprobe-perl-perl
Description-md5: 66746d1359f8984288612a9dc9fa8f25
Description-en: module to obtain information about the currently running Perl interpreter
 Probe::Perl provides methods for obtaining information about the currently
 running Perl interpreter.
 .
 It can retrieve the current version, path, @INC or details about perl
 configuration, as well as compare if two Perl interpreters are the same.

Package: libproc-background-perl
Description-md5: abc0f1f6ed8df8552dda2f5e03bf27d9
Description-en: generic interface for Unix and Win32 background process management
 Proc::Background is a generic interface for placing processes in the
 background on both Unix and Win32 platforms. This module lets you start,
 kill, wait on, retrieve exit values, and see if background processes still
 exist.

Package: libproc-daemon-perl
Description-md5: b2a69902691abc084a95a049775658ec
Description-en: module for running scripts as daemons
 Proc::Daemon can be used by a Perl program to initialize itself as a daemon
 (a background process with no controlling terminal). You can also check the
 status of the daemon (alive or dead) and you can kill the daemon.

Package: libproc-fastspawn-perl
Description-md5: 22b666928f0b71e6e8d2fb7bbd6c3f44
Description-en: module to fork+exec, or spawn, a subprocess as quickly as possible
 The purpose of this small (in scope and footprint) module is simple: spawn a
 subprocess asynchronously as efficiently and/or fast as possible. Basically
 the same as calling fork+exec (on POSIX), but hopefully faster than those two
 syscalls.
 .
 Apart from fork overhead, this module also allows you to fork+exec programs
 when otherwise you couldn't - for example, when you use POSIX threads in your
 perl process then it generally isn't safe to call fork from perl, but it is
 safe to use this module to execute external processes.

Package: libproc-fork-perl
Description-md5: 1f58d783d7d4aa6f6efedc38a8cf28da
Description-en: Perl interface to the fork() system call
 Proc::Fork provides an intuitive, Perl-ish way to write forking
 programs by facilitating the use of blocks to illustrate which code
 section executes in which fork.

Package: libproc-guard-perl
Description-md5: a34c131684099dc095bc6323353d3717
Description-en: process runner with RAII pattern
 Proc::Guard runs a process, and destroys it when the calling perl script
 exits.
 .
 This is useful for testing code working with e.g. server processes.

Package: libproc-invokeeditor-perl
Description-md5: 00c6eeb8c8182cb9a5a128efc160df8d
Description-en: Perl extension for starting a text editor
 Proc::InvokeEditor module provides the ability to supply some text to an
 external text editor, have it edited by the user, and retrieve the results.
 .
 The File::Temp module is used to provide secure, safe temporary
 files, and File::Temp is set to its highest available level of
 security. This may cause problems on some systems where no secure
 temporary directory is available.
 .
 When the editor is started, no subshell is used. Your path will
 be scanned to find the binary to use for each editor if the string
 given does not exist as a file, and if a named editor contains whitespace,
 for example if you try to use the editor 'xemacs -nw', then the string will
 be split on whitespace and anything after the editor name will be passed
 as arguments to your editor. A shell is not used but this should cover
 most simple cases.

Package: libproc-pid-file-perl
Description-md5: a901408b477a0a0e3e93491802db7e1d
Description-en: Perl module for managing process id files
 Proc::PID::File is useful for writers of daemons and other processes
 that need to tell whether other instances are running already.
 The module accomplishes this via Unix-style pidfiles.

Package: libproc-processtable-perl
Description-md5: 654ab30ad3c0a7649b3da3d10df757b7
Description-en: Perl library for accessing process table information
 Proc::ProcessTable attempts to unify the interfaces to Unix process table
 information, without having to run a ps subprocess from within a perl or
 shell script and parse the output.

Package: libproc-queue-perl
Description-md5: a826614dd74f03a0501d8b5d870b7e7c
Description-en: Perl module to limit the number of child processes
 Proc::Queue lets you parallelise a perl program using the fork, exit, wait
 and waitpid calls as usual but without taking care of creating too many
 processes and overloading the machine.
 .
 It redefines perl fork, exit, wait and waitpid core functions. Old programs
 do not need to be reprogrammed, only the use Proc::Queue ... sentence has to
 be added to them.

Package: libproc-reliable-perl
Description-md5: b8f017f9e636ed3a455f1237fb949e95
Description-en: Perl module to run external processes reliably
 Proc::Reliable is a class for simple, reliable and configurable subprocess
 execution in Perl. In particular, it is especially useful for managing the
 execution of 'problem' programs which are likely to fail, hang, or otherwise
 behave in an unruly manner.
 .
 This module includes all of the functionality of the backticks operator and
 system() functions, plus many common uses of fork() and exec(), open2() and
 open3(). It incorporates a number of options, including sending data to the
 subprocess on STDIN, collecting STDOUT and STDERR separately or together,
 killing hung processes, timeouts and automatic retries.

Package: libproc-simple-perl
Description-md5: 2af34ac002b5860215611224df70bf3b
Description-en: Perl interface to launch and control background processes
 The Proc::Simple package provides objects mimicing real-life processes from a
 user's point of view.
 .
 Either external programs or perl subroutines can be launched and
 controlled as processes in the background.

Package: libproc-syncexec-perl
Description-md5: 56c8a6eb4e31b203c243b77e5d205be7
Description-en: module to spawn processes but report exec() errors properly
 Proc::SyncExec contains functions for synchronized process spawning
 with full error return. If the child's exec() call fails the reason
 for the failure is reported back to the parent.

Package: libproc-terminator-perl
Description-md5: e60536bdb518f8b7a7decfb6c4820c0a
Description-en: module to conveniently terminate processes
 Proc::Terminator provides a convenient way to kill a process, often useful in
 utility and startup functions which need to ensure the death of an external
 process.
 .
 Proc::Terminator provides a simple, blocking, and procedural interface to
 kill a process or multiple processes (not tested), and not return until they
 are all dead.
 .
 Proc::Terminator can know if you do not have permissions to kill a process,
 if the process is dead, and other interesting tidbits.
 .
 It also provides for flexible options in the type of death a process will
 experience. Whether it be slow or immediate.

Package: libproc-wait3-perl
Description-md5: 3208785aa5130bfb441e89bed0b4f4b3
Description-en: Perl interface to the wait3() system call
 Proc::Wait3 is a Perl extension that provides access to the wait3 system call,
 which is used to wait for state changes in child processes. Unlike wait, wait3
 additionally returns child's resource usage information.

Package: libprocess-cpp-dev
Description-md5: b72d3b4079378acfb95d05b2def065b2
Description-en: C++11 library for handling processes (dev headers and libraries)
 process-cpp is a simple and straightforward wrapper around process creation
 and control. It helps both with handling child processes and with interacting
 with the current process. Some of its features include:
 .
  - Thread-safe get/set/unset operation on the current process's environment.
  - Throwing and non-throwing overloads of functions when system calls are
    involved.
  - Seamless redirection of input, output and error streams of child processes.
  - Type-safe interaction with the virtual proc filesystem, both for reading &
    writing.
 .
 This package includes all the development headers and libraries for
 process-cpp.

Package: libprocess-cpp-doc
Description-md5: 0d669ed866b9586b649ac3d909c43ca1
Description-en: C++11 library for handling processes (documentation)
 process-cpp is a simple and straightforward wrapper around process creation
 and control. It helps both with handling child processes and with interacting
 with the current process. Some of its features include:
 .
  - Thread-safe get/set/unset operation on the current process's environment.
  - Throwing and non-throwing overloads of functions when system calls are
    involved.
  - Seamless redirection of input, output and error streams of child processes.
  - Type-safe interaction with the virtual proc filesystem, both for reading &
    writing.
 .
 This package includes documentation files for the libprocess-cpp development.

Package: libprocess-cpp3
Description-md5: 4298513c43472fb803f1d4801b269bd4
Description-en: C++11 library for handling processes (runtime libraries)
 process-cpp is a simple and straightforward wrapper around process creation
 and control. It helps both with handling child processes and with interacting
 with the current process. Some of its features include:
 .
  - Thread-safe get/set/unset operation on the current process's environment.
  - Throwing and non-throwing overloads of functions when system calls are
    involved.
  - Seamless redirection of input, output and error streams of child processes.
  - Type-safe interaction with the virtual proc filesystem, both for reading &
    writing.
 .
 The library's main purpose is to assist in testing and when a software
 component needs to carry out process creation/control tasks, e.g., a graphical
 shell. To this end, the library is extensively tested and tries to ensure
 fail-safe operation as much as possible.
 .
 This package includes the process-cpp runtime libraries.

Package: libprocesscore7
Description-md5: 3db6290d075dfe9bbb485be967a4e45a
Description-en: library for monitoring your system - shared library
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 .
 This package contains the shared library

Package: libprocessing-core-java
Description-md5: c44fcead9e7ea01acbabb0ec7399a98b
Description-en: Java animation and interaction library
 Processing is an open source programming language
 and environment for people who want to create images,
 animations, and interactions.
 .
 This package provides the core library needed to run
 such animations.

Package: libprocessui7
Description-md5: 3db6290d075dfe9bbb485be967a4e45a
Description-en: library for monitoring your system - shared library
 KSysGuard is a program to monitor various elements of your system, or any
 other remote system with the KSysGuard daemon (ksysgardd) installed.
 .
 This package contains the shared library

Package: libprocyon-java
Description-md5: 4fa121651a53397a6c7897a8aa51f9d2
Description-en: Procyon Framework
 Procyon is a suite of Java metaprogramming tools focused on code
 generation and analysis. It includes the following libraries:
  * Core Framework
  * Reflection Framework
  * Expressions Framework
  * Compiler Toolset
  * Java Decompiler

Package: libprogress-any-output-termprogressbarcolor-perl
Description-md5: dfc6e536d892f9e9a9d5a8d45523cd6a
Description-en: module to output progress to terminal as color bar
 Progress::Any::Output::TermProgressBarColor permits one to display nice
 and rich progress bars using customizable colors and animations.

Package: libprogress-any-perl
Description-md5: e5e92c788339a0a65340c79b3f04192e
Description-en: Perl module to record progress to any output
 Progress::Any is an interface for applications that want to display progress
 to users. It decouples progress updating and output, rather similar to how
 Log::Any decouples log producers and consumers (output). The API is also
 rather similar to Log::Any, except Adapter is called Output and category is
 called task.
 .
 Progress::Any records position/target and calculates elapsed time, estimated
 remaining time, and percentage of completion. One or more output modules
 (Progress::Any::Output::*) display this information.

Package: libproguard-java
Description-md5: c1c037f8b33c7d6a249ae21254562a24
Description-en: Java class file shrinker, optimizer, and obfuscator (Library)
 ProGuard is a free Java class file shrinker, optimizer, and
 obfuscator.  It can detect and remove unused classes, fields,
 methods, and attributes. It can then optimize bytecode and remove
 unused instructions. Finally, it can rename the remaining classes,
 fields, and methods using short meaningless names. The resulting jars
 are smaller and harder to reverse-engineer.
 .
 This package contains the Proguard Java library

Package: libproj-dev
Description-md5: 40d0d1a33cba08b9ef61eeeefba902f5
Description-en: Cartographic projection library (development files)
 Proj and invproj perform respective forward and inverse transformation
 of cartographic data to or from Cartesian data with a wide range of
 selectable projection functions (over 100 projections).
 .
 Geod and invgeod perform geodesic (Great Circle) computations for
 determining latitude, longitude and back azimuth of a terminus point given
 a initial point latitude, longitude, azimuth and distance (direct) or the
 forward and back azimuths and distance between an initial and terminus
 point latitudes and longitudes (inverse).
 .
 This package provides the PROJ development files.

Package: libproj15
Description-md5: 63723d6b8c6f0bed598df07b06bf4614
Description-en: Cartographic projection library
 Proj and invproj perform respective forward and inverse transformation
 of cartographic data to or from Cartesian data with a wide range of
 selectable projection functions (over 100 projections).
 .
 Geod and invgeod perform geodesic (Great Circle) computations for
 determining latitude, longitude and back azimuth of a terminus point given
 a initial point latitude, longitude, azimuth and distance (direct) or the
 forward and back azimuths and distance between an initial and terminus
 point latitudes and longitudes (inverse).
 .
 This package provides the PROJ shared library used by tools and third
 parties programs.

Package: libprojectm-dev
Description-md5: ec11a3d8caf0253b1a2991da68657b5c
Description-en: Advanced Milkdrop-compatible music visualization library - dev
 libprojectm is an iterative music visualization library which uses
 OpenGL for hardware acceleration. It is compatible with Milkdrop
 presets.
 .
 This package contains the development headers.

Package: libprojectm2v5
Description-md5: 25811eb3de3a571679c9894cb51cc24e
Description-en: Advanced Milkdrop-compatible music visualization library
 libprojectm is an iterative music visualization library which uses
 OpenGL for hardware acceleration. It is compatible with Milkdrop
 presets.
 .
 This package contains the library and essential data needed for
 the visualization library to work.

Package: libpromises3
Description-md5: b7cc394ad69cf35c6472df3b5d754ec7
Description-en: libpromises library for cfengine3 binaries
 The main purpose of cfengine is to allow the system administrator
 to create a single central file which will define how every host
 on a network should be configured.
 .
 This package contains the libpromises3 library.

Package: libproperties-cpp-dev
Description-md5: 4627c90b599dacc53df4d94bd7d09634
Description-en: C++11 library providing properties/signals - dev headers
 Properties-cpp is a C++11 library providing properties/signals.
 .
 This package includes all the development headers and libraries for
 properties-cpp.

Package: libproperties-cpp-doc
Description-md5: 71a24a32219d670df4a478b0f74383ab
Description-en: Documentation files for libproperties-cpp-dev
 Properties-cpp is a C++11 library providing properties/signals.
 .
 This package includes the documentation files for the libproperties-cpp
 development.

Package: libproperties-maven-plugin-java
Description-md5: acee8693861ea937c7d4436898dcc0db
Description-en: Maven Plugin to read and write property files from mojo.codehaus.org
 The Properties Maven Plugin is here to make life a little easier when dealing
 with properties. It provides goals to read and write properties from files.

Package: libprotobuf-c-dev
Description-md5: 1d397a37d564ebd24c34190b57ce6f99
Description-en: Protocol Buffers C static library and headers (protobuf-c)
 Protocol Buffers are a flexible, efficient, automated mechanism for
 serializing structured data - similar to XML, but smaller, faster, and
 simpler. You define how you want your data to be structured once, then you can
 use special generated source code to easily write and read your structured
 data to and from a variety of data streams and using a variety of languages.
 You can even update your data structure without breaking deployed programs
 that are compiled against the "old" format.
 .
 This is the "protobuf-c" implementation of Protocol Buffers in C.
 .
 This package contains the static library and development headers.

Package: libprotobuf-c1
Description-md5: 9c4249f4ed507aa6007c13b98366f88b
Description-en: Protocol Buffers C shared library (protobuf-c)
 Protocol Buffers are a flexible, efficient, automated mechanism for
 serializing structured data - similar to XML, but smaller, faster, and
 simpler. You define how you want your data to be structured once, then you can
 use special generated source code to easily write and read your structured
 data to and from a variety of data streams and using a variety of languages.
 You can even update your data structure without breaking deployed programs
 that are compiled against the "old" format.
 .
 This is the "protobuf-c" implementation of Protocol Buffers in C.
 .
 This package contains the shared library.

Package: libprotobuf-java
Description-md5: 2ac9477d01e9d2920f1793f9f58f3aa6
Description-en: Java bindings for protocol buffers
 Protocol buffers are a flexible, efficient, automated mechanism for
 serializing structured data - similar to XML, but smaller, faster, and
 simpler. You define how you want your data to be structured once, then you can
 use special generated source code to easily write and read your structured
 data to and from a variety of data streams and using a variety of languages.
 You can even update your data structure without breaking deployed programs
 that are compiled against the "old" format.
 .
 Google uses Protocol Buffers for almost all of its internal RPC protocols and
 file formats.
 .
 This package contains the Java bindings for the protocol buffers. You will
 need the protoc tool (in the protobuf-compiler package) to compile your
 definition to Java classes, and then the modules in this package will allow
 you to use those classes in your programs.

Package: libprotobuf-java-format-java
Description-md5: 390808110609442fa3cfd4774734ca6d
Description-en: Library to serialize protobuf messages to XML, JSON and HTML
 The protobuf-java-format library provide serialization and de-serialization
 of different formats based on  Google's protobuf Message. Enables overriding
 the default (byte array) output to text based formats such as XML, JSON
 and HTML.

Package: libprotobuf2-java
Description-md5: 2ac9477d01e9d2920f1793f9f58f3aa6
Description-en: Java bindings for protocol buffers
 Protocol buffers are a flexible, efficient, automated mechanism for
 serializing structured data - similar to XML, but smaller, faster, and
 simpler. You define how you want your data to be structured once, then you can
 use special generated source code to easily write and read your structured
 data to and from a variety of data streams and using a variety of languages.
 You can even update your data structure without breaking deployed programs
 that are compiled against the "old" format.
 .
 Google uses Protocol Buffers for almost all of its internal RPC protocols and
 file formats.
 .
 This package contains the Java bindings for the protocol buffers. You will
 need the protoc tool (in the protobuf-compiler package) to compile your
 definition to Java classes, and then the modules in this package will allow
 you to use those classes in your programs.

Package: libprotocol-acme-perl
Description-md5: 4c7e23049d5f55022e93a39cb2a5a08e
Description-en: Perl Interface to the Let's Encrypt ACME API
 Protocol::ACME is a class implementing an interface for the Let's Encrypt
 ACME API.
 .
 The class handles the protocol details behind provisioning a Let's Encrypt
 certificate.

Package: libprotocol-http2-perl
Description-md5: 1f75cfab051022ccd6811b729d754f05
Description-en: HTTP/2 protocol implementation with client and server libraries
 Protocol::HTTP2 is HTTP/2 protocol implementation (RFC 7540) with stateful
 decoders/encoders of HTTP/2 frames. You may use this module to implement your
 own HTTP/2 client/server/intermediate on top of your favorite event loop over
 plain or TLS socket.
 .
 Protocol::HTTP2::Client is HTTP/2 client library. It's intended to make
 HTTP/2 client implementations on top of your favorite event-loop.
 .
 Protocol::HTTP2::Server is HTTP/2 server library. It's intended to make
 HTTP/2 server implementations on top of your favorite event loop.

Package: libprotocol-irc-perl
Description-md5: 683b5094738b98e5193efe8b0891f77b
Description-en: IRC protocol handling
 This mix-in class provides a base layer of IRC message handling logic. It
 allows reading of IRC messages from a string buffer and dispatching them to
 handler methods on its instance.
 .
 Protocol::IRC::Client provides an extension to this logic that may be more
 convenient for IRC client implementations. Much of the code provided here is
 still useful in client applications, so the reader should be familiar with
 both modules.
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libprotocol-osc-perl
Description-md5: e71a18c336e278eb2df0133cbce260a5
Description-en: module to implement (de)coding and processing of OSC packets
 Protocol::OSC implements the encoding, decoding and processing of OSC packets
 following the Open Sound Control protocol.
 .
 It's pure Perl implementation, is faster than Net::LibLO (~4x) and
 Net::OpenSoundControl (~2x) and also provides a connection agnostic interface,
 path matching and type tagging according OSC v1 specification (and v1.1)

Package: libprotocol-websocket-perl
Description-md5: d517d44f1ecfc28609310a36e095ae37
Description-en: Perl library that implements WebSocket protocol
 Client/server WebSocket message and frame parser/constructor.
 Protocol::WebSocket does not provide a WebSocket server or client, but is
 made for using in http servers or clients to provide WebSocket support.
 .
 Protocol::WebSocket supports the following WebSocket protocol versions:
  * draft-ietf-hybi-17 (latest)
  * draft-ietf-hybi-10
  * draft-ietf-hybi-00 (with HAProxy support)
  * draft-hixie-75
 .
 By default the latest version is used. The WebSocket version is detected
 automatically on the server side. On the client side you have set a version
 attribute to an appropriate value.

Package: libprotozero-dev
Description-md5: 99e828f5a18e6c77a2ef7c148dc684d2
Description-en: Minimalistic protocol buffer decoder and encoder in C++
 protozero is a minimalistic protocol buffer decoder and encoder in C++.
 .
 Designed for high performance. Suitable for writing zero copy parsers
 and encoders with minimal need for run-time allocation of memory.
 .
 Low-level: this is designed to be a building block for writing a very
 customized decoder for a stable protobuf schema. If your protobuf schema
 is changing frequently or lazy decoding is not critical for your
 application then this approach offers no value: just use the decoding
 API available via the C++ API that can be generated via the Google
 Protobufs protoc program.
 .
 This package contains the protozero headers.

Package: libprotozero-doc
Description-md5: f8f02732f2cc17ad161e0c8c936983b2
Description-en: Minimalistic protocol buffer decoder and encoder in C++ - docs
 protozero is a minimalistic protocol buffer decoder and encoder in C++.
 .
 Designed for high performance. Suitable for writing zero copy parsers
 and encoders with minimal need for run-time allocation of memory.
 .
 Low-level: this is designed to be a building block for writing a very
 customized decoder for a stable protobuf schema. If your protobuf schema
 is changing frequently or lazy decoding is not critical for your
 application then this approach offers no value: just use the decoding
 API available via the C++ API that can be generated via the Google
 Protobufs protoc program.
 .
 This package contains the protozero documentation.

Package: libproxool-java
Description-md5: 982551bc167e8d21aa4554695d040a46
Description-en: Java JDBC connection pool
 A Java SQL Driver that provides a connection pool wrapper around
 another Driver of your choice. Very simple to migrate existing code.
 Fully configurable. Fast, mature and robust. Transparently adds
 connection pooling to your existing JDBC driver.

Package: libproxy-cil-dev
Description-md5: f84b6b72fea878076ed72e52808dc0ba
Description-en: automatic proxy configuration management library (CLI devel)
 libproxy is a lightweight library which makes it easy to develop
 applications proxy-aware with a simple and stable API.
 .
 This package contains development files for the CLI bindings, and should be
 used for compilation.

Package: libproxy-tools
Description-md5: aac59bba35e1a229fc8c5ffe6e4ba4cb
Description-en: automatic proxy configuration management library (tools)
 libproxy is a lightweight library which makes it easy to develop
 applications proxy-aware with a simple and stable API.
 .
 This package contains a program to interact with libproxy from the
 command line.

Package: libproxy0.4-cil
Description-md5: 42776b22a3576eff412b0a43566c3c44
Description-en: automatic proxy configuration management library (CLI)
 libproxy is a lightweight library which makes it easy to develop
 applications proxy-aware with a simple and stable API.
 .
 This package contains the CLI bindings.

Package: libproxy1-plugin-kconfig
Description-md5: f9b50798054bc63f81dfbd1c8764aafc
Description-en: automatic proxy configuration management library (KConfig plugin)
 libproxy is a lightweight library which makes it easy to develop
 applications proxy-aware with a simple and stable API.
 .
 This package contains the plugin to allow libproxy to read proxy settings from
 KConfig (KDE 4)

Package: libproxy1-plugin-mozjs
Description-md5: 000e2c5779ee2e7ff20b555208e96a05
Description-en: automatic proxy configuration management library (mozjs plugin)
 libproxy is a lightweight library which makes it easy to develop
 applications proxy-aware with a simple and stable API.
 .
 This package contains the plugin to allow libproxy to interpret PAC files
 using mozjs

Package: libproxy1-plugin-webkit
Description-md5: 20f65401cda862ebec9528e2cbd29339
Description-en: automatic proxy configuration management library (Webkit plugin)
 libproxy is a lightweight library which makes it easy to develop
 applications proxy-aware with a simple and stable API.
 .
 This package contains the plugin to allow libproxy to interpret PAC files
 using Webkit

Package: libproxychains-dev
Description-md5: 1c8b002ff3b2383731a29f1e9ca1978a
Description-en: proxy chains -- shared library (development)
 Proxy chains force any tcp connection made by any given tcp client
 to follow through proxy (or proxy chain). It is a kind of proxifier.
 It acts like sockscap / premeo / eborder driver ( intercepts TCP calls )
 .
 See 'proxychains' package for more detailed description.
 .
 This package contains development header files for the shared library used
 by proxychains.

Package: libproxychains3
Description-md5: fe57e55b13b40fe87add5c5ff5856975
Description-en: proxy chains -- shared library (runtime)
 Proxy chains force any tcp connection made by any given tcp client
 to follow through proxy (or proxy chain). It is a kind of proxifier.
 It acts like sockscap / premeo / eborder driver ( intercepts TCP calls )
 .
 See 'proxychains' package for more detailed description.
 .
 This package contains runtime for the shared library used by proxychains.
 .
 The package 'dnsutils' is needed to perform DNS requests through proxy. In the
 case you turned 'proxy_dns' option off in configuration file you don't need
 dnsutils to be installed.

Package: libproxychains4
Description-md5: ce4f4a023ecf86be769c63e090309751
Description-en: runtime shared library for proxychains-ng
 Proxychains is a UNIX program, that hooks network-related libc functions
 in dynamically linked programs via a preloaded DLL (dlsym(), LD_PRELOAD)
 and redirects the connections through SOCKS4a/5 or HTTP proxies.
 It supports TCP only (no UDP/ICMP etc).
 .
 This project, proxychains-ng, is the continuation of the unmaintained
 proxychains project (known as proxychains package in Debian).
 .
 This package provides the runtime shared library used by proxychains-ng
 program.

Package: libprpc-perl
Description-md5: 71fef0eef111d076e5d8dcd6ee55aafb
Description-en: Perl extensions for writing pRPC servers and clients
 Perl RPC simplifies the writing of Perl based client/server
 applications.  RPC::pServer is the package used on the server side,
 and you guess what RPC::pClient is for.  pRPC works by defining a set
 of functions that may be executed by the client.

Package: libpseudo
Description-md5: f41b1741419145eeaea38b6e58e00fd6
Description-en: advanced tool for simulating superuser privileges
 The pseudo utility offers a way to run commands in a virtualized "root"
 environment, allowing ordinary users to run commands which give the
 illusion of creating device nodes, changing file ownership, and otherwise doing
 things necessary for creating distribution packages or filesystems.
 .
 Pseudo has a lot of similarities to fakeroot but is a new implementation
 that improves on the problems seen using fakeroot. Pseudo is now
 extensively used by Poky as a replacement to fakeroot but can also be
 used standalone in many other use cases.
 .
 This package contains the LD_PRELOAD libraries.

Package: libpsi3-dev
Description-md5: 32899a9747eb45a9a4f8300e171acb3f
Description-en: Quantum Chemical Program Suite (Development Libraries)
 PSI3 is an ab-initio quantum chemistry program.  It is especially designed to
 accurately compute properties of small to medium molecules using highly
 correlated techniques.
 .
 This package contains the static libraries and header files.

Package: libpsm-infinipath1
Description-md5: f14abc291eb95080b3ab5e3c76a2cc89
Description-en: PSM Messaging library for Intel Truescale adapters
 The PSM Messaging API, or PSM API, is Intel's (formerly QLogic's) low-level,
 user-level communication interface for the Truescale family of products. PSM
 users can use mechanisms necessary to implement higher-level communication
 interfaces in parallel environments.
 .
 This package contains the shared libraries.

Package: libpsm-infinipath1-dbg
Description-md5: f68caae7e29fb67a86a5faecbd64f3e0
Description-en: Debugging symbols for libpsm-infinipath1
 The PSM Messaging API, or PSM API, is Intel's (formerly QLogic's) low-level,
 user-level communication interface for the Truescale family of products. PSM
 users can use mechanisms necessary to implement higher-level communication
 interfaces in parallel environments.
 .
 This package contains the debugging symbols associated with
 libpsm-infinipath1. They will automatically be used by gdb for debugging
 libpsm-infinipath1-related issues.

Package: libpsm-infinipath1-dev
Description-md5: 14d45305113471acbf79d96d34eda680
Description-en: Development files for libpsm-infinipath1
 The PSM Messaging API, or PSM API, is Intel's (formerly QLogic's) low-level,
 user-level communication interface for the Truescale family of products. PSM
 users can use mechanisms necessary to implement higher-level communication
 interfaces in parallel environments.
 .
 This package is needed to compile programs against libpsm-infinipath1.
 It contains the header files and links needed for compiling.

Package: libpsm2-2
Description-md5: b0d67b9b1cdc64f83fc1128eded819c0
Description-en: Intel PSM2 library
 PSM2 is Intel's low-level user-space communications interface for
 the Intel(R) OPA family of products. PSM2 users are enabled with
 mechanisms necessary to implement higher level communications
 interfaces in parallel environments.

Package: libpsm2-2-compat
Description-md5: 6fe882d03b825248c872bb124b08dd96
Description-en: Compat library for Intel PSM2
 PSM compatibility API is Intel's low-level user-space communications
 interface for the Intel(R) TrueScale family of products. This library
 adds support for MPIs linked with PSM versions < 2.

Package: libpsm2-dev
Description-md5: e6456c0f14b0a183301e0a2ace9a971a
Description-en: Development files for Intel PSM2 library
 PSM2 is Intel's low-level user-space communications interface for
 the Intel(R) OPA family of products. PSM2 users are enabled with
 mechanisms necessary to implement higher level communications
 interfaces in parallel environments. This package contains the
 development headers for Intel PSM2 library.

Package: libpsocksxx-dev
Description-md5: 2edb3e5999e9ba4d9414e636ee7a6661
Description-en: psocksxx is a C++ wrapper for POSIX sockets (development files)
 psocksxx library attempts to borrow the concepts from socket++ and
 socket-library, but not the code, and create a well documented (and
 hopefully easy to understand) POSIX socket communication wrapper in
 C++. To keep the code simple and clean this project will only support
 POSIX sockets.
 This package holds the development files.

Package: libpsocksxx-doc
Description-md5: 42a00af6eac1df99740706082fca695e
Description-en: psocksxx is a C++ wrapper for POSIX sockets (documentation)
 psocksxx library attempts to borrow the concepts from socket++ and
 socket-library, but not the code, and create a well documented (and
 hopefully easy to understand) POSIX socket communication wrapper in
 C++. To keep the code simple and clean this project will only support
 POSIX sockets.
 This package holds the documentation.

Package: libpsocksxx0
Description-md5: 938d4741f41d3b5344c601a42459ade0
Description-en: psocksxx is a C++ wrapper for POSIX sockets
 psocksxx library attempts to borrow the concepts from socket++ and
 socket-library, but not the code, and create a well documented (and
 hopefully easy to understand) POSIX socket communication wrapper in
 C++. To keep the code simple and clean this project will only support
 POSIX sockets.

Package: libpst-dev
Description-md5: 0a707cb266b64c9fbeffe4e783ed19f9
Description-en: library for reading Microsoft Outlook PST files (development files)
 Library for accessing data from Microsoft Outlook PST files.
 .
 This package include the files needed for developing with libpst,
 including the headers, static library and documentation.

Package: libpst4
Description-md5: ed5aeac90075d862254b95743fc72727
Description-en: library for reading Microsoft Outlook PST files
 Library for accessing data from Microsoft Outlook PST files.

Package: libpstoedit-dev
Description-md5: 7c2db4b4905e6348872a01e9f7b3e78f
Description-en: PostScript to editable vector graphics library (development files)
 pstoedit is a framework for converting Postscript and PDF files to various
 editable vector graphic formats.
 .
 This package contains files to build programs that use libpstoedit.

Package: libpstoedit0c2a
Description-md5: 059c32bbdbcd0967436aa6b72e56e2d1
Description-en: PostScript to editable vector graphics library (runtime files)
 pstoedit is a framework for converting Postscript and PDF files to various
 editable vector graphic formats.

Package: libpstreams-dev
Description-md5: d6a272f3a8d6d3b2eec60d7416a0dd97
Description-en: C++ iostream interface to POSIX process I/O
 PStreams allows you to run another program from your C++ application and to
 transfer data between the two programs, similar to shell pipelines. The
 PStreams class works like a wrapper for the POSIX.2 functions popen(3) and
 pclose(3) (although it doesn't actually use them), using a C++ iostreams
 interface, instead of C's stdio library. Thus, PStreams makes it possible to
 run an external program and handle its stdin, stdout, and stderr just like you
 would handle any other C++ iostream.

Package: libpsurface-dbg
Description-md5: 1bd4e59d366d353c915853804c8c2a1a
Description-en: piecewise linear bijections between triangulated surfaces -- debug symbols
 Psurface is a C++ library that handles piecewise linear bijections
 between triangulated surfaces. These surfaces can be of arbitrary
 shape and need not even be manifolds.
 .
 This package contains debug symbols.

Package: libpsurface-dev
Description-md5: 316a40ae2f948cbae4a8d50e913a833d
Description-en: piecewise linear bijections between triangulated surfaces -- development files
 Psurface is a C++ library that handles piecewise linear bijections
 between triangulated surfaces. These surfaces can be of arbitrary
 shape and need not even be manifolds.
 .
 There are two main uses for psurface. When doing adaptive finite
 element simulations on grids with parametrized boundaries, psurface
 can store the parametrization. Boundary parametrizations are
 practically mappings from the coarse grid boundary to the actual
 boundary, and if that actual boundary is a triangulated surface then
 psurface can handle it. This is implemented, for example, in the
 dune-grid module of Dune.
 .
 Secondly, when doing domain decomposition methods with nonconforming
 interfaces, you need to relate the two interface grids to each
 other. Psurface can do this for you, even if your interfaces do not
 match geometrically. Such is the case, e.g., in contact
 problems. This second functionality is also available for 1d surfaces
 in 2d space.
 .
 The easiest way to use psurface for domain decomposition is to get
 the dune-grid-glue module and use it to drive psurface.
 .
 This package contains the development files.

Package: libpsurface0v5
Description-md5: a3a8666696f210a2df80c060accf194b
Description-en: piecewise linear bijections between triangulated surfaces -- shared library
 Psurface is a C++ library that handles piecewise linear bijections
 between triangulated surfaces. These surfaces can be of arbitrary
 shape and need not even be manifolds.
 .
 This package contains the shared library.

Package: libpsych-java
Description-md5: c6bc651afa3bfe108b48a82c1353a893
Description-en: ruby-psych Java extension
 ruby-psych is a YAML parser and emitter. Psych leverages libyaml for its
 YAML parsing and emitting capabilities. In addition to wrapping
 libyaml, Psych also knows how to serialize and de-serialize most Ruby
 objects to and from the YAML format.
 .
 Psych has been included with the main Ruby implementation since 1.9.2,
 and is the default YAML parser from 1.9.3 and beyond.
 .
 libpsych-java ships the Java extension to improve performance for Ruby
 implementations running in the JVM, like jruby.

Package: libpth-dev
Description-md5: ad14fcee422f923d02639de74f138d92
Description-en: GNU Portable Threads (development)
 Pth is a very portable POSIX/ANSI-C based library for Unix platforms which
 provides non-preemptive priority-based scheduling for multiple threads of
 execution ("multithreading") inside server applications. All threads run
 in the same address space of the server application, but each thread has
 its own individual program-counter, run-time stack, signal mask and errno
 variable.
 .
 This package contains the development files.

Package: libpth20
Description-md5: c8182a448d26aa385dbac4690d17b2c0
Description-en: GNU Portable Threads
 Pth is a very portable POSIX/ANSI-C based library for Unix platforms which
 provides non-preemptive priority-based scheduling for multiple threads of
 execution ("multithreading") inside server applications. All threads run
 in the same address space of the server application, but each thread has
 its own individual program-counter, run-time stack, signal mask and errno
 variable.

Package: libpthread-workqueue-dev
Description-md5: 595d8df224965dfe788dbbaa06059984
Description-en: thread pool library (development files)
 The pthread_workqueue library allows you to create one or more workqueues
 and submit work items for processing. The workqueues are serviced by a
 thread pool that is automatically created and dynamically managed by the
 library.
 .
 This package contains files needed to build programs that use the
 pthread_workqueue library.

Package: libpthread-workqueue0
Description-md5: e21ceb75abbce69ccecfe5c1f0568660
Description-en: thread pool library
 The pthread_workqueue library allows you to create one or more workqueues
 and submit work items for processing. The workqueues are serviced by a
 thread pool that is automatically created and dynamically managed by the
 library.
 .
 The API is based on the pthread_workqueue API in FreeBSD 8.0, which was
 designed to be compatible with the API developed by Apple Inc to support
 the Grand Central Dispatch concurrency framework.

Package: libptmap-ocaml
Description-md5: bda22a4b74824fd9042e1d389c82edd7
Description-en: Maps over integers implemented as Patricia trees (Runtime library)
 OCaml implementation of an efficient maps over integers,
 from a paper by Chris Okasaki.

Package: libptmap-ocaml-dev
Description-md5: 5bc21afdc4ba8f8a274db30d4b5a39a6
Description-en: Maps over integers implemented as Patricia trees (Development package)
 OCaml implementation of an efficient maps over integers,
 from a paper by Chris Okasaki.

Package: libptmap-ocaml-doc
Description-md5: db95ddf6880df43650d3a0b5f3d04497
Description-en: Documentation for ocaml-ptmap
 OCaml implementation of an efficient maps over integers,
 from a paper by Chris Okasaki.
 .
 This package contains documentation for ocaml-ptmap in html format.

Package: libptscotch-6.0
Description-md5: 4f6f275a40d71d2a070c51326b849b38
Description-en: MPI programs and libraries for graph, mesh and hypergraph partitioning
 Shared libraries package for PT-SCOTCH, the MPI version of scotch, which
 is a set of programs and libraries which implement the static mapping and
 sparse matrix reordering algorithms developed within the SCOTCH project.

Package: libptscotch-dev
Description-md5: 0eafb053278f9be78b326b70f40b1fd4
Description-en: MPI programs and libraries for graph, mesh and hypergraph partitioning
 Headers and libraries package for PT-SCOTCH, the MPI version of scotch, which
 is a set of programs and libraries which implement the static mapping and
 sparse matrix reordering algorithms developed within the SCOTCH project.

Package: libpugixml-dev
Description-md5: 6840bd5eec74b60476b2100cfe426b14
Description-en: Light-weight C++ XML processing library (development)
 pugixml is a lightweight C++ XML processing library with XPath
 support. It features:
  * DOM like interface with rich traversal/modification capabilities
  * Extermely fast non-validating XML parser which constructs the DOM
    tree from an XML file/buffer.
  * XPath 1.0 implementation for complex data-driven tree queries
  * Full Unicode support with Unicode interface variants and automatic
    encoding conversions.
 .
 This package provides required development header files which allows
 integrating pugixml with your application.

Package: libpugixml1v5
Description-md5: 851c1fdfa161ade79f2593a7dc4dbce3
Description-en: Light-weight C++ XML processing library
 pugixml is a lightweight C++ XML processing library with XPath
 support. It features:
  * DOM like interface with rich traversal/modification capabilities
  * Extermely fast non-validating XML parser which constructs the DOM
    tree from an XML file/buffer.
  * XPath 1.0 implementation for complex data-driven tree queries
  * Full Unicode support with Unicode interface variants and automatic
    encoding conversions.
 .
 This library is extremely portable and easy to integrate and use.
 .
 Since pugixml has a DOM parser, it can't process XML documents that do
 not fit in memory; also the parser is a non-validating one, so if you
 need DTD or XML Schema validation, the library is not for you.

Package: libpugl-0-0
Description-md5: ebd33d39d290fe6de37dd309eb042047
Description-en: portable API for OpenGL graphical interfaces
 Pugl is a minimal portable API for OpenGL GUIs which supports
 embedding and is suitable for use in plugins, but can also be
 used for programs.
 .
 Pugl is vaguely similar to GLUT, but with some significant
 distinctions:
  * Minimal in scope, providing only what is necessary to draw
    and receive keyboard and mouse input.
  * No reliance on static data whatsoever, so the API can be
    used in plugins or multiple independent parts of a program.
  * Single implementation, which is small, liberally licensed
    and suitable for direct inclusion in programs if avoiding a
    library dependency is desired.
  * Support for embedding in other windows, so Pugl code can
    draw to a widget inside a larger GUI.
  * More complete support for keyboard input, including
    additional “special” keys, modifiers, and support for
    detecting individual modifier key presses.

Package: libpugl-dbg
Description-md5: 37ab8b5b6e1646989e7a1c5cdd75a44f
Description-en: portable API for OpenGL graphical interfaces (debugging symbols)
 Pugl is a minimal portable API for OpenGL GUIs which supports
 embedding and is suitable for use in plugins, but can also be
 used for programs.
 .
 This package provides the debugging symbols.

Package: libpugl-dev
Description-md5: 8001406c338d66c7afc444e74ff0f4d4
Description-en: portable API for OpenGL graphical interfaces (headers)
 Pugl is a minimal portable API for OpenGL GUIs which supports
 embedding and is suitable for use in plugins, but can also be
 used for programs.
 .
 This package provides the development files.

Package: libpulse-java
Description-md5: b6ad3a33a6f508a03526b3098febb07b
Description-en: PulseAudio sound driver for Java
 IcedTea implementation of javax.sound.sampled.spi.MixerProvider using
 PulseAudio as a mixer.

Package: libpulse-jni
Description-md5: 64561d0b5244752007260862680d0ecd
Description-en: PulseAudio sound driver for Java (JNI libraries)
 IcedTea implementation of javax.sound.sampled.spi.MixerProvider using
 PulseAudio as a mixer.
 .
 This package contains the architecture specific Java native interface part.

Package: libpulse-ocaml
Description-md5: 67dfe8157396c17e4c3a6e4e4188ce3b
Description-en: OCaml interface to the PulseAudio sound server
 This package provied an interface to the PulseAudio sound server for
 OCaml programmers.
 .
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 This package contains only the shared runtime stub libraries.

Package: libpulse-ocaml-dev
Description-md5: 9e2259125ae5b278fbc09b72f345294d
Description-en: OCaml interface to the pulseaudio library
 This package provied an interface to the PulseAudio sound server for
 OCaml programmers.
 .
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-pulseaudio.

Package: libpuma-dev
Description-md5: c911872e0166a80903e49ff50aa9c46b
Description-en: C/C++/AspectC++ Scanner and Parsers
 libPuma is a library written in C++ for Scanning and Parsing C++
 Code. It also does some semantic analysis.
 .
 It was written to facilitate the development for tools, which manipulate
 and/or transfor C/C++ Code.
 .
 This package ships a static copy of libPuma only.

Package: libpuma-doc
Description-md5: 548a0258a9860db19c1620cfacc36255
Description-en: C/C++/AspectC++ Scanner and Parsers
 libPuma is a library written in C++ for Scanning and Parsing C++
 Code. It also does some semantic analysis.
 .
 It was written to facilitate the development for tools, which manipulate
 and/or transfor C/C++ Code.
 .
 This package ships doxygen generated documentation for Puma.

Package: libpuppetlabs-http-client-clojure
Description-md5: d78246d3cad487f3b16677c5b652f447
Description-en: Clojure wrapper around libhttpasyncclient-java
 puppetlabs-http-client is a wrapper around the Apache HttpAsyncClient
 library providing some extra functionality for configuring SSL in a way
 compatible with Puppet.

Package: libpuppetlabs-i18n-clojure
Description-md5: aca3e7a3a91eb4de3391475f2a7413b5
Description-en: Clojure i18n library
 puppetlabs-i18n provides convenience functions to access the JVM's
 localization facilities and automates managing messages and resource bundles.
 The tooling for translators uses GNU gettext so that translators can work with
 PO files which are widely used and for which a huge amount of tooling exists.

Package: libpuppetlabs-ring-middleware-clojure
Description-md5: 63a035b0e006d7d35adea62e032cfac5
Description-en: common Ring middleware for Puppet projects
 This is a Ring middleware meant for use with the Trapperkeeper Jetty9
 webservice. The package also contains common Ring middleware between Puppet
 projects and helpers to be used with it.

Package: libpurelibc-dev
Description-md5: b16ec1b0c7fdc73db6a00a474668025f
Description-en: Development files for the purelibc library
 purelibc is an overlay library that converts the glibc, the GNU standard C
 library, into a pure library, i.e. a library that can upcall instead of
 running the system calls. With purelibc a process can trace (and virtualize)
 its own calls. It is used, for instance, by the UMView modules to support
 the recursion of virtual environments.
 .
 purelibc, along with UMView, is part of the View-OS project:
 http://wiki.virtualsquare.org
 .
 This package contains the files needed to compile applications that link
 purelibc.

Package: libpurelibc1
Description-md5: e7d452f74d2bdd86ccf82ab4d7c01f8e
Description-en: libc+syscalls to libc-only wrapper for libc functions
 purelibc is an overlay library that converts the glibc, the GNU standard C
 library, into a pure library, i.e. a library that can upcall instead of
 running the system calls. With purelibc a process can trace (and virtualize)
 its own calls. It is used, for instance, by the UMView modules to support
 the recursion of virtual environments.
 .
 purelibc, along with UMView, is part of the View-OS project:
 http://wiki.virtualsquare.org
 .
 This package contains the library, that can be linked with an application or
 preloaded using the LD_PRELOAD environment variable.

Package: libpurify-dev
Description-md5: 7309ad8d1328150195f16a8c69254b60
Description-en: Routines for radio interferometric imaging (development files)
 PURIFY is a collection of routines written in C that implements different
 tools for radio interferometric imaging including file handling (for both
 visibilities and fits files), implementation of the measurement operator
 and set-up of the different optimization problems used for image
 deconvolution. The code calls the generic Sparse OPTimization (SOPT)
 package to solve the imaging optimization problems.
 .
 This package contains the development files.

Package: libpurify2.0
Description-md5: 0e07dce7231025c114f56f042ec1a72b
Description-en: Routines for radio interferometric imaging (shared lib)
 PURIFY is a collection of routines written in C that implements different
 tools for radio interferometric imaging including file handling (for both
 visibilities and fits files), implementation of the measurement operator
 and set-up of the different optimization problems used for image
 deconvolution. The code calls the generic Sparse OPTimization (SOPT)
 package to solve the imaging optimization problems.
 .
 This package contains the shared library.

Package: libpurple-bin
Description-md5: 0bb5fd55724d9d7cf0369b3935f345b1
Description-en: multi-protocol instant messaging library - extra utilities
 This package contains the utilities not included in the main libpurple0
 package. Currently included are: purple-remote, purple-send,
 purple-send-async, and purple-url-handler.

Package: libpurple-dev
Description-md5: b17a06afcbe53ddca5dc2a149cb2f24d
Description-en: multi-protocol instant messaging library - development files
 This package contains the headers and other development files not included in
 the main libpurple0 package. Install this if you wish to compile your own
 client-agnostic plugins, or would like to compile programs that use
 libpurple.

Package: libpurple0
Description-md5: f3ba45b00f416d84edcbd1eb3fe09e91
Description-en: multi-protocol instant messaging library
 libpurple is a library intended to be used by programmers seeking
 to write an IM client that connects to many IM networks.
 Currently supported are:
 AIM/ICQ, IRC, Jabber/XMPP/Google Talk, Napster, Zephyr, Gadu-Gadu,
 Bonjour, Groupwise, Sametime, and SIMPLE.
 .
 Some extra packages are suggested to use increased functionality:
  * libtcl8.6, libtk8.6:
    - Support for writing plugins with Tcl/Tk

Package: libpuzzle-bin
Description-md5: 9ef9ad482476dca51ae4f4cda8ee42fa
Description-en: quick similar image finder - runtime tool
 The Puzzle library is designed to quickly find visually similar images
 (GIF, PNG, JPG), even if they have been resized, recompressed,
 recolored or slightly modified.
 .
 This package contains the command-line tool: puzzle-diff.

Package: libpuzzle-dev
Description-md5: 3cf50754184ea1b780fbcc5e579f28d0
Description-en: quick similar image finder - development files
 The Puzzle library is designed to quickly find visually similar images
 (GIF, PNG, JPG), even if they have been resized, recompressed,
 recolored or slightly modified.
 .
 This package contains the development files.

Package: libpuzzle1
Description-md5: 9f331cf6c5254793a9b82427a8f349fc
Description-en: quick similar image finder - shared library
 The Puzzle library is designed to quickly find visually similar images
 (GIF, PNG, JPG), even if they have been resized, recompressed,
 recolored or slightly modified.
 .
 This package contains the C library.

Package: libpvm3
Description-md5: 47bad5ccbe42269a5fc2638aa75d5832
Description-en: Parallel Virtual Machine - shared libraries
 Just the bare library, required by binaries with optional PVM support such
 as pvmpov.  Install the pvm package if you wish to actually run a parallel
 job.

Package: libpwiz-dev
Description-md5: 0f13f0d35cee409d86a3824bef452d8b
Description-en: library to perform proteomics data analyses (devel files)
 The libpwiz library from the ProteoWizard project provides a modular
 and extensible set of open-source, cross-platform tools and
 libraries. The tools perform proteomics data analyses; the libraries
 enable rapid tool creation by providing a robust, pluggable
 development framework that simplifies and unifies data file access,
 and performs standard chemistry and LCMS dataset computations.
 .
 The primary goal of ProteoWizard is to eliminate the existing
 barriers to proteomic software development so that researchers can
 focus on the development of new analytic approaches, rather than
 having to dedicate significant resources to mundane (if important)
 tasks, like reading data files.
 .
 This package ships the library development files.

Package: libpwiz-doc
Description-md5: 24d107d710ce667dc230d326fa76a835
Description-en: set of programs to perform proteomics data analyses (doc)
 The libpwiz library from the ProteoWizard project provides a modular
 and extensible set of open-source, cross-platform tools and
 libraries. The tools perform proteomics data analyses; the libraries
 enable rapid tool creation by providing a robust, pluggable
 development framework that simplifies and unifies data file access,
 and performs standard chemistry and LCMS dataset computations.
 .
 The primary goal of ProteoWizard is to eliminate the existing
 barriers to proteomic software development so that researchers can
 focus on the development of new analytic approaches, rather than
 having to dedicate significant resources to mundane (if important)
 tasks, like reading data files.
 .
 This package ships the documentation to the proteowizard software
 along with example programs (source code and binaries).

Package: libpwiz-tools
Description-md5: 27877a23421affb754c25f7153331f24
Description-en: ProteoWizard command line tools
 The libpwiz library from the ProteoWizard project provides a modular
 and extensible set of open-source, cross-platform tools and
 libraries. The tools perform proteomics data analyses; the libraries
 enable rapid tool creation by providing a robust, pluggable
 development framework that simplifies and unifies data file access,
 and performs standard chemistry and LCMS dataset computations.
 .
 The primary goal of ProteoWizard is to eliminate the existing
 barriers to proteomic software development so that researchers can
 focus on the development of new analytic approaches, rather than
 having to dedicate significant resources to mundane (if important)
 tasks, like reading data files.
 .
 This package ships command line tools that include _idconvert_
 (conversion of MS identifications) and _msconvert_ (conversion of MS
 raw data files from/to any supported format).

Package: libpwiz3
Description-md5: ed040acf1f8dfea90ff2b4dd850eda23
Description-en: library to perform proteomics data analyses (runtime)
 The libpwiz library from the ProteoWizard project provides a modular
 and extensible set of open-source, cross-platform tools and
 libraries. The tools perform proteomics data analyses; the libraries
 enable rapid tool creation by providing a robust, pluggable
 development framework that simplifies and unifies data file access,
 and performs standard chemistry and LCMS dataset computations.
 .
 The primary goal of ProteoWizard is to eliminate the existing
 barriers to proteomic software development so that researchers can
 focus on the development of new analytic approaches, rather than
 having to dedicate significant resources to mundane (if important)
 tasks, like reading data files.

Package: libpwquality-tools
Description-md5: 748331af36bc84978f52ee4344e90e81
Description-en: tools for password quality checking and generation
 libpwquality's purpose is to provide common functions for password
 quality checking and also scoring them based on their apparent randomness. The
 library also provides a function for generating random passwords with good
 pronounceability.
 .
 Tools for password quality checking and generation.

Package: libpxp-ocaml-dev
Description-md5: f59fc8ba310eac8bd437980472be6e86
Description-en: OCaml library that implements an XML-1.0 validating parser
 PXP is a validating parser for XML-1.0 which has been written entirely
 in Objective Caml.
 .
 PXP is the new name of the parser formerly known as "Markup". PXP means
 "Polymorphic XML parser" and emphasizes its most useful property: that
 the API is polymorphic and can be configured such that different objects
 are used to store different types of elements.

Package: libpycaml-ocaml
Description-md5: 3a0a0714c04f8b4a76531866f3c9f180
Description-en: OCaml bindings to embed Python interpreter (runtime)
 Pycaml is intended to allow users to build native OCaml libraries and
 use them from Python, and conversely, in order to allow OCaml users
 to benefit from linkable libraries provided for Python.
 .
 This package contains the runtime library.

Package: libpycaml-ocaml-dev
Description-md5: 48f89877157a4f7134df5b167fad30e9
Description-en: OCaml bindings to embed Python interpreter (development files)
 Pycaml is intended to allow users to build native OCaml libraries and
 use them from Python, and conversely, in order to allow OCaml users
 to benefit from linkable libraries provided for Python.
 .
 This package contains development files.

Package: libpyml-ocaml
Description-md5: 75781ed5ab8d751c1b8d516d698185ca
Description-en: OCaml bindings for Python (runtime)
 py.ml provides OCaml bindings for Python. This library subsumes the
 pycaml library. Bindings are split in three modules:
  * Py provides the initialization functions and some high-level
    bindings, with error handling and naming conventions closer to
    OCaml usages;
  * Pycaml provides a signature close to the old Pycaml module, so as
    to ease migration;
  * Pywrappers provides low-level bindings, which follow closely the
    conventions of the C bindings for Python. Submodules
    Pywrappers.Python2 and Pywrappers.Python3 contain version-specific
    bindings.
 .
 This package contains the runtime files.

Package: libpyml-ocaml-dev
Description-md5: b9b2eeaf97518f7cba904432cb7a63a3
Description-en: OCaml bindings for Python (development)
 py.ml provides OCaml bindings for Python. This library subsumes the
 pycaml library. Bindings are split in three modules:
  * Py provides the initialization functions and some high-level
    bindings, with error handling and naming conventions closer to
    OCaml usages;
  * Pycaml provides a signature close to the old Pycaml module, so as
    to ease migration;
  * Pywrappers provides low-level bindings, which follow closely the
    conventions of the C bindings for Python. Submodules
    Pywrappers.Python2 and Pywrappers.Python3 contain version-specific
    bindings.
 .
 This package contains the development files.

Package: libpynac-dev
Description-md5: 73a8bfb604a1a668cff7fe42998e0882
Description-en: Engine for symbolic geometric calculus for Python (development files)
 Pynac (which stands for "Python is Not a CAS") is a fork of GiNaC that
 replaces the dependency of GiNaC on CLN (Class Library for Numbers, C++) by
 a dependency on Python.
 .
 This is the library for symbolic geometric calculus in Python,
 as used in SAGE (http://www.sagemath.org)
 .
 This package contains the development files for the library.

Package: libpynac18py3
Description-md5: fcb56dd772b292035754cec1c4e21943
Description-en: Engine for symbolic geometric calculus for Python
 Pynac (which stands for "Python is Not a CAS") is a fork of GiNaC that
 replaces the dependency of GiNaC on CLN (Class Library for Numbers, C++) by
 a dependency on Python.
 .
 This is the library for symbolic geometric calculus in Python,
 as used in SAGE (http://www.sagemath.org)

Package: libpyside2-dev
Description-md5: 3fd49dfef4a775c4bb740908d914d395
Description-en: Python bindings for Qt5 (development files)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides the development headers for the libpyside2 library.

Package: libpyside2-py3-5.14
Description-md5: 8456aeaea18f36be3927a7fa18eee016
Description-en: Python 3 bindings for Qt5 (base files)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python3 base file used by all modules.

Package: libpythia8-dev
Description-md5: b95cff3408ff82f9b68ea5d5b5d6b613
Description-en: PYTHIA event generator of high energy physics - development files
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 This package provides development files of PYTHIA8.

Package: libpythia8v5
Description-md5: f9d158bf958209b96c8b34fe33b43f1a
Description-en: PYTHIA event generator of high energy physics
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 This package provides run-time library of PYTHIA8.

Package: libpython-all-dbg
Description-md5: e56971b524f5d9127f47f07827b987af
Description-en: package depending on all supported Python2 debugging packages
 The package currently depends on libpython2.7-dbg, in the
 future, dependencies on jython (Python2 for a JVM) and ironpython (Python2
 for Mono) may be added.
 .
 This package is a dependency package used as a build dependency for other
 packages to avoid hardcoded dependencies on specific Python2 debug packages.

Package: libpython-all-dev
Description-md5: 2eccb030fc9bee276d32932c080bd57d
Description-en: package depending on all supported Python2 development packages
 The package currently depends on libpython2.7-dev, in the
 future, dependencies on jython (Python2 for a JVM) and ironpython (Python2
 for Mono) may be added.
 .
 This package is a dependency package used as a build dependency for other
 packages to avoid hardcoded dependencies on specific Python2 development
 packages.

Package: libpython2-dbg
Description-md5: 9fbccc0cfa43aaa79fedeaaebe04a6a0
Description-en: debug build of the Python2 interpreter (version 2.7)
 Python2 interpreter configured with --pydebug. Dynamically loaded modules
 are searched in /usr/lib/python2.7/lib-dynload/debug first.

Package: libpython2-dev
Description-md5: 39e8987518a407d42638b3fc80fc84cd
Description-en: header files and a static library for Python2
 Header files, a static library and development tools for building
 Python2 modules, extending the Python2 interpreter or embedding Python2
 in applications.
 .
 This package is a dependency package, which depends on Debian's Python2
 version (currently v2.7).

Package: libpython2-stdlib
Description-md5: 4b7ebd3f81aec7efecbffeab2402847a
Description-en: interactive high-level object-oriented language (Python2)
 Python2, the high-level, interactive object oriented language,
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.
 .
 This package is a dependency package, which depends on Debian's Python2
 version (currently v2.7).

Package: libpython2.7
Description-md5: ca83a75c05dbdfe28eaeb1252ff614a4
Description-en: Shared Python runtime library (version 2.7)
 Python is a high-level, interactive, object-oriented language. Its 2.7 version
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.
 .
 This package contains the shared runtime library, normally not needed
 for programs using the statically linked interpreter.

Package: libpython2.7-dbg
Description-md5: f2b41391895402a123a55c07a1d6812f
Description-en: Debug Build of the Python Interpreter (version 2.7)
 The package holds two things:
 .
 - Extensions for a Python interpreter configured with --pydebug.
 - Debug information for standard python extensions.
 .
 See the README.debug for more information.

Package: libpython2.7-dev
Description-md5: 2ef5e0390998d9ab1872bc0f6b694ff7
Description-en: Header files and a static library for Python (v2.7)
 Header files, a static library and development tools for building
 Python (v2.7) modules, extending the Python interpreter or embedding
 Python (v2.7) in applications.
 .
 Maintainers of Python packages should read README.maintainers.
 .
 This package contains development files. It is normally not
 used on it's own, but as a dependency of python2.7-dev.

Package: libpython2.7-minimal
Description-md5: 703efc0a29ea636b32f475404406f7cc
Description-en: Minimal subset of the Python language (version 2.7)
 This package contains some essential modules. It is normally not
 used on it's own, but as a dependency of python2.7-minimal.

Package: libpython2.7-stdlib
Description-md5: f4f7d2df11420ed1433b778b1a144502
Description-en: Interactive high-level object-oriented language (standard library, version 2.7)
 Python is a high-level, interactive, object-oriented language. Its 2.7 version
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.
 .
 This package contains Python 2.7's standard library. It is normally not
 used on its own, but as a dependency of python2.7.

Package: libpython2.7-testsuite
Description-md5: ca1ecfb5f297f44ae0729c2b3459e146
Description-en: Testsuite for the Python standard library (v2.7)
 The complete testsuite for the Python standard library.  Note that
 a subset is found in the libpython2.7-stdlib package, which should
 be enough for other packages to use (please do not build-depend
 on this package, but file a bug report to include additional
 testsuite files in the libpython2.7-stdlib package).

Package: libpython3.8-testsuite
Description-md5: e066f95a68978dfc6eb1f76eb3c824e9
Description-en: Testsuite for the Python standard library (v3.8)
 The complete testsuite for the Python standard library.  Note that
 a subset is found in the libpython3.8-stdlib package, which should
 be enough for other packages to use (please do not build-depend
 on this package, but file a bug report to include additional
 testsuite files in the libpython3.8-stdlib package).

Package: libpyzy-1.0-0v5
Description-md5: 759302988bd5715f90ab45ee9e6d9859
Description-en: Chinese PinYin and Bopomofo conversion library
 Algorithm library of ibus-pinyin. It is separated from ibus-pinyin
 to convenient other programs.
 .
 This package contains shared library.

Package: libpyzy-dev
Description-md5: 1f705aea93b67ce8a06486468af45582
Description-en: Development files of libpyzy
 Algorithm library of ibus-pinyin. It is separated from ibus-pinyin
 to convenient other programs.
 .
 This package contains static library and header files.

Package: libqaccessibilityclient-qt5-0
Description-md5: 7abeac7425a3b377ac1176d6f7d1e699
Description-en: helper to make writing accessibility tools easier
 Helper library to make writing accessibility tools easier.  Used by
 screen readers for example.
 .
 This package contains the shared library.

Package: libqaccessibilityclient-qt5-dev
Description-md5: 762347af976a8f9abb38d101828ff820
Description-en: helper to make writing accessibility tools easier -- development
 Helper library to make writing accessibility tools easier.  Used by
 screen readers for example.
 .
 This package contains the development files.

Package: libqalculate-dev
Description-md5: f539c1ac1488eeb06b0232761399ea2e
Description-en: Powerful and easy to use desktop calculator - development
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision, plotting, and a graphical interface that uses a one-line
 fault-tolerant expression entry (although it supports optional traditional
 buttons).
 .
 This package contains the development files needed to build the frontends.

Package: libqalculate-doc
Description-md5: 02fc32ed83533c6b69ef67af7a10ef00
Description-en: Powerful and easy to use desktop calculator - documentation
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision, plotting, and a graphical interface that uses a one-line
 fault-tolerant expression entry (although it supports optional traditional
 buttons).
 .
 This package contains the libqalculate documentation for developers.

Package: libqalculate20
Description-md5: d259e364d39e86d2ec2ec0bbf8522e97
Description-en: Powerful and easy to use desktop calculator - library
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision, plotting, and a graphical interface that uses a one-line
 fault-tolerant expression entry (although it supports optional traditional
 buttons).
 .
 This package contains the shared library used by all Qalculate! frontends.

Package: libqalculate20-data
Description-md5: b4a9d85057a1a9f8aef5146972590a3a
Description-en: Powerful and easy to use desktop calculator - data
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision, plotting, and a graphical interface that uses a one-line
 fault-tolerant expression entry (although it supports optional traditional
 buttons).
 .
 This package contains the data files needed by libqalculate.

Package: libqapt-dev
Description-md5: ebfb8a382214854d21b85301af1fea89
Description-en: Development headers for the QApt library
 LibQApt is a Qt wrapper around the libapt-pkg library as well as an APT
 implementation using libapt-pkg. The aim is to provide a sane API for
 package management to ease the development of package managers written in Qt
 and C++
 .
 This package contains the development headers for the QApt library

Package: libqapt3
Description-md5: 038c717459bf7e7e73e27ab32c90ffc8
Description-en: QApt library package
 LibQApt is a Qt wrapper around the libapt-pkg library as well as an APT
 implementation using libapt-pkg. The aim is to provide a sane API for
 package management to ease the development of package managers written in Qt
 and C++
 .
 This package contains the QApt library

Package: libqapt3-runtime
Description-md5: 1383b3859e9d954f26494c46e0594b54
Description-en: Runtime components for the QApt library
 LibQApt is a Qt wrapper around the libapt-pkg library as well as an APT
 implementation using libapt-pkg. The aim is to provide a sane API for
 package management to ease the development of package managers written in Qt
 and C++
 .
 This package contains the runtime components necessary for applications using
 the QApt library to run.

Package: libqbscore1.13
Description-md5: 0811f7ca5d99f9e873fc52232c622b8a
Description-en: Qbs core library
 Qbs is a general purpose cross-platform build tool that aims at fast and
 correct incremental builds. It features a project description language that
 is similar to QML.
 .
 This package contains Qbs core shared library.

Package: libqca-qt5-2
Description-md5: e6821571ac9f35265d4086f952b5ec4a
Description-en: libraries for the Qt Cryptographic Architecture
 The Qt Cryptographic Architecture (QCA) provides a straightforward and cross-
 platform API for a range of cryptographic features, including SSL/TLS,
 X.509 certificates, SASL, OpenPGP, S/MIME CMS, and smart cards.

Package: libqca-qt5-2-dev
Description-md5: e5f738a0e88b215b00c26ce7899aa25a
Description-en: development files for the Qt Cryptographic Architecture
 The Qt Cryptographic Architecture (QCA) provides a straightforward and cross-
 platform API for a range of cryptographic features, including SSL/TLS,
 X.509 certificates, SASL, OpenPGP, S/MIME CMS, and smart cards.
 .
 This package contains development files for building software that uses the
 Qt Cryptographic Architecture.

Package: libqca-qt5-2-plugins
Description-md5: e20f8c11581dee4fb6aeaa43f87543c5
Description-en: QCA plugins for libqca2
 This plugin provides cryptographic as well as helper plugins for Qt
 Cryptographic Architecture (QCA).

Package: libqca2-doc
Description-md5: dd72ebca568996d714100bc0c6c8978a
Description-en: API documentation for the Qt Cryptographic Architecture
 The Qt Cryptographic Architecture (QCA) provides a straightforward and cross-
 platform API for a range of cryptographic features, including SSL/TLS,
 X.509 certificates, SASL, OpenPGP, S/MIME CMS, and smart cards.
 .
 This package contains documentation for developers working with the
 Qt Cryptographic Architecture.

Package: libqcheck-core-ocaml
Description-md5: fca259cad1ec93f3f1606be3e7170d79
Description-en: QuickCheck inspired property-based testing for OCaml (Runtime library)
 This module allows one to check invariants (properties of some types) over
 randomly generated instances of the type. It provides combinators for
 generating instances and printing them.

Package: libqcheck-core-ocaml-dev
Description-md5: 92495d7c40551c5fbaf5cbe629f27d54
Description-en: QuickCheck inspired property-based testing for OCaml (Development package)
 This module allows one to check invariants (properties of some types) over
 randomly generated instances of the type. It provides combinators for
 generating instances and printing them.

Package: libqcheck-ocaml
Description-md5: 03b1d439838ed2c58bb0e7c2425b7293
Description-en: QuickCheck inspired property-based testing for OCaml (Runtime library)
 This module allows one to check invariants (properties of some types) over
 randomly generated instances of the type. It provides combinators for
 generating instances and printing them.
 .
 This is a compatibility library, depends on libqcheck-ocaml.

Package: libqcheck-ocaml-dev
Description-md5: e09ed79bba6040b88ff9ff5edf7e52a6
Description-en: QuickCheck inspired property-based testing for OCaml (Development package)
 This module allows one to check invariants (properties of some types) over
 randomly generated instances of the type. It provides combinators for
 generating instances and printing them.
 .
 This is a compatibility library, depends on libqcheck-ocaml.

Package: libqcheck-ocaml-doc
Description-md5: 1ef80994d3ea7567009c0adbf3cd348a
Description-en: Documentation for ocaml-qcheck
 This module allows one to check invariants (properties of some types) over
 randomly generated instances of the type. It provides combinators for
 generating instances and printing them.
 .
 This package contains documentation for ocaml-qcheck in html format.

Package: libqcheck-ounit-ocaml
Description-md5: 67d6867edcc76b989bb21ebad586dba7
Description-en: QCheck integration with OUnit (Runtime library)
 This module provides QCheck integration with OUnit.
 .
 QCheck allows one to check invariants (properties of some types) over
 randomly generated instances of the type. It provides combinators for
 generating instances and printing them.

Package: libqcheck-ounit-ocaml-dev
Description-md5: 541dafcfb635ee408291c0652a02f782
Description-en: QCheck integration with OUnit (Development package)
 This module provides QCheck integration with OUnit.
 .
 QCheck allows one to check invariants (properties of some types) over
 randomly generated instances of the type. It provides combinators for
 generating instances and printing them.

Package: libqcow-dev
Description-md5: 2877f7b721886c2ee0e85e11eae61928
Description-en: QEMU Copy-On-Write image format access library -- development files
 libqcow is a library to access the QEMU Copy-On-Write (QCOW) image format.
 .
 This package includes the development support files.

Package: libqcow-utils
Description-md5: 47d81ceacc7aecaba8af34b2ec1d888d
Description-en: QEMU Copy-On-Write image format access library -- Utilities
 libqcow is a library to access the QEMU Copy-On-Write (QCOW) image format.
 .
 This package contains tools to access data stored in QCOW files:
 qcowinfo, qcowmount.

Package: libqcow1
Description-md5: ad2e480029d6e2c25ba681d44b0d5b79
Description-en: QEMU Copy-On-Write image format access library
 libqcow is a library to access the QEMU Copy-On-Write (QCOW) image format.
 .
 This package contains the shared library.

Package: libqcsxcad0
Description-md5: 8f7e75f43c4a93fda4bdaa8857f47e5a
Description-en: QT Gui Library for Visualization of Continuous Structure XML
 Continuous structure XML (libcsxcad) is a way to describe geometrical objects
 and their physical or non-physical properties. This library provides
 functions to show this in a GUI.
 .
 openEMS is a simulator for electromagnetic problems using
 the FDTD algorithm.

Package: libqcustomplot-dev
Description-md5: 299d916dd9aaae58ae8ce6ab7c9a0d03
Description-en: Qt C++ widget for plotting - header
 QCustomPlot plotting library focuses on making good looking, publication
 quality 2D plots, graphs and charts, as well as offering high performance for
 realtime visualization applications. The package contains a header-file.

Package: libqcustomplot-doc
Description-md5: 9a9b021d71e85d55acd8d7bea038c265
Description-en: Qt C++ widget for plotting - documentation and examples
 QCustomPlot plotting library focuses on making good looking, publication
 quality 2D plots, graphs and charts, as well as offering high performance for
 realtime visualization applications. The package contains examples and
 documentation.

Package: libqcustomplot2.0
Description-md5: 4b98bf638524c3b8cc74bd83ad587910
Description-en: Qt C++ widget for plotting
 QCustomPlot plotting library focuses on making good looking, publication
 quality 2D plots, graphs and charts, as well as offering high performance for
 realtime visualization applications.

Package: libqd-dev
Description-md5: 1bc09b53f42c38be40a36c8430f7ff32
Description-en: Double-double and quad double types in C++ and F90
 This package supports both a double-double datatype (approx. 32
 decimal digits) and a quad-double datatype (approx. 64 decimal
 digits). The computational library is written in C++. Both C++ and
 Fortran-90 high-level language interfaces are provided to permit one
 to convert an existing C++ or Fortran-90 program to use the library
 with only minor changes to the source code.

Package: libqd0v5
Description-md5: 1bc09b53f42c38be40a36c8430f7ff32
Description-en: Double-double and quad double types in C++ and F90
 This package supports both a double-double datatype (approx. 32
 decimal digits) and a quad-double datatype (approx. 64 decimal
 digits). The computational library is written in C++. Both C++ and
 Fortran-90 high-level language interfaces are provided to permit one
 to convert an existing C++ or Fortran-90 program to use the library
 with only minor changes to the source code.

Package: libqdbm++-dev
Description-md5: 8313484351bbb52707b84116467f5e02
Description-en: QDBM Database Libraries for C++ [development] (transitional package)
 This is a dummy package to ease transition to new package name.

Package: libqdbm-dev
Description-md5: 8ecbc97abdf57fa7e815a175033d8000
Description-en: QDBM Database Libraries [development]
 This is the development package which contains headers and static
 libraries for the QDBM database library.

Package: libqdbm-java
Description-md5: c37e054ac5037142e52e24f1baa9ffa0
Description-en: QDBM Database Libraries for Java
 QDBM is an embedded database library compatible with GDBM and NDBM.
 It features hash database and B+ tree database and is developed referring
 to GDBM for the purpose of the following three points: higher processing
 speed, smaller size of a database file, and simpler API.
 This package provides the Java interface for the QDBM database library.

Package: libqdbm-perl
Description-md5: 248ea0093eddd1535351b8f178593a1b
Description-en: QDBM Database Libraries for Perl
 QDBM is an embedded database library compatible with GDBM and NDBM.
 It features hash database and B+ tree database and is developed referring
 to GDBM for the purpose of the following three points: higher processing
 speed, smaller size of a database file, and simpler API.
 This package provides the Perl interface for the QDBM database library.

Package: libqdbm14
Description-md5: cef087cddd2fc9d696ab1c958efb7619
Description-en: QDBM Database Libraries without GDBM wrapper[runtime]
 QDBM is an embedded database library compatible with GDBM and NDBM.
 It features hash database and B+ tree database and is developed referring
 to GDBM for the purpose of the following three points: higher processing
 speed, smaller size of a database file, and simpler API.
 This is the runtime package for programs that use the QDBM database
 library.

Package: libqdbm3++c2
Description-md5: 1d8b6244b3fc84f6406ddea07f19ac04
Description-en: QDBM Database Libraries for C++ [runtime] (transitional package)
 This is a dummy package to ease transition to new package name.

Package: libqdjango-db0
Description-md5: 583c1fd59acabd61ca6003a23a3d1fc5
Description-en: Database library for the QDjango framework
 QDjango is a cross-platform C++ web development framework built upon Qt.
 Where possible it tries to follow django's API, hence its name.
 .
 This package contains the database object relational model library.

Package: libqdjango-dbg
Description-md5: 84732fb0911d927d78aa3d8714bfcbcb
Description-en: Debugging symbols for the QDjango framework
 QDjango is a cross-platform C++ web development framework built upon Qt.
 Where possible it tries to follow django's API, hence its name.
 .
 This package contains the debugging symbols.

Package: libqdjango-dev
Description-md5: 268832bf47a300255aadeb06b460e55e
Description-en: Development files for the QDjango framework
 QDjango is a cross-platform C++ web development framework built upon Qt.
 Where possible it tries to follow django's API, hence its name.
 .
 This package contains the development headers and libraries.

Package: libqdjango-doc
Description-md5: 67a73c0aa314bdafc22e16390d437963
Description-en: Documentation for the QDjango framework
 QDjango is a cross-platform C++ web development framework built upon Qt.
 Where possible it tries to follow django's API, hence its name.
 .
 This package contains the HTML documentation.

Package: libqdjango-http0
Description-md5: 770437e13d639b1a2a549e68437a6a87
Description-en: HTTP library for the QDjango framework
 QDjango is a cross-platform C++ web development framework built upon Qt.
 Where possible it tries to follow django's API, hence its name.
 .
 This package contains the HTTP library.

Package: libqdox-java
Description-md5: e922f227dda69707530f2d1100182c21
Description-en: Quickly parses declarations and Javadoc from Java source
 qdox quickly parses Java source files looking for key items of
 interest:
  * class and interface definitions
  * member declarations
  * import statements
  * JavaDoc comments
 .
 qdox ignores all other components of Java source, such as actual method
 implementations, to avoid overhead.  qdox returns a simple document
 model containing only the declarations and containing enough
 information to be useful.

Package: libqdox-java-doc
Description-md5: e8e95b9c3669693544d9ffda441e77b8
Description-en: Documentation for qdox (javadoc)
 This package contains the documentation for qdox, generated from
 Javadoc.
 .
 qdox quickly parses Java source files looking for key items of
 interest:
  * class and interface definitions
  * member declarations
  * import statements
  * JavaDoc comments
 .
 qdox ignores all other components of Java source, such as actual method
 implementations, to avoid overhead.  qdox returns a simple document
 model containing only the declarations and containing enough
 information to be useful.

Package: libqdox2-java
Description-md5: 3992fa4a4bf356cc1fc64714d14b90e0
Description-en: quickly parses declarations and Javadoc from Java source
 QDox quickly parses Java source files looking for key items of
 interest:
  * class and interface definitions
  * member declarations
  * import statements
  * JavaDoc comments
 .
 QDox ignores all other components of Java source, such as actual method
 implementations, to avoid overhead. QDox returns a simple document model
 containing only the declarations and containing enough information to be
 useful.
 .
 This is version 2 of QDox which is backwards incompatible with earlier
 versions.

Package: libqdox2-java-doc
Description-md5: 3b54eb81879e34ecea99570da7f14523
Description-en: Documentation for QDox
 This package contains the documentation for QDox, generated from
 Javadoc.
 .
 QDox quickly parses Java source files looking for key items of
 interest:
  * class and interface definitions
  * member declarations
  * import statements
  * JavaDoc comments
 .
 QDox ignores all other components of Java source, such as actual method
 implementations, to avoid overhead. QDox returns a simple document model
 containing only the declarations and containing enough information to be
 useful.
 .
 This is version 2 of QDox which is backwards incompatible with earlier
 versions.

Package: libqdwizard-java
Description-md5: b4ec327efb6afda94d4b985c47e40412
Description-en: simple Java Wizard API
 QDWizard is a dead simple API for creating Swing wizards. It is designed
 to minimize required code and has no dependencies besides Java 5.

Package: libqes-dev
Description-md5: ce5d45184a1cbc2672d82e344a96f38c
Description-en: DNA sequence parsing library -- development
 A small C library, with a bioinformatic focus. Optimised for speed and a clean
 API. Handles sequence parsing and miscellaneous manipulation of DNA sequences.
 .
 These are the development headers required to use libqes in your own
 applications.

Package: libqes0
Description-md5: 5ae04551622364c6702f079257c5699a
Description-en: DNA sequence parsing library
 A small C library, with a bioinformatic focus. Optimised for speed and a clean
 API. Handles sequence parsing and miscellaneous manipulation of DNA sequences.
 .
 This package is the shared library which programs using libqes should link to.
 Developers will want libqes-dev installed to use libqes in developing their
 applications.

Package: libqfits-dev
Description-md5: 5a6ab3ed000aacbd5051dffb20ec3ba0
Description-en: Development files for libqfits
 This package contains the header files and static library needed to
 compile applications that use qfits.

Package: libqfits0
Description-md5: 81394c6498c0efa014a479b6a3c61c84
Description-en: Library offering easy access to FITS files
 FITS (Flexible Image Transport System) is a data format most used in
 astronomy.
 .
 qfits is a stand-alone library written in C to interact with files
 complying with the FITS format. It is fast and portable over any
 kind of POSIX-compliant platform. Functionalities offered by this
 library are:
  * Header queries (get keywords, values).
  * Header manipulation (load/modify/save).
  * Header/data offset queries.
  * Pixel loading/saving to memory.
  * Support for files of any dimension (NAXIS).
  * Support for FITS extensions, including ASCII and binary tables.

Package: libqgis-3d3.10.4
Description-md5: 12c7e46a9ba3838604ff324ef2f4b517
Description-en: QGIS - shared 3d library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared 3d library.

Package: libqgis-analysis3.10.4
Description-md5: bbce9a642240ceda07f97dc929f9b3b4
Description-en: QGIS - shared analysis library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared analysis library.

Package: libqgis-app3.10.4
Description-md5: 23c167da57fa2c94db3ad31618e4bca8
Description-en: QGIS - shared app library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared app library.

Package: libqgis-core3.10.4
Description-md5: 088a2dda37829f9928fa200ce0e8ad40
Description-en: QGIS - shared core library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared core library.

Package: libqgis-customwidgets
Description-md5: d1970042c339de23134950db6dfcef02
Description-en: QGIS custom widgets for Qt Designer
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains a library to use specific QGIS widgets in Qt Designer.

Package: libqgis-dev
Description-md5: a1e0eb713c023b2ba12f7f4f1bc853fe
Description-en: QGIS - development files
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the headers and libraries needed to develop plugins for
 QGIS.

Package: libqgis-gui3.10.4
Description-md5: 2165ba9b4d7e41a03df30272ae0eefa8
Description-en: QGIS - shared gui library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared gui library.

Package: libqgis-native3.10.4
Description-md5: 8cdea1246871e92b3436eab7f993e31a
Description-en: QGIS - shared native gui library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared native gui library.

Package: libqgis-server3.10.4
Description-md5: c63221150996c9c3e07765375705efb7
Description-en: QGIS - shared server library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared server library.

Package: libqgisgrass7-3.10.4
Description-md5: 708140c2b18f4c8e06328e190460d96c
Description-en: QGIS - shared grass library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared grass library.

Package: libqgispython3.10.4
Description-md5: 9f7049c2185751c59d8a6eb264ba1338
Description-en: QGIS - shared Python library
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the shared Python library.

Package: libqglviewer-dev-qt5
Description-md5: 37ae09d683357d31d407e6d69239120b
Description-en: OpenGL 3D viewer library based on Qt5 - development files
 libqglviewer (also known as libQGLViewer) is a free C++ library based on Qt
 that enables the quick creation of OpenGL 3D viewers. It features a powerful
 camera trackball and simple applications simply require an implementation of
 the draw() function. It is a tool of choice for OpenGL beginners and
 assignments. It provides screenshot saving, mouse manipulated frames, stereo
 display, interpolated keyFrames, object selection, and much more. It is fully
 customizable and easy to extend to create complex applications, with a
 possible Qt GUI.
 .
 This package contains the files needed to develop and compile programs
 using QGLViewer linked with Qt version 4.

Package: libqglviewer-doc
Description-md5: 8aea14fc852d7c54eccb8c83bfa02ae6
Description-en: OpenGL 3D viewer library - documentation and examples
 libqglviewer (also known as libQGLViewer) is a free C++ library based on Qt
 that enables the quick creation of OpenGL 3D viewers. It features a powerful
 camera trackball and simple applications simply require an implementation of
 the draw() function. It is a tool of choice for OpenGL beginners and
 assignments. It provides screenshot saving, mouse manipulated frames, stereo
 display, interpolated keyFrames, object selection, and much more. It is fully
 customizable and easy to extend to create complex applications, with a
 possible Qt GUI.
 .
 This package contains documentation and examples.

Package: libqglviewer-headers
Description-md5: d7abe84745f57a392c0760e14037ee33
Description-en: OpenGL 3D viewer library - header files
 libqglviewer (also known as libQGLViewer) is a free C++ library based on Qt
 that enables the quick creation of OpenGL 3D viewers. It features a powerful
 camera trackball and simple applications simply require an implementation of
 the draw() function. It is a tool of choice for OpenGL beginners and
 assignments. It provides screenshot saving, mouse manipulated frames, stereo
 display, interpolated keyFrames, object selection, and much more. It is fully
 customizable and easy to extend to create complex applications, with a
 possible Qt GUI.
 .
 This package contains headers.

Package: libqglviewer2-qt5
Description-md5: 7ff22a4c04cf07e2c99b5939fe4f6b48
Description-en: OpenGL 3D viewer library based on Qt - runtime library
 libqglviewer (also known as libQGLViewer) is a free C++ library based on Qt
 that enables the quick creation of OpenGL 3D viewers. It features a powerful
 camera trackball and simple applications simply require an implementation of
 the draw() function. It is a tool of choice for OpenGL beginners and
 assignments. It provides screenshot saving, mouse manipulated frames, stereo
 display, interpolated keyFrames, object selection, and much more. It is fully
 customizable and easy to extend to create complex applications, with a
 possible Qt GUI.
 .
 This package contains the shared library needed to run programs using
 QGLViewer. This package contains QGLViewer linked with Qt version 5.

Package: libqgpgme7
Description-md5: 0d569a713cd9a1fb2465f4dd9add5f06
Description-en: library for GPGME integration with Qt
 QGpgME is a library that provides GPGME integration with QEventLoop
 and some Qt datatypes (e.g. QByteArray).
 .
 This is the official upstream Qt binding for GPGME.

Package: libqgpsmm-dev
Description-md5: 9e58667fc8c71eff35cac0c5a3f96118
Description-en: Global Positioning System - Qt wrapper for libgps (development)
 The gpsd service daemon can monitor one or more GPS devices connected to
 a host computer, making all data on the location and movements of the
 sensors available to be queried on TCP port 2947.
 .
 This package provides the development file for libQgpsmm, the Qt version of
 libgpsmm. It contains pkgconfig and qmake bits to build with the library.

Package: libqgpsmm26
Description-md5: c10285f0d14c1d7f263002160056c2c5
Description-en: Global Positioning System - Qt wrapper for libgps
 The gpsd service daemon can monitor one or more GPS devices connected to
 a host computer, making all data on the location and movements of the
 sensors available to be queried on TCP port 2947.
 .
 This package provides libQgpsmm, the Qt version of libgpsmm.
 It is a wrapper over libgps, the service library for querying GPS
 devices. libQgpsmm is available on all platforms which are supported
 by Qt4.

Package: libqhttpengine-dev
Description-md5: 2d2d5e3d762094f3bdae33677805fbcb
Description-en: HTTP server for Qt applications - development files
 QHttpEngine provides a set of classes that enable Qt applications to create an
 HTTP server capable of serving static files and exposing a public API.
 .
 This package provides the files necessary for developing applications that use
 the library.

Package: libqhttpengine-doc
Description-md5: c2211cf7c5380ee4f7906a989b9ec895
Description-en: HTTP server for Qt applications - documentation
 QHttpEngine provides a set of classes that enable Qt applications to create an
 HTTP server capable of serving static files and exposing a public API.
 .
 This package contains the documentation for the library.

Package: libqhttpengine-examples
Description-md5: 54cc18c76eddaa4e54c83225407fc322
Description-en: HTTP server for Qt applications - examples
 QHttpEngine provides a set of classes that enable Qt applications to create an
 HTTP server capable of serving static files and exposing a public API.
 .
 This package provides a set of examples that use the library.

Package: libqhttpengine0
Description-md5: 743557d6488e5936ab38e6690483fcab
Description-en: HTTP server for Qt applications
 QHttpEngine provides a set of classes that enable Qt applications to create an
 HTTP server capable of serving static files and exposing a public API.
 .
 This package provides the shared library required by applications at runtime.

Package: libqhull-dev
Description-md5: 735dfe4d963810a16a8702d6ef055708
Description-en: calculate convex hulls and related structures (development files)
 Qhull computes convex hulls, Delaunay triangulations, halfspace
 intersections about a point, Voronoi diagrams, furthest-site
 Delaunay triangulations, and furthest-site Voronoi diagrams. It
 runs in 2-d, 3-d, 4-d, and higher dimensions.
 .
 This package contains the files necessary for development (headers and
 libraries), as well as the library documentation in HTML format.

Package: libqhull-doc
Description-md5: ed72d4dbd9f8831237fbd8d3972d71ec
Description-en: calculate convex hulls and related structures (documentation files)
 Qhull computes convex hulls, Delaunay triangulations, halfspace
 intersections about a point, Voronoi diagrams, furthest-site
 Delaunay triangulations, and furthest-site Voronoi diagrams. It
 runs in 2-d, 3-d, 4-d, and higher dimensions.
 .
 This package contains the documentation for Qhull.

Package: libqhull-r7
Description-md5: 67d673d0eeff25d8a8327ec3e103fffa
Description-en: calculate convex hulls and related structures (reentrant shared library)
 Qhull computes convex hulls, Delaunay triangulations, halfspace
 intersections about a point, Voronoi diagrams, furthest-site
 Delaunay triangulations, and furthest-site Voronoi diagrams. It
 runs in 2-d, 3-d, 4-d, and higher dimensions.
 .
 This package contains the reentrant version of the shared C library.

Package: libqhull7
Description-md5: 96612986fdccf608bfe0f94682a4bcef
Description-en: calculate convex hulls and related structures (shared library)
 Qhull computes convex hulls, Delaunay triangulations, halfspace
 intersections about a point, Voronoi diagrams, furthest-site
 Delaunay triangulations, and furthest-site Voronoi diagrams. It
 runs in 2-d, 3-d, 4-d, and higher dimensions.
 .
 This package contains the shared C library.

Package: libqjdns-qt5-2
Description-md5: 3bf56ff58f9196a90c0993817489a57a
Description-en: Simple DNS queries library  - Qt5 wrapper
 For Qt5 users there is a wrapper available called QJDns and a very
 high-level wrapper called QJDnsShared (under its original name
 JDnsShared).

Package: libqjdns-qt5-dbg
Description-md5: 3617044494fa94811df3c1ac53d00e64
Description-en: Simple DNS queries library (Qt5) - debugging symbols
 JDNS is a simple DNS implementation that can perform normal DNS
 queries of any record type (notably SRV), as well as Multicast DNS
 queries and advertising.
 .
 This package contains the debugging symbols for debugging crashes in the
 qjdns Qt5 wrapper.

Package: libqjdns-qt5-dev
Description-md5: 8c440a2999f42697f2b9087e3a8ba127
Description-en: Simple DNS queries library Qt5 wrapper - development files
 JDNS is a simple DNS implementation that can perform normal DNS
 queries of any record type (notably SRV), as well as Multicast DNS
 queries and advertising.
 .
 The libqjdns-qt5-dev package contains libraries and header files for
 developing Qt5 applications that use qjdns.

Package: libqm-dsp-dev
Description-md5: 9ee66db928b03261610b69f164badb6a
Description-en: Development files (headers) for libqm-dsp library
 This is a C++ library for DSP and Music Informatics purposes,
 written at the Queen Mary University.
 Among other things, it provides functions for audio analysis.
 .
 This package contains the headers used to build applications
 that use libqm-dsp.

Package: libqm-dsp0
Description-md5: ea61d3fb85f0f2930449f9f9baafd64c
Description-en: C++ library for audio signals analysis
 This is a C++ library for DSP and Music Informatics purposes,
 written at the Queen Mary University.
 Among other things, it provides functions for audio analysis.
 .
 This package contains the shared library for libqm-dsp.

Package: libqmath3d-dev
Description-md5: e2a563cccbdc302c1b566e57f337da6e
Description-en: Useful 3dmaths functions from Qt3d v1.0
 Qt3d v1.0 is long-dead, but part of it remains useful. This
 library collects those math3d functions in standalone form.
 .
 This package contains the development files.

Package: libqmath3d1
Description-md5: 948de627415cf253c7fd23526f714642
Description-en: Useful 3dmaths functions from Qt3d v1.0
 Qt3d v1.0 is long-dead, but part of it remains useful. This
 library collects those math3d functions in standalone form.
 .
 This package is the library itself.

Package: libqmatrixclient-dev
Description-md5: 08b889ffc5524a6f75cccd476aa9c1cd
Description-en: Qt5 library to write cross-platform clients for Matrix
 libQMatrixClient is a Qt5-based library to make IM clients for the Matrix
 protocol. It is the backbone of Quaternion, Spectral and some other projects.

Package: libqmatrixclient0.5.1
Description-md5: 08b889ffc5524a6f75cccd476aa9c1cd
Description-en: Qt5 library to write cross-platform clients for Matrix
 libQMatrixClient is a Qt5-based library to make IM clients for the Matrix
 protocol. It is the backbone of Quaternion, Spectral and some other projects.

Package: libqmi-utils
Description-md5: 76e1eda70baf3a1a86ec637dca8a1dd9
Description-en: Utilities to use the QMI protocol from the command line
 This package contains the utilities that make it easier to use QMI
 functionality from the command line.

Package: libqmobipocket-dev
Description-md5: c99879a8c7b33f5371dcbd94999404d5
Description-en: development files for the QMobipocket library
 QMobipocket is a Qt library for reading Mobipocket documents.
 .
 This package contains the files necessary for development.
 .
 This package is part of the KDE graphics module.

Package: libqmobipocket2
Description-md5: 071bc72963a9587a2bc6843076c78962
Description-en: library for reading Mobipocket documents
 QMobipocket is a Qt library for reading Mobipocket documents.
 .
 This package is part of the KDE graphics module.

Package: libqnodeeditor-dev
Description-md5: 92e186757d44657bca3416f0a2e918c1
Description-en: Qt-based library for graph-controlled data processing (dev)
 NodeEditor is conceived as a general-purpose Qt-based library aimed
 at graph-controlled data processing. The library can be used as a
 framework for visual dataflow programming.
 .
 This package provides the development files.

Package: libqnodeeditor2
Description-md5: 31173653843dc19316460102cb189506
Description-en: Qt-based library for graph-controlled data processing
 NodeEditor is conceived as a general-purpose Qt-based library aimed
 at graph-controlled data processing. The library can be used as a
 framework for visual dataflow programming.
 .
 This package provides the library files.

Package: libqoauth-dev
Description-md5: fa06a4d487a09660e19f518c16d6851a
Description-en: Qt5-based OAuth implementation development headers
 QOAuth is a Qt5-based C++ implementation of an interface to services
 using the OAuth authorization scheme.
 .
 OAuth is described in detail at http://oauth.net/
 .
 This package contains the headers required for building programs that
 use QOauth.

Package: libqoauth2
Description-md5: b6cbe485188ec4d6f7efdfec295d38e2
Description-en: Qt5-based client implementation of the OAuth authorization scheme
 QOAuth is a Qt5-based C++ implementation of an interface to services
 using OAuth authorization scheme.
 .
 OAuth is described in detail at http://oauth.net/

Package: libqofono-dev
Description-md5: 37ef64df1d72880f5e03944dc39b1221
Description-en: Qt library for Ofono
 A library for accessing the ofono daemon, and a declarative plugin for
 it. This allows accessing ofono in qtquick and friends.

Package: libqofono-qt5-0
Description-md5: 37ef64df1d72880f5e03944dc39b1221
Description-en: Qt library for Ofono
 A library for accessing the ofono daemon, and a declarative plugin for
 it. This allows accessing ofono in qtquick and friends.

Package: libqpid-proton-cpp12
Description-md5: 611b7d2a58e6b0d93f6913d183f0a643
Description-en: C++ libraries for Qpid Proton
 Qpid Proton is a high-performance, lightweight messaging library. It can be
 used in the widest range of messaging applications, including brokers, client
 libraries, routers, bridges, proxies, and more. Proton makes it trivial to
 integrate with the AMQP 1.0 ecosystem from any platform, environment, or
 language.
 .
 This package provides the C++ shared libraries for Qpid Proton.

Package: libqpid-proton-cpp12-dev
Description-md5: 0eeef589d5e436292dc3a87b8aa82416
Description-en: C++ Development libraries for writing messaging apps with Qpid Proton
 Qpid Proton is a high-performance, lightweight messaging library. It can be
 used in the widest range of messaging applications, including brokers, client
 libraries, routers, bridges, proxies, and more. Proton makes it trivial to
 integrate with the AMQP 1.0 ecosystem from any platform, environment, or
 language.
 .
 This package provides the C++ development libraries for Qpid Proton.

Package: libqpid-proton-cpp12-dev-doc
Description-md5: b8747a032d27d5e7afa9f99ce2f786cc
Description-en: C++ developer documentation for Qpid Proton
 Qpid Proton is a high-performance, lightweight messaging library. It can be
 used in the widest range of messaging applications, including brokers, client
 libraries, routers, bridges, proxies, and more. Proton makes it trivial to
 integrate with the AMQP 1.0 ecosystem from any platform, environment, or
 language.
 .
 This package provides C++ developer documentation for Qpid Proton.

Package: libqpid-proton11
Description-md5: b2e7eb24c38fe6111250aa607c457691
Description-en: C libraries for Qpid Proton
 Qpid Proton is a high-performance, lightweight messaging library. It can be
 used in the widest range of messaging applications, including brokers, client
 libraries, routers, bridges, proxies, and more. Proton makes it trivial to
 integrate with the AMQP 1.0 ecosystem from any platform, environment, or
 language.
 .
 This package provides the shared libraries for Qpid Proton.

Package: libqpid-proton11-dev
Description-md5: 4618de3ea3e9628228ce2c6c7fc81ef6
Description-en: C Development libraries for writing messaging apps with Qpid Proton
 Qpid Proton is a high-performance, lightweight messaging library. It can be
 used in the widest range of messaging applications, including brokers, client
 libraries, routers, bridges, proxies, and more. Proton makes it trivial to
 integrate with the AMQP 1.0 ecosystem from any platform, environment, or
 language.
 .
 This package provides the C development libraries for Qpid Proton.

Package: libqpid-proton11-dev-doc
Description-md5: a41750caef97b5b94885b19b4eed6465
Description-en: Developer documentation for Qpid Proton
 Qpid Proton is a high-performance, lightweight messaging library. It can be
 used in the widest range of messaging applications, including brokers, client
 libraries, routers, bridges, proxies, and more. Proton makes it trivial to
 integrate with the AMQP 1.0 ecosystem from any platform, environment, or
 language.
 .
 This package provides C developer documentation for Qpid Proton.

Package: libqpid-proton11-dev-examples
Description-md5: 6fd1f64650284a15cd7bde4528c9a018
Description-en: Example applications for writign messaging apps with Qpid Proton
 Qpid Proton is a high-performance, lightweight messaging library. It can be
 used in the widest range of messaging applications, including brokers, client
 libraries, routers, bridges, proxies, and more. Proton makes it trivial to
 integrate with the AMQP 1.0 ecosystem from any platform, environment, or
 language.
 .
 This package provides C and C++ language examples for Qpid Proton.

Package: libqpx-dev
Description-md5: 69202bcd331a8cb5f44f0087faccbba3
Description-en: CD/DVD quality checker (development files)
 QPxTool gives you access to all available Quality Checks (Q-Checks) on written
 and blank media, that are available for your drive. This will help you to find
 the right media and the optimized writing speed for your hardware, which will
 increase the chance for a long data lifetime.
 .
 This package contains the headers and development files.

Package: libqpx0
Description-md5: 130412cb6e95d3415de81a09a42577b8
Description-en: CD/DVD quality checker (shared libraries)
 QPxTool gives you access to all available Quality Checks (Q-Checks) on written
 and blank media, that are available for your drive. This will help you to find
 the right media and the optimized writing speed for your hardware, which will
 increase the chance for a long data lifetime.
 .
 This package contains the shared libraries for QPxTool.

Package: libqrcodegen-dev
Description-md5: 47670b3a99c2e4ed8a94f3e78a5c2862
Description-en: QR Code generator library in multiple languages - C development headers
 This project aims to be the best, clearest QR Code generator library in
 multiple languages. The primary goals are flexible options and absolute
 correctness. Secondary goals are compact implementation size and good
 documentation comments. Core features:
  * Available in 7 programming languages, all with nearly equal functionality:
    Java, JavaScript, TypeScript, Python, C++, C, Rust
  * Significantly shorter code but more documentation comments compared to
    competing libraries
  * Supports encoding all 40 versions (sizes) and all 4 error correction levels,
    as per the QR Code Model 2 standard
  * Output formats: Raw modules/pixels of the QR symbol (all languages), SVG XML
    string (all languages except C), BufferedImage raster bitmap (Java only),
    HTML5 canvas (JavaScript and TypeScript only)
  * Encodes numeric and special-alphanumeric text in less space than general
    text
  * Open source code under the permissive MIT License
 .
 This package contains the development files in C.

Package: libqrcodegen1
Description-md5: 1adcbc6480cf387eeb8f14665bed222a
Description-en: QR Code generator library in multiple languages - C version
 This project aims to be the best, clearest QR Code generator library in
 multiple languages. The primary goals are flexible options and absolute
 correctness. Secondary goals are compact implementation size and good
 documentation comments. Core features:
  * Available in 7 programming languages, all with nearly equal functionality:
    Java, JavaScript, TypeScript, Python, C++, C, Rust
  * Significantly shorter code but more documentation comments compared to
    competing libraries
  * Supports encoding all 40 versions (sizes) and all 4 error correction levels,
    as per the QR Code Model 2 standard
  * Output formats: Raw modules/pixels of the QR symbol (all languages), SVG XML
    string (all languages except C), BufferedImage raster bitmap (Java only),
    HTML5 canvas (JavaScript and TypeScript only)
  * Encodes numeric and special-alphanumeric text in less space than general
    text
  * Open source code under the permissive MIT License
 .
 This package contains the shared library in C.

Package: libqrcodegencpp-dev
Description-md5: d1d32c61231e4f59d05fe75063884263
Description-en: QR Code generator library in multiple languages - C++ development headers
 This project aims to be the best, clearest QR Code generator library in
 multiple languages. The primary goals are flexible options and absolute
 correctness. Secondary goals are compact implementation size and good
 documentation comments. Core features:
  * Available in 7 programming languages, all with nearly equal functionality:
    Java, JavaScript, TypeScript, Python, C++, C, Rust
  * Significantly shorter code but more documentation comments compared to
    competing libraries
  * Supports encoding all 40 versions (sizes) and all 4 error correction levels,
    as per the QR Code Model 2 standard
  * Output formats: Raw modules/pixels of the QR symbol (all languages), SVG XML
    string (all languages except C), BufferedImage raster bitmap (Java only),
    HTML5 canvas (JavaScript and TypeScript only)
  * Encodes numeric and special-alphanumeric text in less space than general
    text
  * Open source code under the permissive MIT License
 .
 This package contains the development files in C++.

Package: libqrcodegencpp1
Description-md5: 41784a07d598193ab5ab0c4cc286cd56
Description-en: QR Code generator library in multiple languages - C++ version
 This project aims to be the best, clearest QR Code generator library in
 multiple languages. The primary goals are flexible options and absolute
 correctness. Secondary goals are compact implementation size and good
 documentation comments. Core features:
  * Available in 7 programming languages, all with nearly equal functionality:
    Java, JavaScript, TypeScript, Python, C++, C, Rust
  * Significantly shorter code but more documentation comments compared to
    competing libraries
  * Supports encoding all 40 versions (sizes) and all 4 error correction levels,
    as per the QR Code Model 2 standard
  * Output formats: Raw modules/pixels of the QR symbol (all languages), SVG XML
    string (all languages except C), BufferedImage raster bitmap (Java only),
    HTML5 canvas (JavaScript and TypeScript only)
  * Encodes numeric and special-alphanumeric text in less space than general
    text
  * Open source code under the permissive MIT License
 .
 This package contains the shared library in C++.

Package: libqrencode-dev
Description-md5: 93e2c95a91a6edc73021b6efbd9ce45b
Description-en: QR Code encoding library -- development
 Libqrencode is a library for encoding data in a QR Code symbol, a kind of 2D
 symbology that can be scanned by handy terminals such as a mobile phone with
 CCD.  The capacity of QR Code is up to 7000 digits or 4000 characters, and has
 high robustness.
 .
 Libqrencode supports QR Code model 2, described in JIS (Japanese Industrial
 Standards) X0510:2004 or ISO/IEC 18004.
 .
 This package contains development files (a header, pkg-config settings, etc.)

Package: libqrencode4
Description-md5: 48371ea383ae6451a3476de34561f67c
Description-en: QR Code encoding library
 Libqrencode is a library for encoding data in a QR Code symbol, a kind of 2D
 symbology that can be scanned by handy terminals such as a mobile phone with
 CCD.  The capacity of QR Code is up to 7000 digits or 4000 characters, and has
 high robustness.
 .
 Libqrencode supports QR Code model 2, described in JIS (Japanese Industrial
 Standards) X0510:2004 or ISO/IEC 18004.
 .
 This package contains runtime libraries of libqrencode.

Package: libqrupdate-dev
Description-md5: 8a17c9337da889f37ebe36d5879be1fb
Description-en: Fast updates of QR and Cholesky decompositions -- static library
 qrupdate is a Fortran library for QR and Cholesky decompositions. It
 is most notably used by Octave.
 .
 This package contains the static library.

Package: libqrupdate1
Description-md5: 362cd8c45e0cb27993e05f8be51c697c
Description-en: Fast updates of QR and Cholesky decompositions
 qrupdate is a Fortran library for QR and Cholesky decompositions. It
 is most notably used by Octave.
 .
 This package contains the shared library.

Package: libqsastime-dev
Description-md5: 50108538c058e2b15a79bc388b474234
Description-en: Time format conversion library (development files)
 The qsastime library is a simple library for handling time format
 conversion. It overcomes the limitations of the POSIX time handling
 routines by allow high precision time variables over a large range
 of ranges of dates and by correctly handling leap seconds. It was
 designed with the needs of scientific data plotting in mind. This
 package provides the necessary files to do development with
 libqsastime.
 .
 The source code for this library is part of the plplot source
 package.

Package: libqsastime0
Description-md5: 1b0ca9bf80be8acb86ff7fc64cc84f9a
Description-en: Time format conversion library
 The qsastime library is a simple library for handling time format
 conversion. It overcomes the limitations of the POSIX time handling
 routines by allow high precision time variables over a large range
 of ranges of dates and by correctly handling leap seconds. It was
 designed with the needs of scientific data plotting in mind. This
 package provides the runtime library.
 .
 The source code for this library is part of the plplot source
 package.

Package: libqscintilla2-doc
Description-md5: d2194a865e62d5f55f158826e3da4189
Description-en: API documentation for QScintilla 2
 QScintilla is a text editor for Qt5 with features especially useful
 when writing and debugging source code. These include support for syntax
 styling, error indicators, code completion, call tips and margins.
 .
 This package contains API documentation and usage examples for QScintilla 2.

Package: libqscintilla2-qt5-15
Description-md5: 199ccf9871a65668bcb592ca2fb35573
Description-en: Qt5 port of the Scintilla source code editing widget
 QScintilla is a text editor for Qt5 with features especially useful when
 writing and debugging source code. These include support for syntax
 styling, error indicators, code completion, call tips and margins.
 .
 Styling choices are more open than with many editors, allowing the use
 of proportional fonts, bold and italics, multiple foreground and background
 colours and multiple fonts.

Package: libqscintilla2-qt5-designer
Description-md5: b2af5f4be77d6e3ea602f517b662d0e2
Description-en: Qt5 Designer plugin for QScintilla 2
 QScintilla is a text editor for Qt5 with features especially useful when
 writing and debugging source code. These include support for syntax
 styling, error indicators, code completion, call tips and margins.
 .
 This package contains a plugin to use the QScintilla 2 widget in the
 Qt5 UI Designer.

Package: libqscintilla2-qt5-dev
Description-md5: 3e785852fd821419ef92e9455f1a224a
Description-en: Scintilla source code editing widget for Qt5, development files
 QScintilla is a text editor for Qt5 with features especially useful when
 writing and debugging source code. These include support for syntax
 styling, error indicators, code completion, call tips and margins.
 .
 This package contains development headers needed when developing
 C++ applications that use QScintilla 2 and Qt 5.

Package: libqscintilla2-qt5-l10n
Description-md5: 8999221ef928ab9036f5930207811428
Description-en: Scintilla source code editing widget for Qt5, translation files
 QScintilla is a text editor for Qt5 with features especially useful when
 writing and debugging source code. These include support for syntax
 styling, error indicators, code completion, call tips and margins.
 .
 This package contains translations for libqscintilla2.

Package: libqsopt-ex-dev
Description-md5: 3b49c49f743a74a10820c0327a4c8028
Description-en: Exact linear programming solver -- development files
 QSopt-ex is an implementation of a simplex-based algorithm that returns exact
 rational solutions, taking advantage of the speed of floating-point
 calculations and attempting to minimize the operations performed in
 rational arithmetic.
 .
 This package contains the header files and static library.

Package: libqsopt-ex2
Description-md5: bfb6524d22a683f6001b800f6e89962e
Description-en: Exact linear programming solver -- shared library
 QSopt-ex is an implementation of a simplex-based algorithm that returns exact
 rational solutions, taking advantage of the speed of floating-point
 calculations and attempting to minimize the operations performed in
 rational arithmetic.
 .
 This package contains the main library.

Package: libqt5-ukui-style-dev
Description-md5: 5b0ccf52b16aa34e09513c365c306fb8
Description-en: Development files of libqt5-ukui-style1
 qt5-ukui-platformtheme is official platform theme of UKUI desktop
 environment. It also provides the common metadatas for ukui-styles
 and platform theme using. The library provided many convenient API
 for changing a qt widgets style, such as buttons color, tabwidget
 animation, etc.
 .
 This package provides the development files of libqt5-ukui-style1.

Package: libqt5-ukui-style1
Description-md5: 3c35e9a70ef7b4cb302bafbc5ebc792e
Description-en: UKUI platform theme and styles' shared library
 qt5-ukui-platformtheme is official platform theme of UKUI desktop
 environment. It also provides the common metadatas for ukui-styles
 and platform theme using. The library provided many convenient API
 for changing a qt widgets style, such as buttons color, tabwidget
 animation, etc.
 .
 This package provides the shared libraries used by ukui platform
 theme and ukui-styles.

Package: libqt53danimation5
Description-md5: 5050ed32aab215826d624fdb5caafd57
Description-en: Qt 3D animation module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Animation module.

Package: libqt53dcore5
Description-md5: ee495a574921a5d1d1f90ea143d45ddc
Description-en: Qt 3D module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D Core module.

Package: libqt53dextras5
Description-md5: c7ee3f73a666eebfc6e61461f2184c11
Description-en: Qt 3D extras
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D extras module.

Package: libqt53dinput5
Description-md5: 1a557218f50ec9b60ace8e757d4e7f90
Description-en: Qt 3D Input module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D Input module.

Package: libqt53dlogic5
Description-md5: 80a3c2698ef0b31b111a85e5f9e9e070
Description-en: Qt 3D logic module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D Logic module.

Package: libqt53dquick5
Description-md5: 92cddc09c5eb6302149a2a59faad487b
Description-en: Qt 3D Quick module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D Quick module.

Package: libqt53dquickanimation5
Description-md5: 3da2672f34e164c92e131106cd66a27b
Description-en: Qt 3D Quick animation module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Animation module.

Package: libqt53dquickextras5
Description-md5: 77128f5a97149574fd4abf61c3c8e997
Description-en: Qt 3D Quick extras
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D Quick extras module.

Package: libqt53dquickinput5
Description-md5: b72fdb2aa4706cfe8b64b600546af444
Description-en: Qt 3D Quick input
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D Quick input module.

Package: libqt53dquickrender5
Description-md5: 95d6b01f6d987adc09c14cfed1ef7298
Description-en: Qt 3D Quick Renderer module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D Quick Renderer module.

Package: libqt53dquickscene2d5
Description-md5: f50896d9ab3e2716932c24f8ec04f825
Description-en: Qt 3D Quick scene module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Scene 2D module.

Package: libqt53drender5
Description-md5: f3866e0412da852779aa86dda563febb
Description-en: Qt 3D Renderer module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D Renderer module.

Package: libqt5bluetooth5
Description-md5: 5cadd27323ff460e7d13ee8ef4cc8850
Description-en: Qt Connectivity Bluetooth module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Bluetooth part of the Qt Connectivity module.

Package: libqt5bluetooth5-bin
Description-md5: b3c02af40a23b56b4bf2c3c1a3ecb884
Description-en: Qt Connectivity Bluetooth module helper binaries
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Bluetooth helper binaries.

Package: libqt5charts5
Description-md5: 78fe0a4b599676757f1650be78c4f4a6
Description-en: Qt charts shared library
 Qt Charts module provides a set of easy to use chart components.
 .
 This package contains the shared library for Qt Charts.

Package: libqt5charts5-dev
Description-md5: d790223e7176aa6a25cacaf66900c360
Description-en: Qt charts development files
 Qt Charts module provides a set of easy to use chart components.
 .
 This package contains the header development files used for building Qt 5
 applications using QtCharts.

Package: libqt5concurrent5
Description-md5: e0df68f337531f2bfba6d9963eebfc16
Description-en: Qt 5 concurrent module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtConcurrent module contains functionality to support concurrent execution
 of program code.

Package: libqt5core5a
Description-md5: 75c9109eafc0c1da6d6b6ca7b292f133
Description-en: Qt 5 core module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtCore module contains core non-GUI functionality.

Package: libqt5datavisualization5
Description-md5: aa5d7092ff3d5b729c96ae40bd0ac156
Description-en: Qt 5 Data Visualization module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains a set of APIs to visualize data in 3D as bar, scatter,
 and surface graphs.

Package: libqt5datavisualization5-dev
Description-md5: cdd98ae58ec93447bbdc3570f65bef0d
Description-en: APIs for data visualization functionality - development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the development files needed to build Qt applications
 using the Qt Data Visualization library.

Package: libqt5dbus5
Description-md5: 66ed2fe869611cd7a269446bd63f9400
Description-en: Qt 5 D-Bus module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtDBus module is a Unix-only library that you can use to make Inter-Process
 Communication using the D-Bus protocol.
 .
 Applications using the QtDBus module can provide services to other, remote
 applications by exporting objects, as well as use services exported by those
 applications by placing calls and accessing properties.

Package: libqt5designer5
Description-md5: 7ffa0bb5842adb966c1c5b7e69997c4a
Description-en: Qt 5 designer module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtDesigner module provides classes that allow you to create your own
 custom widget plugins for Qt Designer, and classes that enable you to access
 Qt Designer's components.

Package: libqt5designercomponents5
Description-md5: 7de8dd34c7de96d3b41df25cec62de7e
Description-en: Qt 5 Designer components module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package includes components for the Qt 5 Designer module.

Package: libqt5gamepad5
Description-md5: c840362b43a598f14ab594c4d753ff46
Description-en: Qt 5 gamepad module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains he gamepad module library.

Package: libqt5gamepad5-dev
Description-md5: 2dbd6e967ba8429d342acd5dc0835699
Description-en: Qt 5 gamepad module - development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the development files for the gamepad module.

Package: libqt5glib-2.0-0
Description-md5: 35ecb4da4a7291a41f0733b65cae0fda
Description-en: C++ bindings library for GLib and GObject with a Qt-style API - Qt 5 build
 This package contains the QtGLib library, a library that provides
 C++ bindings for parts of the GLib and GObject APIs with a Qt-syle API.
 The bindings are designed to be easy to use for C++ programmers that are
 used to the Qt API and also provide easier integration with Qt by using
 Qt's data types where applicable.
 .
 This library serves as a base for building the QtGStreamer bindings library.
 .
 This package is part of the Qt5 version of QtGStreamer.

Package: libqt5gstreamer-1.0-0
Description-md5: 0fbf3452648954e6bbf74a956f619ec5
Description-en: C++ bindings library for GStreamer with a Qt-style API - Qt 5 build
 This package contains the QtGStreamer library, a library that provides
 C++ bindings for GStreamer with a Qt-style API. The bindings are designed
 to be easy to use for C++ programmers that are used to the Qt API and
 also provide easier integration with Qt by using Qt's data types where
 applicable.
 .
 This package is part of the Qt5 version of QtGStreamer.

Package: libqt5gstreamer-dev
Description-md5: 895cde985ba4388f2254485d0dd5a730
Description-en: Development headers for QtGStreamer - Qt 5 build
 QtGStreamer provides C++ bindings for GStreamer with a Qt-style API,
 plus some helper classes for integrating GStreamer better in Qt applications.
 .
 This package provides the necessary development files for building
 C++/Qt applications that use the QtGStreamer library.
 .
 This package is part of the Qt5 version of QtGStreamer.

Package: libqt5gstreamerquick-1.0-0
Description-md5: 6ad0749cb5603034f83fdf2270298e2a
Description-en: QtGStreamerQuick library - Qt 5 build
 This package contains the QtGStreamerQuick library, a library that provides
 some high level utility classes that can be used with QtGStreamer.
 .
 This package is part of the Qt5 version of QtGStreamer.

Package: libqt5gstreamerui-1.0-0
Description-md5: ba3f7a9fcbd427e177c44cf2103b9305
Description-en: QtGStreamerUi library - Qt 5 build
 This package contains the QtGStreamerUi library, a library that provides
 helper classes for integrating GStreamer better in graphical Qt applications.
 .
 This package is part of the Qt5 version of QtGStreamer.

Package: libqt5gstreamerutils-1.0-0
Description-md5: 54caad5be18f8ed092ea2f50608a0e24
Description-en: QtGStreamerUtils library - Qt 5 build
 This package contains the QtGStreamerUtils library, a library that provides
 some high level utility classes that can be used with QtGStreamer.
 .
 This package is part of the Qt5 version of QtGStreamer.

Package: libqt5gui5
Description-md5: 9dadc5c1f0624a1be05b1ff4f1c6cd6d
Description-en: Qt 5 GUI module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtGui module extends QtCore with GUI functionality.

Package: libqt5gui5-gles
Description-md5: 03224169253711c70efe99c16927c87c
Description-en: Qt 5 GUI module — OpenGL ES variant
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtGui module extends QtCore with GUI functionality.
 .
 This package provides a version of Qt GUI library built against OpenGL ES,
 for use on embedded/mobile devices.

Package: libqt5help5
Description-md5: dc0f2e17602f253ba78a2ab8bbb8d543
Description-en: Qt 5 help module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtHelp module provides classes for integrating online documentation in
 applications.

Package: libqt5hunspellinputmethod5
Description-md5: d11681a4e491350d5b02cfa4fbc7ca90
Description-en: Qt virtual keyboard - helper library for Hunspell input method
 The Qt Virtual Keyboard project provides an input framework and
 reference keyboard frontend for Qt 5 on Linux Desktop/X11, Windows
 Desktop, and Boot2Qt targets.
 .
 This package contains the helper shared library for Hunspell input method.

Package: libqt5keychain1
Description-md5: cd54e2c1a5ff55a60a2214a84f302b04
Description-en: Qt API to store passwords (QT5 version)
 It can use KWallet or GNOME Keyring, if one of them is available.
 If none of them is available, qtkeychain will report an error or writes
 with explicit request the password unencrypted at a file.
 .
 This is the Version built for QT5

Package: libqt5location5
Description-md5: faf8936a8aaae73be369efa5286a805a
Description-en: Qt Location module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt Location module.

Package: libqt5location5-plugin-mapboxgl
Description-md5: 00ad2798f5ea004513fbd3b05d520dff
Description-en: Qt Location module - Mapbox GL plugin
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Mapbox GL plugin for Qt Location.

Package: libqt5location5-plugins
Description-md5: 5b7150af1f4ab09b41ff2138b17c5b41
Description-en: Qt Location module - geolocation plugins
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt Location plugins: ESRI, Items Overlay, Mapbox,
 HERE/Nokia and Open Street Map.

Package: libqt5multimedia5
Description-md5: 0fd4e386c6bde062c12b013340fc2cae
Description-en: Qt 5 Multimedia module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains a set of APIs to play and record media, and manage a
 collection of media content.

Package: libqt5multimedia5-plugins
Description-md5: 871e5673651571ab7438746185ff6654
Description-en: Qt 5 Multimedia module plugins
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains a set of plugins for supporting m3u, pulseaudio
 and GStreamer.

Package: libqt5multimediagsttools5
Description-md5: 9a134dca24de2bf35c9ac74b753148dd
Description-en: GStreamer tools for  Qt 5 Multimedia module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the GStreamer tools for Qt 5 Multimedia module.

Package: libqt5multimediaquick5
Description-md5: 10cd066c6217162123930b6485245e89
Description-en: Qt 5 Multimedia Quick module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains a set of APIs to play and record media, and manage a
 collection of media content with the Quick module.

Package: libqt5multimediawidgets5
Description-md5: a85511daf2fe288583ce79f752671d86
Description-en: Qt 5 Multimedia Widgets module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains a set of widgets to play and record media, and manage a
 collection of media content.

Package: libqt5network5
Description-md5: 267676193dcb13e33a5c3f9c219b1838
Description-en: Qt 5 network module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtNetwork module offers classes that allow you to write TCP/IP clients and
 servers. It provides classes to make network programming easier and portable.

Package: libqt5networkauth5
Description-md5: 81aa226fcf9d3e2e1245eb3335794ab2
Description-en: online account access for Qt apps - Library
 Qt Network Authorization provides a set of APIs that enable Qt
 applications to obtain limited access to online accounts and HTTP
 services without exposing users' passwords.
 .
 This package contains the QtNetworkAuth libraries.

Package: libqt5networkauth5-dev
Description-md5: c62d53864a68ba4ad74ec1ba0c22d65f
Description-en: online account access for Qt apps - Development Files
 Qt Network Authorization provides a set of APIs that enable Qt
 applications to obtain limited access to online accounts and HTTP
 services without exposing users' passwords.
 .
 This package contains the development files needed to build Qt 5 applications
 using the QtNetworkAuth library.

Package: libqt5nfc5
Description-md5: dd537692b1adfade44d5f65724e44cc3
Description-en: Qt Connectivity NFC module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the NFC part of the Qt Connectivity module.

Package: libqt5opengl5
Description-md5: 0966e72b3545e5c76ba7176064c64965
Description-en: Qt 5 OpenGL module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtOpenGL module offers classes that make it easy to use OpenGL in Qt
 applications.
 .
 OpenGL is a standard API for rendering 3D graphics. OpenGL only deals with 3D
 rendering and provides little or no support for GUI programming issues.

Package: libqt5opengl5-dev
Description-md5: 76f1fd5b0a241ef67384ff7dfbd93542
Description-en: Qt 5 OpenGL library development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the development files needed to build Qt 5 applications
 using QtOpenGL library.

Package: libqt5pas-dev
Description-md5: f8ec31f3420bf55837378d9c0a431a4e
Description-en: Development files for Qt5Pas
 Provides interface for Pascal applications
 to the Qt5 C++ libraries.
 This binding does not cover the whole Qt5 framework, it
 just contains all classes needed to use Qt as a widgetset.
 .
 This package contains files needed to develop
 Qt5 based applications with Pascal.

Package: libqt5pas1
Description-md5: 284230714eab1ee0512dac5681ba61d2
Description-en: Qt5 interface bindings for Pascal
 Provides interface for Pascal applications
 to the Qt5 C++ libraries.
 This binding does not cover the whole Qt5 framework, it
 just contains all classes needed to use Qt as a widgetset.

Package: libqt5positioning5
Description-md5: e4fae679fe1460e90893909931d9dc74
Description-en: Qt Positioning module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt Positioning module.

Package: libqt5positioning5-plugins
Description-md5: 8e8ffe67e4c7e99862ec2c75f7616f13
Description-en: Qt Positioning module - position plugins
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt Positioning plugins.

Package: libqt5positioningquick5
Description-md5: 909d37bbf15e9b3d1e42c3b67d16253d
Description-en: Qt Positioning module - Qt Quick module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contain the Qt Quick interface for the Qt Positioning module.

Package: libqt5printsupport5
Description-md5: ad2a0dcae2a6addab1c4c4b7c64b6a4e
Description-en: Qt 5 print support module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtPrintSupport module provides classes to make printing easier and
 portable.

Package: libqt5qevercloud3
Description-md5: 0db88a255cd31ac7e8107f99a81d536a
Description-en: Unofficial Evernote Cloud API library for Qt5
 QEverCloud presents a rather complete Evernote SDK for Qt.
 Nearly all the functionality described on the Evernote site
 is implemented and ready to use.
 .
 This library works with Qt5.

Package: libqt5qml5
Description-md5: a738ffdd7112e97f1f00381ee382723b
Description-en: Qt 5 QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Qt QML module provides a framework for developing applications and
 libraries with the QML language. It defines and implements the language
 and engine infrastructure, and provides an API to enable application
 developers to extend the QML language with custom types and integrate
 QML code with JavaScript and C++.

Package: libqt5quick5
Description-md5: b007eea137d3d44d7f8ffa1d25ab7526
Description-en: Qt 5 Quick library
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 Qt Quick is a collection of technologies that are designed to help
 developers create the kind of intuitive, modern, fluid user interfaces
 that are increasingly used on mobile phones, media players, set-top
 boxes and other portable devices.

Package: libqt5quick5-gles
Description-md5: 70395f664bd188ac9751f21120d0d22c
Description-en: Qt 5 Quick library — OpenGL ES variant
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 Qt Quick is a collection of technologies that are designed to help
 developers create the kind of intuitive, modern, fluid user interfaces
 that are increasingly used on mobile phones, media players, set-top
 boxes and other portable devices.
 .
 This package provides a version of Qt Quick library built against OpenGL
 ES, for use on embedded/mobile devices.

Package: libqt5quickcontrols2-5
Description-md5: dc63dc18ef3dc5ab1ac25e9f4869da46
Description-en: Qt 5 Quick Controls 2 library
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt 5 Quick Controls 2 library.

Package: libqt5quickparticles5
Description-md5: 938aa93447dd78ea7f9aad01a0de0dc4
Description-en: Qt 5 Quick particles module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Particles support library.

Package: libqt5quickparticles5-gles
Description-md5: 4831f260b13683231de1e649d4262600
Description-en: Qt 5 Quick particles module — OpenGL ES variant
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides a version of the Qt Quick Particles support library
 built against OpenGL ES, for use on embedded/mobile devices.

Package: libqt5quickshapes5
Description-md5: 92a5771fca0a1dad6f1ec898f473be2c
Description-en: Qt 5 Quick Shapes module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Shapes support library.

Package: libqt5quicktemplates2-5
Description-md5: 0a1cdb4ffdd64f222b7f3c56f65e9476
Description-en: Qt 5 Quick Templates 2 library
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt 5 Quick Templates 2 library.

Package: libqt5quicktest5
Description-md5: 62d336fbf0430511d8db72f806e6fba5
Description-en: Qt 5 Quick Test library
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Test library for QtDeclarative module.

Package: libqt5quickwidgets5
Description-md5: 361283124e954f5db8ff11d3fb23121e
Description-en: Qt 5 Quick Widgets library
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Widgets library for QtDeclarative module.

Package: libqt5remoteobjects5
Description-md5: d666dc4f6ab4bdf5d242f840f4aa021a
Description-en: Qt module for IPC
 The Qt Remote Objects extends Qt's existing functionalities to enable
 information exchange between processes or computers.
 .
 This package contains the shared library for Qt Remote Objects.

Package: libqt5remoteobjects5-bin
Description-md5: ebe0aa57785b06d7b375d3dfceb13c20
Description-en: Qt module for IPC — the Replica Compiler (repc)
 The Qt Remote Objects extends Qt's existing functionalities to enable
 information exchange between processes or computers.
 .
 The Replica Compiler (repc) generates QObject header files based on an API
 definition file.

Package: libqt5remoteobjects5-dev
Description-md5: f6e417e25e22e6204d219e89c08d95a2
Description-en: Qt module for IPC — development files
 The Qt Remote Objects extends Qt's existing functionalities to enable
 information exchange between processes or computers.
 .
 This package contains the development files for qtremoteobjects.

Package: libqt5scintilla2-designer
Description-md5: 3e7dafd70e28be61eebae6ade586452e
Description-en: Qt5 Designer plugin for QScintilla 2 (Transitional package)
 QScintilla is a text editor for Qt5 with features especially useful when
 writing and debugging source code. These include support for syntax
 styling, error indicators, code completion, call tips and margins.
 .
 This package contains a plugin to use the QScintilla 2 widget in the
 Qt5 UI Designer.
 .
 This is a dummy transitional package provided to support upgrades.

Package: libqt5script5
Description-md5: d3c4a0e8070a26c56f291248544e83a5
Description-en: Qt 5 script module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtScript module provides classes for making Qt applications scriptable.

Package: libqt5scripttools5
Description-md5: 0514b920233acecc79cd86b47fb195a3
Description-en: Qt 5 script tools module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtScriptTools module provides additional components for applications that
 use Qt Script.
 .
 This package contains the Qt Script debugger, a tool for debugging script
 execution in Qt applications that use Qt Script.

Package: libqt5scxml5
Description-md5: ca5e630409298e090f876c6cffa10582
Description-en: Qt module for creating state machines from SCXML files library
 The Qt SCXML module provides functionality to create state
 machines from SCXML files. This includes both dynamically
 creating state machines (loading the SCXML file and instantiating
 states and transitions) and generating a C++ file that has a class
 implementing the state machine. It also contains functionality
 to support data models and executable content.

Package: libqt5scxml5-bin
Description-md5: 3651c8768fa2d908825de90823854001
Description-en: Qt module for creating state machines from SCXML files helper
 The Qt SCXML module provides functionality to create state
 machines from SCXML files. This includes both dynamically
 creating state machines (loading the SCXML file and instantiating
 states and transitions) and generating a C++ file that has a class
 implementing the state machine. It also contains functionality
 to support data models and executable content.
 .
 This package contains the helper binary for qtscxml.

Package: libqt5scxml5-dev
Description-md5: 1b9ea21e1a8fabf99715868f8b1e2746
Description-en: Qt module for creating state machines from SCXML files development
 The Qt SCXML module provides functionality to create state
 machines from SCXML files. This includes both dynamically
 creating state machines (loading the SCXML file and instantiating
 states and transitions) and generating a C++ file that has a class
 implementing the state machine. It also contains functionality
 to support data models and executable content.
 .
 This package contains the development files for qtscxml.

Package: libqt5sensors5
Description-md5: 41282d0d6f2d4c83b25b30273ba0058c
Description-en: Qt Sensors module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt Sensors module.

Package: libqt5sensors5-dev
Description-md5: dd848e0ce5cb3cf7836fc859c55e581f
Description-en: Qt 5 Sensors development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications using Qt Sensors library.

Package: libqt5serialbus5
Description-md5: d120e24eb940e236d5dd5142775fcd86
Description-en: Qt serialbus - serial bus access shared library
 Qt serialbus module provides Qt module for general purpose
 serial bus access. Support for CAN and potentially other
 serial buses.
 .
 This package contains the shared library for Qt Serialbus.

Package: libqt5serialbus5-bin
Description-md5: d41e84fc072ef8c48df0e5dd34469799
Description-en: Qt Serialbus module serial bus access helper binaries
 Qt serialbus module provides Qt module for general purpose
 serial bus access. Support for CAN and potentially other
 serial buses.
 .
 This package contains Serialbus helper binaries.

Package: libqt5serialbus5-dev
Description-md5: 907383bbfa3fbc525a29fc3ea5c4bc91
Description-en: Qt serialbus serial bus access development
 Qt serialbus module provides Qt module for general purpose
 serial bus access. Support for CAN and potentially other
 serial buses.
 .
 This package contains the header development files used for building Qt 5
 applications using qtserialbus.

Package: libqt5serialbus5-plugins
Description-md5: 2ff34421205df183d17ce52b07612f46
Description-en: Qt serialbus - serial bus access plugins
 Qt serialbus module provides Qt module for general purpose
 serial bus access. Support for CAN and potentially other
 serial buses.
 .
 This package contains Qt Serialbus plugins.

Package: libqt5serialport5
Description-md5: 495baaf3e432acf5b4e4136a5d0e645a
Description-en: Qt 5 serial port support
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package includes the library to use serial ports from within Qt 5.

Package: libqt5serialport5-dev
Description-md5: ec6e5c3fc91d00795e2fd511b16872a7
Description-en: Qt 5 serial port development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package includes the development headers for the serial port library.

Package: libqt5sql5
Description-md5: 0713fa3e9592ca24526ad333118f8889
Description-en: Qt 5 SQL module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtSql module helps you provide seamless database integration to your Qt
 applications.

Package: libqt5sql5-ibase
Description-md5: 795132c8ae8170c30afb981caa53c8cf
Description-en: Qt 5 Firebird database driver
 This package contains the Firebird plugin for Qt 5.
 .
 Install it if you intend to use or write Qt programs that are to access a
 Firebird/InterBase DB.

Package: libqt5sql5-mysql
Description-md5: ef00502b07fd416665becb7a946fa058
Description-en: Qt 5 MySQL database driver
 This package contains the MySQL plugin for Qt 5.
 .
 Install it if you intend to use or write Qt programs that are to access a
 MySQL DB.

Package: libqt5sql5-odbc
Description-md5: 307a8af530b7222b52853293e564167c
Description-en: Qt 5 ODBC database driver
 This package contains the ODBC plugin for Qt 5.
 .
 Install it if you intend to use or write Qt programs that are to access an
 ODBC DB.

Package: libqt5sql5-psql
Description-md5: 26b8a37b36abcc581802bb9591e003d6
Description-en: Qt 5 PostgreSQL database driver
 This package contains the PostgreSQL plugin for Qt 5.
 .
 Install it if you intend to use or write Qt programs that are to access a
 PostgreSQL DB.

Package: libqt5sql5-sqlite
Description-md5: f74ea3b242033484777e5a5e9fb468bc
Description-en: Qt 5 SQLite 3 database driver
 This package contains the SQLite 3 plugin for Qt 5.
 .
 Install it if you intend to use or write Qt programs that are to access an
 SQLite 3 DB.

Package: libqt5sql5-tds
Description-md5: 98049901ac7df77f69890567ec4a2170
Description-en: Qt 5 FreeTDS database driver
 This package contains the FreeTDS plugin for Qt 5.
 .
 Install it if you intend to use or write Qt programs that are to access a
 MS SQL or Sybase SQL server.

Package: libqt5svg5
Description-md5: 0e98bb6ccd1201f38567cb67caec74ad
Description-en: Qt 5 SVG module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtSvg module provides classes for displaying the contents of SVG files.
 .
 Scalable Vector Graphics (SVG) is a language for describing two-dimensional
 graphics and graphical applications in XML.

Package: libqt5svg5-dev
Description-md5: aa60a505d0dba59e0a5d3f03ca43c3c7
Description-en: Qt 5 SVG module development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtSvg module provides classes for displaying the contents of SVG files.
 .
 Scalable Vector Graphics (SVG) is a language for describing two-dimensional
 graphics and graphical applications in XML.
 .
 This package contains the header development files used for building Qt 5
 applications using QtSvg library.

Package: libqt5test5
Description-md5: 439bbed1193cb169575d82d618b4c6c1
Description-en: Qt 5 test module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtTest module provides classes for unit testing Qt applications and
 libraries.

Package: libqt5texttospeech5
Description-md5: 86d2af7f9969f2907387ada1b3ded49d
Description-en: Speech library for Qt - libraries
 QtSpeech provides support for text-to-speech and speech recognition in Qt
 applications.
 .
 This package contains the QtSpeech libraries.

Package: libqt5texttospeech5-dev
Description-md5: 3e68851d509136fa89bb569fbfe517dd
Description-en: Speech library for Qt - development files
 QtSpeech provides support for text-to-speech and speech recognition in Qt
 applications.
 .
 This package contains the development files needed to build Qt 5 applications
 using the QtSpeech library.

Package: libqt5virtualkeyboard5
Description-md5: 78a136402c639ee281d560bc7d43d9d8
Description-en: Qt virtual keyboard - public shared library
 The Qt Virtual Keyboard project provides an input framework and
 reference keyboard frontend for Qt 5 on Linux Desktop/X11, Windows
 Desktop, and Boot2Qt targets.
 .
 This package contains the Qt virtual keyboard shared library.

Package: libqt5virtualkeyboard5-dev
Description-md5: 6bcb4ebd61bb28653ef8432dce05cbd3
Description-en: Qt virtual keyboard - development files
 The Qt Virtual Keyboard project provides an input framework and
 reference keyboard frontend for Qt 5 on Linux Desktop/X11, Windows
 Desktop, and Boot2Qt targets.
 .
 This package contains the development files needed to implement input
 methods for virtual keyboards.

Package: libqt5waylandclient5
Description-md5: 7cc850804bc09f5b0e1e0cee60caf34a
Description-en: QtWayland client library
 QtWayland is a Qt 5 module that wraps the functionality of Wayland.
 .
 This package contains the QtWayland client library.

Package: libqt5waylandclient5-dev
Description-md5: d0866fd115050f0a9d8f14bb2d65c442
Description-en: QtWayland client development files
 QtWayland is a Qt 5 module that wraps the functionality of Wayland.
 .
 This package contains the development files for QtWayland client
 library.

Package: libqt5waylandcompositor5
Description-md5: 56edadfc07488e0d9aca516c2084a0c4
Description-en: QtWayland compositor library
 QtWayland is a Qt 5 module that wraps the functionality of Wayland.
 .
 This package contains the QtWayland compositor library.

Package: libqt5waylandcompositor5-dev
Description-md5: 7a8c591fbcb20080de7d0f632545e691
Description-en: QtWayland compositor development files
 QtWayland is a Qt 5 module that wraps the functionality of Wayland.
 .
 This package contains the development files for QtWayland compositor
 library.

Package: libqt5webchannel5
Description-md5: 829e222f31518e40533db3dab79d4f40
Description-en: Web communication library for Qt
 Qt WebChannel enables peer-to-peer communication between the host (QML/C++
 application) and the client (HTML/JavaScript application). The transport
 mechanism is supported out of the box by the two popular web engines, Qt
 WebKit 2 and Qt WebEngine.
 .
 This package contains the QtWebChannel libraries.

Package: libqt5webchannel5-dev
Description-md5: eb6ad2d668b1ef07106b3ec1f4871eed
Description-en: Web communication library for Qt - development files
 Qt WebChannel enables peer-to-peer communication between the host (QML/C++
 application) and the client (HTML/JavaScript application). The transport
 mechanism is supported out of the box by the two popular web engines, Qt
 WebKit 2 and Qt WebEngine.
 .
 This package contains the development files needed to build Qt 5 applications
 using the QtWebChannel library.

Package: libqt5webengine-data
Description-md5: 70c095a2d71cb4b25e0fa9ccae40143f
Description-en: Web content engine library for Qt - Data
 QtWebEngine provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the arch independent parts of QtWebEngine libraries.

Package: libqt5webengine5
Description-md5: 69d0d176121854ca8e3b3dcc1257f6c2
Description-en: Web content engine library for Qt
 QtWebEngine provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the QtWebEngine library.

Package: libqt5webenginecore5
Description-md5: f2a6911642d638a902d26f9a29d1147d
Description-en: Web content engine library for Qt - Core
 QtWebEngine provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the core QtWebEngine library.

Package: libqt5webenginewidgets5
Description-md5: 4b1e03a97de3f9c20a40549e15be1f98
Description-en: Web content engine library for Qt - Widget
 QtWebEngine provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the widget QtWebEngine library.

Package: libqt5webkit5
Description-md5: b6887c4796db0313f1e533c538960454
Description-en: Web content engine library for Qt
 QtWebKit provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the QtWebKit libraries.

Package: libqt5webkit5-dev
Description-md5: 67401855782c9d9d20cf3924364c73f3
Description-en: Web content engine library for Qt - development files
 QtWebKit provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the development files needed to build Qt 5 applications
 using QtWebKit library.

Package: libqt5websockets5
Description-md5: b84696a3848a9bbf8128e1a90e113caa
Description-en: Qt 5 Web Sockets module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains a Qt library that implements WebSockets, both
 client and server.

Package: libqt5websockets5-dev
Description-md5: 2f8b2b13fe269de8796213253310c05e
Description-en: Qt 5 Web Sockets module - development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the development files needed to build Qt applications
 using Qt 5 Web Sockets library.

Package: libqt5webview5
Description-md5: 6e8a8c3617ccf2cc916cd6a220a7e5c4
Description-en: display web content in a QML application - Library
 Qt WebView provides a way to display web content in a QML application
 without necessarily including a full web browser stack by using
 native APIs where it makes sense.
 .
 This package contains the QtWebView libraries.

Package: libqt5webview5-dev
Description-md5: 56004fa4a164dea0cd8b0670c219e706
Description-en: display web content in a QML application - Development Files
 Qt WebView provides a way to display web content in a QML application
 without necessarily including a full web browser stack by using
 native APIs where it makes sense.
 .
 This package contains the development files needed to build Qt 5 applications
 using the QtWebView library.

Package: libqt5widgets5
Description-md5: d3e73eff3b63455d65d61db3b685f693
Description-en: Qt 5 widgets module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtWidgets module extends QtGui with C++ widget functionality.

Package: libqt5x11extras5
Description-md5: 2574e8fb23c97454c12c4db6cefe9fa2
Description-en: Qt 5 X11 extras
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package includes the library to access X11 stuff from within Qt 5.

Package: libqt5x11extras5-dev
Description-md5: 983dd8be836bae64e10eefe9a7ac8668
Description-en: Qt 5 X11 extras development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package includes the development headers for the X11 extras library.

Package: libqt5xdg-dev
Description-md5: 2b1a9a4fe4d46f78a59d017296037277
Description-en: Development files for libqtxdg
 This library implements functions of the XDG Specifications in Qt. It is part
 of LXQt.
 .
 This package provides development files.

Package: libqt5xdg3
Description-md5: 682beb74b0058e6395eb1a9511e2158e
Description-en: Implementation of the XDG Specifications for Qt (shared lib)
 This library implements functions of the XDG Specifications in Qt.  It is part
 of LXQt.
 .
 This package provides the shared library.

Package: libqt5xdgiconloader-dev
Description-md5: 1b35c44bb34a251aefef80bb5e3bf41c
Description-en: Development files for libqtxdgiconloader
 This library implements the backend to load icons which are handled according
 to the XDG Icon Theme Specification in Qt. It is part of LXQt.
 .
 This package provides development files.

Package: libqt5xdgiconloader3
Description-md5: f52ca23c74d3bb1788b5787d10a785b4
Description-en: Implementation of the XDG Iconloader for Qt (shared lib)
 This library implements the backend to load icons which are handled according
 to the XDG Icon Theme Specification in Qt. It is part of LXQt.
 .
 This package provides the shared library.

Package: libqt5xml5
Description-md5: c3b1596533c67529df54c353807c6cae
Description-en: Qt 5 XML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The QtXml module provides a stream reader and writer for XML documents,
 and C++ implementations of SAX and DOM.

Package: libqt5xmlpatterns5
Description-md5: d15f85c7e8471f230227f226e1113d01
Description-en: Qt 5 XML patterns module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 QtXmlPatterns is a XQuery and XPath engine for XML and custom data models.

Package: libqt5xmlpatterns5-dev
Description-md5: 854381d5c52ed80e7e72ec7e04934402
Description-en: Qt 5 XML patterns development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications using QtXmlPatterns library.

Package: libqtav-dev
Description-md5: ef7d41f3c5fccf78e9088a5951452e19
Description-en: QtAV development files
 QtAV is a multimedia playback framework based on Qt and FFmpeg.
 It can help you to write a player with less effort than ever before.
 .
 This package contains the header development files for building some
 QtAV applications using QtAV headers.

Package: libqtav-private-dev
Description-md5: 6d9aa6f9f1a1d022c062015731d39753
Description-en: QtAV private development files
 QtAV is a multimedia playback library based on Qt and FFmpeg.
 It can help you to write a player with less effort than ever before.
 .
 This package contains the private header development files for building some
 QtAV applications using QtAV private headers.
 .
 Use at your own risk.

Package: libqtav1
Description-md5: 0e4c771728e4de4775f9855da1ebade2
Description-en: QtAV library
 QtAV is a multimedia playback library based on Qt and FFmpeg.
 It can help you to write a player with less effort than ever before.
 .
 This package contains the QtAV library.

Package: libqtavwidgets1
Description-md5: 0085e1741215b0796721fe05c60bd73f
Description-en: QtAV Widgets module
 QtAV is a multimedia playback library based on Qt and FFmpeg.
 It can help you to write a player with less effort than ever before.
 .
  This package contains a set of widgets to play media.

Package: libqtcurve-utils2
Description-md5: 498992691352bd31b32474f90a4d5667
Description-en: common library for QtCurve
 This package contains common library for QtCurve.
 .
 QtCurve is a set of widget styles for Qt and GTK+ libraries. It provides a
 consistent look between KDE, GNOME and other applications based on these
 libraries, which is easy on the eyes and visually pleasing.

Package: libqtdbusmock1
Description-md5: c36609046c2e0f8cc642d28ddef53461
Description-en: Library for mocking DBus interactions using Qt
 A simple library for mocking DBus services with a Qt API. The software
 is relevant for unit testing DBus services based on Qt API.
 .
 This package contains the shared library.

Package: libqtdbusmock1-common
Description-md5: 22dd764cd6aecce4ed520986c2713b12
Description-en: Library for mocking DBus interactions using Qt (common files)
 A simple library for mocking DBus services with a Qt API. The software
 is relevant for unit testing DBus services based on Qt API.
 .
 This package contains extra dbusmock templates.

Package: libqtdbusmock1-dev
Description-md5: d733110bfe1cdeb9f1f5678f578edbd8
Description-en: Library for mocking DBus interactions using Qt (development files)
 A simple library for mocking DBus services with a Qt API. The software
 is relevant for unit testing DBus services based on Qt API.
 .
 This package contains header files needed for development.

Package: libqtdbustest1
Description-md5: 89514a0eea9498fefc9b16ea3212239e
Description-en: Library for testing DBus interactions using Qt
 A simple library for testing Qt based DBus services and clients. This
 library is relevant for running unit tests against DBus for Qt
 applications.
 .
 This package contains the shared library.

Package: libqtdbustest1-dev
Description-md5: d878664a89042cfdbd44a385c4ca6a70
Description-en: Library for testing DBus interactions using Qt (development files)
 A simple library for testing Qt based DBus services and clients. This
 library is relevant for running unit tests against DBus for Qt
 applications.
 .
 This package contains header files needed for development.

Package: libqtermwidget5-0
Description-md5: 266d9b8ffeab56fc4cfb78cd4424bf75
Description-en: Terminal emulator widget for Qt 5 (shared libraries)
 QTermWidget is a Unicode-enabled, embeddable Qt widget that can be used as
 built-in console or terminal emulation widget.
 .
 This package provides the shared libraries.

Package: libqtermwidget5-0-dev
Description-md5: 79a763550222da7908d8522a5b28218d
Description-en: Terminal emulator widget for Qt 5 (development files)
 QTermWidget is a Unicode-enabled, embeddable Qt widget that can be used as
 built-in console or terminal emulation widget.
 .
 This package provides the development files.

Package: libqtest-ocaml
Description-md5: 3458cf6fe224ccc5471ffe21ac3117e4
Description-en: Inline (Unit) Tests for OCaml (Runtime library)
 qtest extracts inline unit tests written using a special syntax in
 comments. Those tests are then run using the oUnit framework and the
 qcheck library. The possibilities range from trivial tests -- extremely
 simple to use -- to sophisticated random generation of test cases.

Package: libqtest-ocaml-dev
Description-md5: 51f7d734e73109a16bd3c13e826edb5c
Description-en: Inline (Unit) Tests for OCaml (Development package)
 qtest extracts inline unit tests written using a special syntax in
 comments. Those tests are then run using the oUnit framework and the
 qcheck library. The possibilities range from trivial tests -- extremely
 simple to use -- to sophisticated random generation of test cases.

Package: libqtest-ocaml-doc
Description-md5: 5b2fc594a6d2366dd5ed158680863692
Description-en: Documentation for ocaml-qtest
 qtest extracts inline unit tests written using a special syntax in
 comments. Those tests are then run using the oUnit framework and the
 qcheck library. The possibilities range from trivial tests -- extremely
 simple to use -- to sophisticated random generation of test cases.
 .
 This package contains documentation for ocaml-qtest in html format.

Package: libqtpropertybrowser-dev
Description-md5: 0b27dd2131dcb793652328ce1f7ad328
Description-en: Qt Property Browser Library - development
 A framework providing a set of graphical editors for
 Qt properties similar to the one used in Qt Designer.
 .
 This package contains development files needed to build applications
 using Qt Property Browser

Package: libqtpropertybrowser4
Description-md5: e50405f04ac76b27ac2a56fe4285845b
Description-en: Qt Property Browser Library - runtime
 A framework providing a set of graphical editors for
 Qt properties similar to the one used in Qt Designer.
 .
 This package contains the shared libraries needed to run applications
 linked against libqtpropertybrowser4.

Package: libqtspell-qt5-0
Description-md5: 6b61c80e0d0a27d48e15b013ba4a0514
Description-en: Spell checking for Qt5 text widgets library
 QtSpell adds spell-checking functionality to Qt5's text widgets, using the
 enchant spell-checking library.
 .
 This package contains the library for qtspell-qt5.

Package: libqtspell-qt5-dev
Description-md5: fc7bcbbd9558640d841addf52496c05f
Description-en: Development files for libqtspell-qt5
 QtSpell adds spell-checking functionality to Qt5's text widgets, using the
 enchant spell-checking library.
 .
 This package contains the headers and libraries for developing applications
 with qtspell-qt5 support.

Package: libqtspell-qt5-html
Description-md5: 1c956f0201fd3b1cad06bcbdbff879d0
Description-en: Spell checking for Qt5 text widgets documentation
 QtSpell adds spell-checking functionality to Qt5's text widgets, using the
 enchant spell-checking library.
 .
 This package contains the documentation for qtspell-qt5.

Package: libquadmath0-amd64-cross
Description-md5: fb375c278b15a5774cef34cf9570ecc9
Description-en: GCC Quad-Precision Math Library
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: libquadmath0-i386-cross
Description-md5: fb375c278b15a5774cef34cf9570ecc9
Description-en: GCC Quad-Precision Math Library
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: libquadmath0-x32-cross
Description-md5: fb375c278b15a5774cef34cf9570ecc9
Description-en: GCC Quad-Precision Math Library
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: libquadrule-dev
Description-md5: 1d7f17af0e2073cb44b2af43781f945c
Description-en: Development files for quadrule
 QUADRULE is a C library which sets up a variety of quadrature rules, used to
 approximate the integral of a function over various domains.
 .
 QUADRULE returns the abscissas and weights for a variety of one dimensional
 quadrature rules for approximating the integral of a function. The best rule is
 generally Gauss-Legendre quadrature, but other rules offer special features,
 including the ability to handle certain weight functions, to approximate an
 integral on an infinite integration region, or to estimate the approximation
 error.
 .
 This package provides everything needed for compiling C programs that call
 quadrule functions.

Package: libquadrule1
Description-md5: 162fcfab508a672346d8099af4d469f3
Description-en: Quadrature rules and numerical integration routines
 QUADRULE is a C library which sets up a variety of quadrature rules, used to
 approximate the integral of a function over various domains.
 .
 QUADRULE returns the abscissas and weights for a variety of one dimensional
 quadrature rules for approximating the integral of a function. The best rule is
 generally Gauss-Legendre quadrature, but other rules offer special features,
 including the ability to handle certain weight functions, to approximate an
 integral on an infinite integration region, or to estimate the approximation
 error.

Package: libquantlib0-dev
Description-md5: 9a3d30e86d3db13dd65257db4851cf2c
Description-en: Quantitative Finance Library -- development package
 The QuantLib project aims to provide a comprehensive software framework
 for quantitative finance. The goal is to provide a standard free/open
 source library to quantitative analysts and developers for modeling,
 trading, and risk management of financial assets.
 .
 This package contains the header files, static libraries and symbolic
 links that developers using QuantLib will need.

Package: libquantlib0v5
Description-md5: 09d28e349b363281814e483fe7b60419
Description-en: Quantitative Finance Library -- library package
 The QuantLib project aims to provide a comprehensive software framework
 for quantitative finance. The goal is to provide a standard free/open
 source library to quantitative analysts and developers for modeling,
 trading, and risk management of financial assets.
 .
 This package provides the shared libraries required to run programs
 compiled with QuantLib.

Package: libquantum-dev
Description-md5: 751a1175ace2b1834ff87632bfc4ab51
Description-en: library for the simulation of a quantum computer (development files)
 libquantum is a C library for the simulation of a quantum computer. Based on
 the principles of quantum mechanics, it provides an implementation of a
 quantum register. Basic operations for register manipulation such as the
 Hadamard gate or the Controlled-NOT gate are available through an easy-to-use
 interface. Measurements can be performed on either single qubits or the whole
 quantum register.
 .
 Features:
  * Simulation of arbitrary quantum algorithms is possible
  * High performance and low memory consumption
  * Decoherence support for realistic quantum computation
  * Interface for quantum error correction (QEC)
  * Supports the density operator formalism
  * Implementations of Shor's factoring algorithm and Grover's search
    algorithm are included
 .
 This package contains the header files and static libraries which are needed
 to develop applications based on libquantum.

Package: libquantum-entanglement-perl
Description-md5: c3bcfb1175fa6df5cfe8545561fb7ae2
Description-en: Quantum Mechanic entanglement of variables in perl
 One of the more popular interpretations of quantum mechanics holds that
 instead of particles always being in a single, well defined, state
 they instead exist as an almost ghostly overlay of many different
 states (or values) at the same time.  Of course, it is our experience
 that when we look at something, we only ever find it in one single state.
 This is explained by the many states of the particle collapsing to a
 single state and highlights the importance of observation.
 .
 Essentially, this allows you to put variables into a superposition
 of states, have them interact with each other (so that all states
 interact) and then observe them (testing to see if they satisfy
 some comparison operator, printing them) which will collapse
 the entire system so that it is consistent with your knowledge.

Package: libquantum-superpositions-perl
Description-md5: cda325058019dcf83360178338957879
Description-en: Quantum Mechanic-like superpositions for Perl
 The Quantum::Superpositions module provides a new scalar data structure: the
 superposition. In a metaphor drawn from quantum mechanics, superpositions store
 a collection of values by overlaying them in parallel superimposed states
 within a single scalar variable.
 .
 Under the standard interpretation of quantum mechanics, until they are
 observed, particles exist only as a discontinuous probability function. Under
 the Cophenhagen Interpretation, this situation is often visualized by imagining
 the state of an unobserved particle to be a ghostly overlay of all its possible
 observable states simultaneously. For example, a particle that might be
 observed in state A, B, or C may be considered to be in a pseudo-state where it
 is simultaneously in states A, B, and C.  Such a particle is said to be in a
 superposition of states.

Package: libquantum8
Description-md5: 98137356779534c23753d1368f0d39fa
Description-en: library for the simulation of a quantum computer
 libquantum is a C library for the simulation of a quantum computer. Based on
 the principles of quantum mechanics, it provides an implementation of a
 quantum register. Basic operations for register manipulation such as the
 Hadamard gate or the Controlled-NOT gate are available through an easy-to-use
 interface. Measurements can be performed on either single qubits or the whole
 quantum register.
 .
 Features:
  * Simulation of arbitrary quantum algorithms is possible
  * High performance and low memory consumption
  * Decoherence support for realistic quantum computation
  * Interface for quantum error correction (QEC)
  * Supports the density operator formalism
  * Implementations of Shor's factoring algorithm and Grover's search
    algorithm are included
 .
 This package contains the shared libraries.

Package: libquartz-java
Description-md5: e19cdb50400c888327cada3dd4096723
Description-en: open source job scheduling system
 Quartz is a full-featured, open source job scheduling system that can
 be integrated with, or used along side virtually any J2EE or J2SE
 application - from the smallest stand-alone application to the
 largest e-commerce system. Quartz can be used to create simple or
 complex schedules for executing tens, hundreds, or even
 tens-of-thousands of jobs; jobs whose tasks are defined as standard
 Java components or EJBs. The Quartz Scheduler includes many
 enterprise-class features, such as JTA transactions and clustering.

Package: libquartz2-java
Description-md5: e19cdb50400c888327cada3dd4096723
Description-en: open source job scheduling system
 Quartz is a full-featured, open source job scheduling system that can
 be integrated with, or used along side virtually any J2EE or J2SE
 application - from the smallest stand-alone application to the
 largest e-commerce system. Quartz can be used to create simple or
 complex schedules for executing tens, hundreds, or even
 tens-of-thousands of jobs; jobs whose tasks are defined as standard
 Java components or EJBs. The Quartz Scheduler includes many
 enterprise-class features, such as JTA transactions and clustering.

Package: libquazip-doc
Description-md5: 0f152c05eb6f6c7787d47a30d7e1e89a
Description-en: C++ wrapper for ZIP/UNZIP (documentation)
 QuaZIP is a simple C++ wrapper over Gilles Vollant's ZIP/UNZIP package that
 can be used to access ZIP archives. It uses Trolltech's Qt toolkit.
 .
 QuaZIP allows you to access files inside ZIP archives using QIODevice API,
 and that means that you can also use QTextStream, QDataStream or
 whatever you would like to use on your zipped files.
 .
 QuaZIP provides complete abstraction of the ZIP/UNZIP API, for both reading
 from and writing to ZIP archives.
 .
 This package contains the API documentation in HTML.

Package: libquazip5-1
Description-md5: fbc8c4bb8f18179535c27ab56b2114be
Description-en: C++ wrapper for ZIP/UNZIP
 QuaZIP is a simple C++ wrapper over Gilles Vollant's ZIP/UNZIP package that
 can be used to access ZIP archives. It uses Trolltech's Qt toolkit.
 .
 QuaZIP allows you to access files inside ZIP archives using QIODevice API,
 and that means that you can also use QTextStream, QDataStream or
 whatever you would like to use on your zipped files.
 .
 QuaZIP provides complete abstraction of the ZIP/UNZIP API, for both reading
 from and writing to ZIP archives.
 .
 QuaZIP built using Qt5 libraries.

Package: libquazip5-dev
Description-md5: 90f03f0ef7b0288d2a8b723cf04f7e86
Description-en: C++ wrapper for ZIP/UNZIP (development files)
 QuaZIP is a simple C++ wrapper over Gilles Vollant's ZIP/UNZIP package that
 can be used to access ZIP archives. It uses Trolltech's Qt toolkit.
 .
 QuaZIP allows you to access files inside ZIP archives using QIODevice API,
 and that means that you can also use QTextStream, QDataStream or
 whatever you would like to use on your zipped files.
 .
 QuaZIP provides complete abstraction of the ZIP/UNZIP API, for both reading
 from and writing to ZIP archives.
 .
 This package contains the development files.

Package: libquickfix-dev
Description-md5: a0a7658fe2e52dc5ff05a0af4baf7351
Description-en: FIX protocol library - development files
 The Financial Information eXchange (FIX) Protocol is a message standard
 developed to facilitate the electronic exchange of information related to
 securities transactions. It is intended for use between trading partners
 wishing to automate communications.
 .
 This package includes the quickfix development files.

Package: libquickfix-doc
Description-md5: c6cddb0be3afbc23ea9edd549ae81937
Description-en: FIX protocol library - documentation
 The Financial Information Exchange (FIX) Protocol is a message standard
 developed to facilitate the electronic exchange of information related to
 securities transactions. It is intended for use between trading partners
 wishing to automate communications.
 .
 This package includes the quickfix documentation.

Package: libquickfix17
Description-md5: 61f79266f45a940d3e264ceadecf9f14
Description-en: FIX protocol library - runtime files
 The Financial Information eXchange (FIX) Protocol is a message standard
 developed to facilitate the electronic exchange of information related to
 securities transactions. It is intended for use between trading partners
 wishing to automate communications.
 .
 This package includes the quickfix runtime files.

Package: libquicktime-dev
Description-md5: d267680c6a1ab57536dc5a4e4fc20ab6
Description-en: library for reading and writing Quicktime files (development)
 libquicktime is a library for reading and writing QuickTime files on UNIX
 systems. Video CODECs supported by this library are OpenDivX, MJPA, JPEG Photo,
 PNG, RGB, YUV 4:2:2, and YUV 4:2:0 compression. Supported audio CODECs are Ogg
 Vorbis, IMA4, ulaw, and any linear PCM format.
 .
 This package contains the development files.

Package: libquicktime-doc
Description-md5: 77eb32321123d2bd2a7cf01397f3715a
Description-en: library for reading and writing Quicktime files (documentation)
 libquicktime is a library for reading and writing QuickTime files on UNIX
 systems. Video CODECs supported by this library are OpenDivX, MJPA, JPEG Photo,
 PNG, RGB, YUV 4:2:2, and YUV 4:2:0 compression. Supported audio CODECs are Ogg
 Vorbis, IMA4, ulaw, and any linear PCM format.
 .
 This package contains the html documentation of the libquicktime API.

Package: libquicktime2
Description-md5: a733d5535b74f7f426e16427135e6348
Description-en: library for reading and writing Quicktime files
 libquicktime is a library for reading and writing QuickTime files on UNIX
 systems. Video CODECs supported by this library are OpenDivX, MJPA, JPEG Photo,
 PNG, RGB, YUV 4:2:2, and YUV 4:2:0 compression. Supported audio CODECs are Ogg
 Vorbis, IMA4, ulaw, and any linear PCM format.

Package: libquoin-clojure
Description-md5: 6ee1ae4bc7cd8846d06c54373c07f18c
Description-en: Clojure utilities for writing template engines
 Provides map access and text manipulation functions for
 writing template engines in Clojure.

Package: libquota-perl
Description-md5: 77eb3d01d3e38124514d34e52a2fd767
Description-en: Perl interface to file system quotas
 Quota is a Perl module that provides access to filesystem quota information.
 This module uses the quotactl() or ioctl() system calls to set or query quota
 information on the local host. It also supports remotely submitting queries
 via RPC to a remote host.

Package: libquvi-0.9-0.9.3
Description-md5: 6ead196a588a039d5e8b105123cb5273
Description-en: library for parsing video download links (runtime libraries)
 Library to parse Adobe flash video download links. It supports Youtube
 and other similar video websites. It provides access to functionality and
 data through an API, and does not enable or require the use of the
 flash technology.

Package: libquvi-0.9-dev
Description-md5: ec84926e3079a30f918e18a1a2609e94
Description-en: library for parsing video download links (development package)
 Library to parse Adobe flash video download links. It supports Youtube
 and other similar video websites. It provides access to functionality and
 data through an API, and does not enable or require the use of the
 flash technology.
 .
 This package provides the necessary files to compile programs which
 use libquvi.

Package: libquvi-0.9-doc
Description-md5: afa5d720031c37bf6ca2a455627ce15c
Description-en: library for parsing video download links (documentation package)
 Library to parse Adobe flash video download links. It supports Youtube
 and other similar video websites. It provides access to functionality and
 data through an API, and does not enable or require the use of the
 flash technology.
 .
 This package provides the necessary documentation to make use the library.

Package: libquvi-scripts-0.9
Description-md5: 7401175f409f150b2093bd00aaf16ead
Description-en: library for parsing video download links (Lua scripts)
 libquvi is a library to parse Adobe flash video download links. It
 supports Youtube and other similar video websites. It provides access
 to functionality and data through an API, and does not enable or
 require the use of the flash technology.
 .
 This package contains the Lua scripts used to parse documents.

Package: libqwt-doc
Description-md5: 5219e56c993fd6d844f2b3ec4a01abe6
Description-en: Qt widgets library for technical applications (documentation)
 The Qwt library contains Qt GUI Components and utility classes which
 are primarily useful for programs with a technical background. Most of
 these widgets are used to control or display values, arrays, or ranges
 of type double.
 .
 This package contains the Qwt library documentation and programming examples
 for developers.

Package: libqwt-headers
Description-md5: c860fa7816e8365b8ec1b7d7bb94041d
Description-en: Qt widgets library for technical applications (header files)
 The Qwt library contains Qt GUI Components and utility classes which
 are primarily useful for programs with a technical background. Most of
 these widgets are used to control or display values, arrays, or ranges
 of type double.
 .
 Transitional dummy package, you can safely remove it.

Package: libqwt-qt5-6
Description-md5: ad16cddead85723a296ab44492894dd9
Description-en: Qt widgets library for technical applications (runtime, qt5)
 The Qwt library contains Qt GUI Components and utility classes which
 are primarily useful for programs with a technical background. Most of
 these widgets are used to control or display values, arrays, or ranges
 of type double.
 .
 This package contains the files necessary for running applications that
 use the Qwt library and Qt5.

Package: libqwt-qt5-dev
Description-md5: d84c19043fe71bc96056ed89068b51e5
Description-en: Qt widgets library for technical applications (development, qt5)
 The Qwt library contains Qt GUI Components and utility classes which
 are primarily useful for programs with a technical background. Most of
 these widgets are used to control or display values, arrays, or ranges
 of type double.
 .
 This package contains the Qwt development files for Qt5.

Package: libqwtmathml-qt5-6
Description-md5: 59c41addfe26bb4381e8cbecea50c143
Description-en: Text Engine for Qwt (runtime, qt5)
 The Qwt library contains Qt GUI Components and utility classes which
 are primarily useful for programs with a technical background. Most of
 these widgets are used to control or display values, arrays, or ranges
 of type double.
 .
 This package contains a text engine for the MathML renderer of the Qt
 solution package for the Qwt library and Qt5.

Package: libqwtmathml-qt5-dev
Description-md5: dad7d7e18a2089d995a31d2d8989e851
Description-en: Text Engine for Qwt (development, qt5)
 The Qwt library contains Qt GUI Components and utility classes which
 are primarily useful for programs with a technical background. Most of
 these widgets are used to control or display values, arrays, or ranges
 of type double.
 .
 This package contains a text engine for the MathML renderer of the Qt
 solution package for the Qwt library and Qt5. These are the development
 files.

Package: libqwtplot3d-doc
Description-md5: 0d6756dbb87a7944eefe019a6c23d423
Description-en: 3D plotting library based on Qt/OpenGL (documentation)
 QwtPlot3D is a feature rich Qt/OpenGL-based C++ programming
 library, providing essentially a bunch of 3D-widgets for
 programmers.
 .
 This package contains the html documentation and example
 programs.

Package: libqwtplot3d-qt5-0
Description-md5: f623ab7db12f09b56b337f41f06c65dc
Description-en: 3D plotting library based on Qt5/OpenGL (runtime)
 QwtPlot3D is a feature rich Qt/OpenGL-based C++ programming
 library, providing essentially a bunch of 3D-widgets for
 programmers.
 .
 This package contains the files necessary for running applications that
 use the QwtPlot3D library and Qt5.

Package: libqwtplot3d-qt5-dev
Description-md5: e6826375332b7753fda05f686336d18b
Description-en: 3D plotting library based on Qt5/OpenGL (development)
 QwtPlot3D is a feature rich Qt/OpenGL-based C++ programming
 library, providing essentially a bunch of 3D-widgets for
 programmers.
 .
 This package contains the QwtPlot3D development files for Qt5.

Package: libqxmpp-dev
Description-md5: 8945352ac346a9f60fbb923da035a50b
Description-en: Development files for the QXmpp library
 QXmpp is a cross-platform C++ XMPP library built upon Qt. It strives to be
 as easy to use as possible.
 .
 This package contains the development headers and libraries.

Package: libqxmpp-doc
Description-md5: 6d121c3fdcde483c6c25e97a22d7b3ba
Description-en: Documentation for the QXmpp library
 QXmpp is a cross-platform C++ XMPP library built upon Qt. It strives to be
 as easy to use as possible.
 .
 This package contains the HTML documentation.

Package: libqxmpp1
Description-md5: 2918ea70a02995fa5b48f49929eff7c1
Description-en: QXmpp library for XMPP client and server applications
 QXmpp is a cross-platform C++ XMPP library built upon Qt. It strives to be
 as easy to use as possible.
 .
 This package contains the shared library.

Package: libqxp-0.0-0
Description-md5: e490adaf60a917531c1e7c4f8711da00
Description-en: library for reading and converting QuarkXPress files
 libqxp is a library and a set of tools for reading and converting
 QuarkXPress file format. It supports versions 3.1-4.1 currently.

Package: libqxp-dev
Description-md5: 376ac5a5d5a22d3c360693a4aa53d0aa
Description-en: library for reading and converting QuarkXPress files -- development
 libqxp is a library and a set of tools for reading and converting
 QuarkXPress file format. It supports versions 3.1-4.1 currently.
 .
 This package contains the needef files (headers, ..) for developing
 applications using libqxp.

Package: libqxp-doc
Description-md5: 18750f3f6c4c14650d9996a2d5a68962
Description-en: library for reading and converting QuarkXPress files -- documentation
 libqxp is a library and a set of tools for reading and converting
 QuarkXPress file format. It supports versions 3.1-4.1 currently.
 .
 This package contains the documentation for libqxp

Package: libqxp-tools
Description-md5: 83e15c80888aaf31218c1f1997dcb92b
Description-en: library for reading and converting QuarkXPress files -- tools
 libqxp is a library and a set of tools for reading and converting
 QuarkXPress file format. It supports versions 3.1-4.1 currently.
 .
 This package contains the qxp2raw, qxp2svg and qxp2text tools.

Package: libr3-0
Description-md5: bff9cd009e92f90d21c79f0e6537cea1
Description-en: High-performance URL router library
 R3 is an URL router library with high performance, thus, it's implemented in
 C.  It compiles your route paths into a prefix trie. By using the constructed
 prefix trie in the start-up time, you can dispatch routes with efficiency.

Package: libr3-dev
Description-md5: 252f81975251d57e281a0680e738e33c
Description-en: High-performance URL router library (development files)
 R3 is an URL router library with high performance, thus, it's implemented in
 C.  It compiles your route paths into a prefix trie. By using the constructed
 prefix trie in the start-up time, you can dispatch routes with efficiency.
 .
 This package contains development files for libr3.

Package: librabbitmq-client-java
Description-md5: b00f45732f47d279ddce60e870ee4ec0
Description-en: RabbitMQ Java client
 The RabbitMQ Java client library allows Java code to interface with RabbitMQ.

Package: librad0
Description-md5: 0c3c1845570ecf9b41988097abb8f6b1
Description-en: rapid application development library
 radlib is a C language library developed to abstract details of interprocess
 communications and common linux/unix system facilities so that application
 developers can concentrate on application solutions. It encourages developers
 (whether expert or novice) to use a proven paradigm of event-driven,
 asynchronous design. By abstracting interprocess messaging, events, timers,
 and any I/O device that can be represented as a file descriptor, radlib
 simplifies the implementation of multi-purpose processes, as well as multi-
 process applications.

Package: librad0-tools
Description-md5: 7ddb488afb7ac5950f240e0b97c874d6
Description-en: tools for rapid application development library
 radlib is a C language library developed to abstract details of interprocess
 communications and common linux/unix system facilities so that application
 developers can concentrate on application solutions. It encourages developers
 (whether expert or novice) to use a proven paradigm of event-driven,
 asynchronous design. By abstracting interprocess messaging, events, timers,
 and any I/O device that can be represented as a file descriptor, radlib
 simplifies the implementation of multi-purpose processes, as well as multi-
 process applications.
 .
 This is a package containing some tools.

Package: libradare2-4.2.1
Description-md5: dfda815bd836af96da187e64c68ee2b1
Description-en: libraries from the radare2 suite
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped IO
 layer supporting multiple backends for local/remote files, debugger
 (OS X, BSD, Linux, W32), stream analyzer, assembler/disassembler (rasm)
 for x86, ARM, PPC, m68k, Java, MSIL, SPARC, code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extractor
 supporting PE, mach0, ELF, class, etc. named rabin, and a block-based
 hash utility called rahash.
 .
 This package provides the libraries from radare2.

Package: libradare2-common
Description-md5: 81def606bdbfb8421869ce59a9762a3b
Description-en: arch independent files from the radare2 suite
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped IO
 layer supporting multiple backends for local/remote files, debugger
 (OS X, BSD, Linux, W32), stream analyzer, assembler/disassembler (rasm)
 for x86, ARM, PPC, m68k, Java, MSIL, SPARC, code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extractor
 supporting PE, mach0, ELF, class, etc. named rabin, and a block-based
 hash utility called rahash.
 .
 This package provides the arch independent files from radare2.

Package: libradare2-dev
Description-md5: 662bda1d8b9ba8523cf11b2c4ab9abe5
Description-en: devel files from the radare2 suite
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped IO
 layer supporting multiple backends for local/remote files, debugger
 (OS X, BSD, Linux, W32), stream analyzer, assembler/disassembler (rasm)
 for x86, ARM, PPC, m68k, Java, MSIL, SPARC, code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extractor
 supporting PE, mach0, ELF, class, etc. named rabin, and a block-based
 hash utility called rahash.
 .
 This package provides the devel files from radare2.

Package: libradcli-dev
Description-md5: 421c87b41ef421d63938021a3350f424
Description-en: Enhanced RADIUS client library development files
 Enhanced RADIUS client library contains implementation of the RADIUS
 protocol for remote authentication and accounting.
 .
 This package contains development libraries and header files.

Package: libradcli4
Description-md5: 28cec154abd08ba5e3a42f3cd64c2fc2
Description-en: Enhanced RADIUS client library
 radcli is an enhanced version of the freeradius-client, libradius1
 and it's successor radiusclient-ng, the RADIUS client library.
 radcli contains vastly improved API and many additional bug
 fixes. The library is also more efficient than the original version.

Package: libradsec-dev
Description-md5: 2a34f5cfaec4cd20d7e5ecc0c0321028
Description-en: RADIUS library with RADSEC support
 RADIUS (RFC 2865) provides a protocol for authenticating and
 authorizing users to network services.
 .
 This is the development library for libradsec, a RADIUS library
 supporting RADIUS and RADSEC.

Package: libradsec0
Description-md5: 7636d41ae25e0c7b3185736ba12e3cf3
Description-en: RADIUS library supporting RADSEC
 RADIUS (RFC 2865) provides a mechanism for authenticating and
 authorizing users to use network services.
 .
 This is the shared library for a RADIUS library supporting RADSEC
 (RADIUS over TLS).

Package: librandom-numbers-dev
Description-md5: 9c8b90c0c656deb46b0c6a16cda09d69
Description-en: Robot OS random numbers library - development files
 This package is part of Robot OS (ROS). It contains a library which
 provides wrappers for generating floating point values, integers,
 and quaternions using boost libraries. The constructor of the wrapper is
 guaranteed to be thread safe and initialize its random number generator to a
 random seed. Seeds are obtained using a separate and different random number
 generator.
 .
 This package contains the development files.

Package: librandom-numbers0d
Description-md5: 79efde0fa55a378e2abae093c551c8f9
Description-en: Robot OS random numbers library
 This package is part of Robot OS (ROS). It contains a library which
 provides wrappers for generating floating point values, integers,
 and quaternions using boost libraries. The constructor of the wrapper is
 guaranteed to be thread safe and initialize its random number generator to a
 random seed. Seeds are obtained using a separate and different random number
 generator.
 .
 This package contains the library itself.

Package: librandom123-dev
Description-md5: 6946df1f8b87be171778d0719734f839
Description-en: parallel random numbers library
 Random123 is a family of highly parallelizable counter-based random
 number generators (CBRNGs) that are useful for a wide range of
 applications.
 .
 Random123 is a library of "counter-based" random number generators
 (CBRNGs), in which the Nth random number can be obtained by applying a
 stateless mixing function to N instead of the conventional approach of
 using N iterations of a stateful transformation. CBRNGs are ideal for a
 wide range of applications on modern multi-core CPUs, GPUs, clusters,
 and special-purpose hardware. Three families of non-cryptographic CBRNGs
 are described in a paper presented at the SC11 conference: ARS (based on
 the Advanced Encryption System (AES)), Threefry (based on the Threefish
 encryption function), and Philox (based on integer multiplication). They
 all satisfy rigorous statistical testing (passing BigCrush in TestU01),
 vectorize and parallelize well (each generator can produce at least 2^64
 independent streams), have long periods (the period of each stream is at
 least 2^128), require little or no memory or state, and have excellent
 performance (a few clock cycles per byte of random output). The
 Random123 library can be used with CPU (C and C++) and GPU (CUDA and
 OpenCL) applications.

Package: librandom123-doc
Description-md5: 2cf62cadd3698cd9ffbc8b874dd8094c
Description-en: documentation and examples of parallel random numbers library
 Random123 is a family of highly parallelizable counter-based random
 number generators (CBRNGs) that are useful for a wide range of
 applications.
 .
 Random123 is a library of "counter-based" random number generators
 (CBRNGs), in which the Nth random number can be obtained by applying a
 stateless mixing function to N instead of the conventional approach of
 using N iterations of a stateful transformation. CBRNGs are ideal for a
 wide range of applications on modern multi-core CPUs, GPUs, clusters,
 and special-purpose hardware. Three families of non-cryptographic CBRNGs
 are described in a paper presented at the SC11 conference: ARS (based on
 the Advanced Encryption System (AES)), Threefry (based on the Threefish
 encryption function), and Philox (based on integer multiplication). They
 all satisfy rigorous statistical testing (passing BigCrush in TestU01),
 vectorize and parallelize well (each generator can produce at least 264
 independent streams), have long periods (the period of each stream is at
 least 2128), require little or no memory or state, and have excellent
 performance (a few clock cycles per byte of random output). The
 Random123 library can be used with CPU (C and C++) and GPU (CUDA and
 OpenCL) applications.
 .
 This package contains the documentation and examples for the library.

Package: librandomx-dev
Description-md5: 58c4da771bc5fa55ceea6543cde847da
Description-en: proof of work (PoW) algorithm for CPUs - development headers
 RandomX is a proof-of-work (PoW) algorithm
 that is optimized for general-purpose CPUs.
 RandomX uses random code execution (hence the name)
 together with several memory-hard techniques
 to minimize the efficiency advantage of specialized hardware.
 .
 This package provides development headers for RandomX.

Package: librandomx0
Description-md5: 76c00972699cc21ff06b694aa57ad6d6
Description-en: proof of work (PoW) algorithm for CPUs
 RandomX is a proof-of-work (PoW) algorithm
 that is optimized for general-purpose CPUs.
 RandomX uses random code execution (hence the name)
 together with several memory-hard techniques
 to minimize the efficiency advantage of specialized hardware.

Package: librange-v3-dev
Description-md5: 42d25f9ecce438bbe27358d647bb9843
Description-en: range algorithms, views, and actions for C++14/17/20 - headers
 Range-v3 is a generic library that augments the existing standard library with
 facilities for working with ranges. A range can be loosely thought of a pair
 of iterators. This library provides a full implementation of all the standard
 algorithms with range-based overloads for convenience.
 .
 This package contains headers of the library.

Package: librange-v3-doc
Description-md5: 9eb034d99691e57e8eb003f5794f7f48
Description-en: range algorithms, views, and actions for C++14/17/20 - documentation
 Range-v3 is a generic library that augments the existing standard library with
 facilities for working with ranges. A range can be loosely thought of a pair
 of iterators. This library provides a full implementation of all the standard
 algorithms with range-based overloads for convenience.
 .
 This package ships HTML documentation of the library.

Package: libranlip-dev
Description-md5: 16e6dead1c9f1967dcaf2f4e023985e2
Description-en: generates random variates with multivariate Lipschitz density
 RanLip generates random variates with an arbitrary multivariate
 Lipschitz density.
 .
 While generation of random numbers from a variety of distributions is
 implemented in many packages (like GSL library
 http://www.gnu.org/software/gsl/  and UNURAN library
 http://statistik.wu-wien.ac.at/unuran/), generation of random variate
 with an arbitrary distribution, especially in the multivariate case, is
 a very challenging task. RanLip is a method of generation of random
 variates with arbitrary Lipschitz-continuous densities,  which works in
 the univariate and multivariate cases, if the dimension is not very
 large (say 3-10 variables).
 .
 Lipschitz condition implies that the rate of change of the function (in
 this case, probability density p(x)) is bounded:
 .
 |p(x)-p(y)|<M||x-y||.
 .
 From this condition, we can build an overestimate of the density, so
 called hat function h(x)>=p(x), using a number of values of p(x) at some
 points. The more values we use, the better is the hat function. The
 method of acceptance/rejection then works as follows: generatea  random
 variate X with density h(x); generate an independent uniform on (0,1)
 random number Z; if p(X)<=Z h(X), then return X, otherwise repeat all
 the above steps.
 .
 RanLip constructs a piecewise constant hat function of the required
 density p(x) by subdividing the domain of p (an n-dimensional rectangle)
 into many smaller rectangles, and computes the upper bound on p(x)
 within each of these rectangles, and uses this upper bound as the value
 of the hat function.

Package: libranlip1c2
Description-md5: 16e6dead1c9f1967dcaf2f4e023985e2
Description-en: generates random variates with multivariate Lipschitz density
 RanLip generates random variates with an arbitrary multivariate
 Lipschitz density.
 .
 While generation of random numbers from a variety of distributions is
 implemented in many packages (like GSL library
 http://www.gnu.org/software/gsl/  and UNURAN library
 http://statistik.wu-wien.ac.at/unuran/), generation of random variate
 with an arbitrary distribution, especially in the multivariate case, is
 a very challenging task. RanLip is a method of generation of random
 variates with arbitrary Lipschitz-continuous densities,  which works in
 the univariate and multivariate cases, if the dimension is not very
 large (say 3-10 variables).
 .
 Lipschitz condition implies that the rate of change of the function (in
 this case, probability density p(x)) is bounded:
 .
 |p(x)-p(y)|<M||x-y||.
 .
 From this condition, we can build an overestimate of the density, so
 called hat function h(x)>=p(x), using a number of values of p(x) at some
 points. The more values we use, the better is the hat function. The
 method of acceptance/rejection then works as follows: generatea  random
 variate X with density h(x); generate an independent uniform on (0,1)
 random number Z; if p(X)<=Z h(X), then return X, otherwise repeat all
 the above steps.
 .
 RanLip constructs a piecewise constant hat function of the required
 density p(x) by subdividing the domain of p (an n-dimensional rectangle)
 into many smaller rectangles, and computes the upper bound on p(x)
 within each of these rectangles, and uses this upper bound as the value
 of the hat function.

Package: librapidxml-dev
Description-md5: b181a4655fec4e2fd15af181b4792ed9
Description-en: fast XML DOM-style parser in C++ (development files)
 RapidXml is a fast XML DOM-style parser written in C++.
 .
 RapidXml provides a minimalistic API and reduced memory footprint suitable
 for embedded applications.
 .
 Limitations:
   * The parser ignores DOCTYPE declarations.
   * There is no support for XML namespaces.
   * The parser does not check for character validity.
   * The interface of the parser does not conform to DOM specification.
   * The parser does not check for attribute uniqueness.

Package: librappor-aosp-java
Description-md5: fa556340646dc3321bed3edbe7aa8f25
Description-en: Rappor java client library
 RAPPOR is a novel privacy technology that allows inferring statistics about
 populations while preserving the privacy of individual users.
 .
 This package only contains Rappor java client library.

Package: libraqm-dev
Description-md5: 02f73db71d4e597bd5ab889c76df1dea
Description-en: Development files for Raqm library
 Raqm is a library for complex text layout.
 .
 This package contains the header files and static libraries for the
 Raqm library.

Package: libraqm0
Description-md5: fba316608d8356b25803582feb6d323a
Description-en: Library for complex text layout
 Raqm is a small library to provide convenience functions for complex text
 layout. It currently provides bidirectional text support (using FriBiDi),
 shaping (using HarfBuzz), with proper script itemization.
 .
 This package contains the shared libraries.

Package: librarian-puppet
Description-md5: 88d1c602478696581bd8cdb727ccbc61
Description-en: bundler for your puppet modules
 Simplify deployment of your Puppet infrastructure by automatically pulling in
 modules from the forge and git repositories with a single command.

Package: librarian-puppet-simple
Description-md5: 0b1553be2c7f412bf613ee4e21112f35
Description-en: simple version of librarian-puppet with most of its commands
 librarian-puppet-simple was created out of its author's frustration with the
 dependency management in librarian-puppet. Librarian-puppet offers to simplify
 deployment of a Puppet infrastructure by automatically pulling in modules from
 the forge and git repositories with a single command. This package only
 allows one to pin revisions for a collection of modules, and it is also less
 heavy than librarian-puppet.
 .
 librarian-puppet-simple has fewer commands, but they should be compatible with
 the original librarian-puppet.
 .
 Note that you cannot currently install both librarian-puppet and
 librarian-puppet-simple at the same time.

Package: librasterlite2-1
Description-md5: 12bc70dfe5821a821556377783558fe6
Description-en: library for huge raster coverages using a SpatiaLite DBMS
 librasterlite2 is an open source library that stores and retrieves huge
 raster coverages using a SpatiaLite DBMS.
 .
 It is intended to completely replace and supersede librasterlite.
 The two projects are completely unrelated: the unique similarity between
 both is just in covering the same identical application area.
 .
 This package contains the shared library.

Package: librasterlite2-dev
Description-md5: a085e2bbdd262e8b3abe1a312b9f9d4d
Description-en: library for huge raster coverages using a SpatiaLite DBMS - headers
 librasterlite2 is an open source library that stores and retrieves huge
 raster coverages using a SpatiaLite DBMS.
 .
 It is intended to completely replace and supersede librasterlite.
 The two projects are completely unrelated: the unique similarity between
 both is just in covering the same identical application area.
 .
 This package contains development files.

Package: libratbag-tools
Description-md5: 3beebaf39b4815a411ed502f14c96c8d
Description-en: configuration tools for gaming mice (transitional package)
 This package used to provide lur-command and ratbag-command.
 lur-command is now packaged in its own package, and ratbag-command
 has been replaced by ratbagd's ratbagctl.
 .
 This package can safely be removed.

Package: libratpoints-2.1.3
Description-md5: 1e579f92341608bffa5aa192daecd2e7
Description-en: library for finding rational points on hyperelliptic curves
 This program tries to find all rational points within a given height
 bound on a hyperelliptic curve in a very efficient way, by using
 an optimized quadratic sieve algorithm.
 .
 This package contains the shared library.

Package: libratpoints-dev
Description-md5: 9f93640ce0beb1e168af73f3377adbac
Description-en: development files for libratpoints
 This program tries to find all rational points within a given height
 bound on a hyperelliptic curve in a very efficient way, by using
 an optimized quadratic sieve algorithm.
 .
 This package contains the development files for the library.

Package: libraven0
Description-md5: 79da31133055c18caac93b1de4c3a3e8
Description-en: Raven library for budgie-desktop
 Budgie-Desktop is a GTK+ based desktop environment which focuses on
 simplicity and elegance.  It provides a traditional desktop metaphor
 based interface utilising customisable panel based menu driven system.
 Budgie-Desktop is written from scratch utilising many GNOME based
 sub-systems such as GNOME-Session and Mutter.
 .
 This provides the budgie-desktop user-defined settings called raven.

Package: libraw-bin
Description-md5: 4c913ed132454ab0396aa40ae3b9f9f5
Description-en: raw image decoder library (tools)
 LibRaw is a library for reading RAW files obtained from digital photo cameras
 (CRW/CR2, NEF, RAF, DNG, and others).
 .
 This package contains some tools to manipulate RAW files.

Package: libraynes-fs-clojure
Description-md5: 7fd2544dc4731334982adced5f20a996
Description-en: filesystem utility library for Clojure
 raynes-fs is a collection of functions that interact with the filesystem. It
 wraps java.io.File and offers support for emulating a cwd-like behavior under
 JVM.

Package: librbl-dev
Description-md5: 8853bb9c67c3567cd6e2533879d22550
Description-en: Headers/development libraries for the OpenDKIM RBL library
 The OpenDKIM Project is a community effort to develop and maintain a C library
 for producing DKIM-aware applications and an open source milter for providing
 DomainKeys Identified Mail (DKIM) service.
 .
 This package provides the required header files and development libraries for
 developing against the OpenDKIM project RBL library.

Package: librbl1
Description-md5: 500a9c8f1123e41deee07207d7e43573
Description-en: Library to support a DKIM based RBL system
 The OpenDKIM Project is a community effort to develop and maintain a C library
 for producing DKIM-aware applications and an open source milter for providing
 DomainKeys Identified Mail (DKIM) service.
 .
 This package provides library for implementing OpenDKIM's RBL system.

Package: librcc-dev
Description-md5: cf9cd63b809c1fbd46d5a7f8f762638d
Description-en: Library for autoconvert codepages development files
 Originally, the project was aimed to provide means to work with
 multiple encodings
  * Language Autodetection
  * On the fly translation between languages, using online-services!
  * Encoding Autodetection for most of European Languages1
  * Support for encoding detection plugins (besides Enca and LibRCD)
  * Recoding/translation of multi-language playlists!
  * Cache to speed-up re-recoding.
  * Possibility to configure new languages and encodings.
  * Shared configuration file. For example mentioned TagLib and
    LibID3 patches do not have their own user interface, but will
    utilize the same recoding configuration as XMMS.
  * As well the separate program for configuration adjustment is
    available.
  * GTK/GTK2 UI Library: you can add properties page to your GTK+
    application with 3 lines of code.
  * Menu localization opportunity
 This package contains the include files, static library and
 development tools for librcc, as well as the testlibraw program.

Package: librcc0
Description-md5: 6ce1fa15a6acf59c2b60515555470d18
Description-en: Library for autoconvert codepages
 Originally, the project was aimed to provide means to work with
 multiple encodings
  * Language Autodetection
  * On the fly translation between languages, using online-services!
  * Encoding Autodetection for most of European Languages1
  * Support for encoding detection plugins (besides Enca and LibRCD)
  * Recoding/translation of multi-language playlists!
  * Cache to speed-up re-recoding.
  * Possibility to configure new languages and encodings.
  * Shared configuration file. For example mentioned TagLib and
    LibID3 patches do not have their own user interface, but will
    utilize the same recoding configuration as XMMS.
  * As well the separate program for configuration adjustment is
    available.
  * GTK/GTK2 UI Library: you can add properties page to your GTK+
    application with 3 lines of code.
  * Menu localization opportunity

Package: librccgtk2-0
Description-md5: 969e5bd0d93f0bc3c9cbeb7403ef1619
Description-en: Library for autoconvert codepages GTK2 interface
 Originally, the project was aimed to provide means to work with
 multiple encodings
  * Language Autodetection
  * On the fly translation between languages, using online-services!
  * Encoding Autodetection for most of European Languages1
  * Support for encoding detection plugins (besides Enca and LibRCD)
  * Recoding/translation of multi-language playlists!
  * Cache to speed-up re-recoding.
  * Possibility to configure new languages and encodings.
  * Shared configuration file. For example mentioned TagLib and
    LibID3 patches do not have their own user interface, but will
    utilize the same recoding configuration as XMMS.
  * As well the separate program for configuration adjustment is
    available.
  * GTK/GTK2 UI Library: you can add properties page to your GTK+
    application with 3 lines of code.
  * Menu localization opportunity
 This package contains GTK/GTK2 UI Library.

Package: librcd-dev
Description-md5: 9d38f853447361246fca54d699e3669d
Description-en: Library for autodection charset of Russian text - dev files
 Library for autodection charset of Russian text

Package: librcd0
Description-md5: 51fd90b5ab90b53c09e99a077a8ce9d1
Description-en: Library for autodection charset of Russian text
 LibRCD is used by RusXMMS project for encoding auto-detection.
 It is optimized to handle very short titles, like ID3 tags,
 file names and etc, and provides very high accuracy even
 for short 3-4 letter words.
 Current version supports Russian and Ukrainian languages
 and able to distinguish UTF-8, KOI8-R, CP1251, CP866, ISO8859-1.
 If compared with Enca, LibRCC provides better detection accuracy
 on short titles and is able to detect ISO8859-1 (non-cyrillic)
 encoding what allows one to properly display correct ID3 v.1 titles.

Package: librcps-dev
Description-md5: 78d997ad9832207ff9752a0103ab98f9
Description-en: development files for resource constrained project scheduling library
 LibRCPS aims to be a versatile, powerful and fast open source library
 for resource constrained project scheduling.

Package: librcps0
Description-md5: 9e1f174b5bd13b147e947d2b8f42469e
Description-en: resource constrained project scheduling library
 LibRCPS aims to be a versatile, powerful and fast open source library
 for resource constrained project scheduling.

Package: librcs-perl
Description-md5: 2235c513c5f0b2abed450c06eb2b8809
Description-en: Perl Object Class for Revision Control System (RCS)
 This Perl module provides an object oriented interface to access
 Revision Control System (RCS) utilities. This module should simplify
 the creation of an RCS front-end.

Package: librcsb-core-wrapper-doc
Description-md5: 2056c7b023a65fb38bc4f9e3a3ccc38b
Description-en: documentation for librcsb-core-wrapper0
 The RCSB Core Wrapper library was developed to provide an object-oriented
 application interface to information in mmCIF format. It includes several
 classes for accessing data dictionaries and mmCIF format data files.
 .
 This package contains html documentation.

Package: librcsb-core-wrapper0
Description-md5: 76b14fd0ad75ca5a0807b9f83a3a33db
Description-en: C++ library providing OO API to information in mmCIF format
 The RCSB Core Wrapper library was developed to provide an object-oriented
 application interface to information in mmCIF format. It includes several
 classes for accessing data dictionaries and mmCIF format data files.
 .
 This package contains the shared library.

Package: librcsb-core-wrapper0-dev
Description-md5: 2396ce23bde421880c11a768e84c4060
Description-en: development files for librcsb-core-wrapper0
 The RCSB Core Wrapper library was developed to provide an object-oriented
 application interface to information in mmCIF format. It includes several
 classes for accessing data dictionaries and mmCIF format data files.
 .
 This package contains files necessary for developing applications with
 the library.

Package: librda-bin
Description-md5: 349adeea6621cab2c35bdae2af5d0d61
Description-en: Remote Desktop Awareness (utility)
 This library provides an API for detecting if a desktop session
 is running inside a remote desktop session (e.g. X2Go).
 .
 This package contains the RDA check utility.

Package: librda-common
Description-md5: 7b902c4d0e972e207a82b0de72731b76
Description-en: Remote Desktop Awareness (common files)
 This library provides an API for detecting if a desktop session
 is running inside a remote desktop session (e.g. X2Go).
 .
 This package contains the RDA library's common files.

Package: librda-dev
Description-md5: ebbaaff6b7f469c00c34c9bde74d9638
Description-en: Remote Desktop Awareness (development headers)
 This library provides an API for detecting if a desktop session
 is running inside a remote desktop session (e.g. X2Go).
 .
 This package contains the RDA development headers.

Package: librda0
Description-md5: 8f901c1f22d93d28e0422d6cb02143aa
Description-en: Remote Desktop Awareness (shared library)
 This library provides an API for detecting if a desktop session
 is running inside a remote desktop session (e.g. X2Go).
 .
 This package contains the RDA shared library.

Package: librdf-acl-perl
Description-md5: ed80839c81573dea936b86df74c4dce1
Description-en: access control lists for the semantic web
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::ACL is a Perl library for managing access control lists based on
 RDF data defined, either a serialised string of RDF, a file name, a URI
 or any other method handled by RDF::TrineShortcuts.
 .
 Note that this module provides access control and does not perform
 authentication!

Package: librdf-aref-perl
Description-md5: 046aaf7e47382aa955f39c3e9fa9d5a1
Description-en: another RDF Encoding Form
 aREF (another RDF Encoding Form) is an encoding of RDF graphs
 in form of arrays, hashes, and Unicode strings.
 .
 RDF::aREF implements decoding from aREF data to RDF triples.
 .
 +aREF has been an attempt to solve problems
 that meanwhile have largely been solved by JSON-LD.
 Despite aRef having its own benefits,
 please consider using a more established technology (JSON-LD) instead!

Package: librdf-closure-perl
Description-md5: 181d86f3a6b0dd25f90c5bb3f1058434
Description-en: pure Perl RDF inferencing
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Closure is a pure Perl RDF inference engine designed as an add-in
 for RDF::Trine. It is largely a port of Ivan Herman's Python RDFClosure
 library, though there has been some restructuing, and there are a few
 extras thrown in.

Package: librdf-doap-lite-perl
Description-md5: 6c5fbed7cd6c050a126e7a2c794a6243
Description-en: write DOAP data quickly and easily
 RDF::DOAP::Lite is a small companion module to RDF::DOAP, enabling you
 to output DOAP data easily from standard CPAN distribution files.
 .
 DOAP (Description of a Project) is an RDF Schema and XML vocabulary to
 describe software projects, in particular free and open source
 software.

Package: librdf-doap-perl
Description-md5: b59632d0b649afe52960af7292ec181f
Description-en: object-oriented interface for DOAP data
 RDF::DOAP provides classes to parse and build DOAP data.
 .
 DOAP (Description of a Project) is an RDF Schema and XML vocabulary to
 describe software projects, in particular free and open source
 software.

Package: librdf-endpoint-perl
Description-md5: 73881bcf2ae31a6d147b7fd6b7df263f
Description-en: SPARQL Protocol Endpoint implementation
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Endpoint is a Perl library implementing the SPARQL Protocol for
 RDF using the PSGI interface provided by Plack. It may be run with any
 Plack handler.

Package: librdf-generator-http-perl
Description-md5: 1763254ad04554b1b3349de861039841
Description-en: Perl module to generate RDF from an HTTP request
 RDF::Generator::HTTP simply takes an HTTP::Message object, and based on its
 content, especially the content the HTTP::Header object(s) it contains,
 creates a simple RDF representation of the contents. It is useful chiefly for
 recording data when crawling resources on the Web, but it may also have other
 uses.

Package: librdf-generator-void-perl
Description-md5: f0512c6762d7a4ea85ca8a8c21a44bd9
Description-en: generate VoID descriptions based on data in an RDF model
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 VoID is an RDF Schema vocabulary for expressing metadata about RDF
 datasets, intended as a bridge between the publishers and users of RDF
 data. More info at <http://www.w3.org/TR/void/>.
 .
 RDF::Generator::Void takes a RDF::Trine::Model object as input to the
 constructor, and based on the data in that model as well as data
 supplied by the user, it creates a new model with a VoID description of
 the data in the model.

Package: librdf-helper-properties-perl
Description-md5: 8ef4aa26c312fdfec59a5c920c0b91da
Description-en: shortcuts to retrieve certain information
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Helper::Properties provides some convenience routines for handling
 RDF data.

Package: librdf-icalendar-perl
Description-md5: bdfed210c88d5018c33419b6073b3203
Description-en: convert between RDF and iCalendar
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::iCalendar::Exporter takes some RDF using the W3C's iCalendar
 vocabulary, and outputs RDF::iCalendar::Entity objects.
 .
 An RDF::iCalendar::Entity objects is an individual iCalendar calendar.
 It overloads stringification, so just treat it like a string.

Package: librdf-kml-exporter-perl
Description-md5: 5e5059306748585c10dabef3b36c5aed
Description-en: export RDF geo data to KML (Google Earth)
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::KML::Exporter takes RDF using W3C's WGS84 Geo Positioning
 vocabulary and converts it to KML format as used by Google Earth.

Package: librdf-ldf-perl
Description-md5: e590f0d55a46f0836777bb8c516b35a2
Description-en: Linked Data Fragments client
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web, also known as Linked Data.
 .
 Linked Data Fragment (LDF) is a protocol to exchange specific views of
 Linked Data.
 .
 RDF::LDF implements a basic Linked Data Fragment client, also known as
 a Triple Pattern Fragments client.

Package: librdf-linkeddata-perl
Description-md5: 2b4b40623bfa57e1aa6883aa0f7facfb
Description-en: simple Linked Data implementation
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::LinkedData is used to create a minimal Linked Data server that can
 serve RDF data out of an RDF::Trine::Model. It will look up URIs in the
 model and do the right thing (known as the 303 dance) and mint URLs for
 that, as well as content negotiation. Thus, you can concentrate on URIs
 for your things, you need not be concerned about minting URLs for the
 pages to serve it.

Package: librdf-ns-curated-perl
Description-md5: 0f269d0c309e39536160b1e9d51dd4c5
Description-en: curated set of RDF prefixes
 RDF::NS::Curated contains a limited list of prefix and URI pairs that
 are commonly used in RDF.  The intention is that prefixes in this list
 can be safely used in code that has a long lifetime.  The list has been
 derived mostly from W3C standards documents, but also some popularity
 lists.
 .
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.

Package: librdf-ns-perl
Description-md5: 3bf03d7748b0e9e211a3cc2c163df4d1
Description-en: just use popular RDF namespace prefixes from prefix.cc
 Hardcoding URI namespaces and prefixes for RDF applications
 is neither fun nor maintainable.
 In the end we all use more or less the same prefix definitions,
 as collected at <http://prefix.cc>.
 RDF::NS includes all these prefixes
 as defined at specific snapshots in time.
 These snapshots correspond to version numbers of this module.
 By selecting particular versions,
 you make sure that changes at prefix.cc won't affect your scripts.

Package: librdf-perl
Description-md5: 62911993aa32a23c48327b04af6c257a
Description-en: Perl language bindings for the Redland RDF library
 The Perl interface modules for the Redland Resource Description
 Framework (RDF) library providing an object-oriented interface in
 the RDF::Redland package.

Package: librdf-prefixes-perl
Description-md5: 31cc34bebbe7e5d2f082377d06aa87de
Description-en: simple way to turn URIs into QNames
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Prefixes is not so much for managing namespaces/prefixes in code
 (see RDF::Trine::NamespaceMap for that), but as a helper for code that
 serialises data using namespaces.
 .
 It generates pretty prefixes, reducing "http://purl.org/dc/terms/" to
 "dc" rather than something too generic like "ns01", and provides a
 context for keeping track of namespaces already used, so that when
 "http://purl.org/dc/elements/1.1/" is encountered, it won't stomp on
 the previous definition of "dc".

Package: librdf-query-client-perl
Description-md5: 85c51b81be415677d7c653192e813d4e
Description-en: get data from W3C SPARQL Protocol 1.0 servers
 Resource Description Framework (RDF) is a standard model
 for data interchange on the Web.
 .
 RDF::Query::Client helps query data
 from W3C SPARQL Protocol 1.0 servers.

Package: librdf-query-perl
Description-md5: 3de7e2e029c96e18a7aa94e848f2782e
Description-en: complete SPARQL 1.1 Query and Update implementation for RDF::Trine
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Query allows SPARQL 1.1 and RDQL queries to be run against an RDF
 model, returning rows of matching results.

Package: librdf-queryx-lazy-perl
Description-md5: 596a1456da1aaafc5add780b194179c6
Description-en: avoid all those boring PREFIX definitions
 RDF::QueryX::Lazy is a fairly trivial subclass of RDF::Query that
 auto-defines many prefixes for you, so you can be lazy.  It should have
 most of the common ones in there.
 .
 Oh yeah, and if you want, you can pass a key 'lazy' in the RDF::Query
 %options hash with additional prefix mappings.

Package: librdf-rdfa-generator-perl
Description-md5: 6036f31dfccd6884b22c13ace70629b8
Description-en: generate data for RDFa serialization
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDFa is a collection of attributes and processing rules for extending
 XHTML to support RDF.
 .
 RDF::RDFa::Generator is a Perl library for generating XHTML output with
 embedded RDFa hints.

Package: librdf-rdfa-parser-perl
Description-md5: 9ea81c95384bf125c0fc3e364affa41a
Description-en: flexible RDFa parser
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDFa is a collection of attributes and processing rules for extending
 XHTML to support RDF.
 .
 RDF::RDFa::Parser is a Perl library providing a flexible RDFa parser
 for e.g....:
  * working with an RDF::Trine::Model that can be queried with SPARQL
  * dealing with local data
  * working with Open Graph Protocol data

Package: librdf-ruby
Description-md5: 355ce2798554351002ef1d8276359126
Description-en: Ruby language bindings for the Redland RDF library (dummy)
 This is a transitional package and can be safely removed.

Package: librdf-storage-mysql
Description-md5: 9e7a87dd310e5dc60d6b8be80c6fead7
Description-en: RDF library, MySQL backend
 Redland is a library that provides a high-level interface for RDF
 (Resource Description Framework) implemented in an object-based API.
 It is modular and supports different RDF/XML parsers, storage
 mechanisms and other elements. Redland is designed for applications
 developers to provide RDF support in their applications as well as
 for RDF developers to experiment with the technology.
 .
 This package contains the MySQL storage backend.

Package: librdf-storage-postgresql
Description-md5: 58e18e8a427a3c6c6fe6c8734c45a383
Description-en: RDF library, PostGreSQL backend
 Redland is a library that provides a high-level interface for RDF
 (Resource Description Framework) implemented in an object-based API.
 It is modular and supports different RDF/XML parsers, storage
 mechanisms and other elements. Redland is designed for applications
 developers to provide RDF support in their applications as well as
 for RDF developers to experiment with the technology.
 .
 This package contains the PostGreSQL storage backend.

Package: librdf-storage-sqlite
Description-md5: dbcdbb49f20f64309855accc1cf62c8d
Description-en: RDF library, SQLite backend
 Redland is a library that provides a high-level interface for RDF
 (Resource Description Framework) implemented in an object-based API.
 It is modular and supports different RDF/XML parsers, storage
 mechanisms and other elements. Redland is designed for applications
 developers to provide RDF support in their applications as well as
 for RDF developers to experiment with the technology.
 .
 This package contains the SQLite storage backend.

Package: librdf-storage-virtuoso
Description-md5: 183dd4cac130dea64164e500b1ad5c86
Description-en: RDF library, Virtuoso backend
 Redland is a library that provides a high-level interface for RDF
 (Resource Description Framework) implemented in an object-based API.
 It is modular and supports different RDF/XML parsers, storage
 mechanisms and other elements. Redland is designed for applications
 developers to provide RDF support in their applications as well as
 for RDF developers to experiment with the technology.
 .
 This package contains the Virtuoso storage backend.

Package: librdf-trin3-perl
Description-md5: 29416646f9d99266532659b6989c8076
Description-en: notation 3 extensions for RDF::Trine
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::TriN3 extends RDF::Trine in three ways:
 .
  *   Adds a Notation 3 parser.
  *   Adds a Notation 3 serializer.
  *   Provides a subclass of literals to represent Notation 3 formulae.
 .
 In addition, a parser is provided for Notation 3 extended with
 ShorthandRDF notation - <http://esw.w3.org/ShorthandRDF>.

Package: librdf-trine-node-literal-xml-perl
Description-md5: 7189c260925f1be38e4c5d2b3eb5c043
Description-en: RDF Node class for XML Literals
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Trine::Node::Literal::XML extends the RDF::Trine framework with
 XML Literals.

Package: librdf-trine-perl
Description-md5: 3a9fbefeea5088f3902a358b65fc6f2c
Description-en: RDF Framework for Perl
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Trine provides an RDF framework with an emphasis on extensibility,
 API stability, and the presence of a test suite. The package consists
 of several components:
 .
  * RDF::Trine::Model - RDF model providing access to a triple store.
  * RDF::Trine::Parser - Native RDF parsers (RDF/XML, RDFa, Turtle,
    RDF/JSON, TriG, N-Triples, and N-Quads).
  * RDF::Trine::Serializer, a set of native RDF serializers for RDF/XML,
    Turtle, RDF/JSON, N-Triples, Canonical N-Triples, and N-Quads.
  * RDF::Trine::Store::DBI - A triple store for MySQL and SQLite, based
    on the Redland schema.
  * RDF::Trine::Iterator - Iterator classes for variable bindings and
    RDF statements, used by RDF::Trine::Store, RDF::Trine::Model, and
    RDF::Query.
  * RDF::Trine::Namespace - A convenience class for easily constructing
    RDF node objects from URI namespaces.
 .
 RDFa parser requires the package librdf-rdfa-parser-perl.
 .
 DBI backends other than SQLite require either of packages
 libdbd-pg-perl libdbd-mysql-perl.
 .
 Redis store requires the package libredis-perl.

Package: librdf-trine-serializer-rdfa-perl
Description-md5: 6a6942be6a14a55cb290045e58841fe1
Description-en: RDFa Serializer for RDF::Trine
 The RDF::Trine::Serializer class provides an API
 for serializing RDF graphs to strings and files.
 This subclass provides RDFa serialization via RDF::RDFa::Generator.
 .
 It is intended that this module will replace
 the RDF::Trine compatibility methods in RDF::RDFa::Generator,
 which are now deprecated.
 This is done to allow both RDF::Trine and Attean to use it,
 but not require them as dependencies.
 .
 RDF::Trine is a Perl framework
 for working with RDF data and SPARQL queries.
 .
 SPARQL is an RDF query language,
 that is, a semantic query language for databases,
 able to retrieve and manipulate data
 stored in Resource Description Framework format.
 .
 Resource Description Framework (RDF) is a standard model
 for data interchange on the Web.

Package: librdf-trinex-compatibility-attean-perl
Description-md5: 356bf0fad5955489f6274a7e1b0850c8
Description-en: compatibility layer between Attean and RDF::Trine
 RDF::TrineX::Compatibility::Attean is a compatibility layer
 that is useful for modules that are in transition to Attean,
 in that older modules will be able to use newer code.
 It is for example a dependency for RDFa serialization.
 .
 RDF::Trine and Attean are both Perl frameworks
 for working with RDF data and SPARQL queries,
 the former using Moose and deprecated
 in favor of the latter using Moo.
 .
 SPARQL is an RDF query language,
 that is, a semantic query language for databases,
 able to retrieve and manipulate data
 stored in Resource Description Framework format.
 .
 Resource Description Framework (RDF) is a standard model
 for data interchange on the Web.

Package: librdf-trinex-functions-perl
Description-md5: 1698b9384bca3d0ee467e4e54656c8de
Description-en: shortcut functions for RDF::Trine's object-oriented interface
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Trine provides an RDF framework with an emphasis on extensibility,
 API stability, and the presence of a test suite.
 .
 RDF::TrineX::Functions provides some shortcut functions for RDF::Trine.
 It is a replacement for the venerable RDF::TrineShortcuts. Not a
 drop-in replacement. It has fewer features, fewer dependencies, less
 hackishness, less magic and fewer places it can go wrong.

Package: librdf-trinex-serializer-mockturtlesoup-perl
Description-md5: 3966a22ac5df3dc8d415ea67af427022
Description-en: RDF/Turtle serializer pleasant for humans to look at
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::Trine provides an RDF framework with an emphasis on extensibility,
 API stability, and the presence of a test suite.
 .
 RDF::TrineX::Serializer::MockTurtleSoup provides an RDF::Trine
 serializer like RDF::Trine::Serializer::Turtle but real pretty.
 .
 And slower.
 .
 And probably breaks with some complex graphs.

Package: librdf-vcard-perl
Description-md5: e6c2d5c238638be6b3ce6ddabc763f31
Description-en: convert between RDF and vCard
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 RDF::vCard::Exporter takes some RDF using the W3C's vCard vocabulary,
 and outputs RDF::vCard::Entity objects.
 .
 RDF::vCard::Importer does the reverse.

Package: librdkafka++1
Description-md5: 137c40f55c50e0944d60f58376315ee7
Description-en: library implementing the Apache Kafka protocol (C++ bindings)
 librdkafka is a C library implementation of the Apache Kafka protocol,
 containing both Producer and Consumer support. It was designed with message
 delivery reliability and high performance in mind, current figures exceed
 800000 msgs/second for the producer and 3 million msgs/second for the
 consumer.
 .
 More information about Apache Kafka can be found at http://kafka.apache.org/
 .
 This package contains the C++ shared library.

Package: librdkafka-dev
Description-md5: a447eab98d9a8b4dc3d8e5891a3faa7c
Description-en: library implementing the Apache Kafka protocol (development headers)
 librdkafka is a C library implementation of the Apache Kafka protocol,
 containing both Producer and Consumer support. It was designed with message
 delivery reliability and high performance in mind, current figures exceed
 800000 msgs/second for the producer and 3 million msgs/second for the
 consumer.
 .
 More information about Apache Kafka can be found at http://kafka.apache.org/
 .
 This package contains the development headers.

Package: librdkafka1
Description-md5: f72cec8187db8870bcebd2318d1338b8
Description-en: library implementing the Apache Kafka protocol
 librdkafka is a C library implementation of the Apache Kafka protocol,
 containing both Producer and Consumer support. It was designed with message
 delivery reliability and high performance in mind, current figures exceed
 800000 msgs/second for the producer and 3 million msgs/second for the
 consumer.
 .
 More information about Apache Kafka can be found at http://kafka.apache.org/
 .
 This package contains the C shared library.

Package: librdkit-dev
Description-md5: cadf4e2d9818548292d31eade9e00bca
Description-en: Collection of cheminformatics and machine-learning software (development files)
 RDKit is a Python/C++ based cheminformatics and machine-learning software
 environment.  Features Include:
 .
  * Chemical reaction handling and transforms
  * Substructure searching with SMARTS
  * Canonical SMILES
  * Molecule-molecule alignment
  * Large number of molecular descriptors, including topological,
    compositional, EState, SlogP/SMR, VSA and Feature-map vectors
  * Fragmentation using RECAP rules
  * 2D coordinate generation and depiction, including constrained depiction
  * 3D coordinate generation using geometry embedding
  * UFF and MMFF94 forcefields
  * Chirality support, including calculation of (R/S) stereochemistry codes
  * 2D pharmacophore searching
  * Fingerprinting, including Daylight-like, atom pairs, topological
    torsions, Morgan algorithm and MACCS keys
  * Calculation of shape similarity
  * Multi-molecule maximum common substructure
  * Machine-learning via clustering and information theory algorithms
  * Gasteiger-Marsili partial charge calculation
 .
 File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit
 binary format.
 .
 This package contains the header files.

Package: librdkit1
Description-md5: f3b5688fc64de582110322df8c0d19da
Description-en: Collection of cheminformatics and machine-learning software (shared libraries)
 RDKit is a Python/C++ based cheminformatics and machine-learning software
 environment.  Features Include:
 .
  * Chemical reaction handling and transforms
  * Substructure searching with SMARTS
  * Canonical SMILES
  * Molecule-molecule alignment
  * Large number of molecular descriptors, including topological,
    compositional, EState, SlogP/SMR, VSA and Feature-map vectors
  * Fragmentation using RECAP rules
  * 2D coordinate generation and depiction, including constrained depiction
  * 3D coordinate generation using geometry embedding
  * UFF and MMFF94 forcefields
  * Chirality support, including calculation of (R/S) stereochemistry codes
  * 2D pharmacophore searching
  * Fingerprinting, including Daylight-like, atom pairs, topological
    torsions, Morgan algorithm and MACCS keys
  * Calculation of shape similarity
  * Multi-molecule maximum common substructure
  * Machine-learning via clustering and information theory algorithms
  * Gasteiger-Marsili partial charge calculation
 .
 File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit
 binary format.
 .
 This package contains the shared libraries.

Package: librdp-taxonomy-tree-java
Description-md5: 3ca52a7a167d7db89c3ecd68cd20a739
Description-en: taxonomy tree library from Ribosomal Database Project (RDP)
 The TaxonomyTree project is a library used by other  Ribosomal Database
 Project (RDP) tools.

Package: libre-dev
Description-md5: dc3d8842ba79c5850313702422ec7111
Description-en: generic library for real-time communications (development files)
 Libre is a portable and generic library for real-time communications
 with async IO support and a complete SIP stack with support for protocols
 such as SDP, RTP/RTCP, STUN/TURN/ICE, BFCP, HTTP and DNS Client.
 .
 The current features are:
 .
  * SIP Stack (RFC 3261)
  * SDP
  * RTP and RTCP
  * SRTP and SRTCP (Secure RTP)
  * DNS-Client
  * STUN/TURN/ICE stack
  * BFCP
  * HTTP-stack with client/server
  * Websockets
  * Jitter-buffer
  * Async I/O (poll, epoll, select, kqueue)
  * UDP/TCP/TLS/DTLS transport
  * JSON parser
  * Real Time Messaging Protocol (RTMP)
 .
 Design goals:
 .
  Portable POSIX source code (ANSI C89 and ISO C99 standard)
  Robust, fast, low memory footprint
  RFC compliance
  IPv4 and IPv6 support
 .
 This package provides development headers.

Package: libre-ocaml-dev
Description-md5: 008d114769c7e657c674191159277a71
Description-en: regular expression library for OCaml
 RE is regular expression library for OCaml. The following styles of
 regular expressions are supported:
  * Perl-style regular expressions (module Re.Perl);
  * Posix extended regular expressions (module Re.Posix);
  * Emacs-style regular expressions (module Re.Emacs);
  * Shell-style file globbing (module Re.Glob);
  * Compatibility layer for OCaml's built-in Str module (module Re.Str).
 .
 It is also possible to build regular expressions by combining simpler
 regular expressions (module Re)
 .
 This package contains the development modules you need to use RE in
 your programs.

Package: libre0
Description-md5: 548050bfcc4f0d094d997906fa002ee3
Description-en: generic library for real-time communications with async IO support
 Libre is a portable and generic library for real-time communications
 with async IO support and a complete SIP stack with support for protocols
 such as SDP, RTP/RTCP, STUN/TURN/ICE, BFCP, HTTP and DNS Client.
 .
 The current features are:
 .
  * SIP Stack (RFC 3261)
  * SDP
  * RTP and RTCP
  * SRTP and SRTCP (Secure RTP)
  * DNS-Client
  * STUN/TURN/ICE stack
  * BFCP
  * HTTP-stack with client/server
  * Websockets
  * Jitter-buffer
  * Async I/O (poll, epoll, select, kqueue)
  * UDP/TCP/TLS/DTLS transport
  * JSON parser
  * Real Time Messaging Protocol (RTMP)
 .
 Design goals:
 .
  Portable POSIX source code (ANSI C89 and ISO C99 standard)
  Robust, fast, low memory footprint
  RFC compliance
  IPv4 and IPv6 support

Package: libreact-ocaml
Description-md5: d6f34d11481da79d2e1ae5323f959c7d
Description-en: functional reactive programming in OCaml (plugins)
 React is an OCaml module for functional reactive programming.
 It provides support to program with time varying values:
 applicative events and signals. React doesn't define any primitive
 event or signal, this lets the client chooses the concrete timeline.
 .
 This package contains dynamically loadable plugins of React.

Package: libreact-ocaml-dev
Description-md5: 027bb3795cb7c55ed4e12cdb0f8b0c2e
Description-en: functional reactive programming in OCaml
 React is an OCaml module for functional reactive programming.
 It provides support to program with time varying values:
 applicative events and signals. React doesn't define any primitive
 event or signal, this lets the client chooses the concrete timeline.
 .
 This package contains development files of React.

Package: libreactive-streams-java
Description-md5: 3a083bcb5e47d371edcd18c72a590c1a
Description-en: Standard for asynchronous stream processing with non-blocking backpressure
 Reactive Streams is an initiative to provide a standard for asynchronous
 stream processing with non-blocking back pressure. This encompasses efforts
 aimed at runtime environments (JVM and JavaScript) as well as network
 protocols.

Package: libreactivedata-ocaml
Description-md5: 7a449da4fad9771b511b1bfd7cb6d4ec
Description-en: FRP with incremental changes in data structures (runtime)
 ReactiveData is an OCaml module for functional reactive programming
 (FRP) based on React. It adds support to incremental changes in data
 structures by reasoning on patches instead of absolute values.
 .
 This package contains dynamically loadable plugins of ReactiveData.

Package: libreactivedata-ocaml-dev
Description-md5: 6c373c561b6ec81b9748a83126d2faa3
Description-en: FRP with incremental changes in data structures
 ReactiveData is an OCaml module for functional reactive programming
 (FRP) based on React. It adds support to incremental changes in data
 structures by reasoning on patches instead of absolute values.
 .
 This package contains development files of ReactiveData.

Package: libreactor-core-java
Description-md5: 67b49b6a5fd597e5855f2cbb42b56a89
Description-en: Reactive Streams Specification Implementation
 Reactor is a foundational library building for reactive fast data applications
 on the JVM. It provides abstractions for Java, Groovy and other JVM languages
 to make building event and data-driven applications easier. Various dispatchers
 are available to provide the developer with a range of choices from thread-pool
 style, long-running task execution to non-blocking, high-volume task
 dispatching.

Package: libreadline-java
Description-md5: 2be92708090969b8931bd2d03a2e8ea8
Description-en: GNU readline and BSD editline wrappers for Java
 Included is the Java package org.gnu.readline which provides the GNU
 readline and BSD editline libraries for Java.  Note that this is NOT a
 pure Java implementation; it merely contains JNI wrappers for the standard
 system libraries.
 .
 BSD editline resembles GNU readline but is under a more relaxed license.
 In some cases it can be used as a drop-in replacement for GNU readline
 when licensing issues prevent GNU readline from being used.
 .
 The API documentation and examples can be found in libreadline-java-doc.

Package: libreadline-java-doc
Description-md5: a433325f3d63499fe123313269f77381
Description-en: API docs for readline/editline wrappers for Java
 This package provides the API documentation and examples for the
 GNU readline and BSD editline wrappers for Java.  The wrappers themselves
 can be found in package libreadline-java.

Package: libreadonlyx-perl
Description-md5: 86cb0bc23ac70877c053ed425d3a5749
Description-en: faster facility for creating read-only scalars, arrays, hashes
 ReadonlyX is a near-drop-in replacement for Readonly, the popular facility
 for creating non-modifiable variables. This is useful for configuration
 files, headers, etc. It can also be useful as a development and debugging
 tool for catching updates to variables that should not be changed.

Package: libreadosm-dev
Description-md5: 15541fd6f144da0f81a6da425b14d498
Description-en: simple library to parse OpenStreetMap files - headers
 ReadOSM is an open source library to extract valid data from within an
 OpenStreetMap input file. Such OSM files come in two different
 formats, .osm (XML) and .pbf.
 .
 Some of the design goals of ReadOSM are:
  - to be simple and lightweight
  - to be stable, robust and efficient
  - to be easily and universally portable
  - making the whole parsing process of both .osm or .osm.pbf files
    completely transparent from the application own perspective.
 .
 This package contains files needed for development.

Package: libreadosm-doc
Description-md5: 6da3bead18ac5d6df9324fe11fedd351
Description-en: simple library to parse OpenStreetMap files - documentation
 ReadOSM is an open source library to extract valid data from within an
 OpenStreetMap input file. Such OSM files come in two different
 formats, .osm (XML) and .pbf.
 .
 Some of the design goals of ReadOSM are:
  - to be simple and lightweight
  - to be stable, robust and efficient
  - to be easily and universally portable
  - making the whole parsing process of both .osm or .osm.pbf files
    completely transparent from the application own perspective.
 .
 This package contains the library documentation..

Package: libreadosm1
Description-md5: d34e6f3f6660df7186005a810542b2a6
Description-en: simple library to parse OpenStreetMap files
 ReadOSM is an open source library to extract valid data from within an
 OpenStreetMap input file. Such OSM files come in two different
 formats, .osm (XML) and .pbf.
 .
 Some of the design goals of ReadOSM are:
  - to be simple and lightweight
  - to be stable, robust and efficient
  - to be easily and universally portable
  - making the whole parsing process of both .osm or .osm.pbf files
    completely transparent from the application own perspective.

Package: librec-dev
Description-md5: fdac18b8688e25fb6b422e2cb1caf49a
Description-en: recutils development files
 librec is a library containing many useful C routines
 that applications can use to access recfiles.
 .
 This package contains header files and the library
 needed to develop applications.

Package: librec1
Description-md5: ffa49bf8375a16291b077e2800f007f8
Description-en: library for accessing recfiles programmatically
 librec is a library containing many useful C routines
 that applications can use to access recfiles.
 .
 This package contains the shared libraries.

Package: librecad
Description-md5: d9135c95b7b340a7d52e6f02c873080e
Description-en: Computer-aided design (CAD) system
 LibreCAD is an application for computer aided design (CAD) in two
 dimensions (2D). With LibreCAD you can create technical drawings such as
 plans for buildings, interiors, mechanical parts or schematics and
 diagrams.

Package: librecad-data
Description-md5: 0e5eafc76941eb84c7ad1d26fcab39e8
Description-en: Computer-aided design (CAD) system -- shared files
 LibreCAD is an application for computer aided design (CAD) in two
 dimensions (2D).
 .
 This package contains platform independent files for LibreCAD, including
 fonts, patterns, and interface translations.

Package: librecommended-perl
Description-md5: 440a18c2fba1700802477547749a1d7d
Description-en: Load recommended Perl modules on demand when available
 recommended gathers a list of recommended modules and versions and provides
 means to check if they are available. It is a thin veneer around
 Module::Runtime.
 .
 There are two major benefits over using Module::Runtime directly:
 .
   * Self-documents recommended modules together with versions at the top
     of your code, while still loading them on demand elsewhere.
   * Dies if a recommended module exists but fails to compile, but
     doesn't die if the module is missing or the version is insufficient.
     This is not something that Module::Runtime offers in a single
     subroutine.

Package: libredberry-pipe-java
Description-md5: 5511208b136ffc6a9fca5c200e9d2cc7
Description-en: implementation of concurrent pipelines
 Redberry is an open source computer algebra system designed for algebraic
 manipulations with tensors.
 .
 This package provides its "pipe" subproject featuring a Java library
 for implementation of concurrent pipelines.

Package: libredis-fast-perl
Description-md5: fe8ad2f20740152a7511e3618205da08
Description-en: Perl binding for Redis database
 Redis::Fast is a wrapper around Salvatore Sanfilippo's hiredis C client.
 It is compatible with Redis.pm.
 .
 This version supports protocol 2.x (multi-bulk) or later of Redis
 available at https://github.com/antirez/redis/.

Package: libredis-perl
Description-md5: b5f8b907ab36a5a0f5002d8a85db7a7e
Description-en: persistent key-value database with network interface (Perl client library)
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 This package contains the Perl client library.

Package: libreflectasm-java
Description-md5: add1aaddf9a4bbd3ace74ac8d2fa522d
Description-en: library that provides reflection by using code generation
 ReflectASM is a very small Java library that provides high performance
 reflection by using code generation. An access class is generated
 to set/get fields, call methods, or create a new instance.
 .
 The access class uses bytecode rather than Java's reflection,
 so it is much faster. It can also access primitive fields via
 bytecode to avoid boxing.

Package: libreflectasm-java-doc
Description-md5: 7868dc6a991415b76b6f454111b4e897
Description-en: library that provides reflection by using code generation - doc
 ReflectASM is a very small Java library that provides high performance
 reflection by using code generation. An access class is generated
 to set/get fields, call methods, or create a new instance.
 .
 The access class uses bytecode rather than Java's reflection,
 so it is much faster. It can also access primitive fields via
 bytecode to avoid boxing.
 .
 This package contains the Javadoc API

Package: libregex-clojure
Description-md5: 47cbbc9a86f113d9a68845a3d2888cdf
Description-en: Composable regexes for Clojure
 Allows one to use regexes or parts of them. Also provides support for named
 groups. Its syntax can be found at:
 https://github.com/cgrand/regex/blob/master/syntax.html

Package: libregexp-assemble-perl
Description-md5: b62757e0536209ebab08805d880c6245
Description-en: Perl module to merge several regular expressions
 Regexp::Assemble takes an arbitrary number of regular expressions
 and assembles them into a single regular expression (or RE) that
 matches all that the individual REs match.
 .
 It is also possible to track the original patterns, so that you can
 determine which, among the source patterns that form the assembled
 pattern, was the one that caused the match to occur.

Package: libregexp-common-email-address-perl
Description-md5: 924aff224fcbbc613366bec7c665d764
Description-en: Regexp::Common extension for matching e-mail addresses
 The Regexp::Common::Email::Address Perl module extends Regexp::Common
 with a pattern that matches e-mail addresses as defined by RFC-2822.  It
 is simple glue between the parser in Email::Address and Regexp::Common.

Package: libregexp-common-net-cidr-perl
Description-md5: 397cd3ecc532f38554e519db368db88c
Description-en: provide patterns for CIDR blocks
 This module provides regexp patterns for CIDR netblocks.

Package: libregexp-common-perl
Description-md5: 3db997253657fa3b02465e8a8eb32b43
Description-en: module with common regular expressions
 Regexp::Common is a Perl module that exports a single hash ('%RE') that
 stores or generates commonly needed regular expressions.
 .
 The module currently provides the following patterns:
 .
   * balanced parentheses and brackets
   * delimited text (with escapes)
   * integers and floating-point numbers in any base (up to 36)
   * comments in 44 languages
   * offensive language
   * lists of any pattern
   * IPv4 and IPv6 addresses
   * URIs
   * Zip codes

Package: libregexp-common-time-perl
Description-md5: fde97c244427f7524c74cd1e91699189
Description-en: Regexp::Common extension for date and time matching
 Regexp::Common::time extends Regexp::Common with regular expressions
 that can be used for parsing dates and times.

Package: libregexp-debugger-perl
Description-md5: e859860a902d984b9d1bcb30b9a1ef38
Description-en: Perl module to visually debug regexes in-place
 Regexp::Debugger is a module that helps tame unruly regexes by
 providing live interactive run-time visualizations of any regex in a
 Perl code. The debugger allows one to track capture variables, follow
 recursive subpattern matches, set break-points within a regex match,
 and even step backwards through the matching process to work out
 exactly where things started going so horribly wrong.
 .
 When you load this module, any regex in the same lexical scope will be
 visually (and interactively) debugged as it matches.
 .
 In addition to the Perl module, the package also contains the
 module's commandline frontend rxrx.

Package: libregexp-grammars-perl
Description-md5: 726e098899d4a7fbdb4d0558679e35c7
Description-en: Perl module to add grammatical parsing features to Perl 5.10 regexes
 Regexp::Grammars adds a small number of new regex constructs that can be used
 within Perl 5.10 patterns to implement complete recursive-descent parsing.
 .
 Perl 5.10 already supports recursive=descent matching, via the new
 (?<name>...) and (?&name) constructs. This technique makes it possible
 to use regexes to recognize complex, hierarchical--and even recursive--
 textual structures. The problem is that Perl 5.10 doesn't provide any
 support for extracting that hierarchical data into nested data
 structures. In other words, using Perl 5.10 you can match complex data,
 but not parse it into an internally useful form.
 .
 An additional problem when using Perl 5.10 regexes to match complex
 data formats is that you have to make sure you remember to insert whitespace-
 matching constructs (such as \s*) at every possible position where the
 data might contain ignorable whitespace. This reduces the readability
 of such patterns, and increases the chance of errors (typically caused
 by overlooking a location where whitespace might appear).
 .
 The Regexp::Grammars module solves both those problems.

Package: libregexp-ipv6-perl
Description-md5: 8e7590c55287ef79687d6f6408e0318c
Description-en: Regular expression for IPv6 addresses
 Regexp::IPv6 exports the $IPv6_re regular expression that matches any valid
 IPv6 address as described in "RFC 2373 - 2.2 Text Representation of
 Addresses" but ::. Any string not compliant with such RFC will be rejected.

Package: libregexp-java
Description-md5: b1141233204ba0843794bf8c71c5504d
Description-en: Regular expression library for Java
 Regexp is a 100% Pure Java Regular Expression package. Regular expressions
 are pattern descriptions which enable sophisticated matching of strings.
 In addition to being able to match a string against a pattern, you can also
 extract parts of the match. This is especially useful in text parsing!

Package: libregexp-java-doc
Description-md5: 9139de802e5cd5b0dd71ef1b82eff7ca
Description-en: Documentation for the Regular expression library
 Regexp is a 100% Pure Java Regular Expression package. Regular expressions
 are pattern descriptions which enable sophisticated matching of strings.
 In addition to being able to match a string against a pattern, you can also
 extract parts of the match. This is especially useful in text parsing!
 .
 This package provides the Javadoc for the regexp API.

Package: libregexp-log-perl
Description-md5: 44681d692754bdf523f37ef0b3c59111
Description-en: base class for log files regexp builders
 Regexp::Log is a base class for a variety of modules that generate
 regular expressions for performing the usual data munging tasks on log
 files that cannot be simply split().
 .
 The goal of this module family is to compute regular expressions based
 on the configuration string of the log.
 .
 Please note that there is I<nothing useful> you can do with
 Regexp::Log! Use one of its derived classes!

Package: libregexp-optimizer-perl
Description-md5: 1b74113d51796e203a32281d454d3290
Description-en: modules for optimizing regular expressions
 This contains two modules, Regexp::List and Regexp::Optimizer.
 .
 Regexp::List offers a method which turns a list of words into an
 optimized regular expression which matches all words therein.
 The optimized regular expression is much more efficient than a
 simple-minded '|'-concatenation.
 .
 Regexp:Optimizer factors out common suffices/prefices in regular
 expressions (trie optimization). Currently, the user has to optimize
 "foo|far" and "foo|goo" into "f(?:oo|ar)" and "[fg]oo" by hand;
 this module does it automatically.

Package: libregexp-reggrp-perl
Description-md5: e246cfa2372453b1893cd595b76dcbf6
Description-en: Perl module for grouping regular expressions
 Regexp::RegGrp is a pure Perl library providing an object-oriented
 API for grouping regular expressions into a single group of regular
 expressions.

Package: libregexp-shellish-perl
Description-md5: 977ed7de1efd7462ea92fabd86cf2342
Description-en: module for shell-like regular expressions
 Regexp::Shellish provides shell-like regular expressions.  The wildcards
 provided are ?, * and **, where ** is like * but matches /.
 .
 Case sensitivity and constructs like **, (a*b), and {a,b,c} can be disabled.

Package: libregexp-stringify-perl
Description-md5: c0ee9871c0a34130380fafa54c22072a
Description-en: Perl module to stringify a Regexp object
 Regexp::Stringify is an alternative to Perl's default stringification of
 Regexp objects (i.e.:`"$re"`) and has some features/options, e.g.: producing
 a regexp string that is compatible with certain Perl versions.

Package: libregexp-trie-perl
Description-md5: ed31d8763f7bde3b0361abe24f363c64
Description-en: Perl module for building a trie-ized regular expression
 Regexp::Trie is a faster but simpler version of Regexp::Assemble or
 Regexp::Optimizer. It builds a regular expression that matches multiple
 string literals with more or less common prefixes.

Package: libregexp-wildcards-perl
Description-md5: 656acc5b69f31c3ed0feaa182bd5c902
Description-en: converts wildcard expressions to Perl regular expressions
 In many situations, users may want to specify patterns to match but
 don't need the full power of regexps. Wildcards make one of those sets
 of simplified rules. Regexp::Wildcards converts wildcard expressions
 to Perl regular expressions, so that you can use them for matching.
 .
 It handles the * and ? wildcards, as well as Unix bracketed
 alternatives {,}, but also % and _ SQL wildcards. If required, it can
 also keep original (...) groups or ^ and $ anchors. Backslash (\) is
 used as an escape character.
 .
 Typesets that mimic the behaviour of Windows and Unix shells are also
 provided.

Package: libregf-dev
Description-md5: d5c35feba69b190eaad6aedec3bec75f
Description-en: Windows NT Registry File (REGF) format access library -- development files
 libregf is a library to access the Windows NT Registry File (REGF)
 format.
 .
 This package includes the development support files.

Package: libregf-utils
Description-md5: e5cd02438ff4618b17d01ce02917fe46
Description-en: libregf -- Utilities
 libregf is a library to access the Windows NT Registry File (REGF)
 format.
 .
 This package contains a few tools to work with data stored in
 registry files: regfexport, regfinfo, regfmount, regfreport.

Package: libregf1
Description-md5: 7358258195a98a63469ec568276ec4df
Description-en: Windows NT Registry File (REGF) format access library
 libregf is a library to access the Windows NT Registry File (REGF)
 format.

Package: libregfi-dev
Description-md5: 2aed8bca746e6c7f0c3aadaeb08a57c0
Description-en: utility to analysis for Windows NT-based registry (devel files)
 RegLookup is a system to direct analysis of Windows NT-based registry
 files providing command line tools, a C API, and a Python module for
 accessing registry data structures. The project has a focus on providing
 tools for digital forensics investigations (though is useful for many
 purposes), and includes algorithms for retrieving deleted data structures
 from registry hives.
 .
 Currently the program allows one to read an entire registry and output it
 in a (mostly) standardized, quoted format. It also provides features for
 filtering of results based on registry path and data type.
 .
 This package provides the development files.

Package: libregfi1
Description-md5: 166686126ff450d9d34a781f46c10fba
Description-en: utility to analysis for Windows NT-based registry (shared library)
 RegLookup is a system to direct analysis of Windows NT-based registry
 files providing command line tools, a C API, and a Python module for
 accessing registry data structures. The project has a focus on providing
 tools for digital forensics investigations (though is useful for many
 purposes), and includes algorithms for retrieving deleted data structures
 from registry hives.
 .
 Currently the program allows one to read an entire registry and output it
 in a (mostly) standardized, quoted format. It also provides features for
 filtering of results based on registry path and data type.
 .
 This package provides the runtime library.

Package: libregina3
Description-md5: 51946d705e2913f3a41eda1981946218
Description-en: Regina REXX interpreter, run-time library
 Regina is an ANSI compliant REXX interpreter for multiple platforms.
 .
 REXX is a procedural language that allows programs and algorithms
 to be written in a clear and structured way, it is also designed
 to be used as a macro language by arbitrary application programs.
 .
 Contains runtime shared libraries.

Package: libregina3-dev
Description-md5: cef9fd3ba6fe54f23a05c173673e2a87
Description-en: Regina REXX interpreter, development files
 Regina is an ANSI compliant REXX interpreter for multiple platforms.
 .
 REXX is a procedural language that allows programs and algorithms
 to be written in a clear and structured way, it is also designed
 to be used as a macro language by arbitrary application programs.
 .
 Contains static library, header file rexxsaa.h and regina-config
 script.

Package: libreins-ocaml-dev
Description-md5: d43e920bf4e5640d6dfaedecc1cfcd31
Description-en: data structure library for OCaml
 The OCaml Reins data structure library consists of the following
 persistent implementations:
  * Lists (singly, O(1) catenable, Acyclic doubly linked,
           random access)
  * Sets/Maps (AVL, Red/Black, Patricia, Splay)
  * Heaps (Binomial, Skew-Binomial)
 .
 All of the implementations conform to a unified signature for each
 data type.  Also, each data types include zipper style cursor
 interfaces and persistent, bi-directional cursor based iterators.
 The library also includes a set of standard modules to hoist the base
 typs into the module level (Int, Bool, etc...) as well as a
 collection of functor combinators to minimize boilerplate (e.g., for
 constructing compare or to_string functions).  Finally, a
 quickcheck-like random testing framework is included and each data
 type supports the necessary "gen" function to generate a random
 instance of the type.

Package: librelative-perl
Description-md5: ea46719379f0c218d7d9cc7c49d78b7a
Description-en: Load modules with relative names
 This module allows you to load modules using only parts of their name,
 relatively to the current module or to a given module. Module names are
 by default searched below the current module, but can be searched upper
 in the hierarchy using the ..:: syntax.
 .
 In order to further loosen the namespace coupling, import returns
 the full names of the loaded modules, making object-oriented code easier
 to write:
 .
    use relative;
 .
    my ($Maker, $Publisher) = import relative qw(Create Publish);
    my $report    = $Maker->new;
    my $publisher = $Publisher->new;

Package: librelaxng-datatype-java
Description-md5: 6472d8159e6cd83f4c61b390da41e060
Description-en: Java datatype interface for RELAX NG
 RELAX NG is a schema language for XML. This library is a Java interface
 for describing datatypes within RELAX NG.

Package: librelaxngcc-java
Description-md5: 69b0cf29f18bc3e61fa57b21dc3f5f59
Description-en: RELAX NG Compiler Compiler
 RelaxNGCC is a tool for generating Java source code from a given RELAX NG
 grammar. By embedding code fragments in the grammar like yacc or JavaCC, you
 can take appropriate actions while parsing valid XML documents against the
 grammar.

Package: librelaxngcc-java-doc
Description-md5: a341170e86d64b6c3137c69b054e60ba
Description-en: RELAX NG Compiler Compiler -- documentation
 RelaxNGCC is a tool for generating Java source code from a given RELAX NG
 grammar. By embedding code fragments in the grammar like yacc or JavaCC, you
 can take appropriate actions while parsing valid XML documents against the
 grammar.
 .
 This package contains javadoc for librelaxngcc-java.

Package: libreligion-islam-prayertimes-perl
Description-md5: 1c050a36e2f00a99c6504b99503bb07a
Description-en: Perl module that calculates Muslim Prayers Times and Sunrise
 Religion::Islam::PrayerTimes calculates Muslim prayers times and sunrise for
 any location on the earth.

Package: librelion+gui-1.4-1
Description-md5: d2dacc7cbd2fd576a6aaf0cfd5939ff1
Description-en: RELION dynnamic library (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the runtime library for use with GUI but without MPI.

Package: librelion+gui-dev
Description-md5: 02bca5fda670643ee4fd9dcd17df1e7b
Description-en: C++ API for RELION (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the developers API package for use with GUI but without MPI.

Package: librelion+mpi+gui-1.4-1
Description-md5: 16d4cb4793c631d413e6f4000e3d6d03
Description-en: RELION dynnamic library (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the runtime library for use with MPI and GUI.

Package: librelion+mpi+gui-dev
Description-md5: 22553d77365c2fb4126c22c500b08c56
Description-en: C++ API for RELION (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the developers API package for use with MPI and GUI.

Package: librelion+mpi-1.4-1
Description-md5: 200bca434f3d9d4eec29761af33e6edd
Description-en: RELION dynnamic library (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the runtime library for use with MPI but without GUI.

Package: librelion+mpi-dev
Description-md5: 83871c4cefb8b43bd68c55d36218106c
Description-en: C++ API for RELION (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the developers API package for use with MPI but without GUI.

Package: librelion-1.4-1
Description-md5: 0b91b9587e40846da42564e7490344e3
Description-en: RELION dynnamic library (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the runtime library.

Package: librelion-dev
Description-md5: 481eafae9d7f0eb6a9e70f7119c5f55e
Description-en: C++ API for RELION (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the developers API package for use without GUI and MPI.

Package: librelion-dev-common
Description-md5: 4ead5f2ebad7168c0d30bc9a36ce1a45
Description-en: C++ API for RELION (3D reconstructions in cryo-electron microscopy)
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 This is the developers API package including only the common header files.

Package: librelp-dev
Description-md5: 42c1d0b6aeb68e5586482de4937a9e25
Description-en: Reliable Event Logging Protocol (RELP) library - development files
 RELP provides reliable event logging over the network.
 .
 RELP (and hence) librelp assures that no message is lost, not even when
 connections break and a peer becomes unavailable. The current version of
 RELP has a minimal window of opportunity for message duplication after a
 session has been broken due to network problems. In this case, a few messages
 may be duplicated (a problem that also exists with plain tcp syslog). Future
 versions of RELP will address this shortcoming.
 .
 Please note that RELP is a general-purpose, extensible logging protocol. Even
 though it was designed to solve the urgent need of rsyslog-to-rsyslog
 communication, RELP supports many more applications. Extensible command verbs
 provide ample opportunity to extend the protocol without affecting existing
 applications.

Package: librelp0
Description-md5: e173389330cd3d94c1a9439e2181decf
Description-en: Reliable Event Logging Protocol (RELP) library
 RELP provides reliable event logging over the network.
 .
 RELP (and hence) librelp assures that no message is lost, not even when
 connections break and a peer becomes unavailable. The current version of
 RELP has a minimal window of opportunity for message duplication after a
 session has been broken due to network problems. In this case, a few messages
 may be duplicated (a problem that also exists with plain tcp syslog). Future
 versions of RELP will address this shortcoming.
 .
 Please note that RELP is a general-purpose, extensible logging protocol. Even
 though it was designed to solve the urgent need of rsyslog-to-rsyslog
 communication, RELP supports many more applications. Extensible command verbs
 provide ample opportunity to extend the protocol without affecting existing
 applications.

Package: librem-dev
Description-md5: fdb9c0d585287542df4727c7013b2a6f
Description-en: library for real-time audio and video processing (development)
 A compact, portable and generic library for realtime audio and video
 processing.
 .
 Library has following features:
  * Audio buffering, mixing, codecs and resampling
  * Video mixing, rescaling and pixel format conversion
 .
 Library was designed with following goals:
  * Portable POSIX source code (ANSI C89 and ISO C99 standard)
  * Intelligent and user friendly APIs
  * Robust, fast, low memory footprint
 .
 This package provides required development header files which allows
 integrating librem with your application.

Package: librem0
Description-md5: 348872db4aeee708f107491a7f7e98f4
Description-en: toolkit library for real-time audio and video processing
 A compact, portable and generic library for realtime audio and video
 processing.
 .
 Library has following features:
  * Audio buffering, mixing, codecs and resampling
  * Video mixing, rescaling and pixel format conversion
 .
 Library was designed with following goals:
  * Portable POSIX source code (ANSI C89 and ISO C99 standard)
  * Intelligent and user friendly APIs
  * Robust, fast, low memory footprint

Package: librem0-dbg
Description-md5: e8cce836505ed45ad44126159a3222f7
Description-en: library for real-time audio and video processing - debug symbols
 A compact, portable and generic library for realtime audio and video
 processing.
 .
 Library has following features:
  * Audio buffering, mixing, codecs and resampling
  * Video mixing, rescaling and pixel format conversion
 .
 Library was designed with following goals:
  * Portable POSIX source code (ANSI C89 and ISO C99 standard)
  * Intelligent and user friendly APIs
  * Robust, fast, low memory footprint
 .
 This package contains the debug symbols.

Package: libremctl-dev
Description-md5: d78afcb1bd1a630eee6b595475458b16
Description-en: Development files for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the development files for client libraries linked
 against MIT Kerberos.

Package: libremctl1
Description-md5: 9972073334edb7e512b11b8167c0f512
Description-en: Library for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the client libraries linked against MIT Kerberos.

Package: librenaissance0
Description-md5: c458f604a415d94704de26318435255d
Description-en: GNUstep GUI Framework - library files
 Renaissance is a GNUstep develoment framework which runs on top of the
 GNUstep libraries.  It also works on top of the Apple Mac OS X Cocoa
 libraries, providing an opaque layer to write portable applications.

Package: librenaissance0-dev
Description-md5: 7971ec80544bb2684acfdf5f61ef479d
Description-en: GNUstep GUI Framework - development files
 Renaissance is a GNUstep develoment framework which runs on top of the
 GNUstep libraries.  It also works on top of the Apple Mac OS X Cocoa
 libraries, providing an opaque layer to write portable applications.
 .
 GNUstep Renaissance allows you to describe your user interface in simple
 and intuitive XML files, using an open, standard format describing the
 logic of the interface.  At run-time, GNUstep Renaissance will then
 generate the user interfaces (using the native host OpenStep-like libraries)
 by reading the XML files.  The connections between the objects created
 from the XML files, and the other objects in the application are done
 via outlets (as traditionally in OpenStep); a new quick and intuitive
 syntax has been developed to make creating outlets as easy as possible.

Package: libreoffice
Description-md5: 9614e13c8592ae9a0c81c629137ef53e
Description-en: office productivity suite (metapackage)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This metapackage installs all components of libreoffice:
  * libreoffice-writer: Word processor
  * libreoffice-calc: Spreadsheet
  * libreoffice-impress: Presentation
  * libreoffice-draw: Drawing
  * libreoffice-base: Database
  * libreoffice-math: Equation editor
 It also recommends additional packages (e.g. fonts) in order to match an
 upstream LibreOffice install as closely as possible.
 .
 You can extend the functionality of LibreOffice by installing these
 packages:
  * hunspell-*/myspell-*: Hunspell/Myspell dictionaries
    for use with LibreOffice
  * libreoffice-l10n-*: UI interface translation
  * libreoffice-help-*: User help
  * mythes-*: Thesauri for the use with LibreOffice
  * hyphen-*: Hyphenation patterns for LibreOffice
  * libreoffice-gtk(2|3): Gtk UI Plugin, GNOME File Picker support
  * libreoffice-gnome: GIO backend
  * unixodbc: ODBC database support
  * cups-bsd: Allows LibreOffice to detect your CUPS printer queues
    automatically
  * libsane: Use your sane-supported scanner with LibreOffice
  * libxrender1: Speed up display by using Xrender library
  * libgl1: OpenGL support
  * openclipart-libreoffice: Open Clip Art Gallery with LibreOffice index
    files
  * firefox-esr | thunderbird | firefox:
    Mozilla profile with Certificates needed for XML Security...
  * openjdk-11-jre | openjdk-8-jre | java8-runtime:
    Java Runtime Environment for use with LibreOffice
  * pstoedit / imagemagick / ghostscript: helper tools for EPS
  * gstreamer0.10-plugins-*: GStreamer plugins for use with LibreOffices
    media backend
  * libpaper-utils: papersize detection support via paperconf
  * bluez: Bluetooth support for Impress (slideshow remote control)

Package: libreoffice-avmedia-backend-gstreamer
Description-md5: ec62ab16ee0666e01ee5915457261f88
Description-en: transitional package for GStreamer backend for LibreOffice
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package used to contain the GStreamer backend for LibreOffice.
 This has now been merged into the "core" package and this package thus
 can be safely removed once installed.

Package: libreoffice-base
Description-md5: 5529fff5c628ca6b70284749063daea4
Description-en: office productivity suite -- database
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the database component for LibreOffice.

Package: libreoffice-base-drivers
Description-md5: 95a600bf1d7e583b757fe5b8ea210d10
Description-en: Database connectivity drivers for LibreOffice
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the database connectivity drivers used by LibreOffices
 database functionality:
  - ODBC
  - JDBC
  - dBase
  - Calc
  - Flat files
 .
 You can extend this by installing:
 .
  * unixodbc: ODBC database support
  * libmyodbc | odbc-postgresql | libsqliteodbc | tdsodbc | odbc-mdbtools: ODBC
    drivers for:
    - MySQL
    - PostgreSQL
    - SQLite
    - MS SQL / Sybase SQL
    - *.mdb (JET / MS Access)
  * libmariadb-java | libpg-java | libjtds-java: JDBC Drivers
    for:
    - MySQL/MariaDB
    - PostgreSQL
    - MS SQL Server and Sybase
  * libreoffice-sdbc-hsqldb: embedded HSQLDB SDBC Driver
  * libreoffice-sdbc-firebird: Firebird SDBC Driver
  * libreoffice-sdbc-postgresql: PostgreSQL SDBC Driver
  * libreoffice-sdbc-mysql: MySQL/MariaDB SDBC Driver

Package: libreoffice-base-nogui
Description-md5: e83dd6cdb7c67ccb13c0407fd6a32ebc
Description-en: office productivity suite -- database (no GUI variant)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the database component for LibreOffice.

Package: libreoffice-calc-nogui
Description-md5: ed9483b973f6b2dd25d568b6ef77d8c4
Description-en: office productivity suite -- spreadsheet (no GUI variant)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the spreadsheet component for LibreOffice
 for use with libreoffice-core-nogui.

Package: libreoffice-canzeley-client
Description-md5: b266ee6255c92d50ec22d977e3c832f5
Description-en: LibreOffice Extension to organize a German Law Office
 This program handles records and personal data in a law firm,
 manages hold-files and adds data into documents. Own templates can
 be easily created. They are flexibly managed by this software for advocates.
 All document files belonging to a record are stored in a separate
 (sub-) directory and are managed at this place by the program.
 Canzeley is network-based, but can also be installed and operated on a
 stand-alone computer.
 .
 To install the necessary server, please note the information in
 README.Debian and in the (German) installation manual.

Package: libreoffice-core-nogui
Description-md5: d16e9871c20b5b5c8f38d6d74c8dfe8e
Description-en: office productivity suite -- arch-dependent files (no GUI variant)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the architecture-dependent core files of
 LibreOffice without GUI support for server-based or commandline usage of
 LibreOffice.

Package: libreoffice-dmaths
Description-md5: 75ac67b23e6a5138dd35c3aacdf2363d
Description-en: Formula editing plug-in for LibreOffice Writer
 This package integrates with LibreOffice to simplify the editing of
 mathematical and scientific formulas. It also allows you to use your
 favorite drawing software and include graphs of functions into a
 document.

Package: libreoffice-draw-nogui
Description-md5: c4d7d9707b31ef9344d70e006f7a27af
Description-en: office productivity suite -- drawing (no GUI variant)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the drawing component for LibreOffice.

Package: libreoffice-evolution
Description-md5: 7d9a6b62e74d5a806f263ecd0d4bed18
Description-en: office productivity suite -- Evolution addressbook support
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package allows LibreOffice to access Evolution address books.
 You need to install evolution separately.

Package: libreoffice-gtk
Description-md5: 0b76cbf84e5ccbf23ddec807f4dcefbb
Description-en: transitional package for LibreOffice gtk2 backend
 This package used to contain the LibreOffice gtk2 backend. The package has
 been renamed to libreoffice-gtk2 to distinguish it from the gtk3 backend.
 .
 You can safely remove this package.

Package: libreoffice-gtk2
Description-md5: 16f95bd9c26683a4b4582a981ca1a3a2
Description-en: transitional package for LibreOffice gtk2 backend
 This package used to contain the LibreOffice gtk2 backend.
 .
 You can safely remove this package.

Package: libreoffice-impress-nogui
Description-md5: 10ce2c27d434135cd9308937c1bdb31c
Description-en: office productivity suite -- presentation (no GUI variant)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the presentation component for LibreOffice.

Package: libreoffice-kde
Description-md5: 6cefe70d36c253e7351b5cddd7f0664e
Description-en: transitional package for LibreOffice KDE integration
 This package used to contain the LibreOffice KDE 4 backend. The package has
 been renamed to libreoffice-kdeX and this package now depends on the new
 libreoffice-kde5 packages.
 .
 You can safely remove this package.

Package: libreoffice-kde4
Description-md5: e4e138701097a40ac3baad803dbce01d
Description-en: transitional package for LibreOffice KDE integration
 This package used to contain the LibreOffice KDE 4 backend.
 .
 You can safely remove this package.

Package: libreoffice-kde5
Description-md5: 9ba37e0af16899bba520effef300bdf2
Description-en: transitional package for LibreOffice "KDE 5" integration
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package used to contain the "KDE 5" integration. It was split
 into -kf5 (KF5 UI plugin) and -plasma (some Plasma integration). This
 packsge can be safely removed once installed.

Package: libreoffice-kf5
Description-md5: 60a27e47a640a57cbd044cc3528831f5
Description-en: office productivity suite -- KDE Frameworks 5 integration
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the KF5 plugin for LibreOffice and a KF5-based File
 Picker when running under Plasma.

Package: libreoffice-librelogo
Description-md5: 71fe1726b20ed521137fe79b55cd374b
Description-en: Logo-like progamming language for LibreOffice
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains Librelogo, which is a pyuno-based Logo-like progamming
 language with interactive vectorgraphics for education and DTP
  - basic Logo syntax for back compatibility with educational Logo systems
  - interactive vectorgraphics in LibreOffice Writer
  - native commands (easily translatable)
  - Python data structures (list, tuple, set, dictionary) and other Python
    features

Package: libreoffice-lightproof-en
Description-md5: 5b60ee92219bc9b5904667672173fdf2
Description-en: Lightproof grammar checker for LibreOffice (English)
 Lightproof is a grammar checker extension generator in python.
 The result of the generation is a single Lightproof or vendor specific
 grammar checker language package.
 .
 This package contains a Lightproof extension for LibreOffice for English.

Package: libreoffice-lightproof-hu
Description-md5: 16b5c04bb0886b7ff105752a6eba1fb8
Description-en: Lightproof grammar checker for LibreOffice (Hungarian)
 Lightproof is a grammar checker extension generator in python.
 The result of the generation is a single Lightproof or vendor specific
 grammar checker language package.
 .
 This package contains a Lightproof extension for LibreOffice for Hungarian.

Package: libreoffice-lightproof-pt-br
Description-md5: 9729b94d12e6aa1d4c719358a203fcab
Description-en: Lightproof grammar checker for LibreOffice (Brazilian Portuguese)
 Lightproof is a grammar checker extension generator in Python.
 The result of the generation is a single Lightproof or vendor specific
 grammar checker language package.
 .
 This package contains a Lightproof extension for LibreOffice for
 Brazlian Portuguese.

Package: libreoffice-lightproof-ru-ru
Description-md5: 15d6ff5de01aa19d9c8bc6c8646df4f0
Description-en: Lightproof grammar checker for LibreOffice (Russian)
 Lightproof is a grammar checker extension generator in python.
 The result of the generation is a single Lightproof or vendor specific
 grammar checker language package.
 .
 This package contains a Lightproof extension for LibreOffice for Russian.

Package: libreoffice-math-nogui
Description-md5: e104f51d572d2ee302d3c3550e97e6ff
Description-en: office productivity suite -- equation editor (no GUI variant)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the equation editor component for LibreOffice.

Package: libreoffice-mysql-connector
Description-md5: f67e8b039d42be412b79ebf1381a0f85
Description-en: transitional package for MariaDB/MySQL Connector extension for LibreOffice
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package used to contain the LibreOffice MySQL/MariaDB Connector extension.
 This has now been added to the "main" packages (libreoffice-sdbc-mysql)
 and this package thus can be safely removed once installed.

Package: libreoffice-numbertext
Description-md5: 78a5e9c27ddb98bb45500b838ffb0b68
Description-en: number to number name and currency name conversion LO Calc Add-In
 NUMBERTEXT and MONEYTEXT are spreadsheet functions for number to number name
 and currency name conversion proposed for OpenFormula standard. MONEYTEXT is
 functionally top-compliant with the ECMA/ISO standard BAHTTEXT function, and
 supports more than 30 languages.
 .
 This Add-In provides those functions to LibreOffice Calc.
 .
 https://extensions.libreoffice.org/extensions/numbertext-1

Package: libreoffice-plasma
Description-md5: 962e5fa862a2425941adbcdb81054cc7
Description-en: office productivity suite -- some Plasma integration
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains some minor Plasma integration (like AppData
 and "Create New..." integration) and a KDE/KF5 configuration backend.

Package: libreoffice-qt5
Description-md5: 9e8b23524063dc9fd1d58b588d9f5c8e
Description-en: office productivity suite -- Qt 5 integration
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the Qt 5 plugin for drawing LibreOffices widgets
 with Qt 5 and a Qt File Picker and print dialog.

Package: libreoffice-report-builder
Description-md5: 8239ceaa4ebaf25c2b15325d48eb907c
Description-en: LibreOffice component for building database reports
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the report builder:
  "Create with the Sun Report Builder stylish, smart-looking database reports.
  The flexible report editor can define group and page headers as well as
  group and page footers and even calculation fields are available to
  accomplish complex database reports."

Package: libreoffice-report-builder-bin
Description-md5: 4de9416ad29a7951be39b95f83055e84
Description-en: LibreOffice component for building database reports -- libraries
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains some architecture-dependent support libraries
 (librpt*.so) for the report builder component.

Package: libreoffice-report-builder-bin-nogui
Description-md5: 6d5263ac65fb4d1c4c7caf8eb713944d
Description-en: LibreOffice component for building database reports -- libraries (no GUI variant)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains some architecture-dependent support libraries
 (librpt*.so) for the report builder component.

Package: libreoffice-script-provider-bsh
Description-md5: 720bef09745ce9eb4902772fb5c437d8
Description-en: BeanShell script support provider for LibreOffice scripting framework
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 The Scripting Framework allows LibreOffice users to write and run macros
 in multiple languages including LibreOffice Basic, Python, Java, BeanShell
 and JavaScript. These macros can then be assigned to menus, toolbars,
 keyboard shortcuts, events and embedded objects.
 .
 This package contains the script provider to support BeanShell.

Package: libreoffice-script-provider-js
Description-md5: 41b18315e9be2aaa24a28415b907118e
Description-en: JavaScript script support provider for LibreOffice scripting framework
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 The Scripting Framework allows LibreOffice users to write and run macros
 in multiple languages including LibreOffice Basic, Python, Java, BeanShell
 and JavaScript. These macros can then be assigned to menus, toolbars,
 keyboard shortcuts, events and embedded objects.
 .
 This package contains the script provider to support JavaScript.

Package: libreoffice-script-provider-python
Description-md5: 019117f2dc708fc6c1179714b81e2076
Description-en: Python script support provider for LibreOffice scripting framework
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 The Scripting Framework allows LibreOffice users to write and run macros
 in multiple languages including LibreOffice Basic, Python, Java, BeanShell
 and JavaScript. These macros can then be assigned to menus, toolbars,
 keyboard shortcuts, events and embedded objects.
 .
 This package contains the script provider to support Python.

Package: libreoffice-sdbc-firebird
Description-md5: 4ad372e2554b0f42b39c7e1325d5e6d0
Description-en: Firebird SDBC driver for LibreOffice
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 The Firebird SDBC Driver allows one to use the Firebird database from
 LibreOffice without any wrapper layer such as ODBC or JDBC.

Package: libreoffice-sdbc-hsqldb
Description-md5: 54ed9715a25b1dae2ad2d3a9b6838a65
Description-en: HSQLDB SDBC driver for LibreOffice
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 The HSQLDB SDBC Driver allows one to use the HSQLDB embedded database from
 LibreOffice without any wrapper layer such as ODBC or JDBC.

Package: libreoffice-sdbc-mysql
Description-md5: 1022a8fb85f50d65847256d96ae25e4f
Description-en: MariaDB/MySQL SDBC driver for LibreOffice
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 The MariaDB/MySQL driver allows one to use the MariaDB or MySQL
 database from LibreOffice without any wrapper layer such as ODBC or JDBC.

Package: libreoffice-sdbc-postgresql
Description-md5: 06f71158aa78dbe7201679601bd1279b
Description-en: PostgreSQL SDBC driver for LibreOffice
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 The PostgreSQL SDBC Driver allows one to use the PostgreSQL database from
 LibreOffice without any wrapper layer such as ODBC or JDBC.

Package: libreoffice-smoketest-data
Description-md5: 7540f40cccbf63cb8381dca8979a0828
Description-en: data files for LibreOffices "smoketest"
 Data files for the LibreOffice "smoketest".

Package: libreoffice-style-galaxy
Description-md5: cbafee8b95c2851c166a1f1a2f92ac6c
Description-en: transitional package for Galaxy symbol style
 You can safely remove this package.

Package: libreoffice-style-hicontrast
Description-md5: c975e10f61063cef8dfc736499943c67
Description-en: transitional package for Hicontrast symbol style
 You can safely remove this package.

Package: libreoffice-style-human
Description-md5: ed94ab0fc9991b77a2b9fda0a3089abb
Description-en: transitional package for Human symbol style
 You can safely remove this package.

Package: libreoffice-style-karasa-jaga
Description-md5: 62dc98488a5247cbad91f4e0ec562bcf
Description-en: office productivity suite -- Karasa Jaga symbol style
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the "karasa_jaga" symbol style.

Package: libreoffice-style-oxygen
Description-md5: 0eea86d70908d194875d1d231cf95716
Description-en: transitional package for Oxygen symbol style
 You can safely remove this package.

Package: libreoffice-style-sifr
Description-md5: 0fd5516a8dab8f6841c284edea8786d7
Description-en: office productivity suite -- Sifr symbol style
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the "sifr" symbol style (an adaption of the Gnome
 symbolic theme), needs to be manually  enabled in the LibreOffice option
 menu.

Package: libreoffice-subsequentcheckbase
Description-md5: cda482c5487d616e1ae7a228fce1d955
Description-en: LibreOffice java test libraries
 Java libraries LibreOffice subsequentchecks integration test suite

Package: libreoffice-systray
Description-md5: 7af566a55c39207b389151276dad569a
Description-en: transitional package for removed LibreOffice "Quickstarter"
 You can safely remove this package.

Package: libreoffice-templates
Description-md5: df2343b4457015f9401cf3c9b08e5d4f
Description-en: Additional set of templates for LibreOffice
 Additional set of templates for:
  - LibreOffice Calc spreadsheets
  - LibreOffice Writer texts
  - LibreOffice Impress presentations

Package: libreoffice-texmaths
Description-md5: bcf9aef56ee3ce4c5119c544ea6cfa71
Description-en: TexMaths is a LaTeX equation editor for LibreOffice
 TexMaths generates SVG or PNG images from LaTeX equations, inserts them into
 the document, and the LaTeX source is stored alongside the image in the ODT
 format. TexMaths can be used to edit the source and regenerate the image, or
 one can unzip the ODT and extract the LaTeX source from the content.xml.

Package: libreoffice-wiki-publisher
Description-md5: a66aaa282ae4941588a4d314d1ebbf79
Description-en: LibreOffice extension for working with MediaWiki articles
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains an extension to create/edit/publish MediaWiki
 articles with LibreOffice

Package: libreoffice-writer-nogui
Description-md5: 963dc225bb14f9e5974345a7995f16a7
Description-en: office productivity suite -- word processor (no GUI variant)
 LibreOffice is a full-featured office productivity suite that provides
 a near drop-in replacement for Microsoft(R) Office.
 .
 This package contains the wordprocessor component for LibreOffice.

Package: libreoffice-writer2latex
Description-md5: 01a36593183c136a4133e917d239c25b
Description-en: Writer/Calc to LaTeX converter extension for LibreOffice
 Writer2LaTeX is a java utility to convert OpenOffice.org/LibreOffice
 documents – in particular documents containing formulas – into other
 formats. It is actually a collection of four converters, i.e.:
  1) Writer2LaTeX converts documents into LaTeX 2e format for high quality
     typesetting.
  2) Writer2BibTeX extracts bibliographic data from a document and stores it in
     BibTeX format (works together with Writer2LaTeX).
  3) Writer2xhtml converts documents into XHTML 1.0 or XHTML 1.1+MathML 2.0 with
     CSS2.
  4) Calc2xhtml is a companion to Writer2xhtml that converts Calc documents
     to XHTML 1.0 with CSS2 to display your spreadsheets on the web.
 .
 This package contains the extension providing writer2latex for LibreOffice.

Package: libreoffice-writer2xhtml
Description-md5: db68508b3db03d26eca489282f55f27a
Description-en: Writer/Calc to XHTML converter extension for LibreOffice
 Writer2LaTeX is a java utility to convert OpenOffice.org/LibreOffice
 documents – in particular documents containing formulas – into other
 formats. It is actually a collection of four converters, i.e.:
  1) Writer2LaTeX converts documents into LaTeX 2e format for high quality
     typesetting.
  2) Writer2BibTeX extracts bibliographic data from a document and stores it in
     BibTeX format (works together with Writer2LaTeX).
  3) Writer2xhtml converts documents into XHTML 1.0 or XHTML 1.1+MathML 2.0 with
     CSS2.
  4) Calc2xhtml is a companion to Writer2xhtml that converts Calc documents
     to XHTML 1.0 with CSS2 to display your spreadsheets on the web.
 .
 This package contains the extension providing writer2xhtml for LibreOffice.

Package: libreoffice-zemberek
Description-md5: 6609beab52ffc09d95070076e6b1fb65
Description-en: Turkish spell checker extension for LibreOffice
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains Turkish spell checker extension for LibreOffice.

Package: librep-dbg
Description-md5: 10cf16dad26ede6ecc73dd5ca5137434
Description-en: debug symbols for librep
 rep is a dialect of Lisp, designed to be used both as an extension
 language for applications and as a general purpose programming language.
 .
 This package contains the debug symbols for the interpreter and the embedded
 library. They are only required for debugging.

Package: librep-dev
Description-md5: 6aa328f204caf7cb7af4dea16a22f72d
Description-en: development libraries and headers for librep
 rep is a dialect of Lisp, designed to be used both as an extension
 language for applications and as a general purpose programming language.
 .
 It was originally written to be mostly-compatible with Emacs Lisp, but has
 subsequently diverged markedly. Its aim is to combine the best features of
 Scheme and Common Lisp and provide an environment that is comfortable for
 implementing both small and large scale systems. It tries to be a
 "pragmatic" programming language.
 .
 This package contains the files needed to embed the rep interpreter in an
 application.

Package: librep16
Description-md5: 8759c74887b085375c139464fcfd0338
Description-en: embedded lisp command interpreter library
 rep is a dialect of Lisp, designed to be used both as an extension
 language for applications and as a general purpose programming language.
 .
 It was originally written to be mostly-compatible with Emacs Lisp, but has
 subsequently diverged markedly. Its aim is to combine the best features of
 Scheme and Common Lisp and provide an environment that is comfortable for
 implementing both small and large scale systems. It tries to be a
 "pragmatic" programming language.
 .
 This package contains the shared library for applications that embed
 the rep interpreter. You should not need to install this package manually.

Package: libreplacer-java
Description-md5: c1c43bb31f12e968f84f9a0d27b8cc5f
Description-en: Maven plugin to replace tokens in a given file with a value
 This plugin is typically used to change database or network configuration
 within a project during a maven build. It also can perform some advanced text
 replacement functions, such as providing a separate token/value file to keep
 your build script concise, writing resulting text after replacement to a
 separate file and token matching with regular expressions. There is even
 support for making exact document node replacements via X-Path.

Package: libreplaygain-dev
Description-md5: 23cd0965d8678f4cdf055324d592ef62
Description-en: Calculate ReplayGain information - development files
 libreplaygain calculates the perceived loudness of audio and
 suggests dB adjustments according to the ReplayGain standard.
 .
 This package contains the header files, static libraries
 and symbolic links that developers using libreplaygain will need.

Package: libreplaygain1
Description-md5: 64fb9bf5746ccf4e399883febf805e4d
Description-en: Calculate ReplayGain information - library
 libreplaygain calculates the perceived loudness of audio and
 suggests dB adjustments according to the ReplayGain standard.
 .
 This package provides the shared library.

Package: libreply-perl
Description-md5: d625336bcf21da688357d76480cd6070
Description-en: lightweight extensible Perl REPL
 Reply ("read, eval, print, loop, yay!") is a lightweight, extensible REPL for
 Perl. It is plugin-based (see Reply::Plugin), and through plugins supports
 many advanced features such as coloring and pretty printing, readline
 support, and pluggable commands.
 .
 NOTE: This is an early release, and implementation details of this module are
 still very much in flux. Feedback is welcome!

Package: librepository-java
Description-md5: 748be748309c99dc3abb3714dbac4da4
Description-en: abstraction library for accessing hierachic bulk content
 LibRepository provides a simple abstraction layer to access bulk content that
 is organized in a hierarchical layer.
 .
 Unlike the JSR-000170, this library does not aim to solve all problems
 associated with content storages. The main purpose of LibRepository is to
 give users an abstract view over an filesystem like structure so that content
 generator and content consumer do no longer have to make assumptions about
 where to store the generated content.
 .
 The repositories described here should not be used to store other things than
 BLOBs.

Package: librepository-java-doc
Description-md5: 03e444117f8753d871c3ab62d2b6a5f8
Description-en: abstraction library for accessing hierachic bulk content -- documentation
 LibRepository provides a simple abstraction layer to access bulk content that
 is organized in a hierarchical layer.
 .
 Unlike the JSR-000170, this library does not aim to solve all problems
 associated with content storages. The main purpose of LibRepository is to
 give users an abstract view over an filesystem like structure so that content
 generator and content consumer do no longer have to make assumptions about
 where to store the generated content.
 .
 The repositories described here should not be used to store other things than
 BLOBs.
 .
 This package contains the Javadoc.

Package: libres-ocaml-dev
Description-md5: e52157010401abe136046930003c1d3d
Description-en: OCaml library for automatically resizing contiguous data structure
 This OCaml library consists of a set of modules which implement
 automatically resizing (i.e. reallocating) data structures that consume
 a contiguous part of memory.
 .
 This allows appending and removing of elements to/from arrays (both
 boxed and unboxed), strings (i.e. buffers), bit strings and weak arrays
 while still maintaining fast constant-time access to elements.
 .
 There are also functors that allow the generation of similar modules
 which use different reallocation strategies.

Package: libresample1
Description-md5: 1cc1e6c6be4f8a51600832a5b32f37a9
Description-en: real-time audio resampling library - shared libraries
 A real-time library for audio sampling rate conversion providing
 several useful features relative to resample-1.7 on which it is based:
  - More portable (source includes autoconf script and Visual C++
    project file, packaging adds CMake script with shared library
    support).
  - Memory-based (no need to read or write external files).
  - Floating-point computations (not fixed-point).
  - Faster and more accurate results (filter table increased by a factor
    of 32).
  - Supports variable resampling ratio (data can be processed in small
    chunks).
  - Easily applied to any number of simultaneous data channels
  - LGPL-licensed (libsamplerate, a GPL-licensed alternative, is
    considered by the libresample author to be technically superior).
 .
 This package contains the shared libraries for resample.

Package: libresample1-dev
Description-md5: f22e058d490d1ea027a0f18226e9ae91
Description-en: real-time audio resampling library - development files
 A real-time library for audio sampling rate conversion providing
 several useful features relative to resample-1.7 on which it is based:
  - More portable (source includes autoconf script and Visual C++
    project file, packaging adds CMake script with shared library
    support).
  - Memory-based (no need to read or write external files).
  - Floating-point computations (not fixed-point).
  - Faster and more accurate results (filter table increased by a factor
    of 32).
  - Supports variable resampling ratio (data can be processed in small
    chunks).
  - Easily applied to any number of simultaneous data channels
  - LGPL-licensed (libsamplerate, a GPL-licensed alternative, is
    considered by the libresample author to be technically superior).
 .
 This package contains the development headers and static libraries for
 resample.

Package: libresid-builder-dev
Description-md5: f06308368fe1a1ee820c0a02a57eb15e
Description-en: SID chip emulation class based on resid
 SID builder packages provide a chip emulator, to be used by libsidplay2.
 This package is an emulation based on the resid library, including hardsid
 support.

Package: libresid-builder0c2a
Description-md5: f06308368fe1a1ee820c0a02a57eb15e
Description-en: SID chip emulation class based on resid
 SID builder packages provide a chip emulator, to be used by libsidplay2.
 This package is an emulation based on the resid library, including hardsid
 support.

Package: libresolv-wrapper
Description-md5: 48ee6b6ef111bfdf88b82ad170c404cd
Description-en: wrapper for DNS name resolving or DNS faking
 Makes it possible to contact your own DNS implementation in your test
 environment. It requires socket_wrapper to be able to contact the server.
 Alternatively, the wrapper is able to fake DNS queries and return valid
 responses to your application.

Package: libresource-retriever-dev
Description-md5: 74e76a63872385fc3d51ea173144ac4a
Description-en: Robot OS resource_retriever library - development files
 This package is part of Robot OS (ROS). It retrieves data from
 url-format files such as http://, ftp://, package:// file://, etc.,
 and loads the data into memory. The package:// url for ros packages
 is translated into a local file:// url. The resource retriever was
 initially designed to load mesh files into memory, but it can be used
 for any type of data. The resource retriever is based on the libcurl library.
 .
 This package contains the development files.

Package: libresource-retriever0d
Description-md5: b2490a57f23741f88ade74e522ffb82d
Description-en: Robot OS resource_retriever library
 This package is part of Robot OS (ROS). It retrieves data from
 url-format files such as http://, ftp://, package:// file://, etc.,
 and loads the data into memory. The package:// url for ros packages
 is translated into a local file:// url. The resource retriever was
 initially designed to load mesh files into memory, but it can be used
 for any type of data. The resource retriever is based on the libcurl library.
 .
 This package contains the library itself.

Package: librest-application-perl
Description-md5: 4d18099975ddfe63924cb9ef15f22bb4
Description-en: framework for building RESTful web-applications
 Rest::Application acts as a base class for applications which implement a
 RESTful interface, similar to CGI::Application.

Package: librest-client-perl
Description-md5: 763fd867050ca169c1118d2d6e992640
Description-en: simple client for interacting with RESTful HTTP/HTTPS resources
 REST::Client provides an easy to use interface for interacting with RESTful
 HTTP/HTTPS resources through an intuitive API.

Package: librestbed-dev
Description-md5: 572fc33a9f9eea65ec4f983b3ad7a042
Description-en: asynchronous REST C++11 library - dev package
 Restbed is a comprehensive and consistent programming model for
 building applications that require seamless and secure
 communication over HTTP, with the ability to model a range of
 business processes, designed to target mobile, tablet, desktop
 and embedded production environments.
 .
 This package contains the static library and headers.

Package: librestbed0
Description-md5: 08aaa3e133273d1d3f83b3f39fa2962a
Description-en: asynchronous REST C++11 library
 Restbed is a comprehensive and consistent programming model for
 building applications that require seamless and secure
 communication over HTTP, with the ability to model a range of
 business processes, designed to target mobile, tablet, desktop
 and embedded production environments.

Package: libresteasy-java
Description-md5: 79cc073b179395537fb04a5568a5e6e7
Description-en: RESTEasy -- Framework for RESTful Web services and Java applications
 RESTEasy is a JBoss project that provides various frameworks to help you build
 RESTful Web Services and Java applications. It is a fully certified and
 portable implementation of the Java API for RESTful Web Services (JAX-RS)
 specification. JAX-RS is a new Java Community Process (JCP) specification that
 provides a Java API for RESTful Web Services over the HTTP protocol.

Package: libresteasy3.0-java
Description-md5: eff41d4e2ee9ce9df59510a7a4e31f22
Description-en: RESTEasy 3.0 -- Framework for RESTful Web services and Java applications
 RESTEasy is a JBoss project that provides various frameworks to help you build
 RESTful Web Services and Java applications. It is a fully certified and
 portable implementation of the Java API for RESTful Web Services (JAX-RS)
 specification. JAX-RS is a new Java Community Process (JCP) specification that
 provides a Java API for RESTful Web Services over the HTTP protocol.
 .
 This package provides version 3.0 needed by Dogtag PKI.

Package: libresult-ocaml
Description-md5: 82da4fa6173bde41fa5083d4cd8b1a88
Description-en: compatibility Result module (runtime files)
 Projects that want to use the new result type defined in OCaml >=
 4.03 while staying compatible with older version of OCaml should use
 the Result module defined in this library.
 .
 This package contains runtime files.

Package: libresult-ocaml-dev
Description-md5: bb9223510251cb87d7063cee34f09e94
Description-en: compatibility Result module (dev files)
 Projects that want to use the new result type defined in OCaml >=
 4.03 while staying compatible with older version of OCaml should use
 the Result module defined in this library.
 .
 This package contains development files.

Package: libreswan
Description-md5: 85f11a75b91fd863b98f3e219668ec8a
Description-en: Internet Key Exchange daemon
 Libreswan is an IPsec implementation for Linux. It has support for most
 of the extensions (RFC + IETF drafts) related to IPsec, including
 IKEv2, X.509 Digital Certificates, NAT Traversal, and many others.
 .
 Libreswan has been forked from Openswan 2.6.38, which was forked from
 FreeS/WAN 1.99.
 .
 The Libreswan IKE daemon is named pluto. It was inherited from the FreeS/WAN
 project, but provides improved X.509 certificate support and other features.

Package: libretro-beetle-pce-fast
Description-md5: d32c55124c773520eceebb289deb6ea7
Description-en: Libretro wrapper for the Beetle PCE FAST core
 This wrapper makes Beetle PCE FAST core API compatible with libretro, thus
 allowing its use with libretro frontends, such as RetroArch.
 .
 This core emulates the TurboGrafx-16 Entertainment SuperSystem, originally
 known in Japan as the PC Engine. It also emulates the TurboGrafx-CD (CD-ROM²).
 .
 Beetle PCE FAST is based in the Mednafen "pce_fast" module, so it has some
 tricks to speed up the hardware emulation, but with some loss in accuracy.

Package: libretro-beetle-psx
Description-md5: 01137698581fed55d1ac4d25a749aa3b
Description-en: Libretro wrapper for the Beetle PSX core
 This wrapper makes Beetle PSX core API compatible with libretro, thus
 allowing its use with libretro frontends, such as RetroArch.
 .
 Beetle is a fork of Mednafen for libretro. This core emulates the PlayStation
 console.
 .
 PlayStation is a registered trademark of Sony Computer Entertainment Inc.
 Mednafen/Beetle PSX is not affiliated with or endorsed by any of the companies
 mentioned. The emulator is for educational and development purposes only and it
 may not be used to play games you do not legally own.

Package: libretro-beetle-vb
Description-md5: 198f87db895526e4f95f389f95ce8ead
Description-en: Libretro wrapper for the Beetle VB core
 This wrapper makes Beetle VB core API compatible with libretro, thus allowing
 its use with libretro frontends, such as RetroArch.
 .
 Beetle is a fork of Mednafen for libretro. This core emulates the Nintendo
 Virtual Boy hardware.

Package: libretro-beetle-wswan
Description-md5: f48cb1bb3f4793f1bdfcf5f5eac22095
Description-en: Libretro wrapper for the Beetle WSWAN core
 This wrapper makes Beetle WSWAN core API compatible with libretro, thus
 allowing its use with libretro frontends, such as RetroArch.
 .
 Beetle is a fork of Mednafen for libretro. This core emulates the Bandai
 handheld WonderSwan hardware.
 .
 The Mednafen WSWAN core is itself a fork of Cygne.
 .
 WonderSwan is (C) by Bandai, Cygne is (C) by Dox. All rights reserved.

Package: libretro-bsnes-mercury-accuracy
Description-md5: 0b537935cdc2bb7f994b008e396e7d0f
Description-en: Libretro wrapper for bsnes-mercury accuracy core
 This wrapper makes bsnes-mercury accuracy core API compatible with libretro,
 thus allowing its use with libretro frontends, such as RetroArch.
 .
 This core is enabled to emulate only SNES hardware and its subsystems (from
 the command line only), like Super Game Boy, Satellaview and Sufami Turbo.
 .
 bsnes-mercury is a fork of higan, aiming to restore some useful features that
 have been removed, as well as improving performance a bit. Maximum accuracy is
 still uncompromisable; anything that affects accuracy is optional and off by
 default. The name is because metals are shiny, like the accuracy promises of
 bsnes, and mercury is the fastest metal.
 .
 This package comes with the bsnes-mercury accuracy core, which runs 100% of
 SNES games, with perfect emulation of the console. It requires high CPU usage.

Package: libretro-bsnes-mercury-balanced
Description-md5: 205b2ec57b955715a17051799b6c59bc
Description-en: Libretro wrapper for bsnes-mercury balanced core
 This wrapper makes bsnes-mercury balanced core API compatible with libretro,
 thus allowing its use with libretro frontends, such as RetroArch.
 .
 This core is enabled to emulate only SNES hardware and its subsystems (from
 the command line only), like Super Game Boy, Satellaview and Sufami Turbo.
 .
 bsnes-mercury is a fork of higan, aiming to restore some useful features that
 have been removed, as well as improving performance a bit. Maximum accuracy is
 still uncompromisable; anything that affects accuracy is optional and off by
 default. The name is because metals are shiny, like the accuracy promises of
 bsnes, and mercury is the fastest metal.
 .
 This package comes with the bsnes-mercury balanced core, which tries to keep
 a good balance between accuracy and performance. It runs almost all SNES games.

Package: libretro-bsnes-mercury-performance
Description-md5: b974a40849310afd241098c2c845d3bf
Description-en: Libretro wrapper for bsnes-mercury performance core
 This wrapper makes bsnes-mercury performance core API compatible with libretro,
 thus allowing its use with libretro frontends, such as RetroArch.
 .
 This core is enabled to emulate only SNES hardware and its subsystems (from
 the command line only), like Super Game Boy, Satellaview and Sufami Turbo.
 .
 bsnes-mercury is a fork of higan, aiming to restore some useful features that
 have been removed, as well as improving performance a bit. Maximum accuracy is
 still uncompromisable; anything that affects accuracy is optional and off by
 default. The name is because metals are shiny, like the accuracy promises of
 bsnes, and mercury is the fastest metal.
 .
 This package comes with the bsnes-mercury performance core, which provides
 faster emulation at the cost of reduced accuracy, including problems with some
 games.

Package: libretro-core-info
Description-md5: 5147db7a01770b4563a0c1bda5df448d
Description-en: Info files for Libretro cores
 This package installs info files for all cores.

Package: libretro-desmume
Description-md5: 4fe41c3e595aa23b7e15d56bd43fcdf1
Description-en: Libretro wrapper for DeSmuME
 This wrapper makes DeSmuME core API compatible with libretro, thus allowing its
 use with libretro frontends, such as RetroArch.
 .
 DeSmuME attempts to emulate, as faithfully as possible, the Nintendo DS and
 Nintendo DS Lite handheld game consoles. Nintendo DSi is not supported.
 .
 Nintendo DS, Nintendo DS Lite and Nintendo DSi are registered trademarks of
 Nintendo of America Inc. DeSmuME is not affiliated with or endorsed by any of
 the companies mentioned.

Package: libretro-gambatte
Description-md5: 7fd14526c911f3d75b690e20ec97f647
Description-en: Libretro wrapper for Gambatte
 This wrappers makes Gambatte API compatible with libretro, thus allowing
 its use with libretro frontends, such as RetroArch.
 .
 Gambatte is a portable, open-source Game Boy and Game Boy Color emulator.
 .
 Game Boy and Game Boy Color are registered trademarks of Nintendo of
 America Inc. Gambatte is not affiliated with or endorsed by any of the
 companies mentioned.

Package: libretro-gtk-0.14-0
Description-md5: d3945b2b32e99f52b3527b2c2eba2720
Description-en: library for GTK+ libretro frontends
 retro-gtk is a library for developers to make GTK+ frontends for the libretro
 API.
 .
 libretro is an API for the creation of games and emulators. The emulators
 and platforms are distributed as "libretro cores".
 .
 Therefore, a developer can use retro-gtk to make a gaming app that should
 be able to play any game that can be played using libretro cores.

Package: libretro-gtk-0.14-dev
Description-md5: 53d6363438dee9b7f7e2a5463f89a7c3
Description-en: library for GTK+ libretro frontends - development files
 retro-gtk is a library for developers to make GTK+ frontends for the libretro
 API.
 .
 libretro is an API for the creation of games and emulators. The emulators
 and platforms are distributed as "libretro cores".
 .
 Therefore, a developer can use retro-gtk to make a gaming app that should
 be able to play any game that can be played using libretro cores.
 .
 This package contains the development files.

Package: libretro-mgba
Description-md5: f9edc73ad2073d663999041d35a8f6e6
Description-en: Libretro wrapper for mGBA
 This wrapper makes mGBA API compatible with libretro, thus allowing its use
 with libretro frontends, such as RetroArch.
 .
 mGBA is a new emulator for running Game Boy Advance games. It aims to be faster
 and more accurate than many existing Game Boy Advance emulators, as well as
 adding features that other emulators lack.
 .
 Game Boy Advance is a registered trademark of Nintendo of America Inc. mGBA is
 not affiliated with or endorsed by any of the companies mentioned.

Package: libretro-mupen64plus
Description-md5: 3fa3310b72266750c8f7cc7140a99e2d
Description-en: Libretro wrapper for Mupen64Plus
 This is a wrapper designed to make Mupen64Plus API-compatible with libretro,
 thus allowing its use with libretro frontends, such as Retroarch.
 .
 Mupen64Plus is a cross-platform plugin-based Nintendo 64 emulator which is
 capable of accurately playing many games. Included are four MIPS R4300 CPU
 emulators, with dynamic recompilers for 32-bit x86 and 64-bit amd64 systems,
 and necessary plugins for audio, graphical rendering (RDP), signal co-processor
 (RSP), and input. There is one included OpenGL video plugin, called RiceVideo.
 There are 3 other excellent video plugins being maintained by wahrhaft, called
 Arachnoid, Glide64, and Z64.
 .
 Nintendo 64 is a registered trademark of Nintendo of America Inc. Mupen64Plus
 is not affiliated with or endorsed by any of the companies mentioned.

Package: libretro-nestopia
Description-md5: fd0bebeb73a2d0ad03a57d71b515e585
Description-en: libretro wrapper for Nestopia
 Nestopia is a cycle-accurate NES/Famicom emulator. It has a high
 compatibility rate and support for many peripherals and input
 devices.
 .
 This package contains a wrapper allowing the Nestopia engine to be
 used with libretro frontends such as RetroArch.

Package: libreturn-multilevel-perl
Description-md5: 8f25b72b95c3aeb6759d34c3b85b9684
Description-en: Perl module to enable returning from a nested call stack
 Return::MultiLevel provides a way to return immediately from a deeply
 nested call stack. This is similar to exceptions, but exceptions don't
 stop automatically at a target frame (and they can be caught by
 intermediate stack frames). In other words, this is more like
 setjmp(3)/longjmp(3) than die.

Package: libreturn-type-perl
Description-md5: ea08daaf901d35ca1ea3ee68774c8f55
Description-en: specify a return type for a function (optionally with coercion)
 Return::Type allows you to specify a return type for your subs. Type
 constraints from any Type::Tiny, MooseX::Types or MouseX::Types type
 library are supported.

Package: libreverseproxy-formfiller-perl
Description-md5: b8115f209c7728d2d152cd6aa400ae52
Description-en: ModPerl module to fill and submit any html form
 ReverseProxy::FormFiller makes an Apache server, positioned as a frontal
 server or as a reverse-proxy, fill and (possibly) submit html forms in place
 of users.
 .
 This is particularly intended for authentication forms, if you want users to
 be authenticated with some account, but if you don't want them to know and
 type any password. But it also works with any html POST form.
 .
 ReverseProxy::FormFiller is based on Apache2 mod_perl filters. So you have to
 enable mod_perl.

Package: librg-blast-parser-perl
Description-md5: a173e9b812f45f91a3a23ddf37953dd2
Description-en: very fast NCBI BLAST parser - binding for Perl
 This package contains Perl binding for a very fast C++ library that parses
 the default output of NCBI BLAST programs.  BLAST results are returned in a
 convenient hash structure.
 .
 Evaluated on a very small test set, this parser is considerably faster
 than Zerg::Report from libzerg-perl.

Package: librg-exception-perl
Description-md5: bbb7429e9fa358647471fb276d8a4cb9
Description-en: RG::Exception Perl module
 This package provides the RG::Exception Perl module, required by certain
 tools from the Rost Lab.
 .
 The following exceptions are implemented:
  * RG::Exception
  * RG::Exception::NotImplemented
  * RG::Exception::SyntaxError

Package: librg-reprof-bundle-perl
Description-md5: c445f7550b7b4d99a2f06d1b2366079b
Description-en: protein secondary structure and accessibility predictor (perl module)
 'reprof' is an improved implementation of 'prof', a popular protein secondary
 structure and accessibility predictor.  Prediction is either
 done from protein sequence alone or from an alignment - the latter should be
 used for optimal performance.
 .
 This package provides the perl modules implementing 'reprof' along with the
 necessary data files.

Package: librg-utils-perl
Description-md5: a765edbb5ac993734641cc3416d1c853
Description-en: parsers and format conversion utilities used by (e.g.) profphd
 This package contributes to the PredictProtein server for the automated
 structural annotation of protein sequences. It features as series of conversion
 tools like:
 .
  * blast2saf.pl
  * blastpgp_to_saf.pl
  * conv_hssp2saf.pl
  * copf.pl
  * hssp_filter.pl
  * safFilterRed.pl
 .
 which are supported by the modules:
 .
  * RG:Utils::Conv_hssp2saf
  * RG:Utils::Copf
  * RG:Utils::Hssp_filter

Package: librgxg-dev
Description-md5: 884ab2965991bc650b14393bb55f3586
Description-en: development files and documentation for librgxg
 librgxg is a C library to generate (extended) regular expressions.
 .
 It can be useful to generate (extended) regular expressions to match for
 instance a specific number range (e.g. 0 to 31 or 00 to FF) or all addresses
 of a CIDR block (e.g. 192.168.0.0/24 or 2001:db8:aaaa::/64).
 .
 This package contains the development files (i.e. includes, static library,
 manual pages) that allow one to build software which uses librgxg.

Package: librgxg0
Description-md5: e620c598b3f5a3165589baf21b8f4d86
Description-en: C library to generate regular expressions
 librgxg is a C library to generate (extended) regular expressions.
 .
 It can be useful to generate (extended) regular expressions to match for
 instance a specific number range (e.g. 0 to 31 or 00 to FF) or all addresses
 of a CIDR block (e.g. 192.168.0.0/24 or 2001:db8:aaaa::/64).
 .
 This package contains the C runtime libraries.

Package: librheolef-dev
Description-md5: b57cb7ed615e9036ae6ab40459f7f80f
Description-en: efficient Finite Element environment - development files
 Rheolef is a computer environment that serves as a convenient laboratory for
 computations in applied mathematics involving finite element-like methods.
 It provides a set of commands and C++ algorithms and containers.
 .
 Most basically, containers cover the classic graph data structure for sparse
 matrix formats and finite element meshes. At a higher level of abstraction,
 they can handle approximate finite element spaces, discrete fields.
 Flexible and powerful expressions are used to specify bilinear forms.
 .
 Current applications include:
  * massively distributed memory finite element environment, based on MPI;
  * elasticity, Stokes and Navier-Stokes problems in 2D and 3D;
  * complex fluids applications: viscoplasticity, viscoelasticity, wall slip;
  * nonlinear problems with fixed-point, Newton and continuation methods;
  * high order polynomials, mixed elements and discontinuous Galerkin methods;
  * auto-adaptive mesh approaches;
  * axisymmetric problems;
  * multi-regions and variable coefficient problems.
 .
 This package provides the headers required for development.

Package: librheolef1
Description-md5: 1b80165773fd20d001546830b210c8f7
Description-en: efficient Finite Element environment - shared library
 Rheolef is a computer environment that serves as a convenient laboratory for
 computations in applied mathematics involving finite element-like methods.
 It provides a set of commands and C++ algorithms and containers.
 .
 Most basically, containers cover the classic graph data structure for sparse
 matrix formats and finite element meshes. At a higher level of abstraction,
 they can handle approximate finite element spaces, discrete fields.
 Flexible and powerful expressions are used to specify bilinear forms.
 .
 Current applications include:
  * massively distributed memory finite element environment, based on MPI;
  * elasticity, Stokes and Navier-Stokes problems in 2D and 3D;
  * complex fluids applications: viscoplasticity, viscoelasticity, wall slip;
  * nonlinear problems with fixed-point, Newton and continuation methods;
  * high order polynomials, mixed elements and discontinuous Galerkin methods;
  * auto-adaptive mesh approaches;
  * axisymmetric problems;
  * multi-regions and variable coefficient problems.
 .
 This package provides the shared library.

Package: librhino-java
Description-md5: 56304b110a7e11df7b042a8bec6b6f22
Description-en: Libraries for rhino Java Script Engine
 Rhino is an implementation of the JavaScript language written
 entirely in Java. It is typically embedded into Java applications to
 provide scripting to end users.
 .
 This package contains only Java library and no interpreter. For
 Rhino interpreter, you install rhino package.

Package: librhino-java-doc
Description-md5: 8acc09ff13d5854b58d6cf75b621356e
Description-en: Documentation for rhino Java Script Engine
 Rhino is an implementation of the JavaScript language written
 entirely in Java. It is typically embedded into Java applications to
 provide scripting to end users.
 .
 This is the documentation, including API JavaDoc, for the Rhino
 JavaScript engine.

Package: libriddley-clojure
Description-md5: 06ba08afe52e6c9d8bafd6a94f921ec8
Description-en: code walking library for Clojure
 Riddley provides a correct `riddley.walk/macroexpand-all`, which preserves the
 binding information in `&env` and expands inlined functions, and
 `riddley.walk/walk-exprs`, which is a general mechanism for code walking and
 transformation.

Package: libriemann-client-dev
Description-md5: 8c0efc85c22252e4a8d15ba28eaa88a6
Description-en: Development files for the Riemann C client library
 The riemann-c-client library provides a C language client, with a
 simple but convenient API, to connect to Riemann, send events and run
 queries against it
 .
 This package is needed to compile programs linked against
 librliemann-client0, as only this includes the header files and
 static libraries needed for compiling.

Package: libriemann-client0
Description-md5: f862699266634bf228710b82bfc96b09
Description-en: C language client library for the Riemann event stream processor
 Riemann is a network event stream processor, intended for analyitics,
 metrics and alerting; and to glue various monitoring systems together.
 .
 This library provides a C language client, with a simple but
 convenient API, to connect to Riemann, send events and run queries
 against it.

Package: librime-bin
Description-md5: 670bc074a8b52a9a9d489d4814a250b2
Description-en: Rime Input Method Engine - utilities
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the executable utilities of RIME.

Package: librime-data
Description-md5: a9e4e0247c834314946e877e0e5772aa
Description-en: Rime Input Method Engine, the schema data
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the schema data of RIME.

Package: librime-data-array30
Description-md5: 1ca7ff69de9f71a0abe24ab6840216ea
Description-en: RIME schema data - array30
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the array30 schema data of RIME.

Package: librime-data-bopomofo
Description-md5: d4f72f8bdef729948ba33dcfd0a65f77
Description-en: RIME schema data - Bopomofo (a.k.a Zhu Yin)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the bopomofo schema data of RIME.

Package: librime-data-cangjie5
Description-md5: a77e7261fc193f20b871a42c1bdf002a
Description-en: RIME schema data - Cangjie5
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the cangjie5 schema data of RIME.

Package: librime-data-combo-pinyin
Description-md5: 68ccf00583396033b89c302275fb1408
Description-en: RIME schema data - Combo Pinyin (a.k.a Gong Bao Pin Yin)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the combo pinyin schema data of RIME.

Package: librime-data-double-pinyin
Description-md5: d888840d6de27ebf62b3aec4a67b9209
Description-en: RIME schema data - Double Pinyin (a.k.a Zi Ran Ma Shuang Pin)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the double pinyin schema data of RIME.

Package: librime-data-emoji
Description-md5: 63944cb10683ccd286450e2f911414dc
Description-en: RIME schema data - Emoji
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the Emoji schema data of RIME.

Package: librime-data-ipa-xsampa
Description-md5: 3e04ba864aebf76e1a74a8365bafbbf5
Description-en: RIME schema data - X-SAMPA
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the X-SAMPA schema data of RIME.

Package: librime-data-jyutping
Description-md5: 804b3ff3d8fa3d11a7f9763d1b42c577
Description-en: RIME schema data - jyutping (a.k.a Cantonese)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the jyutping schema data of RIME.

Package: librime-data-luna-pinyin
Description-md5: 7a95165f1fa65eadb931d0b62f84f89a
Description-en: RIME schema data - Luna Pinyin
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the luna pinyin schema data of RIME.

Package: librime-data-pinyin-simp
Description-md5: 515487122beeec4a761814914fa340f8
Description-en: RIME schema data - Pinyin Simp (a.k.a Xiu Zheng Jian Hua Pin Yin)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the pinyin simp schema data of RIME.

Package: librime-data-quick5
Description-md5: c238b04a30f22b4750e53dcb4c8d1883
Description-en: RIME schema data - quick5
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the quick5 schema data of RIME.

Package: librime-data-sampheng
Description-md5: a92cb5970e2b6d86d1a3abbf032f1cf0
Description-en: RIME schema data - sampheng (a.k.a Zhong Gu San Pin)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the sampheng schema data of RIME.

Package: librime-data-scj6
Description-md5: e3e660c483105aa8fa437c3311a4dcc6
Description-en: RIME schema data - scj6 (a.k.a Fast Cangjie IM 6)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the scj6 schema data of RIME.

Package: librime-data-soutzoe
Description-md5: 434fd6deb1715bb018b9b682dd07dc0b
Description-en: RIME schema data - soutzoe
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the soutzoe schema data of RIME.

Package: librime-data-stenotype
Description-md5: 02febd80b9f23954f96e40bb3983db9b
Description-en: RIME schema data - stenotype
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the stenotype schema data of RIME.

Package: librime-data-stroke
Description-md5: 58e574b03a85ffef8a881a759a054f7d
Description-en: RIME schema data - Stroke
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the stroke schema data of RIME.

Package: librime-data-terra-pinyin
Description-md5: 9001481ff1e224fc0e3df5fad334fd40
Description-en: RIME schema data - Terra Pinyin (a.k.a Earth Pinyin)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the terra pinyin schema data of RIME.

Package: librime-data-wubi
Description-md5: a5926cbd189a7b3268e052a0adc58223
Description-en: RIME schema data - Wubi
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the wubi schema data of RIME.

Package: librime-data-wugniu
Description-md5: 5990257230e748b8f53718bc64314dd5
Description-en: RIME schema data - wugniu (a.k.a Shanghai Native Language)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the wugniu schema data of RIME.

Package: librime-data-zyenpheng
Description-md5: 2743a223d75ac85f8f3d521afbb307d3
Description-en: RIME schema data - zyenpheng (a.k.a Medieval Chinese)
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the zyenpheng schema data of RIME.

Package: librime-dev
Description-md5: 5a303b53e5db9bc1c2de0e9930e12592
Description-en: Rime Input Method Engine, the core library - development files
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the development files of RIME.

Package: librime1
Description-md5: f5e86f1f1a251acdb3861a6e84a727fb
Description-en: Rime Input Method Engine - core library
 RIME is the acronym of Rime Input Method Engine.
 .
 RIME is a lightweight, extensible input method engine supporting various input
 schematas including glyph-based input methods, romanization-based input methods
 as well as those for Chinese dialects. It has the ability to compose phrases
 and sentences intelligently and provide very accurate traditional Chinese
 output. RIME's cross-platform core library is written in C++, and can work
 consistently on different platforms with OS-specific wrappers.
 .
 This package provides the core library of RIME.

Package: librinci-perl
Description-md5: 976d9a9cf37edbdafe9d88b0d595faaa
Description-en: module that provides a language-neutral metadata for your code
 Rinci is a set of extensible, language-neutral metadata
 specifications for your code. Rinci allows various helper tools, from code
 generator to web middleware to documentation generator to other protocols, to
 act on your code, making your life easier as a programmer.
 .
 Rinci is geared towards dynamic scripting languages like Perl, Python, Ruby,
 PHP, JavaScript, but is not limited to those languages.

Package: libring-anti-forgery-clojure
Description-md5: e2f28b399d2e04c71d1c458d56c9eb66
Description-en: Ring middleware to prevent CSRF attacks
 ring-anti-forgery is a Ring middleware that prevents CSRF attacks via
 a randomly-generated anti-forgery token. By default, any request that isn't a
 HEAD or GET request will require an anti-forgery token, or an "access denied"
 response will be returned. The token is bound to the session, and accessible
 via the "anti-forgery-token" variable.

Package: libring-codec-clojure
Description-md5: 5e7465f86fc05ca3a602df4ab136ae67
Description-en: Clojure library for encoding and decoding data
 ring-codec provides functions for encoding and decoding data into formats
 commonly used in web applications:
 .
  * percent-encoding/decoding
  * url-encoding/decoding
  * base64 encoding/decoding
  * form encoding/decoding

Package: libring-core-clojure
Description-md5: 3367586f84a99dd955e73027782e067b
Description-en: Clojure web applications library
 Ring is a Clojure web applications library inspired by Python's WSGI
 and Ruby's Rack. By abstracting the details of HTTP into a simple,
 unified API, Ring allows web applications to be constructed of modular
 components that can be shared among a variety of applications, web
 servers, and web frameworks.
 .
 This package contains the core Ring library.

Package: libring-defaults-clojure
Description-md5: 240b8664d707ce762b3dd81fb667a4e8
Description-en: Ring middleware that provides sensible defaults
 Knowing what middleware to add to a Ring application, and in what
 order, can be difficult and prone to error.
 .
 This library attempts to automate the process, by providing sensible
 and secure default configurations of Ring middleware for both websites
 and HTTP APIs.

Package: libring-headers-clojure
Description-md5: b610698446b455c2727ad3927bed87c9
Description-en: Ring middleware for common response headers
 ring-headers is a Ring middleware collection for adding and manipulating
 common response headers. It provides middleware for:
 .
  * replacing relative redirects with absolute redirects. Useful
    for clients that do not yet implement RFC 7231.
  * automatically adding a charset to the content-type header in
    response maps.
  * handling headers set by HTTP proxies.
  * adding various 'X-' response headers.

Package: libring-jetty-adapter-clojure
Description-md5: 90716107ac83fb01d0db43321b21d497
Description-en: Clojure web applications library - Jetty adapter
 Ring is a Clojure web applications library inspired by Python's WSGI
 and Ruby's Rack. By abstracting the details of HTTP into a simple,
 unified API, Ring allows web applications to be constructed of modular
 components that can be shared among a variety of applications, web
 servers, and web frameworks.
 .
 This package contains the Ring Jetty adapter.

Package: libring-mock-clojure
Description-md5: 761c1804fdf0e03311611b8d8a0def52
Description-en: library for creating mock Ring request maps
 Ring-mock is a library for creating mock Ring request maps. It is primarily
 used for testing applications using Ring.

Package: libring-servlet-clojure
Description-md5: 61b05978db73a44d271e648adaf37022
Description-en: Clojure web applications library - servlet utilities
 Ring is a Clojure web applications library inspired by Python's WSGI
 and Ruby's Rack. By abstracting the details of HTTP into a simple,
 unified API, Ring allows web applications to be constructed of modular
 components that can be shared among a variety of applications, web
 servers, and web frameworks.
 .
 This package contains the Ring servlet utility library.

Package: libring-ssl-clojure
Description-md5: e404dbc424959e49ddbbe60d6d01dfea
Description-en: Ring middleware for managing HTTPS requests
 This library is a collection of Ring middlewares for managing HTTPS requests.
 It includes middlewares for:
 .
   * parsing the X-Forwarded-Proto header
   * redirecting HTTP requests to HTTPS
   * adding the Strict-Transport-Security response header

Package: libripoff-dev
Description-md5: 321ebfbc99bd8204fdf07165fd34e586
Description-en: Development files for ripoff
 RipOff is a GTK+ based CD Ripper for Linux that sports a simple interface,
 CDDB lookups, and a plugin-based encoder architecture.
 .
 This package contains the development files distributed with ripoff.

Package: libripoff0
Description-md5: cdb16e556ddd4a632f4509f19fac06e8
Description-en: The ripoff library
 RipOff is a GTK+ based CD Ripper for Linux that sports a simple interface,
 CDDB lookups, and a plugin-based encoder architecture.
 .
 This package contains the shared library for ripoff framework.

Package: librivescript-perl
Description-md5: 967616e0b34bec04b1a53914238e8c08
Description-en: simple trigger/response language primarily used for chatbots
 RiveScript is a simple trigger/response language primarily used for the
 creation of chatting robots. It's designed to have an easy-to-learn syntax
 but provide a lot of power and flexibility.

Package: librlog-dev
Description-md5: 51df3ea3de53b000f96ae290fac50faf
Description-en: flexible message logging library - development files
 RLog library provides a flexible message logging facility for C++ programs and
 libraries. It is meant to be fast enough to live in production code. RLog
 provides macros which are similar to Qt's debug macros, which are similar
 to simple printf() statements
 .
 This package provides development files and API documentation.

Package: librlog5v5
Description-md5: 77b7d329451f9d5c75da62b6edda8421
Description-en: flexible message logging library
 RLog provides a flexible message logging facility for C++ programs and
 libraries. It is meant to be fast enough to live in production code.

Package: librlottie-dev
Description-md5: 67dcd1d254f73b409922bee5c8a424b7
Description-en: library for rendering vector based animations and art (development headers)
 Lottie loads and renders animations and vectors exported in the bodymovin JSON
 format. Bodymovin JSON can be created and exported from After Effects with
 bodymovin, Sketch with Lottie Sketch Export, and from Haiku.
 .
 For the first time, designers can create and ship beautiful animations without
 an engineer painstakingly recreating it by hand. Since the animation is backed
 by JSON they are extremely small in size but can be large in complexity.
 .
 This package provides public C/C++ headers.

Package: librlottie0-1
Description-md5: cb50bc5f366ce6137b521bf0635cfc37
Description-en: library for rendering vector based animations and art
 Lottie loads and renders animations and vectors exported in the bodymovin JSON
 format. Bodymovin JSON can be created and exported from After Effects with
 bodymovin, Sketch with Lottie Sketch Export, and from Haiku.
 .
 For the first time, designers can create and ship beautiful animations without
 an engineer painstakingly recreating it by hand. Since the animation is backed
 by JSON they are extremely small in size but can be large in complexity.

Package: librnd-dev
Description-md5: 4c2d65de580eeb7d9487937353857206
Description-en: pcb-rnd library, headers.
 HID, polygons, infrastructure for 3rd party applications.

Package: librnd2
Description-md5: 688ab407e93748c2b819e5ec67abb1ee
Description-en: pcb-rnd library, binaries.
 HID, polygons, infrastructure for 3rd party applications.

Package: librngom-java
Description-md5: 296a7d897a20320238aeb2f4b5daaef5
Description-en: Java library for parsing RELAX NG grammars
 RNGOM is an open-source Java library for parsing RELAX NG grammars.
 .
 In particular, RNGOM can:
  * parse the XML syntax
  * parse the compact syntax
  * check all the semantic restrictions as specified in the specification
  * parse RELAX NG into application-defined data structures
  * build a default data structure based around the binarized simple syntax
    or another data structure that preserves more of the parsed information
  * parse foreign elements/attributes in a schema
  * parse comments in a schema

Package: libroar-compat-tools
Description-md5: f515a46c5cc0046324d707110b0a9b75
Description-en: drop-in replacements for other sound libraries (tools package)
 To get programs which use the PulseAudio or YIFF API running, you can install
 this package and replace the appropriate libraries.
 .
 This package contains the required tools for the libroar-compat package.

Package: libroar-compat2
Description-md5: 0e0d6cec2765b20a4e44c4bc87eee7a3
Description-en: drop-in replacements for other sound libraries
 RoarAudio is a system for audio mixing. Its main purpose is to mix audio from
 different clients before sending it to its outputs (for example a soundcard).
 It is completely network transparent (UNIX sockets, TCP/IP, DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 To get programs which use the PulseAudio or YIFF API running, you can install
 this package and replace the appropriate libraries.

Package: libroar-dev
Description-md5: 24cf6947bfaaa29f473d82b515932858
Description-en: header files and documentation for the RoarAudio libraries
 RoarAudio is a server for audio mixing. Its main purpose is to mix audio from
 different clients before sending it to its outputs (for example a soundcard).
 It is completely network transparent (UNIX sockets, TCP/IP, DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 This packet consists of the following libraries:
 libroar: The RoarAudio main library. It contains code for talking to roard,
 virtual IO functions useful not only for audio applications, memory
 management functions like buffers, lists, stacks.
 .
 libroardsp: Digital Signal Processing library. It contains code to work with
 PCM signals including simple filters, converters and code to work with some
 (realtime) codecs.
 .
 libroareio: This is the RoarAudio Extended IO library. It contains code for
 talking to extended IO. Currently it contains code to talk to soundcards
 without roard. This is not meant to be used by other applications directly.
 .
 libroarlight: Light Control support library. It contains code to support
 the usage of the light control subsystem.
 .
 libroarmidi: MIDI subsystem support library. It contains code to support
 the usage of the MIDI subsystem.
 .
 This package contains the development headers for the libroar library.

Package: libroar-plugins-universal
Description-md5: 8f6358a05e04d7f7b2e72cb7d3854c52
Description-en: Collection of host-independent plugins for libroar
 This is a collection of host application independent plugins for libroar.
 Host application independent plugins are plugins which can be loaded in
 all host applications (e.g. roard, roarpluginrunner and roarplaylistd).
 .
 This collection also includes plugins helpful for debugging the plugin
 interface of applications using libroar's plugin interface.

Package: libroar2
Description-md5: ff50f88ed9e3c2fad44259b10082014b
Description-en: foundation libraries for the RoarAudio sound server and clients
 RoarAudio is a server for audio mixing. Its main purpose is to mix audio from
 different clients before sending it to its outputs (for example a soundcard).
 It is completely network transparent (UNIX sockets, TCP/IP, DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 This packet consists of the following libraries:
 libroar: The RoarAudio main library. It contains code for talking to roard,
 virtual IO functions useful not only for audio applications, memory
 management functions like buffers, lists, stacks.
 .
 libroardsp: Digital Signal Processing library. It contains code to work with
 PCM signals including simple filters, converters and code to work with some
 (realtime) codecs.
 .
 libroareio: This is the RoarAudio Extended IO library. It contains code for
 talking to extended IO. Currently it contains code to talk to soundcards
 without roard. This is not meant to be used by other applications directly.
 .
 libroarlight: Light Control support library. It contains code to support
 the usage of the light control subsystem.
 .
 libroarmidi: MIDI subsystem support library. It contains code to support
 the usage of the MIDI subsystem.

Package: libroaring-dev
Description-md5: b16b3be65c513bbcca44859b8da66b34
Description-en: Portable Roaring bitmaps in C (and C++) (headers)
 Bitsets, also called bitmaps, are commonly used as fast data structures.
 Unfortunately, they can use too much memory. To compensate, we often use
 compressed bitmaps.
 Roaring bitmaps are compressed bitmaps which tend to outperform conventional
 compressed bitmaps such as WAH, EWAH or Concise.
 .
 This package provides library headers.

Package: libroaring0
Description-md5: 50c3a27afee744cfe6f53a1f647732d0
Description-en: Portable Roaring bitmaps in C (and C++)
 Bitsets, also called bitmaps, are commonly used as fast data structures.
 Unfortunately, they can use too much memory. To compensate, we often use
 compressed bitmaps.
 Roaring bitmaps are compressed bitmaps which tend to outperform conventional
 compressed bitmaps such as WAH, EWAH or Concise.

Package: librobert-hooke-clojure
Description-md5: af64fb55ec943a0b404cbc20a20fd6c6
Description-en: Function wrapper library for Clojure
 Robert Hooke is a Clojure library that provides a flexible, composable
 mechanism to extend functions after they have been defined.
 .
 Hooks can be used to change the behaviour of the functions they wrap in many
 ways, for example:
 .
  * conditional execution
  * modify arguments
  * add side effects
  * return different values
 .
 Hooke is inspired by Emacs Lisp's defadvice and clojure.test fixtures.

Package: librobot-state-publisher-dev
Description-md5: 116bafb2f6f32d509e9fb901a20516dc
Description-en: development files for Robot OS robot_state_publisher library
 This package is part of Robot OS (ROS). This package allows you to publish the
 state of a robot to tf. Once the state gets published, it is available to all
 components in the system that also use tf. The package takes the joint angles
 of the robot as input and publishes the 3D poses of the robot links, using a
 kinematic tree model of the robot.
 .
 This package contains the development files for the library.

Package: librobot-state-publisher-solver0d
Description-md5: ee7e96ae58fdd0ef6224145f5386c9e6
Description-en: Robot OS robot_state_publisher solver library
 This package is part of Robot OS (ROS). This package allows you to publish the
 state of a robot to tf. Once the state gets published, it is available to all
 components in the system that also use tf. The package takes the joint angles
 of the robot as input and publishes the 3D poses of the robot links, using a
 kinematic tree model of the robot.
 .
 This package contains the library.

Package: librobust-http-client-java
Description-md5: d6b3ccbe185fd598c18010bedc1cd8ee
Description-en: robust HTTP client library for Java
 This library provides a Java InputStream implementation around a
 HTTP connection that automatically reconnects if the connection
 fails during communication.

Package: librobust-http-client-java-doc
Description-md5: d6ee4de0d3f82ff338be46086210c607
Description-en: Documentation for librobust-http-client-java
 This library provides a Java InputStream implementation around a
 HTTP connection that automatically reconnects if the connection
 fails during communication.
 .
 This package provides the API documentation for librobust-http-client-java.

Package: librocksdb-dev
Description-md5: fd1d53789e65063ab8d707c8ff361ab8
Description-en: persistent Key-Value Store for Flash and RAM Storage (development)
 C++ library providing an embedded key-value store, where keys and values are
 arbitrary byte streams. It was developed at Facebook based on LevelDB and
 provides backwards-compatible support for LevelDB APIs.
 .
 RocksDB is optimized for Flash with extremely low latencies. RocksDB uses a
 Log Structured Database Engine for storage, written entirely in C++.
 .
 RocksDB features highly flexible configuration settings that may be tuned to
 run on a variety of production environments, including pure memory, Flash,
 hard disks or HDFS. It supports various compression algorithms and good tools
 for production support and debugging.
 .
 Features:
   - Designed for application servers wanting to store up to a few terabytes
     of data on locally attached Flash drives or in RAM
   - Optimized for storing small to medium size key-values on fast storage --
     flash devices or in-memory
   - Scales linearly with number of CPUs so that it works well on ARM
     processors
 .
 This package contains libraries and header files for developing
 applications that use librocksdb .

Package: librocksdb5.17
Description-md5: d178a472e7f7b49538d13b54a710cbdb
Description-en: persistent Key-Value Store for Flash and RAM Storage
 C++ library providing an embedded key-value store, where keys and values are
 arbitrary byte streams. It was developed at Facebook based on LevelDB and
 provides backwards-compatible support for LevelDB APIs.
 .
 RocksDB is optimized for Flash with extremely low latencies. RocksDB uses a
 Log Structured Database Engine for storage, written entirely in C++.
 .
 RocksDB features highly flexible configuration settings that may be tuned to
 run on a variety of production environments, including pure memory, Flash,
 hard disks or HDFS. It supports various compression algorithms and good tools
 for production support and debugging.
 .
 Features:
   - Designed for application servers wanting to store up to a few terabytes
     of data on locally attached Flash drives or in RAM
   - Optimized for storing small to medium size key-values on fast storage --
     flash devices or in-memory
   - Scales linearly with number of CPUs so that it works well on ARM
     processors

Package: librole-basic-perl
Description-md5: dd0ad6ba57d901e040a3957458a9fea7
Description-en: simple implementation of roles
 Role::Basic provides a simple role implementation without using Moose.

Package: librole-commons-perl
Description-md5: 14276c8664972be4f9d0f7c132eebaf6
Description-en: roles that can be commonly used, for the mutual benefit of all
 Role::Commons is not yet another implementation of roles. It is a
 collection of generic, reusable roles that hopefully you will love to
 apply to your classes. These roles are built using Moo::Role, so
 automatically integrate into the Moose object system if you're using
 it, but they do not require Moose.
 .
 This package also provides the modules Object::AUTHORITY, Object::Tap
 and Authority::shared.

Package: librole-hasmessage-perl
Description-md5: 4eb1d6ffcb027d10938f99080852c371
Description-en: Moose roles to summarize the message of an object
 Role::HasMessage is a simple Moose role that provides a method called message
 that returns a string summarizing the message or event represented by an
 object.
 .
 This distribution also provides the Role::HasMessage::Errf module, which is
 an implementation of Role::HasMessage that uses String::Errf to format
 sprintf-like message strings. It adds a message_fmt attribute, initialized by
 the message argument.

Package: librole-identifiable-perl
Description-md5: 09f2545456a1e7609061247c3b9cbce5
Description-en: Moose roles to identify things
 The Role::Identifiable distribution provides several Moose roles for
 identifying things.
 .
 This distribution provides the following roles:
  * Role::Identifiable::HasIdent - Adds a required ident attribute that
    stores a simple string, meant to identify exceptions.
  * Role::Identifiable::HasTags - Adds the ability for your class and its
    composed parts (roles, superclasses) as well as instances of it to
    contribute to a pool of tags describing each instance.

Package: librole-rest-client-perl
Description-md5: 5fa1a0cfd9a1c81ecb114e9e756abf5a
Description-en: REST Client Role
 This REST Client role makes REST connectivity easy.
 Role::REST::Client will handle encoding and decoding when using
 the HTTP verbs GET, PUT, POST, DELETE, OPTIONS and HEAD.
 Role::REST::Client supports the json, x-www-form-urlencoded, xml
 and yaml encodings.

Package: libroman-perl
Description-md5: 969e5775386cf882386fabcb305ebacc
Description-en: Perl module for converting between Roman and Arabic numerals
 The Roman module provides some functions which help conversion of numeric
 notation between Roman and Arabic.
 .
 Domain of valid Roman numerals is limited to less than 4000, since proper
 Roman digits for the rest are not available in ASCII.

Package: libromana-perligata-perl
Description-md5: b14575af6018cc8aa0fa3c0de913f63f
Description-en: perl module for writing in Latin
 Using Filters, the Lingua::Romana::Perligata module makes it
 possible to write Perl programs in Latin.

Package: librome-java
Description-md5: 2dfdee29029b4198fa7e33a9524e6e39
Description-en: Java library to handle Atom and RSS feeds
 ROME is an set of open source Java tools for parsing, generating
 and publishing RSS and Atom feeds.
 .
 You can parse to an RSS object model, an Atom object model or an
 abstract SyndFeed model that can model either family of formats.

Package: librope-ocaml
Description-md5: e2e0bc3f621b08ff3446ce519f83b8ba
Description-en: ropes ("heavyweight strings") for OCaml (Runtime library)
 Ropes are a scalable string implementation: they are designed for efficient
 operation that involve the string as a whole such as concatenation and
 substring. This library implements ropes for OCaml. It is rich enough to
 replace strings.

Package: librope-ocaml-dev
Description-md5: 389f9a547203ee264a2761b035af9e3f
Description-en: ropes ("heavyweight strings") for OCaml (Development package)
 Ropes are a scalable string implementation: they are designed for efficient
 operation that involve the string as a whole such as concatenation and
 substring. This library implements ropes for OCaml. It is rich enough to
 replace strings.
 .
 This package contains the files that are necessary to compile OCaml code
 that uses the rope library.

Package: libropkg-perl
Description-md5: e874c95f6923ea0fd0679a53cd09069b
Description-en: general purpose classes for simba
 RoPkg consists from a Rsync module, a DB module and some base
 classes. The Rsync module parses rsync configuration
 and client log files, being able to generate new rsync configuration
 files without losing your comments or separator lines.
 The DB module implements a singleton database pool class which is able
 to connect to multiple databases at once and a database object
 (an object who can be loaded/saved from/to a database).
 .
 Homepage: http://simba.packages.ro/

Package: libros-rosgraph-msgs-dev
Description-md5: 87209c5519518e4250f8b3f853021072
Description-en: Messages relating to the Robot OS Computation Graph
 This package is part of Robot OS (ROS). rosgraph_msgs contains
 messages relating to the ROS Computation Graph. Most users are not
 expected to interact with the messages in this package, and it is
 strongly advised against. These messages are generally wrapped in
 higher level APIs.

Package: librosbag-dev
Description-md5: 6b316dca15f72846bc96ed05d504d6eb
Description-en: Tools for recording from and playing back to Robot OS topics
 This package is part of Robot OS (ROS). It is the development files
 for the rosbag library, which provides APIs for reading/writing bags
 (ROS message stores) in C++ and Python.

Package: librosbag-storage-dev
Description-md5: 1fcd552bbe2cc48056179c0370aa1ab4
Description-en: Development files for librosbag_storage
 This package is part of Robot OS (ROS). It is a set of tools for
 recording from and playing back ROS messages without relying on the
 ROS client library.
 .
 This package contains the development files for the library.

Package: librosbag-storage3d
Description-md5: 815723fb80e815adde5d9ae229c5da00
Description-en: Robot OS library for rosbag_storage
 This package is part of Robot OS (ROS). It is a set of tools for
 recording from and playing back ROS messages without relying on the
 ROS client library.
 .
 This package contains the library.

Package: librosbag3d
Description-md5: 5e9a032b1c09fcd35d83537c22d95418
Description-en: Library for recording from and playing back to Robot OS topics
 This package is part of Robot OS (ROS). It is the rosbag library,
 which provides APIs for reading/writing bags (ROS message stores) in
 C++ and Python. It is intended to be high performance and avoids
 deserialization and reserialization of the messages.
 .
 The related rosbag package provides a command-line tool for working
 with bags.

Package: librosconsole-bridge-dev
Description-md5: f5928bfc6c396280d0650718f44537e0
Description-en: Robot OS library for connecting console logging types
 This package is part of Robot OS (ROS). It contains the development
 files for librosconsole-bridge, which is a package used in
 conjunction with console_bridge and rosconsole for connecting
 console_bridge-based logging to rosconsole-based logging.

Package: librosconsole-bridge0d
Description-md5: 6099b1f24e7ece862151bdf0da1caf68
Description-en: Robot OS library for connecting console logging types
 This package is part of Robot OS (ROS). It contains a library used in
 conjunction with console_bridge and rosconsole for connecting
 console_bridge-based logging to rosconsole-based logging.

Package: librosconsole-dev
Description-md5: 06efb0a53f5505e1c7392abcd69ec69f
Description-en: Development files for librosconsole
 This package is part of Robot OS (ROS). It is the ROS console output
 library, a C++ package that supports console output and logging in
 roscpp. It provides a macro-based interface which allows both printf-
 and stream-style output. It also wraps log4cxx, which supports
 hierarchical loggers, verbosity levels and configuration-files.
 .
 This package contains the development files for the library.

Package: librosconsole3d
Description-md5: c10fee7d1cbf3f2a8dbe14d53a8c588e
Description-en: library for librosconsole
 This package is part of Robot OS (ROS). It is the ROS console output
 library, a C++ package that supports console output and logging in
 roscpp. It provides a macro-based interface which allows both printf-
 and stream-style output. It also wraps log4cxx, which supports
 hierarchical loggers, verbosity levels and configuration-files.
 .
 This package contains the library.

Package: libroscpp-core-dev
Description-md5: ecd2e0c97d91da6a1a1ec0e1b73215b3
Description-en: Development files for Robot OS roscpp-core
 This package is part of Robot OS (ROS). It contains the development
 files for roscpp_core which is an underlying library that supports
 roscpp message data types. It is a lightweight/minimal library that
 can easily be used in non-ROS-based projects.

Package: libroscpp-dev
Description-md5: 798c067b31c50827ab4351b956613dfd
Description-en: Robot OS development files for libroscpp
 This package is part of Robot OS (ROS). roscpp is a C++
 implementation of ROS. It provides a client library that enables C++
 programmers to quickly interface with ROS topics, services, and
 parameters.
 .
 roscpp is the most widely used ROS client library and is designed to be the
 high-performance library for ROS. ROS console output library.
 .
 This package contains the development files for the library.

Package: libroscpp-msg-dev
Description-md5: ebc58ca9c23f700e090338e01d94d504
Description-en: Robot OS header for roscpp messages
 This package is part of Robot OS (ROS). roscpp is a C++ implementation of ROS.
 It provides a client library that enables C++ programmers to quickly interface
 with ROS topics, services, and
 parameters.
 .
 roscpp is the most widely used ROS client library and is designed to be the
 high-performance library for ROS. ROS console output library.
 .
 This package contains the generated C++ headers.

Package: libroscpp-serialization0d
Description-md5: 95d2f420c621459cef66d2216d6bed69
Description-en: Robot OS library for roscpp serialization
 This package is part of Robot OS (ROS). It is a C++ library for
 serialization as described in MessagesSerializationAndAdaptingTypes.
 This package is a component of roscpp.

Package: libroscpp2d
Description-md5: f864266471b77050274d683291571388
Description-en: Robot OS client library
 This package is part of Robot OS (ROS). roscpp is a C++
 implementation of ROS. It provides a client library that enables C++
 programmers to quickly interface with ROS topics, services, and
 parameters.
 .
 roscpp is the most widely used ROS client library and is designed to be the
 high-performance library for ROS. ROS console output library.
 .
 This package contains the library.

Package: librose-datetime-perl
Description-md5: 895763525b86e8e13e2eb412d480e5cb
Description-en: collection of Perl modules for manipulating DateTime dates
 Rose::DateTime is a collection of Perl modules that provide a few convenience
 functions and objects for manipulating DateTime dates.
 .
 Rose::DateTime::Util contains a simple date parser and a slightly customized
 date formatter.
 .
 Rose::DateTime::Parser encapsulates a date parser with an associated default
 time zone.

Package: librose-db-object-perl
Description-md5: cb2d2e439102e097764fb1cae74764c0
Description-en: framework providing an extensible high-performance ORM
 Rose::DB::Object is a base class for objects that encapsulate a single row in
 a database table. By deriving objects from this module, you get a simple API
 for manipulating database tuples. This module inherits from, and follows the
 convention of, Rose::Object. See the manual for Rose::Object or the tutorial,
 Rose::DB::Object::Tutorial, for details.

Package: librose-db-perl
Description-md5: 97e6f3356a716e6b890f1018d4ff0a56
Description-en: Perl DBI wrapper and abstraction layer
 Rose::DB is a wrapper and abstraction layer for DBI-related functionality.
 Instead of dealing with "databases" that exist on "hosts" or are located via
 some vendor-specific addressing scheme, Rose::DB deals with "logical" data
 sources. It supports technologies like the Rose::DB::Object object-relational
 mapper system (see librose-db-object-perl for details).

Package: librose-object-perl
Description-md5: 2c916191cfc8b2550454dc1691ae0d68
Description-en: simple Perl object base class
 Rose::Class is a generic base class for classes. It provides a single class
 method (error), but may be expanded further in the future.
 .
 A class that inherits from Rose::Class is not expected to allow objects
 of that class to be instantiated, since the namespace for class and object
 methods is shared. For example, it is common for Rose::Object-derived classes
 to have error methods, but this would conflict with the Rose::Class method of
 the same name.

Package: librose-uri-perl
Description-md5: 515a9b68c18229e363f1e895252dd1f6
Description-en: module for an easy  manipulation of URI components
 Rose::URI perl module is an alternative to URI with more
 functionalities like:
  * a rich set of query string manipulation methods
  * query parameters with multiple values
  * usage of Apache's C-based URI parsing and HTML escaping functions
    when run in a mod_perl 1.x web server environment.
 .
 Rose::URI stores each URI "in pieces" (scheme, host, path, etc.) and
 then assembles those pieces when the entire URI is needed as a
 string. This technique is based on the assumption that the URI will be
 manipulated many more times than it is stringified. If this is not the
 case in your usage scenario, then URI may be a better alternative.
 .
 Rose::URI actually uses the URI class to do the heavy lifting of
 parsing URIs when not running in a mod_perl 1.x environment.

Package: libroslib-dev
Description-md5: f2887c1e767377181e900b8dfad9eb21
Description-en: development files for roslib (Robot OS)
 This package is part of Robot OS (ROS). It provides the base
 dependencies and support libraries for ROS. roslib contains many of
 the common data structures and tools that are shared across ROS
 client library implementations.
 .
 This package contains the development files for the library.

Package: libroslib0d
Description-md5: 03e5a7c61b838c2c278b7d730b4259c7
Description-en: library for roslib (Robot OS)
 This package is part of Robot OS (ROS). It provides the base
 dependencies and support libraries for ROS. roslib contains many of
 the common data structures and tools that are shared across ROS
 client library implementations.
 .
 This package contains the C library.

Package: libroslz4-1d
Description-md5: d2bbf239a9f1db4579c63601f61a4762
Description-en: library implementing lz4 for Robot OS
 This package is part of Robot OS (ROS). This is the C++
 implementation of the LZ4 streaming format. Large data streams are
 split into blocks which are compressed using the very fast LZ4
 compression algorithm.
 .
 This package contains the library.

Package: libroslz4-dev
Description-md5: 579659f3615f1f4e8207cbff78be6bf4
Description-en: development files for libroslz4
 This package is part of Robot OS (ROS). It contains the development
 files for the ROS implementation of the LZ4 streaming format. Large
 data streams are split into blocks which are compressed using the
 very fast LZ4 compression algorithm.

Package: librospack-dev
Description-md5: 4bf2a592825f2e31d10e20cbe1babc7e
Description-en: Robot OS package information library header files
 This package is part of Robot OS (ROS). rospack is a command-line
 tool for retrieving information about ROS packages available on the
 filesystem. It implements a wide variety of commands ranging from
 locating ROS packages in the filesystem, through listing available
 stacks, to calculating the dependency tree of stacks. It is also used
 in the ROS build system for calculating build information for
 packages.
 .
 This package contains the development files for the library.

Package: librospack0d
Description-md5: 43a72c54b5a3513241b2659e53d076da
Description-en: Robot OS package information library
 This package is part of Robot OS (ROS). rospack is a command-line
 tool for retrieving information about ROS packages available on the
 filesystem. It implements a wide variety of commands ranging from
 locating ROS packages in the filesystem, to listing available stacks,
 to calculating the dependency tree of stacks. It is also used in the
 ROS build system for calculating build information for packages.
 .
 This package contains the library.

Package: librostest-dev
Description-md5: 986f980a204f9f5f867bae6b618ad760
Description-en: Development files for rostest (Robot OS)
 This package is part of Robot OS (ROS). It contains the development
 files for the rostest framework. It's an extension that enables
 roslaunch files to be used as test fixtures. As a fully running
 system has more complex behaviors than an individual ROS node,
 this allows you to do full integration testing across multiple
 nodes. It is compatible with xUnit frameworks.

Package: librostime0d
Description-md5: f4270706bbccdd88f019cdd592a02ee0
Description-en: Robot OS library for time and duration
 This package is part of Robot OS (ROS). It contains Time and Duration
 implementations for C++ libraries, including roscpp. ROS has builtin
 time and duration primitive types, which roslib provides as the
 ros::Time and ros::Duration classes, respectively.

Package: librostlab-blast-doc
Description-md5: 4117db0d809dc5407c3c4af63e161f2e
Description-en: very fast C++ library for parsing the output of NCBI BLAST programs (doc)
 This package provides a very fast library for parsing the default output of
 NCBI BLAST programs into a C++ structure.
 .
 libzerg is faster, but it provides only lexing (i.e. it only returns pairs
 of token identifiers and token string values).  librostlab-blast uses a
 parser generated with bison on top of a flex-generated lexer very similar to
 that of libzerg.
 .
 This package contains html and pdf documentation.

Package: librostlab-blast0-dev
Description-md5: f9f4b225f587efca8a14bc238cde199f
Description-en: very fast C++ library for parsing the output of NCBI BLAST programs (devel)
 This package provides a very fast library for parsing the default output of
 NCBI BLAST programs into a C++ structure.
 .
 libzerg is faster, but it provides only lexing (i.e. it only returns pairs
 of token identifiers and token string values).  librostlab-blast uses a
 parser generated with bison on top of a flex-generated lexer very similar to
 that of libzerg.
 .
 This package contains files necessary for developing applications with
 librostlab-blast.

Package: librostlab-blast0v5
Description-md5: 0393081ba7d558876c2bb0f10e1bbcee
Description-en: very fast C++ library for parsing the output of NCBI BLAST programs
 This package provides a very fast library for parsing the default output of
 NCBI BLAST programs into a C++ structure.
 .
 libzerg is faster, but it provides only lexing (i.e. it only returns pairs
 of token identifiers and token string values).  librostlab-blast uses a
 parser generated with bison on top of a flex-generated lexer very similar to
 that of libzerg.
 .
 This package contains the shared library.

Package: librostlab-doc
Description-md5: 7e50dbd605ed7f0d13ab87ed7fa79830
Description-en: C++ library for computational biology (documentation)
 This library was developed by the Rost Lab.  The lab's research is
 driven by a conviction that protein and DNA sequences encode a
 significant core of information about the ultimate structure and
 function of genetic material and its gene products.
 .
 The library provides the following facilities:
  * current working directory resource
  * exception with stack backtrace
  * file lock resource
  * passwd and group structures for C++
  * effective uid and gid resource
  * rostlab::bio::seq class with stream input operator for FASTA format
  * umask resource
 .
 This package contains html documentation.

Package: librostlab3
Description-md5: 76dc5ccc01952c4a4563b99c6cbbc880
Description-en: C++ library for computational biology
 This library was developed by the Rost Lab.  The lab's research is
 driven by a conviction that protein and DNA sequences encode a
 significant core of information about the ultimate structure and
 function of genetic material and its gene products.
 .
 The library provides the following facilities:
  * current working directory resource
  * exception with stack backtrace
  * file lock resource
  * passwd and group structures for C++
  * effective uid and gid resource
  * rostlab::bio::seq class with stream input operator for FASTA format
  * umask resource
 .
 This package contains the shared library.

Package: librostlab3-dev
Description-md5: 523c2068ab04434d4e7fcdfc8d22f2a6
Description-en: C++ library for computational biology (development)
 This library was developed by the Rost Lab.  The lab's research is
 driven by a conviction that protein and DNA sequences encode a
 significant core of information about the ultimate structure and
 function of genetic material and its gene products.
 .
 The library provides the following facilities:
  * current working directory resource
  * exception with stack backtrace
  * file lock resource
  * passwd and group structures for C++
  * effective uid and gid resource
  * rostlab::bio::seq class with stream input operator for FASTA format
  * umask resource
 .
 This package contains files necessary for developing applications with
 librostlab.

Package: librouter-simple-perl
Description-md5: 84dceee17e8e89445cd2922072204c42
Description-en: simple HTTP router for web applications
 Router::Simple is a simple router class. Its main purpose is to serve
 as a dispatcher for web applications. Router::Simple can match against
 PSGI $env directly, which means it's easy to use with PSGI supporting
 web frameworks.

Package: libroutermanager-dev
Description-md5: 75afadd8babf3a30a722d2599edfa682
Description-en: Home router management tool - development files
 Journal, Fax-Software and Call-Monitor for AVM FRITZ!Box or compatible
 routers.
 .
 This package contains the development libraries and header files, which are
 required to build external extensions.

Package: libroutermanager0
Description-md5: fbd6f21590ef2e093764310b63fdf67f
Description-en: Home router management tool - shared library
 Journal, Fax-Software and Call-Monitor for AVM FRITZ!Box or compatible
 routers.
 .
 This package contains the shared libraries, which are used by the main program
 and its plugins.

Package: libroutino-dev
Description-md5: 966d0e8199fe023d28b9d9ec8eea72ee
Description-en: Routino library development headers
 Routino is an application for finding a route between two points
 using the dataset of topographical information collected by
 OpenStreetMap
 .
 This package provides the routino headers.

Package: libroutino-slim0
Description-md5: aae571993073d113492f8a8df58be59c
Description-en: Routino slim routing library
 Routino is an application for finding a route between two points
 using the dataset of topographical information collected by
 OpenStreetMap
 .
 This package provides the libroutino-slim shared library.

Package: libroutino0
Description-md5: 9899bcbaa9c839f76b78255177ddca63
Description-en: Routino routing library
 Routino is an application for finding a route between two points
 using the dataset of topographical information collected by
 OpenStreetMap
 .
 This package provides the libroutino shared library.

Package: librpc-xml-perl
Description-md5: 689e59d74d528a279b1e895307e173e5
Description-en: Perl implementation of the XML-RPC protocol
 RPC::XML is a Perl module that implements the XML-RPC protocol. It provides
 classes for sample client and server implementations, a server designed as
 an Apache location-handler, and a suite of data-manipulation classes that
 are used by them.

Package: librpcsecgss-dev
Description-md5: 6543ed6c6ebd65567455083dbfb98c86
Description-en: header files and docs for librpcsecgss
 Contains the header files and documentation for librpcsecgss
 for use in developing applications that use the rpcsecgss library.
 .
 rpcsecgss allows secure rpc communication using the rpcsec_gss protocol.

Package: librpcsecgss3
Description-md5: 718c44300eb1f20303460c6192e6a26a
Description-en: allows secure rpc communication using the rpcsec_gss protocol
 librpcsecgss allows secure rpc communication using the rpcsec_gss protocol.

Package: librplay-perl
Description-md5: 78951ec24704b3dffc10ff7dc9801a3b
Description-en: rplay network audio system - perl modules
 Contains perl modules which aid in creating rplay-aware perl scripts.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: librplay3
Description-md5: df58351023e9232ffecf4e15af55d602
Description-en: rplay network audio system - shared libraries
 Contains shared libraries for the rplay network audio system.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: librplay3-dev
Description-md5: 917bc0a2cbf1ea0bd796dc9e0ad3b65a
Description-en: rplay network audio system - development libraries
 Contains header files and development libraries for the rplay network
 audio system.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: librpm-dev
Description-md5: b61ce5bb0129a6b93ca353a53ac9c1e2
Description-en: RPM shared library, development kit
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package provides the development kit, containing static
 libraries and header files necessary to build programs that use
 librpm.

Package: librpm8
Description-md5: 43dcb3c7127ac155f80bce6eb02448dc
Description-en: RPM shared library
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This library allows programs to make use of an RPM database or RPM packages
 without going through the program rpm.

Package: librpmbuild8
Description-md5: 6c8fdac9007ad1483cb5ded0c7a78d4e
Description-en: RPM build shared library
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This library provides an interface for building RPM packages.

Package: librpmem-dev
Description-md5: 84cd3ab2f3b1d13055ac6037f13ea902
Description-en: development files for librpmem1
 librpmem provides low-level support for remote access to persistent memory
 (pmem) utilizing RDMA-capable RNICs.
 .
 This package contains libraries and header files used for linking programs
 against librpmem.

Package: librpmem1
Description-md5: 81b26f0115c83f9c1180eb0bf159c516
Description-en: Persistent Memory remote access support library
 librpmem provides low-level support for remote access to persistent memory
 (pmem) utilizing RDMA-capable RNICs.  The library can be used to replicate
 remotely a memory region over RDMA protocol.  It utilizes appropriate
 persistency mechanism based on remote node’s platform capabilities.  The
 librpmem utilizes the ssh client to authenticate a user on remote node and for
 encryption of connection’s out-of-band configuration data.
 .
 This library is for applications that use remote persistent memory directly,
 without the help of any library-supplied transactions or memory allocation.
 Higher-level libraries that build on libpmem are available and are recommended
 for most applications.

Package: librpmem1-debug
Description-md5: ee11cc76386ec3302470d7d9ca97fcbe
Description-en: Persistent Memory remote access support library — debug build
 librpmem provides low-level support for remote access to persistent memory
 (pmem) utilizing RDMA-capable RNICs.  The library can be used to replicate
 remotely a memory region over RDMA protocol.  It utilizes appropriate
 persistency mechanism based on remote node’s platform capabilities.  The
 librpmem utilizes the ssh client to authenticate a user on remote node and for
 encryption of connection’s out-of-band configuration data.
 .
 This library is for applications that use remote persistent memory directly,
 without the help of any library-supplied transactions or memory allocation.
 Higher-level libraries that build on libpmem are available and are recommended
 for most applications.
 .
 This package contains a special build of this library meant for debugging
 purposes.  It contains extra logging, assertions and expensive checks that
 should not be used otherwise.

Package: librpmio8
Description-md5: b102b4700b4f67e72b6c457300d1dee3
Description-en: RPM IO shared library
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This library provides basic IO functionality which is used by librpm.

Package: librpmsign8
Description-md5: c2958f0a844ec375af9b40fae17c7280
Description-en: RPM signing shared library
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This library provides an interface for signing RPM packages.

Package: librrdp-perl
Description-md5: 87e890a98286d4f7d5c24faa0b5131ca
Description-en: time-series data storage and display system (Perl interface, piped)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 This package contains a Perl interface to RRDs using command pipes: Rrdp.

Package: librrds-perl
Description-md5: a816eb47df42c3395ae6b507578e6999
Description-en: time-series data storage and display system (Perl interface, shared)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 This package contains a Perl interface to RRDs using a shared library: Rrds.

Package: librrdtool-oo-perl
Description-md5: 047be07482d2becfdaf8d8bcf2d30699
Description-en: object-oriented interface to RRDTool
 RRDTool::OO is an object-oriented Perl interface to Tobi Oetiker's round robin
 database tool, also known as rrdtool. It uses rrdtool's RRDs module to access
 rrdtool's shared library. It tries to marry rrdtool's database engine with the
 Perl programming language.
 .
 Using this module hides implementation details of the RRD engine, uses easy-
 to-memorize named parameters and sets meaningful defaults for parameters not
 needed in simple cases. For the experienced user, however, it still provides
 full access to rrdtool's API.

Package: librsb-dev
Description-md5: 000590edadd4516f3ca528c3f37f7cd5
Description-en: recursive sparse blocks matrix computations library (development)
 This is a library for sparse matrix computations featuring the Recursive
 Sparse Blocks (RSB) matrix format. This format allows cache efficient and
 multi-threaded (that is, shared memory parallel) operations on large
 sparse matrices.  It provides the most common operations necessary to
 iterative solvers, like matrix-vector multiplication, triangular solution,
 rows/columns scaling, diagonal extraction / setting, blocks extraction,
 norm computation, formats conversion.  The RSB format is especially well
 suited for symmetric and transposed multiplication variants.
 .
 This package contains the static libraries for development with
 librsb, including examples.

Package: librsb-doc
Description-md5: a2e2f332fe72677b4455853f4b87b6ec
Description-en: recursive sparse blocks matrix computations library (documentation)
 This is a library for sparse matrix computations featuring the Recursive
 Sparse Blocks (RSB) matrix format. This format allows cache efficient and
 multi-threaded (that is, shared memory parallel) operations on large
 sparse matrices.  It provides the most common operations necessary to
 iterative solvers, like matrix-vector multiplication, triangular solution,
 rows/columns scaling, diagonal extraction / setting, blocks extraction,
 norm computation, formats conversion.  The RSB format is especially well
 suited for symmetric and transposed multiplication variants.
 .
 This package contains the documentation for librsb.

Package: librsb0
Description-md5: 8044f6c3a425883be936af5335038b87
Description-en: recursive sparse blocks matrix computations library
 This is a library for sparse matrix computations featuring the Recursive
 Sparse Blocks (RSB) matrix format. This format allows cache efficient and
 multi-threaded (that is, shared memory parallel) operations on large
 sparse matrices.  It provides the most common operations necessary to
 iterative solvers, like matrix-vector multiplication, triangular solution,
 rows/columns scaling, diagonal extraction / setting, blocks extraction,
 norm computation, formats conversion.  The RSB format is especially well
 suited for symmetric and transposed multiplication variants.
 .
 This package contains the shared library and the rsbench utility.

Package: librscode-dev
Description-md5: 0ef786fe368dba48af70fc4f254b7f02
Description-en: development libraries and headers for RSCODE
 The RSCODE project is an implementation of a Reed-Solomon error correction
 algorithm. It provides a byte-sized block coding which is convenient for
 adding protection to data which is stored as eight-bit bytes (i.e., most
 common computer data).
 .
 The Reed-Solomon code is the same one used for encoding of data on Audio CD's
 and CD-ROM disks, as well as many magnetic and optical disk controllers. You
 basically want to use Reed-Solomon coding in any situation where "forward
 error correction" is needed, i.e., the decoder will not have the option of
 requesting retransmission of bad blocks.
 .
 This package contains the header file and the static library.

Package: librscode1
Description-md5: 81acf4c1026e1284d9edf654b47ab293
Description-en: library implementing a Reed-Solomon error correction algorithm
 The RSCODE project is an implementation of a Reed-Solomon error correction
 algorithm. It provides a byte-sized block coding which is convenient for
 adding protection to data which is stored as eight-bit bytes (i.e., most
 common computer data).
 .
 The Reed-Solomon code is the same one used for encoding of data on Audio CD's
 and CD-ROM disks, as well as many magnetic and optical disk controllers. You
 basically want to use Reed-Solomon coding in any situation where "forward
 error correction" is needed, i.e., the decoder will not have the option of
 requesting retransmission of bad blocks.
 .
 This package contains the shared library.

Package: librsl-dev
Description-md5: a54f3ac8055ea4e9bee47f0f928773e0
Description-en: Development files for RSL
 RSL is a library produced by the NASA TRMM Satellite Validation Office and
 used to access several radar file formats. It can generated images directly
 or be used to load data files for other programs.
 .
 It can read the following file formats: WSR88D/NEXRAD, Lassen, Sigmet,
 McGill, UF, HDF, RAPIC, RADTEC and the native RSL file format.
 .
 This package contains the header files and static libraries which are needed
 for developing programs that use grits.

Package: librsl-doc
Description-md5: 4833cff2e1a82d266401957351331438
Description-en: HTML documentation for RSL
 RSL is a library produced by the NASA TRMM Satellite Validation Office and
 used to access several radar file formats. It can generated images directly
 or be used to load data files for other programs.
 .
 It can read the following file formats: WSR88D/NEXRAD, Lassen, Sigmet,
 McGill, UF, HDF, RAPIC, RADTEC and the native RSL file format.
 .
 This package contains the HTML documentation for grits.

Package: librsl1
Description-md5: a34db17a2f68146b21e66fec20434330
Description-en: TRMM Radar Software Library
 RSL is a library produced by the NASA TRMM Satellite Validation Office and
 used to access several radar file formats. It can generated images directly
 or be used to load data files for other programs.
 .
 It can read the following file formats: WSR88D/NEXRAD, Lassen, Sigmet,
 McGill, UF, HDF, RAPIC, RADTEC and the native RSL file format.
 .
 This package contains the shared libraries and core plugins.

Package: librsplib-dev
Description-md5: 847298d2c8debb602f931a6f320708bd
Description-en: headers of the RSerPool client/server API library rsplib
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This package provides header files for the rsplib library. You need them
 to develop your own RSerPool-based clients and servers.

Package: librsplib3
Description-md5: 221bc248b57314a4222bf063e3c5f73b
Description-en: RSerPool client/server API library for session management
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 The API library is provided by this package.

Package: librss-ocaml
Description-md5: e56530bb8068205e1566065eced6d8dd
Description-en: RSS 2.0 parser and printer for OCaml (runtime)
 OCaml-RSS is a small OCaml library providing functions to parse and print RSS
 2.0 files. The parser can also parse some RDF files, but some fields are not
 taken into account. There is still some work to do (add missing RSS 2.0
 attributes, add convenient functions).
 .
 OCaml-RSS was previously part of Cameleon but is now developed separately
 and is findlib compatible.

Package: librss-ocaml-dev
Description-md5: 2543d82cc422dd31c113e35bd370feb4
Description-en: RSS 2.0 parser and printer for OCaml (development)
 This package is a small OCaml library providing functions to parse and print
 RSS 2.0 files. The parser can also parse some RDF files, but some fields are
 not taken into account. There is still some work to do (add missing RSS 2.0
 attributes, add convenient functions).
 .
 OCaml-RSS was previously part of Cameleon but is now developed separately
 and is findlib compatible.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use OCaml-RSS.

Package: librsskit-dev
Description-md5: 6848406434a272d9059718a71db57676
Description-en: GNUstep RSS framework (development files)
 RSSKit is a GNUstep library (framework) for parsing and reading
 various RSS file formats.
 .
 This package contains the development files and API documentation.

Package: librsskit0d
Description-md5: c94429a21401d8438dbba4f7c6a4461f
Description-en: GNUstep RSS framework (runtime library)
 RSSKit is a GNUstep library (framework) for parsing and reading
 various RSS file formats.
 .
 This package contains the runtime library.

Package: librsskit0d-dbg
Description-md5: c81e355ededbf3015c475422360baf1c
Description-en: GNUstep RSS framework (debugging symbols)
 RSSKit is a GNUstep library (framework) for parsing and reading
 various RSS file formats.
 .
 This package contains the detached debugging symbols.

Package: librsvg2-bin
Description-md5: a1b379fe0bbd2e726d1b308aa5edfb28
Description-en: command-line utility to convert SVG files
 The rsvg library is an efficient renderer for Scalable Vector Graphics
 (SVG) pictures.
 .
 This package includes a command-line utility to convert the SVG files
 to the PNG format.

Package: librsyntaxtextarea-java
Description-md5: e7a944bf42a62d761ae4107622d0a17b
Description-en: Java library for syntax highlighting text component
 RSyntaxTextArea extends JTextComponent so it integrates completely
 with the standard javax.swing.text package. It is fast and efficient, and
 can be used in any application that needs to edit or view source code.
 .
 Some of RSyntaxTextArea's features include:
  * Syntax highlighting for over 25 programming languages
  * Find/Replace with standard options
  * Loading and saving of local or remote files
  * User-defined macros
  * Code templates
  * Unlimited undo/redo
  * Drag and drop
  * Edit with multiple fonts simultaneously
  * Bracket matching
  * Current line highlighting
  * Clickable hyperlinks (only certain languages)

Package: librsyntaxtextarea-java-doc
Description-md5: 33d29d3e871258589bf13ba16d72550c
Description-en: Java library for syntax highlighting text component (documentation)
 RSyntaxTextArea extends JTextComponent so it integrates completely
 with the standard javax.swing.text package. It is fast and efficient, and
 can be used in any application that needs to edit or view source code.
 .
 Some of RSyntaxTextArea's features include:
  * Syntax highlighting for over 25 programming languages
  * Find/Replace with standard options
  * Loading and saving of local or remote files
  * User-defined macros
  * Code templates
  * Unlimited undo/redo
  * Drag and drop
  * Edit with multiple fonts simultaneously
  * Bracket matching
  * Current line highlighting
  * Clickable hyperlinks (only certain languages)
 .
 This package contains the API documentation of librsyntaxtextarea-java.

Package: librt-client-rest-perl
Description-md5: 93590d60eb84fb18242e7154b6504e88
Description-en: RESTful Perl interface to RT installations
 RT::Client::REST is a set of object-oriented Perl modules designed to
 make communicating with RT using REST protocol easy.  Most of the
 features have been implemented and tested with rt 3.6.0 and later.

Package: librt-extension-commandbymail-perl
Description-md5: 762b736411dbbd380688c90b27887be5
Description-en: Allow RT status and other commands by email
 CommandByMail allows commands to be sent to the Request Tracker
 in emails. These are similar to those used by debbugs and allow
 tickets to be closed, reassigned, moved between queues and other
 commands.

Package: librtaudio-dev
Description-md5: dd73f8e0509286e46be77e27a5193600
Description-en: C++ library for realtime audio input/ouput (development files)
 RtAudio is a set of C++ classes that provides a common API (Application
 Programming Interface) for realtime audio input/output across Linux
 (native ALSA, JACK, and OSS), Macintosh OS X, SGI, and Windows
 (DirectSound and ASIO) operating systems. RtAudio significantly
 simplifies the process of interacting with computer audio hardware.
 .
 This package provides the development files.

Package: librtaudio-doc
Description-md5: 82643beb135fa458533e14f7752ca2f0
Description-en: C++ library for realtime audio input/ouput (documentation files)
 RtAudio is a set of C++ classes that provides a common API (Application
 Programming Interface) for realtime audio input/output across Linux
 (native ALSA, JACK, and OSS), Macintosh OS X, SGI, and Windows
 (DirectSound and ASIO) operating systems. RtAudio significantly
 simplifies the process of interacting with computer audio hardware.
 .
 This package provides the API documentation.

Package: librtaudio6
Description-md5: cd307f18f1ef80990a830eb091c020bf
Description-en: C++ library for realtime audio input/ouput
 RtAudio is a set of C++ classes that provides a common API (Application
 Programming Interface) for realtime audio input/output across Linux
 (native ALSA, JACK, and OSS), Macintosh OS X, SGI, and Windows
 (DirectSound and ASIO) operating systems. RtAudio significantly
 simplifies the process of interacting with computer audio hardware. It
 was designed with the following objectives:
 .
  * object-oriented C++ design
  * simple, common API across all supported platforms
  * only one source and two header files for easy inclusion in
    programming projects
  * allow simultaneous multi-api support
  * support dynamic connection of devices
  * provide extensive audio device parameter control
  * allow audio device capability probing
  * automatic internal conversion for data format, channel number
    compensation, (de)interleaving, and byte-swapping
 .
 RtAudio incorporates the concept of audio streams, which represent audio
 output (playback) and/or input (recording). Available audio devices and
 their capabilities can be enumerated and then specified when opening a
 stream.
 .
 This package provides the shared library.

Package: librtcom-telepathy-glib-dev
Description-md5: 13aa10a253379c64b0faa976bd76ece6
Description-en: RTCom telepathy-glib extensions (development files)
 This package contains development files for librtcom-telepathy-glib,
 which extends telepathy-glib with RTCom-specific interfaces.

Package: librtcom-telepathy-glib0
Description-md5: 552e2166d70a46355782db43039abb9e
Description-en: RTCom telepathy-glib extension library
 This package is a library extending telepathy-glib with
 RTCom-specific interfaces.

Package: librte-acl20.0
Description-md5: 9e3dea0b3e48a93abc4b23404a46bd63
Description-en: Data Plane Development Kit (librte-acl runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_acl.

Package: librte-bbdev0.200
Description-md5: 5ea5255c40e2e4d5876243c814f9f353
Description-en: Data Plane Development Kit (librte-bbdev runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_bbdev.
 FYI: This library still is highly experimental.

Package: librte-bitratestats20.0
Description-md5: f1172933b506cf2547ec3421b79f0496
Description-en: Data Plane Development Kit (librte_bitratestats runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_bitratestats.

Package: librte-bpf0.200
Description-md5: 8076b8489e45cc137c36be9a37bbecf4
Description-en: Data Plane Development Kit (librte-bpf runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_bpf.
 .
 The DPDK provides an BPF library that gives the ability to load and
 execute Enhanced Berkeley Packet Filter (eBPF) bytecode within user-space
 dpdk application.
 FYI: This library still is highly experimental.

Package: librte-bus-dpaa20.0
Description-md5: 055e22a2b51396bfc6cbdaf7f0becb00
Description-en: Data Plane Development Kit (librte_bus_dpaa runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_bus_dpaa.

Package: librte-bus-fslmc20.0
Description-md5: 17fad8cb6625a431792c054d1b835907
Description-en: Data Plane Development Kit (librte_bus_fslmc runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_bus_fslmc.

Package: librte-bus-ifpga20.0
Description-md5: c9456b80421bbc8f7dd3f64f8b52dcfe
Description-en: Data Plane Development Kit (librte_bus_ifpga runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_bus_ifpga.

Package: librte-cfgfile20.0
Description-md5: bae1bd6562131d6285c9d5225074bbb4
Description-en: Data Plane Development Kit (librte-cfgfile runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_cfgfile.

Package: librte-cmdline20.0
Description-md5: c2be8ade147bad1d5ffed05742fc579a
Description-en: Data Plane Development Kit (librte-cmdline runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_cmdline.

Package: librte-common-cpt20.0
Description-md5: b961e21e11d9a689575911ac0f114392
Description-en: Data Plane Development Kit (librte_common_cpt runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_common_cpt.

Package: librte-common-dpaax20.0
Description-md5: 46787fd976304fbeae30e4afa9609609
Description-en: Data Plane Development Kit (librte_common_dpaax runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_common_dpaax.

Package: librte-common-octeontx2-20.0
Description-md5: 1a2adcefd65730e079045cd26e892cd7
Description-en: Data Plane Development Kit (librte-common-octeontx2 runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-common-octeontx2.

Package: librte-common-octeontx20.0
Description-md5: d1e87d06acef3e0d65beec68e904b451
Description-en: Data Plane Development Kit (librte_mempool_octeontx common library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_common_octeontx.

Package: librte-compressdev0.200
Description-md5: a37d8ba37d5b3f95043ac5ee40268d1d
Description-en: Data Plane Development Kit (librte-compressdev runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_compressdev.
 .
 Provides poll mode compression & decompression driver support.
 FYI: This library still is highly experimental.

Package: librte-distributor20.0
Description-md5: c29dafade78a7a8a65808867aea57a16
Description-en: Data Plane Development Kit (librte-distributor runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_distributor.

Package: librte-efd20.0
Description-md5: 1877a241cf3628b909c9916d106effb4
Description-en: Data Plane Development Kit (librte_efd runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_efd.

Package: librte-eventdev20.0
Description-md5: 12a473616c984b55df4d6bc30cd95544
Description-en: Data Plane Development Kit (librte_eventdev runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_eventdev.

Package: librte-fib0.200
Description-md5: 3268d24a74781c54a02bdd4ae546858a
Description-en: Data Plane Development Kit (libfib runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for libfib.
 FIB (Forwarding information base) implementation for IPv4 Longest Prefix Match
 FYI: This library still is highly experimental.

Package: librte-flow-classify0.200
Description-md5: ae46abf40f5c9e2e12d2097b85bcba04
Description-en: Data Plane Development Kit (librte-flow-classify runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_flow_classify.
 FYI: This library still is highly experimental.

Package: librte-gro20.0
Description-md5: 4992f8e3236e156e2506d5223c2de3d1
Description-en: Data Plane Development Kit (librte-gro runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_gro.

Package: librte-ipsec0.200
Description-md5: ad2f198539b57071ebc406c14cff932a
Description-en: Data Plane Development Kit (librte-ipsec runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-ipsec.
 FYI: This library still is highly experimental.

Package: librte-jobstats20.0
Description-md5: 3b3b089b2b36c647eee868bee6fcc012
Description-en: Data Plane Development Kit (librte-jobstats runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_jobstats.

Package: librte-latencystats20.0
Description-md5: c88ce9ef6f429f0bf42f68c53809624e
Description-en: Data Plane Development Kit (librte_latencystats runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_latencystats.

Package: librte-lpm20.0
Description-md5: 1093758bea991825f8c0fb8f0366e9a6
Description-en: Data Plane Development Kit (librte-lpm runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_lpm.

Package: librte-member20.0
Description-md5: 58684628999a23c3dbce9d6091d9cfee
Description-en: Data Plane Development Kit (librte-member runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_member.

Package: librte-mempool-bucket20.0
Description-md5: 29d17b3a3c3bf4221f19ad5f2d596a71
Description-en: Data Plane Development Kit (librte-mempool-bucket runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_mempool_bucket.

Package: librte-mempool-dpaa2-20.0
Description-md5: 6cd6ecdefb99ccdc0ee6fbd9d660d801
Description-en: Data Plane Development Kit (librte_mempool_dpaa2 runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_mempool_dpaa2.

Package: librte-mempool-dpaa20.0
Description-md5: ecf8bfdb1391f169c2972b20dfbe5432
Description-en: Data Plane Development Kit (librte_mempool_dpaa runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_mempool_dpaa.

Package: librte-mempool-octeontx2-20.0
Description-md5: d7004b834ab87bcde68b21d650266886
Description-en: Data Plane Development Kit (librte-mempool-octeontx2 runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-mempool-octeontx2.

Package: librte-mempool-octeontx20.0
Description-md5: 0a4ef7c339915ae8187a9dfb8f19810a
Description-en: Data Plane Development Kit (librte_mempool_octeontx runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_mempool_octeontx.

Package: librte-mempool-stack20.0
Description-md5: 34bc997546fa7f2f59765062cb162999
Description-en: Data Plane Development Kit (librte_mempool_stack runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_mempool_stack.

Package: librte-metrics20.0
Description-md5: 5c6519a0f6de9828c834b19ea3eb8c2e
Description-en: Data Plane Development Kit (librte_metrics runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_metrics.

Package: librte-pdump20.0
Description-md5: 1e4229989f5a4ea0d05e0c10a430f724
Description-en: Data Plane Development Kit (librte_pdump runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pdump.

Package: librte-pipeline20.0
Description-md5: 1b6c2316554e3b8356abaac636cb3086
Description-en: Data Plane Development Kit (librte-pipeline runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pipeline.

Package: librte-pmd-aesni-gcm20.0
Description-md5: 7ada26e613c0eb027d3b6502df260c5c
Description-en: Data Plane Development Kit (librte_pmd_aesni_gcm runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_aesni_gcm.

Package: librte-pmd-aesni-mb20.0
Description-md5: f8acdf19760f10331422d363861bcb68
Description-en: Data Plane Development Kit (librte_pmd_aesni_mb runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_aesni_mb.

Package: librte-pmd-ark20.0
Description-md5: 8aa28d8ec68087dd3df3d426a01e58e7
Description-en: Data Plane Development Kit (librte_pmd_ark runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_ark.

Package: librte-pmd-atlantic20.0
Description-md5: 24f16bb0b6e30312c68bd2826c9154e2
Description-en: Data Plane Development Kit (librte-pmd-atlantic runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-atlantic.

Package: librte-pmd-avp20.0
Description-md5: e48ef72916dfa78d5d07e085811b3dd6
Description-en: Data Plane Development Kit (librte_pmd_avp runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_avp.

Package: librte-pmd-axgbe20.0
Description-md5: d4a3c46f052b362ebdd4486f7e74fb2f
Description-en: Data Plane Development Kit (librte-pmd-axgbe runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-axgbe.

Package: librte-pmd-bbdev-fpga-lte-fec20.0
Description-md5: 7d5bc5573de9f53c6e1e16e8af84237b
Description-en: Data Plane Development Kit (librte-pmd-bbdev-fpga-lte-fec runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-bbdev-fpga-lte-fec.

Package: librte-pmd-bbdev-null20.0
Description-md5: 30a0ab8880698d256e23bc90de35031c
Description-en: Data Plane Development Kit (librte-pmd-bbdev-null runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-bbdev-null.

Package: librte-pmd-bbdev-turbo-sw20.0
Description-md5: 9ae0cf057f785abf974b2dae10573d24
Description-en: Data Plane Development Kit (librte-pmd-bbdev-turbo-sw runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-bbdev-turbo-sw.

Package: librte-pmd-bnx2x20.0
Description-md5: 3b5778c33f2ccc38cc817d36fa286031
Description-en: Data Plane Development Kit (librte-pmd-bnx2x runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_bnx2x.

Package: librte-pmd-bnxt20.0
Description-md5: c1f6f4a58472b5d4289ae2575cf7392d
Description-en: Data Plane Development Kit (librte-pmd-bnxt runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_bnxt.

Package: librte-pmd-caam-jr20.0
Description-md5: 6f13bdebfb782c1b9ba5f3f89a8561f9
Description-en: Data Plane Development Kit (librte-pmd-caam-jr runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_caam_jr.

Package: librte-pmd-ccp20.0
Description-md5: bdcee56edeb9e9b9cd8952cc6bd4e035
Description-en: Data Plane Development Kit (librte-pmd-ccp runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_ccp.

Package: librte-pmd-crypto-scheduler20.0
Description-md5: 4c57442511afb026ca4ac91e30c77d79
Description-en: Data Plane Development Kit (librte_pmd_crypto_scheduler runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_crypto_scheduler.

Package: librte-pmd-cxgbe20.0
Description-md5: 06a2a48441ed18fb275a6e5f488aa7fb
Description-en: Data Plane Development Kit (librte-pmd-cxgbe runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_cxgbe.

Package: librte-pmd-dpaa-event20.0
Description-md5: c20b7f61a551d43831370ebf6123fc6e
Description-en: Data Plane Development Kit (librte-pmd-dpaa-event runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_dpaa_event.

Package: librte-pmd-dpaa-sec20.0
Description-md5: da16bbc963b319ce74212f7c7d381822
Description-en: Data Plane Development Kit (librte-pmd-dpaa-sec runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_dpaa_sec.

Package: librte-pmd-dpaa2-20.0
Description-md5: 134652e2973d3c6ca163241ed14f804e
Description-en: Data Plane Development Kit (librte-pmd-dpaa2 runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_dpaa2.

Package: librte-pmd-dpaa2-event20.0
Description-md5: d205b662ec4687030cefac41a529f906
Description-en: Data Plane Development Kit (librte-pmd-dpaa2-event runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_dpaa2_event.

Package: librte-pmd-dpaa2-sec20.0
Description-md5: a586ff7b11ec78f78768092d3df423d8
Description-en: Data Plane Development Kit (librte-pmd-dpaa2-sec runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_dpaa2_sec.

Package: librte-pmd-dpaa20.0
Description-md5: 11bcb0560a9831894aeb8e8ab4884b17
Description-en: Data Plane Development Kit (librte-pmd-dpaa runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_dpaa.

Package: librte-pmd-dsw-event20.0
Description-md5: 89aa45877418e561b18bd11e4fe4625f
Description-en: Data Plane Development Kit (librte-pmd-dsw-event runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_dsw_event.

Package: librte-pmd-ena20.0
Description-md5: c3bb9e89b926fb5377afa07fbbf3951b
Description-en: Data Plane Development Kit (librte-pmd-ena runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_ena.

Package: librte-pmd-enetc20.0
Description-md5: da74e4a558a357ed080862f25c726938
Description-en: Data Plane Development Kit (librte-pmd-enetc runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_enetc.

Package: librte-pmd-enic20.0
Description-md5: 753eb9bd7f24159b24072271b0520288
Description-en: Data Plane Development Kit (librte-pmd-enic runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_enic.

Package: librte-pmd-failsafe20.0
Description-md5: 500c5004c965449b5867a725f127d272
Description-en: Data Plane Development Kit (librte-pmd-failsafe runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_failsafe.

Package: librte-pmd-hinic20.0
Description-md5: cd0cd3064f0c7d3b5f6ce6256c933aa5
Description-en: Data Plane Development Kit (librte-pmd-hinic runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-hinic.

Package: librte-pmd-hns3-20.0
Description-md5: c3d24a99e25d7232e4457255660da593
Description-en: Data Plane Development Kit (librte-pmd-hns3 runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_hns3 which is
 The hns3 PMD (librte_pmd_hns3) provides poll mode driver support for the
 inbuilt Hisilicon Network Subsystem(HNS) network engine found in the
 Hisilicon Kunpeng 920 SoC

Package: librte-pmd-iavf20.0
Description-md5: 98390f2e51d07ab0d38a67afd62d79e5
Description-en: Data Plane Development Kit (librte_pmd_iavf runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_iavf.

Package: librte-pmd-ice20.0
Description-md5: fffec2153b166d0990bdea511e98964c
Description-en: Data Plane Development Kit (librte-pmd-ice runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-ice.

Package: librte-pmd-ifc20.0
Description-md5: 257c9ef061fd8916ee1d630cb55fb310
Description-en: Data Plane Development Kit (librte_pmd_ifc runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_ifc.

Package: librte-pmd-isal20.0
Description-md5: 651a0087b21a0abd6f250108d2d93f8e
Description-en: Data Plane Development Kit (librte-pmd-isal runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_isal.
 The ISA-L PMD (librte_pmd_isal_comp) provides poll mode compression &
 decompression driver support for utilizing Intel ISA-L library, which
 implements the deflate algorithm for both Deflate(compression) and
 Inflate(decompression).

Package: librte-pmd-liquidio20.0
Description-md5: 12191ca95254dcb1c5806b98fdf8ab3d
Description-en: Data Plane Development Kit (librte_pmd_liquidio runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_liquidio.

Package: librte-pmd-memif20.0
Description-md5: 3f64aa27e42ab240f733b61b29f91fa9
Description-en: Data Plane Development Kit (librte-pmd-memif runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-memif.

Package: librte-pmd-nfp20.0
Description-md5: 425e0fbc3b10fded7672da3b5955be0c
Description-en: Data Plane Development Kit (librte_pmd_nfp runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_nfp.

Package: librte-pmd-nitrox20.0
Description-md5: 8fd0e26ce644e3e8b5d104332765e9be
Description-en: Data Plane Development Kit (librte-pmd-nitrox runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_nitrox which is
 The Nitrox crypto poll mode driver provides support for offloading
 cryptographic operations to the NITROX V security processor

Package: librte-pmd-null-crypto20.0
Description-md5: 3bd8632b68eb478af29a716a26281011
Description-en: Data Plane Development Kit (librte-pmd-null-crypto runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_null_crypto.

Package: librte-pmd-null20.0
Description-md5: 7a6523deb704753dd367f24079230081
Description-en: Data Plane Development Kit (librte-pmd-null runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_null.

Package: librte-pmd-octeontx-compress20.0
Description-md5: f0bd3e61068d30093b7ebd5437ac2acc
Description-en: Data Plane Development Kit (librte_pmd_octeontx_compress runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_octeontx_compress.

Package: librte-pmd-octeontx-crypto20.0
Description-md5: 0e85a374f0a2b77c63f364f698935402
Description-en: Data Plane Development Kit (librte_pmd_octeontx_crypto runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_octeontx_crypto.

Package: librte-pmd-octeontx-event20.0
Description-md5: 6e7ad727dfae69a314bafd62179cff90
Description-en: Data Plane Development Kit (librte_pmd_octeontx_event runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_octeontx_event.

Package: librte-pmd-octeontx2-20.0
Description-md5: 6a81a0719ec88608bbc0473ac70a81b4
Description-en: Data Plane Development Kit (librte-pmd-octeontx2 runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-octeontx2.

Package: librte-pmd-octeontx2-crypto20.0
Description-md5: 9c8176a18545c8157ca47e521a95ecc8
Description-en: Data Plane Development Kit (crypto for octeontx2 pmd)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for crypto of octeontx2.

Package: librte-pmd-octeontx2-event20.0
Description-md5: 863c536da1e9aabac7ba49ca42134eb6
Description-en: Data Plane Development Kit (events for octeontx2 pmd)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for events of octeontx2.

Package: librte-pmd-octeontx20.0
Description-md5: 4aa8a3d3c4fa1430a8ea090ffe5de888
Description-en: Data Plane Development Kit (librte_pmd_octeontx runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_octeontx.

Package: librte-pmd-opdl-event20.0
Description-md5: 6b4d9e7160c6129b43de835464a80dd4
Description-en: Data Plane Development Kit (librte_pmd_opdl_event runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_opdl_event.

Package: librte-pmd-openssl20.0
Description-md5: dc9ddc763fd1916d4ad387e914c26f82
Description-en: Data Plane Development Kit (librte-pmd-openssl runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_openssl.

Package: librte-pmd-pfe20.0
Description-md5: ad92a54b7e298e9c652e41cbd35faa44
Description-en: Data Plane Development Kit (librte-pmd-pfe runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_pfe which is
 The PFE NIC PMD (librte_pmd_pfe) provides poll mode driver support for the
 inbuilt NIC found in the NXP LS1012 SoC.

Package: librte-pmd-qat20.0
Description-md5: 031540f43231a6b59636af41b96439ae
Description-en: Data Plane Development Kit (librte-pmd-qat runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_qat.

Package: librte-pmd-qede20.0
Description-md5: 0d33301b1609f759a2ac4e303b082131
Description-en: Data Plane Development Kit (librte-pmd-qede runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_qede which is
 The base driver is the backend module for the QLogic FastLinQ QL4xxxx
 25G/40G CNA family of adapters as well as their virtual functions (VF)
 in SR-IOV context.

Package: librte-pmd-sfc20.0
Description-md5: 80eee23f18999de10dae55415afafca5
Description-en: Data Plane Development Kit (librte_pmd_sfc runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_sfc.

Package: librte-pmd-skeleton-event20.0
Description-md5: e7930342c2c4c7cb5daa5407293b6406
Description-en: Data Plane Development Kit (librte_pmd_skeleton_event runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_skeleton_event.

Package: librte-pmd-softnic20.0
Description-md5: b9a8c02cedcd8c498afc8a4fecd35e26
Description-en: Data Plane Development Kit (librte-pmd-softnic runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_softnic.

Package: librte-pmd-sw-event20.0
Description-md5: c56fb2221e1e6b43eb9a40434f5872d9
Description-en: Data Plane Development Kit (librte_pmd_sw_event runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_sw_event.

Package: librte-pmd-virtio-crypto20.0
Description-md5: a4d4c657aa403349cd186dbe4188ac56
Description-en: Data Plane Development Kit (librte-pmd-virtio-crypto runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-pmd-virtio-crypto.

Package: librte-pmd-zlib20.0
Description-md5: 44398ec2ed33b34389f176d79607f223
Description-en: Data Plane Development Kit (librte-pmd-zlib runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_pmd_zlib.

Package: librte-port20.0
Description-md5: 697ac8487adbef10ffc9c6d3a7ca7313
Description-en: Data Plane Development Kit (librte-port runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_port.

Package: librte-power20.0
Description-md5: 59b60fcafb0d534ee6b68c2e1d78d516
Description-en: Data Plane Development Kit (librte-power runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_power.

Package: librte-rawdev-dpaa2-cmdif20.0
Description-md5: 8721a6c4c5757a5d0de6c535bbad283d
Description-en: Data Plane Development Kit (librte-rawdev-dpaa2-cmdif runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-rawdev-dpaa2-cmdif.

Package: librte-rawdev-dpaa2-qdma20.0
Description-md5: 367e2c587124b89a966b470c91e59c33
Description-en: Data Plane Development Kit (librte-rawdev-dpaa2-qdma runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-rawdev-dpaa2-qdma.

Package: librte-rawdev-ioat20.0
Description-md5: 7abb29948631acc26c9393cf010f52bb
Description-en: Data Plane Development Kit (librte-rawdev-ioat runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-rawdev-ioat.

Package: librte-rawdev-ntb20.0
Description-md5: ae332ef1d6db6ceb64e871b0a32f0f70
Description-en: Data Plane Development Kit (librte-rawdev-ntb runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-rawdev-ntb.

Package: librte-rawdev-octeontx2-dma20.0
Description-md5: 13151589d058e964b63f8609ab5b36c7
Description-en: Data Plane Development Kit (librte-rawdev-octeontx2-dma runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-rawdev-octeontx2-dma.

Package: librte-rawdev-skeleton20.0
Description-md5: a9958b00627555040a4709466664b0c2
Description-en: Data Plane Development Kit (librte-rawdev-skeleton runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-rawdev-skeleton.

Package: librte-rawdev20.0
Description-md5: 4b8f146f9c926118dceab59eaece074a
Description-en: Data Plane Development Kit (librte-rawdev runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_rawdev.

Package: librte-rcu0.200
Description-md5: 158d459ef0fe1fb14916ddf04e62fd0f
Description-en: Data Plane Development Kit (librte-rcu runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-rcu.
 FYI: This library still is highly experimental.

Package: librte-reorder20.0
Description-md5: b525b6145ec1b3da47279e7b37a4ad32
Description-en: Data Plane Development Kit (librte-reorder runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_reorder.

Package: librte-rib0.200
Description-md5: 14620b16cb570189bc3c511acf6bafee
Description-en: Data Plane Development Kit (librib runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librib.
 RIB is a Level compressed tree implementation for IPv4 Longest Prefix Match
 FYI: This library still is highly experimental.

Package: librte-stack0.200
Description-md5: f156b2e443896806037a0e28b25e4b6e
Description-en: Data Plane Development Kit (librte-stack runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte-stack.
 FYI: This library still is highly experimental.

Package: librte-table20.0
Description-md5: 75f8994b659c550c6b2af17f264ce84b
Description-en: Data Plane Development Kit (librte_table runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_table.

Package: librte-telemetry0.200
Description-md5: 42772017618242dc9ccb1e6fa97e0a63
Description-en: Data Plane Development Kit (librte_telemetry runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_telemetry.
 FYI: This library still is highly experimental.

Package: librte-timer20.0
Description-md5: 9791ba77b8f05124f451de7210920b5f
Description-en: Data Plane Development Kit (librte_timer runtime library)
 DPDK is a set of libraries for fast packet processing. Applications run
 in user-space and communicate directly with dedicated network interfaces.
 .
 This package contains the runtime libraries for librte_timer.

Package: librtf-document-perl
Description-md5: 18ee5dd90ae7aec4adf0d19f632f2a01
Description-en: Perl extension for generating Rich Text Format (RTF) files
 RTF::Document is a Perl module for generating Rich Text Format (RTF)
 documents that can be used by most text converters and word
 processors.

Package: librtf-writer-perl
Description-md5: d869e2bc51e65f24623e1ec66991e83b
Description-en: module for creating RTF files
 RTF::Writer is for generating documents in Rich Text Format.
 .
 This module is a class; an object belonging to this class acts like
 an output filehandle, and calling methods on it causes RTF text to
 be written.
 .
 Incidentally, this module also optionally exports a few functions, particularly
 related to length.
 .
 The following documentation assumes some familiarity with the RTF
 Specification.  Users not already intimately familiar with RTF should
 look at RTF::Cookbook and/or the book "RTF Pocket Guide" from O'Reilly.

Package: librtfilter-dev
Description-md5: b083ce80438bd7f31634fa14c407e358
Description-en: realtime digital filtering library (development files)
 rtfilter is a library that provides a set of routines implementing realtime
 digital filter for multichannel signals (i.e. filtering multiple signals
 with the same filter parameters). It implements FIR, IIR filters and
 downsampler for float and double data type (both for real and complex
 valued signal). Additional functions are also provided to design few usual
 filters: Butterworth, Chebyshev, windowed sinc, analytical filter...
 .
 One of the main differences from other libraries providing digital signal
 processing is that the filter functions have been specifically designed and
 optimized for multichannel signals (from few channels to several hundred).
 .
 This package contains the files needed to compile and link programs which
 use rtfilter.

Package: librtfilter1
Description-md5: bdf31cc76e726bf4358e3a37750a86c8
Description-en: realtime digital filtering library
 rtfilter is a library that provides a set of routines implementing realtime
 digital filter for multichannel signals (i.e. filtering multiple signals
 with the same filter parameters). It implements FIR, IIR filters and
 downsampler for float and double data type (both for real and complex
 valued signal). Additional functions are also provided to design few usual
 filters: Butterworth, Chebyshev, windowed sinc, analytical filter...
 .
 One of the main differences from other libraries providing digital signal
 processing is that the filter functions have been specifically designed and
 optimized for multichannel signals (from few channels to several hundred).

Package: librtfilter1-dbg
Description-md5: ed777342edcb4720deae74ad903fbfce
Description-en: realtime digital filtering library (debugging symbols)
 rtfilter is a library that provides a set of routines implementing realtime
 digital filter for multichannel signals (i.e. filtering multiple signals
 with the same filter parameters). It implements FIR, IIR filters and
 downsampler for float and double data type (both for real and complex
 valued signal). Additional functions are also provided to design few usual
 filters: Butterworth, Chebyshev, windowed sinc, analytical filter...
 .
 One of the main differences from other libraries providing digital signal
 processing is that the filter functions have been specifically designed and
 optimized for multichannel signals (from few channels to several hundred).
 .
 This package provides the debugging symbols of the library.

Package: librtlsdr-dev
Description-md5: 80add2321bdda28a25cb030cf0c28f7f
Description-en: Software defined radio receiver for Realtek RTL2832U (development)
 rtl-sdr is a software defined radio (SDR) receiver software for certain
 low-cost DVB-T/DAB(+) USB dongles based on the Realtek RTL2832U chip.
 .
 This package contains development files.

Package: librtlsdr0
Description-md5: 29f2fdb7d8f0b96a1c1915fd8793a197
Description-en: Software defined radio receiver for Realtek RTL2832U (library)
 rtl-sdr is a software defined radio (SDR) receiver software for certain
 low-cost DVB-T/DAB(+) USB dongles based on the Realtek RTL2832U chip.
 .
 This package contains the shared library.

Package: librtmidi-dev
Description-md5: 54fd0b82fc9ca8bd591d66ee5342b772
Description-en: C++ library for realtime MIDI input/ouput (development files)
 RtMidi is a set of C++ classes that provides a common API (Application
 Programming Interface) for realtime MIDI input/output across Linux
 (native ALSA, JACK, and OSS), Macintosh OS X, SGI, and Windows
 (DirectSound and ASIO) operating systems. RtMidi significantly
 simplifies the process of interacting with computer audio hardware.
 .
 This package provides the development files.

Package: librtmidi-doc
Description-md5: 1b1c666717aabc2056f67495c496b2f9
Description-en: C++ library for realtime MIDI input/ouput (documentation files)
 RtMidi is a set of C++ classes that provides a common API (Application
 Programming Interface) for realtime MIDI input/output across Linux
 (native ALSA, JACK, and OSS), Macintosh OS X, SGI, and Windows
 (DirectSound and ASIO) operating systems. RtMidi significantly
 simplifies the process of interacting with computer audio hardware.
 .
 This package provides the API documentation.

Package: librtmidi4
Description-md5: 0143a1c3acbdb045e4fcaab0d8657b11
Description-en: C++ library for realtime MIDI input/ouput
 RtMidi is a set of C++ classes that provides a common API (Application
 Programming Interface) for realtime MIDI input/output across Linux
 (native ALSA, JACK, and OSS), Macintosh OS X, SGI, and Windows
 (DirectSound and ASIO) operating systems. RtMidi significantly
 simplifies the process of interacting with computer audio hardware. It
 was designed with the following objectives:
 .
  * object-oriented C++ design
  * simple, common API across all supported platforms
  * only one source and two header files for easy inclusion in
    programming projects
  * MIDI device enumeration
 .
 MIDI input and output functionality are separated into two classes,
 RtMidiIn and RtMidiOut. Each class instance supports only a single MIDI
 connection. RtMidi does not provide timing functionality (i.e., output
 messages are sent immediately). Input messages are timestamped with delta
 times in seconds (via a double floating point type). MIDI data is passed
 to the user as raw bytes using an std::vector<unsigned char>.
 .
 This package provides the shared library.

Package: librtr-dev
Description-md5: d888b6962e4265bfaa0638a6787430ac
Description-en: Small extensible RPKI-RTR-Client C library - development files
 RTRlib is an open-source C implementation of the  RPKI/Router Protocol
 client. The library allows one to fetch and store validated prefix origin data
 from a RTR-cache and performs origin verification of prefixes. It supports
 different types of transport sessions (e.g., SSH, unprotected TCP) and is
 easily extendable.
 .
 This package contains development files.

Package: librtr-doc
Description-md5: aad8e72abaa313848973c130c269878a
Description-en: Small extensible RPKI-RTR-Client C library - documentation
 RTRlib is an open-source C implementation of the  RPKI/Router Protocol
 client. The library allows one to fetch and store validated prefix origin data
 from a RTR-cache and performs origin verification of prefixes. It supports
 different types of transport sessions (e.g., SSH, unprotected TCP) and is
 easily extendable.
 .
 This package contains the doxygen generated HTML documentation for the
 libraries.

Package: librtr0
Description-md5: 829cf840921275cbf36b64005eb2ec95
Description-en: Small extensible RPKI-RTR-Client C library
 RTRlib is an open-source C implementation of the  RPKI/Router Protocol
 client. The library allows one to fetch and store validated prefix origin data
 from a RTR-cache and performs origin verification of prefixes. It supports
 different types of transport sessions (e.g., SSH, unprotected TCP) and is
 easily extendable.

Package: librtsp-server-perl
Description-md5: 1a0f6fcafc450fed7ea7feceae434836
Description-en: Lightweight RTSP/RTP streaming media server (library)
 This module is designed to accept a number of sources to connect and
 transmit audio and video streams. Clients can connect and send RTSP
 commands to receive RTP data.
 .
 This was designed to make rebroadcasting audio and video data over a
 network simple.
 .
 This package provide the PERL library Rtsp::Server.

Package: librttopo-dev
Description-md5: 8eef3f18ed202897263edf1c83073c18
Description-en: Tuscany Region topology library - Development files
 The RT Topology Library exposes an API to create and manage standard
 (ISO 13249 aka SQL/MM) topologies using user-provided data stores.
 .
 The code is derived from PostGIS liblwgeom library enhanced to provide
 thread-safety, have less dependencies and be independent from PostGIS
 release cycles.
 .
 This package contains the development files.

Package: librttopo1
Description-md5: 28d084e05e252c790a1717a903a1c453
Description-en: Tuscany Region topology library
 The RT Topology Library exposes an API to create and manage standard
 (ISO 13249 aka SQL/MM) topologies using user-provided data stores.
 .
 The code is derived from PostGIS liblwgeom library enhanced to provide
 thread-safety, have less dependencies and be independent from PostGIS
 release cycles.
 .
 This package contains the shared library.

Package: librttr-core0.9.6
Description-md5: 3021af7e7b903da8172f6cb9674dfb40
Description-en: C++ reflection library
 RTTR stands for Run Time Type Reflection. It describes the ability of a
 computer program to introspect and modify an object at runtime. It is also
 the name of the library itself, which is written in C++ and released as open
 source library.
 .
 This package contains the shared library.

Package: librttr-dev
Description-md5: db911358866aa2e86d6168220e36f0bd
Description-en: C++ reflection library (development headers)
 RTTR stands for Run Time Type Reflection. It describes the ability of a
 computer program to introspect and modify an object at runtime. It is also
 the name of the library itself, which is written in C++ and released as open
 source library.
 .
 This package contains the development libraries and headers.

Package: librubberband-dev
Description-md5: 4db9dc91f11bbf383ef1f89b479fff70
Description-en: audio time-stretching and pitch-shifting library (development files)
 Rubber Band is a library and utility program that permits you to
 change the tempo and pitch of an audio recording independently of one
 another.
 .
 This package contains development files for linking against
 librubberband, along with the static version of the library. API
 documentation is available at
 http://www.breakfastquay.com/rubberband/code-doc/ .

Package: librubberband2
Description-md5: 4028c22f594568d9ed3f1a77fd3101c8
Description-en: audio time-stretching and pitch-shifting library
 Rubber Band is a library and utility program that permits you to
 change the tempo and pitch of an audio recording independently of one
 another.

Package: libruby
Description-md5: e219f5db3e3ff586a2ee0a9af04f85d2
Description-en: Libraries necessary to run Ruby
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 This package includes the 'libruby' library, necessary to run Ruby.
 .
 This package is a dependency package, which depends on Debian's default Ruby
 version (currently v2.7).

Package: librudecgi-dev
Description-md5: b92779c662f6ff3d4718c198f604cd1e
Description-en: C++ parser library for CGI applications - development libraries
 RudeCGI is a  C++ parser library for accessing form data, path info and cookie
 data from CGI applications. In addition to normal GET and POST data, the
 component supports file uploads (multipart/form-data), and simple XML content
 types (text/XML) - allowing easy use with XML based clients such as Flash
 applications. Furthermore, the component supports path-mapping, allowing
 information to be specified without identifying keywords. In addition to
 normal CGI operation, if the component detects that it is not in a web-
 environment it provides an interactive console dialog to let you supply
 formdata in real-time as the application requests it. The component does
 not parse the environment until the application first accesses the instance
 object. As such, no parsing overhead will occur if the application does not
 explicitly access the component.
 .
 This package contains header files and static libraries.

Package: librudecgi5v5
Description-md5: 3ef2e01c3d5f1d2aa8f8d8cce09e0bfc
Description-en: C++ parser library for CGI applications - runtime
 RudeCGI is a c++ parser library for accessing form data, path info and cookie
 data from CGI applications. In addition to normal GET and POST data, the
 component supports file uploads (multipart/form-data), and simple XML content
 types (text/XML) - allowing easy use with XML based clients such as Flash
 applications. Furthermore, the component supports path-mapping, allowing
 information to be specified without identifying keywords. In addition to
 normal CGI operation, if the component detects that it is not in a web-
 environment it provides an interactive console dialog to let you supply
 formdata in real-time as the application requests it. The component does
 not parse the environment until the application first accesses the instance
 object. As such, no parsing overhead will occur if the application does not
 explicitly access the component.

Package: libruli-bin
Description-md5: 9423532753b04f60706f01e8edc576db
Description-en: Library for easily querying DNS SRV records - sample programs
 RULI stands for Resolver User Layer Interface. It's a library
 built on top of an asynchronous DNS stub resolver. RULI
 provides an easy-to-use interface for querying DNS SRV
 resource records. The goal is to promote the wide deployment
 of SRV-cognizant client programs. RULI aims to fully support
 SRV-related standards.
 .
 This package contains the RULI sample programs.

Package: libruli4
Description-md5: e2645cf7434378604f739fc83fe104d4
Description-en: Library for easily querying DNS SRV records
 RULI stands for Resolver User Layer Interface. It's a library
 built on top of an asynchronous DNS stub resolver. RULI
 provides an easy-to-use interface for querying DNS SRV
 resource records. The goal is to promote the wide deployment
 of SRV-cognizant client programs. RULI aims to fully support
 SRV-related standards.

Package: libruli4-dev
Description-md5: e28e375db82b8c9fb059eba83d96dd18
Description-en: Library for easily querying DNS SRV records - development files
 RULI stands for Resolver User Layer Interface. It's a library
 built on top of an asynchronous DNS stub resolver. RULI
 provides an easy-to-use interface for querying DNS SRV
 resource records. The goal is to promote the wide deployment
 of SRV-cognizant client programs. RULI aims to fully support
 SRV-related standards.
 .
 This package contains the RULI development libraries and
 header files, required to develop and/or compile
 applications that use RULI.

Package: librun-parts-perl
Description-md5: 5212d3ea3f8ba2f88f1d5846dd4bd599
Description-en: Offers run-parts' functionality in Perl
 run-parts and hence Run::Parts runs all the executable files named
 within constraints described below, found in the given directory.
 Other files and directories are silently ignored.
 .
 Additionally they can just print the names of the all matching files
 (not limited to executables, but ignores blacklisted files like
 e.g. backup files), but don't actually run them.
 .
 Run::Parts contains two backend implementation. Run::Parts::Debian
 actually uses /bin/run-parts and Run::Parts::Perl is a pure Perl
 implementation of a basic set of run-parts' functionality.
 .
 On Debian Run::Parts uses Run::Parts::Debian by default. But you can
 also choose any of the backends explicitly.

Package: librunapp-perl
Description-md5: 30146136d99e30cf0d6a6df04d47b806
Description-en: generic module to run web-applications
 RunApp streamlines the process for configuring applications that
 requires one or more web servers and/or other daemons, during
 development or deployment.  It builds the config files required  by
 the services (such as apache's httpd.conf) using a hash.

Package: librunning-commentary-perl
Description-md5: 547d7b6938bb2bcfd04f43ab07779586
Description-en: Perl module to call system() with tracking messages
 Running::Commentary provides a single subroutine: run() which is
 designed to be a more informative and less error-prone replacement for
 the built-in system(). run() acts like system(), except that it returns
 true on success and false on failure, and it announces what it's doing.
 .
 It also provides a compile-time keyword: run_with with which you can set
 lexically scoped default options for run().

Package: librust-actix-derive-dev
Description-md5: 61062628ec43b552badefc24ac0c2528
Description-en: Actor framework for Rust - Rust source code
 This package contains the source for the Rust actix_derive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-addr2line+alloc-dev
Description-md5: 6d76f923891d95bc582b3cdc149f8c88
Description-en: Cross-platform symbolication library - feature "alloc"
 This metapackage enables feature "alloc" for the Rust addr2line crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-addr2line+cpp-demangle-dev
Description-md5: bb855924ea9a26eab6944d94571a0dfe
Description-en: Cross-platform symbolication library - feature "cpp_demangle"
 This metapackage enables feature "cpp_demangle" for the Rust addr2line crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-addr2line+default-dev
Description-md5: 98512ec885c1e43aad9cca9f76248b4b
Description-en: Cross-platform symbolication library - feature "default"
 This metapackage enables feature "default" for the Rust addr2line crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-addr2line+object-dev
Description-md5: 9065faf4bcdfb5d60b2b96353e403bff
Description-en: Cross-platform symbolication library - feature "object"
 This metapackage enables feature "object" for the Rust addr2line crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-addr2line+rustc-demangle-dev
Description-md5: d6f20107855ed056ae601f6cd7ebd811
Description-en: Cross-platform symbolication library - feature "rustc-demangle"
 This metapackage enables feature "rustc-demangle" for the Rust addr2line crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-addr2line+std-dev
Description-md5: 48bc32cf692df40384cb32485cb06d2f
Description-en: Cross-platform symbolication library - feature "std"
 This metapackage enables feature "std" for the Rust addr2line crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-addr2line+std-object-dev
Description-md5: 6fe0f764efa5eea4dda1269ecbc299b7
Description-en: Cross-platform symbolication library - feature "std-object"
 This metapackage enables feature "std-object" for the Rust addr2line crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-addr2line-dev
Description-md5: 344152e0a6f4599b846b2688140a8d9f
Description-en: Cross-platform symbolication library - Rust source code
 This package contains the source for the Rust addr2line crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-adler32-dev
Description-md5: 520b88b809b6c8ad647aec89b9099518
Description-en: Minimal Adler32 implementation for Rust - Rust source code
 This package contains the source for the Rust adler32 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-aes-ctr-dev
Description-md5: f5ab6f6d27409041207147148fc9b1b4
Description-en: AES-CTR stream ciphers - Rust source code
 This package contains the source for the Rust aes-ctr crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-aes-dev
Description-md5: 6d52975a62ec33be762c44ced716016d
Description-en: Facade for AES (Rijndael) block ciphers implementations - Rust source code
 This package contains the source for the Rust aes crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-aes-soft-dev
Description-md5: 8d37e268cfd05c6f0940993c6cc749ca
Description-en: AES (Rijndael) block ciphers bit-sliced implementation - Rust source code
 This package contains the source for the Rust aes-soft crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-aho-corasick+std-dev
Description-md5: 63533852dfc9d7123b76ac7b03b619eb
Description-en: Fast multiple substring searching - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust aho-corasick crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-aho-corasick-dev
Description-md5: 45812a7eb6e5a65add4762d65ed59e4b
Description-en: Fast multiple substring searching - Rust source code
 This package contains the source for the Rust aho-corasick crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-alsa-sys-dev
Description-md5: dae2110d460ff7c180fbdbdd0ee3a78b
Description-en: FFI bindings for the ALSA project (Advanced Linux Sound Architecture) - Rust source code
 This package contains the source for the Rust alsa-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ansi-colours-dev
Description-md5: a46e46d84da805545cd7df7666e4426b
Description-en: True-colour ↔ ANSI terminal palette converter - Rust source code
 This package contains the source for the Rust ansi_colours crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ansi-term+derive-serde-style-dev
Description-md5: 1cd55466c89f1ab71f67d616d91d1223
Description-en: ANSI terminal styles and colors - feature "derive_serde_style" and 1 more
 This metapackage enables feature "derive_serde_style" for the Rust ansi_term
 crate, by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serde" feature.

Package: librust-ansi-term-dev
Description-md5: ae1348783ab2f8c0901caa2277555e89
Description-en: ANSI terminal styles and colors - Rust source code
 This package contains the source for the Rust ansi_term crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-antidote-dev
Description-md5: d3d41f1149c48db645c9928b01568f21
Description-en: Poison-free Mutex and RwLock types - Rust source code
 This package contains the source for the Rust antidote crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-anyhow-dev
Description-md5: f33425633108bd0d3f4dd456b9b2e07e
Description-en: Flexible concrete Error type built on std::error::Error - Rust source code
 This package contains the source for the Rust anyhow crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-approx+num-complex-dev
Description-md5: edcb3a8641e9e2bcb51deb412f2103be
Description-en: Approximate floating point equality comparisons and assertions - feature "num-complex"
 This metapackage enables feature num-complex for the Rust approx crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-approx-dev
Description-md5: cd05eb3f5ecb3e1b2ae87ab058cbb015
Description-en: Approximate floating point equality comparisons and assertions - Rust source code
 This package contains the source for the Rust approx crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ar-dev
Description-md5: e14d422075cb4381c5e4dd6fbc9f849f
Description-en: Encoding/decoding Unix archive files - Rust source code
 This package contains the source for the Rust ar crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-arc-swap-dev
Description-md5: de4b8aa9fe39cc5f2d8e36dfe67a9d7d
Description-en: Atomically swappable Arc - Rust source code
 This package contains the source for the Rust arc-swap crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-argparse-dev
Description-md5: f4a6868f0ec9fc36fe395f1b06029415
Description-en: Powerful command-line argument parsing library - Rust source code
 This package contains the source for the Rust argparse crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-array-init-dev
Description-md5: 04c3e7617a2b815fb7a5bdbed054c2a9
Description-en: Safe wrapper for initializing fixed-size arrays - Rust source code
 This package contains the source for the Rust array-init crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-array-macro-dev
Description-md5: 948264d65e2fc2c142c2a8fb6824a79f
Description-en: Array multiple elements constructor syntax - Rust source code
 This package contains the source for the Rust array-macro crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-arrayref-dev
Description-md5: b9defe3647c07642ecbbd5f8a4e2d9a5
Description-en: Macros to take array references of slices - Rust source code
 This package contains the source for the Rust arrayref crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-arrayvec+serde-dev
Description-md5: d0d755fe8c02e42d5b74c0c70ec6ecda
Description-en: Vector with fixed capacity, backed by an array - feature "serde"
 Implements fixed capacity ArrayVec and ArrayString.
 .
 This metapackage enables feature "serde" for the Rust arrayvec crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-arrayvec-dev
Description-md5: e6e106c0cd9b7ab60c6b4de2be780350
Description-en: Vector with fixed capacity, backed by an array - Rust source code
 Implements fixed capacity ArrayVec and ArrayString.
 .
 This package contains the source for the Rust arrayvec crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ascii+serde-dev
Description-md5: c645d979958075746f7bf3ca65cad4c0
Description-en: ASCII-only equivalents to `char`, `str` and `String` - feature "serde"
 This metapackage enables feature "serde" for the Rust ascii crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-ascii+serde-test-dev
Description-md5: 522122a4b6204f6cc65f752f0fbff56d
Description-en: ASCII-only equivalents to `char`, `str` and `String` - feature "serde_test"
 This metapackage enables feature "serde_test" for the Rust ascii crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-ascii-canvas-dev
Description-md5: 0e9a0e0c409f1c9ac27f18844d80f7fe
Description-en: Simple canvas for drawing lines and styled text and emitting to the terminal - Rust source code
 This package contains the source for the Rust ascii-canvas crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ascii-dev
Description-md5: 1d8164305a9bbcfee40b4795ed6de771
Description-en: ASCII-only equivalents to `char`, `str` and `String` - Rust source code
 This package contains the source for the Rust ascii crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-ascii-table-dev
Description-md5: 1dc8bd7fd512b019bb3a78bf170f594c
Description-en: Print ASCII tables to the terminal - Rust source code
 This package contains the source for the Rust ascii_table crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-assert-cli-dev
Description-md5: c8823f61e046ca483ab70ce384cf48aa
Description-en: test CLI applications - Rust source code
 This package contains the source for the Rust assert_cli crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-atk+dox-dev
Description-md5: 50b4ba34d51450aaa459d565d35fa010
Description-en: Rust bindings for the ATK library - feature "dox"
 This metapackage enables feature "dox" for the Rust atk crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-atk+embed-lgpl-docs-dev
Description-md5: abd152b38cfb5fa2acdba3bf49f85472
Description-en: Rust bindings for the ATK library - feature "embed-lgpl-docs" and 2 more
 This metapackage enables feature "embed-lgpl-docs" for the Rust atk crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "gtk-rs-lgpl-docs", and "purge-
 lgpl-docs" features.

Package: librust-atk+v2-30-dev
Description-md5: a554126f2d1a87767659f3bf45dc58eb
Description-en: Rust bindings for the ATK library - feature "v2_30"
 This metapackage enables feature "v2_30" for the Rust atk crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-atk-dev
Description-md5: 47228c1b0ddbdf9e34f3e81e4fe7251f
Description-en: Rust bindings for the ATK library - Rust source code
 This package contains the source for the Rust atk crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-atk-sys-dev
Description-md5: 0c3c7bbf99aa9eeb366bb6fd80e0b2e6
Description-en: FFI bindings to libatk-1 - Rust source code
 This package contains the source for the Rust atk-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-atlatl+serde-dev
Description-md5: 13a460b7ea42fb885f584a380d7e19a8
Description-en: Double-array tries - feature "serde"
 This metapackage enables feature serde for the Rust atlatl crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-atlatl-dev
Description-md5: ffb7b09fd93f3155911d2f29747122b1
Description-en: Double-array tries - Rust source code
 This package contains the source for the Rust atlatl crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-atoi-dev
Description-md5: 3440e7cb6917dfbc38836eb17eba291d
Description-en: Parse integers directly from `[u8]` slices in safe code - Rust source code
 This package contains the source for the Rust atoi crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-atty-dev
Description-md5: 1459f79a5478b6b82fdbddeb953760a5
Description-en: Simple interface for querying atty - Rust source code
 This package contains the source for the Rust atty crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-autocfg-dev
Description-md5: 6d73467130b9b2909ad2254090d76de4
Description-en: Automatic cfg for Rust compiler features - Rust source code
 This package contains the source for the Rust autocfg crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-backtrace+addr2line-dev
Description-md5: 819b01d42fd53a72c34d1dd7acce2235
Description-en: Acquire a stack trace (backtrace) at runtime - feature "addr2line"
 This metapackage enables feature addr2line for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+backtrace-sys-dev
Description-md5: aa05d359584b4d867b0dc1015605ae23
Description-en: Acquire a stack trace (backtrace) at runtime - feature "backtrace-sys"
 This metapackage enables feature backtrace-sys for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+cpp-demangle-dev
Description-md5: 1c36c5097c4806e5990340068bd2416c
Description-en: Acquire a stack trace (backtrace) at runtime - feature "cpp_demangle"
 This metapackage enables feature cpp_demangle for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+default-dev
Description-md5: f35ec04ff379439aac5a1fe0fbfd6073
Description-en: Acquire a stack trace (backtrace) at runtime - feature "default"
 This metapackage enables feature default for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+findshlibs-dev
Description-md5: 215e5e49741ddf8f584269e4fbe68cdf
Description-en: Acquire a stack trace (backtrace) at runtime - feature "findshlibs"
 This metapackage enables feature findshlibs for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+gimli-dev
Description-md5: 3376c430ba55b186c643bf50342dd648
Description-en: Acquire a stack trace (backtrace) at runtime - feature "gimli"
 This metapackage enables feature gimli for the Rust backtrace crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-backtrace+gimli-symbolize-dev
Description-md5: 6441cf3f8f012c37fdd05603cc5dd841
Description-en: Acquire a stack trace (backtrace) at runtime - feature "gimli-symbolize"
 This metapackage enables feature gimli-symbolize for the Rust backtrace crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+libbacktrace-dev
Description-md5: 32dd6d2553c6423ea38dc4d897be7d38
Description-en: Acquire a stack trace (backtrace) at runtime - feature "libbacktrace"
 This metapackage enables feature libbacktrace for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+memmap-dev
Description-md5: 15e7f86acd8238a6c910bc5cf46225ba
Description-en: Acquire a stack trace (backtrace) at runtime - feature "memmap"
 This metapackage enables feature memmap for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+object-dev
Description-md5: e8badbdc210f53aa613494b6fa2dda17
Description-en: Acquire a stack trace (backtrace) at runtime - feature "object"
 This metapackage enables feature object for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+rustc-serialize-dev
Description-md5: 4c86fdc6e35f224515b3bac782de0b06
Description-en: Acquire a stack trace (backtrace) at runtime - feature "rustc-serialize"
 This metapackage enables feature rustc-serialize for the Rust backtrace crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+serde-derive-dev
Description-md5: 93ab49d7890621f5bd3b36fb17f075db
Description-en: Acquire a stack trace (backtrace) at runtime - feature "serde_derive"
 This metapackage enables feature serde_derive for the Rust backtrace crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+serde-dev
Description-md5: a110ba4671c0a1c7f91ffbec333e09a2
Description-en: Acquire a stack trace (backtrace) at runtime - feature "serde"
 This metapackage enables feature serde for the Rust backtrace crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-backtrace+serialize-rustc-dev
Description-md5: 94b78eb4e1f70540f76b03ff9b2d4c3d
Description-en: Acquire a stack trace (backtrace) at runtime - feature "serialize-rustc"
 This metapackage enables feature serialize-rustc for the Rust backtrace crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-backtrace+serialize-serde-dev
Description-md5: 8e512e11e5344bd828badc1838e696f2
Description-en: Acquire a stack trace (backtrace) at runtime - feature "serialize-serde"
 This metapackage enables feature serialize-serde for the Rust backtrace crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-backtrace-dev
Description-md5: 1a8ea01131004b7b36862a0a69d70eec
Description-en: Acquire a stack trace (backtrace) at runtime - Rust source code
 This package contains the source for the Rust backtrace crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-backtrace-sys-dev
Description-md5: b1c510214e80e27d0736ec1af8d2dc8e
Description-en: Bindings to the libbacktrace gcc library - Rust source code
 This package contains the source for the Rust backtrace-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-base-x-dev
Description-md5: c6333f9b483b178fc35be6cbdc9ed49e
Description-en: Encode/decode any base - Rust source code
 This package contains the source for the Rust base-x crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-base32-dev
Description-md5: a7afc60f09d6e8ea20c4a4cf67173741
Description-en: Base32 encoder/decoder for Rust - Rust source code
 This package contains the source for the Rust base32 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-base64-dev
Description-md5: 6e66df8675155a1bdb1398a6010b9073
Description-en: Encodes and decodes base64 as bytes or utf8 - Rust source code
 This package contains the source for the Rust base64 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bigdecimal+serde-dev
Description-md5: 3b221be8aa43a96982c89d7f2448c6e0
Description-en: Arbitrary percision decimal numbers - feature "serde"
 This metapackage enables feature "serde" for the Rust bigdecimal crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-bigdecimal-dev
Description-md5: bc3bf74780ecda137a87d392e4e9631b
Description-en: Arbitrary percision decimal numbers - Rust source code
 This package contains the source for the Rust bigdecimal crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bincode-dev
Description-md5: 687702cc4e4019962031b9a471063941
Description-en: Compact binary serialization / deserialization library - Rust source code
 This package contains the source for the Rust bincode crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bit-set+nightly-dev
Description-md5: 2433b264bbe4c5d3f720eff1a53964d4
Description-en: Set of bits - feature "nightly"
 This metapackage enables feature nightly for the Rust bit-set crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-bit-set+std-dev
Description-md5: 27208ad0ba05b06e98b15891ea92410f
Description-en: Set of bits - feature "std"
 This metapackage enables feature std for the Rust bit-set crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-bit-set-dev
Description-md5: d6550a36ec76d90a02a34aa71f90b7b9
Description-en: Set of bits - Rust source code
 This package contains the source for the Rust bit-set crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bit-vec-dev
Description-md5: f8f93900b295f4e88dd8c40599b7fe93
Description-en: Vector of bits - Rust source code
 This package contains the source for the Rust bit-vec crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bitflags-dev
Description-md5: e8ea02164814596ae7402f445e527e5b
Description-en: Macro to generate structures which behave like bitflags - Rust source code
 This package contains the source for the Rust bitflags crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-blobby-dev
Description-md5: 1dfcc5edf6fa8301a6d0599a719e3a33
Description-en: Iterator over simple binary blob storage - Rust source code
 This package contains the source for the Rust blobby crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-block-buffer-dev
Description-md5: 09a6988443e22e5780d3a2cb61a3d082
Description-en: Fixed size buffer for block processing of data - Rust source code
 This package contains the source for the Rust block-buffer crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-block-cipher-trait+blobby-dev
Description-md5: 9fe2dedef63260026e25d23bb89e5f49
Description-en: Traits for description of block ciphers - feature "blobby" and 1 more
 This metapackage enables feature "blobby" for the Rust block-cipher-trait
 crate, by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "dev" feature.

Package: librust-block-cipher-trait-dev
Description-md5: fa21981b0193d0911e1d7fac6e81ea5c
Description-en: Traits for description of block ciphers - Rust source code
 This package contains the source for the Rust block-cipher-trait crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-block-dev
Description-md5: dfc440df9ce3d5885753bb20ece8ecb1
Description-en: Rust interface for Apple's C language extension of blocks - Rust source code
 This package contains the source for the Rust block crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-block-modes-dev
Description-md5: 26e78aebbcf799e408f016ffdd4ad8d6
Description-en: Block cipher modes of operation - Rust source code
 This package contains the source for the Rust block-modes crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-block-padding-dev
Description-md5: 0a6097df45030a434af3a9869feb815c
Description-en: Padding and unpadding of messages divided into blocks - Rust source code
 This package contains the source for the Rust block-padding crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-box-drawing-dev
Description-md5: e70ed8e9efce2468d97bad9bdf0b7fd4
Description-en: Very simple library containing constants for UTF-8 box drawing - Rust source code
 This package contains the source for the Rust box_drawing crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-boxfnonce-dev
Description-md5: d2657046b3d4f5d126329d9232d0963c
Description-en: Safe FnOnce boxing for rust stable - Rust source code
 This package contains the source for the Rust boxfnonce crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bs58+check-dev
Description-md5: 9071959894e890207e30e720b60ed3bc
Description-en: Another Base58 codec implementation - feature "check"
 This metapackage enables feature check for the Rust bs58 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-bs58+sha2-dev
Description-md5: fff3a6fc7ebb0d31f7bfe2bff32ed703
Description-en: Another Base58 codec implementation - feature "sha2"
 This metapackage enables feature sha2 for the Rust bs58 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-bs58-dev
Description-md5: c7f91b3b3bbd663d3c02f82eda6d4fda
Description-en: Another Base58 codec implementation - Rust source code
 This package contains the source for the Rust bs58 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-bstr+default-dev
Description-md5: d5bcfdd0d71b8cb6a53aa4839983d270
Description-en: String type that is not required to be valid UTF-8 - feature "default"
 This metapackage enables feature "default" for the Rust bstr crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-bstr+lazy-static-dev
Description-md5: 6d8bfc627e07a1374551f3b27abec026
Description-en: String type that is not required to be valid UTF-8 - feature "lazy_static"
 This metapackage enables feature "lazy_static" for the Rust bstr crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-bstr+regex-automata-dev
Description-md5: 8d61d1eaf656392963ff36c0c095e978
Description-en: String type that is not required to be valid UTF-8 - feature "regex-automata"
 This metapackage enables feature "regex-automata" for the Rust bstr crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-bstr+serde-dev
Description-md5: bb312d9af7487debbf4a1eb24879cef6
Description-en: String type that is not required to be valid UTF-8 - feature "serde" and 1 more
 This metapackage enables feature "serde" for the Rust bstr crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serde1-nostd" feature.

Package: librust-bstr+serde1-dev
Description-md5: ff63ebeec27e0f1745a8170f57e80a9e
Description-en: String type that is not required to be valid UTF-8 - feature "serde1"
 This metapackage enables feature "serde1" for the Rust bstr crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-bstr+std-dev
Description-md5: 8b4d9c655e709685eaac7f4fad04301a
Description-en: String type that is not required to be valid UTF-8 - feature "std"
 This metapackage enables feature "std" for the Rust bstr crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-bstr+unicode-dev
Description-md5: 484d00bd19700d6fb0fb479d7b41904b
Description-en: String type that is not required to be valid UTF-8 - feature "unicode"
 This metapackage enables feature "unicode" for the Rust bstr crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-bstr-dev
Description-md5: 135f2199c3866ad45478dfd9152e47f6
Description-en: String type that is not required to be valid UTF-8 - Rust source code
 This package contains the source for the Rust bstr crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-buffered-reader+bzip2-dev
Description-md5: 23fc79a545cd377b2525d171e68ceed7
Description-en: Super-powered Reader - feature "bzip2" and 1 more
 This metapackage enables feature "bzip2" for the Rust buffered-reader crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "compression-bzip2" feature.

Package: librust-buffered-reader+compression-deflate-dev
Description-md5: 4425b249f62063eab1777d2cc752d9c3
Description-en: Super-powered Reader - feature "compression-deflate" and 1 more
 This metapackage enables feature "compression-deflate" for the Rust buffered-
 reader crate, by pulling in any additional dependencies needed by that
 feature.
 .
 Additionally, this package also provides the "flate2" feature.

Package: librust-buffered-reader+compression-dev
Description-md5: 6f06a663ae352f1ca8031ad144b89a0f
Description-en: Super-powered Reader - feature "compression" and 1 more
 This metapackage enables feature "compression" for the Rust buffered-reader
 crate, by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-buffered-reader-dev
Description-md5: 2bbea63f39c5504153dfb55a28a134af
Description-en: Super-powered Reader - Rust source code
 This package contains the source for the Rust buffered-reader crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-bufstream+futures-dev
Description-md5: dd372fcef564dab72362a4a5e476d2eb
Description-en: Buffered I/O for streams - feature "futures"
 This metapackage enables feature futures for the Rust bufstream crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-bufstream+tokio-dev
Description-md5: 218edc71d28546e920033c140a8ecb21
Description-en: Buffered I/O for streams - feature "tokio"
 This metapackage enables feature tokio for the Rust bufstream crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-bufstream+tokio-io-dev
Description-md5: e00b820247f21fb97d42c4bdf7b20de8
Description-en: Buffered I/O for streams - feature "tokio-io"
 This metapackage enables feature tokio-io for the Rust bufstream crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-bufstream-dev
Description-md5: 5deaa82b5bcb8f68cb926019ab3ece17
Description-en: Buffered I/O for streams - Rust source code
 This package contains the source for the Rust bufstream crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-build-const-dev
Description-md5: a15369229369c769d03834acbaaf1f0c
Description-en: Creating importable constants from build.rs or a script - Rust source code
 This package contains the source for the Rust build_const crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bumpalo-dev
Description-md5: d3f88f85a83301a16f12cd597d878bff
Description-en: Fast bump allocation arena for Rust - Rust source code
 This package contains the source for the Rust bumpalo crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-byte-slice-cast-dev
Description-md5: a4e17201a32425b3fe97f8fbd8a78600
Description-en: Safely cast bytes slices from/to slices of built-in fundamental numeric types - Rust source code
 This package contains the source for the Rust byte-slice-cast crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-byte-tools-dev
Description-md5: 264d2fe59e9c4575da884b84abdac219
Description-en: Bytes related utility functions - Rust source code
 This package contains the source for the Rust byte-tools crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bytecount+generic-simd-dev
Description-md5: 57f4b28ecd917ff67ae7b7398fd23cc7
Description-en: Count bytes or UTF-8 codepoints in a byte slice - feature "generic-simd"
 This metapackage enables feature generic-simd for the Rust bytecount crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-bytecount+packed-simd-dev
Description-md5: b2a9fca93947a56f6f45afd21b530d2d
Description-en: Count bytes or UTF-8 codepoints in a byte slice - feature "packed_simd"
 This metapackage enables feature packed_simd for the Rust bytecount crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-bytecount-dev
Description-md5: 2321d6221a5a21ff7184f89b382aa8e4
Description-en: Count bytes or UTF-8 codepoints in a byte slice - Rust source code
 This package contains the source for the Rust bytecount crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-byteorder-dev
Description-md5: 3c19f31140233ae8f3dfd16b4eaba6e5
Description-en: Reading/writing numbers in big-endian and little-endian - Rust source code
 This package contains the source for the Rust byteorder crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bytes+either-dev
Description-md5: 6d03ff27e0172ec8314c225cc9e6bc61
Description-en: Types and traits for working with bytes - feature "either"
 This metapackage enables feature either for the Rust bytes crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-bytes+i128-dev
Description-md5: e36b01bf15ca2eff2cd428df8784b643
Description-en: Types and traits for working with bytes - feature "i128"
 This metapackage enables feature i128 for the Rust bytes crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-bytes+serde-dev
Description-md5: b1d0632f0521eec5f6ff240fae1a1198
Description-en: Types and traits for working with bytes - feature "serde"
 This metapackage enables feature serde for the Rust bytes crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-bytes-dev
Description-md5: b369171bbc71b3552d65abd9b9e7cc1f
Description-en: Types and traits for working with bytes - Rust source code
 This package contains the source for the Rust bytes crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-bytesize+serde-dev
Description-md5: 1faf7845377a1ffb66d6c5d1f6cf7fa2
Description-en: Utility for human-readable bytes representations - feature "serde"
 This metapackage enables feature serde for the Rust bytesize crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-bytesize-dev
Description-md5: 52cfc5dc886f32db15e56ffc8e838bef
Description-en: Utility for human-readable bytes representations - Rust source code
 This package contains the source for the Rust bytesize crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-bzip2+futures-dev
Description-md5: 9ae96651333d7a98cd0053d980686a2b
Description-en: Bindings to libbzip2 (compression & decompression) - feature "futures"
 This metapackage enables feature futures for the Rust bzip2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-bzip2+tokio-dev
Description-md5: 696f27604686b87bd2874b126f216af5
Description-en: Bindings to libbzip2 (compression & decompression) - feature "tokio"
 This metapackage enables feature tokio for the Rust bzip2 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-bzip2+tokio-io-dev
Description-md5: b5487b83a58378a2cf5d4d3e55e1dacb
Description-en: Bindings to libbzip2 (compression & decompression) - feature "tokio-io"
 This metapackage enables feature tokio-io for the Rust bzip2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-bzip2-dev
Description-md5: 82274c965943e975cc02327bbcedda9d
Description-en: Bindings to libbzip2 (compression & decompression) - Rust source code
 This package contains the source for the Rust bzip2 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-bzip2-sys-dev
Description-md5: 6dd2ea5d41bfc3309d6257ef9d18be35
Description-en: Bindings to libbzip2 for bzip2 compression and decompression exposed as Reader/Writer streams - Rust source code
 This package contains the source for the Rust bzip2-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-c2-chacha+byteorder-dev
Description-md5: 6e1e40cb3dccf130649f0fa03c3393cb
Description-en: ChaCha family of stream ciphers - feature "byteorder"
 This metapackage enables feature "byteorder" for the Rust c2-chacha crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-c2-chacha+default-dev
Description-md5: 0f6599dfb1f2893ba6fcda51be6480f8
Description-en: ChaCha family of stream ciphers - feature "default"
 This metapackage enables feature "default" for the Rust c2-chacha crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-c2-chacha+lazy-static-dev
Description-md5: 6039e0b270c6fb49634615d9e1d6a5aa
Description-en: ChaCha family of stream ciphers - feature "lazy_static" and 1 more
 This metapackage enables feature "lazy_static" for the Rust c2-chacha crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "std" feature.

Package: librust-c2-chacha+rustcrypto-api-dev
Description-md5: 9055717af6764934fcc83b10672bbf2f
Description-en: ChaCha family of stream ciphers - feature "rustcrypto_api"
 This metapackage enables feature "rustcrypto_api" for the Rust c2-chacha crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-c2-chacha+simd-dev
Description-md5: aee47786b0f1a1936e9e8b6ef955e5e8
Description-en: ChaCha family of stream ciphers - feature "simd"
 This metapackage enables feature "simd" for the Rust c2-chacha crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-c2-chacha+stream-cipher-dev
Description-md5: dd2644b1500ad73d8b2a750678250c9c
Description-en: ChaCha family of stream ciphers - feature "stream-cipher"
 This metapackage enables feature "stream-cipher" for the Rust c2-chacha crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-c2-chacha-dev
Description-md5: 26d36a82230a0e82a652fef58b14b73c
Description-en: ChaCha family of stream ciphers - Rust source code
 This package contains the source for the Rust c2-chacha crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-cairo-rs+dox-dev
Description-md5: d0a8966ddb5bbf0c7d667b931d4dcb7d
Description-en: Rust bindings for the Cairo library - feature "dox"
 This metapackage enables feature dox for the Rust cairo-rs crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-cairo-rs+embed-lgpl-docs-dev
Description-md5: 6ba5b30718d53f4254cb9214a112ebe2
Description-en: Rust bindings for the Cairo library - feature "embed-lgpl-docs"
 This metapackage enables feature embed-lgpl-docs for the Rust cairo-rs crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-cairo-rs+glib-dev
Description-md5: 7190aeb116ef7ffc7c11a43131ee9f66
Description-en: Rust bindings for the Cairo library - feature "glib"
 This metapackage enables feature glib for the Rust cairo-rs crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-cairo-rs+glib-sys-dev
Description-md5: 00f46e06ff8f601e045f050c955749b0
Description-en: Rust bindings for the Cairo library - feature "glib-sys"
 This metapackage enables feature glib-sys for the Rust cairo-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cairo-rs+gobject-sys-dev
Description-md5: 75206dc445e9883529f95b38fb1667d1
Description-en: Rust bindings for the Cairo library - feature "gobject-sys"
 This metapackage enables feature gobject-sys for the Rust cairo-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cairo-rs+gtk-rs-lgpl-docs-dev
Description-md5: 4245eb63237786f4f4a587b7760fa486
Description-en: Rust bindings for the Cairo library - feature "gtk-rs-lgpl-docs"
 This metapackage enables feature gtk-rs-lgpl-docs for the Rust cairo-rs crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-cairo-rs+pdf-dev
Description-md5: 272d0d45d190c0f32e5d197fea6d6784
Description-en: Rust bindings for the Cairo library - feature "pdf"
 This metapackage enables feature pdf for the Rust cairo-rs crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-cairo-rs+png-dev
Description-md5: 68a32a6b1f813535c3f26f72d43df208
Description-en: Rust bindings for the Cairo library - feature "png"
 This metapackage enables feature png for the Rust cairo-rs crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-cairo-rs+ps-dev
Description-md5: 16d85ee2f690fe74c339fb8654665d49
Description-en: Rust bindings for the Cairo library - feature "ps"
 This metapackage enables feature ps for the Rust cairo-rs crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-cairo-rs+purge-lgpl-docs-dev
Description-md5: 5acca7960977cbd9f0650f524513ee9c
Description-en: Rust bindings for the Cairo library - feature "purge-lgpl-docs"
 This metapackage enables feature purge-lgpl-docs for the Rust cairo-rs crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-cairo-rs+svg-dev
Description-md5: 2b76394d63fc311994a7d6dbab4f522d
Description-en: Rust bindings for the Cairo library - feature "svg"
 This metapackage enables feature svg for the Rust cairo-rs crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-cairo-rs+use-glib-dev
Description-md5: ee18b3652cd712fb7c45fac8c6960829
Description-en: Rust bindings for the Cairo library - feature "use_glib"
 This metapackage enables feature use_glib for the Rust cairo-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cairo-rs+v1-14-dev
Description-md5: 98e3d223ac398e47fc8c0556696cd39d
Description-en: Rust bindings for the Cairo library - feature "v1_14"
 This metapackage enables feature v1_14 for the Rust cairo-rs crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-cairo-rs+v1-16-dev
Description-md5: dcc8c7cc1d75e732d66d53a38a6406c8
Description-en: Rust bindings for the Cairo library - feature "v1_16"
 This metapackage enables feature v1_16 for the Rust cairo-rs crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-cairo-rs+xcb-dev
Description-md5: 96d2e9f93a799f995a07dc2548484183
Description-en: Rust bindings for the Cairo library - feature "xcb"
 This metapackage enables feature xcb for the Rust cairo-rs crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-cairo-rs+xlib-dev
Description-md5: 779267224ef20c5e2f779d31d525da95
Description-en: Rust bindings for the Cairo library - feature "xlib"
 This metapackage enables feature xlib for the Rust cairo-rs crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-cairo-rs-dev
Description-md5: 14f05350868edbd337c59304ea2ef030
Description-en: Rust bindings for the Cairo library - Rust source code
 This package contains the source for the Rust cairo-rs crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-cairo-sys-rs+dox-dev
Description-md5: 6c4d43be5e550749a5d82d8ad6b2d19b
Description-en: FFI bindings to libcairo - feature "dox"
 This metapackage enables feature dox for the Rust cairo-sys-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cairo-sys-rs+glib-sys-dev
Description-md5: 113e4d4187335e809eab1e8bc5f5ce2e
Description-en: FFI bindings to libcairo - feature "glib-sys"
 This metapackage enables feature glib-sys for the Rust cairo-sys-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cairo-sys-rs+use-glib-dev
Description-md5: e28ab2c7cde70b2a9d175577660cb86e
Description-en: FFI bindings to libcairo - feature "use_glib"
 This metapackage enables feature use_glib for the Rust cairo-sys-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cairo-sys-rs+x11-dev
Description-md5: d20078b45f76be2d66f17634f0272d74
Description-en: FFI bindings to libcairo - feature "x11"
 This metapackage enables feature x11 for the Rust cairo-sys-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cairo-sys-rs+xlib-dev
Description-md5: 5dac4bb86d831c6eed9d2ce63f83fd03
Description-en: FFI bindings to libcairo - feature "xlib"
 This metapackage enables feature xlib for the Rust cairo-sys-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cairo-sys-rs-dev
Description-md5: b8c25ad556fbbe78a2a9f936231a20f5
Description-en: FFI bindings to libcairo - Rust source code
 This package contains the source for the Rust cairo-sys-rs crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-caps-dev
Description-md5: f34e79b5afb497b9c87d59f905edd1f0
Description-en: Pure-Rust library to work with Linux capabilities - Rust source code
 This package contains the source for the Rust caps crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-cargo-metadata-dev
Description-md5: a99e751094cef99d5ede9866b12f0868
Description-en: Structured access to the output of `cargo metadata` - Rust source code
 This package contains the source for the Rust cargo_metadata crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-cargo-platform-dev
Description-md5: b76ab0425a49e5af01b833edca02d017
Description-en: Cargo's representation of a target platform - Rust source code
 This package contains the source for the Rust cargo-platform crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-cassowary-dev
Description-md5: dca04967dff7ae5ba14c9d3d840a6565
Description-en: The Cassowary linear constraint solving algorithm - Rust source code
 The Cassowary algorithm is designed for naturally laying out user interfaces
 using linear constraints, like 'this button must line up with this text box'.
 .
 This package contains the source for the Rust cassowary crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-cast-dev
Description-md5: 081817270b903463d33f569a1adde15f
Description-en: Ergonomic, checked cast functions for primitive types - Rust source code
 This package contains the source for the Rust cast crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-cbindgen+clap-dev
Description-md5: cc563e76620d1daa7b23e0f64f1c1fc9
Description-en: Generating C bindings to Rust code - feature "clap" and 1 more
 This metapackage enables feature "clap" for the Rust cbindgen crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-cbindgen-dev
Description-md5: a670e1964e8471453a5e7a63cf22b7e8
Description-en: Generating C bindings to Rust code - Rust source code
 This package contains the source for the Rust cbindgen crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-cc+parallel-dev
Description-md5: 32f3bbad845f6bc8efa338ea15182796
Description-en: Build C/C++/asm in build.rs - feature "parallel" and 1 more
 This metapackage enables feature "parallel" for the Rust cc crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "rayon" feature.

Package: librust-cc-dev
Description-md5: dd8ee923080ddc15a7c8c7169ed40e80
Description-en: Build C/C++/asm in build.rs - Rust source code
 This package contains the source for the Rust cc crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-cexpr-dev
Description-md5: 1b99b2fad718bcb50234d2dffe9bbd99
Description-en: C expression parser and evaluator - Rust source code
 This package contains the source for the Rust cexpr crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-cfg-if-dev
Description-md5: 36c5b91f48194baa52b19934f5010cbc
Description-en: Rust macro for defining #[cfg] if-else statements - Rust source code
 Structured like an if-else chain, the first matching branch is the item that
 gets emitted.
 .
 This package contains the source for the Rust cfg-if crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-chrono+clock-dev
Description-md5: 1f354649d03e423f5e360b39f9a8d7a3
Description-en: Date and time library for Rust - feature "clock"
 This metapackage enables feature "clock" for the Rust chrono crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-chrono+default-dev
Description-md5: 18d6df488a2bf755d26f22591d2b3791
Description-en: Date and time library for Rust - feature "default"
 This metapackage enables feature "default" for the Rust chrono crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-chrono+js-sys-dev
Description-md5: 5128fbd5f76fc67dd1f17cd6e6cc7387
Description-en: Date and time library for Rust - feature "js-sys"
 This metapackage enables feature "js-sys" for the Rust chrono crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-chrono+rustc-serialize-dev
Description-md5: 0779e31ef4f86c5e2edc9680a508b7fd
Description-en: Date and time library for Rust - feature "rustc-serialize"
 This metapackage enables feature "rustc-serialize" for the Rust chrono crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-chrono+serde-dev
Description-md5: cb26a856d9873be00a6e3ce3ce687086
Description-en: Date and time library for Rust - feature "serde"
 This metapackage enables feature "serde" for the Rust chrono crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-chrono+time-dev
Description-md5: bb96ad6f2b5351fed0611a173a8399c2
Description-en: Date and time library for Rust - feature "time"
 This metapackage enables feature "time" for the Rust chrono crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-chrono+wasm-bindgen-dev
Description-md5: e9fd7aa2fa7460711c0c92a359385ebf
Description-en: Date and time library for Rust - feature "wasm-bindgen"
 This metapackage enables feature "wasm-bindgen" for the Rust chrono crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-chrono+wasmbind-dev
Description-md5: 9bf3b0a867845e72699a0f07d3df69d4
Description-en: Date and time library for Rust - feature "wasmbind"
 This metapackage enables feature "wasmbind" for the Rust chrono crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-chrono-dev
Description-md5: 3c080202749df405c55cbd16fd087b8d
Description-en: Date and time library for Rust - Rust source code
 This package contains the source for the Rust chrono crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-clang-sys+clang-3-7-dev
Description-md5: ae0703cbb4e8085b8ba33b5fc43fec18
Description-en: Rust bindings for libclang - feature "clang_3_7"
 This metapackage enables feature "clang_3_7" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clang-sys+clang-3-8-dev
Description-md5: aa8b6306a5a918c46054ea67a17aa4ff
Description-en: Rust bindings for libclang - feature "clang_3_8"
 This metapackage enables feature "clang_3_8" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clang-sys+clang-3-9-dev
Description-md5: ed4dc626bc8303c37ed4943aaf0fe5f7
Description-en: Rust bindings for libclang - feature "clang_3_9"
 This metapackage enables feature "clang_3_9" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clang-sys+clang-4-0-dev
Description-md5: fc6c2703c2c6585bc070514c9806d1a6
Description-en: Rust bindings for libclang - feature "clang_4_0"
 This metapackage enables feature "clang_4_0" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clang-sys+clang-5-0-dev
Description-md5: 7ed3cf6d9ff81181ebbf249e2d13c180
Description-en: Rust bindings for libclang - feature "clang_5_0"
 This metapackage enables feature "clang_5_0" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clang-sys+clang-6-0-dev
Description-md5: d9e9182e1cf8971c317798cc263f8952
Description-en: Rust bindings for libclang - feature "clang_6_0"
 This metapackage enables feature "clang_6_0" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clang-sys+clang-7-0-dev
Description-md5: f516e043cd6efdac48e05a9420ba7af4
Description-en: Rust bindings for libclang - feature "clang_7_0"
 This metapackage enables feature "clang_7_0" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clang-sys+clang-8-0-dev
Description-md5: dd38d416235c5826e2f6850b5a53ed21
Description-en: Rust bindings for libclang - feature "clang_8_0"
 This metapackage enables feature "clang_8_0" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clang-sys+libloading-dev
Description-md5: b73b9cb4964f2c5782db806d0e2b72e6
Description-en: Rust bindings for libclang - feature "libloading" and 1 more
 This metapackage enables feature "libloading" for the Rust clang-sys crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "runtime" feature.

Package: librust-clang-sys-dev
Description-md5: ff925676bc82903c484b12951665f0bc
Description-en: Rust bindings for libclang - Rust source code
 This package contains the source for the Rust clang-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-clap+ansi-term-dev
Description-md5: dfb91343d1aa03beaaec2ea08041c1b7
Description-en: Rust Command Line Argument Parser - feature "ansi_term"
 This metapackage enables feature "ansi_term" for the Rust clap crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clap+atty-dev
Description-md5: 71952ea3afa6002222b4d4e9e630ff64
Description-en: Rust Command Line Argument Parser - feature "atty"
 This metapackage enables feature "atty" for the Rust clap crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-clap+color-dev
Description-md5: cda87a3985d4a336926ce4e2a1ef7f1c
Description-en: Rust Command Line Argument Parser - feature "color"
 This metapackage enables feature "color" for the Rust clap crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-clap+default-dev
Description-md5: 03bf0f18ac8650088679d067742abfe8
Description-en: Rust Command Line Argument Parser - feature "default"
 This metapackage enables feature "default" for the Rust clap crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-clap+strsim-dev
Description-md5: 667412b5bddfbb91559770ef8df27309
Description-en: Rust Command Line Argument Parser - feature "strsim" and 1 more
 This metapackage enables feature "strsim" for the Rust clap crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "suggestions" feature.

Package: librust-clap+term-size-dev
Description-md5: 8cdf2e7fed6d2fac6b61473567c3b2e8
Description-en: Rust Command Line Argument Parser - feature "term_size"
 This metapackage enables feature "term_size" for the Rust clap crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clap+vec-map-dev
Description-md5: 4b2c3796c3b1b31b0a1fa5ae62e5346f
Description-en: Rust Command Line Argument Parser - feature "vec_map"
 This metapackage enables feature "vec_map" for the Rust clap crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-clap+wrap-help-dev
Description-md5: 9004d7d252d970489abe25db24c6c32b
Description-en: Rust Command Line Argument Parser - feature "wrap_help"
 This metapackage enables feature "wrap_help" for the Rust clap crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-clap+yaml-dev
Description-md5: b5673539b86ec485b70be1f032764ad9
Description-en: Rust Command Line Argument Parser - feature "yaml" and 2 more
 This metapackage enables feature "yaml" for the Rust clap crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "doc", and "yaml-rust" features.

Package: librust-clap-dev
Description-md5: 8422910f4e9f3c51a8c764b402de60ed
Description-en: Rust Command Line Argument Parser - Rust source code
 This package contains the source for the Rust clap crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-clicolors-control-dev
Description-md5: eb7a9ef8e5da48936941fe2bc1d7dbd0
Description-en: Common utility library to control CLI colorization - Rust source code
 This package contains the source for the Rust clicolors-control crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-cloudabi+bitflags-dev
Description-md5: ff202b278b16b2ac0bb52cbfd532489b
Description-en: Low level interface to CloudABI - feature "bitflags"
 Contains all syscalls and related types.
 .
 This metapackage enables feature bitflags for the Rust cloudabi crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cloudabi+default-dev
Description-md5: 39fe36da8ca84e4d067db63a8af5e8ac
Description-en: Low level interface to CloudABI - feature "default"
 Contains all syscalls and related types.
 .
 This metapackage enables feature default for the Rust cloudabi crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-cloudabi-dev
Description-md5: 9effe41d8b7b0c8b507be0c6e0abb4c8
Description-en: Low level interface to CloudABI - Rust source code
 Contains all syscalls and related types.
 .
 This package contains the source for the Rust cloudabi crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-cmake-dev
Description-md5: ec911e1be02bc3cd814c69fe6c092a6c
Description-en: Build dependency for running cmake to build a native library - Rust source code
 This package contains the source for the Rust cmake crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-color-quant-dev
Description-md5: b05039ca89a3f9ec3716d0b78cfc4cde
Description-en: Color quantization library to reduce n colors to 256 colors - Rust source code
 This package contains the source for the Rust color_quant crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-colored-dev
Description-md5: 81cd5e21a93d55ea59e8faa8d6866d50
Description-en: Most simple way to add colors in your terminal - Rust source code
 This package contains the source for the Rust colored crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-colored-json-dev
Description-md5: a192ff1b0368bfefb3eaf683c5485e50
Description-en: Colorize JSON, for printing it out on the command line - Rust source code
 This package contains the source for the Rust colored_json crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-commoncrypto-dev
Description-md5: bb4f4412a2d4fda801d0c274bdf41980
Description-en: Idiomatic Rust wrappers for Mac OS X's CommonCrypto library - Rust source code
 This package contains the source for the Rust commoncrypto crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-commoncrypto-sys-dev
Description-md5: 1c1276ee386d5aa92b88e7cd4810af93
Description-en: FFI bindings to Mac OS X's CommonCrypto library - Rust source code
 This package contains the source for the Rust commoncrypto-sys crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-console-dev
Description-md5: 447bd4f096382fdacda04a23e26defb7
Description-en: Terminal and console abstraction for Rust - Rust source code
 This package contains the source for the Rust console crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-const-random-macro-dev
Description-md5: fad5aff96210332ce3f2aca56bff4d7d
Description-en: Provides the procedural macro used by const-random - Rust source code
 This package contains the source for the Rust const-random-macro crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-constant-time-eq-dev
Description-md5: 75d90ce933f546e73baf66b3c9527de9
Description-en: Compares two equal-sized byte strings in constant time - Rust source code
 This package contains the source for the Rust constant_time_eq crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-content-inspector-dev
Description-md5: ea5ce49b0a1b6a276c67d7b8fa5af20a
Description-en: Fast inspection of binary buffers to guess/determine the encoding - Rust source code
 This package contains the source for the Rust content_inspector crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-cookie-factory-dev
Description-md5: 7cd7476eb3bf39bce1fdaea2835a5138
Description-en: Nom inspired serialization library - Rust source code
 This package contains the source for the Rust cookie-factory crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-copyless-dev
Description-md5: 58c87dcf610d38ce76286104caae36b4
Description-en: Eliminate memcpy calls when using the standard library - Rust source code
 This package contains the source for the Rust copyless crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-core-arch-dev
Description-md5: 9fe441aaf377355712ce9ffe57431307
Description-en: `core::arch` - Rust's core library architecture-specific intrinsics - Rust source code
 This package contains the source for the Rust core_arch crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-core-foundation+chrono-dev
Description-md5: ba3fa3720ce2c8fd700ed05478fe93bc
Description-en: Bindings to Core Foundation for macOS - feature "chrono" and 1 more
 This metapackage enables feature "chrono" for the Rust core-foundation crate,
 by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "with-chrono" feature.

Package: librust-core-foundation+mac-os-10-7-support-dev
Description-md5: 4a32de93b3fc8face2bdea7e6222494b
Description-en: Bindings to Core Foundation for macOS - feature "mac_os_10_7_support"
 This metapackage enables feature "mac_os_10_7_support" for the Rust core-
 foundation crate, by pulling in any additional dependencies needed by that
 feature.

Package: librust-core-foundation+mac-os-10-8-features-dev
Description-md5: 32aad70b2b35d55ecf42eedbb9c8bdfe
Description-en: Bindings to Core Foundation for macOS - feature "mac_os_10_8_features"
 This metapackage enables feature "mac_os_10_8_features" for the Rust core-
 foundation crate, by pulling in any additional dependencies needed by that
 feature.

Package: librust-core-foundation+uuid-dev
Description-md5: d21d649b3f504466be963890d1036eb8
Description-en: Bindings to Core Foundation for macOS - feature "uuid" and 1 more
 This metapackage enables feature "uuid" for the Rust core-foundation crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "with-uuid" feature.

Package: librust-core-foundation-dev
Description-md5: cb6c6c64148109307337d321b864a465
Description-en: Bindings to Core Foundation for macOS - Rust source code
 This package contains the source for the Rust core-foundation crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-core-foundation-sys-dev
Description-md5: e674f564419c69317d1a2c8cf28c5e01
Description-en: Bindings to Core Foundation for OS X - Rust source code
 This package contains the source for the Rust core-foundation-sys crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-cpp-demangle-dev
Description-md5: 67ed1f0f61a220bdd79290a9303ffdb9
Description-en: Demangling C++ symbols - Rust source code
 This package contains the source for the Rust cpp_demangle crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-crates-io-dev
Description-md5: 23cbbb66378678674fbd6b26b170ac9d
Description-en: Helpers for interacting with crates.io - Rust source code
 This package contains the source for the Rust crates-io crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-crc-dev
Description-md5: 3409d6697f8c585daecafeb31f71a32d
Description-en: CRC(16, 32, 64) with support of various standards - Rust source code
 This package contains the source for the Rust crc crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-crc32fast-dev
Description-md5: 560c1e9b9a69899bf74e9057877f7296
Description-en: Fast, SIMD-accelerated CRC32 (IEEE) checksum computation - Rust source code
 This package contains the source for the Rust crc32fast crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-crossbeam+alloc-dev
Description-md5: 8f458f4059a3318fc384ec9810832beb
Description-en: Support for concurrent and parallel programming - feature "alloc"
 This metapackage enables feature alloc for the Rust crossbeam crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-crossbeam+crossbeam-channel-dev
Description-md5: 7d1e4f4df5998facc180648c1f4e3810
Description-en: Support for concurrent and parallel programming - feature "crossbeam-channel"
 This metapackage enables feature crossbeam-channel for the Rust crossbeam
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-crossbeam+crossbeam-deque-dev
Description-md5: dcc2d9af8c811ec6ce041ac26f087f44
Description-en: Support for concurrent and parallel programming - feature "crossbeam-deque"
 This metapackage enables feature crossbeam-deque for the Rust crossbeam crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-crossbeam+crossbeam-queue-dev
Description-md5: e1ceb980956845ec93206ba8d85cc727
Description-en: Support for concurrent and parallel programming - feature "crossbeam-queue"
 This metapackage enables feature crossbeam-queue for the Rust crossbeam crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-crossbeam+nightly-dev
Description-md5: 985389e502fd1405f32a5ae9bc7e0283
Description-en: Support for concurrent and parallel programming - feature "nightly"
 This metapackage enables feature nightly for the Rust crossbeam crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-crossbeam+std-dev
Description-md5: 9856049a3baea43fed24d1391a6e64ed
Description-en: Support for concurrent and parallel programming - feature "std"
 This metapackage enables feature std for the Rust crossbeam crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-crossbeam-0.3-dev
Description-md5: 0f3897d012fd15d52f3c3bec45469915
Description-en: Support for concurrent and parallel programming - Rust source code
 This package contains the source for the Rust crossbeam crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-crossbeam-channel-dev
Description-md5: 7b8b8d83d6836406e83d022069c5250c
Description-en: Multi-producer multi-consumer channels for message passing - Rust source code
 This package contains the source for the Rust crossbeam-channel crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-crossbeam-deque-dev
Description-md5: 6caaab280a25038497a9019fb82a04b5
Description-en: Concurrent work-stealing deque - Rust source code
 This package contains the source for the Rust crossbeam-deque crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-crossbeam-dev
Description-md5: 0f3897d012fd15d52f3c3bec45469915
Description-en: Support for concurrent and parallel programming - Rust source code
 This package contains the source for the Rust crossbeam crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-crossbeam-epoch+alloc-dev
Description-md5: 64787442fa96b6e8e7437f3e84dc7751
Description-en: Epoch-based garbage collection - feature "alloc"
 This metapackage enables feature "alloc" for the Rust crossbeam-epoch crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-crossbeam-epoch+lazy-static-dev
Description-md5: 30b989312892825887c30eb8cd74dfc5
Description-en: Epoch-based garbage collection - feature "lazy_static"
 This metapackage enables feature "lazy_static" for the Rust crossbeam-epoch
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-crossbeam-epoch+std-dev
Description-md5: ee0b7249039c3f88ef8ddc0dfab67d27
Description-en: Epoch-based garbage collection - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust crossbeam-epoch crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-crossbeam-epoch-dev
Description-md5: 0abd2ed90cf7ba661824a72485f8f954
Description-en: Epoch-based garbage collection - Rust source code
 This package contains the source for the Rust crossbeam-epoch crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-crossbeam-queue-dev
Description-md5: a16dc8e5f5ae6901729a60cc7e1b0f25
Description-en: Concurrent queues - Rust source code
 This package contains the source for the Rust crossbeam-queue crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-crossbeam-utils+lazy-static-dev
Description-md5: f1ecdc958ef82a8745ac74529160b1b7
Description-en: Utilities for concurrent programming - feature "lazy_static" and 2 more
 This metapackage enables feature "lazy_static" for the Rust crossbeam-utils
 crate, by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default", and "std" features.

Package: librust-crossbeam-utils-dev
Description-md5: 3ada0cb0e1c48461292f64fa00e4af0e
Description-en: Utilities for concurrent programming - Rust source code
 This package contains the source for the Rust crossbeam-utils crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-crossterm-winapi-dev
Description-md5: a81adffcc7a4e3d62d58898238e349ed
Description-en: Crossterm Windows API Abstractions - Rust source code
 This package contains the source for the Rust crossterm_winapi crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-crypto-hash-dev
Description-md5: 0eb208b7e5a48bc7aa33e4df9c3d827c
Description-en: Wrapper for OS-level cryptographic hash functions - Rust source code
 This package contains the source for the Rust crypto-hash crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-crypto-mac+blobby-dev
Description-md5: f47790fa856adfd1047091d07dd6c76b
Description-en: Trait for Message Authentication Code algorithms - feature "blobby" and 1 more
 This metapackage enables feature "blobby" for the Rust crypto-mac crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "dev" feature.

Package: librust-crypto-mac-dev
Description-md5: 7ac62295538ee7c691c3e7cfaa159127
Description-en: Trait for Message Authentication Code algorithms - Rust source code
 This package contains the source for the Rust crypto-mac crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-cssparser-macros-dev
Description-md5: c0b761f9c51681396058dea6dee820bf
Description-en: Procedural macros for cssparser - Rust source code
 This package contains the source for the Rust cssparser-macros crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-cstr-argument-dev
Description-md5: 473a125c343f2a49deccc890c06e5062
Description-en: Trait for converting arguments to null terminated strings. - Rust source code
 This package contains the source for the Rust cstr-argument crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-csv-core+libc-dev
Description-md5: dd25da9621c6b680c6bef7e85e1b8996
Description-en: Bare bones CSV parsing with no_std support - feature "libc"
 This metapackage enables feature libc for the Rust csv-core crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-csv-core-dev
Description-md5: 910234c33a85e78f7b24409a19649f7d
Description-en: Bare bones CSV parsing with no_std support - Rust source code
 This package contains the source for the Rust csv-core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-csv-dev
Description-md5: a90edfa300f821225f4cb418bf66bc45
Description-en: Fast CSV parsing with support for serde - Rust source code
 This package contains the source for the Rust csv crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-ctor-dev
Description-md5: 8f246ea84e7db40157e8c625b77db51b
Description-en: __attribute__((constructor)) for Rust - Rust source code
 This package contains the source for the Rust ctor crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-ctr-dev
Description-md5: a80480a27e58312eebb7a4b4317c0ba2
Description-en: CTR block mode of operation - Rust source code
 This package contains the source for the Rust ctr crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-ctrlc-dev
Description-md5: 4adacef1401a5da826cede23cba84bc6
Description-en: Easy Ctrl-C handler for Rust projects - Rust source code
 This package contains the source for the Rust ctrlc crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-curl+force-system-lib-on-osx-dev
Description-md5: c9c14ecb03fe5f4cf59f2a1883a0dfa1
Description-en: Rust bindings to libcurl for making HTTP requests - feature "force-system-lib-on-osx"
 This metapackage enables feature "force-system-lib-on-osx" for the Rust curl
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-curl+http2-dev
Description-md5: e38fbc8cddafea0695b50e674f55790d
Description-en: Rust bindings to libcurl for making HTTP requests - feature "http2"
 This metapackage enables feature "http2" for the Rust curl crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-curl+openssl-probe-dev
Description-md5: 4300eda77d88ff6144e0d03a67b9d34b
Description-en: Rust bindings to libcurl for making HTTP requests - feature "openssl-probe"
 This metapackage enables feature "openssl-probe" for the Rust curl crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-curl+openssl-sys-dev
Description-md5: 244d6293f15f7cca9de97a96cd5b94af
Description-en: Rust bindings to libcurl for making HTTP requests - feature "openssl-sys"
 This metapackage enables feature "openssl-sys" for the Rust curl crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-curl+ssl-dev
Description-md5: aa7b418c5deb51fedcf7976d1a430599
Description-en: Rust bindings to libcurl for making HTTP requests - feature "ssl" and 1 more
 This metapackage enables feature "ssl" for the Rust curl crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-curl+static-curl-dev
Description-md5: 24e900f1dfacfb670c314cad15e697f4
Description-en: Rust bindings to libcurl for making HTTP requests - feature "static-curl"
 This metapackage enables feature "static-curl" for the Rust curl crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-curl+static-ssl-dev
Description-md5: 88fca4f4235837aae040be68f0777303
Description-en: Rust bindings to libcurl for making HTTP requests - feature "static-ssl"
 This metapackage enables feature "static-ssl" for the Rust curl crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-curl-dev
Description-md5: 906571e600e820e4e6879d3060002535
Description-en: Rust bindings to libcurl for making HTTP requests - Rust source code
 This package contains the source for the Rust curl crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-curl-sys+http2-dev
Description-md5: 6e58b2cdb1c557ff7f94a5874959fd2b
Description-en: Native bindings to the libcurl library - feature "http2" and 1 more
 This metapackage enables feature "http2" for the Rust curl-sys crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "libnghttp2-sys" feature.

Package: librust-curl-sys+openssl-sys-dev
Description-md5: 2fb08074d41c749863dc95dbd4e78d91
Description-en: Native bindings to the libcurl library - feature "openssl-sys" and 3 more
 This metapackage enables feature "openssl-sys" for the Rust curl-sys crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default", "ssl", and "static-ssl"
 features.

Package: librust-curl-sys-dev
Description-md5: 6a81a23b4bb4042413a4f6812544d2de
Description-en: Native bindings to the libcurl library - Rust source code
 This package contains the source for the Rust curl-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-daemonize-dev
Description-md5: 3f62d27c4a5a292f99dd0177da7ca72e
Description-en: Enable your code run as a daemon process on Unix-like systems - Rust source code
 This package contains the source for the Rust daemonize crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-darling+diagnostics-dev
Description-md5: 663776fdb4bf8328ecf749b6660e0734
Description-en: proc-macro library for reading attributes into structs - feature "diagnostics"
 This metapackage enables feature "diagnostics" for the Rust darling crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-darling+suggestions-dev
Description-md5: 935f35832d952e7ab0dcd2a70f061dd5
Description-en: proc-macro library for reading attributes into structs - feature "suggestions" and 1 more
 This metapackage enables feature "suggestions" for the Rust darling crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-darling-core+strsim-dev
Description-md5: 68add5e2d27a179b6500c5ed4422f576
Description-en: helper crate for darling - feature "strsim" and 1 more
 Use https://crates.io/crates/darling in your code.
 .
 This metapackage enables feature "strsim" for the Rust darling_core crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "suggestions" feature.

Package: librust-darling-core-dev
Description-md5: 3e286438481aa96c46851188ea466c77
Description-en: helper crate for darling - Rust source code
 darling_core is a helper crate for darling, a proc-macro library for reading
 attributes into structs when implementing custom derives.
 .
 This package contains the source for the Rust darling_core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-darling-dev
Description-md5: 6e514e36314a99ba758f1fcbb087efba
Description-en: proc-macro library for reading attributes into structs - Rust source code
 This package contains the source for the Rust darling crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-darling-macro-dev
Description-md5: d4433cbc668ce988b5bdfeceefa6c689
Description-en: helper crate for darling - Rust source code
 darling_macro is a helper crate for darling, a proc-macro library for reading
 attributes into structs when implementing custom derives.
 .
 This package contains the source for the Rust darling_macro crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-data-encoding-dev
Description-md5: 41230fc2d0bae7d5263bba87c0b7e5bf
Description-en: Efficient and customizable data-encoding functions - Rust source code
 This package contains the source for the Rust data-encoding crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-data-encoding-macro+proc-macro-hack-dev
Description-md5: 10be979f7ab4fdd269ce36f54e81ec4e
Description-en: Macros for data-encoding - feature "proc-macro-hack"
 This metapackage enables feature "proc-macro-hack" for the Rust data-encoding-
 macro crate, by pulling in any additional dependencies needed by
 that feature.

Package: librust-data-encoding-macro+stable-dev
Description-md5: 5c4895da9204c8c4d9c53ccf3dd95e7f
Description-en: Macros for data-encoding - feature "stable" and 1 more
 This metapackage enables feature "stable" for the Rust data-encoding-macro
 crate, by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-data-encoding-macro-dev
Description-md5: febe0a747853ee63a6351581e913f49e
Description-en: Macros for data-encoding - Rust source code
 This package contains the source for the Rust data-encoding-macro crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-data-encoding-macro-internal+proc-macro-hack-dev
Description-md5: 7211cb87e14b53c7cc27490e5ab36495
Description-en: Internal library for data-encoding-macro - feature "proc-macro-hack" and 1 more
 This metapackage enables feature "proc-macro-hack" for the Rust data-encoding-
 macro-internal crate, by pulling in any additional dependencies
 needed by that feature.
 .
 Additionally, this package also provides the "stable" feature.

Package: librust-data-encoding-macro-internal-dev
Description-md5: 171817c6b2b96738e6ff487183759a12
Description-en: Internal library for data-encoding-macro - Rust source code
 This package contains the source for the Rust data-encoding-macro-internal
 crate, packaged by debcargo for use with cargo and dh-cargo.

Package: librust-data-url-dev
Description-md5: 57cb1b031724cf98b84cdc22ae9daa39
Description-en: Processing of data: URL according to WHATWG’s Fetch Standard - Rust source code
 This package contains the source for the Rust data-url crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-datetime-dev
Description-md5: 90bf9c46d94c35486594924ba3b22789
Description-en: Date and time formatting and arithmetic - Rust source code
 This package contains the source for the Rust datetime crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-dbus-0.2-dev
Description-md5: f0749250e5ce0c3a0d2410afb172744b
Description-en: Bindings to D-Bus, which is a bus commonly used on Linux for inter-process communication - Rust source code
 This package contains the source for the Rust dbus crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-dbus-dev
Description-md5: ec0f2363a70d94a137e43fdad63a6d6a
Description-en: Bindings to D-Bus, a bus commonly used on Linux for IPC - Rust source code
 This package contains the source for the Rust dbus crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-der-parser+bigint-dev
Description-md5: 5a3f234ac7b4817b3417b9dbf1acad0e
Description-en: Parser for BER/DER encoded data - feature "bigint" and 1 more
 This metapackage enables feature "bigint" for the Rust der-parser crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "num-bigint" feature.

Package: librust-der-parser-dev
Description-md5: 4cb661f29b89620c425d9fc21e108e96
Description-en: Parser for BER/DER encoded data - Rust source code
 This package contains the source for the Rust der-parser crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-derive-builder-core+log-dev
Description-md5: 1dde68958e216a9ff53c639bf7b0c217
Description-en: Internal helper library for the derive_builder crate - feature "log" and 1 more
 This metapackage enables feature "log" for the Rust derive_builder_core crate,
 by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "logging" feature.

Package: librust-derive-builder-core-dev
Description-md5: 54d43699fd8574a0addf7e42564a0c91
Description-en: Internal helper library for the derive_builder crate - Rust source code
 This package contains the source for the Rust derive_builder_core crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-derive-more-dev
Description-md5: 79f58f706d2b243e039a4ce7c8d2e3b4
Description-en: Adds #[derive(x)] macros for more traits - Rust source code
 This package contains the source for the Rust derive_more crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-derive-new-dev
Description-md5: d6776fbc9ce5768a4fe4190f1e33c304
Description-en: Derive simple constructor functions for structs and enums - Rust source code
 This package contains the source for the Rust derive-new crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-dhcp4r-dev
Description-md5: ff1042663405c8ec196988a4a7db9cec
Description-en: IPv4 DHCP library with working server example - Rust source code
 This package contains the source for the Rust dhcp4r crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-diesel-derives+nightly-dev
Description-md5: a884c55535c4f4edffcfbe9b0f699c96
Description-en: Proc macro derives for diesel - feature "nightly"
 This metapackage enables feature "nightly" for the Rust diesel_derives crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-diesel-derives-dev
Description-md5: 3e5648f6adda0d5e2bb3cfc2596a223a
Description-en: Proc macro derives for diesel - Rust source code
 This package contains the source for the Rust diesel_derives crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-diff-dev
Description-md5: 021dce6a67eaa360961a0c0dac25a70e
Description-en: LCS based slice and string diffing implementation - Rust source code
 This package contains the source for the Rust diff crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-difference+bin-dev
Description-md5: 4f4b92c5f5ff03bcb4c5903b873e9188
Description-en: text diffing and assertion library - feature "bin"
 This metapackage enables feature bin for the Rust difference crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-difference+getopts-dev
Description-md5: 2c12e3eeaeaba2883b7f6bf0daeadb25
Description-en: text diffing and assertion library - feature "getopts"
 This metapackage enables feature getopts for the Rust difference crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-difference-dev
Description-md5: b7ae5f97745cb5a10347c4bce862a2c2
Description-en: text diffing and assertion library - Rust source code
 This package contains the source for the Rust difference crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-digest+blobby-dev
Description-md5: 587b3def6a9e21aea8bd5193daf77743
Description-en: Traits for cryptographic hash functions - feature "blobby"
 This metapackage enables feature blobby for the Rust digest crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-digest+dev-dev
Description-md5: 0f54588f77f81e7f1242cc4364b8babc
Description-en: Traits for cryptographic hash functions - feature "dev"
 This metapackage enables feature dev for the Rust digest crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-digest-dev
Description-md5: cac871cd155275d98b2b2a028a0e2f5d
Description-en: Traits for cryptographic hash functions - Rust source code
 This package contains the source for the Rust digest crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-directories-1-dev
Description-md5: 1b2384b0e0565feec13cb16f9f8f1af6
Description-en: Tiny mid-level library that provides platform-specific standard locations of directories for config, cache and other data on Linux, Windows and macOS by leveraging the mechanisms defined by the XDG base/user directory specifications on Linux, the Known Folder API on Windows, and the Standard Directory guidelines on macOS - Rust source code
 This package contains the source for the Rust directories crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-directories-dev
Description-md5: 1b2384b0e0565feec13cb16f9f8f1af6
Description-en: Tiny mid-level library that provides platform-specific standard locations of directories for config, cache and other data on Linux, Windows and macOS by leveraging the mechanisms defined by the XDG base/user directory specifications on Linux, the Known Folder API on Windows, and the Standard Directory guidelines on macOS - Rust source code
 This package contains the source for the Rust directories crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-dirs-dev
Description-md5: 1660934d01af7778bfe152f314166df8
Description-en: low-level library for standard locations of directories - Rust source code
 This package contains the source for the Rust dirs crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-dirs-sys-dev
Description-md5: 2cfd5a4b68f5b831e3bec33a4a7fc0c1
Description-en: Helper functions for the dirs crate - Rust source code
 This package contains the source for the Rust dirs-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-discard-dev
Description-md5: c7e6f44f8e9a53c953e38ed8f21369b4
Description-en: Discard trait which allows for intentionally leaking memory - Rust source code
 This package contains the source for the Rust discard crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-dns-parser+serde-derive-dev
Description-md5: 39680dc323ee410aa38dfc1341f1d8b8
Description-en: Pure-rust DNS protocol parser library - feature "serde_derive"
 This does not support network, only     raw protocol parser.
 .
 This metapackage enables feature serde_derive for the Rust dns-parser crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-dns-parser+serde-dev
Description-md5: 771604ff2e6fdc7d449c1f7267d34513
Description-en: Pure-rust DNS protocol parser library - feature "serde"
 This does not support network, only     raw protocol parser.
 .
 This metapackage enables feature serde for the Rust dns-parser crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-dns-parser+with-serde-dev
Description-md5: 4b14b15036c77931984d4ad771276889
Description-en: Pure-rust DNS protocol parser library - feature "with-serde"
 This does not support network, only     raw protocol parser.
 .
 This metapackage enables feature with-serde for the Rust dns-parser crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-dns-parser-dev
Description-md5: 78c0034c9f8bb7f2b4364aa3aabed527
Description-en: Pure-rust DNS protocol parser library - Rust source code
 This does not support network, only     raw protocol parser.
 .
 This package contains the source for the Rust dns-parser crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-doc-comment-dev
Description-md5: 74f0c423af8a04aeafec01ab976be6f8
Description-en: Macro to generate doc comments - Rust source code
 This package contains the source for the Rust doc-comment crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-docopt-dev
Description-md5: 8a496be4fc43a0e7090008387bd31387
Description-en: Command line argument parsing - Rust source code
 This package contains the source for the Rust docopt crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-dogged-dev
Description-md5: b435499d68a834086ba6299a340f904c
Description-en: Persistent vector, similar to Clojure - Rust source code
 This package contains the source for the Rust dogged crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-dtoa-dev
Description-md5: 5609f168b7f11d481617f6ea81ae509b
Description-en: Fast functions for printing floating-point primitives - Rust source code
 This package contains the source for the Rust dtoa crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-dtoa-short-dev
Description-md5: 9aaa8df2d5199dbcb714cf4989d67e4c
Description-en: Serialize float number and truncate to certain precision - Rust source code
 This package contains the source for the Rust dtoa-short crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-either+serde-dev
Description-md5: ea3e1f4529fe1eb774d8163dd503824e
Description-en: Enum `Either` with variants `Left` and `Right` is a general purpose sum type with two cases - feature "serde"
 This metapackage enables feature serde for the Rust either crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-either-dev
Description-md5: 0ac6bd982e2dcaabc5eba6f3c204021a
Description-en: Enum `Either` with variants `Left` and `Right` is a general purpose sum type with two cases - Rust source code
 This package contains the source for the Rust either crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ena+congruence-closure-dev
Description-md5: f1e279af688827bbb405422cb58932c1
Description-en: Rust implementation of union-find - feature "congruence-closure" and 1 more
 Based on code from rustc.
 .
 This metapackage enables feature "congruence-closure" for the Rust ena crate,
 by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "petgraph" feature.

Package: librust-ena+dogged-dev
Description-md5: c31a395c14c1baed8617561d4c6b2f9f
Description-en: Rust implementation of union-find - feature "dogged" and 1 more
 Based on code from rustc.
 .
 This metapackage enables feature "dogged" for the Rust ena crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "persistent" feature.

Package: librust-ena-dev
Description-md5: 1021ea3e011912fa31f727126ab1ee39
Description-en: Rust implementation of union-find - Rust source code
 Based on code from rustc.
 .
 This package contains the source for the Rust ena crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-encode-unicode+ascii-dev
Description-md5: d4f3792532e79b09a4507153af2860b8
Description-en: UTF-8 and UTF-16 char types, methods, for char, u8 and u16 - feature "ascii"
 This metapackage enables feature "ascii" for the Rust encode_unicode crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-encode-unicode-dev
Description-md5: 38cab97cf2b50d2b68cd62aba4940ffd
Description-en: UTF-8 and UTF-16 char types, methods, for char, u8 and u16 - Rust source code
 This package contains the source for the Rust encode_unicode crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-encoding-dev
Description-md5: f1ef60122d80b74923ab240c2ba7b8ca
Description-en: Character encoding support for Rust - Rust source code
 This package contains the source for the Rust encoding crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-encoding-index-japanese-dev
Description-md5: 87536c12ba740dbd04ea683f1ac8a85d
Description-en: Index tables for Japanese character encodings - Rust source code
 This package contains the source for the Rust encoding-index-japanese crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-encoding-index-korean-dev
Description-md5: 178745f157a737772fc07aa00a6cb568
Description-en: Index tables for Korean character encodings - Rust source code
 This package contains the source for the Rust encoding-index-korean crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-encoding-index-simpchinese-dev
Description-md5: 0c42a795b910061a5dacf616fa0b3d8f
Description-en: Index tables for simplified Chinese character encodings - Rust source code
 This package contains the source for the Rust encoding-index-simpchinese crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-encoding-index-singlebyte-dev
Description-md5: e7da94d95b5d01a8e2087feceb7c49e3
Description-en: Index tables for various single-byte character encodings - Rust source code
 This package contains the source for the Rust encoding-index-singlebyte crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-encoding-index-tests-dev
Description-md5: 527f461d7d6a2534e45a5c405c08e57d
Description-en: Helper macros to test index tables for character encodings - Rust source code
 This package contains the source for the Rust encoding_index_tests crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-encoding-index-tradchinese-dev
Description-md5: 573039119de7571618a53c6e84d905b4
Description-en: Index tables for traditional Chinese character encodings - Rust source code
 This package contains the source for the Rust encoding-index-tradchinese crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-encoding-rs+fast-legacy-encode-dev
Description-md5: 8130eedcb76478687273a34dd9accc0b
Description-en: Gecko-oriented Encoding Standard implementation - feature "fast-legacy-encode"
 This metapackage enables feature fast-legacy-encode for the Rust encoding_rs
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-encoding-rs+packed-simd-dev
Description-md5: 8911b588883f6c23e683082dbeeb4004
Description-en: Gecko-oriented Encoding Standard implementation - feature "packed_simd"
 This metapackage enables feature packed_simd for the Rust encoding_rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-encoding-rs+serde-dev
Description-md5: 96822c259b8d71a06e5d73a289b87341
Description-en: Gecko-oriented Encoding Standard implementation - feature "serde"
 This metapackage enables feature serde for the Rust encoding_rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-encoding-rs+simd-accel-dev
Description-md5: c904e74c879880e2588e4301656cf7cd
Description-en: Gecko-oriented Encoding Standard implementation - feature "simd-accel"
 This metapackage enables feature simd-accel for the Rust encoding_rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-encoding-rs-dev
Description-md5: f44050f755593d03ee972a2b3ad54fd1
Description-en: Gecko-oriented Encoding Standard implementation - Rust source code
 This package contains the source for the Rust encoding_rs crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-encoding-rs-io-dev
Description-md5: 797c8236e384cfa811f607c7d98f1654
Description-en: Streaming transcoding for encoding_rs - Rust source code
 This package contains the source for the Rust encoding_rs_io crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-endian-type-dev
Description-md5: 66e7103ee8f0d4315bee02eab38bf5de
Description-en: Type safe wrappers for types with a defined byte order - Rust source code
 This package contains the source for the Rust endian-type crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-enum-as-inner-dev
Description-md5: e348054356ec5a844707ee96979b5569
Description-en: Proc-macro for inner field accessors on enums - Rust source code
 This package contains the source for the Rust enum-as-inner crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-enum-map-derive-dev
Description-md5: ccb0a680a54810b1bee7751c6cdabdc7
Description-en: Macros 1.1 implementation of #[derive(Enum)] - Rust source code
 This package contains the source for the Rust enum-map-derive crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-enum-primitive-derive-dev
Description-md5: f4f5eeedd75f12f8a8979338d182c92b
Description-en: enum_primitive implementation using procedural macros - Rust source code
 This package contains the source for the Rust enum-primitive-derive crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-enum-primitive-dev
Description-md5: de50da82bdbc11115df0a476df7ae02e
Description-en: Macro to generate num::FromPrimitive instances for enum - Rust source code
 This package contains the source for the Rust enum_primitive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-env-logger+atty-dev
Description-md5: fd0039c42aa257a09b9931ed4e8cd97e
Description-en: Log implementation configured via environment variable - feature "atty"
 This metapackage enables feature "atty" for the Rust env_logger crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-env-logger+default-dev
Description-md5: f6f41a3c2c95804137e10f584e1472b8
Description-en: Log implementation configured via environment variable - feature "default"
 This metapackage enables feature "default" for the Rust env_logger crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-env-logger+humantime-dev
Description-md5: 9094df3521e73e3d7a5ea7ec330afa70
Description-en: Log implementation configured via environment variable - feature "humantime"
 This metapackage enables feature "humantime" for the Rust env_logger crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-env-logger+regex-dev
Description-md5: 8a6776759e2d623c119522574b2ed1cc
Description-en: Log implementation configured via environment variable - feature "regex"
 This metapackage enables feature "regex" for the Rust env_logger crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-env-logger+termcolor-dev
Description-md5: 4f6d8ad656d8172deb6093beb3f84dbd
Description-en: Log implementation configured via environment variable - feature "termcolor"
 This metapackage enables feature "termcolor" for the Rust env_logger crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-env-logger-dev
Description-md5: a8badda090f75a85f1fcdc1312b7cb92
Description-en: Log implementation configured via environment variable - Rust source code
 This package contains the source for the Rust env_logger crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-env-proxy-dev
Description-md5: 111db8c14138bf989da9abfa9745de02
Description-en: determine proxy parameters for a URL from the environment - Rust source code
 This package contains the source for the Rust env_proxy crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-environment-dev
Description-md5: ba942f9b326b3b387bbe6275cead6740
Description-en: handle environment variable context - Rust source code
 This package contains the source for the Rust environment crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-epoll-dev
Description-md5: bd9fd449f76fa7d1552b095aeefbc890
Description-en: Safe epoll interface - Rust source code
 This package contains the source for the Rust epoll crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-erased-serde-dev
Description-md5: 5da3c0c564a485a98e1fb2bafdec5f06
Description-en: Type-erased Serialize and Serializer traits - Rust source code
 This package contains the source for the Rust erased-serde crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-errno-dev
Description-md5: 70f56ca772445de392854c088093f058
Description-en: Cross-platform interface to the `errno` variable - Rust source code
 This package contains the source for the Rust errno crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-error-chain+backtrace-dev
Description-md5: 156d47d710787ae95bfaf0f3037ed071
Description-en: Yet another error boilerplate library - feature "backtrace"
 This metapackage enables feature backtrace for the Rust error-chain crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-error-chain+default-dev
Description-md5: 4318c5980c28adaa44a839a6027b9991
Description-en: Yet another error boilerplate library - feature "default"
 This metapackage enables feature default for the Rust error-chain crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-error-chain-dev
Description-md5: 90529ca126619ffd1779258b34125d9a
Description-en: Yet another error boilerplate library - Rust source code
 This package contains the source for the Rust error-chain crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-euclid+mint-dev
Description-md5: 710d680754ef7836be0eb5b70839d115
Description-en: Geometry primitives - feature "mint"
 This metapackage enables feature mint for the Rust euclid crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-euclid+serde-dev
Description-md5: 65b9c2b3497accd6ceb89b97f3b45524
Description-en: Geometry primitives - feature "serde"
 This metapackage enables feature serde for the Rust euclid crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-euclid-0.19+mint-dev
Description-md5: 710d680754ef7836be0eb5b70839d115
Description-en: Geometry primitives - feature "mint"
 This metapackage enables feature mint for the Rust euclid crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-euclid-0.19+serde-dev
Description-md5: 65b9c2b3497accd6ceb89b97f3b45524
Description-en: Geometry primitives - feature "serde"
 This metapackage enables feature serde for the Rust euclid crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-euclid-0.19-dev
Description-md5: 19544d1a97bb783cf407508cab7f0ecf
Description-en: Geometry primitives - Rust source code
 This package contains the source for the Rust euclid crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-euclid-dev
Description-md5: 19544d1a97bb783cf407508cab7f0ecf
Description-en: Geometry primitives - Rust source code
 This package contains the source for the Rust euclid crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-exec-dev
Description-md5: 030c974a378cd1126db0a87c3315b839
Description-en: Simple wrapper around execvp - Rust source code
 This package contains the source for the Rust exec crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-expat-sys-dev
Description-md5: c4d731571bbc40814c9ef71cc41aa780
Description-en: XML parser library written in C - Rust source code
 This package contains the source for the Rust expat-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-extprim+default-dev
Description-md5: 47208f36cd874a4cbe83255ed5e4242b
Description-en: Extra primitive types (u128, i128) - feature "default"
 This metapackage enables feature "default" for the Rust extprim crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-extprim+rand-dev
Description-md5: 13aca96df4754c32ff7c3b6ba86662f1
Description-en: Extra primitive types (u128, i128) - feature "rand"
 This metapackage enables feature "rand" for the Rust extprim crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-extprim+serde-dev
Description-md5: 57a949e55ba8f7d359101356a7bd8159
Description-en: Extra primitive types (u128, i128) - feature "serde"
 This metapackage enables feature "serde" for the Rust extprim crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-extprim-dev
Description-md5: 231480794aaf13c4f2ef6fab99beb75c
Description-en: Extra primitive types (u128, i128) - Rust source code
 This package contains the source for the Rust extprim crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-failure+backtrace-dev
Description-md5: 020230bc6b5e8b5d1e4a9e092cf7fea4
Description-en: Experimental error handling abstraction - feature "backtrace"
 This metapackage enables feature backtrace for the Rust failure crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-failure+default-dev
Description-md5: 28a556bd65ee1d7e21eec4210e5a2121
Description-en: Experimental error handling abstraction - feature "default"
 This metapackage enables feature default for the Rust failure crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-failure+derive-dev
Description-md5: 081c73d5c227ea4131a1b14783b22c71
Description-en: Experimental error handling abstraction - feature "derive"
 This metapackage enables feature derive for the Rust failure crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-failure+failure-derive-dev
Description-md5: 5fa44145587cae06bc5759c0535f439d
Description-en: Experimental error handling abstraction - feature "failure_derive"
 This metapackage enables feature failure_derive for the Rust failure crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-failure+std-dev
Description-md5: a8f2904e20ec0254f2d148b7df86a82b
Description-en: Experimental error handling abstraction - feature "std"
 This metapackage enables feature std for the Rust failure crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-failure-dev
Description-md5: 8ada46309d71bc1711eebbba253b1ec8
Description-en: Experimental error handling abstraction - Rust source code
 This package contains the source for the Rust failure crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fake-simd-dev
Description-md5: 55d39e0c8b88c8f41076f9c4fb443005
Description-en: Mimicking simd crate on stable Rust - Rust source code
 This package contains the source for the Rust fake-simd crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fallible-iterator-dev
Description-md5: 6175d1b92312d37bb70dc0ec22b53338
Description-en: Fallible iterator traits - Rust source code
 This package contains the source for the Rust fallible-iterator crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-fern+colored-dev
Description-md5: 983b313c73d455ed76b1238484cf5f73
Description-en: Simple, efficient logging - feature "colored"
 This metapackage enables feature colored for the Rust fern crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-fern+syslog-4-dev
Description-md5: f6fbc4e2eeb2575c3f221650f7ad8ca9
Description-en: Simple, efficient logging - feature "syslog-4"
 This metapackage enables feature syslog-4 for the Rust fern crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-fern+syslog-dev
Description-md5: 46985750ec8116c3397c75fd8f456d39
Description-en: Simple, efficient logging - feature "syslog"
 This metapackage enables feature syslog for the Rust fern crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-fern-dev
Description-md5: 299ec987eaf55e5a27e34c05a941ee7c
Description-en: Simple, efficient logging - Rust source code
 This package contains the source for the Rust fern crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-filetime-dev
Description-md5: 9a0339b5815a9fe55964ebbd30810c49
Description-en: Platform-agnostic accessors of timestamps in File metadata - Rust source code
 This package contains the source for the Rust filetime crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-findshlibs-dev
Description-md5: ce5468cf497083800b85a99d0522f92b
Description-en: Find shared libraries loaded in the current process - Rust source code
 This package contains the source for the Rust findshlibs crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fixedbitset-dev
Description-md5: 00bd36039dd1d80409dbf94fc22add90
Description-en: Simple bitset collection - Rust source code
 This package contains the source for the Rust fixedbitset crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-flate2+futures-dev
Description-md5: 4586645f59ec3055a5a0f90c6a479ae2
Description-en: FLATE, Gzip, and Zlib bindings for Rust - feature "futures"
 Contains bindings for zlib, deflate, and gzip-based streams.
 .
 This metapackage enables feature "futures" for the Rust flate2 crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-flate2+libz-sys-dev
Description-md5: 4de6228a077890b1b22a226cfc3eb6ec
Description-en: FLATE, Gzip, and Zlib bindings for Rust - feature "libz-sys" and 2 more
 Contains bindings for zlib, deflate, and gzip-based streams.
 .
 This metapackage enables feature "libz-sys" for the Rust flate2 crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "miniz-sys", and "zlib" features.

Package: librust-flate2+miniz-oxide-dev
Description-md5: 797ad78f9d2317ada28b2e4fb29b3965
Description-en: FLATE, Gzip, and Zlib bindings for Rust - feature "miniz_oxide"
 Contains bindings for zlib, deflate, and gzip-based streams.
 .
 This metapackage enables feature "miniz_oxide" for the Rust flate2 crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-flate2+rust-backend-dev
Description-md5: b3241788755582042d33ef4348469ab4
Description-en: FLATE, Gzip, and Zlib bindings for Rust - feature "rust_backend" and 1 more
 Contains bindings for zlib, deflate, and gzip-based streams.
 .
 This metapackage enables feature "rust_backend" for the Rust flate2 crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-flate2+tokio-dev
Description-md5: 4730e5ebf008a99de19203be5a934e90
Description-en: FLATE, Gzip, and Zlib bindings for Rust - feature "tokio"
 Contains bindings for zlib, deflate, and gzip-based streams.
 .
 This metapackage enables feature "tokio" for the Rust flate2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-flate2+tokio-io-dev
Description-md5: fbf70c5f4586cc2444f10cf85f615654
Description-en: FLATE, Gzip, and Zlib bindings for Rust - feature "tokio-io"
 Contains bindings for zlib, deflate, and gzip-based streams.
 .
 This metapackage enables feature "tokio-io" for the Rust flate2 crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-flate2-dev
Description-md5: a5567ea103f82d766cf9472ab236cfc6
Description-en: FLATE, Gzip, and Zlib bindings for Rust - Rust source code
 Contains bindings for zlib, deflate, and gzip-based streams.
 .
 This package contains the source for the Rust flate2 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-float-cmp+default-dev
Description-md5: cfcca8d23146e2c47be87fa91cda852a
Description-en: Floating point approximate comparison traits - feature "default" and 1 more
 This metapackage enables feature "default" for the Rust float-cmp crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "num-traits" feature.

Package: librust-float-cmp-dev
Description-md5: 76852428039c402bb2cb668d8c6a279e
Description-en: Floating point approximate comparison traits - Rust source code
 This package contains the source for the Rust float-cmp crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-float-ord-dev
Description-md5: 7709f89ceeed3cce08c50d98acc80852
Description-en: Total ordering for floating-point numbers - Rust source code
 This package contains the source for the Rust float-ord crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fnv-dev
Description-md5: ee2611939d3d1fd571b6de468bc06064
Description-en: Fowler–Noll–Vo hash function - Rust source code
 This package contains the source for the Rust fnv crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-foreign-types-dev
Description-md5: e00b518edd8d80e4f68abf1a7a67d95a
Description-en: Framework for Rust wrappers over C APIs - Rust source code
 This package contains the source for the Rust foreign-types crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-foreign-types-shared-dev
Description-md5: f431b852bdeb6ef42f8b256f0b2e30f4
Description-en: Internal crate used by foreign-types - Rust source code
 This package contains the source for the Rust foreign-types-shared crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-fragile-dev
Description-md5: e0035f10b688b403a2ee7dcdb05212ce
Description-en: Wrapper types that permit sending non Send types - Rust source code
 This package contains the source for the Rust fragile crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-freetype-sys-dev
Description-md5: 37145c2fae5978879873eb626f9236e7
Description-en: Low level binding for FreeType font library - Rust source code
 This package contains the source for the Rust freetype-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fs-extra-dev
Description-md5: da21947d06afcff93f79a620968dded7
Description-en: Expanding opportunities standard library std::fs and std::io - Rust source code
 Recursively copy folders with recept information about process and much more.
 .
 This package contains the source for the Rust fs_extra crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fs2-dev
Description-md5: 583060c7fc2fb198b3385e615afdd800
Description-en: Cross-platform file locks and file duplication - Rust source code
 This package contains the source for the Rust fs2 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-fst+memmap-dev
Description-md5: dd22f096eb9de17f20a2ca73a417e138
Description-en: Use finite state transducers to compactly represents sets or maps of many strings (> 1 billion is possible) - feature "memmap" and 2 more
 This metapackage enables feature "memmap" for the Rust fst crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default", and "mmap" features.

Package: librust-fst-dev
Description-md5: e16dc7127eefdf3476b3865d355d818c
Description-en: Use finite state transducers to compactly represents sets or maps of many strings (> 1 billion is possible) - Rust source code
 This package contains the source for the Rust fst crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-fuchsia-cprng-dev
Description-md5: 633d93fc013e7df1b34320874c70f5e5
Description-en: The Fuchsia cryptographically secure pseudorandom number generator - Rust source code
 This package contains the source for the Rust fuchsia-cprng crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fuchsia-zircon-dev
Description-md5: 87cde71939bac4b14bdd5df5b0c37450
Description-en: Rust bindings for the Zircon kernel - Rust source code
 This package contains the source for the Rust fuchsia-zircon crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fuchsia-zircon-sys-dev
Description-md5: 7c8dd1382612af324ca3da9c85dac506
Description-en: Low-level Rust bindings for the Zircon kernel - Rust source code
 This package contains the source for the Rust fuchsia-zircon-sys crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-futf-dev
Description-md5: 6b8dc132dbf6b9cd53710fa31dc48fa1
Description-en: Handling fragments of UTF-8 - Rust source code
 This package contains the source for the Rust futf crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-futures+default-dev
Description-md5: b9be7548b89dbd2a1926e88d49702f81
Description-en: Futures and streams - feature "default"
 This metapackage enables feature "default" for the Rust futures crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-futures-core+either-dev
Description-md5: 2cf1c918b74e59deab4b9092232eb379
Description-en: Core traits and types in for the `futures` library - feature "either"
 This metapackage enables feature either for the Rust futures-core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-futures-core+std-dev
Description-md5: a4ce45365f9d639c37d8111b95a9997e
Description-en: Core traits and types in for the `futures` library - feature "std"
 This metapackage enables feature std for the Rust futures-core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-futures-core-dev
Description-md5: 761b4612c56db47644716f9c263b057d
Description-en: Core traits and types in for the `futures` library - Rust source code
 This package contains the source for the Rust futures-core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-futures-cpupool+with-deprecated-dev
Description-md5: 15297da78b87161fa7d21a06eedaa8a6
Description-en: Threadpool implementation giving futures - feature "with-deprecated" and 1 more
 This metapackage enables feature "with-deprecated" for the Rust futures-cpupool
 crate, by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-futures-cpupool-dev
Description-md5: 4b315797ca7a23a17527e4ac9653fe27
Description-en: Threadpool implementation giving futures - Rust source code
 This package contains the source for the Rust futures-cpupool crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-futures-dev
Description-md5: 5ab186d8c39b7e3fa5a3adede18b320e
Description-en: Futures and streams - Rust source code
 This package contains the source for the Rust futures crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fwdansi-dev
Description-md5: b88920897e38df95a7e377cb8a873697
Description-en: Forwards a byte string with ANSI escape code to a termcolor terminal - Rust source code
 This package contains the source for the Rust fwdansi crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-fxhash-dev
Description-md5: c4caa0ec480f7727ad7417bbf4aac9a9
Description-en: Fast, non-secure, hashing algorithm - Rust source code
 This package contains the source for the Rust fxhash crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gdk+dox-dev
Description-md5: ea7c1e125f75667b84e9d58442951415
Description-en: Rust bindings for the GDK 3 library - feature "dox"
 This metapackage enables feature "dox" for the Rust gdk crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gdk+embed-lgpl-docs-dev
Description-md5: 956d6f06bf5ccafba67b69b3544a04d8
Description-en: Rust bindings for the GDK 3 library - feature "embed-lgpl-docs"
 This metapackage enables feature "embed-lgpl-docs" for the Rust gdk crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gdk+gtk-rs-lgpl-docs-dev
Description-md5: 00b0f36b0d52ab7ecb0320fe8d85a8c9
Description-en: Rust bindings for the GDK 3 library - feature "gtk-rs-lgpl-docs"
 This metapackage enables feature "gtk-rs-lgpl-docs" for the Rust gdk crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gdk+purge-lgpl-docs-dev
Description-md5: be6e643d9edfde1553f20f250d2ff000
Description-en: Rust bindings for the GDK 3 library - feature "purge-lgpl-docs"
 This metapackage enables feature "purge-lgpl-docs" for the Rust gdk crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gdk+v3-16-dev
Description-md5: ea15dde980b581e14b48c84d21ce81bc
Description-en: Rust bindings for the GDK 3 library - feature "v3_16"
 This metapackage enables feature "v3_16" for the Rust gdk crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gdk+v3-18-dev
Description-md5: ed9e3fc999671c5091ad01059db1eeff
Description-en: Rust bindings for the GDK 3 library - feature "v3_18"
 This metapackage enables feature "v3_18" for the Rust gdk crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gdk+v3-20-dev
Description-md5: b3e3b40525c2437a1f0d74a9f9c1b560
Description-en: Rust bindings for the GDK 3 library - feature "v3_20"
 This metapackage enables feature "v3_20" for the Rust gdk crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gdk+v3-22-dev
Description-md5: f957c1a02701bee7ab70dca233aed95f
Description-en: Rust bindings for the GDK 3 library - feature "v3_22"
 This metapackage enables feature "v3_22" for the Rust gdk crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gdk+v3-24-dev
Description-md5: 39df0084d4244e5c019b0cbcfa654b4b
Description-en: Rust bindings for the GDK 3 library - feature "v3_24"
 This metapackage enables feature "v3_24" for the Rust gdk crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gdk-dev
Description-md5: cf9ba4d7024bfc1b0c37a5f8f072415e
Description-en: Rust bindings for the GDK 3 library - Rust source code
 This package contains the source for the Rust gdk crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-gdk-pixbuf+dox-dev
Description-md5: 1617770f253e8237a02ddaed4af45cd2
Description-en: Rust bindings for the GdkPixbuf library - feature "dox"
 This metapackage enables feature dox for the Rust gdk-pixbuf crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-gdk-pixbuf+embed-lgpl-docs-dev
Description-md5: 5f7c8e12dff8da695af06610dd5892c4
Description-en: Rust bindings for the GdkPixbuf library - feature "embed-lgpl-docs"
 This metapackage enables feature embed-lgpl-docs for the Rust gdk-pixbuf crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev
Description-md5: b6874757efef26221433afe6f0c3ce66
Description-en: Rust bindings for the GdkPixbuf library - feature "gtk-rs-lgpl-docs"
 This metapackage enables feature gtk-rs-lgpl-docs for the Rust gdk-pixbuf
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-gdk-pixbuf+purge-lgpl-docs-dev
Description-md5: 975779fb446d0c5b3ea732c3703e79d7
Description-en: Rust bindings for the GdkPixbuf library - feature "purge-lgpl-docs"
 This metapackage enables feature purge-lgpl-docs for the Rust gdk-pixbuf crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-gdk-pixbuf+v2-32-dev
Description-md5: 2e711e8dfcfa7d4f351759490144d3bb
Description-en: Rust bindings for the GdkPixbuf library - feature "v2_32"
 This metapackage enables feature v2_32 for the Rust gdk-pixbuf crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gdk-pixbuf+v2-36-8-dev
Description-md5: 2b2643a5c167f2a210436e67f0132a0b
Description-en: Rust bindings for the GdkPixbuf library - feature "v2_36_8"
 This metapackage enables feature v2_36_8 for the Rust gdk-pixbuf crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gdk-pixbuf+v2-36-dev
Description-md5: 229fea7a01e5e12eb276d3a82b2ef737
Description-en: Rust bindings for the GdkPixbuf library - feature "v2_36"
 This metapackage enables feature v2_36 for the Rust gdk-pixbuf crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gdk-pixbuf-dev
Description-md5: a93a039ad87ffc0b0a36108697b40ce9
Description-en: Rust bindings for the GdkPixbuf library - Rust source code
 This package contains the source for the Rust gdk-pixbuf crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gdk-pixbuf-sys-dev
Description-md5: ba7cbfed3b4513586d1b87196d25109b
Description-en: FFI bindings to libgdk_pixbuf-2.0 - Rust source code
 This package contains the source for the Rust gdk-pixbuf-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gdk-sys-dev
Description-md5: 0a3973af377756f4e2743008bfa4a750
Description-en: FFI bindings to libgdk-3 - Rust source code
 This package contains the source for the Rust gdk-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-generic-array+serde-dev
Description-md5: e3f5c3ef0b0b191d180aad616e900e9f
Description-en: Generic types implementing functionality of arrays - feature "serde"
 This metapackage enables feature serde for the Rust generic-array crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-generic-array-dev
Description-md5: d5371a19488fd3cf807e7fb13ab02fb0
Description-en: Generic types implementing functionality of arrays - Rust source code
 This package contains the source for the Rust generic-array crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-getopts-dev
Description-md5: 6c3d2f75d483f079f80f08c7baf97523
Description-en: Getopts-like option parsing - Rust source code
 This package contains the source for the Rust getopts crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-getrandom+log-dev
Description-md5: 58cd0b9f8ec195b8b7fd1e8dcdcb6ed2
Description-en: Retrieve random data from system source - feature "log"
 This metapackage enables feature log for the Rust getrandom crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-getrandom+stdweb-dev
Description-md5: b3be62482a27d5ab99e298c26da786d1
Description-en: Retrieve random data from system source - feature "stdweb"
 This metapackage enables feature stdweb for the Rust getrandom crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-getrandom+wasm-bindgen-dev
Description-md5: 48c1b0db85175dd9c293ef5416ae5ebc
Description-en: Retrieve random data from system source - feature "wasm-bindgen"
 This metapackage enables feature wasm-bindgen for the Rust getrandom crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-getrandom-dev
Description-md5: 56be96e4664809b2d69b28f8f0ab0a57
Description-en: Retrieve random data from system source - Rust source code
 This package contains the source for the Rust getrandom crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gettext-rs+gettext-system-dev
Description-md5: 583878f8946ed9dcec8ff03433a66c4e
Description-en: GNU Gettext FFI binding for Rust - feature "gettext-system"
 This metapackage enables feature gettext-system for the Rust gettext-rs crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-gettext-rs-dev
Description-md5: 869735324ebc79c656ae761f8b0f4e73
Description-en: GNU Gettext FFI binding for Rust - Rust source code
 This package contains the source for the Rust gettext-rs crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gettext-sys-dev
Description-md5: 59245334445027e1e10e4cd5973295c1
Description-en: Gettext raw FFI bindings - Rust source code
 This package contains the source for the Rust gettext-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gif+c-api-dev
Description-md5: 335c90e208ebbce33a9b4c8528685ad9
Description-en: GIF de- and encoder - feature "c_api"
 This metapackage enables feature c_api for the Rust gif crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gif+libc-dev
Description-md5: cbb726295217fa83245612a24a5b6831
Description-en: GIF de- and encoder - feature "libc"
 This metapackage enables feature libc for the Rust gif crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-gif-dev
Description-md5: 2efbdc5b5f44f885b52806f46a00c4fe
Description-en: GIF de- and encoder - Rust source code
 This package contains the source for the Rust gif crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-gimli+alloc-dev
Description-md5: 026761d0f9a9ad9f88ce1c6d1f1577e0
Description-en: Reading and writing the DWARF debugging format - feature "alloc"
 This metapackage enables feature "alloc" for the Rust gimli crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-gimli+default-dev
Description-md5: a49a0702298c4f94217bf6927ddca408
Description-en: Reading and writing the DWARF debugging format - feature "default"
 This metapackage enables feature "default" for the Rust gimli crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-gimli+indexmap-dev
Description-md5: 0f7c6b1c81fd564e208b78a9a2ab1794
Description-en: Reading and writing the DWARF debugging format - feature "indexmap"
 This metapackage enables feature "indexmap" for the Rust gimli crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gimli+std-dev
Description-md5: 5dbb3b0cbee7c9fa723e5f8bc4bd8340
Description-en: Reading and writing the DWARF debugging format - feature "std"
 This metapackage enables feature "std" for the Rust gimli crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gimli+write-dev
Description-md5: 0ce64d5f1449199f3ebeaa54acfb15c5
Description-en: Reading and writing the DWARF debugging format - feature "write"
 This metapackage enables feature "write" for the Rust gimli crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-gimli-dev
Description-md5: 8a40253ada58786f14b3afbbd4c28d78
Description-en: Reading and writing the DWARF debugging format - Rust source code
 This package contains the source for the Rust gimli crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-gio+dox-dev
Description-md5: 9080c2b67b198e25dddb34a6125446b0
Description-en: Rust bindings for the Gio library - feature "dox"
 This metapackage enables feature "dox" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio+embed-lgpl-docs-dev
Description-md5: 04d013896f8f5c655b6280a5f411f746
Description-en: Rust bindings for the Gio library - feature "embed-lgpl-docs" and 2 more
 This metapackage enables feature "embed-lgpl-docs" for the Rust gio crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "gtk-rs-lgpl-docs", and "purge-
 lgpl-docs" features.

Package: librust-gio+subclassing-dev
Description-md5: 88cd54b22d8e5ea1e5d1a1a32d7bc718
Description-en: Rust bindings for the Gio library - feature "subclassing"
 This metapackage enables feature "subclassing" for the Rust gio crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gio+v2-44-dev
Description-md5: 2ebd6664c665c736d59c67dfa9b08ca6
Description-en: Rust bindings for the Gio library - feature "v2_44"
 This metapackage enables feature "v2_44" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio+v2-46-dev
Description-md5: a3f43ef50403c05db3b857c7a68b5ab4
Description-en: Rust bindings for the Gio library - feature "v2_46"
 This metapackage enables feature "v2_46" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio+v2-48-dev
Description-md5: cbbe89dd1553b8f53d47806bfc539bac
Description-en: Rust bindings for the Gio library - feature "v2_48"
 This metapackage enables feature "v2_48" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio+v2-50-dev
Description-md5: 4ba143d2cb44e0431904485e48b063e7
Description-en: Rust bindings for the Gio library - feature "v2_50"
 This metapackage enables feature "v2_50" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio+v2-52-dev
Description-md5: 3404a6fb21e24653c00869cd1cbd4525
Description-en: Rust bindings for the Gio library - feature "v2_52"
 This metapackage enables feature "v2_52" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio+v2-54-dev
Description-md5: 2184eb5f44921ea0e14ec45355f98028
Description-en: Rust bindings for the Gio library - feature "v2_54"
 This metapackage enables feature "v2_54" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio+v2-56-dev
Description-md5: d22ef7e6c2cee5e9cf757ca3fe1a4034
Description-en: Rust bindings for the Gio library - feature "v2_56"
 This metapackage enables feature "v2_56" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio+v2-58-dev
Description-md5: 36f340321898a36de2dd714be94de7ef
Description-en: Rust bindings for the Gio library - feature "v2_58"
 This metapackage enables feature "v2_58" for the Rust gio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-gio-dev
Description-md5: 769a8865592b6b6541e6beb9332ffbbf
Description-en: Rust bindings for the Gio library - Rust source code
 This package contains the source for the Rust gio crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-gio-sys-dev
Description-md5: 4ca7c58a42b4e5734b46a216b5591ea1
Description-en: FFI bindings to libgio-2.0 - Rust source code
 This package contains the source for the Rust gio-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gir-format-check-dev
Description-md5: de825804f10dd30949ab1888c0491610
Description-en: File format checker - Rust source code
 This package contains the source for the Rust gir-format-check crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-git2+curl-dev
Description-md5: 4b673936ea9ce13a4d557864d1344879
Description-en: Bindings to libgit2 for interoperating with git repositories - feature "curl"
 This library is both threadsafe and memory safe and allows both reading and
 writing git repositories.
 .
 This metapackage enables feature "curl" for the Rust git2 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-git2+default-dev
Description-md5: 9f3df519a32eb7a03c60b4aefe41ce14
Description-en: Bindings to libgit2 for interoperating with git repositories - feature "default"
 This library is both threadsafe and memory safe and allows both reading and
 writing git repositories.
 .
 This metapackage enables feature "default" for the Rust git2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-git2+https-dev
Description-md5: 09f3ed4205589e1be2d74efdc5af5bb6
Description-en: Bindings to libgit2 for interoperating with git repositories - feature "https"
 This library is both threadsafe and memory safe and allows both reading and
 writing git repositories.
 .
 This metapackage enables feature "https" for the Rust git2 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-git2+openssl-probe-dev
Description-md5: 62d6dc9d20611eafa3a6f42aa8d28786
Description-en: Bindings to libgit2 for interoperating with git repositories - feature "openssl-probe"
 This library is both threadsafe and memory safe and allows both reading and
 writing git repositories.
 .
 This metapackage enables feature "openssl-probe" for the Rust git2 crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-git2+openssl-sys-dev
Description-md5: f74a98cbb9808b56292f0d8eba816d03
Description-en: Bindings to libgit2 for interoperating with git repositories - feature "openssl-sys"
 This library is both threadsafe and memory safe and allows both reading and
 writing git repositories.
 .
 This metapackage enables feature "openssl-sys" for the Rust git2 crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-git2+ssh-dev
Description-md5: 2328fe9b5d5eb540ee69f4e80819ad47
Description-en: Bindings to libgit2 for interoperating with git repositories - feature "ssh"
 This library is both threadsafe and memory safe and allows both reading and
 writing git repositories.
 .
 This metapackage enables feature "ssh" for the Rust git2 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-git2+ssh-key-from-memory-dev
Description-md5: d886702357c57b5db5b2283383ed6047
Description-en: Bindings to libgit2 for interoperating with git repositories - feature "ssh_key_from_memory"
 This library is both threadsafe and memory safe and allows both reading and
 writing git repositories.
 .
 This metapackage enables feature "ssh_key_from_memory" for the Rust git2 crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-git2-curl-dev
Description-md5: 7aa7040067ea1a864ad869880388dc29
Description-en: Backend for an HTTP transport in libgit2 powered by libcurl - Rust source code
 Intended to be used with the git2 crate.
 .
 This package contains the source for the Rust git2-curl crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-git2-dev
Description-md5: 8959e8c0eae5c822b037f55c4176b5b7
Description-en: Bindings to libgit2 for interoperating with git repositories - Rust source code
 This library is both threadsafe and memory safe and allows both reading and
 writing git repositories.
 .
 This package contains the source for the Rust git2 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-glib+dox-dev
Description-md5: 75a11b3fafe12830ef32b029143053da
Description-en: Rust bindings for the GLib library - feature "dox"
 This metapackage enables feature "dox" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib+v2-44-dev
Description-md5: 2fe75c66171de69ffa643280c7a25cd0
Description-en: Rust bindings for the GLib library - feature "v2_44"
 This metapackage enables feature "v2_44" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib+v2-46-dev
Description-md5: 5b5dc15fb507916e7b12dc34bca60ec9
Description-en: Rust bindings for the GLib library - feature "v2_46"
 This metapackage enables feature "v2_46" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib+v2-48-dev
Description-md5: 7cd6158b4d9ba03b3bf95b2726829ae0
Description-en: Rust bindings for the GLib library - feature "v2_48"
 This metapackage enables feature "v2_48" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib+v2-50-dev
Description-md5: f2c79197e92c1ab36db092fb552157d0
Description-en: Rust bindings for the GLib library - feature "v2_50"
 This metapackage enables feature "v2_50" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib+v2-52-dev
Description-md5: 8622e0b3a2eddb1dc961cd33ffffa160
Description-en: Rust bindings for the GLib library - feature "v2_52"
 This metapackage enables feature "v2_52" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib+v2-54-dev
Description-md5: 8872806870581c3276f61c214e9da800
Description-en: Rust bindings for the GLib library - feature "v2_54"
 This metapackage enables feature "v2_54" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib+v2-56-dev
Description-md5: 1855435774212ec0f15c172361485954
Description-en: Rust bindings for the GLib library - feature "v2_56"
 This metapackage enables feature "v2_56" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib+v2-58-dev
Description-md5: ca910936d7d84ab9b278eaf67828f47a
Description-en: Rust bindings for the GLib library - feature "v2_58"
 This metapackage enables feature "v2_58" for the Rust glib crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-glib-dev
Description-md5: 092ecdfcf7008c600a36ed0180822fae
Description-en: Rust bindings for the GLib library - Rust source code
 This package contains the source for the Rust glib crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-glib-sys-dev
Description-md5: e75b7ce0b76b7eff379d24c987780733
Description-en: FFI bindings to libglib-2.0 - Rust source code
 This package contains the source for the Rust glib-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-glob-dev
Description-md5: 582e4a28bdfe6ad7aa13a9af1668f5c9
Description-en: Match file paths against Unix shell style patterns - Rust source code
 This package contains the source for the Rust glob crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-globset-dev
Description-md5: cfab569dfad7629805cb2d5eff8d720c
Description-en: Cross platform single glob and glob set matching - Rust source code
 Glob set matching is the process of matching one or more glob patterns against
 a single candidate path simultaneously, and returning all of the globs that
 matched.
 .
 This package contains the source for the Rust globset crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gobject-sys-dev
Description-md5: abf6da6c432d359218ea80435b51b547
Description-en: FFI bindings to libgobject-2.0 - Rust source code
 This package contains the source for the Rust gobject-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-goblin+alloc-dev
Description-md5: 7dded9fe9af83ea47e7e8b4b763d4f4a
Description-en: Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "alloc" and 2 more
 This metapackage enables feature "alloc" for the Rust goblin crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "archive", and "endian_fd"
 features.

Package: librust-goblin+default-dev
Description-md5: e666da52ef6c7cc428ac59da490aa451
Description-en: Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "default"
 This metapackage enables feature "default" for the Rust goblin crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-goblin+log-dev
Description-md5: edc3a9d0ce0261f212043f0456896b5c
Description-en: Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "log"
 This metapackage enables feature "log" for the Rust goblin crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-goblin+mach32-dev
Description-md5: dfd08ecbb8f9457d0b1ac43858395f6e
Description-en: Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "mach32" and 3 more
 This metapackage enables feature "mach32" for the Rust goblin crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "mach64", "pe32", and "pe64"
 features.

Package: librust-goblin+std-dev
Description-md5: f212fe9cb3660574e06dba8a0062e6f8
Description-en: Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "std"
 This metapackage enables feature "std" for the Rust goblin crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-goblin-dev
Description-md5: 66bce0599bcc0cdf2c9dbfa0d4b299e9
Description-en: Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - Rust source code
 This package contains the source for the Rust goblin crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-grep+simd-accel-dev
Description-md5: 570eb9b8d22e21e372c2492b49cb1364
Description-en: Fast line oriented regex searching as a library - feature "simd-accel"
 This metapackage enables feature "simd-accel" for the Rust grep crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-grep-cli-dev
Description-md5: f0236985164622f69b1c04c02bb43102
Description-en: Utilities for search oriented command line applications - Rust source code
 This package contains the source for the Rust grep-cli crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-grep-dev
Description-md5: 3a8568be06b681e4e2cc666540e3496d
Description-en: Fast line oriented regex searching as a library - Rust source code
 This package contains the source for the Rust grep crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-grep-matcher-dev
Description-md5: a7dce7453e26e385b08e1e8aebe3bf2c
Description-en: Trait for regular expressions for line oriented search - Rust source code
 This package contains the source for the Rust grep-matcher crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-grep-pcre2-dev
Description-md5: d8b55f51e825940af1be7c8c48c7ac1b
Description-en: Use PCRE2 with the 'grep' crate - Rust source code
 This package contains the source for the Rust grep-pcre2 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-grep-printer+base64-dev
Description-md5: 6681b972db3230a22ad76f42f2d44f6e
Description-en: The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "base64"
 This metapackage enables feature "base64" for the Rust grep-printer crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-grep-printer+serde-derive-dev
Description-md5: 103105d392a9f17d34a26a67c0de5773
Description-en: The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde_derive"
 This metapackage enables feature "serde_derive" for the Rust grep-printer
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-grep-printer+serde-dev
Description-md5: 995a720e0d443210c4f88edab793fba9
Description-en: The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde"
 This metapackage enables feature "serde" for the Rust grep-printer crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-grep-printer+serde-json-dev
Description-md5: c2ec6ac545dc10b6c33f9ab6c12b480a
Description-en: The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde_json"
 This metapackage enables feature "serde_json" for the Rust grep-printer crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-grep-printer+serde1-dev
Description-md5: 29ec2466635e52dfaea1f4adfec5dc16
Description-en: The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde1" and 1 more
 This metapackage enables feature "serde1" for the Rust grep-printer crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-grep-printer-dev
Description-md5: 6d3abf4eb07f3a2822f22511b4cb2772
Description-en: The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - Rust source code
 This package contains the source for the Rust grep-printer crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-grep-regex-dev
Description-md5: ad160a2d956a6262b65e450dec2b2a01
Description-en: Use Rust's regex library with the 'grep' crate - Rust source code
 This package contains the source for the Rust grep-regex crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-grep-searcher+default-dev
Description-md5: 1193b91afd98516d6361d38f152758b6
Description-en: Fast line oriented regex searching as a library - feature "default"
 This metapackage enables feature default for the Rust grep-searcher crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-grep-searcher+simd-accel-dev
Description-md5: 321f7a393c97a8713447982e5c228523
Description-en: Fast line oriented regex searching as a library - feature "simd-accel"
 This metapackage enables feature simd-accel for the Rust grep-searcher crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-grep-searcher-dev
Description-md5: a4b33f62e04cc4dd40f5efd671927b14
Description-en: Fast line oriented regex searching as a library - Rust source code
 This package contains the source for the Rust grep-searcher crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gstreamer-audio-sys-dev
Description-md5: 0615a4fc180953fa042b9b752bdafc8f
Description-en: FFI bindings to libgstaudio-1.0 - Rust source code
 This package contains the source for the Rust gstreamer-audio-sys crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-gstreamer-base-sys-dev
Description-md5: b9f6929102698f96f373484125759072
Description-en: FFI bindings to libgstbase-1.0 - Rust source code
 This package contains the source for the Rust gstreamer-base-sys crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-gstreamer-sys-dev
Description-md5: 12aa4be1a7ecbb6b32a101ff902b80f1
Description-en: FFI bindings to libgstreamer-1.0 - Rust source code
 This package contains the source for the Rust gstreamer-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gstreamer-video-sys-dev
Description-md5: 0216aa1c75f56e13941955416ce6d118
Description-en: FFI bindings to libgstvideo-1.0 - Rust source code
 This package contains the source for the Rust gstreamer-video-sys crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-gtk-rs-lgpl-docs-dev
Description-md5: 998032fb39200e4cf83f931cd6a633c4
Description-en: LGPL-licensed docs for Gtk-rs crates - Rust source code
 This package contains the source for the Rust gtk-rs-lgpl-docs crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-gtk-sys-dev
Description-md5: b5e3a39913e635b16528358124c5568c
Description-en: FFI bindings to libgtk-3 - Rust source code
 This package contains the source for the Rust gtk-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gumdrop+default-expr-dev
Description-md5: 88f31ddad1657badec00ac7375a490ae
Description-en: Option parser with custom derive support - feature "default_expr"
 This metapackage enables feature "default_expr" for the Rust gumdrop crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-gumdrop-derive+default-expr-dev
Description-md5: 77415a2a682e7b66870a734225bd63ad
Description-en: Custom derive support for gumdrop - feature "default_expr"
 This metapackage enables feature "default_expr" for the Rust gumdrop_derive
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-gumdrop-derive-dev
Description-md5: 74a7d19fb9041ed5f82455eb6b32716d
Description-en: Custom derive support for gumdrop - Rust source code
 This package contains the source for the Rust gumdrop_derive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gumdrop-dev
Description-md5: e644c0761ca443b1ea710943fbca62ea
Description-en: Option parser with custom derive support - Rust source code
 This package contains the source for the Rust gumdrop crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-gzip-header-dev
Description-md5: 67cc93dca274b893720a492b329cf004
Description-en: Decoding and encoding the header part of gzip files - Rust source code
 This package contains the source for the Rust gzip-header crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-h2-dev
Description-md5: 09c128e2ab8c1a3f32c37c36126d6663
Description-en: HTTP/2.0 client and server - Rust source code
 This package contains the source for the Rust h2 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-hash-dev
Description-md5: 62cb7ea4fcc24d514fca1e5dd2924c3b
Description-en: Use the md5 package instead - Rust source code
 This package contains the source for the Rust hash crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-hashbrown+rayon-dev
Description-md5: 753ed690dc486b2199255a69d9166f2b
Description-en: Rust port of Google's SwissTable hash map - feature "rayon"
 This metapackage enables feature rayon for the Rust hashbrown crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-hashbrown+serde-dev
Description-md5: d5914765a94e3c8687d0a3f3dde4582c
Description-en: Rust port of Google's SwissTable hash map - feature "serde"
 This metapackage enables feature serde for the Rust hashbrown crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-hashbrown-dev
Description-md5: 9f9460582137ce8be5073d47f2a4a1b0
Description-en: Rust port of Google's SwissTable hash map - Rust source code
 This package contains the source for the Rust hashbrown crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-hdrhistogram+base64-dev
Description-md5: 2900ae6cf95de0d280aaf9e0c4ee81cd
Description-en: Port of HdrHistogram to Rust - feature "base64"
 This metapackage enables feature "base64" for the Rust hdrhistogram crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hdrhistogram+crossbeam-channel-dev
Description-md5: ca120b52c6d064a82e1018a1a60d6b79
Description-en: Port of HdrHistogram to Rust - feature "crossbeam-channel" and 1 more
 This metapackage enables feature "crossbeam-channel" for the Rust hdrhistogram
 crate, by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "sync" feature.

Package: librust-hdrhistogram+default-dev
Description-md5: 456ce22182a7cff820ceb783cf8f6586
Description-en: Port of HdrHistogram to Rust - feature "default"
 This metapackage enables feature "default" for the Rust hdrhistogram crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hdrhistogram+flate2-dev
Description-md5: ecc0b75f612aa36e939cd60aa819a34f
Description-en: Port of HdrHistogram to Rust - feature "flate2"
 This metapackage enables feature "flate2" for the Rust hdrhistogram crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hdrhistogram+nom-dev
Description-md5: 502e8cd3d541ea70d5482eb4de0aea13
Description-en: Port of HdrHistogram to Rust - feature "nom"
 This metapackage enables feature "nom" for the Rust hdrhistogram crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hdrhistogram+serialization-dev
Description-md5: c11a505d8a5a19f2f829ea07c0799477
Description-en: Port of HdrHistogram to Rust - feature "serialization"
 This metapackage enables feature "serialization" for the Rust hdrhistogram
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-hdrhistogram-dev
Description-md5: 380cc1b75f88e9a8096591feee785641
Description-en: Port of HdrHistogram to Rust - Rust source code
 This package contains the source for the Rust hdrhistogram crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-heapsize-dev
Description-md5: 09231e89aeac863ec4b2e4e79747220f
Description-en: Measure the total runtime size of an object on the heap - Rust source code
 This package contains the source for the Rust heapsize crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-heck-dev
Description-md5: ef4e14b521b65b1147b88239fb98351d
Description-en: Case conversion library - Rust source code
 This package contains the source for the Rust heck crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-hex-dev
Description-md5: f9e9696c1e55ac71e26a8528b6883080
Description-en: Encoding and decoding data into/from hexadecimal representation - Rust source code
 This package contains the source for the Rust hex crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-hex-literal-dev
Description-md5: df7b0df4f6236ce099a10c9f0b14ddb0
Description-en: Procedural macro for converting hexadecimal string to byte array at compile time - Rust source code
 This package contains the source for the Rust hex-literal crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-hex-literal-impl-dev
Description-md5: 18d2873c156fe5a5164036b772f9e09d
Description-en: Internal implementation of the hex-literal crate - Rust source code
 This package contains the source for the Rust hex-literal-impl crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-hexyl-dev
Description-md5: 2c72e2a9403ab9e2a89d6712cf632fc8
Description-en: Command-line hex viewer - Rust source code
 This package contains the source for the Rust hexyl crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-hidapi-sys-dev
Description-md5: e2737238c1967af7a090250bec282f87
Description-en: FFI bindings to hidapi - Rust source code
 This package contains the source for the Rust hidapi-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-hkdf-dev
Description-md5: cf2bff135efc6831e38b3bd1f20a1d89
Description-en: HMAC-based Extract-and-Expand Key Derivation Function (HKDF) - Rust source code
 This package contains the source for the Rust hkdf crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-hmac-dev
Description-md5: bc91aed24c633e6961bccab4af7dd515
Description-en: Generic implementation of Hash-based Message Authentication Code (HMAC) - Rust source code
 This package contains the source for the Rust hmac crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-home-dev
Description-md5: 47ed10e4bbe99c23da6e7241f966c5c5
Description-en: Shared definitions of home directories - Rust source code
 This package contains the source for the Rust home crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-hostname-dev
Description-md5: d91b220e070379cb449b1e85847873a0
Description-en: Get hostname - Rust source code
 Compatible with windows and linux, redox.
 .
 This package contains the source for the Rust hostname crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-http-body-dev
Description-md5: 5ef84833c84f0cdc82ddb5a1ddb1bfb6
Description-en: trait representing asynchronous operations on an HTTP body - Rust source code
 This package contains the source for the Rust http-body crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-http-dev
Description-md5: e2c3a17c26669023dd927b226b10f0e1
Description-en: Set of types for representing HTTP requests and responses - Rust source code
 This package contains the source for the Rust http crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-httparse-dev
Description-md5: e7591328e425b149d2e9e1b8a34fb3de
Description-en: Tiny, safe, speedy, zero-copy HTTP/1.x parser - Rust source code
 This package contains the source for the Rust httparse crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-humansize-dev
Description-md5: 2416d9dc2e4891e8f6789b5f153fe141
Description-en: Represent file sizes in a human-readable format - Rust source code
 This package contains the source for the Rust humansize crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-humantime-dev
Description-md5: b83176d6e2f93ba4e3c722e45635f548
Description-en: Parser and formatter for std::time::{Duration, SystemTime} - Rust source code
 This package contains the source for the Rust humantime crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-hyper+default-dev
Description-md5: b17dbdbdc8501a5959480a83650b8dbe
Description-en: Fast and correct HTTP library - feature "default"
 This metapackage enables feature default for the Rust hyper crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-hyper+futures-cpupool-dev
Description-md5: 6d4aa8d27538457b46e4d57e9d2e5c40
Description-en: Fast and correct HTTP library - feature "futures-cpupool"
 This metapackage enables feature futures-cpupool for the Rust hyper crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyper+net2-dev
Description-md5: 8c009172125e28c54b6c3a6d08264017
Description-en: Fast and correct HTTP library - feature "net2"
 This metapackage enables feature net2 for the Rust hyper crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-hyper+runtime-dev
Description-md5: fd472f690706cda9ef6a3ce5dbc598c3
Description-en: Fast and correct HTTP library - feature "runtime"
 This metapackage enables feature runtime for the Rust hyper crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-hyper+tokio-dev
Description-md5: 6b11a2a42f5599d4bb387bc5a7290ea1
Description-en: Fast and correct HTTP library - feature "tokio"
 This metapackage enables feature tokio for the Rust hyper crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-hyper+tokio-executor-dev
Description-md5: 9bf42325cf76920a434acb3f2fcde886
Description-en: Fast and correct HTTP library - feature "tokio-executor"
 This metapackage enables feature tokio-executor for the Rust hyper crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyper+tokio-reactor-dev
Description-md5: 5d0f0e62d0f68be5ef1578ee10ebbef1
Description-en: Fast and correct HTTP library - feature "tokio-reactor"
 This metapackage enables feature tokio-reactor for the Rust hyper crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyper+tokio-tcp-dev
Description-md5: e8d180e1262863b08de2ba2d8d6befc8
Description-en: Fast and correct HTTP library - feature "tokio-tcp"
 This metapackage enables feature tokio-tcp for the Rust hyper crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-hyper+tokio-threadpool-dev
Description-md5: c8345e3bb979f6121ba127f746629b6a
Description-en: Fast and correct HTTP library - feature "tokio-threadpool"
 This metapackage enables feature tokio-threadpool for the Rust hyper crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyper+tokio-timer-dev
Description-md5: f8fdd9e23bf72513959f5487582fb41c
Description-en: Fast and correct HTTP library - feature "tokio-timer"
 This metapackage enables feature tokio-timer for the Rust hyper crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyper-dev
Description-md5: 425ecd21129815fc3374236c2f4e69ed
Description-en: Fast and correct HTTP library - Rust source code
 This package contains the source for the Rust hyper crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-hyper-tls+vendored-dev
Description-md5: 51515b58a6ef9d87323f21608054f95d
Description-en: Default TLS implementation for use with hyper - feature "vendored"
 This metapackage enables feature vendored for the Rust hyper-tls crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyper-tls-dev
Description-md5: 164f85379fb00b4731d0c5a2ae21a74d
Description-en: Default TLS implementation for use with hyper - Rust source code
 This package contains the source for the Rust hyper-tls crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-hyphenation+embed-all-dev
Description-md5: de62e1201d594efe33cd671f5f9eea36
Description-en: Knuth-Liang hyphenation for a variety of languages - feature "embed_all"
 This metapackage enables feature embed_all for the Rust hyphenation crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyphenation+nfc-dev
Description-md5: b4730516733140218bb917ab38965a4f
Description-en: Knuth-Liang hyphenation for a variety of languages - feature "nfc"
 This metapackage enables feature nfc for the Rust hyphenation crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-hyphenation+nfd-dev
Description-md5: 0cb81b0bdbefb3482fc8b03be47daee4
Description-en: Knuth-Liang hyphenation for a variety of languages - feature "nfd"
 This metapackage enables feature nfd for the Rust hyphenation crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-hyphenation+nfkc-dev
Description-md5: b533160d40e1e6d49843ddb83ca5fe00
Description-en: Knuth-Liang hyphenation for a variety of languages - feature "nfkc"
 This metapackage enables feature nfkc for the Rust hyphenation crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyphenation+nfkd-dev
Description-md5: 3c0bcc897e52387c867ed2c58c3227ea
Description-en: Knuth-Liang hyphenation for a variety of languages - feature "nfkd"
 This metapackage enables feature nfkd for the Rust hyphenation crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-hyphenation+pocket-resources-dev
Description-md5: 97f7efb25ce8eac534f674e04f36e6ff
Description-en: Knuth-Liang hyphenation for a variety of languages - feature "pocket-resources"
 This metapackage enables feature pocket-resources for the Rust hyphenation
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-hyphenation+unicode-normalization-dev
Description-md5: e7b77bc630aea859b3accec61d6d4bcb
Description-en: Knuth-Liang hyphenation for a variety of languages - feature "unicode-normalization"
 This metapackage enables feature unicode-normalization for the Rust hyphenation
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-hyphenation-commons-dev
Description-md5: 13a78419be15cd9512c3aee000e1fa06
Description-en: Proemial code for the `hyphenation` library - Rust source code
 This package contains the source for the Rust hyphenation_commons crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-hyphenation-dev
Description-md5: e7ef7506496b9cbb8dcd6bba9ddf79a3
Description-en: Knuth-Liang hyphenation for a variety of languages - Rust source code
 This package contains the source for the Rust hyphenation crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ident-case-dev
Description-md5: 017cefcc984067e2736f02d6393564ae
Description-en: Utility for applying case rules to Rust identifiers - Rust source code
 This package contains the source for the Rust ident_case crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-idna-dev
Description-md5: 0756145129d858f98a716f2c7071c25f
Description-en: IDNA (Internationalizing Domain Names in Applications) and Punycode - Rust source code
 This package contains the source for the Rust idna crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-ignore+simd-accel-dev
Description-md5: f5288f9d0046b16b5c1ce44b25a19546
Description-en: Fast library for matching ignore files like .gitignore against file paths - feature "simd-accel"
 This metapackage enables feature "simd-accel" for the Rust ignore crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-ignore-dev
Description-md5: af5ad01ad7ecc7600c9565fae87c3f72
Description-en: Fast library for matching ignore files like .gitignore against file paths - Rust source code
 This package contains the source for the Rust ignore crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-indexmap+rayon-dev
Description-md5: aad945bcc3b3411f3ae248ef2e96db9d
Description-en: Hash table with consistent order and fast iteration - feature "rayon"
 The indexmap is a hash table where the iteration order of the key-value pairs
 is independent of the hash values of the keys. It has the usual hash table
 functionality, it preserves insertion order except after removals, and it
 allows lookup of its elements by either hash table key or numerical index. A
 corresponding hash set type is also provided.
 This crate was initially published under the name ordermap, but it was renamed
 to indexmap.
 .
 This metapackage enables feature "rayon" for the Rust indexmap crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-indexmap+serde-dev
Description-md5: f9807aed99c462f32371de64ce9be296
Description-en: Hash table with consistent order and fast iteration - feature "serde" and 1 more
 The indexmap is a hash table where the iteration order of the key-value pairs
 is independent of the hash values of the keys. It has the usual hash table
 functionality, it preserves insertion order except after removals, and it
 allows lookup of its elements by either hash table key or numerical index. A
 corresponding hash set type is also provided.
 This crate was initially published under the name ordermap, but it was renamed
 to indexmap.
 .
 This metapackage enables feature "serde" for the Rust indexmap crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serde-1" feature.

Package: librust-indexmap-dev
Description-md5: 9e8de0f82841b05f18c745f33a853d8d
Description-en: Hash table with consistent order and fast iteration - Rust source code
 The indexmap is a hash table where the iteration order of the key-value pairs
 is independent of the hash values of the keys. It has the usual hash table
 functionality, it preserves insertion order except after removals, and it
 allows lookup of its elements by either hash table key or numerical index. A
 corresponding hash set type is also provided.
 This crate was initially published under the name ordermap, but it was renamed
 to indexmap.
 .
 This package contains the source for the Rust indexmap crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-inflate-dev
Description-md5: 1177f365026ab5cfac9c46f2390f01b6
Description-en: DEFLATE decoding - Rust source code
 This package contains the source for the Rust inflate crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-inotify-sys-dev
Description-md5: edaba85fefa871b6d123d67c5d6924e2
Description-en: Inotify bindings for the Rust programming language - Rust source code
 This package contains the source for the Rust inotify-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-intervaltree+std-dev
Description-md5: c88df86af572accd3ba3124c95fbbd5c
Description-en: Simple and generic implementation of an immutable interval tree - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust intervaltree crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-intervaltree-dev
Description-md5: f48f0a0c08d003e9b381bd572b67f824
Description-en: Simple and generic implementation of an immutable interval tree - Rust source code
 This package contains the source for the Rust intervaltree crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-iovec-dev
Description-md5: 1b17dc77880fcd98444d74dccb7d3263
Description-en: Portable buffer type for scatter/gather I/O operations - Rust source code
 This package contains the source for the Rust iovec crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-ipconfig-dev
Description-md5: 686d9f9779a32cca0e96a0635aa367a5
Description-en: Network adapters and network configuration for windows - Rust source code
 This package contains the source for the Rust ipconfig crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ipnetwork-dev
Description-md5: 57325e883cfed14a094c945e7d4895dd
Description-en: Work with IP CIDRs in Rust, heavily WIP - Rust source code
 This package contains the source for the Rust ipnetwork crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-is-match-dev
Description-md5: 96eca27bc2c6a6c6f5dba61ee153abe8
Description-en: Check whether something matches something else - Rust source code
 This package contains the source for the Rust is-match crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-iso8601-dev
Description-md5: 6bfeb297b2b52e997cb3dfd7ff367008
Description-en: Parsing ISO8601 dates using nom - Rust source code
 This package contains the source for the Rust iso8601 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-itertools-dev
Description-md5: a0a38a4157ddbfb018ea661b68f045a0
Description-en: Extra iterator adaptors, iterator methods, free functions, and macros - Rust source code
 This package contains the source for the Rust itertools crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-itoa-dev
Description-md5: 2db109496105d8867e1938f8dd8dae55
Description-en: Fast functions printing integer primitives to io::Write - Rust source code
 This package contains the source for the Rust itoa crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-jemalloc-sys-dev
Description-md5: 9fc512229aef25a0e4cc6d52a6dc6c8d
Description-en: Rust FFI bindings to jemalloc - Rust source code
 This package contains the source for the Rust jemalloc-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-jobserver-dev
Description-md5: 9f5f626f2150d0a782573e47f9b153b0
Description-en: The GNU make jobserver for Rust - Rust source code
 This package contains the source for the Rust jobserver crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-jpeg-decoder+default-dev
Description-md5: d7fa532ca06fcdb4d5e2d965bc22468f
Description-en: JPEG decoder - feature "default"
 This metapackage enables feature default for the Rust jpeg-decoder crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-jpeg-decoder+rayon-dev
Description-md5: 59185339ed9e92bfb758709530e95987
Description-en: JPEG decoder - feature "rayon"
 This metapackage enables feature rayon for the Rust jpeg-decoder crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-jpeg-decoder-dev
Description-md5: cf7291beae1d6d6e4eb28c6988d5d0ec
Description-en: JPEG decoder - Rust source code
 This package contains the source for the Rust jpeg-decoder crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-js-sys-dev
Description-md5: c8e40b5a57364ca66e4d51a0a392f4d2
Description-en: Bindings for JS global objects and functions - Rust source code
 This package contains the source for the Rust js-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-json-dev
Description-md5: 819e09e92b7c5c8aa34c1c39269d938f
Description-en: JSON implementation in Rust - Rust source code
 This package contains the source for the Rust json crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-kamadak-exif-dev
Description-md5: dd5c035d97e40ee5f43784ef55c4d56f
Description-en: Exif parsing library written in pure Rust - Rust source code
 This package contains the source for the Rust kamadak-exif crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-keccak-dev
Description-md5: 291c4c1b3dcba903d825392ff45e511f
Description-en: Keccak-f sponge function - Rust source code
 This package contains the source for the Rust keccak crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-kvm-bindings-dev
Description-md5: 14e078320af15e9fddab6359ed867dce
Description-en: Rust FFI bindings to KVM generated using bindgen - Rust source code
 This package contains the source for the Rust kvm-bindings crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-kvm-ioctls-dev
Description-md5: 1f4eaee01cbb003f044fb05e3af016f3
Description-en: Safe wrappers over KVM ioctls - Rust source code
 This package contains the source for the Rust kvm-ioctls crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lalrpop-dev
Description-md5: 7a15d87d54d7ab60bc8ee63733e68787
Description-en: Convenient LR(1) parser generator - Rust source code
 This package contains the source for the Rust lalrpop crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lalrpop-util-dev
Description-md5: f7cfbe6aaabceddb1f358f60ef268143
Description-en: Runtime library for parsers generated by LALRPOP - Rust source code
 This package contains the source for the Rust lalrpop-util crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-language-tags-dev
Description-md5: 942a3e5fa77f57aeef9f34b2fca7bbd1
Description-en: Language tags for Rust - Rust source code
 This package contains the source for the Rust language-tags crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lazy-static+spin-dev
Description-md5: 354ed99dc9c12cb2d848e3b6689ce91b
Description-en: Macro for declaring lazily evaluated statics - feature "spin" and 1 more
 This metapackage enables feature "spin" for the Rust lazy_static crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "spin_no_std" feature.

Package: librust-lazy-static-dev
Description-md5: 8b6a4c74af2adbe38cd22fa5bb0cf351
Description-en: Macro for declaring lazily evaluated statics - Rust source code
 This package contains the source for the Rust lazy_static crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lazycell-dev
Description-md5: a8d0e096fd93b1aad93b3bf87a0fc7d5
Description-en: Library providing a lazily filled Cell struct - Rust source code
 This package contains the source for the Rust lazycell crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lexical-core+correct-dev
Description-md5: 5ae543e0bd6b1e4d4dd08ab6a9b3fa96
Description-en: Lexical, to- and from-string conversion routines - feature "correct"
 This metapackage enables feature correct for the Rust lexical-core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lexical-core+default-dev
Description-md5: 657c0923d95fffdf0fa8da201cbf5b8c
Description-en: Lexical, to- and from-string conversion routines - feature "default"
 This metapackage enables feature default for the Rust lexical-core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lexical-core+dtoa-dev
Description-md5: 45d5ab6593d31eef78d68ee8281aa9a5
Description-en: Lexical, to- and from-string conversion routines - feature "dtoa"
 This metapackage enables feature dtoa for the Rust lexical-core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lexical-core+grisu3-dev
Description-md5: 84d846fe52ad2e74f37f1f662cf1fba0
Description-en: Lexical, to- and from-string conversion routines - feature "grisu3"
 This metapackage enables feature grisu3 for the Rust lexical-core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lexical-core+ryu-dev
Description-md5: 7186f8cb916d51adf40829ffa7aadddf
Description-en: Lexical, to- and from-string conversion routines - feature "ryu"
 This metapackage enables feature ryu for the Rust lexical-core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lexical-core+stackvector-dev
Description-md5: 21877dc7f22d790ee3270a2d354bed28
Description-en: Lexical, to- and from-string conversion routines - feature "stackvector"
 This metapackage enables feature stackvector for the Rust lexical-core crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-lexical-core-dev
Description-md5: cb07352f882a87f560e3190908fb6fd0
Description-en: Lexical, to- and from-string conversion routines - Rust source code
 This package contains the source for the Rust lexical-core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libc+rustc-dep-of-std-dev
Description-md5: 676128a2cb68eee41b233f1c2ed9f1c3
Description-en: Rust bindings to libc - feature "rustc-dep-of-std"
 This metapackage enables feature "rustc-dep-of-std" for the Rust libc crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-libc+rustc-std-workspace-core-dev
Description-md5: edbc72d835f92901366af915f0f11595
Description-en: Rust bindings to libc - feature "rustc-std-workspace-core"
 This metapackage enables feature "rustc-std-workspace-core" for the Rust libc
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-libc-dev
Description-md5: dee5fe8f89efafe4a98db306041f5e9d
Description-en: Rust bindings to libc - Rust source code
 This package contains the source for the Rust libc crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-libdbus-sys-dev
Description-md5: 1da3b554022db467a4ce09f9371a2f85
Description-en: FFI bindings to libdbus - Rust source code
 This package contains the source for the Rust libdbus-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libflate-dev
Description-md5: cdde5569d0dccdb55a098c2ba1d9cbfa
Description-en: DEFLATE algorithm and related formats (ZLIB, GZIP) - Rust source code
 This package contains the source for the Rust libflate crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libgit2-sys+curl-dev
Description-md5: 352eea2dcec308543070c6893d573f1f
Description-en: Native bindings to the libgit2 library - feature "curl"
 This metapackage enables feature curl for the Rust libgit2-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-libgit2-sys+curl-sys-dev
Description-md5: b1e35b7fde400d23a22bbf5c446d69ed
Description-en: Native bindings to the libgit2 library - feature "curl-sys"
 This metapackage enables feature curl-sys for the Rust libgit2-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-libgit2-sys+https-dev
Description-md5: fb2d6ce856ba30598e5888de239a1016
Description-en: Native bindings to the libgit2 library - feature "https"
 This metapackage enables feature https for the Rust libgit2-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-libgit2-sys+libssh2-sys-dev
Description-md5: fdf21b81aa558a80896d07331ffb82d0
Description-en: Native bindings to the libgit2 library - feature "libssh2-sys"
 This metapackage enables feature libssh2-sys for the Rust libgit2-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-libgit2-sys+openssl-sys-dev
Description-md5: 67eea493a577ae789c4d92ee47764ef0
Description-en: Native bindings to the libgit2 library - feature "openssl-sys"
 This metapackage enables feature openssl-sys for the Rust libgit2-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-libgit2-sys+ssh-dev
Description-md5: 0b02cedc2748e4eb0a8ce64fb6a78611
Description-en: Native bindings to the libgit2 library - feature "ssh"
 This metapackage enables feature ssh for the Rust libgit2-sys crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-libgit2-sys-dev
Description-md5: b231057c19eaa8fb0c39d55e3f34b6f9
Description-en: Native bindings to the libgit2 library - Rust source code
 This package contains the source for the Rust libgit2-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libgpg-error-sys-dev
Description-md5: 6e2430d1bddb6226d90a8d9ec98dc8df
Description-en: Raw bindings for libgpg-error - Rust source code
 This package contains the source for the Rust libgpg-error-sys crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-libloading-dev
Description-md5: c97d5013f6d9cd53ff9946752a1a6aa9
Description-en: Safer binding to dynamic library loading utilities - Rust source code
 This package contains the source for the Rust libloading crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libmount-dev
Description-md5: 847a2e4baeeee6875b654a025b485134
Description-en: Type-safe wrapper around mount system call - Rust source code
 This package contains the source for the Rust libmount crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libnghttp2-sys-dev
Description-md5: e1f4f6fe831525dd56424df54e77b1af
Description-en: FFI bindings for libnghttp2 (nghttp2) - Rust source code
 This package contains the source for the Rust libnghttp2-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libsodium-sys-dev
Description-md5: 64299619e615ac3e8abb164bd972b7a3
Description-en: FFI binding to libsodium - Rust source code
 This package contains the source for the Rust libsodium-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libssh2-sys-dev
Description-md5: df9de3548f4bd794865cb2e315756890
Description-en: Native bindings to the libssh2 library - Rust source code
 This package contains the source for the Rust libssh2-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libudev-sys-dev
Description-md5: e17a0c9b0822a226f77830a86e1e86ab
Description-en: FFI bindings to libudev - Rust source code
 This package contains the source for the Rust libudev-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-libz-sys-dev
Description-md5: 3956aa6d37699e7f4532bbe2ae12f35c
Description-en: Bindings to the system libz library (also known as zlib) - Rust source code
 This package contains the source for the Rust libz-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-line-wrap-dev
Description-md5: 7d21925db8b74f5668c042941b9ca212
Description-en: Efficiently insert line separators - Rust source code
 This package contains the source for the Rust line-wrap crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-linear-map+serde-dev
Description-md5: c449e0c95fcd59d98c68b157c736c2e1
Description-en: Map implemented by searching linearly in a vector - feature "serde"
 This metapackage enables feature "serde" for the Rust linear-map crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-linear-map+serde-impl-dev
Description-md5: ff7060b850238940f5107a2bcab517e6
Description-en: Map implemented by searching linearly in a vector - feature "serde_impl"
 This metapackage enables feature "serde_impl" for the Rust linear-map crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-linear-map+serde-test-dev
Description-md5: 5ddfa572c0fe1a1100916d7598605f46
Description-en: Map implemented by searching linearly in a vector - feature "serde_test"
 This metapackage enables feature "serde_test" for the Rust linear-map crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-linear-map-dev
Description-md5: 4bb61ee136ffb424de241825112f40bc
Description-en: Map implemented by searching linearly in a vector - Rust source code
 This package contains the source for the Rust linear-map crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-linked-hash-map+heapsize-dev
Description-md5: a077651dff4253e05e651f72e57b81dc
Description-en: HashMap that holds key-value pairs in insertion order - feature "heapsize"
 This metapackage enables feature heapsize for the Rust linked-hash-map crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-linked-hash-map+heapsize-impl-dev
Description-md5: bbd83c9cf450832bfb256c76eedaa786
Description-en: HashMap that holds key-value pairs in insertion order - feature "heapsize_impl"
 This metapackage enables feature heapsize_impl for the Rust linked-hash-map
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-linked-hash-map+serde-dev
Description-md5: 135837a42df309ee3c9f618be229515b
Description-en: HashMap that holds key-value pairs in insertion order - feature "serde"
 This metapackage enables feature serde for the Rust linked-hash-map crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-linked-hash-map+serde-impl-dev
Description-md5: 48be25ee9b725763684a32ce8b458bc7
Description-en: HashMap that holds key-value pairs in insertion order - feature "serde_impl"
 This metapackage enables feature serde_impl for the Rust linked-hash-map crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-linked-hash-map+serde-test-dev
Description-md5: da0feaf5b8904b32ba8f48aaf4019ed5
Description-en: HashMap that holds key-value pairs in insertion order - feature "serde_test"
 This metapackage enables feature serde_test for the Rust linked-hash-map crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-linked-hash-map-dev
Description-md5: ff82d347dc2808a97e773bed3c83ab93
Description-en: HashMap that holds key-value pairs in insertion order - Rust source code
 This package contains the source for the Rust linked-hash-map crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-listenfd-dev
Description-md5: 482c637c85d3a4a9047b7e30bcad03a9
Description-en: Simple library to work with listenfds passed from the outside (systemd/catflap socket activation) - Rust source code
 This package contains the source for the Rust listenfd crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-locale-config-dev
Description-md5: 3672f592f2de614172f0ca262a5a1c3b
Description-en: Maintains locale preferences for process and thread and initialises them by inspecting the system for user preference - Rust source code
 This package contains the source for the Rust locale_config crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-locale-dev
Description-md5: 15c18a250879694cec6aace0d449b643
Description-en: Basic localisation - Rust source code
 Warning: Major rewrite pending for 0.3!
 .
 This package contains the source for the Rust locale crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lock-api+owning-ref-dev
Description-md5: d3e4c17bda93a67fab0968569667e303
Description-en: Wrappers to create fully-featured Mutex and RwLock types - feature "owning_ref"
 Compatible with no_std.
 .
 This metapackage enables feature "owning_ref" for the Rust lock_api crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lock-api+serde-dev
Description-md5: 611167930b6287047f6632be9c351c50
Description-en: Wrappers to create fully-featured Mutex and RwLock types - feature "serde"
 Compatible with no_std.
 .
 This metapackage enables feature "serde" for the Rust lock_api crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lock-api-0.1+owning-ref-dev
Description-md5: d3e4c17bda93a67fab0968569667e303
Description-en: Wrappers to create fully-featured Mutex and RwLock types - feature "owning_ref"
 Compatible with no_std.
 .
 This metapackage enables feature "owning_ref" for the Rust lock_api crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lock-api-0.1-dev
Description-md5: 82eb3d9d8eaf8d0e2385a1f4a7be59cb
Description-en: Wrappers to create fully-featured Mutex and RwLock types - Rust source code
 Compatible with no_std.
 .
 This package contains the source for the Rust lock_api crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lock-api-dev
Description-md5: 82eb3d9d8eaf8d0e2385a1f4a7be59cb
Description-en: Wrappers to create fully-featured Mutex and RwLock types - Rust source code
 Compatible with no_std.
 .
 This package contains the source for the Rust lock_api crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-log+serde-dev
Description-md5: 7b1a07cd71629e09496e30864de88323
Description-en: Lightweight logging facade for Rust - feature "serde"
 This metapackage enables feature serde for the Rust log crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-log-dev
Description-md5: 5e8de8ddf592f35b618e1c6c619fe539
Description-en: Lightweight logging facade for Rust - Rust source code
 This package contains the source for the Rust log crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-log-reroute-dev
Description-md5: 5ad1e39938f17c691b11df4c0886bd96
Description-en: Support to change logging target for the log crate - Rust source code
 This package contains the source for the Rust log-reroute crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lru-cache+heapsize-dev
Description-md5: 575e88b8794b6cf22f13beb63ea9feda
Description-en: Cache that holds a limited number of key-value pairs - feature "heapsize"
 This metapackage enables feature heapsize for the Rust lru-cache crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lru-cache+heapsize-impl-dev
Description-md5: fff94deebe6f67c963728b77c4be5a46
Description-en: Cache that holds a limited number of key-value pairs - feature "heapsize_impl"
 This metapackage enables feature heapsize_impl for the Rust lru-cache crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lru-cache-dev
Description-md5: 6be84a7e6a6d62e24ba13c6e00835710
Description-en: Cache that holds a limited number of key-value pairs - Rust source code
 This package contains the source for the Rust lru-cache crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lscolors+ansi-term-dev
Description-md5: 9e12359ee072af98b74a54e30719e938
Description-en: Colorize paths using the LS_COLORS environment variable - feature "ansi_term" and 1 more
 This metapackage enables feature "ansi_term" for the Rust lscolors crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-lscolors-dev
Description-md5: c4756155b1b6877d87adfeb4b7036ff1
Description-en: Colorize paths using the LS_COLORS environment variable - Rust source code
 This package contains the source for the Rust lscolors crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lua52-sys-dev
Description-md5: b232dd8c177b435502f32b05ad8a7850
Description-en: Bindings for Lua 5.2 - Rust source code
 This package contains the source for the Rust lua52-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lyon-geom+serde-dev
Description-md5: ba147ef35aea8a4244c7b1b31d76dcfe
Description-en: 2D quadratic and cubic bézier arcs and line segment math on top of euclid - feature "serde"
 This metapackage enables feature "serde" for the Rust lyon_geom crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lyon-geom+serialization-dev
Description-md5: ccfcd909dd866909b665c7bb05ad3304
Description-en: 2D quadratic and cubic bézier arcs and line segment math on top of euclid - feature "serialization"
 This metapackage enables feature "serialization" for the Rust lyon_geom crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-lyon-geom-dev
Description-md5: 79b21970cc5c07fc20eca26ca4f66ecc
Description-en: 2D quadratic and cubic bézier arcs and line segment math on top of euclid - Rust source code
 This package contains the source for the Rust lyon_geom crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lyon-path+serde-dev
Description-md5: ec1d76b9dd663129a98384caa2dcb729
Description-en: Types and utilities to store, build and iterate over 2D paths - feature "serde"
 This metapackage enables feature "serde" for the Rust lyon_path crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-lyon-path+serialization-dev
Description-md5: 0a5f1632a1d8ee4ec40dc2b494bc1495
Description-en: Types and utilities to store, build and iterate over 2D paths - feature "serialization"
 This metapackage enables feature "serialization" for the Rust lyon_path crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-lyon-path-dev
Description-md5: d94745875a49aadefa94b1b7b66bba5b
Description-en: Types and utilities to store, build and iterate over 2D paths - Rust source code
 This package contains the source for the Rust lyon_path crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lzma-sys-dev
Description-md5: 11ab74b8fa4d471a43d74cd3b1cc0c17
Description-en: Bindings to liblzma for lzma and xz stream encoding/decoding - Rust source code
 High level Rust bindings are available in the `xz2` crate.
 .
 This package contains the source for the Rust lzma-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-lzw-dev
Description-md5: eaf94f145a5635641b6b2abee5a079e3
Description-en: LZW compression and decompression - Rust source code
 This package contains the source for the Rust lzw crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-mac-dev
Description-md5: 7f7461ff1155a96ea94a3ac42cb6b2ee
Description-en: Collection of great and ubiqutitous macros - Rust source code
 This package contains the source for the Rust mac crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-mach-o-sys-dev
Description-md5: a37f847ab4ed332245dff4e8613da1b3
Description-en: Bindings to the OSX mach-o system library - Rust source code
 This package contains the source for the Rust mach_o_sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-macro-attr-dev
Description-md5: d501aa7b1565d2c3c1c91264e68be644
Description-en: `macro_attr!` macro for custom macro derives and attributes - Rust source code
 Supersedes the `custom_derive` crate.
 .
 This package contains the source for the Rust macro-attr crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-malloc-buf-dev
Description-md5: 59fa34342d9778467817340e84c1b521
Description-en: Structs for handling malloc'd memory passed to Rust - Rust source code
 This package contains the source for the Rust malloc_buf crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-maplit-dev
Description-md5: 606b1d7cb159eac04f5dd2cc88b293b3
Description-en: Literal macros for HashMap, HashSet, BTreeMap, BTreeSet - Rust source code
 This package contains the source for the Rust maplit crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-markup5ever-dev
Description-md5: 084fa5f72c805e37b8ca6e00781db6a4
Description-en: Common code for xml5ever and html5ever - Rust source code
 This package contains the source for the Rust markup5ever crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-matches-dev
Description-md5: 15c85661776e8260a859ebc610a6bdf2
Description-en: Macro to evaluate, as a boolean, whether an expression matches a pattern - Rust source code
 This package contains the source for the Rust matches crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-maxminddb+memmap-dev
Description-md5: e43c32b1bed54a94e1fb4733c8e65454
Description-en: Reading MaxMind DB format used by GeoIP2 and GeoLite2 - feature "memmap"
 This metapackage enables feature memmap for the Rust maxminddb crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-maxminddb+mmap-dev
Description-md5: ce8cf95637193ef41480fb09c30b58f3
Description-en: Reading MaxMind DB format used by GeoIP2 and GeoLite2 - feature "mmap"
 This metapackage enables feature mmap for the Rust maxminddb crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-maxminddb-dev
Description-md5: 070fc558b55633cd861e4bfcc1335fec
Description-en: Reading MaxMind DB format used by GeoIP2 and GeoLite2 - Rust source code
 This package contains the source for the Rust maxminddb crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-md-5+asm-dev
Description-md5: 0fef6a84c0b7613cafe54de7748ef494
Description-en: MD5 hash function - feature "asm"
 This metapackage enables feature asm for the Rust md-5 crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-md-5+md5-asm-dev
Description-md5: 6066f4b7df0a7e5da51056f9cac86234
Description-en: MD5 hash function - feature "md5-asm"
 This metapackage enables feature md5-asm for the Rust md-5 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-md-5+std-dev
Description-md5: 3dcfd910b9e2bbbc10c0ef7e7aa3f978
Description-en: MD5 hash function - feature "std"
 This metapackage enables feature std for the Rust md-5 crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-md-5-dev
Description-md5: c559c2c9aca30492472cb31a7fe39e26
Description-en: MD5 hash function - Rust source code
 This package contains the source for the Rust md-5 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-md5-asm-dev
Description-md5: bd796d14b8c54523745b53d2a6073d35
Description-en: Assembly implementation of MD5 compression function - Rust source code
 This package contains the source for the Rust md5-asm crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-md5-dev
Description-md5: 4020c042836419823c2db4c55c2ae0c5
Description-en: Package provides the MD5 hash function - Rust source code
 This package contains the source for the Rust md5 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-memchr+libc-dev
Description-md5: b2e90c379d48ba3d99e8b710d3f5ce60
Description-en: Safe interface to memchr - feature "libc"
 This metapackage enables feature libc for the Rust memchr crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-memchr-dev
Description-md5: 3c0aa52861747f03432ff746ddd51dcb
Description-en: Safe interface to memchr - Rust source code
 This package contains the source for the Rust memchr crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-memmap-dev
Description-md5: 0d03a6d49666ce5787ee52e0e6195483
Description-en: Cross-platform Rust API for memory-mapped file IO - Rust source code
 This package contains the source for the Rust memmap crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-memoffset-dev
Description-md5: ec399f8ed0fe8ecb520b9e5574bb2b18
Description-en: Offset_of functionality for Rust structs - Rust source code
 This package contains the source for the Rust memoffset crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-memsec+alloc-dev
Description-md5: 166709e4ca6dba18dbc13379c8f1a5db
Description-en: Rust implementation `libsodium/utils` - feature "alloc" and 1 more
 This metapackage enables feature "alloc" for the Rust memsec crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "getrandom" feature.

Package: librust-memsec+default-dev
Description-md5: c209cad1044da831fe7b2e9c9a05c293
Description-en: Rust implementation `libsodium/utils` - feature "default"
 This metapackage enables feature "default" for the Rust memsec crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-memsec+libc-dev
Description-md5: f68a5e2ffb95aec91a4b3c13412d54dd
Description-en: Rust implementation `libsodium/utils` - feature "libc"
 This metapackage enables feature "libc" for the Rust memsec crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-memsec+mach-o-sys-dev
Description-md5: 6c748bdd2e37ab6009203baf6d33a78a
Description-en: Rust implementation `libsodium/utils` - feature "mach_o_sys"
 This metapackage enables feature "mach_o_sys" for the Rust memsec crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-memsec+use-os-dev
Description-md5: 2b61f44b5bbec84a7e126d63bc9e8b3b
Description-en: Rust implementation `libsodium/utils` - feature "use_os"
 This metapackage enables feature "use_os" for the Rust memsec crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-memsec+winapi-dev
Description-md5: fc09830c7078038a88ad915707a5579c
Description-en: Rust implementation `libsodium/utils` - feature "winapi"
 This metapackage enables feature "winapi" for the Rust memsec crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-memsec-dev
Description-md5: 4a7c1e925fe12077307d3f050d5d6ac2
Description-en: Rust implementation `libsodium/utils` - Rust source code
 This package contains the source for the Rust memsec crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-mime-dev
Description-md5: 861a30605164ffc3131c271f7e9c2c55
Description-en: Strongly Typed Mimes - Rust source code
 This package contains the source for the Rust mime crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-mime-guess-dev
Description-md5: 2583c6f804bab93421cf221ec4d78bef
Description-en: Detection of a file's MIME type by its extension - Rust source code
 This package contains the source for the Rust mime_guess crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-miniz-oxide-dev
Description-md5: 8e6d434cd57a8fa2feb75b3b572bde82
Description-en: DEFLATE compression and decompression library rewritten in Rust based on miniz - Rust source code
 This package contains the source for the Rust miniz_oxide crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-mint-dev
Description-md5: fbd0a51fe07804afde453f32e648db5e
Description-en: Math interoperability standard types - Rust source code
 This package contains the source for the Rust mint crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-mio-dev
Description-md5: b2e135bda9df67fa0f423ea379a7d8c9
Description-en: Lightweight non-blocking IO - Rust source code
 This package contains the source for the Rust mio crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-mio-extras-dev
Description-md5: 8a1da71699c5bfde18633e25f5bdc0f8
Description-en: Extra components for use with Mio - Rust source code
 This package contains the source for the Rust mio-extras crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-mio-named-pipes-dev
Description-md5: 771fd07dfcf0ad9d8429f7413954fdfb
Description-en: Windows named pipe bindings for mio - Rust source code
 This package contains the source for the Rust mio-named-pipes crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-mio-uds-dev
Description-md5: 8739e296a67c0b2fefa399e686b2fea0
Description-en: Unix domain socket bindings for mio - Rust source code
 This package contains the source for the Rust mio-uds crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-miow-dev
Description-md5: 34b56e27e676b9ff9eb7195447a8b762
Description-en: Zero overhead I/O library for Windows, focusing on IOCP and Async I/O abstractions - Rust source code
 This package contains the source for the Rust miow crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-muldiv-dev
Description-md5: 5d9e31e4e2f9b925277622ccfb9aee34
Description-en: Combined multiplication and division trait - Rust source code
 This package contains the source for the Rust muldiv crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-mysqlclient-sys-dev
Description-md5: 276aa44e3b3cfa0e29b9695317d5b596
Description-en: Auto-generated rust bindings for libmysqlclient - Rust source code
 This package contains the source for the Rust mysqlclient-sys crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-native-tls+vendored-dev
Description-md5: a36baeef17b3c8a0b3d078c3d054e01a
Description-en: Wrapper over a platform's native TLS implementation - feature "vendored"
 This metapackage enables feature vendored for the Rust native-tls crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-native-tls-dev
Description-md5: 115594bfe707a2e4c0f365600fd6b67a
Description-en: Wrapper over a platform's native TLS implementation - Rust source code
 This package contains the source for the Rust native-tls crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-natord-dev
Description-md5: a8a655ae2268f01af4f8aa41193010c7
Description-en: Natural ordering for Rust - Rust source code
 This package contains the source for the Rust natord crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ncurses-dev
Description-md5: 9de07ce774f400718881162ba5649729
Description-en: Very thin wrapper around the ncurses TUI library - Rust source code
 This package contains the source for the Rust ncurses crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-net2-dev
Description-md5: 5939be8f55b30eb9a9e850490de2f9ea
Description-en: Extensions to the standard library's networking types as proposed in RFC 1158 - Rust source code
 This package contains the source for the Rust net2 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-new-debug-unreachable-dev
Description-md5: e16377dc3f09d4592d83eedb2c5c2cc6
Description-en: Panic in debug, intrinsics::unreachable() in release (fork of debug_unreachable) - Rust source code
 This package contains the source for the Rust new_debug_unreachable crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-newtype-derive-dev
Description-md5: 836d5fa989d460ae23775ce170e81dde
Description-en: Macros for deriving common traits for newtype structures - Rust source code
 This package contains the source for the Rust newtype_derive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-nibble-vec-dev
Description-md5: a67cb5f2cf679582b6b64c4d7d573a92
Description-en: Vector data-structure for half-byte values - Rust source code
 This package contains the source for the Rust nibble_vec crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-nitrokey-dev
Description-md5: b01ae98451ab2fe2d548766beafb11b8
Description-en: Rust bindings for libnitrokey - Rust source code
 This package contains the source for the Rust nitrokey crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-nitrokey-sys-dev
Description-md5: 8b319196b1450b6a79650ece596b63c1
Description-en: Low-level bindings to libnitrokey - Rust source code
 This package contains the source for the Rust nitrokey-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-nitrokey-test-dev
Description-md5: 248ce432e1bde4f32712a1c485575b7b
Description-en: supporting test infrastructure for the nitrokey crate - Rust source code
 This package contains the source for the Rust nitrokey-test crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-nix-dev
Description-md5: 3694c1c5fd064f23644d2c1239123335
Description-en: Rust friendly bindings to *nix APIs - Rust source code
 This package contains the source for the Rust nix crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-nodrop+nodrop-union-dev
Description-md5: 0015f2defee7591121c1f2c7cab01a60
Description-en: Wrapper type to inhibit drop (destructor) - feature "nodrop-union"
 Use std::mem::ManuallyDrop instead!
 .
 This metapackage enables feature nodrop-union for the Rust nodrop crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-nodrop+use-union-dev
Description-md5: a27e3660313d4f46eefe7fe8f5063a75
Description-en: Wrapper type to inhibit drop (destructor) - feature "use_union"
 Use std::mem::ManuallyDrop instead!
 .
 This metapackage enables feature use_union for the Rust nodrop crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-nodrop-dev
Description-md5: cd244b47f74a8a3eb03beace1f1f3491
Description-en: Wrapper type to inhibit drop (destructor) - Rust source code
 Use std::mem::ManuallyDrop instead!
 .
 This package contains the source for the Rust nodrop crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-nodrop-union-dev
Description-md5: f6d42fa895dfede1b11f4a84fe77cd31
Description-en: Wrapper type to inhibit drop (destructor) - Rust source code
 Implementation crate for nodrop, the untagged unions implementation (which is
 unstable / requires nightly) as of this writing.
 .
 This package contains the source for the Rust nodrop-union crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-nom+default-dev
Description-md5: 58a575e9a7c7898d56691e52ac331574
Description-en: Byte-oriented, zero-copy, parser combinators - feature "default"
 This metapackage enables feature default for the Rust nom crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-nom+lazy-static-dev
Description-md5: 9fa074580e958b43d225c5cdaddecdb7
Description-en: Byte-oriented, zero-copy, parser combinators - feature "lazy_static"
 This metapackage enables feature lazy_static for the Rust nom crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-nom+lexical-core-dev
Description-md5: 6f6206b6d082bbc3a76a5e724ddb5b09
Description-en: Byte-oriented, zero-copy, parser combinators - feature "lexical-core"
 This metapackage enables feature lexical-core for the Rust nom crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-nom+lexical-dev
Description-md5: 337ff45a3ddee60ab314fd9264a521a3
Description-en: Byte-oriented, zero-copy, parser combinators - feature "lexical"
 This metapackage enables feature lexical for the Rust nom crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-nom+regex-dev
Description-md5: dc095913f2e635cb39354aa5e8684208
Description-en: Byte-oriented, zero-copy, parser combinators - feature "regex"
 This metapackage enables feature regex for the Rust nom crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-nom+regexp-dev
Description-md5: 4d35c729be91a14caaabb6b5f88002cd
Description-en: Byte-oriented, zero-copy, parser combinators - feature "regexp"
 This metapackage enables feature regexp for the Rust nom crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-nom+regexp-macros-dev
Description-md5: d8ec9ae9f5e995be1f2468725ca9c5cb
Description-en: Byte-oriented, zero-copy, parser combinators - feature "regexp_macros"
 This metapackage enables feature regexp_macros for the Rust nom crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-nom+std-dev
Description-md5: 2fbae8725713fafe109bc0ccf4194df2
Description-en: Byte-oriented, zero-copy, parser combinators - feature "std"
 This metapackage enables feature std for the Rust nom crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-nom-4+lazy-static-dev
Description-md5: 81ab5587ca7f2a4492f0377d83d0982d
Description-en: Byte-oriented, zero-copy, parser combinators library - feature "lazy_static"
 This metapackage enables feature "lazy_static" for the Rust nom crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-nom-4+regex-dev
Description-md5: 6707db3aa92cc7748dd67e1ed5ba0022
Description-en: Byte-oriented, zero-copy, parser combinators library - feature "regex" and 1 more
 This metapackage enables feature "regex" for the Rust nom crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "regexp" feature.

Package: librust-nom-4+regexp-macros-dev
Description-md5: 7aab348002abe740d18409cb471a583f
Description-en: Byte-oriented, zero-copy, parser combinators library - feature "regexp_macros"
 This metapackage enables feature "regexp_macros" for the Rust nom crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-nom-4+std-dev
Description-md5: 55ea8816ffb850ee5039505ed157a3c1
Description-en: Byte-oriented, zero-copy, parser combinators library - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust nom crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-nom-4-dev
Description-md5: 8040363bd39abb603284e7ccf7efb4cb
Description-en: Byte-oriented, zero-copy, parser combinators library - Rust source code
 This package contains the source for the Rust nom crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-nom-dev
Description-md5: c0e88b283600d0b04cecf8fbca74d7ef
Description-en: Byte-oriented, zero-copy, parser combinators - Rust source code
 This package contains the source for the Rust nom crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-num-bigint+i128-dev
Description-md5: bfbd226c2622ac409606c01f32212d03
Description-en: Big integer implementation for Rust - feature "i128"
 This metapackage enables feature i128 for the Rust num-bigint crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-num-bigint+rand-dev
Description-md5: 9a90bad70025c13dd87e18c585519353
Description-en: Big integer implementation for Rust - feature "rand"
 This metapackage enables feature rand for the Rust num-bigint crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-num-bigint+serde-dev
Description-md5: 632a8ff6bd0defdc9c88e420d4f34f6f
Description-en: Big integer implementation for Rust - feature "serde"
 This metapackage enables feature serde for the Rust num-bigint crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-bigint+std-dev
Description-md5: 6d601079dd745b6ac4028840bb1f63b0
Description-en: Big integer implementation for Rust - feature "std"
 This metapackage enables feature std for the Rust num-bigint crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-num-bigint-dev
Description-md5: 1a5a78e181e93a4ace24875932adbbe7
Description-en: Big integer implementation for Rust - Rust source code
 This package contains the source for the Rust num-bigint crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-num-complex+i128-dev
Description-md5: 33fc1e9c81f8cf10c6389c24a193a446
Description-en: Complex numbers implementation for Rust - feature "i128"
 This metapackage enables feature "i128" for the Rust num-complex crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-complex+rand-dev
Description-md5: eca33eacf6c69596c7bfd1020432f1d8
Description-en: Complex numbers implementation for Rust - feature "rand"
 This metapackage enables feature "rand" for the Rust num-complex crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-complex+serde-dev
Description-md5: 6b0d4e22fd58960d4a4b1d6672f85f17
Description-en: Complex numbers implementation for Rust - feature "serde"
 This metapackage enables feature "serde" for the Rust num-complex crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-complex+std-dev
Description-md5: 8d6b469c0c3b90b0d48a2a4b88766285
Description-en: Complex numbers implementation for Rust - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust num-complex crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-num-complex-dev
Description-md5: 986b15bb0e52fb9f8fef85ca12b33d0e
Description-en: Complex numbers implementation for Rust - Rust source code
 This package contains the source for the Rust num-complex crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-num-cpus-dev
Description-md5: 94a4f2569263d86d0e914270ecc4596f
Description-en: Get the number of CPUs on a machine - Rust source code
 This package contains the source for the Rust num_cpus crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-num-derive+full-syntax-dev
Description-md5: f1d4473443e3400c4f166f554d6584ff
Description-en: Numeric syntax extensions - feature "full-syntax"
 This metapackage enables feature "full-syntax" for the Rust num-derive crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-num-derive-dev
Description-md5: 2462a6d184b758d03d2f8500b1653b40
Description-en: Numeric syntax extensions - Rust source code
 This package contains the source for the Rust num-derive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-num-integer+i128-dev
Description-md5: f6222669f333ee6bf23a1da0e1f24dcf
Description-en: Integer traits and functions - feature "i128"
 This metapackage enables feature "i128" for the Rust num-integer crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-integer+std-dev
Description-md5: e4aeb6f26ece614331af0727c6039482
Description-en: Integer traits and functions - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust num-integer crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-num-integer-dev
Description-md5: 464c5b7a386b580b698c773135a12a77
Description-en: Integer traits and functions - Rust source code
 This package contains the source for the Rust num-integer crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-num-iter+i128-dev
Description-md5: 9f952cc4cfffb9fce9b9af63202c7f71
Description-en: External iterators for generic mathematics - feature "i128"
 This metapackage enables feature "i128" for the Rust num-iter crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-num-iter+std-dev
Description-md5: b4ecd84657d354922d20e863085b73a6
Description-en: External iterators for generic mathematics - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust num-iter crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-num-iter-dev
Description-md5: 043a3e2150a04599bdc479ef7bd1bd7a
Description-en: External iterators for generic mathematics - Rust source code
 This package contains the source for the Rust num-iter crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-num-rational+bigint-dev
Description-md5: 5e7cc59f5a66dec7b56f1d24ba0c8cc5
Description-en: Rational numbers implementation for Rust - feature "bigint"
 This metapackage enables feature bigint for the Rust num-rational crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-rational+bigint-std-dev
Description-md5: 68dbc12db5f360e705667824af623065
Description-en: Rational numbers implementation for Rust - feature "bigint-std"
 This metapackage enables feature bigint-std for the Rust num-rational crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-rational+default-dev
Description-md5: ff42d0661906ecd32534305a630bded5
Description-en: Rational numbers implementation for Rust - feature "default"
 This metapackage enables feature default for the Rust num-rational crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-rational+i128-dev
Description-md5: 995179953a20d4502c0798ee845caf89
Description-en: Rational numbers implementation for Rust - feature "i128"
 This metapackage enables feature i128 for the Rust num-rational crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-rational+num-bigint-dev
Description-md5: 27842587921622e111f827cb12165163
Description-en: Rational numbers implementation for Rust - feature "num-bigint"
 This metapackage enables feature num-bigint for the Rust num-rational crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-rational+serde-dev
Description-md5: 2074dab296a1eb4aef2b1f9e7cdeb47d
Description-en: Rational numbers implementation for Rust - feature "serde"
 This metapackage enables feature serde for the Rust num-rational crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-rational+std-dev
Description-md5: a0b30c28cc3124a83667592a498540b8
Description-en: Rational numbers implementation for Rust - feature "std"
 This metapackage enables feature std for the Rust num-rational crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-num-rational-dev
Description-md5: a880b5d69119030055ea396be44f5fec
Description-en: Rational numbers implementation for Rust - Rust source code
 This package contains the source for the Rust num-rational crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-num-traits-dev
Description-md5: 10fe7d4ad2e382b91b5ebd5be9b856cc
Description-en: Numeric traits for generic mathematics - Rust source code
 This package contains the source for the Rust num-traits crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-number-prefix-dev
Description-md5: 4fd0f0f57ef21495150db7a7cd576a18
Description-en: Formatting numeric prefixes: kilo, giga, kibi - Rust source code
 This package contains the source for the Rust number_prefix crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-numtoa-dev
Description-md5: 11328ae088a23361effa5051ccd013b6
Description-en: Convert numbers into stack-allocated byte arrays - Rust source code
 This package contains the source for the Rust numtoa crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-object+compression-dev
Description-md5: ad85ad405506f40c9e9e50edb4ff1e6b
Description-en: Object file formats parsing interface - feature "compression" and 1 more
 This metapackage enables feature "compression" for the Rust object crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "flate2" feature.

Package: librust-object+default-dev
Description-md5: 0c1bc18e2cebd0af59cd6194fb85de02
Description-en: Object file formats parsing interface - feature "default"
 This metapackage enables feature "default" for the Rust object crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-object+parity-wasm-dev
Description-md5: 064442978a4e36dc6e94dafc93944d9b
Description-en: Object file formats parsing interface - feature "parity-wasm"
 This metapackage enables feature "parity-wasm" for the Rust object crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-object+std-dev
Description-md5: b4e7bea8c6da752642bc000e51619417
Description-en: Object file formats parsing interface - feature "std"
 This metapackage enables feature "std" for the Rust object crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-object+wasm-dev
Description-md5: ecd4a85d632407baa50bd3bac48b7ac8
Description-en: Object file formats parsing interface - feature "wasm"
 This metapackage enables feature "wasm" for the Rust object crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-object-dev
Description-md5: 3eee07aa001eb9d4e52270cb146064fc
Description-en: Object file formats parsing interface - Rust source code
 This package contains the source for the Rust object crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-once-cell+parking-lot-dev
Description-md5: 443c1a4d8d6e48a185dd9876b9bed6cc
Description-en: Single assignment cells and lazy values - feature "parking_lot"
 This metapackage enables feature "parking_lot" for the Rust once_cell crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-once-cell-dev
Description-md5: 158b91ec18313750ec0985e7a7e4c2bf
Description-en: Single assignment cells and lazy values - Rust source code
 This package contains the source for the Rust once_cell crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-onig+posix-api-dev
Description-md5: 00236b8fbd0fbeb342e9015fa9be8442
Description-en: Bindings for the Oniguruma regex library - feature "posix-api"
 Oniguruma is a modern regex library with support for multiple character
 encodings and regex syntaxes.
 .
 This metapackage enables feature posix-api for the Rust onig crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-onig+print-debug-dev
Description-md5: 967f6a11efdb29a9aa38f1e8f016b37c
Description-en: Bindings for the Oniguruma regex library - feature "print-debug"
 Oniguruma is a modern regex library with support for multiple character
 encodings and regex syntaxes.
 .
 This metapackage enables feature print-debug for the Rust onig crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-onig-dev
Description-md5: 40b851cf593ce900ebb0645c2b099d3a
Description-en: Bindings for the Oniguruma regex library - Rust source code
 Oniguruma is a modern regex library with support for multiple character
 encodings and regex syntaxes.
 .
 This package contains the source for the Rust onig crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-onig-sys-dev
Description-md5: b88d5ed3860da4dd4f1f94feea8ee6a4
Description-en: `onig_sys` crate contains raw rust bindings to the oniguruma library - Rust source code
 This crate exposes a set of unsafe functions which can then be used by other
 crates to create safe wrappers around Oniguruma.
 You probably don't want to link to this crate directly; instead check out the
 `onig` crate.
 .
 This package contains the source for the Rust onig_sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-opaque-debug-dev
Description-md5: 59eb0f55fa8da98f4f73068b034787cb
Description-en: Macro for opaque Debug trait implementation - Rust source code
 This package contains the source for the Rust opaque-debug crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-opener-dev
Description-md5: 5b3cbcd2ac48b5fa0b2cca1b9daf9508
Description-en: Open a file or link using the system default program - Rust source code
 This package contains the source for the Rust opener crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-openssl-dev
Description-md5: 9f5eb93a4719d9e00f6288b71232e795
Description-en: OpenSSL bindings - Rust source code
 This package contains the source for the Rust openssl crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-openssl-probe-dev
Description-md5: 6856609082a278fb87a8f72de7754a5a
Description-en: Helping to find SSL certificate locations on the system for OpenSSL - Rust source code
 This package contains the source for the Rust openssl-probe crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-openssl-sys-dev
Description-md5: 7816e25edd4245078dcbb7cd5d8b5b3b
Description-en: FFI bindings to OpenSSL - Rust source code
 This package contains the source for the Rust openssl-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ordermap+serde-1-dev
Description-md5: 01fc5b0261144e208b26c729f7cc57ab
Description-en: Hash table with consistent order and fast iteration - feature "serde-1"
 NOTE: This crate was renamed to indexmap. Please use it under its new name.
 .
 This metapackage enables feature serde-1 for the Rust ordermap crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-ordermap+serde-dev
Description-md5: 5ecdb3b2bc80cc1f0c08297f3afac42b
Description-en: Hash table with consistent order and fast iteration - feature "serde"
 NOTE: This crate was renamed to indexmap. Please use it under its new name.
 .
 This metapackage enables feature serde for the Rust ordermap crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-ordermap-dev
Description-md5: 35c23e11707c46e115a1c0b45e256d14
Description-en: Hash table with consistent order and fast iteration - Rust source code
 NOTE: This crate was renamed to indexmap. Please use it under its new name.
 .
 This package contains the source for the Rust ordermap crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-os-pipe-dev
Description-md5: f4798bb7232f86a77548594a297d01d1
Description-en: Cross-platform library for opening OS pipes - Rust source code
 This package contains the source for the Rust os_pipe crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-output-vt100-dev
Description-md5: 01b8664289bc57ccd009338a38d2b14a
Description-en: activate escape codes in Windows' CMD and PowerShell - Rust source code
 This package contains the source for the Rust output_vt100 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-owning-ref-dev
Description-md5: 026fd480a0fb84a1d9866d4348ff9a47
Description-en: Creating references that carry their owner with them - Rust source code
 This package contains the source for the Rust owning_ref crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-packed-simd+core-arch-dev
Description-md5: c43c502234a1f41ed3c841268aaa64b2
Description-en: Portable Packed SIMD vectors - feature "core_arch"
 This metapackage enables feature core_arch for the Rust packed_simd crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-packed-simd+sleef-sys-dev
Description-md5: 517826e593b66409bd70be0e26a42e42
Description-en: Portable Packed SIMD vectors - feature "sleef-sys"
 This metapackage enables feature sleef-sys for the Rust packed_simd crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-packed-simd-dev
Description-md5: 11496b8ab90394725a67db3e86f9d66b
Description-en: Portable Packed SIMD vectors - Rust source code
 This package contains the source for the Rust packed_simd crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pad-dev
Description-md5: 8062f172bc6f1662cf515cc572d24bca
Description-en: Padding strings at runtime - Rust source code
 This package contains the source for the Rust pad crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-pango+dox-dev
Description-md5: cce9707792653a9252a726f27d746e64
Description-en: Rust bindings for the Pango library - feature "dox"
 This metapackage enables feature "dox" for the Rust pango crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-pango+embed-lgpl-docs-dev
Description-md5: c9c47f44d2348aeccde36f2dbf1d37f8
Description-en: Rust bindings for the Pango library - feature "embed-lgpl-docs" and 2 more
 This metapackage enables feature "embed-lgpl-docs" for the Rust pango crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "gtk-rs-lgpl-docs", and "purge-
 lgpl-docs" features.

Package: librust-pango+v1-38-dev
Description-md5: 8bc6c4f1337754877f68ae279ebf9471
Description-en: Rust bindings for the Pango library - feature "v1_38" and 1 more
 This metapackage enables feature "v1_38" for the Rust pango crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "v1_40" feature.

Package: librust-pango+v1-42-dev
Description-md5: e028e59d1b86dcb2a8815ebb56d43583
Description-en: Rust bindings for the Pango library - feature "v1_42"
 This metapackage enables feature "v1_42" for the Rust pango crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pango-dev
Description-md5: e507d25ff8f6ad3451eb70d6c79e62ef
Description-en: Rust bindings for the Pango library - Rust source code
 This package contains the source for the Rust pango crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-pango-sys-dev
Description-md5: 4f6bdb4f9db7dab72485fa9282b0e962
Description-en: FFI bindings to libpango-1.0 - Rust source code
 This package contains the source for the Rust pango-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pangocairo+dox-dev
Description-md5: 6ebd3821b0bf7352fa30b56b16980771
Description-en: Rust bindings for the PangoCairo library - feature "dox"
 This metapackage enables feature dox for the Rust pangocairo crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pangocairo+embed-lgpl-docs-dev
Description-md5: 6bd85f95dd4cfd4c8db611cb14adc4c8
Description-en: Rust bindings for the PangoCairo library - feature "embed-lgpl-docs"
 This metapackage enables feature embed-lgpl-docs for the Rust pangocairo crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-pangocairo+gtk-rs-lgpl-docs-dev
Description-md5: 89022f2be0afe5ce070d0df609765496
Description-en: Rust bindings for the PangoCairo library - feature "gtk-rs-lgpl-docs"
 This metapackage enables feature gtk-rs-lgpl-docs for the Rust pangocairo
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-pangocairo+purge-lgpl-docs-dev
Description-md5: ad9876a283e3ca7aae955babf9326829
Description-en: Rust bindings for the PangoCairo library - feature "purge-lgpl-docs"
 This metapackage enables feature purge-lgpl-docs for the Rust pangocairo crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-pangocairo-dev
Description-md5: 8be5ba0d27f363e9aca6f2af9baf5edc
Description-en: Rust bindings for the PangoCairo library - Rust source code
 This package contains the source for the Rust pangocairo crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pangocairo-sys-dev
Description-md5: 492a10bd27734d3cf42abff635bd870c
Description-en: FFI bindings to libgtk-3 - Rust source code
 This package contains the source for the Rust pangocairo-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-parity-wasm-dev
Description-md5: 92e1d8cbdec9000d3b969a0251b740df
Description-en: Low-level WebAssembly format library - Rust source code
 This package contains the source for the Rust parity-wasm crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-parking-lot+deadlock-detection-dev
Description-md5: 4a24efcaeae6c2ec623fdfef4c9dc07f
Description-en: Compact, efficient std sync primitives - feature "deadlock_detection"
 This metapackage enables feature "deadlock_detection" for the Rust parking_lot
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot+nightly-dev
Description-md5: 64d556c14ea64725cb1a24edfa7194c7
Description-en: Compact, efficient std sync primitives - feature "nightly"
 This metapackage enables feature "nightly" for the Rust parking_lot crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot+owning-ref-dev
Description-md5: 6bf30d9ab4eecf12d69ebc5e3bfd38fd
Description-en: Compact, efficient std sync primitives - feature "owning_ref"
 This metapackage enables feature "owning_ref" for the Rust parking_lot crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot+serde-dev
Description-md5: 93af7091ee1d83c423c28e6569a89beb
Description-en: Compact, efficient std sync primitives - feature "serde"
 This metapackage enables feature "serde" for the Rust parking_lot crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-0.7+deadlock-detection-dev
Description-md5: 4a24efcaeae6c2ec623fdfef4c9dc07f
Description-en: Compact, efficient std sync primitives - feature "deadlock_detection"
 This metapackage enables feature "deadlock_detection" for the Rust parking_lot
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-0.7+nightly-dev
Description-md5: 64d556c14ea64725cb1a24edfa7194c7
Description-en: Compact, efficient std sync primitives - feature "nightly"
 This metapackage enables feature "nightly" for the Rust parking_lot crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-0.7+owning-ref-dev
Description-md5: 8fd489fa11fc3fb57e7e4b48f8e58ae0
Description-en: Compact, efficient std sync primitives - feature "owning_ref" and 1 more
 This metapackage enables feature "owning_ref" for the Rust parking_lot crate,
 by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-parking-lot-0.7-dev
Description-md5: abcc5004bc8aef47b4bc914eef82ab3a
Description-en: Compact, efficient std sync primitives - Rust source code
 This package contains the source for the Rust parking_lot crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-parking-lot-core+backtrace-dev
Description-md5: fea5e7e36784292b865e3f080dab584d
Description-en: API for creating synchronization primitives - feature "backtrace"
 This metapackage enables feature "backtrace" for the Rust parking_lot_core
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-core+deadlock-detection-dev
Description-md5: dd3ba8e49d44aec2942cc7b23720b251
Description-en: API for creating synchronization primitives - feature "deadlock_detection"
 This metapackage enables feature "deadlock_detection" for the Rust
 parking_lot_core crate, by pulling in any additional dependencies needed by
 that feature.

Package: librust-parking-lot-core+petgraph-dev
Description-md5: 7e1409f3c1ce5cd27e6a74394f970cf8
Description-en: API for creating synchronization primitives - feature "petgraph"
 This metapackage enables feature "petgraph" for the Rust parking_lot_core
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-core+thread-id-dev
Description-md5: 3f5ad65ea4745f1b42ea1e719cd1b039
Description-en: API for creating synchronization primitives - feature "thread-id"
 This metapackage enables feature "thread-id" for the Rust parking_lot_core
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-core-0.4+backtrace-dev
Description-md5: fea5e7e36784292b865e3f080dab584d
Description-en: API for creating synchronization primitives - feature "backtrace"
 This metapackage enables feature "backtrace" for the Rust parking_lot_core
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-core-0.4+deadlock-detection-dev
Description-md5: dd3ba8e49d44aec2942cc7b23720b251
Description-en: API for creating synchronization primitives - feature "deadlock_detection"
 This metapackage enables feature "deadlock_detection" for the Rust
 parking_lot_core crate, by pulling in any additional dependencies needed by
 that feature.

Package: librust-parking-lot-core-0.4+petgraph-dev
Description-md5: 7e1409f3c1ce5cd27e6a74394f970cf8
Description-en: API for creating synchronization primitives - feature "petgraph"
 This metapackage enables feature "petgraph" for the Rust parking_lot_core
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-core-0.4+thread-id-dev
Description-md5: 3f5ad65ea4745f1b42ea1e719cd1b039
Description-en: API for creating synchronization primitives - feature "thread-id"
 This metapackage enables feature "thread-id" for the Rust parking_lot_core
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-parking-lot-core-0.4-dev
Description-md5: 3635722863252b8764559b4442141746
Description-en: API for creating synchronization primitives - Rust source code
 This package contains the source for the Rust parking_lot_core crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-parking-lot-core-dev
Description-md5: 3635722863252b8764559b4442141746
Description-en: API for creating synchronization primitives - Rust source code
 This package contains the source for the Rust parking_lot_core crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-parking-lot-dev
Description-md5: abcc5004bc8aef47b4bc914eef82ab3a
Description-en: Compact, efficient std sync primitives - Rust source code
 This package contains the source for the Rust parking_lot crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-parse-arg-dev
Description-md5: 0db706899c2245cb12f0713f8bc3c0a4
Description-en: Traits and implementations for parsing command-line arguments - Rust source code
 This package contains the source for the Rust parse_arg crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pbkdf2+base64-dev
Description-md5: f82f5125ea9060cb855834b137b5df70
Description-en: Generic implementation of PBKDF2 - feature "base64"
 This metapackage enables feature "base64" for the Rust pbkdf2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pbkdf2+hmac-dev
Description-md5: 92510e1e99b61875495044aaae4c6d62
Description-en: Generic implementation of PBKDF2 - feature "hmac"
 This metapackage enables feature "hmac" for the Rust pbkdf2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pbkdf2+include-simple-dev
Description-md5: 8813e94d7dc44a051a6929f284b1043e
Description-en: Generic implementation of PBKDF2 - feature "include_simple" and 1 more
 This metapackage enables feature "include_simple" for the Rust pbkdf2 crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-pbkdf2+parallel-dev
Description-md5: 0a117f34566b06ea4b1b32cedef25f07
Description-en: Generic implementation of PBKDF2 - feature "parallel" and 1 more
 This metapackage enables feature "parallel" for the Rust pbkdf2 crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "rayon" feature.

Package: librust-pbkdf2+rand-dev
Description-md5: 737e867c56287816f4ac2471704726a8
Description-en: Generic implementation of PBKDF2 - feature "rand"
 This metapackage enables feature "rand" for the Rust pbkdf2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pbkdf2+sha2-dev
Description-md5: 272c29227f10d74df441db76205cad95
Description-en: Generic implementation of PBKDF2 - feature "sha2"
 This metapackage enables feature "sha2" for the Rust pbkdf2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pbkdf2+subtle-dev
Description-md5: 54e57c56e69461940c50bf5816b3d8af
Description-en: Generic implementation of PBKDF2 - feature "subtle"
 This metapackage enables feature "subtle" for the Rust pbkdf2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pbkdf2-dev
Description-md5: 65b5ba3931de41915f32b686728100f2
Description-en: Generic implementation of PBKDF2 - Rust source code
 This package contains the source for the Rust pbkdf2 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pbr-dev
Description-md5: 1e9587d54a41b8257d7033d89a9f0c9f
Description-en: Console progress bar for Rust - Rust source code
 This package contains the source for the Rust pbr crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-pcap-sys-dev
Description-md5: 500ac477ab9dfa180cef9c26dd2cb123
Description-en: Low-level bindings to libpcap - Rust source code
 This package contains the source for the Rust pcap-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pcre2-dev
Description-md5: 6c61575355243cf93ec4db90cbf9e5d9
Description-en: High level wrapper library for PCRE2 - Rust source code
 This package contains the source for the Rust pcre2 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-pcre2-sys-dev
Description-md5: 4e882cc16e8d4dbb880442ca5b407522
Description-en: Low level bindings to PCRE2 - Rust source code
 This package contains the source for the Rust pcre2-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-peeking-take-while-dev
Description-md5: 86ec586e0e9f676edc1835c61a1050a6
Description-en: Peekable Iterator::take_while - Rust source code
 This allows you to use `Iterator::by_ref` and `Iterator::take_while` together,
 and still get the first value for which the `take_while` predicate returned
 false after dropping the `by_ref`.
 .
 This package contains the source for the Rust peeking_take_while crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-percent-encoding-dev
Description-md5: e3f78859c94c5da270ffca092b1db3f5
Description-en: Percent encoding and decoding - Rust source code
 This package contains the source for the Rust percent-encoding crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-permutohedron-dev
Description-md5: 8f4a9261e54d233b2a4ddb4762ffe211
Description-en: Generate permutations of sequences - Rust source code
 Either lexicographical order permutations, or a minimal swaps permutation
 sequence implemented using Heap's algorithm.
 .
 This package contains the source for the Rust permutohedron crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pest+pretty-print-dev
Description-md5: 1ff4d9849cbacf15eb0af07f5aa56604
Description-en: Elegant Parser - feature "pretty-print"
 This metapackage enables feature pretty-print for the Rust pest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-pest+serde-dev
Description-md5: 82ca981dc0e5492203762a97bfa80d27
Description-en: Elegant Parser - feature "serde"
 This metapackage enables feature serde for the Rust pest crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-pest+serde-json-dev
Description-md5: ff26592794693661d7aba81ad2166a71
Description-en: Elegant Parser - feature "serde_json"
 This metapackage enables feature serde_json for the Rust pest crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pest-dev
Description-md5: 0631e0db3cf3135dc489ffe794afb22d
Description-en: Elegant Parser - Rust source code
 This package contains the source for the Rust pest crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-pest-generator-dev
Description-md5: 4a3ca9b60ec78c5e68d9533e055d63ad
Description-en: Pest code generator - Rust source code
 This package contains the source for the Rust pest_generator crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pest-meta-dev
Description-md5: b384072d805d5a5b260c6bfd2bb74456
Description-en: Pest meta language parser and validator - Rust source code
 This package contains the source for the Rust pest_meta crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-petgraph+all-dev
Description-md5: 764b3c18c7abfa166f4ffaf038cf864b
Description-en: Graph data structure library - feature "all"
 Provides graph types and graph algorithms.
 .
 This metapackage enables feature "all" for the Rust petgraph crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-petgraph+default-dev
Description-md5: 6649a647017eb3df364b7be76ed3ced7
Description-en: Graph data structure library - feature "default"
 Provides graph types and graph algorithms.
 .
 This metapackage enables feature "default" for the Rust petgraph crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-petgraph+quickcheck-dev
Description-md5: 0f85bb3a96026aeb815387afcbb3d3b8
Description-en: Graph data structure library - feature "quickcheck"
 Provides graph types and graph algorithms.
 .
 This metapackage enables feature "quickcheck" for the Rust petgraph crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-petgraph+serde-1-dev
Description-md5: 9dcbdd4df414abf71cf39f506919ffee
Description-en: Graph data structure library - feature "serde-1"
 Provides graph types and graph algorithms.
 .
 This metapackage enables feature "serde-1" for the Rust petgraph crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-petgraph+serde-derive-dev
Description-md5: b918e18908c9ba143de5c2f939a3a623
Description-en: Graph data structure library - feature "serde_derive"
 Provides graph types and graph algorithms.
 .
 This metapackage enables feature "serde_derive" for the Rust petgraph crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-petgraph+serde-dev
Description-md5: 7875b2c79da1ee36d9f631526a058ed7
Description-en: Graph data structure library - feature "serde"
 Provides graph types and graph algorithms.
 .
 This metapackage enables feature "serde" for the Rust petgraph crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-petgraph-dev
Description-md5: 80ab9e1460b6f06e1c08d389a55e368f
Description-en: Graph data structure library - Rust source code
 Provides graph types and graph algorithms.
 .
 This package contains the source for the Rust petgraph crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-phf+macros-dev
Description-md5: 50cbbd080c02a2068612b535e9e0de36
Description-en: Runtime support for perfect hash function data structures - feature "macros"
 This metapackage enables feature "macros" for the Rust phf crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-phf+phf-macros-dev
Description-md5: a34e3207346c00c0a54d265d6c7984c5
Description-en: Runtime support for perfect hash function data structures - feature "phf_macros"
 This metapackage enables feature "phf_macros" for the Rust phf crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-phf+proc-macro-hack-dev
Description-md5: 34211884c0ee65dc6abf26b0c63a9150
Description-en: Runtime support for perfect hash function data structures - feature "proc-macro-hack"
 This metapackage enables feature "proc-macro-hack" for the Rust phf crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-phf+std-dev
Description-md5: c6d730d124072d4062f9f181689d55fb
Description-en: Runtime support for perfect hash function data structures - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust phf crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-phf+unicase-dev
Description-md5: 35056a34a24e71884281da5bbf5226db
Description-en: Runtime support for perfect hash function data structures - feature "unicase"
 This metapackage enables feature "unicase" for the Rust phf crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-phf-codegen-dev
Description-md5: e0d1850d0513bf726c9f5fd1634bdef9
Description-en: Codegen library for PHF types - Rust source code
 This package contains the source for the Rust phf_codegen crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-phf-dev
Description-md5: 1d9d8900bf6f674794a7004560f5a075
Description-en: Runtime support for perfect hash function data structures - Rust source code
 This package contains the source for the Rust phf crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-phf-generator-dev
Description-md5: 8ffb25805f7631eed96b85ed16871b74
Description-en: PHF generation logic - Rust source code
 This package contains the source for the Rust phf_generator crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-phf-macros-dev
Description-md5: a449d0e9e2161326907af4f6b5274935
Description-en: Macros to generate types in the phf crate - Rust source code
 This package contains the source for the Rust phf_macros crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-phf-shared+unicase-dev
Description-md5: cb55cdd6b148a0350741576f469e4d14
Description-en: Support code shared by PHF libraries - feature "unicase"
 This metapackage enables feature "unicase" for the Rust phf_shared crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-phf-shared-dev
Description-md5: 8a4b34e1686396e0757cfc008561c8af
Description-en: Support code shared by PHF libraries - Rust source code
 This package contains the source for the Rust phf_shared crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pkg-config-dev
Description-md5: c6e5f2dd313dce5e258bb428b500ceca
Description-en: Run pkg-config at build time Cargo build scripts - Rust source code
 This package contains the source for the Rust pkg-config crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pktparse+derive-dev
Description-md5: 102d4522a6329b5baac4ba285952dc0c
Description-en: Collection of packet parsers - feature "derive"
 This metapackage enables feature derive for the Rust pktparse crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pktparse+serde-derive-dev
Description-md5: 8e0200fd3dc3eb8291fe9158144de1c5
Description-en: Collection of packet parsers - feature "serde_derive"
 This metapackage enables feature serde_derive for the Rust pktparse crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-pktparse+serde-dev
Description-md5: a8f94738ed27073826a57af1e5c3cda5
Description-en: Collection of packet parsers - feature "serde"
 This metapackage enables feature serde for the Rust pktparse crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-pktparse-dev
Description-md5: ebef25bf2d5f74ccaa430e936444aef7
Description-en: Collection of packet parsers - Rust source code
 This package contains the source for the Rust pktparse crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-plain-dev
Description-md5: 6208534cb170315556164731588953c0
Description-en: Small Rust library that allows users to reinterpret data of certain types safely - Rust source code
 This package contains the source for the Rust plain crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-pledge-dev
Description-md5: 819000d590558104ff5fb67300229d48
Description-en: Rust binding to OpenBSD's pledge(2) interface - Rust source code
 This package contains the source for the Rust pledge crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-plist+default-dev
Description-md5: 3a1e52256e5085a2eb6de00bc1e5950d
Description-en: Rusty plist parser - feature "default"
 Supports Serde serialization.
 .
 This metapackage enables feature default for the Rust plist crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-plist+serde-dev
Description-md5: 76582d20fa5a921ab183e70f8ba48fc4
Description-en: Rusty plist parser - feature "serde"
 Supports Serde serialization.
 .
 This metapackage enables feature serde for the Rust plist crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-plist-dev
Description-md5: 018b124077eb8d0d011cb07eb902ad26
Description-en: Rusty plist parser - Rust source code
 Supports Serde serialization.
 .
 This package contains the source for the Rust plist crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-pocket-resources-dev
Description-md5: 3cca7fc611f6cdab68bea8b4b52dbb33
Description-en: Include resources in your applications - Rust source code
 This package contains the source for the Rust pocket-resources crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-podio-dev
Description-md5: b071761468861c23efb19e559db10dec
Description-en: Additional trait for Read and Write to read and write Plain Old Data - Rust source code
 This package contains the source for the Rust podio crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-pool-dev
Description-md5: ce90c5e4af463d7ead265804e1a265f8
Description-en: Pool of reusable values - Rust source code
 This package contains the source for the Rust pool crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-ppv-lite86+default-dev
Description-md5: 6c004ab08695988e607fa3abaa733f2c
Description-en: crypto-simd API for x86 - feature "default"
 This metapackage enables feature default for the Rust ppv-lite86 crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-ppv-lite86-dev
Description-md5: 34f48bdd862f475cc40234f93df2cbed
Description-en: crypto-simd API for x86 - Rust source code
 This package contains the source for the Rust ppv-lite86 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pq-sys+pkg-config-dev
Description-md5: 5b07f180335e34e06bea6ac83e187928
Description-en: Auto-generated rust bindings for libpq - feature "pkg-config"
 This metapackage enables feature "pkg-config" for the Rust pq-sys crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-pq-sys-dev
Description-md5: 9d3baf31f7ee9ac9a2752e3b796c2ee0
Description-en: Auto-generated rust bindings for libpq - Rust source code
 This package contains the source for the Rust pq-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-precomputed-hash-dev
Description-md5: 69b16b54adc95227107ac9913b1a4185
Description-en: Expose a precomputed hash for a given type - Rust source code
 This package contains the source for the Rust precomputed-hash crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-pretty-assertions-dev
Description-md5: 6cca06f0e553138e6d4db882a4667871
Description-en: drop-in replacements for assert macros with colorful diffs - Rust source code
 This package contains the source for the Rust pretty_assertions crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-prettytable-rs+csv-dev
Description-md5: f82fe88a211eea0e788f20d334f991dd
Description-en: Printing pretty formatted tables in terminal - feature "csv"
 This metapackage enables feature csv for the Rust prettytable-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-prettytable-rs+default-dev
Description-md5: f714aa63d36de2574ffe3e10e40e9486
Description-en: Printing pretty formatted tables in terminal - feature "default"
 This metapackage enables feature default for the Rust prettytable-rs crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-prettytable-rs-dev
Description-md5: 5e1972fe1e19b7ba00f4c38c6df13b4b
Description-en: Printing pretty formatted tables in terminal - Rust source code
 This package contains the source for the Rust prettytable-rs crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-proc-macro-error-dev
Description-md5: ab1de6e455b2b6c6910c40ea6ecdc266
Description-en: Drop-in replacement to panics in proc-macros - Rust source code
 This package contains the source for the Rust proc-macro-error crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-proc-macro-hack-dev
Description-md5: ab9c67c6afa76c14af049b9e50b2f469
Description-en: Procedural macros in expression position - Rust source code
 This package contains the source for the Rust proc-macro-hack crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-proc-macro2-dev
Description-md5: a591c34007759327cc40cc451d655893
Description-en: Stable implementation of the upcoming new `proc_macro` API - Rust source code
 Comes with an option, off by default, to also reimplement itself in terms of
 the upstream unstable API.
 .
 This package contains the source for the Rust proc-macro2 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-procedural-masquerade-dev
Description-md5: 268e9c99c51755611945d28f40899105
Description-en: proc_macro_derive pretending to be proc_macro - Rust source code
 This package contains the source for the Rust procedural-masquerade crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-proptest+bit-set-dev
Description-md5: 10742280b7d14e21ca7c1858912585e4
Description-en: Hypothesis-like property-based testing and shrinking - feature "bit-set"
 This metapackage enables feature bit-set for the Rust proptest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-proptest+default-code-coverage-dev
Description-md5: c6c10f835d6387653233a831d46b6f5c
Description-en: Hypothesis-like property-based testing and shrinking - feature "default-code-coverage"
 This metapackage enables feature default-code-coverage for the Rust proptest
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-proptest+default-dev
Description-md5: a19eed140a7ddfd4baa2800f9653fa35
Description-en: Hypothesis-like property-based testing and shrinking - feature "default"
 This metapackage enables feature default for the Rust proptest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-proptest+fork-dev
Description-md5: 5276e0a3db553a595fd54288afdca567
Description-en: Hypothesis-like property-based testing and shrinking - feature "fork"
 This metapackage enables feature fork for the Rust proptest crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-proptest+lazy-static-dev
Description-md5: a4a0eddb7dab3cfaa2319cdd6af698a4
Description-en: Hypothesis-like property-based testing and shrinking - feature "lazy_static"
 This metapackage enables feature lazy_static for the Rust proptest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-proptest+quick-error-dev
Description-md5: c695e69b15ed0c67ded04d2080bc7a25
Description-en: Hypothesis-like property-based testing and shrinking - feature "quick-error"
 This metapackage enables feature quick-error for the Rust proptest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-proptest+regex-syntax-dev
Description-md5: 5d3e5ab45276078c0b4a66ca11fd421c
Description-en: Hypothesis-like property-based testing and shrinking - feature "regex-syntax"
 This metapackage enables feature regex-syntax for the Rust proptest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-proptest+rusty-fork-dev
Description-md5: dd57eba50836bbf77e894b3148ff53ca
Description-en: Hypothesis-like property-based testing and shrinking - feature "rusty-fork"
 This metapackage enables feature rusty-fork for the Rust proptest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-proptest+std-dev
Description-md5: 8cdedb9c60a7cf3c2780a96922dada8d
Description-en: Hypothesis-like property-based testing and shrinking - feature "std"
 This metapackage enables feature std for the Rust proptest crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-proptest+tempfile-dev
Description-md5: cfdd1cb71bb0942ad46c6708af2f9f74
Description-en: Hypothesis-like property-based testing and shrinking - feature "tempfile"
 This metapackage enables feature tempfile for the Rust proptest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-proptest+timeout-dev
Description-md5: 3d7309f54799ee3ffececbe264b4dc62
Description-en: Hypothesis-like property-based testing and shrinking - feature "timeout"
 This metapackage enables feature timeout for the Rust proptest crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-proptest-dev
Description-md5: 4fa697d0d2a0699523185f9e0441a7b9
Description-en: Hypothesis-like property-based testing and shrinking - Rust source code
 This package contains the source for the Rust proptest crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-publicsuffix+native-tls-dev
Description-md5: 42e0e8d59b400ca2b4e3027a0fdc8281
Description-en: Robust domain name parsing and RFC compliant email address validation - feature "native-tls"
 This metapackage enables feature native-tls for the Rust publicsuffix crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-publicsuffix+remote-list-dev
Description-md5: ea8936534591799840f77cae4e021edb
Description-en: Robust domain name parsing and RFC compliant email address validation - feature "remote_list"
 This metapackage enables feature remote_list for the Rust publicsuffix crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-publicsuffix-dev
Description-md5: d934a5df9eae7282f44e99d9b447b7ed
Description-en: Robust domain name parsing and RFC compliant email address validation - Rust source code
 This package contains the source for the Rust publicsuffix crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-pulldown-cmark+default-dev
Description-md5: 7002e9283f73b180cf50d0ac210a14ec
Description-en: Pull parser for CommonMark - feature "default"
 This metapackage enables feature default for the Rust pulldown-cmark crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-pulldown-cmark+getopts-dev
Description-md5: 18810ef7d78a676b62d59774a738ea7e
Description-en: Pull parser for CommonMark - feature "getopts"
 This metapackage enables feature getopts for the Rust pulldown-cmark crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-pulldown-cmark-dev
Description-md5: 5125c7d8393b88d7bb6e8dbc05c7254a
Description-en: Pull parser for CommonMark - Rust source code
 This package contains the source for the Rust pulldown-cmark crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-quick-error-dev
Description-md5: 53095e455e1c6239bed2aff2b58616ff
Description-en: Macro which makes error types pleasant to write - Rust source code
 This package contains the source for the Rust quick-error crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-quick-xml+encoding-dev
Description-md5: b0cad9a46248e3ad904c0e20456001ec
Description-en: High performance xml reader and writer - feature "encoding" and 1 more
 This metapackage enables feature "encoding" for the Rust quick-xml crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "encoding_rs" feature.

Package: librust-quick-xml+serde-dev
Description-md5: 078784fed178066a13bdc6059b3616f5
Description-en: High performance xml reader and writer - feature "serde" and 1 more
 This metapackage enables feature "serde" for the Rust quick-xml crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serialize" feature.

Package: librust-quick-xml-dev
Description-md5: 8fc3a752a04ab7e4e14d0d3c0c96b512
Description-en: High performance xml reader and writer - Rust source code
 This package contains the source for the Rust quick-xml crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-quickcheck+default-dev
Description-md5: b44c6d9d8740d035473bce13c263057b
Description-en: Automatic property based testing with shrinking - feature "default"
 This metapackage enables feature "default" for the Rust quickcheck crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-quickcheck+env-logger-dev
Description-md5: e9dabc5c3021f9f0b8efd3e8708aada5
Description-en: Automatic property based testing with shrinking - feature "env_logger"
 This metapackage enables feature "env_logger" for the Rust quickcheck crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-quickcheck+log-dev
Description-md5: edeb9863a810fb72069d8f8c028e2e51
Description-en: Automatic property based testing with shrinking - feature "log"
 This metapackage enables feature "log" for the Rust quickcheck crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-quickcheck+regex-dev
Description-md5: 31fbc0ad7eda56303a3408e787be5eef
Description-en: Automatic property based testing with shrinking - feature "regex"
 This metapackage enables feature "regex" for the Rust quickcheck crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-quickcheck+use-logging-dev
Description-md5: 615711282cbd232ae282ab912202cd0a
Description-en: Automatic property based testing with shrinking - feature "use_logging"
 This metapackage enables feature "use_logging" for the Rust quickcheck crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-quickcheck-dev
Description-md5: b1df1d3140fc2d71f3cdef25aa3c8f25
Description-en: Automatic property based testing with shrinking - Rust source code
 This package contains the source for the Rust quickcheck crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-quickcheck-macros-dev
Description-md5: 866c062f25c1a1128b636689c678c1c9
Description-en: Macro attribute for quickcheck - Rust source code
 This package contains the source for the Rust quickcheck_macros crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-quote+proc-macro-dev
Description-md5: c848495036ebcafabab7d718fcd39f33
Description-en: Quasi-quoting macro quote!(...) - feature "proc-macro" and 1 more
 This metapackage enables feature "proc-macro" for the Rust quote crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-quote-dev
Description-md5: e8855056a2e8e76ef6f47243c127cae2
Description-en: Quasi-quoting macro quote!(...) - Rust source code
 This package contains the source for the Rust quote crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-radix-trie+serde-dev
Description-md5: 2c075f1ef7cd366da8f6ca05c6e70121
Description-en: Generic radix trie data-structure - feature "serde"
 This metapackage enables feature "serde" for the Rust radix_trie crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-radix-trie-dev
Description-md5: 547639e8e7e8c610cf1dd82cc3690308
Description-en: Generic radix trie data-structure - Rust source code
 This package contains the source for the Rust radix_trie crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand+alloc-dev
Description-md5: 768ec68c7bbe111b6e86c6709bf44956
Description-en: Randomness functionality, number generators - feature "alloc"
 This metapackage enables feature "alloc" for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rand+getrandom-dev
Description-md5: ef88272e4390e3fcce8d854adb665d14
Description-en: Randomness functionality, number generators - feature "getrandom"
 This metapackage enables feature "getrandom" for the Rust rand crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand+getrandom-package-dev
Description-md5: 96e497aaffa58c10e10f36ac87a51c92
Description-en: Randomness functionality, number generators - feature "getrandom_package"
 This metapackage enables feature "getrandom_package" for the Rust rand crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-rand+log-dev
Description-md5: 89a636cb1403128e949fff33365712a6
Description-en: Randomness functionality, number generators - feature "log"
 This metapackage enables feature "log" for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rand+packed-simd-dev
Description-md5: e29412ffc4018075ea91279fcb55f5b1
Description-en: Randomness functionality, number generators - feature "packed_simd" and 2 more
 This metapackage enables feature "packed_simd" for the Rust rand crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "nightly", and "simd_support"
 features.

Package: librust-rand+rand-pcg-dev
Description-md5: b4dde7af911e9b14b8902120653e7e9f
Description-en: Randomness functionality, number generators - feature "rand_pcg" and 1 more
 This metapackage enables feature "rand_pcg" for the Rust rand crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "small_rng" feature.

Package: librust-rand+std-dev
Description-md5: 87189172bae2995e04db09e43096bd61
Description-en: Randomness functionality, number generators - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-rand+stdweb-dev
Description-md5: 58019b61ecf39d842ae30a61b9dadbfd
Description-en: Randomness functionality, number generators - feature "stdweb"
 This metapackage enables feature "stdweb" for the Rust rand crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-rand+wasm-bindgen-dev
Description-md5: 84439c291bb867b301a4808ec25b5f56
Description-en: Randomness functionality, number generators - feature "wasm-bindgen"
 This metapackage enables feature "wasm-bindgen" for the Rust rand crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-0.5+alloc-dev
Description-md5: 0900b6105e3bc83938bb90017b5811ed
Description-en: Randomness functionality and number generators - feature "alloc"
 This metapackage enables feature alloc for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rand-0.5+cloudabi-dev
Description-md5: 1851616f38765a166079b8f119172c0a
Description-en: Randomness functionality and number generators - feature "cloudabi"
 This metapackage enables feature cloudabi for the Rust rand crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-rand-0.5+fuchsia-zircon-dev
Description-md5: 2b084da41393de3f806530e6bb766c3b
Description-en: Randomness functionality and number generators - feature "fuchsia-zircon"
 This metapackage enables feature fuchsia-zircon for the Rust rand crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-0.5+libc-dev
Description-md5: 8ff6b1b0d729d443663224fd2caeeee7
Description-en: Randomness functionality and number generators - feature "libc"
 This metapackage enables feature libc for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rand-0.5+log-dev
Description-md5: 90d3b96e6958ba77592fa9f32fb6d82b
Description-en: Randomness functionality and number generators - feature "log"
 This metapackage enables feature log for the Rust rand crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-rand-0.5+serde-derive-dev
Description-md5: d794d9cdb5d12c1b95fa794d2a343d03
Description-en: Randomness functionality and number generators - feature "serde_derive"
 This metapackage enables feature serde_derive for the Rust rand crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-0.5+serde-dev
Description-md5: 1d1d413dd7e0d72a7d1116754c12cc28
Description-en: Randomness functionality and number generators - feature "serde"
 This metapackage enables feature serde for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rand-0.5+serde1-dev
Description-md5: e39b20220cf4d060b98f0995e98f8db6
Description-en: Randomness functionality and number generators - feature "serde1"
 This metapackage enables feature serde1 for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rand-0.5+std-dev
Description-md5: 593b6bb6b2f4ac6d8a3bb49a5ab6afb4
Description-en: Randomness functionality and number generators - feature "std"
 This metapackage enables feature std for the Rust rand crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-rand-0.5+stdweb-dev
Description-md5: 200a84926053825e756193080d405138
Description-en: Randomness functionality and number generators - feature "stdweb"
 This metapackage enables feature stdweb for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rand-0.5+winapi-dev
Description-md5: e3752ebc7303232a1e1295201da78313
Description-en: Randomness functionality and number generators - feature "winapi"
 This metapackage enables feature winapi for the Rust rand crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rand-0.5-dev
Description-md5: 4068a742a56c6b42866e82e6f3596852
Description-en: Randomness functionality and number generators - Rust source code
 This package contains the source for the Rust rand crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-rand-chacha+default-dev
Description-md5: 7ae3c4493af39488862c9d70aed731ed
Description-en: ChaCha random number generator - feature "default"
 This metapackage enables feature "default" for the Rust rand_chacha crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-chacha+std-dev
Description-md5: 1738bde4ccb6909de49c2be5756f8c15
Description-en: ChaCha random number generator - feature "std"
 This metapackage enables feature "std" for the Rust rand_chacha crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-chacha-dev
Description-md5: 2eb77042cebcc1d2dfebed354d9de595
Description-en: ChaCha random number generator - Rust source code
 This package contains the source for the Rust rand_chacha crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-core+getrandom-dev
Description-md5: 002c527142d952663920d8d42d7d6fe1
Description-en: Core random number generator traits and tools - feature "getrandom"
 This metapackage enables feature "getrandom" for the Rust rand_core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-core+serde-dev
Description-md5: 2abdbcdbb0c438444e8bc1d1edd259bd
Description-en: Core random number generator traits and tools - feature "serde" and 1 more
 This metapackage enables feature "serde" for the Rust rand_core crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serde1" feature.

Package: librust-rand-core+std-dev
Description-md5: 4696b98cfe7f43cd463528545c5cc53e
Description-en: Core random number generator traits and tools - feature "std"
 This metapackage enables feature "std" for the Rust rand_core crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-rand-core-0.2+alloc-dev
Description-md5: 81895c8a7b3629d5811684c7ebfc80ca
Description-en: Core random number generator traits and tools - feature "alloc"
 This metapackage enables feature "alloc" for the Rust rand_core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-core-0.2+serde1-dev
Description-md5: 41231230a7894edebba1a79275409517
Description-en: Core random number generator traits and tools - feature "serde1"
 This metapackage enables feature "serde1" for the Rust rand_core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-core-0.2+std-dev
Description-md5: 4696b98cfe7f43cd463528545c5cc53e
Description-en: Core random number generator traits and tools - feature "std"
 This metapackage enables feature "std" for the Rust rand_core crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-rand-core-0.2-dev
Description-md5: cf77f0c351ba74ca3bdb75aa81638b7d
Description-en: Core random number generator traits and tools - Rust source code
 This package contains the source for the Rust rand_core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-core-0.3+serde-derive-dev
Description-md5: 816c99c762bb99d76a3c8b2ec0251c36
Description-en: Core random number generator traits and tools - feature "serde_derive"
 This metapackage enables feature "serde_derive" for the Rust rand_core crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-rand-core-0.3+serde-dev
Description-md5: 054b1d576f3fb8158b52702d7d4c34c3
Description-en: Core random number generator traits and tools - feature "serde"
 This metapackage enables feature "serde" for the Rust rand_core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-core-0.3+serde1-dev
Description-md5: 41231230a7894edebba1a79275409517
Description-en: Core random number generator traits and tools - feature "serde1"
 This metapackage enables feature "serde1" for the Rust rand_core crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-core-0.3-dev
Description-md5: cf77f0c351ba74ca3bdb75aa81638b7d
Description-en: Core random number generator traits and tools - Rust source code
 This package contains the source for the Rust rand_core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-core-dev
Description-md5: cf77f0c351ba74ca3bdb75aa81638b7d
Description-en: Core random number generator traits and tools - Rust source code
 This package contains the source for the Rust rand_core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-dev
Description-md5: c8855c3dfb5a6bc7a80e94ce565b52fa
Description-en: Randomness functionality, number generators - Rust source code
 This package contains the source for the Rust rand crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-rand-hc-dev
Description-md5: e5e3c1a87c49c59e347d30b11f89a3f7
Description-en: HC128 random number generator - Rust source code
 This package contains the source for the Rust rand_hc crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-isaac+serde-dev
Description-md5: 3ff796e4202a3597a3093837e7e850e7
Description-en: ISAAC random number generator - feature "serde"
 This metapackage enables feature "serde" for the Rust rand_isaac crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-isaac+serde1-dev
Description-md5: f73bdd72d310b0bd405ea4ae01c28469
Description-en: ISAAC random number generator - feature "serde1"
 This metapackage enables feature "serde1" for the Rust rand_isaac crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-isaac-dev
Description-md5: da08a3a91fb7096ce81af92b2629b193
Description-en: ISAAC random number generator - Rust source code
 This package contains the source for the Rust rand_isaac crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-os+log-dev
Description-md5: fa7af82bc30746a06df9d5edfb63e37e
Description-en: OS backed Random Number Generator - feature "log"
 This metapackage enables feature "log" for the Rust rand_os crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-rand-os+stdweb-dev
Description-md5: 2966dcaeb8d3b1b7cefd6cb1f677150d
Description-en: OS backed Random Number Generator - feature "stdweb"
 This metapackage enables feature "stdweb" for the Rust rand_os crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-os+wasm-bindgen-dev
Description-md5: 735ff8f3baf726b53668ce9527d4c21d
Description-en: OS backed Random Number Generator - feature "wasm-bindgen"
 This metapackage enables feature "wasm-bindgen" for the Rust rand_os crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rand-os-dev
Description-md5: 31c76d5ae25c1315837a22e3b1b5bc4b
Description-en: OS backed Random Number Generator - Rust source code
 This package contains the source for the Rust rand_os crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-pcg+serde-dev
Description-md5: d8de61a88b4c1c2f96384006848c193f
Description-en: Selected PCG random number generators - feature "serde" and 1 more
 This metapackage enables feature "serde" for the Rust rand_pcg crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serde1" feature.

Package: librust-rand-pcg-dev
Description-md5: ad38dd9dd59a7668cbe98582d03366cc
Description-en: Selected PCG random number generators - Rust source code
 This package contains the source for the Rust rand_pcg crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-xorshift+serde-dev
Description-md5: d1257b3bd8f21d6d07dab6581cc6f8ef
Description-en: Xorshift random number generator - feature "serde" and 1 more
 This metapackage enables feature "serde" for the Rust rand_xorshift crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serde1" feature.

Package: librust-rand-xorshift-dev
Description-md5: f8b6a0aafc2b3ce0a0aa4311e04932a1
Description-en: Xorshift random number generator - Rust source code
 This package contains the source for the Rust rand_xorshift crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rand-xoshiro+serde-dev
Description-md5: 224da727d2fee1fa3c5e4362ffc089c8
Description-en: Xoshiro, xoroshiro and splitmix64 RNGs - feature "serde" and 1 more
 This metapackage enables feature "serde" for the Rust rand_xoshiro crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serde1" feature.

Package: librust-rand-xoshiro-dev
Description-md5: 01d86cfd5a0fbffe1d6b5183753694b0
Description-en: Xoshiro, xoroshiro and splitmix64 RNGs - Rust source code
 This package contains the source for the Rust rand_xoshiro crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-random-dev
Description-md5: 1ee69e5d316198706f01ae3cf8ded25c
Description-en: Package provides sources of randomness - Rust source code
 This package contains the source for the Rust random crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rayon-core-dev
Description-md5: 374e330f444ce6b5525768db211b9e74
Description-en: Core APIs for Rayon - Rust source code
 This package contains the source for the Rust rayon-core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rayon-dev
Description-md5: ca7dbc10b48cf0ea5f69921e91e1d78a
Description-en: Simple work-stealing parallelism for Rust - Rust source code
 This package contains the source for the Rust rayon crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-rctree-dev
Description-md5: 789f9c70d4f9d036b722ef6830a3dd4a
Description-en: 'DOM-like' tree implemented using reference counting - Rust source code
 This package contains the source for the Rust rctree crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-redox-syscall-dev
Description-md5: 8037e73c35cbad2a9708d8545307053d
Description-en: Access raw Redox system calls - Rust source code
 This package contains the source for the Rust redox_syscall crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-redox-termios-dev
Description-md5: 87fde4f2478128fe620bb92763683516
Description-en: Access Redox termios functions - Rust source code
 This package contains the source for the Rust redox_termios crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-reduce-dev
Description-md5: bee6e5442101bfc8bcbebfd46fc285fd
Description-en: Fold an iterator without an initial value - Rust source code
 This package contains the source for the Rust reduce crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-regex-automata+regex-syntax-dev
Description-md5: e2b531aca323c6390856c8016595f33e
Description-en: Automata construction and matching using regular expressions - feature "regex-syntax"
 This metapackage enables feature "regex-syntax" for the Rust regex-automata
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-regex-automata+std-dev
Description-md5: 55ba112d0dba5bf1dc2da58a49649ac3
Description-en: Automata construction and matching using regular expressions - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust regex-automata crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-regex-automata+utf8-ranges-dev
Description-md5: 0461ec41049ebcca96b71d5d1c5d9b08
Description-en: Automata construction and matching using regular expressions - feature "utf8-ranges"
 This metapackage enables feature "utf8-ranges" for the Rust regex-automata
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-regex-automata-dev
Description-md5: cde3e8a4fa2ecf72f90c226a9d4791d7
Description-en: Automata construction and matching using regular expressions - Rust source code
 This package contains the source for the Rust regex-automata crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-regex-dev
Description-md5: fda2e212e1edb2560154907281dfa9da
Description-en: Regular expressions for Rust - Rust source code
 This implementation uses finite automata and guarantees linear time matching on
 all inputs.
 .
 This package contains the source for the Rust regex crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-regex-syntax+unicode-dev
Description-md5: 357218d550363d12d21b98b9d13cef13
Description-en: Regular expression parser - feature "unicode" and 1 more
 This metapackage enables feature "unicode" for the Rust regex-syntax crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-regex-syntax-dev
Description-md5: 9792ebbf8d2f846687308f07168fabe2
Description-en: Regular expression parser - Rust source code
 This package contains the source for the Rust regex-syntax crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-remove-dir-all-dev
Description-md5: d72d50d34f8e1116b8d6b74aa60f9bc7
Description-en: Safe, reliable implementation of remove_dir_all for Windows - Rust source code
 This package contains the source for the Rust remove_dir_all crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rfc822-sanitizer-dev
Description-md5: b653be45de3425a1dbf41883cbec8590
Description-en: Rfc822/2822 sanitizer/normalizer - Rust source code
 This package contains the source for the Rust rfc822_sanitizer crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-rgb+serde-dev
Description-md5: 175ae6e3a4bc00eb32146ad1a1b9c035
Description-en: `struct RGB` (etc.) for sharing pixels between crates - feature "serde"
 Allows no-copy high-level interoperability. Also adds common convenience
 methods and implements standard Rust traits to make RGB/RGBA pixels first-class
 Rust objects.
 .
 This metapackage enables feature serde for the Rust rgb crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-rgb-dev
Description-md5: 59507100e97632a0181d3bd45f91d6ad
Description-en: `struct RGB` (etc.) for sharing pixels between crates - Rust source code
 Allows no-copy high-level interoperability. Also adds common convenience
 methods and implements standard Rust traits to make RGB/RGBA pixels first-class
 Rust objects.
 .
 This package contains the source for the Rust rgb crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-rle-decode-fast-dev
Description-md5: fc6a3f7affc4d0e2f03521a5cd3acc76
Description-en: Fastest way to implement any kind of decoding for Run Length Encoded data in Rust - Rust source code
 Writing a fast decoder that is also safe can be quite challenging, so this
 crate is here to save you the hassle of maintaining and testing your own
 implementation.
 .
 This package contains the source for the Rust rle-decode-fast crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-ron-dev
Description-md5: 1ce78468adfb5242c48024dfcb775f01
Description-en: Rusty Object Notation - Rust source code
 This package contains the source for the Rust ron crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-roxmltree-dev
Description-md5: c0f6f28e537b79eeaa633b28c044ae66
Description-en: Represent an XML as a read-only tree - Rust source code
 This package contains the source for the Rust roxmltree crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rpassword-dev
Description-md5: d155e4e5c36ed231c80e05644dab3e7a
Description-en: Read passwords in console applications - Rust source code
 This package contains the source for the Rust rpassword crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rustc-demangle-dev
Description-md5: 3f33bf59376fe766628018993d9862d4
Description-en: Rust compiler symbol demangling - Rust source code
 This package contains the source for the Rust rustc-demangle crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rustc-hash-dev
Description-md5: dd8d3e120d2303fd4f9dd665d18facea
Description-en: Speed, non-cryptographic hash used in rustc - Rust source code
 This package contains the source for the Rust rustc-hash crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rustc-serialize-dev
Description-md5: fa65fb34495adbd7f4b3bb692bd549f1
Description-en: Generic serialization/deserialization support corresponding to the `derive(RustcEncodable, RustcDecodable)` mode in the compiler - Rust source code
 Also includes support for hex, base64, and json encoding and decoding.
 .
 This package contains the source for the Rust rustc-serialize crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-rustc-std-workspace-core-dev
Description-md5: 34b9543b2ed848041ed173661b01a1c1
Description-en: Explicitly empty crate for rust-lang/rust integration - Rust source code
 This package contains the source for the Rust rustc-std-workspace-core crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-rustc-version-dev
Description-md5: 53fc8ddb388acca07c73be9d6773eac5
Description-en: Querying the version of a installed rustc compiler - Rust source code
 This package contains the source for the Rust rustc_version crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rustc-workspace-hack-dev
Description-md5: c568dd11bde909932c0b96343402dd17
Description-en: Hack for the compiler's own build system - Rust source code
 This package contains the source for the Rust rustc-workspace-hack crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-rustdoc-stripper-dev
Description-md5: 7b4403b3efd39bd5aa712a43b84d4b94
Description-en: Manipulate rustdoc comments - Rust source code
 This package contains the source for the Rust rustdoc-stripper crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-rusticata-macros-dev
Description-md5: 96b26a31cb14e869c793cdc7b56f9ac4
Description-en: Helper macros for Rusticata - Rust source code
 This package contains the source for the Rust rusticata-macros crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-rustversion-dev
Description-md5: db860e00d2833a319bbcc3190f9af54a
Description-en: Conditional compilation according to rustc compiler version - Rust source code
 This package contains the source for the Rust rustversion crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rusty-fork+timeout-dev
Description-md5: e383c2cfeee2bf02364640be3240ac80
Description-en: Cross-platform library for running Rust tests in sub-processes using a fork-like interface - feature "timeout"
 This metapackage enables feature timeout for the Rust rusty-fork crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rusty-fork+wait-timeout-dev
Description-md5: 581b6b9195870540a9ab31f5f100bfac
Description-en: Cross-platform library for running Rust tests in sub-processes using a fork-like interface - feature "wait-timeout"
 This metapackage enables feature wait-timeout for the Rust rusty-fork crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-rusty-fork-dev
Description-md5: 7e35cfef82d4217236a6be986609cc0c
Description-en: Cross-platform library for running Rust tests in sub-processes using a fork-like interface - Rust source code
 This package contains the source for the Rust rusty-fork crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-rustyline+dirs-dev
Description-md5: d0f6474c186c5481006e97597df010ad
Description-en: Readline implementation based on Linenoise - feature "dirs" and 2 more
 This metapackage enables feature "dirs" for the Rust rustyline crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default", and "with-dirs"
 features.

Package: librust-rustyline-dev
Description-md5: ddc779716ce53c1e5d07306fcd985ba9
Description-en: Readline implementation based on Linenoise - Rust source code
 This package contains the source for the Rust rustyline crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ryu+no-panic-dev
Description-md5: 3c109a610e3ebfb6324c67288b122943
Description-en: Fast floating point to string conversion - feature "no-panic"
 This metapackage enables feature "no-panic" for the Rust ryu crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-ryu-dev
Description-md5: 3203337434f3eee0244d61bd98f30805
Description-en: Fast floating point to string conversion - Rust source code
 This package contains the source for the Rust ryu crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-safe-transmute-dev
Description-md5: 8a2b3acba38be574c31c0a42edb71abd
Description-en: Safeguarded transmute() for Rust - Rust source code
 This package contains the source for the Rust safe-transmute crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-safemem-dev
Description-md5: 05c926ba337a9ee8d0f18cbf39afaad2
Description-en: Safe wrappers for memory-accessing functions, like `std::ptr::copy()` - Rust source code
 This package contains the source for the Rust safemem crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-same-file-dev
Description-md5: c424da56a299ffb9d3cbae2ba6c3e023
Description-en: Simple crate for determining whether two file paths point to the same file - Rust source code
 This package contains the source for the Rust same-file crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-schannel-dev
Description-md5: 6400dba83d97a3cddfa165fdd9fc8a28
Description-en: Schannel bindings for rust, allowing SSL/TLS (e.g - Rust source code
 https) without openssl
 .
 This package contains the source for the Rust schannel crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-scoped-threadpool-dev
Description-md5: 62337427abd390ea97138499e76c3ffd
Description-en: Scoped and cached threadpools - Rust source code
 This package contains the source for the Rust scoped_threadpool crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-scoped-tls-dev
Description-md5: e4029bd253aca34522f225f4158a8ed1
Description-en: Library implementation of the standard library's old `scoped_thread_local!` macro for providing scoped access to thread local storage (TLS) so any type can be stored into TLS - Rust source code
 This package contains the source for the Rust scoped-tls crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-scopeguard-0.3-dev
Description-md5: 507884c08b806c3c8ef12222a37aa17b
Description-en: RAII scope guard that will run a given closure when it goes out of scope, even if the code between panics (assuming unwinding panic) - Rust source code
 Defines the macros `defer!` and `defer_on_unwind!`; the latter only runs if the
 scope is extited through unwinding on panic.
 .
 This package contains the source for the Rust scopeguard crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-scopeguard-dev
Description-md5: ef44a83c7c8ffbc406cb48f334ee8725
Description-en: Resource-acquisition-is-initialization scope guard - Rust source code
 Defines the macros `defer!`, `defer_on_unwind!`, `defer_on_success!` as
 shorthands for guards with one of the implemented strategies.
 .
 This package contains the source for the Rust scopeguard crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-scrawl-dev
Description-md5: 76b7284e0114d73568b297ef30eed9ae
Description-en: Rust library to open a user's text editor - Rust source code
 Useful for interactive CLI applications.
 .
 This package contains the source for the Rust scrawl crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-scroll+derive-dev
Description-md5: 09ba8cff73f3900fe32c45750f1fb48f
Description-en: Suite of powerful, extensible, generic, endian-aware Read/Write traits for byte buffers - feature "derive" and 1 more
 This metapackage enables feature "derive" for the Rust scroll crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "scroll_derive" feature.

Package: librust-scroll-derive-dev
Description-md5: 58b457a73f56459c34e5d0be202dfcba
Description-en: Macros 1.1 derive implementation for Pread and Pwrite traits from the scroll crate - Rust source code
 This package contains the source for the Rust scroll_derive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-scroll-dev
Description-md5: 33b635726d0c37304aec38dc2de08033
Description-en: Suite of powerful, extensible, generic, endian-aware Read/Write traits for byte buffers - Rust source code
 This package contains the source for the Rust scroll crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-seccomp-sys-dev
Description-md5: 8344b316a07c01e31c1421a354d2e6b6
Description-en: Low-level bindings to libseccomp - Rust source code
 This package contains the source for the Rust seccomp-sys crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-section-testing-dev
Description-md5: 749675af20a42798ba0f74737db2cc54
Description-en: Section-style testing - Rust source code
 This package contains the source for the Rust section_testing crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-security-framework-sys-dev
Description-md5: 9914e9ef6fd7dcfc43677485eb2dd7c6
Description-en: Apple `Security.framework` low-level FFI bindings - Rust source code
 This package contains the source for the Rust security-framework-sys crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-semver+ci-dev
Description-md5: d3136f991215e62e15e603e33f50b02d
Description-en: Semantic version parsing and comparison - feature "ci"
 This metapackage enables feature ci for the Rust semver crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-semver+serde-dev
Description-md5: 5eeadb7cb69c87065bc7deaf3363ae7a
Description-en: Semantic version parsing and comparison - feature "serde"
 This metapackage enables feature serde for the Rust semver crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-semver-dev
Description-md5: 83055584c1015692014d418c4cba1140
Description-en: Semantic version parsing and comparison - Rust source code
 This package contains the source for the Rust semver crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-semver-parser-0.7-dev
Description-md5: 3b33661717f60bdfad12131fffc0be60
Description-en: Parsing of the semver spec - Rust source code
 This package contains the source for the Rust semver-parser crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-semver-parser-dev
Description-md5: 3b33661717f60bdfad12131fffc0be60
Description-en: Parsing of the semver spec - Rust source code
 This package contains the source for the Rust semver-parser crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-separator-dev
Description-md5: 50c71f1daf276d3085ed6db0081d9372
Description-en: Formats numbers with thousands separators - Rust source code
 This package contains the source for the Rust separator crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-serde+derive-dev
Description-md5: 52b8dd7cd14b9f13be7ff9c061252c5f
Description-en: Generic serialization/deserialization framework - feature "derive" and 1 more
 This metapackage enables feature "derive" for the Rust serde crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serde_derive" feature.

Package: librust-serde-bytes+alloc-dev
Description-md5: ae6bfb63392fbeb8bb9f6bb726892cc1
Description-en: Optimized serde handling of u8 Vec and array - feature "alloc"
 This metapackage enables feature "alloc" for the Rust serde_bytes crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-serde-bytes+std-dev
Description-md5: 81bbaa1437292a5bed34ed22ec0a42d1
Description-en: Optimized serde handling of u8 Vec and array - feature "std" and 1 more
 This metapackage enables feature "std" for the Rust serde_bytes crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-serde-bytes-dev
Description-md5: 5a092c6643e036782da3d13226c1da86
Description-en: Optimized serde handling of u8 Vec and array - Rust source code
 This package contains the source for the Rust serde_bytes crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-serde-derive-dev
Description-md5: 1d8aa07260bcfddacf02305858eb3349
Description-en: Macros 1.1 #[derive(Serialize, Deserialize)] - Rust source code
 This package contains the source for the Rust serde_derive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-serde-dev
Description-md5: 5f6f1e572cffd887de16dde3d5f63dfb
Description-en: Generic serialization/deserialization framework - Rust source code
 This package contains the source for the Rust serde crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-serde-ignored-dev
Description-md5: f2b17b6cbad1241400520dc1e150c12d
Description-en: Find out about keys that are ignored when deserializing data - Rust source code
 This package contains the source for the Rust serde_ignored crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-serde-json+indexmap-dev
Description-md5: 09676a1068281f68a257a4249231cbee
Description-en: JSON serialization file format - feature "indexmap" and 1 more
 This metapackage enables feature "indexmap" for the Rust serde_json crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "preserve_order" feature.

Package: librust-serde-json-dev
Description-md5: 83540939b74aaf04b2b80dfe2afc0e45
Description-en: JSON serialization file format - Rust source code
 This package contains the source for the Rust serde_json crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-serde-test-dev
Description-md5: 4cb11cefa4648554770d2a0b5efab520
Description-en: Token De/Serializer for testing De/Serialize implementations - Rust source code
 This package contains the source for the Rust serde_test crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-serde-urlencoded-dev
Description-md5: 62dcc9b47ecc98b89a163d01da879e3c
Description-en: `x-www-form-urlencoded` meets Serde - Rust source code
 This package contains the source for the Rust serde_urlencoded crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-serde-yaml-dev
Description-md5: 22e12dc1111e56ee2abefe8c0e91302d
Description-en: YAML support for Serde - Rust source code
 This package contains the source for the Rust serde_yaml crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-servo-arc+serde-dev
Description-md5: 0a9e89a7205fed68ef35f29ea6157795
Description-en: std::sync::Arc with some extra functionality - feature "serde"
 This metapackage enables feature serde for the Rust servo_arc crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-servo-arc+servo-dev
Description-md5: 19af00d7984d44530940f19e2b9f510d
Description-en: std::sync::Arc with some extra functionality - feature "servo"
 This metapackage enables feature servo for the Rust servo_arc crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-servo-arc-dev
Description-md5: 8306c7eb4d13343bf80de78791f77180
Description-en: std::sync::Arc with some extra functionality - Rust source code
 This package contains the source for the Rust servo_arc crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-servo-freetype-sys-dev
Description-md5: 88c1b4c0e01d498336558c9a4c661bf3
Description-en: FreeType is a freely available software library to render fonts - Rust source code
 This package contains the source for the Rust servo-freetype-sys crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-sha-1+asm-dev
Description-md5: a01c3e946074579cf1b5ecc6225b2112
Description-en: SHA-1 hash function - feature "asm"
 This metapackage enables feature asm for the Rust sha-1 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-sha-1+sha1-asm-dev
Description-md5: 4a3feb79e3bd0dbfa8b64a2589a8f914
Description-en: SHA-1 hash function - feature "sha1-asm"
 This metapackage enables feature sha1-asm for the Rust sha-1 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-sha-1+std-dev
Description-md5: 2c6e7ff3afefd6c05f3af4d36264d5cb
Description-en: SHA-1 hash function - feature "std"
 This metapackage enables feature std for the Rust sha-1 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-sha-1-dev
Description-md5: 5b9164e37fc31d029aecd98b73df775d
Description-en: SHA-1 hash function - Rust source code
 This package contains the source for the Rust sha-1 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-sha1+serde-dev
Description-md5: dd7d83df2f30d2f7243f464af07aa716
Description-en: Minimal implementation of SHA1 for Rust - feature "serde"
 This metapackage enables feature serde for the Rust sha1 crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-sha1-asm-dev
Description-md5: a0c1e6e26fbd7a55793c13c02b17f9d5
Description-en: Assembly implementation of SHA-1 compression function - Rust source code
 This package contains the source for the Rust sha1-asm crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-sha1-dev
Description-md5: 2af79563c5dffbe3e64501b613527e46
Description-en: Minimal implementation of SHA1 for Rust - Rust source code
 This package contains the source for the Rust sha1 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-sha2+asm-dev
Description-md5: 2f2ead685a5c117bed06fd94d77d8b66
Description-en: SHA-2 hash functions - feature "asm"
 This metapackage enables feature asm for the Rust sha2 crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-sha2+sha2-asm-dev
Description-md5: 73d8cffb9c396fd2f04f676e425b068c
Description-en: SHA-2 hash functions - feature "sha2-asm"
 This metapackage enables feature sha2-asm for the Rust sha2 crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-sha2+std-dev
Description-md5: db53561944b0acc10e4c10f844a98c2d
Description-en: SHA-2 hash functions - feature "std"
 This metapackage enables feature std for the Rust sha2 crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-sha2-asm-dev
Description-md5: b7e955899af5cbead37e1373b6c99b24
Description-en: Assembly implementation of SHA-2 compression functions - Rust source code
 This package contains the source for the Rust sha2-asm crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-sha2-dev
Description-md5: 30791b8aeb6bbce33bf3a1a28df7df46
Description-en: SHA-2 hash functions - Rust source code
 This package contains the source for the Rust sha2 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-sha3+std-dev
Description-md5: 453b40ff70b52c184570e1e89206b93e
Description-en: SHA-3 (Keccak) hash function - feature "std"
 This metapackage enables feature std for the Rust sha3 crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-sha3-dev
Description-md5: ca9b0aca27a9a4937cce7e079b6ff6fb
Description-en: SHA-3 (Keccak) hash function - Rust source code
 This package contains the source for the Rust sha3 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-shannon-dev
Description-md5: 99836d1005c0115ba0f35ce318cf63d2
Description-en: Shannon cipher implementation - Rust source code
 This package contains the source for the Rust shannon crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-shared-child-dev
Description-md5: 7733354de27231c5e701e820b7026662
Description-en: Using child processes from multiple threads - Rust source code
 This package contains the source for the Rust shared_child crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-shell-escape-dev
Description-md5: 93b39740f8bf671a20f73873201e2058
Description-en: Escape characters that may have a special meaning in a shell - Rust source code
 This package contains the source for the Rust shell-escape crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-shell-words-dev
Description-md5: 0440ad1d6f2e3ce39425cda71dc74a87
Description-en: Process command line according to parsing rules of UNIX shell - Rust source code
 This package contains the source for the Rust shell-words crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-shellwords-dev
Description-md5: 365ca96dbc2f864e9b0527a6d3d89aaa
Description-en: Word parsing rules of the UNIX Bourne shell - Rust source code
 This package contains the source for the Rust shellwords crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-shlex-dev
Description-md5: 56418213f361f374b219125a06975ef0
Description-en: Split a string into shell words, like Python's shlex - Rust source code
 This package contains the source for the Rust shlex crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-signal-hook+futures-dev
Description-md5: 092ab0a32e1bbd494c122572a9f4cf4b
Description-en: Unix signal handling - feature "futures"
 This metapackage enables feature "futures" for the Rust signal-hook crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-signal-hook+mio-dev
Description-md5: c151dda46c7422ec354dd24d99b0260f
Description-en: Unix signal handling - feature "mio" and 1 more
 This metapackage enables feature "mio" for the Rust signal-hook crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "mio-support" feature.

Package: librust-signal-hook+tokio-reactor-dev
Description-md5: 296850264e547e47df3201bc6294471b
Description-en: Unix signal handling - feature "tokio-reactor"
 This metapackage enables feature "tokio-reactor" for the Rust signal-hook
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-signal-hook+tokio-support-dev
Description-md5: 94877114b314cc4b2e2374a3800e5830
Description-en: Unix signal handling - feature "tokio-support"
 This metapackage enables feature "tokio-support" for the Rust signal-hook
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-signal-hook-dev
Description-md5: 21edb04eb62304138c6c2a416f67c29f
Description-en: Unix signal handling - Rust source code
 This package contains the source for the Rust signal-hook crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-signal-hook-registry-dev
Description-md5: 50f673c9cf0c3eff18f0ecbf125f5aa6
Description-en: Backend crate for signal-hook - Rust source code
 This package contains the source for the Rust signal-hook-registry crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-simplecss-dev
Description-md5: aff87a55d19df71d7a65874a7fb5329c
Description-en: Very simple CSS 2.1 tokenizer - Rust source code
 This package contains the source for the Rust simplecss crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-siphasher-dev
Description-md5: 5c7faf750faf232e4fb9d0441f5aab13
Description-en: SipHash-2-4, SipHash-1-3 and 128-bit variants in pure Rust - Rust source code
 This package contains the source for the Rust siphasher crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-sized-chunks-dev
Description-md5: 2ed260fed472a535a982f8dcb13a0577
Description-en: Efficient sized chunk datatypes - Rust source code
 This package contains the source for the Rust sized-chunks crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-slab-dev
Description-md5: 6394cef15bd8d27e8b0d6ad00b1f460e
Description-en: Pre-allocated storage for a uniform data type - Rust source code
 This package contains the source for the Rust slab crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-slog+erased-serde-dev
Description-md5: 8ce5e6469d825484399d547e2d01fb58
Description-en: Structured, extensible, composable logging - feature "erased-serde"
 This metapackage enables feature "erased-serde" for the Rust slog crate, by
 pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "nested-values" feature.

Package: librust-slog-dev
Description-md5: 1ea7411080c6562329e34a717ac664c7
Description-en: Structured, extensible, composable logging - Rust source code
 This package contains the source for the Rust slog crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-sloppy-rfc4880-dev
Description-md5: 42ac46ef26b62c3de40aad2b96d466fe
Description-en: RFC 4880 parser extract from the openpgp crate - Rust source code
 This package contains the source for the Rust sloppy-rfc4880 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-smallvec+serde-dev
Description-md5: 98218fed5fd4a4102563bc37739e0896
Description-en: Store up to a small number of items on the stack - feature "serde"
 This metapackage enables feature "serde" for the Rust smallvec crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-smallvec-dev
Description-md5: b11fdb2e320ec9a78e7eaeeb4514fee8
Description-en: Store up to a small number of items on the stack - Rust source code
 This package contains the source for the Rust smallvec crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-socket2-dev
Description-md5: 17c0b1418dde9b6adcc3c3050f31eb89
Description-en: Utilities for handling networking sockets with a maximal amount of configuration possible intended - Rust source code
 This package contains the source for the Rust socket2 crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-sourcefile-dev
Description-md5: 0594849c37ba2b0e986008c05fd15b58
Description-en: Retain mapping information when concatenating source files - Rust source code
 This package contains the source for the Rust sourcefile crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-spin-dev
Description-md5: 80384966e56e228922c02b3c27867cb1
Description-en: Synchronization primitives based on spinning - Rust source code
 They may contain data, are usable without `std`, and static initializers are
 available.
 .
 This package contains the source for the Rust spin crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-stable-deref-trait-dev
Description-md5: c50c6dfc61983d94eccb879073edd163
Description-en: Unsafe marker trait for types that deref to a stable address - Rust source code
 This package contains the source for the Rust stable_deref_trait crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-stackvector-dev
Description-md5: 74a331ec4d2588d87e8de34f22133395
Description-en: StackVec: vector-like facade for stack-allocated arrays - Rust source code
 This package contains the source for the Rust stackvector crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-static-assertions-dev
Description-md5: 7d23b6ef1ea1f9ab6af696b34f1fe4e2
Description-en: Compile-time assertions to ensure that invariants are met - Rust source code
 This package contains the source for the Rust static_assertions crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-stdweb+default-dev
Description-md5: 915ebf7ec8db1c40813b42163f6248cb
Description-en: Standard library for the client-side Web - feature "default"
 This metapackage enables feature "default" for the Rust stdweb crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-stdweb+serde-dev
Description-md5: f40dec8313f18a2e420e7f49ac081b96
Description-en: Standard library for the client-side Web - feature "serde"
 This metapackage enables feature "serde" for the Rust stdweb crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-stdweb+serde-json-dev
Description-md5: 4c8f45d7a3c0bb39457c860d9a0481d1
Description-en: Standard library for the client-side Web - feature "serde_json"
 This metapackage enables feature "serde_json" for the Rust stdweb crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-stdweb-derive-dev
Description-md5: db0681546958877a462ea0a93c992e29
Description-en: Derive macros for the `stdweb` crate - Rust source code
 This package contains the source for the Rust stdweb-derive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-stdweb-dev
Description-md5: 5869805779b0fb34a43ddc731514d3bd
Description-en: Standard library for the client-side Web - Rust source code
 This package contains the source for the Rust stdweb crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-stdweb-internal-macros-dev
Description-md5: 37307450b53949b8d48c1daef9be2103
Description-en: Internal procedural macros for the `stdweb` crate - Rust source code
 This package contains the source for the Rust stdweb-internal-macros crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-stdweb-internal-runtime-dev
Description-md5: 4eeca99220fa5fb085bc64ca6f9ab8a4
Description-en: Internal runtime for the `stdweb` crate - Rust source code
 This package contains the source for the Rust stdweb-internal-runtime crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-stream-cipher+blobby-dev
Description-md5: ac02f556bf15f923eb97ec33d19017ac
Description-en: Stream cipher traits - feature "blobby"
 This metapackage enables feature blobby for the Rust stream-cipher crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-stream-cipher+dev-dev
Description-md5: 31a622b83a93c25d386d5a186ecdfd09
Description-en: Stream cipher traits - feature "dev"
 This metapackage enables feature dev for the Rust stream-cipher crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-stream-cipher-dev
Description-md5: 5aa516b94e84d09edca21b8d12322035
Description-en: Stream cipher traits - Rust source code
 This package contains the source for the Rust stream-cipher crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-string+bytes-dev
Description-md5: c9f7d6525cf5c35bb9c5d6393eaf09e2
Description-en: UTF-8 string with configurable byte storage - feature "bytes" and 1 more
 This metapackage enables feature "bytes" for the Rust string crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-string-cache-codegen-dev
Description-md5: cd3f637677b0e40b1a8b322058777dc6
Description-en: Codegen library for string-cache - Rust source code
 This package contains the source for the Rust string_cache_codegen crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-string-cache-dev
Description-md5: 287dec3b1ac8f76c16eeb2fda7dbc94b
Description-en: Rust string interning library - Rust source code
 This package contains the source for the Rust string_cache crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-string-cache-shared-dev
Description-md5: e9169f622b4c1480acfc213f25892852
Description-en: Code share between string_cache and string_cache_codegen - Rust source code
 This package contains the source for the Rust string_cache_shared crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-string-dev
Description-md5: 1a4c325022c0fa926fd0efe671860e48
Description-en: UTF-8 string with configurable byte storage - Rust source code
 This package contains the source for the Rust string crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-stringprep-dev
Description-md5: 48eb24abe910079f91e4799e6aa472dd
Description-en: The stringprep algorithm - Rust source code
 This package contains the source for the Rust stringprep crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-strip-ansi-escapes-dev
Description-md5: 2abdfa75924cae260de0f906f5284898
Description-en: Strip ANSI escape sequences from byte streams - Rust source code
 This package contains the source for the Rust strip-ansi-escapes crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-strsim-dev
Description-md5: a0d2a17e2b1cb2e17c528587d62ec4c7
Description-en: Implementations of string similarity metrics - Rust source code
 Includes Hamming, Levenshtein, OSA, Damerau-Levenshtein, Jaro, and Jaro-
 Winkler.
 .
 This package contains the source for the Rust strsim crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-structopt+color-dev
Description-md5: 6d7cd0570ad992b44048a252697e0518
Description-en: Parse command line argument by defining a struct - feature "color"
 This metapackage enables feature "color" for the Rust structopt crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-structopt+debug-dev
Description-md5: a923f14c8183e50caa95c3713a691cd1
Description-en: Parse command line argument by defining a struct - feature "debug"
 This metapackage enables feature "debug" for the Rust structopt crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-structopt+default-dev
Description-md5: 4b7bd4126757bbcc28015c6e7db5c105
Description-en: Parse command line argument by defining a struct - feature "default"
 This metapackage enables feature "default" for the Rust structopt crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-structopt+doc-dev
Description-md5: 319742de188bef4d21c5b0de9829e48f
Description-en: Parse command line argument by defining a struct - feature "doc"
 This metapackage enables feature "doc" for the Rust structopt crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-structopt+lints-dev
Description-md5: d26f58eaf7a1e6457529bc83de54226e
Description-en: Parse command line argument by defining a struct - feature "lints"
 This metapackage enables feature "lints" for the Rust structopt crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-structopt+no-cargo-dev
Description-md5: 4de3eb46c612f9739904619d0f47ea5f
Description-en: Parse command line argument by defining a struct - feature "no_cargo"
 This metapackage enables feature "no_cargo" for the Rust structopt crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-structopt+paw-dev
Description-md5: fe127ce919a665d6fcc2b9113d0d99e1
Description-en: Parse command line argument by defining a struct - feature "paw"
 This metapackage enables feature "paw" for the Rust structopt crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-structopt+suggestions-dev
Description-md5: 0a1d760cd74ceca5b085fe905d0d2bc4
Description-en: Parse command line argument by defining a struct - feature "suggestions"
 This metapackage enables feature "suggestions" for the Rust structopt crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-structopt+wrap-help-dev
Description-md5: 6c9168a168c29a0469238cd489bf6148
Description-en: Parse command line argument by defining a struct - feature "wrap_help"
 This metapackage enables feature "wrap_help" for the Rust structopt crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-structopt+yaml-dev
Description-md5: e4e267b2d784475636ba01895b42d274
Description-en: Parse command line argument by defining a struct - feature "yaml"
 This metapackage enables feature "yaml" for the Rust structopt crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-structopt-derive-dev
Description-md5: ae797bdd0abddf02a53ce01231f0f6a4
Description-en: Parse command line argument by defining a struct - Rust source code
 This package contains the source for the Rust structopt-derive crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-structopt-dev
Description-md5: a73e4c14ac95bfba754420c16fc870d8
Description-en: Parse command line argument by defining a struct - Rust source code
 This package contains the source for the Rust structopt crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-strum-dev
Description-md5: 15dd4796a45a19cad002d04a967cf6af
Description-en: Helpful macros for working with enums and strings - Rust source code
 This package contains the source for the Rust strum crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-strum-macros-dev
Description-md5: 9804937b62b2958b4399d06bc21c5539
Description-en: Helpful macros for working with enums and strings - Rust source code
 This package contains the source for the Rust strum_macros crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-subtle+default-dev
Description-md5: bc659575d7f659c2df3efd087ecb4ab3
Description-en: Traits and utilities for constant-time cryptography - feature "default"
 This metapackage enables feature "default" for the Rust subtle crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-subtle-dev
Description-md5: 4f76ec7c161f8b0b6bdd8b9da2ef762c
Description-en: Traits and utilities for constant-time cryptography - Rust source code
 This package contains the source for the Rust subtle crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-sval-derive-dev
Description-md5: 1486389428141adb5f2d30995c4b5260
Description-en: Custom derive for sval - Rust source code
 This package contains the source for the Rust sval_derive crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-svgtypes-dev
Description-md5: 7434168696181ba4d313381343af2863
Description-en: SVG types parser and writer - Rust source code
 This package contains the source for the Rust svgtypes crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-sw-composite-dev
Description-md5: 0860b323c51f6acf0d9dd7577edd7195
Description-en: Collection of software compositing routines - Rust source code
 This package contains the source for the Rust sw-composite crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-syn+default-dev
Description-md5: 0edf79699f124484497ec97efe1c899a
Description-en: Parser for Rust source code - feature "default"
 This metapackage enables feature "default" for the Rust syn crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-syn+printing-dev
Description-md5: c5ebee3e2f7b8c3bc69a6f788f6c7520
Description-en: Parser for Rust source code - feature "printing" and 1 more
 This metapackage enables feature "printing" for the Rust syn crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "quote" feature.

Package: librust-syn+proc-macro-dev
Description-md5: f8f7f70c975f156462180bf508b2d654
Description-en: Parser for Rust source code - feature "proc-macro"
 This metapackage enables feature "proc-macro" for the Rust syn crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-syn-dev
Description-md5: 80c6e6d02b289f5b8ce77b7866289875
Description-en: Parser for Rust source code - Rust source code
 This package contains the source for the Rust syn crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-synstructure+proc-macro-dev
Description-md5: 919c159e7a88f1a2f05ee20174dc34be
Description-en: Helper methods and macros for custom derives - feature "proc-macro" and 1 more
 This metapackage enables feature "proc-macro" for the Rust synstructure crate,
 by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default" feature.

Package: librust-synstructure-dev
Description-md5: 9ecc68cc51d11cd3092784cf7c6e5c2d
Description-en: Helper methods and macros for custom derives - Rust source code
 This package contains the source for the Rust synstructure crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-synstructure-test-traits-dev
Description-md5: a27dbfeb26babf5395a24561bb80f755
Description-en: Helper test traits for synstructure doctests - Rust source code
 This package contains the source for the Rust synstructure_test_traits crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-syntect+bincode-dev
Description-md5: e9afa900de4913c76b8d13bcd0afc650
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "bincode"
 This metapackage enables feature bincode for the Rust syntect crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-syntect+default-dev
Description-md5: e1027690261673c6c18afb30c4f0b159
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "default"
 This metapackage enables feature default for the Rust syntect crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-syntect+dump-create-dev
Description-md5: e1e12a6e111fffefb8216e19dc3f6fdd
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "dump-create"
 This metapackage enables feature dump-create for the Rust syntect crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-syntect+dump-create-rs-dev
Description-md5: e50f950838669ddb355a249f21414c74
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "dump-create-rs"
 This metapackage enables feature dump-create-rs for the Rust syntect crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-syntect+dump-load-dev
Description-md5: dfe1df48a468a9825a118e7f1482942d
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "dump-load"
 This metapackage enables feature dump-load for the Rust syntect crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-syntect+dump-load-rs-dev
Description-md5: 36c1ff64db90b8dfb538ab5c580de946
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "dump-load-rs"
 This metapackage enables feature dump-load-rs for the Rust syntect crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-syntect+flate2-dev
Description-md5: f572abdb39d50f559c3da36b8fcafd8d
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "flate2"
 This metapackage enables feature flate2 for the Rust syntect crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-syntect+fnv-dev
Description-md5: 0da59ba3ce06637f830557d26908891c
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "fnv"
 This metapackage enables feature fnv for the Rust syntect crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-syntect+html-dev
Description-md5: 50f2dd1e1d0564e29bf05fb2cde8d3fd
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "html"
 This metapackage enables feature html for the Rust syntect crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-syntect+onig-dev
Description-md5: ae5b57a61fd0cb26600c22bbce0b969e
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "onig"
 This metapackage enables feature onig for the Rust syntect crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-syntect+parsing-dev
Description-md5: 4f2c7c27c870db0a1455a27939ee024c
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "parsing"
 This metapackage enables feature parsing for the Rust syntect crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-syntect+regex-syntax-dev
Description-md5: b20d5fc38b1b6d6f11b79f423b8a44fa
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "regex-syntax"
 This metapackage enables feature regex-syntax for the Rust syntect crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-syntect+yaml-load-dev
Description-md5: d28cd04daa71185e25fd619ee5a1b53b
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "yaml-load"
 This metapackage enables feature yaml-load for the Rust syntect crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-syntect+yaml-rust-dev
Description-md5: cb1792e63fa843decb49c6a09fc57049
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - feature "yaml-rust"
 This metapackage enables feature yaml-rust for the Rust syntect crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-syntect-dev
Description-md5: 004366d065e6727cdd740b7241a82ec7
Description-en: Syntax highlighting and code intelligence using Sublime Text's grammars - Rust source code
 This package contains the source for the Rust syntect crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-syscallz-dev
Description-md5: 33075e2e81e5df9d1b6d5c96452e13bb
Description-en: Simple seccomp library for rust - Rust source code
 This package contains the source for the Rust syscallz crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-sysinfo+debug-dev
Description-md5: 41bc82d39f485e05329106cd7010a1bd
Description-en: Handle processes - feature "debug"
 This metapackage enables feature debug for the Rust sysinfo crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-sysinfo-dev
Description-md5: a9e347210412a67c7feea0b938dcefe9
Description-en: Handle processes - Rust source code
 This package contains the source for the Rust sysinfo crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-syslog-dev
Description-md5: 3f6509dd4d0e06675b00c5201d2c2d09
Description-en: Send log messages to syslog - Rust source code
 This package contains the source for the Rust syslog crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tabwriter+ansi-formatting-dev
Description-md5: 1659f25434ef763076050f44701dd1da
Description-en: Elastic tabstops - feature "ansi_formatting"
 This metapackage enables feature ansi_formatting for the Rust tabwriter crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-tabwriter+lazy-static-dev
Description-md5: 1c8d2cf98c82931cb1e8c54efadbc102
Description-en: Elastic tabstops - feature "lazy_static"
 This metapackage enables feature lazy_static for the Rust tabwriter crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tabwriter+regex-dev
Description-md5: 82c092cfe98a71254218162c925dd00e
Description-en: Elastic tabstops - feature "regex"
 This metapackage enables feature regex for the Rust tabwriter crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tabwriter-dev
Description-md5: ddcdc4303acc667a93894681d9d78bfc
Description-en: Elastic tabstops - Rust source code
 This package contains the source for the Rust tabwriter crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-take-dev
Description-md5: 573fe510d798c02119857e068bfc045e
Description-en: Rust crate "take" - Rust source code
 This package contains the source for the Rust take crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-take-mut-dev
Description-md5: 3eaedf045bb6bc09e216fc4609957ea2
Description-en: Take a T from a &mut T temporarily - Rust source code
 This package contains the source for the Rust take_mut crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tar+default-dev
Description-md5: 32289375b5c2e9eea906ea65a1889a71
Description-en: tar archive reading/writing library - feature "default" and 1 more
 This library does not currently handle compression, but it is abstract over all
 I/O readers and writers. Additionally, great lengths are taken to ensure that
 the entire contents are never required to be entirely resident in memory all at
 once.
 .
 This metapackage enables feature "default" for the Rust tar crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "xattr" feature.

Package: librust-tar-dev
Description-md5: 3f3b369c72ab99da61572d73eda721ed
Description-en: tar archive reading/writing library - Rust source code
 This library does not currently handle compression, but it is abstract over all
 I/O readers and writers. Additionally, great lengths are taken to ensure that
 the entire contents are never required to be entirely resident in memory all at
 once.
 .
 This package contains the source for the Rust tar crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-tempfile-dev
Description-md5: 5a07140fe0e90f5ce54b5795576699ba
Description-en: Managing temporary files and directories - Rust source code
 This package contains the source for the Rust tempfile crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tendril+encoding-dev
Description-md5: 37076215885fa50b60a5945746751702
Description-en: Compact buffer/string type for zero-copy parsing - feature "encoding"
 This metapackage enables feature encoding for the Rust tendril crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tendril-dev
Description-md5: 94e207b1ead0f37bd8c00977d6a95658
Description-en: Compact buffer/string type for zero-copy parsing - Rust source code
 This package contains the source for the Rust tendril crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-term-dev
Description-md5: 9550972494d2bca544d6133323ac4d9e
Description-en: Terminal formatting library - Rust source code
 This package contains the source for the Rust term crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-term-grid-dev
Description-md5: 8fe44d276aa0f006cc2adb20b3b90992
Description-en: Formatting strings into a grid layout - Rust source code
 This package contains the source for the Rust term_grid crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-term-size-dev
Description-md5: 96f93bb1a915a845b323109a02425850
Description-en: Functions for determining terminal sizes and dimensions - Rust source code
 This package contains the source for the Rust term_size crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-termcolor-dev
Description-md5: 5d94edadb4571b4e85b5c545cbf878bb
Description-en: Simple cross platform library for writing colored text to a terminal - Rust source code
 This package contains the source for the Rust termcolor crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-termion-dev
Description-md5: 5e1c86a8eab11b79f7ce5a0532c0d304
Description-en: Bindless library for manipulating terminals - Rust source code
 This package contains the source for the Rust termion crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-termios-dev
Description-md5: 08eec65acb0bb1c1c5357ff60c21eba6
Description-en: Safe bindings for the termios library - Rust source code
 This package contains the source for the Rust termios crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-textwrap+hyphenation-dev
Description-md5: 68e8516cab4db3fcb4474c909910c9ef
Description-en: Small library for word wrapping, indenting, and dedenting strings - feature "hyphenation"
 You can use it to format strings (such as help and error messages) for display
 in commandline applications. It is designed to be efficient and handle Unicode
 characters correctly.
 .
 This metapackage enables feature hyphenation for the Rust textwrap crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-textwrap+term-size-dev
Description-md5: b3900f6cc821a4faebab454785a1a88f
Description-en: Small library for word wrapping, indenting, and dedenting strings - feature "term_size"
 You can use it to format strings (such as help and error messages) for display
 in commandline applications. It is designed to be efficient and handle Unicode
 characters correctly.
 .
 This metapackage enables feature term_size for the Rust textwrap crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-textwrap-dev
Description-md5: 40525a1e7771de71422037139660e83e
Description-en: Small library for word wrapping, indenting, and dedenting strings - Rust source code
 You can use it to format strings (such as help and error messages) for display
 in commandline applications. It is designed to be efficient and handle Unicode
 characters correctly.
 .
 This package contains the source for the Rust textwrap crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-thin-slice-dev
Description-md5: cc0474b35892051cdde6cdde94c250a0
Description-en: Owned slice that packs the slice storage into a single word - Rust source code
 This package contains the source for the Rust thin-slice crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-thiserror-impl-dev
Description-md5: 9f6848df38e047557e3d970e2cdba882
Description-en: Implementation detail of the `thiserror` crate - Rust source code
 This package contains the source for the Rust thiserror-impl crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-thread-id-dev
Description-md5: ff84a3b1f0db2cf17aa05d8b7cdfe66d
Description-en: Get a unique thread ID - Rust source code
 This package contains the source for the Rust thread-id crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-thread-local-dev
Description-md5: cc28f00499f4f3837b25302e4fa02de0
Description-en: Per-object thread-local storage - Rust source code
 This package contains the source for the Rust thread_local crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-thread-scoped-dev
Description-md5: 894a429172a7c082b70c261e1918c342
Description-en: Unsafe and deprecated std::thread::scoped - Rust source code
 This package contains the source for the Rust thread-scoped crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-threadpool-dev
Description-md5: 35f87a3db1495ec48f9efdfd117428f4
Description-en: Thread pool for running a number of jobs on a fixed set of worker threads - Rust source code
 This package contains the source for the Rust threadpool crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-time+rustc-serialize-dev
Description-md5: fd5da810d6e69b7a6806cbbf208024bd
Description-en: Utilities for working with time-related functions - feature "rustc-serialize"
 This metapackage enables feature rustc-serialize for the Rust time crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-time-dev
Description-md5: e9a7cec36bd63875f267ab865d4155a7
Description-en: Utilities for working with time-related functions - Rust source code
 This package contains the source for the Rust time crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-timerfd-dev
Description-md5: de233e364179352db7e722e62736dd16
Description-en: Rust interface to the Linux kernel's timerfd API - Rust source code
 This package contains the source for the Rust timerfd crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tinytemplate-dev
Description-md5: 8275541d9af7a24222c1795459a42531
Description-en: Simple, lightweight template engine - Rust source code
 This package contains the source for the Rust tinytemplate crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tls-parser+cookie-factory-dev
Description-md5: 6404f332d0cf0dda43d99450792ddde0
Description-en: Parser for the TLS protocol - feature "cookie-factory" and 1 more
 This metapackage enables feature "cookie-factory" for the Rust tls-parser
 crate, by pulling in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "serialize" feature.

Package: librust-tls-parser-dev
Description-md5: cb817ad4ff82ae0757fed05cf9a68b58
Description-en: Parser for the TLS protocol - Rust source code
 This package contains the source for the Rust tls-parser crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio+async-await-preview-dev
Description-md5: 63da0a1d53f7a41c57b518c809b6f3fd
Description-en: Event-driven, non-blocking I/O platform - feature "async-await-preview"
 This metapackage enables feature async-await-preview for the Rust tokio crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-tokio+bytes-dev
Description-md5: 872f064889883cc542dfaecb5d6bb78e
Description-en: Event-driven, non-blocking I/O platform - feature "bytes"
 This metapackage enables feature bytes for the Rust tokio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-tokio+codec-dev
Description-md5: 2587667be2ce595f3d0cbf4e0add2031
Description-en: Event-driven, non-blocking I/O platform - feature "codec"
 This metapackage enables feature codec for the Rust tokio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-tokio+default-dev
Description-md5: efc7774bc0c1e078f6e214be9c70f17c
Description-en: Event-driven, non-blocking I/O platform - feature "default"
 This metapackage enables feature default for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+fs-dev
Description-md5: 012473c0ea17d47a3dbb6b316ed71f36
Description-en: Event-driven, non-blocking I/O platform - feature "fs"
 This metapackage enables feature fs for the Rust tokio crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-tokio+io-dev
Description-md5: 73935f147f2a49c8e5d58a0719a9b31c
Description-en: Event-driven, non-blocking I/O platform - feature "io"
 This metapackage enables feature io for the Rust tokio crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-tokio+mio-dev
Description-md5: 04668169a712ec13c18db10a04f5847a
Description-en: Event-driven, non-blocking I/O platform - feature "mio"
 This metapackage enables feature mio for the Rust tokio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-tokio+num-cpus-dev
Description-md5: 10de24bd375be6c0e10a2cbc23c2f8b1
Description-en: Event-driven, non-blocking I/O platform - feature "num_cpus"
 This metapackage enables feature num_cpus for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+reactor-dev
Description-md5: c5ae487fdab48b1c50120eca50be8c4d
Description-en: Event-driven, non-blocking I/O platform - feature "reactor"
 This metapackage enables feature reactor for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+rt-full-dev
Description-md5: 57e8f2c1ba3d6b552de47840f759b027
Description-en: Event-driven, non-blocking I/O platform - feature "rt-full"
 This metapackage enables feature rt-full for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+tcp-dev
Description-md5: ecc658dfb1dc74de036027649765960c
Description-en: Event-driven, non-blocking I/O platform - feature "tcp"
 This metapackage enables feature tcp for the Rust tokio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-tokio+timer-dev
Description-md5: 7734cc4b779e4831d17824b261c4e191
Description-en: Event-driven, non-blocking I/O platform - feature "timer"
 This metapackage enables feature timer for the Rust tokio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-tokio+tokio-async-await-dev
Description-md5: c1d795caefa907ca95558dc8f567d5ab
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-async-await"
 This metapackage enables feature tokio-async-await for the Rust tokio crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-codec-dev
Description-md5: 6bfb3f37d081bc2a70845b93f73495c6
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-codec"
 This metapackage enables feature tokio-codec for the Rust tokio crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-current-thread-dev
Description-md5: fb46139b35a9ed752ecbf800e30a25ed
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-current-thread"
 This metapackage enables feature tokio-current-thread for the Rust tokio crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-executor-dev
Description-md5: aaf145664cf85c897f7cd3de50d11022
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-executor"
 This metapackage enables feature tokio-executor for the Rust tokio crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-fs-dev
Description-md5: 18e7e987ff8f404e809fa4397f5a3621
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-fs"
 This metapackage enables feature tokio-fs for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-io-dev
Description-md5: c9ca8fa7e388b301d6697b6e57a43a36
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-io"
 This metapackage enables feature tokio-io for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-reactor-dev
Description-md5: 6b5235a6e66518e77f180f1d3d833dc1
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-reactor"
 This metapackage enables feature tokio-reactor for the Rust tokio crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-tcp-dev
Description-md5: 78a566e62e0863715632dd23f2419b67
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-tcp"
 This metapackage enables feature tokio-tcp for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-threadpool-dev
Description-md5: cf32c078d62252cf3b233c09c10ad3e0
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-threadpool"
 This metapackage enables feature tokio-threadpool for the Rust tokio crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-timer-dev
Description-md5: 0e111a0b330d7b61f4bbd1c793e3cc6f
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-timer"
 This metapackage enables feature tokio-timer for the Rust tokio crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-udp-dev
Description-md5: 2c6318044217593375e5d56bb09e6145
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-udp"
 This metapackage enables feature tokio-udp for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+tokio-uds-dev
Description-md5: 7b3867a9e3eeaf7e209a78ef9e0792a8
Description-en: Event-driven, non-blocking I/O platform - feature "tokio-uds"
 This metapackage enables feature tokio-uds for the Rust tokio crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio+udp-dev
Description-md5: ad7056883e4ed2265102645cb2fad1ce
Description-en: Event-driven, non-blocking I/O platform - feature "udp"
 This metapackage enables feature udp for the Rust tokio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-tokio+uds-dev
Description-md5: ecc8bf4611338a234d21245cf841ebff
Description-en: Event-driven, non-blocking I/O platform - feature "uds"
 This metapackage enables feature uds for the Rust tokio crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-tokio-async-await+async-await-preview-dev
Description-md5: c0ce88c9f8a9e408ccd72392392447a2
Description-en: Experimental async/await support for Tokio - feature "async-await-preview"
 This metapackage enables feature async-await-preview for the Rust tokio-async-
 await crate, by pulling in any additional dependencies needed by
 that feature.

Package: librust-tokio-async-await-dev
Description-md5: 45ead37321444a47080967829bc4e058
Description-en: Experimental async/await support for Tokio - Rust source code
 This package contains the source for the Rust tokio-async-await crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-tokio-buf+either-dev
Description-md5: 40c59d4a894f9d7decc3079871d207ef
Description-en: Asynchronous stream of byte buffers - feature "either"
 This metapackage enables feature either for the Rust tokio-buf crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-tokio-buf+util-dev
Description-md5: 64e70ba69fa023f62dda47a6097deaa9
Description-en: Asynchronous stream of byte buffers - feature "util"
 This metapackage enables feature util for the Rust tokio-buf crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-tokio-buf-dev
Description-md5: b6752bb2c88760004704a7e3fb1e94d4
Description-en: Asynchronous stream of byte buffers - Rust source code
 This package contains the source for the Rust tokio-buf crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-codec-dev
Description-md5: 9bb4602a1a03d7ae6d7ed93123369f4d
Description-en: Utilities for encoding and decoding frames - Rust source code
 This package contains the source for the Rust tokio-codec crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-core-dev
Description-md5: 650c5c7ad73997ca8a99af128ebc3e1b
Description-en: Core I/O and event loop primitives for asynchronous I/O - Rust source code
 Foundation for the rest of the tokio crates.
 .
 This package contains the source for the Rust tokio-core crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-current-thread-dev
Description-md5: 9f569dbc7169528bc261cd04f6703e31
Description-en: Manage many tasks concurrently on the current thread - Rust source code
 This package contains the source for the Rust tokio-current-thread crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-tokio-dev
Description-md5: 5b73b6ea3f73f3c57166d2a78e8a4992
Description-en: Event-driven, non-blocking I/O platform - Rust source code
 This package contains the source for the Rust tokio crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-tokio-executor-dev
Description-md5: 1d0777a6d4941c1d599a024b61bd87bb
Description-en: Future execution primitives - Rust source code
 This package contains the source for the Rust tokio-executor crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-fs-dev
Description-md5: e140d9ba845cf1f6fe9e93a9307d4aae
Description-en: Filesystem API for Tokio - Rust source code
 This package contains the source for the Rust tokio-fs crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-io-dev
Description-md5: 8b43bd0e9bf37af0193c55beb3ce52b3
Description-en: Core I/O primitives for asynchronous I/O in Rust - Rust source code
 This package contains the source for the Rust tokio-io crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-process-dev
Description-md5: d58ea2df31444d9f62b2b6cb6f526f4c
Description-en: An asynchronous process management backed futures - Rust source code
 This package contains the source for the Rust tokio-process crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-reactor-dev
Description-md5: 07eabb320ba20cc7e0ec5ba4953b5dff
Description-en: Event loop that drives Tokio I/O resources - Rust source code
 This package contains the source for the Rust tokio-reactor crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-signal-dev
Description-md5: 55c6ff2477119141dc5626db82c684f8
Description-en: Asynchronous Unix signal handling backed futures - Rust source code
 This package contains the source for the Rust tokio-signal crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-sync-dev
Description-md5: cd2a8192ae4a82744319f7e3ecc9e280
Description-en: Synchronization utilities - Rust source code
 This package contains the source for the Rust tokio-sync crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-tcp-dev
Description-md5: 8fc6911cbaa0abb111dc0dd1f7cd80b3
Description-en: TCP bindings for tokio - Rust source code
 This package contains the source for the Rust tokio-tcp crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-threadpool-dev
Description-md5: a357bc17068f816647cd504c29c1ad29
Description-en: Task scheduler backed by a work-stealing thread pool - Rust source code
 This package contains the source for the Rust tokio-threadpool crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-tokio-timer-dev
Description-md5: 898717c7b848b1ddbb815b758eecbdf9
Description-en: Timer facilities for Tokio - Rust source code
 This package contains the source for the Rust tokio-timer crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-udp-dev
Description-md5: ccf557ca1ff2c61352e79596b387ade3
Description-en: UDP bindings for tokio - Rust source code
 This package contains the source for the Rust tokio-udp crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-tokio-uds-dev
Description-md5: 83ef0e926a912b7a19e2467683488bec
Description-en: Unix Domain sockets for Tokio - Rust source code
 This package contains the source for the Rust tokio-uds crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-toml+indexmap-dev
Description-md5: baed1f26f573949dbe6db5b8d440ce01
Description-en: Native encoder and decoder of TOML-formatted data - feature "indexmap" and 1 more
 Provides implementations of the standard Serialize/Deserialize traits for TOML
 data to facilitate deserializing and serializing Rust structures.
 .
 This metapackage enables feature "indexmap" for the Rust toml crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "preserve_order" feature.

Package: librust-toml-dev
Description-md5: 5a8767be5000bfd46b21bcf7fed42d1f
Description-en: Native encoder and decoder of TOML-formatted data - Rust source code
 Provides implementations of the standard Serialize/Deserialize traits for TOML
 data to facilitate deserializing and serializing Rust structures.
 .
 This package contains the source for the Rust toml crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-try-from-dev
Description-md5: f5a0fbc564220481e72a28419e83a2ca
Description-en: TryFrom and TryInto traits for failable conversions - Rust source code
 This package contains the source for the Rust try_from crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-try-lock-dev
Description-md5: 37b1d7e39a4b7cee0ca509f38eb6374e
Description-en: Lightweight atomic lock - Rust source code
 This package contains the source for the Rust try-lock crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ttf-parser-dev
Description-md5: a0ef476fbbf6eeada74b0daac34a6b8c
Description-en: High-level, safe, zero-allocation TrueType font parser - Rust source code
 This package contains the source for the Rust ttf-parser crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-typed-arena-dev
Description-md5: 9d8a1dbd6dd9dd523f84e835097b0599
Description-en: Arena, a fast but limited type of allocator - Rust source code
 This package contains the source for the Rust typed-arena crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-typenum-dev
Description-md5: ce9e1a148a7812f2a17566a46b63b1f5
Description-en: Type-level numbers evaluated at compile time - Rust source code
 It currently supports bits, unsigned integers, and signed integers. It also
 provides a type-level array of type-level numbers, but its implementation is
 incomplete.
 .
 This package contains the source for the Rust typenum crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ucd-parse-dev
Description-md5: ee1fc60374e521c3736fe2b94d335dca
Description-en: Parsing data files in the Unicode character database - Rust source code
 This package contains the source for the Rust ucd-parse crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ucd-trie-dev
Description-md5: 1332ea4720ba71fa61246c39bf66e094
Description-en: Trie for storing Unicode codepoint sets and maps - Rust source code
 This package contains the source for the Rust ucd-trie crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-ucd-util-dev
Description-md5: 7a8593ea4b3f844446c4b9bd47d3813a
Description-en: Small utility library for working with the Unicode character database - Rust source code
 This package contains the source for the Rust ucd-util crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unicase-dev
Description-md5: d82f4cfd71f418e9afba2c42c46b69ad
Description-en: Case-insensitive wrapper around strings - Rust source code
 This package contains the source for the Rust unicase crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unicode-bidi+serde-dev
Description-md5: b27f828892363aba280bce0bd654a46c
Description-en: The Unicode Bidirectional Algorithm - feature "serde"
 This metapackage enables feature serde for the Rust unicode-bidi crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-unicode-bidi+with-serde-dev
Description-md5: 3fe64841db55dbd8b3a853cf4d5ae93a
Description-en: The Unicode Bidirectional Algorithm - feature "with_serde"
 This metapackage enables feature with_serde for the Rust unicode-bidi crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-unicode-bidi-dev
Description-md5: 0de9c097bed25f626746df1888441ea0
Description-en: The Unicode Bidirectional Algorithm - Rust source code
 This package contains the source for the Rust unicode-bidi crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unicode-normalization-dev
Description-md5: 115dbd70613619b341bb8782926ea98e
Description-en: Functions for normalization of Unicode strings, including Canonical and Compatible Decomposition and Recomposition, as described in Unicode Standard Annex #15 - Rust source code
 This package contains the source for the Rust unicode-normalization crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-unicode-segmentation-dev
Description-md5: ad84626a11469d9c393074b7395f1395
Description-en: Grapheme Cluster, Word and Sentence boundaries according to Unicode Standard Annex #29 rules - Rust source code
 This package contains the source for the Rust unicode-segmentation crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-unicode-vo-dev
Description-md5: aaada5c6e7e78e729a03b1410092e9dd
Description-en: Unicode vertical orientation detection - Rust source code
 This package contains the source for the Rust unicode-vo crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unicode-width-dev
Description-md5: d03062efab40d2bde900eb7e2a997b7d
Description-en: Determine displayed width of `char` and `str` types according to Unicode Standard Annex #11 rules - Rust source code
 This package contains the source for the Rust unicode-width crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unicode-xid-dev
Description-md5: 8c80edfaa534aaf5c3816a1487463550
Description-en: Determine whether characters have the XID_Start or XID_Continue properties according to Unicode Standard Annex #31 - Rust source code
 This package contains the source for the Rust unicode-xid crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unindent-dev
Description-md5: 2e2699950077b218d6ac9a687955fb12
Description-en: Remove a column of leading whitespace from a string - Rust source code
 This package contains the source for the Rust unindent crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-universal-hash-dev
Description-md5: a97e1d49b502d561b57a14eaf45dbaf2
Description-en: Trait for universal hash functions - Rust source code
 This package contains the source for the Rust universal-hash crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unix-socket-dev
Description-md5: f3995ddbc5daa339f8969948bb31aed9
Description-en: Unix domain socket bindings - Rust source code
 This package contains the source for the Rust unix_socket crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unreachable-dev
Description-md5: 4d9f3dcfddc28e5cbc04c64b3f309e3f
Description-en: Unreachable code optimization hint in stable rust - Rust source code
 This package contains the source for the Rust unreachable crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-untrusted-dev
Description-md5: ef54ec94b7b2b5b0781b1391a368f0e5
Description-en: Safe, fast, zero-allocation parsing of untrusted inputs - Rust source code
 This package contains the source for the Rust untrusted crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unveil-dev
Description-md5: d85fa654f4f2bc6fa00221d770a61565
Description-en: Rust binding for OpenBSD's unveil(2) - Rust source code
 This package contains the source for the Rust unveil crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-unwrap-dev
Description-md5: 52025be79a115da78f49788be0f0ed0f
Description-en: Unwrap! and an unwrap_err! macro - Rust source code
 This package contains the source for the Rust unwrap crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-url+serde-dev
Description-md5: f375854296e5eeb33731bf5928b93e6c
Description-en: URL library for Rust - feature "serde"
 This metapackage enables feature serde for the Rust url crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-url-dev
Description-md5: 57818f66f4695f6d5d4082d4d1430ffd
Description-en: URL library for Rust - Rust source code
 This package contains the source for the Rust url crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-urlencoding-dev
Description-md5: e0a2a2934aa4cb114eec462b3a95102a
Description-en: Doing URL percentage encoding - Rust source code
 This package contains the source for the Rust urlencoding crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-users+default-dev
Description-md5: d4f8df700c5c427fe89a249e916453ed
Description-en: Getting information on Unix users and groups - feature "default"
 This metapackage enables feature "default" for the Rust users crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-users-dev
Description-md5: 5eea8d0484fb5ecc420d19c23148b5e3
Description-en: Getting information on Unix users and groups - Rust source code
 This package contains the source for the Rust users crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-utf-8-dev
Description-md5: 0b6a4c3a8993384c615e1841f4e687a8
Description-en: Incremental, zero-copy UTF-8 decoding with error handling - Rust source code
 This package contains the source for the Rust utf-8 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-utf8-ranges-dev
Description-md5: 63f6fc089c2dabc6ebf7b558162113d3
Description-en: DEPRECATED - Rust source code
 Use regex-syntax::utf8 submodule instead.
 .
 This package contains the source for the Rust utf8-ranges crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-utf8parse-dev
Description-md5: 869e7b935755e665d6be17b2205b6d43
Description-en: Table-driven UTF-8 parser - Rust source code
 This package contains the source for the Rust utf8parse crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-uuid+guid-dev
Description-md5: a6b3c1ce969068fbec5ac05ba83e77e1
Description-en: Generate and parse UUIDs - feature "guid" and 1 more
 This metapackage enables feature "guid" for the Rust uuid crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "winapi" feature.

Package: librust-uuid+md5-dev
Description-md5: d84cdceeab6bcb260dfbe1a33204f34a
Description-en: Generate and parse UUIDs - feature "md5" and 1 more
 This metapackage enables feature "md5" for the Rust uuid crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "v3" feature.

Package: librust-uuid+rand-dev
Description-md5: 0e3bd655c00de0f73a579090296ab814
Description-en: Generate and parse UUIDs - feature "rand" and 1 more
 This metapackage enables feature "rand" for the Rust uuid crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "v4" feature.

Package: librust-uuid+serde-dev
Description-md5: f73f187192e8671b0335fcc4d22e7dcb
Description-en: Generate and parse UUIDs - feature "serde"
 This metapackage enables feature "serde" for the Rust uuid crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-uuid+sha1-dev
Description-md5: 8d6795e1ba315a2ed0b572a68d3ee9fc
Description-en: Generate and parse UUIDs - feature "sha1" and 1 more
 This metapackage enables feature "sha1" for the Rust uuid crate, by pulling in
 any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "v5" feature.

Package: librust-uuid+slog-dev
Description-md5: 7e068763050f807dff5363b69b0e4f22
Description-en: Generate and parse UUIDs - feature "slog"
 This metapackage enables feature "slog" for the Rust uuid crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-uuid+stdweb-dev
Description-md5: e17723dcf694676f0b5c9d2323bdec2d
Description-en: Generate and parse UUIDs - feature "stdweb"
 This metapackage enables feature "stdweb" for the Rust uuid crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-uuid+wasm-bindgen-dev
Description-md5: 108393ef648992ee07ccb680dcf50306
Description-en: Generate and parse UUIDs - feature "wasm-bindgen"
 This metapackage enables feature "wasm-bindgen" for the Rust uuid crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-uuid-dev
Description-md5: 95731394d1e09628082b3ba2c6149b22
Description-en: Generate and parse UUIDs - Rust source code
 This package contains the source for the Rust uuid crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-vcpkg-dev
Description-md5: 69a2886e35bb5161f1eaf035fefcfa75
Description-en: Find native dependencies in a vcpkg tree at build time - Rust source code
 This package contains the source for the Rust vcpkg crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-vec-map+eders-dev
Description-md5: 79782319e13013845053f9e16f120251
Description-en: Simple map based on a vector for small integer keys - feature "eders"
 This metapackage enables feature eders for the Rust vec_map crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-vec-map+serde-dev
Description-md5: 41e8ac6cea07c49939d68d440cba7fe3
Description-en: Simple map based on a vector for small integer keys - feature "serde"
 This metapackage enables feature serde for the Rust vec_map crate, by pulling
 in any additional dependencies needed by that feature.

Package: librust-vec-map-dev
Description-md5: d8f84890914f5b205ab2fa5a16deac2f
Description-en: Simple map based on a vector for small integer keys - Rust source code
 This package contains the source for the Rust vec_map crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-version-check-dev
Description-md5: 5bccfa42e24edcf2e07e1b9d40349233
Description-en: Tiny crate to check the version of the installed/running rustc - Rust source code
 This package contains the source for the Rust version_check crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-version-compare-dev
Description-md5: 3f6eec03a0a81e080270f6f597758951
Description-en: Easily compare version numbers, and test them against various comparison operators - Rust source code
 This package contains the source for the Rust version-compare crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librust-void-dev
Description-md5: f8f33b091910ce394edb9cc46d3d7b38
Description-en: Uninhabited void type for use in statically impossible cases - Rust source code
 This package contains the source for the Rust void crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-vte-dev
Description-md5: 999d53a75aae5bc6fd9a2a1bd79117d5
Description-en: Parser for implementing terminal emulators - Rust source code
 This package contains the source for the Rust vte crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-wait-timeout-dev
Description-md5: 860cf94c5be0df0ad291f50a481a2482
Description-en: Wait on a child process with a timeout, cross-platform - Rust source code
 This package contains the source for the Rust wait-timeout crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-walkdir-dev
Description-md5: 006a0fd78a5630dbb4fd76b77535b156
Description-en: Recursively walk a directory - Rust source code
 This package contains the source for the Rust walkdir crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-want-dev
Description-md5: 6e8c8917a6e672a0767cbd26c53db120
Description-en: Detect when another Future wants a result - Rust source code
 This package contains the source for the Rust want crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-wasm-bindgen+default-dev
Description-md5: 8949976941d2ca108818c3b6ec4abe75
Description-en: Easy support for JS and Rust interaction - feature "default"
 This metapackage enables feature "default" for the Rust wasm-bindgen crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-wasm-bindgen+serde-dev
Description-md5: ad055b9c23c93dd22b6cd689e73e5c4f
Description-en: Easy support for JS and Rust interaction - feature "serde"
 This metapackage enables feature "serde" for the Rust wasm-bindgen crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-wasm-bindgen+serde-json-dev
Description-md5: 5d016c8ab564bc296c29fe51c9663094
Description-en: Easy support for JS and Rust interaction - feature "serde_json"
 This metapackage enables feature "serde_json" for the Rust wasm-bindgen crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-wasm-bindgen+serde-serialize-dev
Description-md5: d060d8c453484e998a25e99d63c9a95d
Description-en: Easy support for JS and Rust interaction - feature "serde-serialize"
 This metapackage enables feature "serde-serialize" for the Rust wasm-bindgen
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-wasm-bindgen+spans-dev
Description-md5: d61f67ba004f512d75978d48eaac67fb
Description-en: Easy support for JS and Rust interaction - feature "spans"
 This metapackage enables feature "spans" for the Rust wasm-bindgen crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-wasm-bindgen+strict-macro-dev
Description-md5: 646db5b74c9d2c5ec020c1757137dfd7
Description-en: Easy support for JS and Rust interaction - feature "strict-macro"
 This metapackage enables feature "strict-macro" for the Rust wasm-bindgen
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev
Description-md5: 46f4684a6d856df0f9112778084b4f3e
Description-en: Easy support for JS and Rust interaction - feature "xxx_debug_only_print_generated_code"
 This metapackage enables feature "xxx_debug_only_print_generated_code" for the
 Rust wasm-bindgen crate, by pulling in any additional dependencies needed by
 that feature.

Package: librust-wasm-bindgen-backend+extra-traits-dev
Description-md5: f961b1c6203df601f47e629c7f46238c
Description-en: Backend code generation of the wasm-bindgen tool - feature "extra-traits"
 This metapackage enables feature "extra-traits" for the Rust wasm-bindgen-
 backend crate, by pulling in any additional dependencies needed by
 that feature.

Package: librust-wasm-bindgen-backend-dev
Description-md5: 2c562bb733625fb37a22cb07c84ac22c
Description-en: Backend code generation of the wasm-bindgen tool - Rust source code
 This package contains the source for the Rust wasm-bindgen-backend crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-wasm-bindgen-dev
Description-md5: faf128377735d17f663cae29ec3f408c
Description-en: Easy support for JS and Rust interaction - Rust source code
 This package contains the source for the Rust wasm-bindgen crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-wasm-bindgen-macro+spans-dev
Description-md5: 26ba9f55ce4d65834e6faceabb57fa48
Description-en: Definition of the `#[wasm_bindgen]` attribute - feature "spans"
 This metapackage enables feature "spans" for the Rust wasm-bindgen-macro crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-wasm-bindgen-macro+strict-macro-dev
Description-md5: 0025ff6c82236893f19b0889db86643d
Description-en: Definition of the `#[wasm_bindgen]` attribute - feature "strict-macro"
 This metapackage enables feature "strict-macro" for the Rust wasm-bindgen-macro
 crate, by pulling in any additional dependencies needed by that feature.

Package: librust-wasm-bindgen-macro-dev
Description-md5: 776d97733218d03787b9ab37d7771559
Description-en: Definition of the `#[wasm_bindgen]` attribute - Rust source code
 This package contains the source for the Rust wasm-bindgen-macro crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-wasm-bindgen-macro-support+extra-traits-dev
Description-md5: 35deca28acdf144152b31cc6841c54d4
Description-en: Implementation of the `#[wasm_bindgen]` attribute - feature "extra-traits"
 This metapackage enables feature "extra-traits" for the Rust wasm-bindgen-
 macro-support crate, by pulling in any additional dependencies
 needed by that feature.

Package: librust-wasm-bindgen-macro-support+spans-dev
Description-md5: 8a829e30f83a416648108de3fa8ba92c
Description-en: Implementation of the `#[wasm_bindgen]` attribute - feature "spans"
 This metapackage enables feature "spans" for the Rust wasm-bindgen-macro-
 support crate, by pulling in any additional dependencies
 needed by that feature.

Package: librust-wasm-bindgen-macro-support-dev
Description-md5: 09166282314295254df26ef26f859b36
Description-en: Implementation of the `#[wasm_bindgen]` attribute - Rust source code
 This package contains the source for the Rust wasm-bindgen-macro-support crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-wasm-bindgen-shared-dev
Description-md5: 36506785d82fd6694d5cacac98e2dfa3
Description-en: Shared support of wasm-bindgen and wasm-bindgen cli - Rust source code
 This package contains the source for the Rust wasm-bindgen-shared crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-wasm-bindgen-webidl-dev
Description-md5: b5525f53d669531a07befa2d1a54ba30
Description-en: Support for parsing WebIDL specific to wasm-bindgen - Rust source code
 This package contains the source for the Rust wasm-bindgen-webidl crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-webbrowser-dev
Description-md5: 03d6352bd9cbe20d459f366db9c4b4d9
Description-en: Open URLs in web browsers available on a platform - Rust source code
 This package contains the source for the Rust webbrowser crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-weedle-dev
Description-md5: 75c82d6bbeabc369c2e87e19c3371d62
Description-en: WebIDL Parser - Rust source code
 This package contains the source for the Rust weedle crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-which+failure-dev
Description-md5: b2e96c070039eb13e6baae84b263f79e
Description-en: Rust equivalent of Unix command "which" - feature "failure" and 2 more
 Locate installed executable in cross platforms.
 .
 This metapackage enables feature "failure" for the Rust which crate, by pulling
 in any additional dependencies needed by that feature.
 .
 Additionally, this package also provides the "default", and "use_failure"
 features.

Package: librust-which-dev
Description-md5: d0394a8b833642b52d1afe3f9f767a91
Description-en: Rust equivalent of Unix command "which" - Rust source code
 Locate installed executable in cross platforms.
 .
 This package contains the source for the Rust which crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-widestring-dev
Description-md5: b5671edfb9b80317bd316518fa49d37d
Description-en: Library for converting to and from wide strings - Rust source code
 Both UTF-16 and UTF-32 types are provided.
 .
 This package contains the source for the Rust widestring crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-wild-dev
Description-md5: cd7fcf2a6c26c2af4e67d7ca74472239
Description-en: Glob (wildcard) expanded command-line arguments on Windows - Rust source code
 This package contains the source for the Rust wild crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-winapi-build-dev
Description-md5: bbfed51435dcf94239e3a9001be06e82
Description-en: Common code for build.rs in WinAPI -sys crates - Rust source code
 This package contains the source for the Rust winapi-build crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-winapi-dev
Description-md5: 821b11c73d75f1725d657149cfcc63f2
Description-en: Raw FFI bindings for all of Windows API - Rust source code
 This package contains the source for the Rust winapi crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-winapi-i686-pc-windows-gnu-dev
Description-md5: bf0f2f7764d9865841e4192add28a8c6
Description-en: Import libraries for the i686-pc-windows-gnu target - Rust source code
 Please don't use this crate directly, depend on winapi instead.
 .
 This package contains the source for the Rust winapi-i686-pc-windows-gnu crate,
 packaged by debcargo for use with cargo and dh-cargo.

Package: librust-winapi-util-dev
Description-md5: 5a9fdd232c82c1a8fd358756c7b6fd67
Description-en: Dumping ground for high level safe wrappers over winapi - Rust source code
 This package contains the source for the Rust winapi-util crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-winapi-x86-64-pc-windows-gnu-dev
Description-md5: f2ffa7ab51f59080e0748a3e83a58dda
Description-en: Import libraries for the x86_64-pc-windows-gnu target - Rust source code
 Please don't use this crate directly, depend on winapi instead.
 .
 This package contains the source for the Rust winapi-x86_64-pc-windows-gnu
 crate, packaged by debcargo for use with cargo and dh-cargo.

Package: librust-wincolor-dev
Description-md5: aaeeeadcd7becc39541ee7a970db5922
Description-en: Simple Windows specific API for controlling text color in a Windows console - Rust source code
 This package contains the source for the Rust wincolor crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-winreg+serde-dev
Description-md5: c261bb59d6e480b762ea3f4d5b49d044
Description-en: Rust bindings to MS Windows Registry API - feature "serde"
 This metapackage enables feature serde for the Rust winreg crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-winreg+serialization-serde-dev
Description-md5: cd88b0160e3688178b67c6d5600f695f
Description-en: Rust bindings to MS Windows Registry API - feature "serialization-serde"
 This metapackage enables feature serialization-serde for the Rust winreg crate,
 by pulling in any additional dependencies needed by that feature.

Package: librust-winreg+transactions-dev
Description-md5: 96eb06da1a121191824536fec98978c4
Description-en: Rust bindings to MS Windows Registry API - feature "transactions"
 This metapackage enables feature transactions for the Rust winreg crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-winreg-dev
Description-md5: 3dcfe7a6e7a76d8fd825a42e93aa8847
Description-en: Rust bindings to MS Windows Registry API - Rust source code
 This package contains the source for the Rust winreg crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-winutil-dev
Description-md5: 78a7c848a04a97195a8d775e47b7bcff
Description-en: Simple wrappers around a handful of useful winapi calls - Rust source code
 This package contains the source for the Rust winutil crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-x11-dev
Description-md5: c7c2d047dca858959cbca1e5ce690682
Description-en: X11 library bindings for Rust - Rust source code
 This package contains the source for the Rust x11 crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-xattr-dev
Description-md5: 7ad28387d5d7261174a31171c515503a
Description-en: Unix extended filesystem attributes - Rust source code
 This package contains the source for the Rust xattr crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-xdg-dev
Description-md5: 9bc2917dc3116a858582163a0b764724
Description-en: XDG Base Directory specification implementation - Rust source code
 This package contains the source for the Rust xdg crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-xi-unicode-dev
Description-md5: 28a653fa24f0f744fed2c85128059a76
Description-en: Unicode utilities useful for text editing, including a line breaking iterator - Rust source code
 This package contains the source for the Rust xi-unicode crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-xml-rs-dev
Description-md5: 08c8872f392f597e9ed3f97ca4d074e5
Description-en: XML library in pure Rust - Rust source code
 This package contains the source for the Rust xml-rs crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-xmlparser-dev
Description-md5: f89655a9ce47652f85d3d9cec66e1278
Description-en: Pull-based, zero-allocation XML parser - Rust source code
 This package contains the source for the Rust xmlparser crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-xmlwriter-dev
Description-md5: 28e10443b71922e011e4e4c45a82e047
Description-en: Simple, streaming XML writer - Rust source code
 This package contains the source for the Rust xmlwriter crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-yaml-dev
Description-md5: 414a7927ab01a0a6a65af5db9b8d51f1
Description-en: LibYAML binding for Rust - Rust source code
 This package contains the source for the Rust yaml crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-yaml-rust-dev
Description-md5: 0673b6e1fb151efac530cd139b45a664
Description-en: Missing YAML 1.2 parser for rust - Rust source code
 This package contains the source for the Rust yaml-rust crate, packaged by
 debcargo for use with cargo and dh-cargo.

Package: librust-zip+bzip2-dev
Description-md5: f114bbfe5453798081b06e72be942bde
Description-en: Support the reading and writing of zip files - feature "bzip2"
 This metapackage enables feature bzip2 for the Rust zip crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-zip+default-dev
Description-md5: 3d8608622f4324b73b20c03f78453188
Description-en: Support the reading and writing of zip files - feature "default"
 This metapackage enables feature default for the Rust zip crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-zip+deflate-dev
Description-md5: a7e3c8073444f859da4d652edeba9e82
Description-en: Support the reading and writing of zip files - feature "deflate"
 This metapackage enables feature deflate for the Rust zip crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-zip+deflate-miniz-dev
Description-md5: 26b5fe6bb8dfed204cad617d67a532b8
Description-en: Support the reading and writing of zip files - feature "deflate-miniz"
 This metapackage enables feature deflate-miniz for the Rust zip crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-zip+deflate-zlib-dev
Description-md5: c1bee71e727480e13130e4f1edd6211f
Description-en: Support the reading and writing of zip files - feature "deflate-zlib"
 This metapackage enables feature deflate-zlib for the Rust zip crate, by
 pulling in any additional dependencies needed by that feature.

Package: librust-zip+flate2-dev
Description-md5: 3748b03e5ca683d0af1d8c663cf8bf4d
Description-en: Support the reading and writing of zip files - feature "flate2"
 This metapackage enables feature flate2 for the Rust zip crate, by pulling in
 any additional dependencies needed by that feature.

Package: librust-zip+time-dev
Description-md5: d43f4bd3e5ef0cbd94426845707ea3e7
Description-en: Support the reading and writing of zip files - feature "time"
 This metapackage enables feature time for the Rust zip crate, by pulling in any
 additional dependencies needed by that feature.

Package: librust-zip-dev
Description-md5: b89f398980019f04aa31a30c189091bc
Description-en: Support the reading and writing of zip files - Rust source code
 This package contains the source for the Rust zip crate, packaged by debcargo
 for use with cargo and dh-cargo.

Package: librust-zoneinfo-compiled-dev
Description-md5: da869076997017e7a4b4f1c67fa066b3
Description-en: Parsing compiled zoneinfo files - Rust source code
 This package contains the source for the Rust zoneinfo_compiled crate, packaged
 by debcargo for use with cargo and dh-cargo.

Package: librviz-dev
Description-md5: eb4f7b91261b71e196c6794781468b99
Description-en: Development files for the Robot OS 3D visualization tool
 This package is part of Robot OS (ROS) RViz package. RViz is a tool to
 visualize ROS messages and the state of the robot.
 .
 This package contains the development files for the rviz library.

Package: librviz4d
Description-md5: 0d9b39fb173944b1d6077b9eabc23098
Description-en: Library for the Robot OS 3D visualization tool
 This package is part of Robot OS (ROS) RViz package. RViz is a tool to
 visualize ROS messages and the state of the robot.
 .
 This package contains the rviz library.

Package: librw-dev
Description-md5: e7c19bacded08e67a1ecb7fde93f2041
Description-en: Compute rank-width and rank-decompositions of graphs (development)
 Compute rank-width and rank-decompositions of graphs. It is based on
 ideas from "Computing rank-width exactly" by Sang-il Oum, "Sopra una
 formula numerica" by Ernesto Pascal, "Generation of a Vector from the
 Lexicographical Index" by B.P. Buckles and M. Lybanon and "Fast
 additions on masked integers" by Michael D. Adams and David S. Wise.
 .
 This package contains the development files for the library.

Package: librw0
Description-md5: e73dd12308fc723bf08d56fee8acf4c8
Description-en: Compute rank-width and rank-decompositions of graphs
 Compute rank-width and rank-decompositions of graphs. It is based on
 ideas from "Computing rank-width exactly" by Sang-il Oum, "Sopra una
 formula numerica" by Ernesto Pascal, "Generation of a Vector from the
 Lexicographical Index" by B.P. Buckles and M. Lybanon and "Fast
 additions on masked integers" by Michael D. Adams and David S. Wise.
 .
 This package contains the library itself.

Package: librxp-dev
Description-md5: b3ea4cb1f6086a2d3a24d28b66d7803e
Description-en: Development files for librxp
 XML is a metalanguage to let you design your own markup language. librxp is
 a library that can be used to parse and validate XML documents.
 .
 This package contains the static library and header files used in
 development.

Package: librxp0
Description-md5: 6b578b61060b3620a45d134694a43e55
Description-en: Shared library for XML parsing and validating
 XML is a metalanguage to let you design your own markup language. This
 library can be used to parse and validate XML documents.

Package: librxtx-java
Description-md5: 5574a55ba7d39c43255d9020bc086491
Description-en: Full Java CommAPI implementation
 RXTX is a full implementation of the Java CommAPI from Sun. It
 contains  native libraries providing serial and parallel
 communication for the Java Development Toolkit.

Package: libs3-2
Description-md5: eb85f7da5574dc8195aa83358c98e894
Description-en: C Library and Tools for Amazon S3 Access
 This package includes the libs3 shared object library, needed to run
 applications compiled against libs3, and additionally contains the s3
 utility for accessing Amazon S3.

Package: libs3-dev
Description-md5: e05795dcf95b10c133f29bd0403dc3c8
Description-en: C Development Library for Amazon S3 Access
 This package includes the libs3 header, needed to compile applications
 against libs3.

Package: libs3d-dev
Description-md5: 80a5ff066fd8e3877d492471152fb743
Description-en: 3d network display server library - development files
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides the header files for building your own s3d programs.

Package: libs3d2
Description-md5: e8df00cc7a2c2fb64236995ce261ff17
Description-en: 3d network display server library
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides the library for communication with the display server.

Package: libs3dw-dev
Description-md5: abf5abd660becd95f12f20c51d22dda3
Description-en: 3d network display server widget library - development files
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides the header files for using widgets in your s3d programs.

Package: libs3dw2
Description-md5: a9f5ee155f06905bbf77787b4ea5491f
Description-en: 3d network display server widget library
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides the widget library.

Package: libs6-2.9
Description-md5: b55e6190c8eb81d2d82b379960df40e1
Description-en: small and secure supervision software suite (shared library)
 s6 is a small suite of programs for UNIX, designed to allow process
 supervision (a.k.a service supervision), in the line of daemontools and
 runit, as well as various operations on processes and daemons. It is meant
 to be a toolbox for low-level process and service administration, providing
 different sets of independent tools that can be used within or without the
 framework, and that can be assembled together to achieve powerful
 functionality with a very small amount of code.
 .
 This package contains shared libraries.

Package: libs6-dev
Description-md5: a950346b67dfb040fcaf5bdfddacfc3c
Description-en: small and secure supervision software suite (development files)
 s6 is a small suite of programs for UNIX, designed to allow process
 supervision (a.k.a service supervision), in the line of daemontools and
 runit, as well as various operations on processes and daemons. It is meant
 to be a toolbox for low-level process and service administration, providing
 different sets of independent tools that can be used within or without the
 framework, and that can be assembled together to achieve powerful
 functionality with a very small amount of code.
 .
 This package contains static and header files.

Package: libsaaj-java
Description-md5: a645b49a8b642a1632cecb62de0fa2c6
Description-en: SOAP with Attachment API for Java
 The SOAP with Attachments API for Java (SAAJ) provides the API for
 creating and building SOAP messages. The SAAJ API conforms to the
 Simple Object Access Protocol (SOAP) 1.1 and 1.2 specifications, and
 the SOAP with Attachments specification.
 .
 The SAAJ API defines the javax.xml.soap package which was integrated
 to the JRE since Java 6 and was eventually removed in Java 11.

Package: libsaaj-ri-java
Description-md5: 7e9badbc9734bf36b9391ec693e1d0b8
Description-en: SOAP with Attachments API for Java - Reference Implementation
 The SOAP with Attachments API for Java (SAAJ) provides the API for creating
 and sending SOAP messages by means of the javax.xml.soap package. It is used
 for the SOAP messaging that goes on behind the scenes in JAX-WS, JAX-RPC,
 and JAXR implementations. SOAP Handlers in JAX-WS use SAAJ APIs to access
 the SOAP Message. Developers can also use it to write SOAP messaging
 applications directly instead of using JAX-WS/JAX-RPC.

Package: libsac-java
Description-md5: a41adb06be2ab041c923bdcab00d5c86
Description-en: Simple API for CSS Java library
 SAC is a standard interface for CSS parser and supposed to work
 with CSS1, CSS2, CSS3 (currently under development) and other CSS derived
 languages.
 .
 This package contains the SAC Java library.

Package: libsac-java-doc
Description-md5: ca901078f9e14412e0bb32f13e093249
Description-en: Simple API for CSS Java library (documentation)
 SAC is a standard interface for CSS parser and supposed to work
 with CSS1, CSS2, CSS3 (currently under development) and other CSS derived
 languages.
 .
 This package contains the SAC Java library javadoc documentation.

Package: libsafe-hole-perl
Description-md5: 9c3ed064de8b5037f2d8439aba3cf79a
Description-en: Perl module which makes a "hole" in the Safe compartment
 libsafe-hole-perl allows externally defined routines and methods
 of objects to be called from within the Safe compartment.  However,
 those subroutines and methods are executed within the Safe compartment,
 so they are unable to call other subroutines which are dynamically
 qualified with the package name such as class methods.
 .
 Through Safe::Hole, we can execute externally defined subroutines in
 the original main compartment from the Safe compartment.

Package: libsafe-iop-dev
Description-md5: 34174d19b26c310a9b0aa15295421f85
Description-en: Safe integer operation library for C - Development files
 This library provides a collection of (macro-based) functions for performing
 safe integer operations across platform and architecture with a straightforward
 API.
 .
 This package provides the development files for the dynamic library as well as
 the header-only library.

Package: libsafe-iop0
Description-md5: 518693da04fe017812c882558ea2f6aa
Description-en: Safe integer operation library for C - Dynamic library
 This library provides a collection of (macro-based) functions for performing
 safe integer operations across platform and architecture with a straightforward
 API.
 .
 This package provides the dynamically linked library. The dynamic library
 supplies a format-string based interface which is in pre-alpha.

Package: libsafe-isa-perl
Description-md5: f02c9f25d02013e33831d0f51d2b581b
Description-en: module for safely calling isa and friends on things that may not be objects
 Since Perl allows providing a subroutine reference, or a method name, to
 the -> operator (when used as a method call) and a subroutine doesn't require
 the invocant to actually be an object, Safe::Isa can be used to create safe
 versions of isa, can, does and DOES by using a subroutine reference that
 only tries to call the method if it's actually used on an object.

Package: libsafec-3.5-3
Description-md5: b8c76a419e2fe91391a1da6faa6ed0a4
Description-en: "safe" C libc extensions (Annex K)
 This library implements controversial C11 Annex K (TR24731) extensions.
 They are most commonly known as _s variants implemented by MSVC, dubbed as
 "secure" to the point of MSVC-related linters claiming standard functions
 to be deprecated.  However, they've been nearly-universally panned by other
 libc implementors, the most recent discussion about Annex K removal and/or
 deprecation being N1967.  It is recommended to avoid using these functions
 -- they're either prone to misuse or merely inefficient (measuring a
 string's length twice being the most frequent case).
 .
 Yet despite these downsides, it is nice to have these functions available
 when porting some software that originated on Windows.
 .
 This package includes runtime binaries for the library.

Package: libsafec-dev
Description-md5: b9022cf3dbc3cdaa904aa8b55a358b87
Description-en: "safe" C libc extensions (Annex K) - dev
 This library implements controversial C11 Annex K (TR24731) extensions.
 They are most commonly known as _s variants implemented by MSVC, dubbed as
 "secure" to the point of MSVC-related linters claiming standard functions
 to be deprecated.  However, they've been nearly-universally panned by other
 libc implementors, the most recent discussion about Annex K removal and/or
 deprecation being N1967.  It is recommended to avoid using these functions
 -- they're either prone to misuse or merely inefficient (measuring a
 string's length twice being the most frequent case).
 .
 Yet despite these downsides, it is nice to have these functions available
 when porting some software that originated on Windows.
 .
 This package includes development headers.

Package: libsaga-api-7.3.0
Description-md5: 3dd540be0e848bfee8170d9a4fcc8372
Description-en: SAGA GIS shared libraries
 SAGA GIS (System for Automated Geoscientific Analyses) is a geographic
 information system used for editing and analysing spatial data.
 .
 This package contains the library files for developing with SAGA.

Package: libsaga-dev
Description-md5: 69520bc61abdf28171f5f6cba9362535
Description-en: SAGA GIS development files
 SAGA GIS (System for Automated Geoscientific Analyses) is a geographic
 information system used for editing and analysing spatial data.
 .
 This package contains the header files for developing with SAGA.
 Install this package if you wish to develop software that uses the SAGA API.

Package: libsaga-gdi-7.3.0
Description-md5: 3a139f985505d2d764fea4fc5839cbd5
Description-en: SAGA GIS shared library (graphical models)
 SAGA GIS (System for Automated Geoscientific Analyses) is a geographic
 information system used for editing and analysing spatial data.
 .
 This package contains the library files for developing graphical modules.

Package: libsah-schemas-rinci-perl
Description-md5: 447af8673b6627532549eaaa271ccc4b
Description-en: Sah schemas for Rinci
 Sah::Schemas::Rinci contains Sah schemas for Rinci.
 .
 Sah is a schema language for validating data structures, and Rinci is a set
 of extensible, language-neutral metadata specifications for code.

Package: libsambox-java
Description-md5: 345b728ae4499d78e82abe7c6958eb30
Description-en: SAMBox PDF processor
 SAMBox is an Apache PDFBox 2.0.0 fork intended to be used as PDF processor for
 Sejda and PDFsam related projects. The main differences between PDFBox and
 SAMBox are:
  * Lazy loading/parsing of PDF objects
  * Multiple I/O implementations to read from
  * Minimized garbage collection through the use of a pool of
    java.lang.Stringbuilder
  * PDF streams are read directly from the underlying source through the
    concept of bounded views
  * Removal of unused PDFBox features like preflight validator, fdf and digital
    signature
  * Documents can be saved using objects stream to store PDF objects

Package: libsaml-dev
Description-md5: 94bac28151eaaa378e3ccabbcf97c95e
Description-en: Security Assertion Markup Language library (development)
 OpenSAML is an open source implementation of the OASIS
 Security Assertion Markup Language Specification. It contains a set of open
 source C++ classes that support the SAML 1.0, 1.1, and 2.0 specifications.
 .
 This package contains the headers and other necessary files to build
 applications or libraries that use or extend the OpenSAML library.

Package: libsaml-doc
Description-md5: 5946d1a6be89f2bd0018a09c0a8ac575
Description-en: Security Assertion Markup Language library (API docs)
 OpenSAML is an open source implementation of the OASIS
 Security Assertion Markup Language Specification. It contains a set of open
 source C++ classes that support the SAML 1.0, 1.1, and 2.0 specifications.
 .
 This package contains the OpenSAML library API documentation generated
 by Doxygen.

Package: libsaml10
Description-md5: b22efee9387f88f86a8a7943bf8d6845
Description-en: Security Assertion Markup Language library (runtime)
 OpenSAML is an open source implementation of the OASIS
 Security Assertion Markup Language Specification. It contains a set of open
 source C++ classes that support the SAML 1.0, 1.1, and 2.0 specifications.
 .
 This package contains the files necessary for running applications that
 use the OpenSAML library.

Package: libsaml2-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libsaml2-doc
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libsamplerate-ocaml
Description-md5: a0874c5369c4c0ca30f9061377bf263d
Description-en: OCaml interface to the samplerate library
 This package provied an interface to the samplerate library for
 OCaml programmers.
 .
 libsamplerate assist in development of programs for audio rate
 conversion.
 .
 This package contains only the shared runtime stub libraries.

Package: libsamplerate-ocaml-dev
Description-md5: 7a4b4d4568ad9522d3042dba5651408b
Description-en: OCaml interface to the samplerate library
 This package provied an interface to the samplerate library for
 OCaml programmers.
 .
 libsamplerate assist in development of programs for audio rate
 conversion.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-samplerate.

Package: libsane1
Description-md5: 967e6f58d3b9a2cd1039a23b98880ee7
Description-en: API library for scanners [transitional package]
 SANE stands for "Scanner Access Now Easy" and is an application
 programming interface (API) that provides standardized access to any
 raster image scanner hardware (flatbed scanner, hand-held scanner,
 video- and still-cameras, frame-grabbers, etc.). The SANE standard is
 free and its discussion and development are open to everybody. The
 current source code is written to support several operating systems,
 including GNU/Linux, OS/2, Win32 and various Unices and is available
 under the GNU General Public License (commercial applications and
 backends are welcome, too, however).
 .
 This package is here to ensure smooth upgrades. It can be removed when
 you see fit.

Package: libsanlock-client1
Description-md5: edc73c794a531608ebfb4fdce34d3c64
Description-en: Shared storage lock manager (client library)
 Sanlock ensures that single disk cannot be used by more than
 one running VM at a time, across any host in a network.
 .
 This package contains the public shared library for libvirt.

Package: libsanlock-dev
Description-md5: 87c3bcc256f0147da7e1aa4c3e30521d
Description-en: Shared storage lock manager (development files)
 Sanlock ensures that single disk cannot be used by more than
 one running VM at a time, across any host in a network.
 .
 This package contains the header files and static libraries.

Package: libsanlock1
Description-md5: 231ad3e47240a19e7df79955888949bf
Description-en: Shared storage lock manager (shared library)
 Sanlock ensures that single disk cannot be used by more than
 one running VM at a time, across any host in a network.
 .
 This package contains libsanlock shared library.

Package: libsasl2-modules-gssapi-heimdal
Description-md5: 0897adbbfbdac08aea71f426460e48d0
Description-en: Pluggable Authentication Modules for SASL (GSSAPI)
 This is the Cyrus SASL API implementation, version 2.1. See package
 libsasl2-2 and RFC 2222 for more information.
 .
 This package provides the GSSAPI plugin, compiled with the Heimdal
 Kerberos 5 library.

Package: libsasl2-modules-kdexoauth2
Description-md5: 2a50ceb2cc3d75be9947decc1210d1ba
Description-en: library to integrate with Cyrus SASL
 This package is part of libkgapi.

Package: libsasl2-modules-ldap
Description-md5: 046fb452cdaf5f7c51dacdbf2922d5de
Description-en: Cyrus SASL - pluggable authentication modules (LDAP)
 This is the Cyrus SASL API implementation, version 2.1. See package
 libsasl2-2 and RFC 2222 for more information.
 .
 This package provides the LDAP plugin, which supports OpenLDAP.

Package: libsasl2-modules-otp
Description-md5: fb6fbc4df1a9ad29b0ddb7f30c4d227f
Description-en: Cyrus SASL - pluggable authentication modules (OTP)
 This is the Cyrus SASL API implementation, version 2.1. See package
 libsasl2-2 and RFC 2222 for more information.
 .
 This package provides the OTP plugin, which supports one time passwords.

Package: libsass-dev
Description-md5: 25d05fd87263f55567936af196a536af
Description-en: C/C++ port of the Sass CSS precompiler - development headers
 Sass is a pre-processing language for CSS. It allows you to write
 cleaner stylesheets and makes collaboration on your CSS a breeze.
 .
 LibSass is a C/C++ port of the Sass engine. The point is to be simple,
 fast, and easy to integrate.
 .
 This package provides header files for developing your applications to
 use libsass template engine.

Package: libsass1
Description-md5: 4413391630be049dd0d6df770707ea2b
Description-en: C/C++ port of the Sass CSS precompiler
 Sass is a pre-processing language for CSS. It allows you to write
 cleaner stylesheets and makes collaboration on your CSS a breeze.
 .
 LibSass is a C/C++ port of the Sass engine. The point is to be simple,
 fast, and easy to integrate.

Package: libsavitar-dev
Description-md5: 0ec341afae2d8bf71a5cccf1a1727ed6
Description-en: 3MF file handling library (development files)
 Savitar is a C++ library with Python 3 bindings for
 reading and writing 3MF files.
 .
 3MF is an interchange format for sharing 3D models and other 3D printing
 data between related software and 3D printers.
 It is XML based and standardised by the 3MF consortium.
 .
 This package contains C++ headers and other development files.

Package: libsavitar0
Description-md5: 15afd437d1a2fdc09439ae14a6aeefc1
Description-en: 3MF file handling library (shared library)
 Savitar is a C++ library with Python 3 bindings for
 reading and writing 3MF files.
 .
 3MF is an interchange format for sharing 3D models and other 3D printing
 data between related software and 3D printers.
 It is XML based and standardised by the 3MF consortium.
 .
 This package contains the shared library.

Package: libsaxon-java
Description-md5: 8d3cd12834374c9d7a9d689e73416698
Description-en: Saxon XSLT Processor
 The saxon package is a collection of tools for processing XML documents and
 implements the XSLT 1.0 recommendation, including XPath 1.0, in its entirety.
 .
 Saxon is known to work well for processing DocBook XML documents
 with the DocBook XSL Stylesheets. Related packages make the process
 straightforward.

Package: libsaxon-java-doc
Description-md5: d675ec7ecdce1eca6749f3588243bc56
Description-en: Saxon XSLT Processor's documentation and javadoc
 The saxon package is a collection of tools for processing XML documents and
 implements the XSLT 1.0 recommendation, including XPath 1.0, in its entirety.
 .
 This package contains the documentation and javadoc for Saxon.

Package: libsaxonb-java
Description-md5: 23e56ce47df259bf67e4f57d29b26a29
Description-en: Saxon-B XSLT Processor
 The saxon package is a collection of tools for processing XML documents and
 implements XSLT 2.0, XPath 2.0, and XQuery 1.0.
 .
 Saxon is known to work well for processing DocBook XML documents
 with the DocBook XSL Stylesheets. Related packages make the process
 straightforward.

Package: libsaxonb-java-doc
Description-md5: 33024b6813f6f90a9f5f78f6e4a4aa65
Description-en: Saxon-B XSLT Processor's documentation and javadoc
 The saxon package is a collection of tools for processing XML documents and
 implements XSLT 2.0, XPath 2.0, and XQuery 1.0.
 .
 This package contains the documentation and javadoc for Saxon.

Package: libsaxonhe-java
Description-md5: 473745c662d66d83f158357f46e1b2cb
Description-en: Saxon-HE is the XSLT and XQuery Processor
 This is a Home Edition of SAXON XSLT processor developed by Saxonica Limited.
 It provides implementations of XSLT 2.0, XQuery 1.0 and XPath 2.0
 at the basic level of conformance defined by W3C. Not included in
 the Home Edition are: schema processing and schema aware XSLT and XQuery;
 support for the 3.0 versions of the specifications; numerous Saxon extensions;
 calling out to Java methods; XQuery Update support; various optimizations
 including join optimization; streamed processing; and byte code generation.

Package: libsbjson-dev
Description-md5: 80c527e6f229ee2b5ab89ed93b8d0408
Description-en: Objective-C JSON library (development files)
 A strict JSON parser and generator for Objective-C. It adds categories
 to existing Objective-C objects for a super-simple interface. More
 flexible APIs are also provided for added control.
 .
 This package contains the development files.

Package: libsbjson2.3
Description-md5: e893ac05eae51ee3ea62fa0080ebd353
Description-en: Objective-C JSON library
 A strict JSON parser and generator for Objective-C. It adds categories
 to existing Objective-C objects for a super-simple interface. More
 flexible APIs are also provided for added control.
 .
 This package contains the shared library.

Package: libsbml5
Description-md5: 77573bcc9ac5e193f4127fefe2286850
Description-en: System Biology Markup Language library
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.

Package: libsbml5-cil
Description-md5: 6923ba76f814ada93ced1087327fce79
Description-en: System Biology Markup Language library - CLI bindings
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains the CLI bindings of LibSBML.

Package: libsbml5-cil-doc
Description-md5: e6c5152386f704d7057a67c785d4ed74
Description-en: System Biology Markup Language library -- CLI documentation
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains documentation for Mono/CLI bindings

Package: libsbml5-dev
Description-md5: 36bd7cc34578b330d0124c235fd578c2
Description-en: System Biology Markup Language library - development files
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains files necessary for development with libsbml.

Package: libsbml5-doc
Description-md5: c7c243fe98f60ede7ac0f268222db508
Description-en: System Biology Markup Language library -- documentation
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains C/C++ documentation.

Package: libsbml5-examples
Description-md5: f3e61edd7be464c0440e23ab1c45123c
Description-en: System Biology Markup Language library -- example files
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains C/C++, Java, Perl and layout examples.

Package: libsbml5-java
Description-md5: 10a3c2b11a52fe2bff6f75a129266543
Description-en: System Biology Markup Language library - Java bindings
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This Package contains the Java bindings of LibSBML.

Package: libsbml5-octave
Description-md5: 83d45fde6a91c158b9c085f690d5de45
Description-en: System Biology Markup Language library - Octave bindings
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains the Octave bindings of LibSBML.

Package: libsbml5-perl
Description-md5: b8a6ddbbd38f32e5ad16a2a52988d66f
Description-en: System Biology Markup Language library - Perl bindings
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains the Perl bindings of LibSBML.

Package: libsbml5-perl-doc
Description-md5: 5c65ea11d2ee42df27a385c8d9fcaf5b
Description-en: System Biology Markup Language library -- Perl documentation
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains documentation for Perl bindings

Package: libsbsms-dev
Description-md5: da4c5f38e978e8518fc894111e1455f0
Description-en: Subband Sinusoidal Modeling Synthesis (development files)
 libsbsms is a C++ library for high quality time stretching and pitch scaling of
 audio. It uses octave subband sinusoidal modeling.
 .
 The audio is fed into a FIFO, which takes the STFT of the input. Each frame is
 high-pass filtered in the Fourier domain, and then written to a frame FIFO
 which does quadratic interpolating peak detection and track continuation. The
 tracks are resynthesized with a quadratic phase preserving oscillator bank at
 an arbitrary time scale.
 .
 The subbands are fed from the low-pass filtered frames, which are decimated by
 two and reconstructed in a half rate time domain. The subbands perform the same
 process as the parent band, only the data is at half the audio frequency, and
 at half the rate. There are typically 6 bands. The point of subbands is to
 allow high time resolution for high frequencies and at the same time high
 frequency resolution for low frequencies.
 .
 Pitch scaling is performed in a post-processing resampling step.
 .
 This package contains the development files.

Package: libsbsms10
Description-md5: d7209e3e3e94c239dae39fde49d154e1
Description-en: Subband Sinusoidal Modeling Synthesis
 libsbsms is a C++ library for high quality time stretching and pitch scaling of
 audio. It uses octave subband sinusoidal modeling.
 .
 The audio is fed into a FIFO, which takes the STFT of the input. Each frame is
 high-pass filtered in the Fourier domain, and then written to a frame FIFO
 which does quadratic interpolating peak detection and track continuation. The
 tracks are resynthesized with a quadratic phase preserving oscillator bank at
 an arbitrary time scale.
 .
 The subbands are fed from the low-pass filtered frames, which are decimated by
 two and reconstructed in a half rate time domain. The subbands perform the same
 process as the parent band, only the data is at half the audio frequency, and
 at half the rate. There are typically 6 bands. The point of subbands is to
 allow high time resolution for high frequencies and at the same time high
 frequency resolution for low frequencies.
 .
 Pitch scaling is performed in a post-processing resampling step.

Package: libsbt-launcher-interface-java
Description-md5: c2eb0106a4c4be74e91286dd128dc575
Description-en: Sbt launcher module
 This project is the componetized sbt launcher. It can be used to launch many
 Maven/Ivy deployed applications and utilities, and forms the basis of sbt,
 activator and conscript's launching abilities.
 .
 Sbt is a build tool for Scala, Java, and more.

Package: libsbt-serialization-java
Description-md5: bbbf60ff0182c27c228762ced546a213
Description-en: Serialization facility for sbt
 Sbt serialization is an opinionated wrapper around Scala pickling focused on
 sbt's usage. In particular it provides: JSON format and static-only core
 picklers.

Package: libsbt-template-resolver-java
Description-md5: 22742005ef5116830fcc4d75c64c99b4
Description-en: Sbt template resolver
 This is a contract interface for template resolver.
 A template resolver is a partial function that looks at the arguments after
 sbt new and determines whether it can resolve to a particular template.
 .
 Sbt is a build tool for Scala, Java, and more.

Package: libsbt-test-interface-java
Description-md5: 417c920f831a047a09aac807971c2639
Description-en: Sbt test interface
 Uniform test interface to Scala test frameworks (specs, ScalaCheck, ScalaTest)
 For test framework authors, the interfaces to implement are: Framework,
 Runner2, Fingerprint (one of SubclassFingerprint or AnnotatedFingerprint)
 .
 Sbt is a build tool for Scala, Java, and more.

Package: libsbuf-dev
Description-md5: c8a91022ea94c7d2d2f05acd6db9ccbf
Description-en: Development files for libsbuf
 This package contains the header files and static library needed to
 compile applications that use libsbuf.

Package: libsbuf6
Description-md5: 74c6df510b2a858fb1d0a10d7f2840c1
Description-en: FreeBSD string buffer library
 This library provides a way to handle string buffers. It contains
 numerous functions such as append, copy, cat, print, trim whitespace
 characters, etc.

Package: libsc-data
Description-md5: 2f722761ccb7dd0f386447d0ccac78b4
Description-en: Scientific Computing Toolkit (basis set and atom data)
 The Scientific Computing toolkit (SC) provides C++ class libraries for
 scientific computation. Included are classes for managing memory, saving and
 restoring the state of objects, reading objects from an input file, parallel
 communication, matrix algebra, among others.
 .
 Class libraries supporting quantum chemistry applications are provided with
 this distribution of SC.
 .
 This package includes the basis set and atom data.

Package: libsc-dev
Description-md5: 9e4701193ec86d90b3bdd32fcc52ff49
Description-en: Scientific Computing Toolkit (development files)
 The Scientific Computing toolkit (SC) provides C++ class libraries for
 scientific computation. Included are classes for managing memory, saving and
 restoring the state of objects, reading objects from an input file, parallel
 communication, matrix algebra, among others.
 .
 Class libraries supporting quantum chemistry applications are provided with
 this distribution of SC.
 .
 This package includes the static libraries and header files.

Package: libsc-doc
Description-md5: b137a064c71f098c07f97e967a311387
Description-en: Scientific Computing Toolkit (documentation)
 The Scientific Computing toolkit (SC) provides C++ class libraries for
 scientific computation. Included are classes for managing memory, saving and
 restoring the state of objects, reading objects from an input file, parallel
 communication, matrix algebra, among others.
 .
 This package includes the HTML-documentation and the manpages of the classes.

Package: libsc7v5
Description-md5: 88ca78ea3677618b0d71ba23c0a35753
Description-en: Scientific Computing Toolkit (library)
 The Scientific Computing toolkit (SC) provides C++ class libraries for
 scientific computation. Included are classes for managing memory, saving and
 restoring the state of objects, reading objects from an input file, parallel
 communication, matrix algebra, among others.
 .
 Class libraries supporting quantum chemistry applications are provided with
 this distribution of SC.
 .
 This package includes the shared libraries.

Package: libscala-pickling-java
Description-md5: 2fa76d3be39c06acbfb37a21fa96960f
Description-en: Fast, customizable, boilerplate-free pickling support for Scala
 Scala Pickling is an automatic serialization framework made for Scala. It's
 fast, boilerplate-free, and allows users to easily swap in/out different
 serialization formats (such as binary, or JSON), or even to provide their own
 custom serialization format.

Package: libscala-tools-sbinary-java
Description-md5: d2a1bcbde6859a6044617192d7dfe0d9
Description-en: Scala library for describing binary protocols
 SBinary is a library for describing binary protocols, in the form
 of mappings between Scala types and binary formats. It can be used
 as a robust serialization mechanism for Scala objects or a way of
 dealing with existing binary formats found in the wild.

Package: libscalapack-mpi-dev
Description-md5: 106a7835e1ec54e9515085e08a191f98
Description-en: Scalable Linear Algebra Package - Dev files for MPI
 ScaLAPACK is the parallel version of LAPACK used on clusters.
 .
 There are packages for the shared libraries, for the static libraries and
 the development files (this one) and for test programs.
 .
 Also included:
    - PBLAS, Parallel Basic Linear Algebra Subprograms
    - BLACS, Basic Linear Algebra Communication Subprograms
 .
 This is a dummy package which depends on the scalapack development package
 supporting the default implementation of MPI on this architecture.

Package: libscalapack-mpich-dev
Description-md5: d49bb3464a6f4301dd1ee6ddaac5ec9e
Description-en: Scalable Linear Algebra Package - Dev files for MPICH
 ScaLAPACK is the parallel version of LAPACK used on clusters.
 .
 There are packages for the shared libraries, for the static libraries and
 the development files (this one) and for test programs.
 .
 Also included:
    - PBLAS, Parallel Basic Linear Algebra Subprograms
    - BLACS, Basic Linear Algebra Communication Subprograms
 Also included: PBLAS, Parallel Basic Linear Algebra Subprograms.
 .
 This package supports the MPICH implementation of MPI.

Package: libscalapack-mpich2.1
Description-md5: b31a0092a02c5a68725ec03655de6969
Description-en: Scalable Linear Algebra Package - Shared libs for MPICH
 ScaLAPACK is the parallel version of LAPACK used on clusters.
 .
 There are packages for the shared libraries (this one), for the
 static libraries and the development files and for test programs.
 .
 Also included:
   - PBLAS, Parallel Basic Linear Algebra Subprograms
   - BLACS, Basic Linear Algebra Communication Subprograms
 .
 This package supports the MPICH implementation of MPI.

Package: libscalapack-openmpi-dev
Description-md5: 25c95e05c5eab8d2f99ddd42c42ece4f
Description-en: Scalable Linear Algebra Package - Dev files for OpenMPI
 ScaLAPACK is the parallel version of LAPACK used on clusters.
 .
 There are packages for the shared libraries, for the static libraries and
 the development files (this one) and for test programs.
 .
 Also included:
    - PBLAS, Parallel Basic Linear Algebra Subprograms
    - BLACS, Basic Linear Algebra Communication Subprograms
 .
 This package supports the OpenMPI implementation of MPI.

Package: libscalapack-openmpi2.1
Description-md5: 7c18c337c3e81530905d6854815666c8
Description-en: Scalable Linear Algebra Package - Shared libs for OpenMPI
 ScaLAPACK is the parallel version of LAPACK used on clusters.
 .
 There are packages for the shared libraries (this one), for the
 static libraries and the development files and for test programs.
 .
 Also included:
   - PBLAS, Parallel Basic Linear Algebra Subprograms
   - BLACS, Basic Linear Algebra Communication Subprograms
 .
 This package supports the OpenMPI implementation of MPI.

Package: libscalar-defer-perl
Description-md5: e9ca753604af73886d468cbd65e0f2d0
Description-en: module providing lazy evaluation for Perl
 Scalar::Defer is a Perl module that exports two functions, defer and lazy,
 which can be used to construct values that are evaluated as needed. It also
 provides a function to force immediate evaluation of a deferred value.

Package: libscalar-does-perl
Description-md5: 0e79c81815f9aabe3de84d2ed7a9e536
Description-en: like ref() but useful
 Scalar::Does provides a "does()" built-in.
 .
 A check that "ref($thing) eq 'ARRAY'" doesn't allow you to accept an
 object that uses overloading to provide an array-like interface.
 .
 This package also includes IO::Detect, for detecting if a scalar is a
 filehandle (or something filehandle-like).

Package: libscalar-list-utils-perl
Description-md5: 6671f24f6fc82143bff604e2ad00a801
Description-en: modules providing common scalar and list utility subroutines
 List::Util is a collection of Perl modules that provide subroutines useful
 for working with scalars and lists, which people have expressed would be nice
 to have as a perl builtin, but where usage would not be sufficient to warrant
 use of a keyword, and the size so small such that being individual extensions
 would be wasteful.

Package: libscalar-listify-perl
Description-md5: e38c9f901176b1626eeb6db1f2d57373
Description-en: module that produces an array/arrayref from a scalar value or array ref
 A lot of Perl code ends up with scalars having either a single scalar value
 or a reference to an array of scalar values. In order to handle the two
 conditions, one must check for what is in the scalar value before getting on
 with one's task. Ie:
 .
  $text_scalar = 'text';
 .
  $aref_scalar = [ 1.. 5 ];
 .
  print ref($text_scalar) ? (join ':', @$text_scalar) : $text_scalar;

Package: libscalar-properties-perl
Description-md5: 3621eb8f31d12b10606eb40111434762
Description-en: perl module to add run-time properties on scalar variables
 Scalar::Properties attempts to make Perl more object-oriented by
 taking an idea from Ruby: Everything you manipulate is an object,
 and the results of those manipulations are objects themselves.
 .
 'hello world'->length
 (-1234)->abs
 "oh my god, it's full of properties"->index('g')
 .
 The first example asks a string to calculate its length. The second
 example asks a number to calculate its absolute value. And the
 third example asks a string to find the index of the letter 'g'.
 .
 Using this module you can have run-time properties on initialized
 scalar variables and literal values. The word 'properties' is used
 in the Perl 6 sense: out-of-band data, little sticky notes that
 are attached to the value. While attributes (as in Perl 5's attribute
 pragma, and see the Attribute::* family of modules) are handled
 at compile-time, properties are handled at run-time.

Package: libscalar-string-perl
Description-md5: 050097d84aff1c8e705525c074556a38
Description-en: Perl module concerning the string aspects of scalars
 Scalar::String is about the string part of plain Perl scalars. A scalar has a
 string value, which is notionally a sequence of Unicode codepoints, but may
 be internally encoded in either ISO-8859-1 or UTF-8. In places, and more so
 in older versions of Perl, the internal encoding shows through. To fully
 understand Perl strings it is necessary to understand these implementation
 details.
 .
 This module provides functions to classify a string by encoding and to encode
 a string in a desired way.

Package: libscalar-util-numeric-perl
Description-md5: 8379615cf77d18699807cf8dd2cbc788
Description-en: Perl module containing numeric tests for Perl datatypes
 Scalar::Util::Numeric exports a number of wrappers around perl's builtin
 looks_like_number function, which returns the numeric type of its argument,
 or 0 if it isn't numeric.

Package: libscalc-dev
Description-md5: 73d46396d1319f5488690398cf18eb8e
Description-en: simple/symbolic calculation library (development files)
 SCalc is a C++ library for manipulation of mathematical
 expressions. It is possible to define functions, either using an
 expression or a C function. It is able to compute derivatives
 analytically, and is therefore suitable for implementing non-linear
 curve fitting with user-specified arbitrary functions.
 .
 This package contains the development files and the HTML
 documentation.

Package: libscalc0v5
Description-md5: b6963f5a7286349835e1420c500a0f11
Description-en: simple/symbolic calculation library
 SCalc is a C++ library for manipulation of mathematical
 expressions. It is possible to define functions, either using an
 expression or a C function. It is able to compute derivatives
 analytically, and is therefore suitable for implementing non-linear
 curve fitting with user-specified arbitrary functions.
 .
 This package contains the shared library

Package: libscamperfile0
Description-md5: 84fbdcb322de3db15a26a990160e5e2a
Description-en: file access library for scamper's binary dump format
 scamper is a program that is able to conduct Internet measurement
 tasks to large numbers of IPv4 and IPv6 addresses, in parallel, to
 fill a specified packets-per-second rate. Currently, it supports the
 well-known ping and traceroute techniques, as well as MDA traceroute,
 alias resolution, some parts of tbit, sting, and neighbour discovery.
 .
 This package contains the library that provides access to the binary output
 files that scamper can produce in certain modes.

Package: libscamperfile0-dev
Description-md5: 106ac3a584d9095b3087f7ecc2808f66
Description-en: development headers for scamper's binary dump file access library
 scamper is a program that is able to conduct Internet measurement
 tasks to large numbers of IPv4 and IPv6 addresses, in parallel, to
 fill a specified packets-per-second rate. Currently, it supports the
 well-known ping and traceroute techniques, as well as MDA traceroute,
 alias resolution, some parts of tbit, sting, and neighbour discovery.
 .
 This package contains development headers and other ancillary files for the
 libscamperfile library.

Package: libscannotation-java
Description-md5: e95c6025ed13aef10226c1866a89af06
Description-en: Java annotation scanner
 Scannotation is a Java library that creates an annotation database from a
 set of class files. It gives you APIs that allow you to find archives in your
 classpath or WAR (web application) that you want to scan, then automatically
 scans them without loading each and every class within those archives.

Package: libscca-dev
Description-md5: e5dd7deb9cff0cd8262e0b776fce3d29
Description-en: Windows Prefetch File access library -- development files
 libscca is a library to access the Windows Prefetch File (SCCA)
 format.
 .
 This package includes the development support files.

Package: libscca-utils
Description-md5: 95ad3e760bfc72055b074b3ee4b97a6c
Description-en: Windows Prefetch File access library -- Utilities
 libscca is a library to access the Windows Prefetch File (SCCA)
 format.
 .
 This package contains a tool to display information about a PF file.

Package: libscca1
Description-md5: 2f678b98ca09e74729ffbf3ad42cc6f1
Description-en: Windows Prefetch File access library
 libscca is a library to access the Windows Prefetch File (SCCA)
 format.
 .
 This package contains the shared library.

Package: libschedule-at-perl
Description-md5: 25e258b5f851d3a39d4c2c6a57dc03d3
Description-en: OS independent interface to the Unix 'at' command
 Schedule::At is an Operating System-independent Perl interface to 'at', the
 Unix command that allows you to execute commands at a specified time. It can
 add new jobs to the queue, read current jobs and remove jobs.

Package: libschedule-cron-events-perl
Description-md5: 796121bdcc377de46ff2027e4620afa5
Description-en: module to find out the times at which a cron entry would run
 Given a line from a crontab, tells you the time at which cron will next run
 the line, or when the last event occurred, relative to any date you choose.
 The object keeps that reference date internally, and updates it when you call
 nextEvent() or previousEvent() - such that successive calls will give you a
 sequence of events going forward, or backwards, in time.
 .
 Use setCounterToNow() to reset this reference time to the current date on
 your system, or use setCounterToDate() to set the reference to any arbitrary
 time, or resetCounter() to take the object back to the date you constructed
 it with.
 .
 Schedule::Cron::Events uses Set::Crontab to understand the date
 specification, so it should be able to handle all forms of cron entries.

Package: libschedule-cron-perl
Description-md5: ed4d56842a53d71371b4db522307e62a
Description-en: simple but complete cron like scheduler
 This perl module can be used for periodically executing perl
 subroutines. The dates and parameters for the subroutines to be
 called are specified with a format known as crontab entry (see
 manpage crontab(5) or documentation of Schedule::Cron).
 .
 The philosophy behind Schedule::Cron is to call subroutines
 periodically from within one single Perl program instead of letting
 cron trigger several (possibly different) Perl scripts. Everything
 under one roof. Furthermore Schedule::Cron provides mechanism to
 create crontab entries dynamically, which isn't that easy with cron.

Package: libschedule-ratelimiter-perl
Description-md5: 8b6c6a0ff5363c57f63a662f58a1b5ce
Description-en: Perl library to prevent events from happening too quickly
 Schedule::RateLimiter provides a way to voluntarily restrict how many times a
 given action may take place within a specified time frame. Such a tool may be
 useful if you have written something which periodically polls some public
 resource and want to ensure that you do not overburden that resource with too
 many requests.
 .
 Initially, one might think that solving this problem would be as simple as
 sleeping for the number of seconds divided by the number of iterations in
 between each event. However, that would only be correct if the event took no
 time at all.
 .
 If you know exactly how much time each event is going to take then you could
 build an even more complicated one-liner such as this:
 .
 sleep( (seconds / iterations) - single_event_time )

Package: libschroedinger-coordgenlibs-dev
Description-md5: a53081af1a5c8e0b0f15539b11c2fe95
Description-en: 2D coordinate generation for chemical compounds - header files
 This package provides the Open Source release of Schroedinger's routines
 for the 2D coordinate representation of chemical compounds.
 .
 This package provides header files for developing against the API of that
 library.

Package: libschroedinger-coordgenlibs1
Description-md5: 84664fa6bf71a3626c133fe06dac78b4
Description-en: 2D coordinate generation for chemical compounds
 This package provides the Open Source release of Schroedinger's routines
 for the 2D coordinate representation of chemical compounds.

Package: libschroedinger-maeparser-dev
Description-md5: 67dcd676e68d90f8e36f179b5a130e4d
Description-en: Development files to parse Schrödinger Maestro files
 This package provides header files to develop one's own software
 that uses a library wth an Open Source parser for Maestro (.mae)
 files.

Package: libschroedinger-maeparser1
Description-md5: 0c56c722062e221fb65429cd41edc9b0
Description-en: parser for Schrödinger Maestro files
 Maestro is a commercial "all-purpose molecular modeling environment",
 produced and distributed by the company Schrödinger. Maestro has its
 own cognate file format, typically associated with the ".mae" file
 extension. This package provides an Open Source parser for the Maestro
 file format, released and maintained by the Maestro developers.
 .
 This facilitates a lossless transition from the widely accepted suite
 of Schrödinger into local custom developments or the Open Source world
 at large. Maeparser handles output from:
  * Molecular Dynamics applications, such as Desmond and FEP+
  * Ligand-Protein Docking applications, such as Glide
  * Homology Modeling and folding applications, such as Prime
  * Ligand-based search applications, such as Phase and Phase Shape
  * Quantum Mechanics applications, such as Jaguar
  * Protein-Protein Docking applications
  * ... many other backends used in both Life and Material Sciences

Package: libscim-dev
Description-md5: c9bf5cfadf4afe76b9bf5e7c1924af63
Description-en: development library for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides development libraries on SCIM platform, including the
 header files and static libraries.  The development documentations can be
 found in the scim-dev-doc package.
 .
 SCIM can be used as a dynamically loaded library on a single machine, or as a
 server/client model through socket in a network environment.  As a component
 of the system, SCIM can work with existing IM framework such as XIM or IIIMF
 (in progress), as well as using client specific IM interface, such as GTK+
 IM module.  SCIM provides an IM application, scim, which use various IM
 engines to provide input methods for many languages, either by native modules
 (such as scim-pinyin and scim-hangul) or interfaces to other IM libraries
 (such as scim-anthy, scim-uim, scim-m17n, and scim-chewing).
 .
 For more information about SCIM, please see the description of scim package.

Package: libscim8v5
Description-md5: f9a06fa2b07c6caf7e9ed8147b60c58d
Description-en: library for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides runtime libraries for SCIM platform.
 .
 For more information about SCIM, please see the description of scim package.

Package: libsciplot-dev
Description-md5: de0a8f7c595ced7957ccb98eef31a337
Description-en: Development library and header files for SciPlot
 Contains C header files and development shared libraries for SciPlot.
 The SciPlot Widget is a widget capable of plotting Cartesian or polar
 graphs, including logarithmic axes in Cartesian plots.

Package: libsciplot1
Description-md5: 44ff3dfceaf495ed9c41f1c9d35c52b6
Description-en: widget for scientific plotting
 The SciPlot Widget is a widget capable of plotting Cartesian or polar
 graphs, including logarithmic axes in Cartesian plots.  The widget is
 subclassed directly from the Core widget class, which means that it does
 not depend upon any other widget set.  It may be freely used with Athena,
 Motif, or the Open Look/Xview widget sets.  (There is optional Motif
 support that causes the widget to be subclassed from XmPrimitive.  See
 the man page.)
 .
 Features provided in the widget include automatic scaling, legend drawing,
 axis labeling, PostScript output, multiple plotted lines, color support,
 user font specification, dashed lines, symbols drawn at points, logarithmic
 scales on one or both axes in Cartesian plots, and degrees or radians as
 angles in polar plots.

Package: libscm-dev
Description-md5: 12ddc54b0e8498cb6e301f590d7b6929
Description-en: Embeddable library for SCM Scheme language interpreter
 Compact static library containing the SCM interpreter and an API making it
 easy to integrate into other programs as a scripting language.

Package: libscope-guard-perl
Description-md5: 0ab1354db485ee56de877e8d97c7296e
Description-en: lexically scoped resource management
 Scope::Guard is a Perl module that provides a convenient way to perform
 cleanup or other forms of resource management at the end of a scope. It is
 particularly useful when dealing with exceptions.
 .
 For more information, see: <URL:http://www.drdobbs.com/cpp/184403758>

Package: libscope-upper-perl
Description-md5: 2b767e1eee6d1d3da9a093d05432e0d0
Description-en: module to manipulate upper scopes
 Scope::Upper is a Perl module that provides a way for Perl programs to defer
 actions at run-time until the control flow returns to the upper scope. It
 currently enables developers to:
 .
  * Hook an upper scope end with "reap"
  * Localize variables, array/hash values or deletions of elements in
    higher contexts with respectively "localize", "localize_elem" and
    "localize_delete"
  * Return values immediately to an upper level with "unwind", and know
    which context was in use then with "want_at"

Package: libscopt-java
Description-md5: 1441aa2d17b260fee91224241601065e
Description-en: Simple scala command line options parsing
 Scopt provides two styles of parsing: immutable and mutable.
 In immutable parsing style, a config object is passed around as an argument
 into action callbacks. On the other hand, in mutable parsing style you are
 expected to modify the config object in place.

Package: libscotch-6.0
Description-md5: 7010765d2b2832f99f331f08e36585e8
Description-en: programs and libraries for graph, mesh and hypergraph partitioning
 Shared libraries package for scotch, which is a set of programs and
 libraries which implement the static mapping and sparse matrix reordering
 algorithms developed within the SCOTCH project.

Package: libscotch-dev
Description-md5: 005031c86c345eaf27f86a6745d2b32c
Description-en: programs and libraries for graph, mesh and hypergraph partitioning
 Headers and libraries package for scotch, which is a set of programs and
 libraries which implement the static mapping and sparse matrix reordering
 algorithms developed within the SCOTCH project.

Package: libscotchmetis-dev
Description-md5: a391c720b80b18263009a2efcd3d5429
Description-en: programs and libraries for graph, mesh and hypergraph partitioning
 Scotch/Metis compatility interface, providing a free implementation of the
 Metis system for graph partitioning and sparse matrix reordering.

Package: libscotchparmetis-dev
Description-md5: a4daa83e9ec9e8f2cbf2dbbe5f4b9677
Description-en: programs and libraries for graph, mesh and hypergraph partitioning
 Scotch/ParMetis compatility interface, providing a free implementation of the
 ParMetis system for parallel graph partitioning and sparse matrix reordering.

Package: libscout
Description-md5: 4ff3de339efff7cf4bf389908137f6ab
Description-en: Third-party libraries detector for Android apps
 LibScout is a light-weight and effective static analysis tool to detect
 third-party libraries in Android apps. The detection is resilient against
 common bytecode obfuscation techniques such as identifier renaming or
 code-based obfuscations such as reflection-based API hiding or control-flow
 randomization.
 .
 LibScout requires the original library SDKs (compiled .jar/.aar files) to
 extract library profiles that can be used for detection on Android apps.
 .
 Unique features:
 .
   * Library detection resilient against many kinds of bytecode obfuscation.
   * Capability of pinpointing the exact library version (in some cases to a set
     of 2-3 candidate versions).
   * Capability of handling dead-code elimination, by computing a similarity
     score against baseline SDKs.

Package: libscout-clojure
Description-md5: 5ee9f3a0984a5a13d435eb52499ff86d
Description-en: Clojure library to parse strings
 Scout is a library for Clojure that provides a Scanner object which is
 associated with the string it is scanning. The Scanner object provides
 functionality such as searching by using regular expressions.

Package: libscram-java
Description-md5: 5e8517df52675983ac63ab559722e1c5
Description-en: Salted Challenge Response Authentication Mechanism
 SCRAM (Salted Challenge Response Authentication Mechanism) is part of the
 family of Simple Authentication and Security Layer (SASL, RFC 4422)
 authentication mechanisms. It is described as part of RFC 5802 and RFC 7677.
 .
 This project aims to provide a complete clean-room implementation of SCRAM. It
 is written in Java and provided in a modular, re-usable way, independent of
 other software or programs.

Package: libscrappy-perl
Description-md5: 32243d5fb28a2f0400484f4398572a14
Description-en: powerful web spidering, scraping, creeping crawling framework
 Scrappy is an easy (and hopefully fun) way of scraping, spidering, and/or
 harvesting information from web pages, web services, and more. Scrappy is a
 feature rich, flexible, intelligent web automation tool.

Package: libscriptalicious-perl
Description-md5: e1bca8d2dd121f525472a9c222d99174
Description-en: module to simplify writing of Perl scripts
 Scriptalicious is a Perl module that enables developers to write scripts that
 conform to common best practices, quickly and easily. It provides some useful
 features such as providing a help message via the -h option and shortcuts for
 aborting the program with various error conditions.

Package: libscrypt-dev
Description-md5: f0465882628190280d7330670757b372
Description-en: scrypt shared library - development files
 The scrypt algorithm is a password-based key derivation function
 designed to require large amounts of memory. This proof-of-work scheme
 is intended to make it costly to perform large-scale hardware
 attacks.
 .
 This package contains files for development with libscrypt.

Package: libscrypt-kdf-dev
Description-md5: 51e40e2f3b1c05220ad1d6129b59fa3e
Description-en: Development library for encryption using scrypt for key derivation
 A simple password-based encryption utility which demonstrates the
 scrypt key derivation function.  On modern hardware and with default
 parameters, the cost of cracking the password on a file encrypted by
 scrypt enc is approximately 100 billion times more than the cost of
 cracking the same password on a file encrypted by openssl enc; this
 means that a five-character password using scrypt is stronger than a
 ten-character password using openssl.
 .
 This package contains the development files.

Package: libscrypt-kdf1
Description-md5: 6fd3fcde499f770d8d2cb067fecd610f
Description-en: Shared library for encryption using scrypt for key derivation
 A simple password-based encryption utility which demonstrates the
 scrypt key derivation function.  On modern hardware and with default
 parameters, the cost of cracking the password on a file encrypted by
 scrypt enc is approximately 100 billion times more than the cost of
 cracking the same password on a file encrypted by openssl enc; this
 means that a five-character password using scrypt is stronger than a
 ten-character password using openssl.
 .
 This package contains the shared library.

Package: libscrypt0
Description-md5: 1210abc7b561caa080f8d4f7657278f8
Description-en: scrypt shared library
 The scrypt algorithm is a password-based key derivation function
 designed to require large amounts of memory. This proof-of-work scheme
 is intended to make it costly to perform large-scale hardware
 attacks.
 .
 This package contains a shared library implementing the scrypt
 algorithm, based on the original implementation with a number of
 harnesses and simplified interfaces.

Package: libscscp-doc
Description-md5: 2e8495ec8c871b114940492d527283fb
Description-en: IMCCE SCSCP C Library -- reference manual
 SCSCP stands for Symbolic Computation Software Composibility Protocol.
 This protocol is developed by the European project SCIEnce - Symbolic
 Computation Infrastructure for Europe: http://www.symbolic-computing.org
 .
 This package provides the reference manual for the IMCCE SCSCP C Library,
 an implementation in C of the SCSCP protocol; it also contains examples.

Package: libscscp1
Description-md5: 6be67b1336f96140f3ca1f59e8a0e441
Description-en: IMCCE SCSCP C Library -- library package
 SCSCP stands for Symbolic Computation Software Composibility Protocol.
 This protocol is developed by the European project SCIEnce - Symbolic
 Computation Infrastructure for Europe: http://www.symbolic-computing.org
 .
 This C/C++ library, which is developed by the French Institute of
 Celestial Mechanics and Ephemeridesis Calculus (IMCCE) consists
 of an implementation of the SCSCP protocol. It provides an Application
 Programming Interface (API) to develop client and server applications
 to communicate with systems that support the SCSCP protocol, mainly
 Computer Algebra Systems (CAS).
 .
 This package provides the shared libraries required to run programs
 compiled against the SCSCP library developed at IMCCE. To compile your
 own programs you also need to install the libscscp1-dev package.

Package: libscscp1-dev
Description-md5: 4e58cdd2ca0ad7ecef741d48c7883577
Description-en: IMCCE SCSCP C Library -- development package
 SCSCP stands for Symbolic Computation Software Composibility Protocol.
 This protocol is developed by the European project SCIEnce - Symbolic
 Computation Infrastructure for Europe: http://www.symbolic-computing.org
 .
 This C/C++ library, which is developed by the French Institute of
 Celestial Mechanics and Ephemeridesis Calculus (IMCCE) consists
 of an implementation of the SCSCP protocol. It provides an Application
 Programming Interface (API) to develop client and server applications
 to communicate with systems that support the SCSCP protocol, mainly
 Computer Algebra Systems (CAS).
 .
 This package contains the header files, static libraries and symbolic
 links that developers using the SCSCP library developed at IMCCE will
 need.

Package: libscsynth1
Description-md5: dd62ec573a228b2180c603f7f6741265
Description-en: SuperCollider synthesis server library
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the shared library for the synthesis server.

Package: libscythestat-dev
Description-md5: 7515491f36af525cc88cce1e0eddbad1
Description-en: header files for Scythe statistics library
 Files provided by this package are required to develop new programs
 with the Scythe library. There is no binary library associated
 with these headers, i.e. all template code is contained within
 and no further dependencies are required at runtime
 .
 The Scythe Statistical Library is an open source C++ library for
 statistical computation. It includes a suite of matrix manipulation
 functions, a suite of pseudo-random number generators, and a suite
 of numerical optimizers. Programs written using Scythe are generally
 much faster than those written in commonly used interpreted
 languages, such as R, Matlab, and GAUSS; and can be compiled on any
 system with the GNU GCC compiler (and perhaps with other C++
 compilers). One of the primary design goals of the Scythe developers
 has been ease of use for non-expert C++ programmers. Ease of use is
 provided through three primary mechanisms: (1) operator and function
 over-loading, (2) numerous pre-fabricated utility functions, and (3)
 clear documentation and example programs. Additionally, Scythe is
 quite flexible and entirely extensible because the source code is
 available to all users.

Package: libsdes4j-java
Description-md5: 30022e30a894e3ac7a29842065a7b22b
Description-en: SDES (RFC4568) implementation for Java
 sdes4j is a small Java library to parse and generate
 Session Description Protocol (SDP) Security Descriptions
 for Media Streams according to RFC4568.

Package: libsdes4j-java-doc
Description-md5: 52c39a1509817724266212e6efa76b51
Description-en: Documentation for sdes4j
 SDES (RFC4568) implementation for Java
 sdes4j is a small Java library to parse and generate
 Session Description Protocol (SDP) Security Descriptions
 for Media Streams according to RFC4568.
 .
 This package contains the API documentation of libsdes4j-java.

Package: libsdformat6
Description-md5: cf0c9ea3a981ae1e18d1808ce6602417
Description-en: Simulation Description Format (SDF) parser - Shared library
 SDF is an XML file format that describes environments, objects, and robots
 in a manner suitable for robotic applications. SDF is capable of representing
 and describing different physic engines, lighting properties, terrain, static
 or dynamic objects, and articulated robots with various sensors, and acutators.
 The format of SDF is also described by XML, which facilitates updates and
 allows conversion from previous versions. A parser is also contained within
 this package that reads SDF files and returns a C++ interface.
 .
 This package gathers the shared library

Package: libsdformat6-dev
Description-md5: 5d1113a60a9de5c2c1cf0d56226db43a
Description-en: Simulation Description Format (SDF) parser - Development files
 SDF is an XML file format that describes environments, objects, and robots
 in a manner suitable for robotic applications. SDF is capable of representing
 and describing different physic engines, lighting properties, terrain, static
 or dynamic objects, and articulated robots with various sensors, and acutators.
 The format of SDF is also described by XML, which facilitates updates and
 allows conversion from previous versions. A parser is also contained within
 this package that reads SDF files and returns a C++ interface.
 .
 This package contains development files (headers, shared library
 symbolic link and cmake file).

Package: libsdl-console
Description-md5: 295f60db921a9f6cdc4876f4f13cb7f9
Description-en: Console that can be added to any SDL application, libraries
 This library provides a console similar to the consoles in Quake and other
 games but with lots of added features.
 .
 A console is meant to be a very simple way of interacting with a program
 and executing commands. Commands are linked to the console with callback
 functions so that when a command is typed in, a specific function is
 executed automatically.
 .
 This package contains the runtime library.

Package: libsdl-console-dev
Description-md5: 3ab2682b6c4465addfc82cdbef0d00c5
Description-en: Console that can be added to any SDL application, development files
 This library provides a console similar to the consoles in Quake and other
 games but with lots of added features.
 .
 A console is meant to be a very simple way of interacting with a program
 and executing commands. Commands are linked to the console with callback
 functions so that when a command is typed in, a specific function is
 executed automatically.
 .
 This package contains the header files and static library needed to
 compile applications that use libsdl-console.

Package: libsdl-gfx1.2-5
Description-md5: 2895b140ea337edf42acb130cab1bc31
Description-en: drawing and graphical effects extension for SDL
 The SDL_gfx library is an extension to the SDL library which provides
 basic antialiased drawing routines such as lines, circles or polygons,
 an interpolating rotozoomer for SDL surfaces, framerate control and MMX
 image filters.
 .
 This package contains the SDL_gfx runtime library.

Package: libsdl-gfx1.2-dev
Description-md5: 49354076c310a0009ed60e3961f93c21
Description-en: development files for SDL_gfx
 The SDL_gfx library is an extension to the SDL library which provides
 basic antialiased drawing routines such as lines, circles or polygons,
 an interpolating rotozoomer for SDL surfaces, framerate control and MMX
 image filters.
 .
 This package contains the header files and static library needed to
 compile applications that use SDL_gfx.

Package: libsdl-gfx1.2-doc
Description-md5: 1df35036396ab8f50003cd7a4bb7cc02
Description-en: documentation files for SDL_gfx
 The SDL_gfx library is an extension to the SDL library which provides
 basic antialiased drawing routines such as lines, circles or polygons,
 an interpolating rotozoomer for SDL surfaces, framerate control and MMX
 image filters.
 .
 This package contains the documentation to program with the SDL_gfx library.

Package: libsdl-gst
Description-md5: 391c1910b3a59d822f1f1f79cf71bdbe
Description-en: SDL bindings for GNU Smalltalk
 This package contains the SDL bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libsdl-image-gst
Description-md5: ff1d9e8b4f839fe51c0518cef0a47eff
Description-en: SDL_image bindings for GNU Smalltalk
 This package contains the SDL_image bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libsdl-image1.2
Description-md5: b04046f8d6efcac7094eea4596dd127a
Description-en: Image loading library for Simple DirectMedia Layer 1.2, libraries
 This is a simple library to load images of various formats as SDL surfaces.  It
 supports the following formats: BMP, GIF, JPEG, LBM, PCX, PNG, PNM, TGA, TIFF,
 WEBP, XCF, XPM, XV.
 .
 This package contains the shared library.

Package: libsdl-image1.2-dev
Description-md5: 88e45ebbfade6fd5cc266ca8c5364641
Description-en: Image loading library for Simple DirectMedia Layer 1.2, development files
 This is a simple library to load images of various formats as SDL surfaces.  It
 supports the following formats: BMP, GIF, JPEG, LBM, PCX, PNG, PNM, TGA, TIFF,
 WEBP, XCF, XPM, XV.
 .
 This package contains the development files.

Package: libsdl-kitchensink-dev
Description-md5: 666585a0c6e65434efa813a54c80bbc5
Description-en: FFmpeg and SDL2 based library for audio and video playback - Development files
 It provides FFmpeg-based audio and video playback for SDL which features:
  - Decoding video & audio via FFmpeg
  - Dumping video data on SDL_textures
  - Dumping audio data in the usual mono/stereo interleaved formats
  - Automatic audio and video conversion to SDL2 friendly formats
  - Synchronizing video & audio to clock
  - Seeking forwards and backwards
  - Bitmap & libass subtitle support
 .
 This package contains the development files.

Package: libsdl-kitchensink1
Description-md5: 953a996c93f273e40b62268379679c08
Description-en: FFmpeg and SDL2 based library for audio and video playback
 It provides FFmpeg-based audio and video playback for SDL which features:
  - Decoding video & audio via FFmpeg
  - Dumping video data on SDL_textures
  - Dumping audio data in the usual mono/stereo interleaved formats
  - Automatic audio and video conversion to SDL2 friendly formats
  - Synchronizing video & audio to clock
  - Seeking forwards and backwards
  - Bitmap & libass subtitle support
 .
 This package contains the shared library.

Package: libsdl-mixer-gst
Description-md5: cb1fbb45f2bd545f088afe55a65bb202
Description-en: SDL_mixer bindings for GNU Smalltalk
 This package contains the SDL_mixer bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libsdl-mixer1.2
Description-md5: 6365dd710480410f247a015e3bf6e992
Description-en: Mixer library for Simple DirectMedia Layer 1.2, libraries
 SDL_mixer is a sample multi-channel audio mixer library.  It supports any
 number of simultaneously playing channels of 16 bit stereo audio, plus a single
 channel of music, mixed by the popular FLAC, MikMod MOD, Timidity MIDI, Ogg
 Vorbis, and SMPEG MP3 libraries.
 .
 This package contains the shared library.

Package: libsdl-mixer1.2-dev
Description-md5: c9cb3ce99772b1ad82e3e483c57b44c2
Description-en: Mixer library for Simple DirectMedia Layer 1.2, development files
 SDL_mixer is a sample multi-channel audio mixer library.  It supports any
 number of simultaneously playing channels of 16 bit stereo audio, plus a single
 channel of music, mixed by the popular FLAC, MikMod MOD, Timidity MIDI, Ogg
 Vorbis, and SMPEG MP3 libraries.
 .
 This package contains the development files.

Package: libsdl-net1.2
Description-md5: d9a5c0da6adf42bc40db5f9ca3c36408
Description-en: Network library for Simple DirectMedia Layer 1.2, libraries
 This is a small, low-level, cross-platform networking library, that can be used
 with the Simple DirectMedia Layer library.
 .
 This package contains the shared library.

Package: libsdl-net1.2-dev
Description-md5: a16ffa7eccf37321735f330935ce44ed
Description-en: Network library for Simple DirectMedia Layer 1.2, development files
 This is a small, low-level, cross-platform networking library, that can be used
 with the Simple DirectMedia Layer library.
 .
 This package contains the development files.

Package: libsdl-ocaml
Description-md5: 7d419e2997cce50e2dba07c6c3301318
Description-en: OCaml bindings for SDL - runtime files
 SDL (Simple DirectMedia Layer) is a generic API that provides low
 level access to audio, keyboard, mouse, and display framebuffer
 across multiple platforms.
 OCamlSDL is an OCaml interface to the SDL and might be used to write
 multimedia applications in Objective Caml.
 .
 This package contains runtime libraries needed for running dynamic
 bytecode executables.

Package: libsdl-ocaml-dev
Description-md5: cd1d0ba8157f4b6eaaba6aee9df91536
Description-en: OCaml bindings for SDL - development files
 SDL (Simple DirectMedia Layer) is a generic API that provides low
 level access to audio, keyboard, mouse, and display framebuffer
 across multiple platforms.
 OCamlSDL is an OCaml interface to SDL that might be used to write
 multimedia applications in Objective Caml.
 .
 This package contains development files for OCamlSDL application
 writers.

Package: libsdl-pango-dev
Description-md5: 22a0c3ba2fd14e4fc8aacb99feade7b6
Description-en: text rendering with Pango in SDL applications (development)
 SDL_pango is a Simple Directmedia Layer extension for rendering text
 with the Pango library. Its use cases are similar to those of SDL_ttf,
 but it benefits from the superior Pango font selection engine for
 improved rendering of internationalized text.
 .
 This package contains the static library and development headers needed
 to build applications using SDL_pango.

Package: libsdl-pango1
Description-md5: 9c8268778394edb211123ecb736d56ac
Description-en: text rendering with Pango in SDL applications (shared library)
 SDL_pango is a Simple Directmedia Layer extension for rendering text
 with the Pango library. Its use cases are similar to those of SDL_ttf,
 but it benefits from the superior Pango font selection engine for
 improved rendering of internationalized text.
 .
 This package contains the runtime shared library.

Package: libsdl-perl
Description-md5: fa4bdefe959b8a6904f253dc4fd3d8f7
Description-en: SDL bindings for the Perl language
 SDL is a library that allows programs portable low level access to
 a video framebuffer, audio output, mouse, and keyboard. This perl
 package contains Perl bindings to access the SDL library.

Package: libsdl-sge
Description-md5: 6cf065bddd65a1ec6ba47d0e681917ac
Description-en: extension of graphic functions for SDL multimedia libraries
 SGE is a layer over the SDL multimedia library which provides common graphics
 operations such as clipping, block copies, drawing of lines, circles and
 primitives, rotation and scaling of surfaces, pixel operations, palette
 functions and many more.
 .
 This package contains the runtime library.

Package: libsdl-sge-dev
Description-md5: d4882c99a05a09c96837e8e2f2e0274b
Description-en: extension of graphic functions for the SDL multimedia, development files
 SGE is a layer over the SDL multimedia library which provides common graphics
 operations such as clipping, block copies, drawing of lines, circles and
 primitives, rotation and scaling of surfaces, pixel operations, palette
 functions and many more.
 .
 This package contains the header files and static library needed to
 compile applications that use libsdl-sge.

Package: libsdl-sound-gst
Description-md5: d9e145d50739a7d8d633010e314cb623
Description-en: SDL_sound bindings for GNU Smalltalk
 This package contains the SDL_sound bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libsdl-sound1.2
Description-md5: 02f3434922f761b0483d433986a93ea1
Description-en: Sound library for Simple DirectMedia Layer 1.2, libraries
 SDL_sound is a library that handles the decoding of several popular sound file
 formats, such as .WAV and .MP3.  It is an add-on to Simple Directmedia Layer
 library.
 .
 This package contains the shared library.

Package: libsdl-sound1.2-dev
Description-md5: 757b1c478ca9ef83deab4980576bbe6c
Description-en: Sound library for Simple DirectMedia Layer 1.2, development files
 SDL_sound is a library that handles the decoding of several popular sound file
 formats, such as .WAV and .MP3.  It is an add-on to Simple Directmedia Layer
 library.
 .
 This package contains the development files.

Package: libsdl-ttf-gst
Description-md5: 0fd891545455ad6980e7c80975a73d88
Description-en: SDL_ttf bindings for GNU Smalltalk
 This package contains the SDL_ttf bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libsdl-ttf2.0-0
Description-md5: 757b4624c2c48994a058634a99e0b456
Description-en: TrueType Font library for Simple DirectMedia Layer 1.2, libraries
 Sample library which allows you to use TrueType fonts in your SDL applications.
 .
 This package contains the shared library.

Package: libsdl-ttf2.0-dev
Description-md5: a13657785db12ed4c42ce57872ede102
Description-en: TrueType Font library for Simple DirectMedia Layer 1.2, development files
 Sample library which allows you to use TrueType fonts in your SDL applications.
 .
 This package contains the development files.

Package: libsdl1.2-dev
Description-md5: 9a82f59c5790721baad7ffc5f181d3d6
Description-en: Simple DirectMedia Layer development files
 SDL is a library that allows programs portable low level access to a video
 framebuffer, audio output, mouse, and keyboard.
 .
 This package contains the files needed to compile and link programs which
 use SDL.

Package: libsdl1.2debian
Description-md5: 2f6ac91d15d566ebf6f63e05d7eeca1a
Description-en: Simple DirectMedia Layer
 SDL is a library that allows programs portable low level access to a video
 framebuffer, audio output, mouse, and keyboard.
 .
 This version of SDL is compiled with X11 and caca graphics drivers and OSS,
 ALSA and PulseAudio sound drivers.

Package: libsdl2-2.0-0
Description-md5: d559f821a8f0d5e89c678fe44650c80c
Description-en: Simple DirectMedia Layer
 SDL is a library that allows programs portable low level access to a video
 framebuffer, audio output, mouse, and keyboard.
 .
 This version of SDL is compiled with X11 and Wayland graphics drivers and OSS,
 ALSA, sndio and PulseAudio sound drivers.

Package: libsdl2-dev
Description-md5: 9a82f59c5790721baad7ffc5f181d3d6
Description-en: Simple DirectMedia Layer development files
 SDL is a library that allows programs portable low level access to a video
 framebuffer, audio output, mouse, and keyboard.
 .
 This package contains the files needed to compile and link programs which
 use SDL.

Package: libsdl2-doc
Description-md5: a30e9f79cd550bfdc77322c7f6f892eb
Description-en: Reference manual for libsdl2
 SDL is a library that allows programs portable low level access to a video
 framebuffer, audio output, mouse, and keyboard.
 .
 This package contains the reference manual and examples.

Package: libsdl2-gfx-1.0-0
Description-md5: a7a830d7b5a7e3bf4681bbb0547c5b79
Description-en: drawing and graphical effects extension for SDL2
 The SDL2_gfx library is an extension to the SDL2 library which provides
 basic antialiased drawing routines such as lines, circles or polygons,
 an interpolating rotozoomer for SDL2 surfaces, framerate control and MMX
 image filters.
 .
 This package contains the SDL2_gfx runtime library.

Package: libsdl2-gfx-dev
Description-md5: 1ba2c0d7ca89abfeb05ca66097ea316a
Description-en: development files for SDL2_gfx
 The SDL2_gfx library is an extension to the SDL2 library which provides
 basic antialiased drawing routines such as lines, circles or polygons,
 an interpolating rotozoomer for SDL2 surfaces, framerate control and MMX
 image filters.
 .
 This package contains the header files and static library needed to
 compile applications that use SDL2_gfx.

Package: libsdl2-gfx-doc
Description-md5: 4ce5fa26404cfe2066a7ef9aa171d100
Description-en: documentation files for SDL2_gfx
 The SDL2_gfx library is an extension to the SDL2 library which provides
 basic antialiased drawing routines such as lines, circles or polygons,
 an interpolating rotozoomer for SDL2 surfaces, framerate control and MMX
 image filters.
 .
 This package contains the documentation to program with the SDL2_gfx library.

Package: libsdl2-image-2.0-0
Description-md5: e5b1016aaab8f0b7659c8933fad826b0
Description-en: Image loading library for Simple DirectMedia Layer 2, libraries
 This is a simple library to load images of various formats as SDL surfaces.  It
 supports the following formats: BMP, GIF, JPEG, LBM, PCX, PNG, PNM, TGA, TIFF,
 WEBP, XCF, XPM, XV.
 .
 This package contains the shared library.

Package: libsdl2-image-dev
Description-md5: 69d53403a68162ef7e95a3a08bcf3861
Description-en: Image loading library for Simple DirectMedia Layer 2, development files
 This is a simple library to load images of various formats as SDL surfaces.  It
 supports the following formats: BMP, GIF, JPEG, LBM, PCX, PNG, PNM, TGA, TIFF,
 WEBP, XCF, XPM, XV.
 .
 This package contains the development files.

Package: libsdl2-mixer-2.0-0
Description-md5: 34f4d1cbb2b9046174dc41bffcfcdaa0
Description-en: Mixer library for Simple DirectMedia Layer 2, libraries
 SDL_mixer is a sample multi-channel audio mixer library.  It supports any
 number of simultaneously playing channels of 16 bit stereo audio, plus a single
 channel of music, mixed by the popular FLAC, modplug MOD, FluidSynth and
 Timidity MIDI, Ogg Vorbis, and MAD or SMPEG MP3 libraries.
 .
 This package contains the shared library.

Package: libsdl2-mixer-dev
Description-md5: 55b455dd6a9944b2e8ba9695b8456358
Description-en: Mixer library for Simple DirectMedia Layer 2, development files
 SDL_mixer is a sample multi-channel audio mixer library.  It supports any
 number of simultaneously playing channels of 16 bit stereo audio, plus a single
 channel of music, mixed by the popular FLAC, modplug MOD, FluidSynth and
 Timidity MIDI, Ogg Vorbis, and MAD or SMPEG MP3 libraries.
 .
 This package contains the development files.

Package: libsdl2-net-2.0-0
Description-md5: 0e252144b4b100ffba0cf7c7abc24e53
Description-en: Network library for Simple DirectMedia Layer 2, libraries
 This is a small, low-level, cross-platform networking library, that can be used
 with the Simple DirectMedia Layer library.
 .
 This package contains the shared library.

Package: libsdl2-net-dev
Description-md5: dfd3cc3d913384bda90efc6a0f7e822a
Description-en: Network library for Simple DirectMedia Layer 2, development files
 This is a small, low-level, cross-platform networking library, that can be used
 with the Simple DirectMedia Layer library.
 .
 This package contains the development files.

Package: libsdl2-ttf-2.0-0
Description-md5: d1d11e371518d26e6e4ae98dff649ad4
Description-en: TrueType Font library for Simple DirectMedia Layer 2, libraries
 Wrapper around FreeType 2.0 library, making possible to use TrueType fonts to
 render text in SDL applications.
 .
 This package contains the shared library.

Package: libsdl2-ttf-dev
Description-md5: dbc0a7ada44359d9c3ee7bc8d63ba702
Description-en: TrueType Font library for Simple DirectMedia Layer 2, development files
 Wrapper around FreeType 2.0 library, making possible to use TrueType fonts to
 render text in SDL applications.
 .
 This package contains the development files.

Package: libsdo-api-java
Description-md5: 4ae7be302cafbc0f8a2174bad2272304
Description-en: Service Data Objects 2.1 Java API spec
 SDO is a framework for data application development, which
 includes an architecture and API. SDO does the following:
 .
  - Simplifies the J2EE data programming model
  - Abstracts data in a service oriented architecture (SOA)
  - Unifies data application development
  - Supports and integrates XML
  - Incorporates J2EE patterns and best practices
 .
 With SDO, you do not need to be familiar with a
 technology-specific API in order to access and utilize data.
 You need to know only one API, the SDO API, which lets you
 work with data from multiple data sources, including
 relational databases, entity EJB components, XML pages, Web
 services, the Java Connector Architecture, JavaServer Pages
 pages, and more.
 .
 This package contains only a Java API of SDO 2.1 spec.
 EclipseLink is a implementation of this spec.

Package: libsdo-api-java-doc
Description-md5: 1cf53c3cd49b0854c804bceee6689437
Description-en: Documentation for libsdo-api-java
 Documentation for SDO that is a framework for data application
 development, which includes an architecture and API. SDO does
 the following:
 .
  - Simplifies the J2EE data programming model
  - Abstracts data in a service oriented architecture (SOA)
  - Unifies data application development
  - Supports and integrates XML
  - Incorporates J2EE patterns and best practices
 .
 With SDO, you do not need to be familiar with a
 technology-specific API in order to access and utilize data.
 You need to know only one API, the SDO API, which lets you
 work with data from multiple data sources, including
 relational databases, entity EJB components, XML pages, Web
 services, the Java Connector Architecture, JavaServer Pages
 pages, and more.
 .
 This package contains only a Java API of SDO 2.1 spec.
 EclipseLink is a implementation of this spec.

Package: libsdp-api-java
Description-md5: 81a732cf4b84da2af1d6cc0a6c2dff48
Description-en: SDP API for Java
 The IETF protocol SDP specifies messages that describe multi-media
 sessions and are included within other protocol messages as payload.
 The API will enable users to manipulate SDP messages.
 .
 This is a reimplementation of the JSR 141 specification
 due to a non-free license of the reference implementation.

Package: libsdpa-dev
Description-md5: e8c042b2619d454da380e504760dfa23
Description-en: Callable library and examples of SDPA
 This package provides some files [examples and callable library]
 of SDPA (SemiDefinite Programming Algorithm).
 See the information of the package 'sdpa'.
 Further information on SDP and SDPA can be found at
 http://sdpa.sourceforge.net/

Package: libsdsl-dev
Description-md5: 1d7d30b06c7df099ead117e33b72df8f
Description-en: succinct data structure library - development files
 The Succinct Data Structure Library (SDSL) is a powerful and flexible
 C++11 library implementing succinct data structures. In total, the
 library contains the highlights of 40 research publications. Succinct
 data structures can represent an object (such as a bitvector or a
 tree) in space close the information-theoretic lower bound of the
 object while supporting operations of the original object
 efficiently. The theoretical time complexity of an operations
 performed on the classical data structure and the equivalent succinct
 data structure are (most of the time) identical.
 .
 This package installs development files.

Package: libsdsl3
Description-md5: 08a9fd71ef7ef85321b37f33f3a7d07d
Description-en: succinct data structure library
 The Succinct Data Structure Library (SDSL) is a powerful and flexible
 C++11 library implementing succinct data structures. In total, the
 library contains the highlights of 40 research publications. Succinct
 data structures can represent an object (such as a bitvector or a
 tree) in space close the information-theoretic lower bound of the
 object while supporting operations of the original object
 efficiently. The theoretical time complexity of an operations
 performed on the classical data structure and the equivalent succinct
 data structure are (most of the time) identical.
 .
 This package installs static library.

Package: libseafile-dev
Description-md5: 957b9481e32c28e9706f2189c7b0a74c
Description-en: Development files for the Seafile Client
 Seafile is a file syncing and sharing software with file encryption
 and group sharing, emphasis on reliability and high performance.
 .
 Seafile is an open source cloud storage system with privacy protection
 and teamwork features. Collections of files are called libraries. Each
 library can be synced separately. A library can also be encrypted with
 a user chosen password. Seafile also allows users to create groups and
 easily sharing files into groups.
 .
 This package contains the development files for Seafile.

Package: libseafile0
Description-md5: 0341b2a7bbf83db6ff86ccb1e3df910f
Description-en: Shared library for the Seafile Client
 Seafile is a file syncing and sharing software with file encryption
 and group sharing, emphasis on reliability and high performance.
 .
 Seafile is an open source cloud storage system with privacy protection
 and teamwork features. Collections of files are called libraries. Each
 library can be synced separately. A library can also be encrypted with
 a user chosen password. Seafile also allows users to create groups and
 easily sharing files into groups.
 .
 This package contains the shared library for Seafile.

Package: libsearch-elasticsearch-client-1-0-perl
Description-md5: 219a4c1c6224893df97d895a5e262389
Description-en: Module to add client support for Elasticsearch 1.x
 libsearch-elasticsearch-client-1-0-perl relies on libsearch-elasticsearch-perl
 and adds support for Elasticsearch 1.x specific API.
 libsearch-elasticsearch-client-2-0-perl should be used for specific 2.x API.
 Newer versions rely ( >= 5.x ) on libsearch-elasticsearch-perl.

Package: libsearch-elasticsearch-client-2-0-perl
Description-md5: bf6393552f27b70d13b87f4d20f74aca
Description-en: Thin client with full support for Elasticsearch 2.x APIs
 libsearch-elasticsearch-client-2-0-perl relies on libsearch-elasticsearch-perl
 and adds support for Elasticsearch 2.x specific API.
 libsearch-elasticsearch-client-1-0-perl should be used for specific 1.x API.
 Newer versions ( >= 5.x ) rely on libsearch-elasticsearch-perl.

Package: libsearch-elasticsearch-perl
Description-md5: 79d603e1109d42a032d09e0b6ad4ca66
Description-en: Perl client for Elasticsearch
 Search::Elasticsearch is the official Perl client for Elasticsearch,
 supported by elasticsearch.com <http://www.elasticsearch.com>.
 .
 Elasticsearch itself is a flexible and powerful open source, distributed
 real-time search and analytics engine for the cloud. You can read more
 about it on elastic.co <http://www.elastic.co>.

Package: libsearch-gin-perl
Description-md5: 2f0fb2f7d79e41704630ae42338edca7
Description-en: Perl module for Generalized Inverted Indexing
 Search::GIN is a Perl module providing an implementaiton of a Generalized
 Inverted Index. An inverted index is a structure storing pairs of keys and
 posting lists, where a posting list is a set of documents in which the key
 occurs. It is generalized in the sense that it does not know what operation
 it accelerates and in general is used to provide fast and scalable full-text
 search facilities.

Package: libsearch-queryparser-perl
Description-md5: ae89962cf038263edbfc4a91b054a0d7
Description-en: Perl module to parse URI query strings into a data structure
 Search::QueryParser parses URI query strings into a data structure to be
 handled by external search engines.
 .
 The query string can contain simple terms, "exact phrases", field names and
 comparison operators, '+/-' prefixes, parentheses, and boolean connectors.
 .
 The parser can be parameterized by regular expressions for specific notions
 of "term", "field name" or "operator" ; see the new method. The parser has no
 support for lemmatization or other term transformations : these should be
 done externally, before passing the query data structure to the search
 engine.

Package: libsearch-xapian-perl
Description-md5: a255a0ea45e7ee72d48d4fc52560c624
Description-en: Perl bindings for the Xapian search library
 Search::Xapian provides Perl bindings for the Xapian Open Source Search
 Engine library.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications. It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching. It is highly scalable and is capable of working with
 collections containing hundreds of millions of documents.

Package: libsearpc-dev
Description-md5: 0b7eddfd64421bce44181f9a70e7adfc
Description-en: Development files for the Searpc framework
 Searpc handles the serialization/deserialization part of RPC, the
 transport part is left to users.
 .
 This package contains the development files for Searpc.

Package: libsearpc1
Description-md5: 588103ce0f5a92887ad6334de8a13020
Description-en: Shared library for the Searpc framework
 Searpc is a simple C language RPC framework based on GObject system.
 Searpc handles the serialization/deserialization part of RPC, the
 transport part is left to users.
 .
 This package contains the shared library for Searpc.

Package: libsecp256k1-0
Description-md5: 74c932227c9f717fb83d72eb42c4fa03
Description-en: library for EC operations on curve secp256k1
 An optimized C library for EC operations on curve secp256k1.
 .
 NB! This library is a work in progress and is being used to research
 best practices. Use at your own risk.
 .
 Features:
  * secp256k1 ECDSA signing/verification and key generation.
  * Adding/multiplying private/public keys.
  * Serialization/parsing of private keys, public keys, signatures.
  * Constant time, constant memory access signing and pubkey generation.
  * Derandomized DSA (via RFC6979 or with a caller provided function.)
  * Very efficient implementation.

Package: libsecp256k1-dev
Description-md5: 75da02aa6aa4d516a8ce1c2956b4c464
Description-en: library for EC operations on curve secp256k1 - development headers
 An optimized C library for EC operations on curve secp256k1.
 .
 NB! This library is a work in progress and is being used to research
 best practices. Use at your own risk.
 .
 Features:
  * secp256k1 ECDSA signing/verification and key generation.
  * Adding/multiplying private/public keys.
  * Serialization/parsing of private keys, public keys, signatures.
  * Constant time, constant memory access signing and pubkey generation.
  * Derandomized DSA (via RFC6979 or with a caller provided function.)
  * Very efficient implementation.
 .
 This package provides header files for developing your applications to
 use libbitcoin template engine.

Package: libsecret-tools
Description-md5: 09678ba55eb8a000bae6c30075d2aa24
Description-en: tool for storing and retrieving GObject passwords
 This package provides a command line tool using libsecret to access
 the freedesktop.org Secret Service API. This can be used to store
 and retrieve passwords for desktop applications.

Package: libsedlex-ocaml
Description-md5: 1040c26e3c20fb4142705cc30e740f7d
Description-en: Unicode-friendly lexer generator for OCaml (Runtime library)
 A lexer generator for OCaml, similar to ocamllex, but supporting Unicode.
 Contrary to ocamllex, lexer specifications for sedlex are embedded in regular
 OCaml source files.

Package: libsedlex-ocaml-dev
Description-md5: a1e4113da7a42f3c2896ddf47adb2b4f
Description-en: Unicode-friendly lexer generator for OCaml (Development package)
 A lexer generator for OCaml, similar to ocamllex, but supporting Unicode.
 Contrary to ocamllex, lexer specifications for sedlex are embedded in regular
 OCaml source files.

Package: libsegment-java
Description-md5: c94f655d5fd8652fa091ab38811bb09f
Description-en: Rule based text splitting library
 Segment library is used to split text into segments, for example sentences.
 Splitting rules are read from SRX file, which is standard format for this
 task.

Package: libsegyio-dev
Description-md5: 49e006cd8869a10d79e1944887dfa5ad
Description-en: SEG-Y read/write library for seismic processing (development)
 segyio is a fast and practical library for reading and writing seismic data in
 SEG-Y format. segyio is random access oriented for modern computers with
 simple read/write primitives on lines.
 .
 This package includes the header files and the static library.

Package: libsegyio1
Description-md5: d2ef15b2c7e912f79fcb31d444f54cc8
Description-en: SEG-Y read/write library for seismic processing (runtime)
 segyio is a fast and practical library for reading and writing seismic data in
 SEG-Y format. segyio is random access oriented for modern computers with
 simple read/write primitives on lines.

Package: libsejda-eventstudio-java
Description-md5: f61028fbd78172547efb03930caa04cd
Description-en: pure Java event bus implementation
 EventStudio is a pure Java event bus implementation providing the
 publish-subscribe pattern with events queue capabilities for intra-jvm event
 communication. It is thread safe, has a very simple API and any POJO can be an
 event.

Package: libsejda-injector-java
Description-md5: 1d165fe9a7f783a2e09b437ea1ed0e59
Description-en: lightweight dependency injection engine
 Sejda-injector is a simple dependency injection engine based on a similar
 library called Feather and is mainly used in PDFsam. Dependency injection is a
 technique whereby one object supplies the dependencies of another object.

Package: libsejda-io-java
Description-md5: 22591e182e75a080237386621d2efd52
Description-en: layer of Input/Output classes built on top of Java IO and NIO
 Sejda-io is a simple Input/Output layer built on top of Java standard IO and
 NIO packages. It is mainly used in Sejda, a PDF library, to ease its further
 development.

Package: libsejda-java
Description-md5: 1ff69dcc2706911ea91c9e7d5e2fc163
Description-en: extendible PDF manipulation layer library written in Java
 Sejda SDK is a task oriented PDF editor SDK library written in Java. It
 offers a set of "ready to go" manipulations implemented using SAMBox but it is
 also possible to provide your own implementation using iText, iText 5.x,
 PDFBox or any other PDF engine and configure Sejda to use it.

Package: libsemver-java
Description-md5: a81a981773628a9298ef5ff7bf9b290c
Description-en: Java implementation of the SemVer Specification
 jsemver provides an API to parse, build and compare version
 numbers following the Semantic Versioning Specification
 (http://semver.org).

Package: libsemver-java-doc
Description-md5: bce6dea697b83846ab8dc940393a54b5
Description-en: Documentation for Java SemVer
 jsemver provides an API to parse, build and compare version
 numbers following the Semantic Versioning Specification
 (http://semver.org).
 .
 This package contains the API documentation of libsemver-java.

Package: libsemver-perl
Description-md5: 8b5f433a2eb08cb83e34dab9c95b361a
Description-en: Perl implementation of the Semantic Versioning 2.0.0 Specification
 This module named SemVer subclasses "version" to create semantic
 versions, as defined by the Semantic Versioning 2.0.0 Specification.
 .
 See https://semver.org/ for more information about the Semantic
 Versioning Specification.

Package: libsendmail-milter-perl
Description-md5: 3733329fa90cc8f45eacbf229c094854
Description-en: Interface to Sendmail's Mail Filter API
 Sendmail::Milter is a Perl extension to sendmail's Mail Filter API
 (Milter).
 .
 With this module, Perl callbacks can be defined and registered with
 the Milter engine. This module calls those perl callbacks using
 interpreters from a threaded persistent interpreter pool.

Package: libsendmail-pmilter-perl
Description-md5: bcaab656aec36389739734474fc8cf52
Description-en: Perl implementation of the Sendmail Milter protocol
 PMilter is an attempt to reimplement Sendmail's milter (mail filter)
 protocol in pure Perl. There are many reasons for this, including
 independence from Sendmail's libmilter, as well as freedom from POSIX
 threads (helps stability for Perl filters), etc.
 .
 Most of PMilter's Sendmail::Milter interface is a clone of the
 frontend functions in PMilter::Server. However, this compatibility
 package also includes some methods specific to the Sendmail MTA,
 which are deliberately not included in PMilter::Server.

Package: libsensor-msgs-dev
Description-md5: b0b1e48991ec2f5ab8d60a7b9b0eeb70
Description-en: Messages relating to Robot OS sensor, C/C++ interface
 This package is part of Robot OS (ROS).
 This package defines messages for commonly used sensors, including
 cameras and scanning laser rangefinders.
 .
 This package contains the generated headers files.

Package: libsensors-applet-plugin-dev
Description-md5: 80530ec173d1f160ced9c5788fa01353
Description-en: Create plugins for the 'sensors-applet' package
 GNOME Sensors Applet is an applet for the GNOME panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 This package contains the files necessary to develop plugins for the
 applet.

Package: libsensors-applet-plugin0
Description-md5: 1af5fc3dff9c9f978b0eef016525fcc3
Description-en: Library for plugins for the 'sensors-applet' package
 GNOME Sensors Applet is an applet for the GNOME panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 This package contains the library that is used to create plugins for
 the applet.

Package: libsentencepiece-dev
Description-md5: 1c968e7ac65dd102ee35d7420ba46536
Description-en: Header files of SentencePiece
 SentencePiece is an unsupervised text tokenizer/detokenizer mainly
 designed for Neural Network-based text generation systems where the
 vocabulary size is predetermined prior to the neural model training.

Package: libsentencepiece0
Description-md5: 065d8ce0a3126c9191f95a3fc5e99589
Description-en: Library files of SentencePiece
 SentencePiece is an unsupervised text tokenizer/detokenizer mainly
 designed for Neural Network-based text generation systems where the
 vocabulary size is predetermined prior to the neural model training.

Package: libseqan2-dev
Description-md5: 11c14cc07571e96bb6bb3aab89d79ab3
Description-en: C++ library for the analysis of biological sequences (development)
 SeqAn is a C++ template library of efficient algorithms and data
 structures for the analysis of sequences with the focus on
 biological data. This library applies a unique generic design that
 guarantees high performance, generality, extensibility, and
 integration with other libraries. SeqAn is easy to use and
 simplifies the development of new software tools with a minimal loss
 of performance.
 .
 This package contains the developer files.

Package: libseqan3-dev
Description-md5: c30ad58589a797839d6d207537338644
Description-en: C++ library for the analysis of biological sequences v3 (development)
 SeqAn is a C++ template library of efficient algorithms and data
 structures for the analysis of sequences with the focus on
 biological data. This library applies a unique generic design that
 guarantees high performance, generality, extensibility, and
 integration with other libraries. SeqAn is easy to use and
 simplifies the development of new software tools with a minimal loss
 of performance.
 .
 This package contains the developer files.

Package: libseqlib-dev
Description-md5: 28b7ac82b2eed617ed6e66b7fee02d12
Description-en: C++ htslib/bwa-mem/fermi interface for interrogating sequence data (dev)
 C++ API and command line tool that provides a rapid and user-friendly
 interface to BAM/SAM/CRAM files, global sequence alignment operations
 and sequence assembly. Four C libraries perform core operations in
 SeqLib: HTSlib for BAM access, BWA-MEM and BLAT for sequence alignment
 and Fermi for error correction and sequence assembly. Benchmarking
 indicates that SeqLib has lower CPU and memory requirements than leading
 C++ sequence analysis APIs. Minimal SeqLib code can extract, error-correct
 and assemble reads from a CRAM file and then align with BWA-MEM.
 SeqLib also provides additional capabilities, including chromosome-aware
 interval queries and read plotting. Command line tools are available for
 performing integrated error correction, micro-assemblies and alignment.
 .
 This package contains the header files and static library.

Package: libseqlib1
Description-md5: 346127beb1a0da07603dfaeecb9a64e2
Description-en: C++ htslib/bwa-mem/fermi interface for interrogating sequence data
 C++ API and command line tool that provides a rapid and user-friendly
 interface to BAM/SAM/CRAM files, global sequence alignment operations
 and sequence assembly. Four C libraries perform core operations in
 SeqLib: HTSlib for BAM access, BWA-MEM and BLAT for sequence alignment
 and Fermi for error correction and sequence assembly. Benchmarking
 indicates that SeqLib has lower CPU and memory requirements than leading
 C++ sequence analysis APIs. Minimal SeqLib code can extract, error-correct
 and assemble reads from a CRAM file and then align with BWA-MEM.
 SeqLib also provides additional capabilities, including chromosome-aware
 interval queries and read plotting. Command line tools are available for
 performing integrated error correction, micro-assemblies and alignment.

Package: libsequence-library-java
Description-md5: b16f9fd66ae07a6e65e434e219cfead8
Description-en: Textual Diff and Merge Library
 This library provides utility classes allowing manipulation with sequences of
 characters such as searching for longest common subsequence of two strings,
 finding common blocks and creating text or binary diff files.

Package: libserd-0-0
Description-md5: bd369f9d79094ee5fb84979d4917e214
Description-en: lightweight RDF syntax library
 Serd is a lightweight C library for RDF syntax which supports reading
 and writing Turtle and NTriples.
 .
 Serd is not intended to be a swiss-army knife of RDF syntax, but rather
 is suited to resource limited applications, or situations where a simple
 reader/writer with minimal dependencies is ideal (e.g. in LV2 hosts or
 plugins).
 .
 Serd is:
  * small: Serd is implemented in under 2500 lines1 of standard C code.
  * portable and dependency-free: Serd uses only the C standard library,
    and has no external dependencies, making it a lightweight dependency
    in every sense.
  * fast and lightweight: Serd (and the included serdi tool) can be used
    to stream abbreviated Turtle (unlike many other tools which can not
    stream since they must first build an internal model to abbreviate).
    In other words, Serd can re-serialise an unbounded amount of Turtle
    using a fixed amount of memory, preserving the abbreviations in the
    input.
  * conformant and well-tested: Serd is written to the Turtle, NTriples
    and URI specifications, and includes a comprehensive test suite which
    includes all the normative examples from the Turtle specification, all
    the "normal" examples from the URI specification, and additional tests
    added specifically for Serd. The test suite has over 96% code coverage
    (by line), and runs with zero memory errors or leaks.

Package: libserd-dev
Description-md5: 2f89c23569eb34b99cbefbdff1ea5390
Description-en: lightweight RDF syntax library - development files
 Serd is a lightweight C library for RDF syntax which supports reading
 and writing Turtle and NTriples.
 .
 This package provides the development files for Serd.

Package: libserd-doc
Description-md5: e8aadcdff55df352860e3f2d599ca011
Description-en: lightweight RDF syntax library - documentation
 Serd is a lightweight C library for RDF syntax which supports reading
 and writing Turtle and NTriples.
 .
 This package provides the developer's reference for serd.

Package: libserf-1-1
Description-md5: 0ddd0a211e1720f4d5dc567d33bbeb7c
Description-en: high-performance asynchronous HTTP client library
 serf library is a C-based HTTP client library built upon the Apache
 Portable Runtime (APR) library.  It multiplexes connections, running the
 read/write communication asynchronously.  Memory copies and
 transformations are kept to a minimum to provide high performance
 operation.

Package: libserf-dev
Description-md5: 1d5e42006c42271fcb2927cdcd32b348
Description-en: high-performance asynchronous HTTP client library headers
 serf library is a C-based HTTP client library built upon the Apache
 Portable Runtime (APR) library.  It multiplexes connections, running the
 read/write communication asynchronously.  Memory copies and
 transformations are kept to a minimum to provide high performance
 operation.
 .
 This package contains development headers for serf.

Package: libserial-dev
Description-md5: ee95edf4acf2fda26a155c4284803616
Description-en: Serial port programming in C++ -- development files
 A collection of C++ classes which allow the serial port on POSIX systems to be
 accessed like an iostream object. Special functions are provided for setting
 various parameters of the serial port such as the baud rate, character size,
 flow control and other.
 .
 This package contains the development libraries and headers

Package: libserial-doc
Description-md5: c9733b6bda7e674d402e989708f7768d
Description-en: Serial port programming in C++ -- documentation
 A collection of C++ classes which allow the serial port on POSIX systems to be
 accessed like an iostream object. Special functions are provided for setting
 various parameters of the serial port such as the baud rate, character size,
 flow control and other.
 .
 Provides the documentation for the library and development packages

Package: libserial1
Description-md5: 74e8478d2a9bf955147ef52c8b26b2a3
Description-en: Serial port programming in C++ under POSIX operating system
 A collection of C++ classes which allow the serial port on POSIX systems to be
 accessed like an iostream object. Special functions are provided for setting
 various parameters of the serial port such as the baud rate, character size,
 flow control and other.
 .
 Provides the libserial library

Package: libserializer-java
Description-md5: fb69eaed048a29bb71c06a2395c0aa5a
Description-en: general serializaton framework
 This library module contains a general serialization framework. It simplifies
 the task of writing custom serialization handlers for non-serializable classes.

Package: libserialport-dev
Description-md5: 64d301e6e849a365f73ac2d8457d1a8f
Description-en: Crossplatform serial port handling library - development files
 libserialport is a minimal, cross-platform shared library that is intended
 to take care of the OS-specific details when writing software that uses
 serial ports.
 .
 This package contains the header files and other development files.

Package: libserialport0
Description-md5: 6ff69924a185f7208c4a743671869971
Description-en: Crossplatform serial port handling library - shared library
 libserialport is a minimal, cross-platform shared library that is intended
 to take care of the OS-specific details when writing software that uses
 serial ports.
 .
 This package contains the shared library.

Package: libseriousproton-dev
Description-md5: a2432a819f1b8bd0e372655fb0c9250e
Description-en: C++ game engine -- development files
 SeriousProton is implemented atop SFML, from scratch.
 .
 “There will be dragons and undocumented stuff in here.”
 .
 This package contains the development files (CMake, headers, ...)

Package: libseriousproton0
Description-md5: 1d8bfaeff66f00df5aed287d298f5c52
Description-en: C++ game engine -- shared library
 SeriousProton is implemented atop SFML, from scratch.
 .
 “There will be dragons and undocumented stuff in here.”
 .
 This package contains the shared library.

Package: libserp-java
Description-md5: 1be7c08aca3440f0b4ec733afcec9861
Description-en: Java Virtual Machine bytecode manipulation framework
 The goal of the serp bytecode framework is to tap the full power of bytecode
 modification while lowering its associated costs.
 .
 The framework provides a set of high-level APIs for manipulating all aspects
 of bytecode, from large-scale structures like class member fields to the
 individual instructions that comprise the code of methods.
 .
 While in order to perform any advanced manipulation, some understanding of the
 class file format and especially of the JVM instruction set is necessary, the
 framework makes it as easy as possible to enter the world of bytecode
 development.

Package: libserp-java-doc
Description-md5: c0a4397d96bdc193799f11def6182fec
Description-en: Documentation for libserp-java
 Documentation of the serp bytecode framework that has as goal to tap the full
 power of bytecode modification while lowering its associated costs.
 .
 The framework provides a set of high-level APIs for manipulating all aspects
 of bytecode, from large-scale structures like class member fields to the
 individual instructions that comprise the code of methods.
 .
 While in order to perform any advanced manipulation, some understanding of the
 class file format and especially of the JVM instruction set is necessary, the
 framework makes it as easy as possible to enter the world of bytecode
 development.

Package: libserver-starter-perl
Description-md5: 4f73c904dc55de5ad3bf5674d8d2016d
Description-en: superdaemon for hot-deploying Perl server programs
 It is often a pain to write a server program that supports graceful restarts,
 with no resource leaks. Server::Starter solves the problem by splitting the
 task into two. One is start_server, a script provided as a part of the module,
 which works as a superdaemon that binds to zero or more TCP ports or unix
 sockets, and repeatedly spawns the server program that actually handles the
 necessary tasks (for example, responding to incoming commenctions). The spawned
 server programs under Server::Starter call accept(2) and handle the requests.
 .
 To gracefully restart the server program, send SIGHUP to the superdaemon. The
 superdaemon spawns a new server program, and if (and only if) it starts up
 successfully, sends SIGTERM to the old server program.

Package: libservice-wrapper-doc
Description-md5: 75a2fd80382d103fe1e27d263f169c94
Description-en: Jar daemon wrapper (javadoc files)
 The Java Service Wrapper makes very easy to install a Java Application as a
 daemon process on Unix systems. The Wrapper monitors a JVM (Java Virtual
 Machine) process and automatically restarts it if it that the JVM has crashed
 or hung. This process takes just a few seconds once the Wrapper has decided
 there is a problem. It also gives the ability to handle process priority.
 .
 This package contains the javadoc files.

Package: libservice-wrapper-java
Description-md5: 609e3c08b9f3180259a3f02706b9e374
Description-en: Jar daemon wrapper java libraries
 This Package contains the Java jar libraries used by the Java Service Wrapper.
 It can be used to handle wrapper specific attributes.
 .
 The Java Service Wrapper makes very easy to install a Java Application as a
 daemon process on Unix systems. The Wrapper monitors a JVM (Java Virtual
 Machine) process and automatically restarts it if it that the JVM has crashed
 or hung. This process takes just a few seconds once the Wrapper has decided
 there is a problem. It also gives the ability to handle process priority.

Package: libservice-wrapper-jni
Description-md5: 2487638ce372a9da038a5f06eb17f25a
Description-en: Jar daemon wrapper JNI libraries
 This Package contains the JNI libraries used by the Java Service Wrapper.
 .
 The Java Service Wrapper makes very easy to install a Java Application as a
 daemon process on Unix systems. The Wrapper monitors a JVM (Java Virtual
 Machine) process and automatically restarts it if it that the JVM has crashed
 or hung. This process takes just a few seconds once the Wrapper has decided
 there is a problem. It also gives the ability to handle process priority.

Package: libservlet-api-java
Description-md5: c942588df237a55e3cfaa3baa4bc61cf
Description-en: Java Servlet API
 The Servlet API is the Java platform technology of choice for interacting
 with the web. Servlets provide a component-based, platform-independent
 method, for building web-based applications generating dynamic content.
 Servlets are managed by a container and interact with web clients via a
 request/response paradigm.

Package: libservlet-api-java-doc
Description-md5: 06944ab57215b34065b7865853566f12
Description-en: Java Servlet API (documentation)
 The Servlet API is the Java platform technology of choice for interacting
 with the web. Servlets provide a component-based, platform-independent
 method, for building web-based applications generating dynamic content.
 Servlets are managed by a container and interact with web clients via a
 request/response paradigm.
 .
 This package contains the API documentation of libservlet-api-java.

Package: libservlet3.1-java
Description-md5: 9bffcb516c5a59b32245d52982d65af1
Description-en: Java Servlet API 3.1 (transitional package)
 The Servlet API is the Java platform technology of choice for interacting
 with the web. Servlets provide a component-based, platform-independent
 method, for building web-based applications generating dynamic content.
 Servlets are managed by a container and interact with web clients via a
 request/response paradigm.
 .
 This is a transitional package, the other JavaEE packages should be used
 instead.

Package: libsession-storage-secure-perl
Description-md5: 7e6017027671ec7ed833f88a413c2862
Description-en: module implementing a secure way to encode session data
 Session::Storage::Secure provides encrypted, expiring, compressed,
 serialized session data with integrity. It is primarily intended
 for storing session data in browser cookies, but could be used with
 other backend storage where security of stored session data is
 important.

Package: libsession-token-perl
Description-md5: 233227079dccae6a7e5011c3e35bc699
Description-en: secure, efficient, simple random session token generation
 Session::Token provides a secure, efficient, and simple interface for
 creating session tokens, password reset codes, temporary passwords, random
 identifiers, and anything else you can think of.
 .
 This module is one of the recommended password generators in the comparison
 conducted by Neil Bowers (http://neilb.org/reviews/passwords.html) and is
 characterized as "is very fast and takes random number generation very
 seriously".

Package: libset-crontab-perl
Description-md5: e5f7651a46f5b8ea055491bb513d05c1
Description-en: Expand crontab-style integer lists
 Set::Crontab parses crontab-style lists of integers and defines
 some utility functions to make it easier to deal with them.

Package: libset-infinite-perl
Description-md5: e1f95a9bf355dd13c353cf826d2f8240
Description-en: module for sets of intervals
 Set::Infinite is a Set Theory module for infinite sets.
 .
 It works with reals, integers, and objects.
 .
 When it is used dates, this module provides schedule checks (intersections),
 unions, and infinite recurrences.

Package: libset-intervaltree-perl
Description-md5: 337103aef22b2d835237f87ceeb0dde4
Description-en: Perform range-based lookups on sets of ranges
 Set::IntervalTree uses Interval Trees to store and efficiently look up ranges
 using a range-based lookup.
 .
 All intervals are half-open, i.e. [1,3), [2,6), etc.

Package: libset-intspan-perl
Description-md5: 94b2e62a031ea72de103f50cecedbe4a
Description-en: module to manage sets of integers
 The Set::IntSpan module manages sets of integers.
 It is optimized for sets that have long runs of consecutive integers.
 These arise, for example, in .newsrc files, which maintain lists of articles.

Package: libset-nestedgroups-perl
Description-md5: f110948a53748a09904c0e739b91220b
Description-en: Simple implementation of nested groups
 Set::NestedGroups gives an implementation of nested groups,
 access control lists (ACLs) would be one example of
 nested groups.
 .
 For example, if Joe is a Manager, and Managers have access to payroll,
 you can create an ACL which implements these rules, then ask the ACL
 if Joe has access to payroll.
 .
 Another example, you may wish to track which city, state and country
 people are in, by adding people to cities, cities to states, and states
 to countries.
 .
 This module includes some facilities to integrate its data structures
 with either files in the local filesystem and with tables in a RDBMS.

Package: libset-object-perl
Description-md5: 419a6286ee730a11b605bae55ba8b5f0
Description-en: collection of objects without duplications
 Set::Object module implements a Set of objects, that is, a collection of
 objects without duplications. It is similar to a Smalltalk
 IdentitySet.

Package: libset-scalar-perl
Description-md5: ceb4401cf2a2d6e0426d2ab4f3544179
Description-en: Perl interface for operations on finite sets
 Set::Scalar provides an interface for working with finite, unordered sets of
 elements: creating, modifying, displaying, comparing and deriving them; the
 computation of power sets and the cartesian product of sets. It also provides
 overloaded operators for most operations.

Package: libset-tiny-perl
Description-md5: 59e524054bb65f1b4e49894aa14bd4f5
Description-en: module for simple sets of strings
 Set::Tiny is a thin wrapper around regular Perl hashes to perform often
 needed set operations, such as testing two sets of strings for equality, or
 checking whether one is contained within the other.
 .
 For a more complete implementation of mathematical set theory, see
 Set::Scalar. For sets of arbitrary objects, see Set::Object.

Package: libsexplib-ocaml
Description-md5: 5d7e08d0ce493f6c16c25ace815374a7
Description-en: automated conversions between OCaml-values and S-expressions (runtime)
 Sexplib library contains functionality for parsing and pretty-printing
 S-expressions.
 .
 Another module contained in Sexplib you to extract and replace
 sub-expressions in S-expressions.
 .
 This package contains runtime files.

Package: libsexplib-ocaml-dev
Description-md5: 115a9b4782d29dc2708535a3211c31f2
Description-en: automated conversions between OCaml-values and S-expressions (dev files)
 Sexplib library contains functionality for parsing and pretty-printing
 S-expressions.
 .
 Another module contained in Sexplib you to extract and replace
 sub-expressions in S-expressions.
 .
 This package contains development files.

Package: libsexplib0-ocaml
Description-md5: d9d155c0358960b746dd028814bee35a
Description-en: S-expression library (runtime)
 Part of Jane Street's Core library. The Core suite of libraries is an
 industrial strength alternative to OCaml's standard library that was
 developed by Jane Street, the largest industrial user of OCaml.
 .
 This package contains runtime files.

Package: libsexplib0-ocaml-dev
Description-md5: f60a0745bfc56c156dd10c66193344d3
Description-en: S-expression library (development)
 Part of Jane Street's Core library. The Core suite of libraries is an
 industrial strength alternative to OCaml's standard library that was
 developed by Jane Street, the largest industrial user of OCaml.
 .
 This package contains development files.

Package: libsezpoz-java
Description-md5: 5cd5bb7c400e08dd1695433ab9eaf709
Description-en: Lightweight library for modular service lookups
 SezPoz is a lightweight and simple-to-learn library that lets you perform
 modular service lookups.  It provides some of the same capabilities as
 (for example) java.util.ServiceLoader, Eclipse extension points, and NetBeans
 Lookup and XML layers. However, SezPoz has some special advantages:
 .
  * The service registrations are made just using type-checked Java
    annotations. There are no configuration files to edit, and your
    Java IDE can show you registrations since they are simply usages
    of an annotation.
  * You can register individual objects (values of static fields or
    methods) instead of whole classes.
  * You can associate static metadata with each implementation, using
    regular annotation values. The caller can choose to inspect the
    metadata without loading the actual implementation object
    (as with Eclipse extension points).

Package: libsezpoz-java-doc
Description-md5: 12779bab97678feb63b29fd4c912b366
Description-en: Documentation for SezPoz
 SezPoz is a lightweight and simple-to-learn library that lets you perform
 modular service lookups.  It provides some of the same capabilities as
 (for example) java.util.ServiceLoader, Eclipse extension points, and NetBeans
 Lookup and XML layers. However, SezPoz has some special advantages:
 .
  * The service registrations are made just using type-checked Java
    annotations. There are no configuration files to edit, and your
    Java IDE can show you registrations since they are simply usages
    of an annotation.
  * You can register individual objects (values of static fields or
    methods) instead of whole classes.
  * You can associate static metadata with each implementation, using
    regular annotation values. The caller can choose to inspect the
    metadata without loading the actual implementation object
    (as with Eclipse extension points).
 .
 This package provides the API documentation for libsezpoz-java.

Package: libsfark-dev
Description-md5: ade87af1aa1f65ea8e04c30506d0a236
Description-en: Header files for sfArk library
 sfArk is a lossless audio compression format optimized for SoundFont files.
 This library can decompress such files into .sf SoundFont files.
 .
 Only sfArk V2+ files are supported by this library.
 .
 This is the development package for developing applications that depend on
 libsfark.

Package: libsfark0
Description-md5: fa0e4f5ad43a08ab3e97078ae5a3088c
Description-en: Library for decompressing sfArk soundfonts
 sfArk is a lossless audio compression format optimized for SoundFont files.
 This library can decompress such files into .sf SoundFont files.
 .
 Only sfArk V2+ files are supported by this library.

Package: libsfasan5-armhf-cross
Description-md5: 77c217b098bf047a48eb517165c699da
Description-en: AddressSanitizer -- a fast memory error detector (soft float ABI)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libsfasan6-armhf-cross
Description-md5: 77c217b098bf047a48eb517165c699da
Description-en: AddressSanitizer -- a fast memory error detector (soft float ABI)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libsfatomic1-armhf-cross
Description-md5: 5c3acc5a21acb172bca714a7ede8c293
Description-en: support library providing __atomic built-in functions (soft float ABI)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libsfcgal-dev
Description-md5: 919dd9da004d2326cc5d43dcd247d649
Description-en: Library for ISO 19107:2013 and OGC SFA 1.2 for 3D operations (development)
 SFCGAL is a C++ wrapper library around CGAL with the aim of
 supporting ISO 19107:2013 and OGC Simple Features Access 1.2 for 3D
 operations.
 .
 SFCGAL provides standard compliant geometry types and operations,
 that can be accessed from its C or C++ APIs. PostGIS uses the C API,
 to expose some SFCGAL's functions in spatial databases (cf. PostGIS
 manual).
 .
 This package provides the development files.

Package: libsfcgal1
Description-md5: ba920888b0d3478a43c333f82749e116
Description-en: Library for ISO 19107:2013 and OGC SFA 1.2 for 3D operations
 SFCGAL is a C++ wrapper library around CGAL with the aim of
 supporting ISO 19107:2013 and OGC Simple Features Access 1.2 for 3D
 operations.
 .
 SFCGAL provides standard compliant geometry types and operations,
 that can be accessed from its C or C++ APIs. PostGIS uses the C API,
 to expose some SFCGAL's functions in spatial databases (cf. PostGIS
 manual).
 .
 This package provides the shared library.

Package: libsfcutil0
Description-md5: af1475c38a5f57a90833fceb6420d6da
Description-en: sfcCommon libraries
 This package provides a common library for functions
 shared between sfcb and sfcc.

Package: libsfcutil0-dev
Description-md5: edca865622acfbdf20ec411dcf983ead
Description-en: sfcCommon development headers
 This package provides a common library for functions
 shared between sfcb and sfcc.

Package: libsfgcc-10-dev-armhf-cross
Description-md5: 0a946370cc1bda3e49c72e1e875c6da8
Description-en: GCC support library (soft float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libsfgcc-8-dev-armhf-cross
Description-md5: 0a946370cc1bda3e49c72e1e875c6da8
Description-en: GCC support library (soft float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libsfgcc-9-dev-armhf-cross
Description-md5: 0a946370cc1bda3e49c72e1e875c6da8
Description-en: GCC support library (soft float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libsfgcc-s1-armhf-cross
Description-md5: 9f1ae6a28d90ffd9dd3d0bc04c2d2cd8
Description-en: GCC support library (armhf) (soft float ABI)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libsfgfortran-10-dev-armhf-cross
Description-md5: 74ea81c9f0670b35ce8cd3205d466b98
Description-en: Runtime library for GNU Fortran applications (soft float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libsfgfortran-8-dev-armhf-cross
Description-md5: 74ea81c9f0670b35ce8cd3205d466b98
Description-en: Runtime library for GNU Fortran applications (soft float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libsfgfortran-9-dev-armhf-cross
Description-md5: 74ea81c9f0670b35ce8cd3205d466b98
Description-en: Runtime library for GNU Fortran applications (soft float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libsfgfortran5-armhf-cross
Description-md5: 1f1e920680dffda67addfa653d51a350
Description-en: Runtime library for GNU Fortran applications (soft float ABI)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libsfgomp1-armhf-cross
Description-md5: 86f6cc73df5b807fdb91c11e7ccae5e9
Description-en: GCC OpenMP (GOMP) support library (soft float ABI)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libsfgphobos-10-dev-armhf-cross
Description-md5: b261bafbd9d93f25840b1fefa62281ad
Description-en: Phobos D standard library (soft float ABI development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos-8-dev-armhf-cross
Description-md5: b261bafbd9d93f25840b1fefa62281ad
Description-en: Phobos D standard library (soft float ABI development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos-9-dev-armhf-cross
Description-md5: b261bafbd9d93f25840b1fefa62281ad
Description-en: Phobos D standard library (soft float ABI development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos1-armhf-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos76-armhf-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfml-audio2.5
Description-md5: 9c8bd9837ed81a5452d418554ccca0e0
Description-en: Simple and Fast Multimedia Library - Audio part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 .
 The Audio library is used to play sound and music in games. It is able to
 load OGG/Vorbis files.

Package: libsfml-dev
Description-md5: 488c4b12fb44d3d79e5db282c5a443f4
Description-en: Simple and Fast Multimedia Library - Development Files
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 .
 This package includes header files for all SFML libraries.

Package: libsfml-doc
Description-md5: 6aef1e2ea474deeca999388baa5fbb0f
Description-en: Simple and Fast Multimedia Library - Documentation
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 .
 This package includes the library reference and examples for SFML.

Package: libsfml-graphics2.5
Description-md5: a5d215f161950e219a03acbd8caf7237
Description-en: Simple and Fast Multimedia Library - Graphics part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 .
 The Graphics library contains all the OpenGL and drawing code. It needs the
 Window library for Window creation.

Package: libsfml-network2.5
Description-md5: a69eda62ece501c5f82183902c170abb
Description-en: Simple and Fast Multimedia Library - Network part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 .
 The Network Library brings basic networking support as needed by games.

Package: libsfml-system2.5
Description-md5: 8706f508f72606b96a998331dacf0a6a
Description-en: Simple and Fast Multimedia Library - System part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 .
 The system library brings useful system utilities like multithreading and
 UNICODE handling.

Package: libsfml-window2.5
Description-md5: d24cf2c49ab4beaa2bc8a2eb664dd105
Description-en: Simple and Fast Multimedia Library - Window part
 SFML is a modern multimedia library offering a wide range of subsystems
 useful to produce a multimedia app. It offers OpenGL integration for
 Hardware accelerated Graphics, Windowing and Input support, Audio and
 Network facilities and supports GNU/Linux, MS Windows and Mac OS X.
 .
 The Window library provides basic utilities for creating and managing Windows.

Package: libsfobjc-10-dev-armhf-cross
Description-md5: a463892c3f00f5e00d2e6faa0c1a8f90
Description-en: Runtime library for GNU Objective-C applications (soft float development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libsfobjc-8-dev-armhf-cross
Description-md5: a463892c3f00f5e00d2e6faa0c1a8f90
Description-en: Runtime library for GNU Objective-C applications (soft float development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libsfobjc-9-dev-armhf-cross
Description-md5: a463892c3f00f5e00d2e6faa0c1a8f90
Description-en: Runtime library for GNU Objective-C applications (soft float development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libsfobjc4-armhf-cross
Description-md5: 1979cb3a9b63cfab420a1d89e03104a3
Description-en: Runtime library for GNU Objective-C applications (soft float ABI)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libsfst1-1.4
Description-md5: b8c061b645e2f827a98a8eadb3e8074a
Description-en: Shared library for SFST
 Contains shared library for SFST. SFST is a toolbox for the
 implementation of morphological analysers and other tools which are
 based on finite state transducer technology.

Package: libsfst1-1.4-dev
Description-md5: 7ad55eb21335f65eb1fe0ac3c0ebed8a
Description-en: Development library SFST
 Contains development files for SFST. SFST is a toolbox for the
 implementation of morphological analysers and other tools which are
 based on finite state transducer technology.

Package: libsfstdc++-10-dev-armhf-cross
Description-md5: 5c94411e364c10e6c08261a0a964d7c8
Description-en: GNU Standard C++ Library v3 (development files) (armhf)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libsfstdc++-8-dev-armhf-cross
Description-md5: 5c94411e364c10e6c08261a0a964d7c8
Description-en: GNU Standard C++ Library v3 (development files) (armhf)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libsfstdc++-9-dev-armhf-cross
Description-md5: 5c94411e364c10e6c08261a0a964d7c8
Description-en: GNU Standard C++ Library v3 (development files) (armhf)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libsfstdc++6-10-dbg-armhf-cross
Description-md5: 7e4a3bc52837008e0b4bfe305e4235c0
Description-en: GNU Standard C++ Library v3 (debug build) (armhf)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libsfstdc++6-8-dbg-armhf-cross
Description-md5: 7e4a3bc52837008e0b4bfe305e4235c0
Description-en: GNU Standard C++ Library v3 (debug build) (armhf)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libsfstdc++6-armhf-cross
Description-md5: cbcdd63090fed9d6de18cfb8ee01882e
Description-en: GNU Standard C++ Library v3 (armhf) (soft float ABI)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libsfubsan1-armhf-cross
Description-md5: 21e3ad59d7b6ceb56cee1f74de7efd95
Description-en: UBSan -- undefined behaviour sanitizer (soft float ABI)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libsgml-dtdparse-perl
Description-md5: 4a23f7c654ebc9356859dc09e93ae0d9
Description-en: Perl modules and scripts for manipulating SGML and XML DTDs
 The DTDParse collection is a set of Perl modules and scripts for manipulating
 SGML and XML Document Type Definitions (DTDs). DTDParse is designed primarily
 to aid in the understanding and documentation of DTDs.

Package: libsgml-parser-opensp-perl
Description-md5: e2c8e79605512ae4068bd723595584d3
Description-en: OpenSP Parser of SGML documents
 SGML::Parser::OpenSP provides an interface to the OpenSP SGML parser. OpenSP
 and this module are event based. As the parser recognizes parts of the
 document (say the start or end of an element), then any handlers registered
 for that type of an event are called with suitable parameters.

Package: libsgmls-perl
Description-md5: df980772a04f6f12a23eb225bc75961f
Description-en: Perl modules for processing SGML parser output
 This is a set of Perl5 routines for processing the output from the onsgmls,
 sgmls, and nsgmls SGML parsers. To make sensible use of this package you will
 need to install a suitable SGML parser as well.

Package: libsha-ocaml
Description-md5: a64c97e961ca9a38d1d6d4fe9aa2fd72
Description-en: SHA cryptographic hash functions for OCaml (runtime)
 SHA-1 produces a 160-bit digest from its input.
 SHA-256 and SHA-512 produce 256-bit and 512-bit digests
 from their inputs, respectively.
 .
 This package contains the shared runtime stub libraries.

Package: libsha-ocaml-dev
Description-md5: 50b24e46ead600a8fb2bdd28b1b5dfd9
Description-en: SHA cryptographic hash functions for OCaml (development files)
 SHA-1 produces a 160-bit digest from its input.
 SHA-256 and SHA-512 produce 256-bit and 512-bit digests
 from their inputs, respectively.
 .
 This package contains the files needed to compile OCaml programs
 that use the SHA library.

Package: libshadowsocks-libev-dev
Description-md5: 3c7217b7e344c067e0a59fb75f74161c
Description-en: lightweight and secure socks5 proxy (development files)
 Shadowsocks-libev is a lightweight and secure socks5 proxy for
 embedded devices and low end boxes.
 .
 Shadowsocks-libev was inspired by Shadowsock (in Python). It's rewritten
 in pure C and only depends on libev, mbedTLS and a few other tiny
 libraries.
 .
 This package provides C header files for the libraries.

Package: libshadowsocks-libev2
Description-md5: 36c52dec94e185a7edbe0e533c9e3024
Description-en: lightweight and secure socks5 proxy (shared library)
 Shadowsocks-libev is a lightweight and secure socks5 proxy for
 embedded devices and low end boxes.
 .
 Shadowsocks-libev was inspired by Shadowsock (in Python). It's rewritten
 in pure C and only depends on libev, mbedTLS and a few other tiny
 libraries.
 .
 This package provides shared libraries.

Package: libshairport-dev
Description-md5: df71c1c8c3dd169bc7d4df3dfe9b8cef
Description-en: emulates an AirPort Express (development files)
 This program emulates an AirPort Express for the purpose of
 streaming music from iTunes and compatible iPods. It implements
 a server for the Apple RAOP protocol. ShairPort does not support
 AirPlay v2 (video and photo streaming). It supports multiple
 simultaneous streams, if your audio output chain
 (as detected by libao) does so.
 .
 This package installs the files necessary for development.

Package: libshairport2
Description-md5: 6ccd856e3c20d7dc53eb1633cb1397c4
Description-en: emulates an AirPort Express (shared library)
 This program emulates an AirPort Express for the purpose of
 streaming music from iTunes and compatible iPods. It implements
 a server for the Apple RAOP protocol. ShairPort does not support
 AirPlay v2 (video and photo streaming). It supports multiple
 simultaneous streams, if your audio output chain
 (as detected by libao) does so.
 .
 This package installs the shared library.

Package: libshape-msgs-dev
Description-md5: f3beea90ee945ae4fa0fd1e52f73a5af
Description-en: Messages relating to Robot OS shape, C/C++ interface
 This package is part of Robot OS (ROS), and provides messages for
 commonly used sensors, including cameras and scanning laser
 rangefinders.
 .
 This package contains the generated headers files.

Package: libsharp-dev
Description-md5: 25b5303fc05a111b87db1acf35a9c2ee
Description-en: fast spherical harmonic transforms - C/C++ library
 libsharp is a library for spherical harmonic transforms (SHTs), which evolved
 from the libpsht library, addressing several of its shortcomings, such as
 adding MPI support for distributed memory systems and SHTs of fields with
 arbitrary spin, but also supporting new developments in CPU instruction sets
 like the Advanced Vector Extensions (AVX) or fused multiply-accumulate (FMA)
 instructions. The library is implemented in portable C99 and provides an
 interface that can be easily accessed from other programming languages such as
 C++, Fortran, Python etc. Generally, libsharp's performance is at least on par
 with that of its predecessor; however, significant improvements were made to
 the algorithms for scalar SHTs, which are roughly twice as fast when using the
 same CPU capabilities.
 .
 This package provides the static library and headers.

Package: libsharp0
Description-md5: 69bd5cbd96034f709fee36ea9de1a561
Description-en: fast spherical harmonic transforms - C/C++ development library
 libsharp is a library for spherical harmonic transforms (SHTs), which evolved
 from the libpsht library, addressing several of its shortcomings, such as
 adding MPI support for distributed memory systems and SHTs of fields with
 arbitrary spin, but also supporting new developments in CPU instruction sets
 like the Advanced Vector Extensions (AVX) or fused multiply-accumulate (FMA)
 instructions. The library is implemented in portable C99 and provides an
 interface that can be easily accessed from other programming languages such as
 C++, Fortran, Python etc. Generally, libsharp's performance is at least on par
 with that of its predecessor; however, significant improvements were made to
 the algorithms for scalar SHTs, which are roughly twice as fast when using the
 same CPU capabilities.
 .
 This package provides the dynamic library.

Package: libsharyanto-file-util-perl
Description-md5: 2c5f08e515fc6f6aa72245483edfc63d
Description-en: SHARYANTO's module of file related utilities
 SHARYANTO::File::Util is part of SHARYANTO::Utils, a heterogenous collection
 of modules that will eventually have their own proper distributions, but do
 not yet because they are not ready for some reason or another.
 .
 It provides a tiny collection of small, handy utilities for file processing.

Package: libsharyanto-string-util-perl
Description-md5: af22790b441d560e3a707feb25a3ba51
Description-en: SHARYANTO's module for string processing utilities
 SHARYANTO::String::Util is part of SHARYANTO::Utils, a heterogenous collection
 of modules that will eventually have their own proper distributions, but do
 not yet because they are not ready for some reason or another.
 .
 It provides a tiny collection of small, handy utilities for processing strings.

Package: libsharyanto-utils-perl
Description-md5: 176755bf2fc401f8ba68711b7b776f55
Description-en: SHARYANTO's temporary modules for various routines
 SHARYANTO::Utils is a heterogenous collection of modules that will eventually
 have their own proper distributions, but do not yet because they are not ready
 for some reason or another. For example: alpha quality code, code not yet
 properly refactored, there are still no tests and/or documentation.
 .
 Be aware that things might get moved around without prior warning.

Package: libshell-command-perl
Description-md5: 54c181253c1331f15329c58dce0e4565
Description-en: cross-platform functions emulating common shell commands
 Shell::Command is a thin wrapper around ExtUtils::Command. It is used to
 replace common UNIX commands in a tried-and-tested cross-platform way.
 .
 While ExtUtils::Command is particularly useful in Makefiles,
 Shell::Command is more convenient to use from Perl.

Package: libshell-config-generate-perl
Description-md5: feccc191fe5658ce3500bd0abf07c634
Description-en: Perl module to generate config file for any shell
 Shell::Config::Generate provides an interface for specifying shell
 configurations for different shell environments without having to worry about
 the arcane differences between shells such as csh, sh, cmd.exe and
 command.com.

Package: libshell-guess-perl
Description-md5: f0082644103894c0986d84abe4faa64d
Description-en: Perl module to make an educated guess about the shell in use
 Shell::Guess makes a reasonably aggressive attempt to determine the shell
 being employed by the user, either the shell that executed the perl script
 directly (the "running" shell), or the users' login shell (the "login"
 shell). It does this by a variety of means available to it, depending on the
 platform that it is running on.

Package: libshell-perl
Description-md5: e2e3f4c395f93335af53813807b0da33
Description-en: run shell commands transparently within perl
 The Shell module is a show case, illustrating a few Perl features.
 It shouldn't be used for production programs. Although it does provide a
 simple interface for obtaining the standard output of arbitrary commands,
 there may be better ways of achieving what you need.

Package: libshell-perl-perl
Description-md5: 2f5574e426dc2546c2d522944f0fabcd
Description-en: read-eval-print loop in Perl
 pirl is the implementation of a command-line interpreter for Perl, written
 when the author got tired of using irb when needing a calculator with a real
 language within.
 .
 Shell::Perl is the heart of the pirl script, both provided in this package.

Package: libshell-posix-select-perl
Description-md5: 4eb483ad0ce85ad4dfc0304babb976d1
Description-en: POSIX Shell's "select" loop for Perl
 Shell::POSIX::Select implements the select loop of the "POSIX" shells (Bash,
 Korn, and derivatives) for Perl. That loop is unique in two ways: it's by far
 the friendliest feature of any UNIX shell, and it's the only UNIX shell loop
 that's missing from the Perl language. Until now!
 .
 What's so great about this loop? It automates the generation of a numbered
 menu of choices, prompts for a choice, proofreads that choice and complains if
 it's invalid (at least in this enhanced implementation), and executes a
 code-block with a variable set to the chosen value. That saves a lot of coding
 for interactive programs -- especially if the menu consists of many values!
 .
 The benefit of bringing this loop to Perl is that it obviates the need for
 future programmers to reinvent the Choose-From-A-Menu wheel.

Package: libshhmsg1
Description-md5: 62a52a7b059ed1686e85df91f81689cd
Description-en: library for displaying messages - runtime
 This is Sverre H. Huseby's library for displaying messages in
 terminal based programs.  It can treat the verbosity level
 and prepend the program name if necessary.
 .
 This package contains what you need to run programs that use this library.

Package: libshhmsg1-dev
Description-md5: 552f87d6a57ce125bb6190062d480250
Description-en: library for displaying messages - development
 This is Sverre H. Huseby's library for displaying messages in
 terminal based programs.  It can treat the verbosity level
 and prepend the program name if necessary.
 .
 This package contains what you need for compiling sources that
 use this library and docs for using it in your own code.

Package: libshhopt1
Description-md5: 893605ceef8e39723580c9ed0e8dc370
Description-en: Command line option parser - runtime
 This is Sverre H. Huseby's library for parsing command line options.
 .
 Both traditional one-character options and GNU-style --long-options
 are supported. This library does a little more than standard getopt.
 .
 This package contains what you need to run programs that use this library.

Package: libshhopt1-dev
Description-md5: 5b4075fafcef600fb519390823ce1e53
Description-en: Command line option parser - development
 This is Sverre H. Huseby's library for parsing command line options.
 .
 Both traditional one-character options and GNU-style --long-options
 are supported. This library does a little more than standard getopt.
 .
 This package contains what you need for compiling sources that
 use this library.

Package: libshiboken2-dev
Description-md5: 7420eb6b147a4ac79b3542d2a3dd538d
Description-en: CPython bindings generator for C++ libraries (development files)
 Shiboken2 is a bindings generator for C++ libraries that outputs CPython
 source code. It collects information from library headers, and then
 merges modifications and handwritten code defined in the typesystem
 description.
 .
 Shiboken2 is the binding generator used to create the PySide2 bindings.
 .
 This package provides the development headers for the libshiboken2 library.

Package: libshiboken2-py3-5.14
Description-md5: 62b2f9936386ac0d38a6cfd3367d596a
Description-en: CPython bindings generator for C++ libraries (Python3 shared library)
 Shiboken2 is a bindings generator for C++ libraries that outputs CPython
 source code. It collects information from library headers, and then
 merges modifications and handwritten code defined in the typesystem
 description.
 .
 Shiboken2 is the binding generator used to create the PySide2 bindings.
 .
 This package contains the shared Python 3 library used by shiboken2.

Package: libshibresolver-dev
Description-md5: fa1c505d35de66b51aa50aa57a7e1200
Description-en: Shibboleth SP Attribute Resolver library (development)
 This package contains a Shibboleth SP Extension that provides
 externally accessible attribute resolver functionality for processing
 local and remote sources of federated attribute information.
 .
 This package includes files needed for development.

Package: libshibresolver2
Description-md5: d5edb6781f87b9ebb3368548ff83629b
Description-en: Shibboleth SP Attribute Resolver library
 This package contains a Shibboleth SP Extension that provides
 externally accessible attribute resolver functionality for processing
 local and remote sources of federated attribute information.
 .
 This package contains just the shared library.

Package: libshibsp-dev
Description-md5: f612d11de8626099119eee5b70ca1fc4
Description-en: Federated web single sign-on system (development)
 The Shibboleth System is a standards based software
 package for web single sign-on across or within organizational boundaries.
 It supports authorization and attribute exchange using the OASIS SAML 2.0
 protocol.  Shibboleth allows sites to make informed authorization decisions
 for individual access of protected online resources while allowing users to
 establish their identities with their local authentication systems.
 .
 This package contains the headers and other necessary files to build
 applications that use the Shibboleth SP library.

Package: libshibsp-doc
Description-md5: e5190deaad2efa125069ffa3d52e1ab3
Description-en: Federated web single sign-on system (API docs)
 The Shibboleth System is a standards based software
 package for web single sign-on across or within organizational boundaries.
 It supports authorization and attribute exchange using the OASIS SAML 2.0
 protocol.  Shibboleth allows sites to make informed authorization decisions
 for individual access of protected online resources while allowing users to
 establish their identities with their local authentication systems.
 .
 This package contains the Shibboleth SP library API documentation.

Package: libshibsp-plugins
Description-md5: e01dde1bfa43896467e844bce7843f95
Description-en: Federated web single sign-on system (plugins)
 The Shibboleth System is a standards based software
 package for web single sign-on across or within organizational boundaries.
 It supports authorization and attribute exchange using the OASIS SAML 2.0
 protocol.  Shibboleth allows sites to make informed authorization decisions
 for individual access of protected online resources while allowing users to
 establish their identities with their local authentication systems.
 .
 This package contains plugins for the Shibboleth SP library.

Package: libshibsp8
Description-md5: 71a15e0a1ae8eed4743cb31280b20e20
Description-en: Federated web single sign-on system (runtime)
 The Shibboleth System is a standards based software
 package for web single sign-on across or within organizational boundaries.
 It supports authorization and attribute exchange using the OASIS SAML 2.0
 protocol.  Shibboleth allows sites to make informed authorization decisions
 for individual access of protected online resources while allowing users to
 establish their identities with their local authentication systems.
 .
 This package contains the Shibboleth SP runtime library.

Package: libshimdandy-java
Description-md5: 0c989e9557b7b70a23711b38b7340afa
Description-en: Shim wrapping multiple Clojure runtimes into the same JVM
 A Clojure runtime shim, allowing for multiple Clojure runtimes in the same JVM.
 .
 Clojure has a static runtime (implemented as static methods off of
 clojure.lang.RT), so to run multiple runtimes in the same JVM, they
 have to be loaded in isolated ClassLoader trees. ShimDandy provides a
 mechanism for isolating the runtimes within a non-Clojure application,
 and for calling in to the runtimes from the app.

Package: libshine-dev
Description-md5: bdb5b6d67c166a825af78128e58f426f
Description-en: Fixed-point MP3 encoding library - development files
 Shine can be used to encode audio data on architectures with no floating
 point processing unit (FPU) at a much better rate than encoding
 libraries implemented using floating-point arithmetic.
 .
 This package contains the files needed to compile and statically link
 against Shine.

Package: libshine-ocaml
Description-md5: 8dd2d146179204852edc69302f816331
Description-en: OCaml interface to the shine library -- runtime files
 This package provides an interface to the shine library for
 OCaml programmers.
 .
 Shine can be used to encode MP3 audio data on architectures with no floating
 point processing unit (FPU) at a much better rate than encoding
 libraries implemented using floating-point arithmetic.
 .
 This package contains only the shared runtime stub libraries.

Package: libshine-ocaml-dev
Description-md5: 4f93ecf3caaf65a893025e31bb4f0758
Description-en: OCaml interface to the shine library -- developpement files
 This package provides an interface to the shine library for
 OCaml programmers.
 .
 Shine can be used to encode MP3 audio data on architectures with no floating
 point processing unit (FPU) at a much better rate than encoding
 libraries implemented using floating-point arithmetic.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-shine.

Package: libshine3
Description-md5: 8a1140cd322d9a58545433bfd1e55808
Description-en: Fixed-point MP3 encoding library - runtime files
 Shine can be used to encode audio data on architectures with no floating
 point processing unit (FPU) at a much better rate than encoding
 libraries implemented using floating-point arithmetic.
 .
 This package contains the files needed to run a program compiled using
 Shine.

Package: libshiro-java
Description-md5: 62642f43d7bbb47f76cecf9c433ab3bd
Description-en: Apache Shiro - Java Security Framework
 Apache Shiro is a powerful and flexible open-source security framework
 that cleanly handles authentication, authorization, enterprise session
 management, single sign-on and cryptography services.

Package: libshisa-dev
Description-md5: ac7f1cb7fce2a96dc143a243a1d8ae57
Description-en: Development files for the Shishi Kerberos v5 KDC database library
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain all files necessary for developing programs with
 Shishi KDC database support.

Package: libshisa0
Description-md5: ce780e4e15104059f65824666f6afdad
Description-en: Library for the Shishi Kerberos v5 KDC database
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package includes the Shisa library used by the Shishi Daemon and
 applications related to user administration of Shishi KDC.

Package: libshishi-dev
Description-md5: 9b5e9793151c2ebd72074d2aba57a740
Description-en: Development files for the Shishi Kerberos v5 library
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain all files necessary for developing programs with
 Shishi support.

Package: libshishi0
Description-md5: 61409e52111e1d6781b9ff9a43504ebd
Description-en: Library for the Shishi Kerberos v5 implementation
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package includes the Shishi library required by applications
 using Shishi.

Package: libshout-idjc-dev
Description-md5: d797877ae989976ee4bddf756728b122
Description-en: broadcast streaming library with IDJC extensions (development)
 A library for communicating with and sending data to Icecast and Icecast 2
 streaming audio servers. It handles the socket connection, the timing of
 the data transmission, and prevents bad data from getting to the server.
 .
 This package provides the libshout library with IDJC extensions.
 .
 This package contains the development files.

Package: libshout-idjc3
Description-md5: a3e0b1caa9ce9c1627f85d7278822e7a
Description-en: broadcast streaming library with IDJC extensions
 A library for communicating with and sending data to Icecast and Icecast 2
 streaming audio servers. It handles the socket connection, the timing of
 the data transmission, and prevents bad data from getting to the server.
 .
 This package provides the libshout library with IDJC extensions.

Package: libshout-ocaml
Description-md5: 89687ea21bf9e4d804856b76b91a81c0
Description-en: OCaml bindings for the shout library
 This OCaml library interfaces the shout C library which can be used for
 communicating with and sending data to Icecast and Icecast 2 streaming
 audio servers (they currently support Ogg Vorbis and MP3 audio streams).
 It handles the socket connection, the timing of the data transmission,
 and prevents bad data from getting to the server.
 .
 This package contains only the shared runtime stub libraries.

Package: libshout-ocaml-dev
Description-md5: 6ef0b02c21472109ef163c9e4a336e9a
Description-en: OCaml bindings for the shout library
 This OCaml library interfaces the shout C library which can be used for
 communicating with and sending data to Icecast and Icecast 2 streaming
 audio servers (they currently support Ogg Vorbis and MP3 audio streams).
 It handles the socket connection, the timing of the data transmission,
 and prevents bad data from getting to the server.
 .
 This package contains all the development stuff you need to use ocaml-shout
 in your programs.

Package: libshp-dev
Description-md5: 41ad100b73927b68333859b14c59c512
Description-en: Library for reading and writing ESRI Shapefiles - development files
 The Shapefile format is a working and interchange format promoted by
 ESRI for simple vector data with attributes. It is apparently the only
 file format that can be edited in ARCView 2/3, and can also be exported
 and imported in ArcGis.
 .
 This package includes the development files.

Package: libshp2
Description-md5: 27308df3bd0a5b2c491e8c49163628f0
Description-en: Library for reading and writing ESRI Shapefiles
 The Shapefile format is a working and interchange format promoted by
 ESRI for simple vector data with attributes. It is apparently the only
 file format that can be edited in ARCView 2/3, and can also be exported
 and imported in ArcGis.
 .
 This package includes the shared library.

Package: libsidplay1-dev
Description-md5: 918b76294430121825abb82218955ff3
Description-en: SID (MOS 6581) emulation library (development files)
 Here are the header files and a static library that are necessary to compile
 applications which use libsidplay.

Package: libsidplay1v5
Description-md5: dcbaf1f85bd767e4e37f554749bb8cdd
Description-en: SID (MOS 6581) emulation library
 This is a (shared) library that implements the emulation of the C64's
 SID chip (MOS 6581) and CPU (6510). It is used by several "player"
 applications, e.g. sidplay, which make it possible to listen to *really*
 a lot (13.600+) of tunes, known from old and new C64 programs (as well
 as Amiga compositions).
 Find most of the available musics from your favourite games or demos
 and more in the High Voltage SID Collection (HVSC). For downloads and
 information about the volunteers, who maintain the collection, look at
 the HVSC homepage http://www.hvsc.c64.org.

Package: libsidplay2
Description-md5: 049a05d30a21aa22aaaa7b4433e83609
Description-en: SID (MOS 6581) emulation library
 This is a (shared) library that implements the emulation of the C64's
 SID chip (MOS 6581) and CPU (6510). It is used by several "player"
 applications, e.g. sidplay, which make it possible to listen to *really*
 a lot (13.600+) of tunes, known from old and new C64 programs (as well
 as Amiga compositions).
 .
 Find most of the available musics from your favourite games or demos
 and more in the High Voltage SID Collection (HVSC). For downloads and
 information about the volunteers, who maintain the collection, look at
 the HVSC homepage http://www.hvsc.c64.org.

Package: libsidplay2-dev
Description-md5: 049a05d30a21aa22aaaa7b4433e83609
Description-en: SID (MOS 6581) emulation library
 This is a (shared) library that implements the emulation of the C64's
 SID chip (MOS 6581) and CPU (6510). It is used by several "player"
 applications, e.g. sidplay, which make it possible to listen to *really*
 a lot (13.600+) of tunes, known from old and new C64 programs (as well
 as Amiga compositions).
 .
 Find most of the available musics from your favourite games or demos
 and more in the High Voltage SID Collection (HVSC). For downloads and
 information about the volunteers, who maintain the collection, look at
 the HVSC homepage http://www.hvsc.c64.org.

Package: libsidplayfp-dev
Description-md5: 7e6011a6a510383a2cb9190f4a579a69
Description-en: library to play Commodore 64 music based on libsidplay2 (development files)
 libsidplayfp (and its console frontend sidplayfp) is a fork of sidplay2 born
 with the aim to improve the quality of emulating the 6581, 8580 chips and the
 surrounding C64 system in order to play SID music better.
 .
 This package contains libsidplayfp static library and header files.

Package: libsidplayfp-doc
Description-md5: 8a209dc681ffbe6a2fa940836d715e9a
Description-en: library to play Commodore 64 music based on libsidplay2  (documentation)
 libsidplayfp (and its console frontend sidplayfp) is a fork of sidplay2 born
 with the aim to improve the quality of emulating the 6581, 8580 chips and the
 surrounding C64 system in order to play SID music better.
 .
 This package contains the documentation for libsidplayfp.

Package: libsidplayfp4
Description-md5: 28bf2b2983dd011b4aa566ed5db2b271
Description-en: Library to play Commodore 64 music based on libsidplay2
 libsidplayfp (and its console frontend sidplayfp) is a fork of sidplay2 born
 with the aim to improve the quality of emulating the 6581, 8580 chips and the
 surrounding C64 system in order to play SID music better.

Package: libsidutils-dev
Description-md5: 674947a7f6511ac306712c88ac79ed97
Description-en: utility functions for SID players
 This library contains various things deemed useful to all SID players
 (Emulations of the C64's SID chip (MOS 6581) and CPU (6510)), and
 currently every player seems to be re-inventing. It is used by
 several "player" applications, e.g. sidplay.
 .
 Support so far is:
 .
  * INI file reader.
  * MD5 key creation.
  * Song length database parser.
  * Sid filter file parser.
 .
 Coming soon:
 .
  * Playlist parser (load and save).
  * STIL parser.

Package: libsidutils0
Description-md5: 674947a7f6511ac306712c88ac79ed97
Description-en: utility functions for SID players
 This library contains various things deemed useful to all SID players
 (Emulations of the C64's SID chip (MOS 6581) and CPU (6510)), and
 currently every player seems to be re-inventing. It is used by
 several "player" applications, e.g. sidplay.
 .
 Support so far is:
 .
  * INI file reader.
  * MD5 key creation.
  * Song length database parser.
  * Sid filter file parser.
 .
 Coming soon:
 .
  * Playlist parser (load and save).
  * STIL parser.

Package: libsieve2-1
Description-md5: 296ae505bca2a25bdafbf68eb0804719
Description-en: library for parsing, sorting and filtering your mail
 This code is a standalone library based upon code which had been distributed
 with the Cyrus Mail Server. Currently libSieve implements two API's:
 one that is based upon, and compatible with, the Sieve API as built into
 the Cyrus Mail Server, as well as a new API which is very well suited to
 be incorporated into other programs and is reasonably extensible.
 .
 This package contains the shared libraries only.

Package: libsieve2-dev
Description-md5: 7c881cca899d97b9d1029b169cf699d5
Description-en: library for parsing, sorting and filtering your mail
 This code is a standalone library based upon code which had been distributed
 with the Cyrus Mail Server. Currently libSieve implements two APIs:
 one that is based upon, and compatible with, the Sieve API as built into
 the Cyrus Mail Server, as well as a new API which is very well suited to
 be incorporated into other programs and is reasonably extensible.
 .
 This package contains the development files.

Package: libsight
Description-md5: 00ecc2cc30b55011e8dcd28f13fdbcd9
Description-en: Sight libraries
 The Surgical Image Guidance and
 Healthcare Toolkit aims to ease the creation of
 applications based on medical imaging. It includes
 various features such as 2D and 3D digital image
 processing, visualization, augmented reality and
 medical interaction simulation. It runs on many
 different environments (Windows, Linux, macOS), is
 written in C++, and features rapid interface design
 using XML files.
 .
 Sight was formerly known as FW4SPL. It was renamed
 in 2018, firstly to make its purpose clearer, and
 secondly as part of a major change in design and in
 the governance of the development team.
 .
 This package provides the shared libraries needed to
 run C++ programs that use Sight.

Package: libsight-dev
Description-md5: 5c8c66562eaa9901e7a0d492014b954c
Description-en: Sight header files
 The Surgical Image Guidance and
 Healthcare Toolkit aims to ease the creation of
 applications based on medical imaging. It includes
 various features such as 2D and 3D digital image
 processing, visualization, augmented reality and
 medical interaction simulation. It runs on many
 different environments (Windows, Linux, macOS), is
 written in C++, and features rapid interface design
 using XML files.
 .
 Sight was formerly known as FW4SPL. It was renamed
 in 2018, firstly to make its purpose clearer, and
 secondly as part of a major change in design and in
 the governance of the development team.
 .
 This package contains the development files.

Package: libsignal-mask-perl
Description-md5: ea64f5ab44cb0b56a1ccb9d1c6383787
Description-en: module for easy handling of signal masks
 Signal::Mask is an abstraction around your process or thread signal mask. It
 is used to fetch and/or change the signal mask of the calling process or
 thread. The signal mask is the set of signals whose delivery is currently
 blocked for the caller. It is available as the global hash %Signal::Mask.
 .
 Signal::Pending is an abstraction around your process'/thread's pending
 signals. It can be used in combination with signal masks to handle signals in
 a controlled manner. The set of pending signals is available as the global
 hash %Signal::Pending.

Package: libsignal-protocol-c-dev
Description-md5: 5d3ef45e92ac682f94687bf547c324de
Description-en: ratcheting forward secrecy protocol for synchronous and asynchronous messaging
 This is a ratcheting forward secrecy protocol that works in
 synchronous and asynchronous messaging environments.
 .
 This package contains the development files.

Package: libsignal-protocol-c2.3.2
Description-md5: 8894f193b241099c42a8c9a5a69c44e7
Description-en: ratcheting forward secrecy protocol for synchronous and asynchronous messaging
 This is a ratcheting forward secrecy protocol that works in
 synchronous and asynchronous messaging environments.

Package: libsignatures-java
Description-md5: c9e9c7f73281705358084ff80eb1e388
Description-en: graph signature library for molecules
 This project is a re-write of Jean-Loup Faulon's signature code for molecules,
 to make it toolkit independent. Signatures are ultimately canonical
 representations of whole molecules or atom valence environments. For example,
 the canonical signature for benzene might be as simple as: C(C(C(C1))C(C(C1)))
 where brackets denote branching and numbers indicate joining (as with SMILES).
 .
 Signatures can be used as descriptors for atom or molecule environments, much
 like HOSE codes. They can also be used for structure enumeration.

Package: libsignatures-perl
Description-md5: b73ad347baf9f1d81ca1ae1b0f67a512
Description-en: Perl pragma for subroutine signatures
 signatures is a Perl pragma that provides a simple and robust way to declare
 named parameters for your subroutines. It provides a syntax similar to Perl
 function prototypes (see perlsub) and is robust since it does this without
 using source filters. It also provides a way to combine these signatures with
 normal Perl prototypes.

Package: libsignon-extension1
Description-md5: e82cc644df18f6d1c382ada5d5c88928
Description-en: Single Sign On framework
 Framework to provide credential storage and authentication service.

Package: libsignon-glib-dev
Description-md5: d051d5324a6de8be6c752aa209067db3
Description-en: library for signond - development files
 .
 This package contains files that are needed to build applications.

Package: libsignon-glib-doc
Description-md5: ca77b69406cc209b10e4bd1e83ef1619
Description-en: library for signond - documentation
 .
 This package contains the documentation.

Package: libsignon-glib1
Description-md5: 516378637027ec145f398aaba1c06c74
Description-en: library for signond
 .
 This package contains shared libraries to be used by applications.

Package: libsignon-plugins-common1
Description-md5: e82cc644df18f6d1c382ada5d5c88928
Description-en: Single Sign On framework
 Framework to provide credential storage and authentication service.

Package: libsignon-plugins-doc
Description-md5: 261b6b2151c83e14b3f866d069eecffa
Description-en: Single Sign On framework plugins - documentation
 .
 This package contains the documentation for libsignon-plugins-dev

Package: libsignon-qt-doc
Description-md5: 97bab6ea9929a3023462acc349535d16
Description-en: QT bindings for single signon - documentation
 .
 This package contains the documentation for libsignon-qt

Package: libsignon-qt5-1
Description-md5: e82cc644df18f6d1c382ada5d5c88928
Description-en: Single Sign On framework
 Framework to provide credential storage and authentication service.

Package: libsignon-qt5-dev
Description-md5: cf54ad814c7c7abcf70c32b44f66c2bd
Description-en: Development files for libsignon-qt
 Headers and static libraries for the libsignon-qt library

Package: libsigrok-dev
Description-md5: 0bb43c6d8e3b41381d93f6e5c900d53e
Description-en: sigrok hardware driver library - development files
 libsigrok is a shared library which provides basic hardware access
 drivers for logic analyzers, as well as input/output file format support.
 .
 This package contains the header files and other development files.

Package: libsigrok4
Description-md5: 2e43895a132fca24faea76a0d1152887
Description-en: sigrok hardware driver library - shared library
 libsigrok is a shared library which provides basic hardware access
 drivers for logic analyzers, as well as input/output file format support.
 .
 This package contains the shared library.

Package: libsigrokcxx-dev
Description-md5: 05e3b1faeed2cf20d7c0925597d7caf5
Description-en: sigrok C++ bindings - development files
 libsigrok is a shared library which provides basic hardware access
 drivers for logic analyzers, as well as input/output file format support.
 .
 This package contains the C++ header files and other development files.

Package: libsigrokcxx4
Description-md5: 2e0174b6827100e9994e51d70cf0b115
Description-en: sigrok hardware driver library - shared library
 libsigrok is a shared library which provides basic hardware access
 drivers for logic analyzers, as well as input/output file format support.
 .
 This package contains the C++ shared library.

Package: libsigrokdecode-dev
Description-md5: 5c2b40b28c57187a804c75b8010773c5
Description-en: sigrok protocol decoding library - development files
 libsigrokdecode is a shared library which provides (streaming) protocol
 decoding functionality.
 .
 The protocol decoders are written in Python (>= 3.2).
 .
 This package contains the header files and other development files.

Package: libsigrokdecode4
Description-md5: c2611232bd97547ffdba61401325e81c
Description-en: sigrok protocol decoding library - shared library
 libsigrokdecode is a shared library which provides (streaming) protocol
 decoding functionality.
 .
 The protocol decoders are written in Python (>= 3.2).
 .
 This package contains the shared library and the protocol decoders.

Package: libsigscan-dev
Description-md5: 3b0d629263820416d1137aac869c9574
Description-en: binary signature scanning library -- development files
 libsigscan is a library for binary signature scanning, using simple
 offset/string-based signatures.
 .
 This package includes the development support files.

Package: libsigscan-utils
Description-md5: e6e97a656f6d9ae3fb2d5420a981f200
Description-en: binary signature scanning library -- Utilities
 libsigscan is a library for binary signature scanning, using simple
 offset/string-based signatures.
 .
 This package contains sigscan, a command line tool that uses
 libsigscan to find binary signatures in files.

Package: libsigscan1
Description-md5: 2ac42ed06538e167efe0ea8718660fc4
Description-en: binary signature scanning library
 libsigscan is a library for binary signature scanning, using simple
 offset/string-based signatures.
 .
 This package contains the shared library.

Package: libsigx-2.0-2v5
Description-md5: e4007b26d88fae894917115090e93195
Description-en: interthread communication library for C++ - runtime
 This library is an interthread communication library for C++ on top of
 libsigc++ and glibmm. It provides dispatcher based interthread communication,
 typesafe functor adaptors to execute functions in the context of another
 thread, threadsafe wrappers for signals and connections, and deadlock detection
 for synchronous messages.
 .
 This package contains the runtime files for libsigx, needed if you wish to run
 or compile software which depends on it.

Package: libsigx-2.0-dev
Description-md5: 6c6985a64ba7368fa15400933e445e67
Description-en: interthread communication for C++ - development files
 This library is an interthread communication library for C++ on top of
 libsigc++ and glibmm. It provides dispatcher based interthread communication,
 typesafe functor adaptors to execute functions in the context of another
 thread, threadsafe wrappers for signals and connections, and deadlock detection
 for synchronous messages.
 .
 This package contains the development files for libsigx, needed only if you
 wish to write or compile software which uses it.

Package: libsigx-2.0-doc
Description-md5: 0254c3708409c4b1849d34d680c8d5a6
Description-en: interthread communication for C++ - reference documentation
 This library is an interthread communication library for C++ on top of
 libsigc++ and glibmm. It provides dispatcher based interthread communication,
 typesafe functor adaptors to execute functions in the context of another
 thread, threadsafe wrappers for signals and connections, and deadlock detection
 for synchronous messages.
 .
 This package contains the reference documentation for libsigx, needed only if
 you wish to write software which uses it.

Package: libsilly
Description-md5: 63963aa98956f88d8f7f5bc4ef9c93e7
Description-en: development files for the simple image loading library
 The aim of Simple Image Loading LibrarY is to provide a simple library for
 loading image in the context of CEGUI.
 .
 This package provides the silly's library headers, and development files.

Package: libsilly-dev
Description-md5: 468304dde50d6b707db84b41c93237a9
Description-en: simple image loading library
 The aim of Simple Image Loading LibrarY is to provide a simple library for
 loading image in the context of CEGUI.
 .
 The library supports only the most common image format. The project was
 initialy launch in order to provide an MIT based replacement of DevIL with
 less image format supported and focused on loading image only.

Package: libsilly-doc
Description-md5: 3c0d1ff87e04c0d1f7833c33c8da98ae
Description-en: simple image loading library (documentation)
 The aim of Simple Image Loading LibrarY is to provide a simple library for
 loading image in the context of CEGUI.
 .
 This package contains the documentation for libsilly.

Package: libsilo-bin
Description-md5: 9554086ae8ffcfab42b65ca08337b3b9
Description-en: Utilities to manipulate libsilo files
 This package contains a number of utilities for manipulating and viewing
 Silo files. Silo is a scientific data format library.
 .
 Silo supports gridless (point) meshes, structured meshes, unstructured-zoo
 and unstructured-arbitrary-polyhedral meshes, block structured AMR meshes,
 constructive solid geometry (CSG) meshes, piecewise-constant
 (e.g. zone-centered) and piecewise-linear (e.g. node-centered) variables
 defined on the node, edge, face or volume elements of meshes as well as
 the decomposition of meshes into arbitrary subset hierarchies including
 materials and mixing materials. In addition, Silo supports a wide variety of
 other useful objects to address various scientific computing application
 needs. Although the Silo library is a serial library, it has some key features
 which enable it to be applied quite effectively and scalable in parallel.

Package: libsilo-dev
Description-md5: 44789d497dcd21167152e84726c04613
Description-en: Development files for SILO Scientific I/O library from LLNL
 Silo is a library for reading and writing a wide variety of scientific data to
 binary, disk files. The files Silo produces and the data within them can be
 easily shared and exchanged between wholly independently developed applications
 running on disparate computing platforms. Consequently, Silo facilitates the
 development of general purpose tools for processing scientific data.
 One of the more popular tools that process Silo data files is the
 VisIt visualization tool.
 .
 Silo supports gridless (point) meshes, structured meshes, unstructured-zoo
 and unstructured-arbitrary-polyhedral meshes, block structured AMR meshes,
 constructive solid geometry (CSG) meshes, piecewise-constant
 (e.g. zone-centered) and piecewise-linear (e.g. node-centered) variables
 defined on the node, edge, face or volume elements of meshes as well as
 the decomposition of meshes into arbitrary subset hierarchies including
 materials and mixing materials. In addition, Silo supports a wide variety of
 other useful objects to address various scientific computing application
 needs. Although the Silo library is a serial library, it has some key features
 which enable it to be applied quite effectively and scalable in parallel.
 .
 Architecturally, the library is divided into two main pieces; an upper-level
 application programming interface (API) and a lower-level I/O implementation
 called a driver. Silo supports multiple I/O drivers, the two most common of
 which are the HDF5 (Hierarchical Data Format 5) and PDB (Portable Data Base)
 drivers.

Package: libsiloh5-0
Description-md5: 2e5cdda66dd0aca877bcaddcde85bed1
Description-en: SILO Science I/O library from LLNL
 Silo is a library for reading and writing a wide variety of scientific data to
 binary, disk files.
 .
 Silo supports gridless (point) meshes, structured meshes, unstructured-zoo
 and unstructured-arbitrary-polyhedral meshes, block structured AMR meshes,
 constructive solid geometry (CSG) meshes, piecewise-constant
 (e.g. zone-centered) and piecewise-linear (e.g. node-centered) variables
 defined on the node, edge, face or volume elements of meshes as well as
 the decomposition of meshes into arbitrary subset hierarchies including
 materials and mixing materials. In addition, Silo supports a wide variety of
 other useful objects to address various scientific computing application
 needs. Although the Silo library is a serial library, it has some key features
 which enable it to be applied quite effectively and scalable in parallel.

Package: libsimage-dev
Description-md5: 83706ee4b659e10dbfcdd776cbbeee14
Description-en: generic interface to various image file format libraries. Development files.
 The simage library provides a simplified and uniform interface to many
 image file format libraries, and it includes internal support for some
 image file formats too. The package ships development files.

Package: libsimage20
Description-md5: a6fa503446959fe84542667580d1db84
Description-en: generic interface to various image file format libraries
 The simage library provides a simplified and uniform interface to many
 image file format libraries, and it includes internal support for some
 image file formats too.

Package: libsimavr-dev
Description-md5: 7bd8ca09a9457c3d7adc1e5fca5bac13
Description-en: AVR simulator development library
 A library for advanced AVR core and peripheral circuitry prototyping
 including AVR firmware simulated execution, VCD waveform creation and live
 debugging. For more information see virtual circuitry peripheral prototyping
 and simulation examples provided by libsimavr-examples package.
 .
 This package contains software development header files and static library.

Package: libsimavr-examples
Description-md5: 0888e709aa507c571f1d1cfbc0b96ac6
Description-en: AVR simulator development examples
 A library for advanced AVR core and peripheral circuitry prototyping
 including AVR firmware simulated execution, VCD waveform creation and live
 debugging.
 .
 This package contains fully functional virtual circuitry prototyping example
 code for the AVR simulator development library including simulation of
 peripherals attached to simulated AVR microcontroller.

Package: libsimavr2
Description-md5: e185b2e53fdc7198beaead84801308f5
Description-en: AVR simulator shared library
 A library for advanced AVR core and peripheral circuitry prototyping
 including AVR firmware simulated execution, VCD waveform creation and live
 debugging. For more information see virtual circuitry peripheral prototyping
 and simulation examples provided by libsimavr-examples package.
 .
 This package contains shared library providing AVR simulation functionality.

Package: libsimavrparts1
Description-md5: 175ecd8eeb8ab39a0eedaf05caead48a
Description-en: AVR simulator additional peripherals shared library
 A library for advanced AVR core and peripheral circuitry prototyping
 including AVR firmware simulated execution, VCD waveform creation and live
 debugging. For more information see virtual circuitry peripheral prototyping
 and simulation examples provided by libsimavr-examples package.
 .
 This package contains shared library providing additional peripherals.

Package: libsimbody-dev
Description-md5: 1fc39039107712864b8a83b7be64a4f2
Description-en: SimTK multibody dynamics API - development files
 Simbody is a SimTK toolset providing general multibody dynamics capability,
 that is, the ability to solve Newton's 2nd law F=ma in any set of generalized
 coordinates subject to arbitrary constraints. Simbody is provided as an open
 source, object-oriented C++ API and delivers high-performance,
 accuracy-controlled science/engineering-quality results.
 .
 This package contains development files (headers, shared library
 symbolic link and pkg-config file).

Package: libsimbody3.6
Description-md5: 889c4cc1200849ec2c2809e5c701f260
Description-en: SimTK multibody dynamics API - shared library
 Simbody is a SimTK toolset providing general multibody dynamics capability,
 that is, the ability to solve Newton's 2nd law F=ma in any set of generalized
 coordinates subject to arbitrary constraints. Simbody is provided as an open
 source, object-oriented C++ API and delivers high-performance,
 accuracy-controlled science/engineering-quality results.

Package: libsimdjson-dev
Description-md5: 5cb45b5a6f77d7e305b6b8778ba7ce62
Description-en: Parsing gigabytes of JSON per second (development)
 JSON documents are everywhere on the Internet. Servers spend a lot of time
 parsing these documents. simdjson aims to accelerate the parsing of JSON per
 se using commonly available SIMD instructions as much as possible while doing
 full validation (including character encoding).
 .
 It requires a processor with:
  - AVX2 (i.e., Intel processors starting with the Haswell microarchitecture
    released 2013 and AMD processors starting with the Zen microarchitecture
    released 2017)
  - or SSE 4.2 and CLMUL (i.e., Intel processors going back to Westmere
    released in 2010 or AMD processors starting with the Jaguar used in the
    PS4 and XBox One)
  - or a 64-bit ARM processor (ARMv8-A): this covers a wide range of mobile
    processors, including all Apple processors currently available for sale,
    going back as far back as the iPhone 5s (2013).
 .
 This package ships development files.

Package: libsimdjson0
Description-md5: 1011bd3b31ef55dd9a99c80e832f8318
Description-en: Parsing gigabytes of JSON per second (shared object)
 JSON documents are everywhere on the Internet. Servers spend a lot of time
 parsing these documents. simdjson aims to accelerate the parsing of JSON per
 se using commonly available SIMD instructions as much as possible while doing
 full validation (including character encoding).
 .
 It requires a processor with:
  - AVX2 (i.e., Intel processors starting with the Haswell microarchitecture
    released 2013 and AMD processors starting with the Zen microarchitecture
    released 2017)
  - or SSE 4.2 and CLMUL (i.e., Intel processors going back to Westmere
    released in 2010 or AMD processors starting with the Jaguar used in the
    PS4 and XBox One)
  - or a 64-bit ARM processor (ARMv8-A): this covers a wide range of mobile
    processors, including all Apple processors currently available for sale,
    going back as far back as the iPhone 5s (2013).
 .
 This package ships the shared object.

Package: libsimgear-dev
Description-md5: 9b164b410bdf60b8b719956079b10549
Description-en: Simulator Construction Gear -- development files
 SimGear is a collection of libraries useful for constructing
 simulation and visualization applications such as FlightGear
 or TerraGear.
 .
 This package contains the headers and static libraries.

Package: libsimgrid-dev
Description-md5: 29ab76fac3dc2074be182fc6cf08f215
Description-en: Development files for the SimGrid Toolkit
 SimGrid is a toolkit that provides core functionalities for the simulation of
 distributed applications in heterogeneous distributed environments. SimGrid
 can be used as a Grid simulator, a P2P simulator, a Cloud simulator, a MPI
 simulator, or a mix of all of them. The typical use-cases of SimGrid include
 heuristic evaluation, application prototyping, and real application
 development and tuning.
 .
 This package contains the development files that you need to build
 your own simulator on top of SimGrid (header files and helping scripts).

Package: libsimgrid3.24
Description-md5: f56d5c55629c5218ec3098e55a423076
Description-en: Toolkit for scalable simulation of distributed applications
 SimGrid is a toolkit that provides core functionalities for the simulation of
 distributed applications in heterogeneous distributed environments. SimGrid
 can be used as a Grid simulator, a P2P simulator, a Cloud simulator, a MPI
 simulator, or a mix of all of them. The typical use-cases of SimGrid include
 heuristic evaluation, application prototyping, and real application
 development and tuning.
 .
 This package contains the dynamic libraries and runtime.

Package: libsimple-http-java
Description-md5: 1cbbba032761c5314e0795fc99de2927
Description-en: high-performance, embeddable Java HTTP engine
 The goal of Simple is to bring the power of simplicity to the world of server
 side Java. The primary focus of the project is to provide a truly embeddable
 Java based HTTP engine capable of handling enormous loads.
 .
 Simple provides a truly asynchronous service model, request completion is
 driven using an internal, transparent, monitoring system. This allows Simple
 to vastly outperform most popular Java based servers in a multi-tier
 environment, as it requires only a very limited number of threads to handle
 very high quantities of concurrent clients.
 .
 Simple has consistently out performed both commercial and open source Java
 Servlet engines and has a fully comprehensive API that is as usable for
 experienced Java developers as it is for beginners.

Package: libsimple-http-java-doc
Description-md5: e90a015a246baa04b6b89d3c4f83b31c
Description-en: Documentation for libsimple-http-java
 The goal of Simple is to bring the power of simplicity to the world of server
 side Java. The primary focus of the project is to provide a truly embeddable
 Java based HTTP engine capable of handling enormous loads.
 .
 Simple provides a truly asynchronous service model, request completion is
 driven using an internal, transparent, monitoring system. This allows Simple
 to vastly outperform most popular Java based servers in a multi-tier
 environment, as it requires only a very limited number of threads to handle
 very high quantities of concurrent clients.
 .
 Simple has consistently out performed both commercial and open source Java
 Servlet engines and has a fully comprehensive API that is as usable for
 experienced Java developers as it is for beginners.
 .
 This package provides the API documentation for libsimple-http-java.

Package: libsimple-validation-java
Description-md5: 6e8deaede0003d730bd6653995aafbcd
Description-en: library for quickly adding validation code to Swing user-interfaces
 This is a simple library for retrofitting user-interface input
 validation to Swing applications. It handles validating user input
 when the user changes a component's value, showing error messages and
 decorating components to indicate which component is the source of
 the problem. It contains a large number of built-in validators to
 handle most common situations, such as validating numbers, email
 addresses, urls and so forth.

Package: libsimple-xml-java
Description-md5: be7f451e2f67b1e4ad88a58640a731f8
Description-en: XML serialization and configuration framework for Java
 Simple is a high performance XML serialization and configuration framework for
 Java. Its goal is to provide an XML framework that enables rapid development
 of XML configuration and communication systems.
 This framework aids the development of XML systems with minimal effort and
 reduced errors. It offers full object serialization and deserialization,
 maintaining each reference encountered.
 In essence it is similar to C# XML serialization for the Java platform, but
 offers additional features for interception and manipulation.

Package: libsimple-xml-java-doc
Description-md5: 1a9546288ae01638f4785ce0143a96ef
Description-en: XML serialization and configuration framework for Java (documentation)
 Simple is a high performance XML serialization and configuration framework for
 Java. Its goal is to provide an XML framework that enables rapid development
 of XML configuration and communication systems.
 This framework aids the development of XML systems with minimal effort and
 reduced errors. It offers full object serialization and deserialization,
 maintaining each reference encountered.
 In essence it is similar to C# XML serialization for the Java platform, but
 offers additional features for interception and manipulation.
 .
 This package provides the Javadoc API for Simple.

Package: libsimpleini-dev
Description-md5: a784ec5940251ed9ae300d0a42b28334
Description-en: C++ library for INI-style configuration files (development files)
 A cross-platform library that provides a simple API to read and write
 INI-style configuration files. It supports data files in ASCII, MBCS and
 Unicode. It is designed explicitly to be portable to any platform and has been
 tested on Windows, WinCE and Linux.
 .
 This package contains the header files.

Package: libsimpleini1
Description-md5: 27f03ba3da53c362ebc78360b058d2d4
Description-en: C++ library for INI-style configuration files
 A cross-platform library that provides a simple API to read and write
 INI-style configuration files. It supports data files in ASCII, MBCS and
 Unicode. It is designed explicitly to be portable to any platform and has been
 tested on Windows, WinCE and Linux.

Package: libsingular4-dev
Description-md5: 5dcd562f94e5cf8f4eb42dfbef121bb8
Description-en: Computer Algebra System for Polynomial Computations -- development package
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains architecture dependent header files and static libraries.

Package: libsingular4-dev-common
Description-md5: 383aa87a7c0a6f9118b8fafd19cef303
Description-en: Computer Algebra System for Polynomial Computations -- common dev package
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains common header files.

Package: libsingular4m1
Description-md5: e36adf6a0c8b8624187f7014148ba639
Description-en: Computer Algebra System for Polynomial Computations -- library package
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains shared libraries.

Package: libsip-api-java
Description-md5: 7a751dc619fc465f2ae91cd9eb10af58
Description-en: SIP API for Java
 The SIP API specification provides a standard portable
 interface to share information between SIP Clients and
 SIP Servers, providing call control elements enabling
 converged-network applications.
 .
 This is a reimplementation of the JSR 32 specification
 due to a non-free license of the reference implementation.

Package: libsipxtapi
Description-md5: 6ec22ef3b31b7e0be61a694464b9a6da
Description-en: SIP stack, RTP media framework and codecs
 sipXtapi is a framework that makes it easy to construct SIP user agents,
 including softphones and telephony servers.
 .
 This package provides the libraries for dynamic linking.

Package: libsipxtapi-dev
Description-md5: 706abb080b60defd92bfbd1c94c05406
Description-en: SIP stack, RTP media framework and codecs (headers)
 sipXtapi is a framework that makes it easy to construct SIP user agents,
 including softphones and telephony servers.
 .
 This package provides headers and resources for development.

Package: libsipxtapi-doc
Description-md5: 6299ed3c6bb591ce60db7acf193bef5c
Description-en: SIP stack, RTP media framework and codecs (API documentation)
 sipXtapi is a framework that makes it easy to construct SIP user agents,
 including softphones and telephony servers.
 .
 This package provides developer documentation about the API.

Package: libsis-base-java
Description-md5: 6afba27a3053971c2ca60c56e6f2cfaf
Description-en: Base libraries used by software from the SIS division at ETH Zurich
 This library supplies some utility classes needed for libraries like sis-jhdf5.

Package: libsis-base-jni
Description-md5: c7e572f8e392385e9236085b9c1bf16e
Description-en: Base libraries used by ETH-SIS (JNI components)
 This library contains the two small native libraries used by libsis-base-java.

Package: libsiscone-dev
Description-md5: 29443305ba1bdf521bbde5061bd57fe0
Description-en: Seedless Infrared Safe Cone jet finder - development files
 SISCone implements a seedless infrared (IR) safe cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 This package provides development files of SISCone.

Package: libsiscone-spherical-dev
Description-md5: 6cf8fcae360d3fa4503a9fb1eb34c5de
Description-en: Spherical version of SISCone - development files
 SISCone implements a seedless infrared (IR) safe cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 The spherical version of SISCone is designed for use in e+e- collisions.
 .
 This package provides development files of spherical version of SISCone.

Package: libsiscone-spherical0v5
Description-md5: b5925f4cbccaeadff7a59535fd17d8d8
Description-en: Spherical version of SISCone
 SISCone implements a seedless infrared (IR) safe cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 The spherical version of SISCone is designed for use in e+e- collisions.

Package: libsiscone0v5
Description-md5: 49ccd06e0f0ef22ac1d58ec6def47a45
Description-en: Seedless Infrared Safe Cone jet finder
 SISCone implements a seedless infrared (IR) safe cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.

Package: libsisimai-perl
Description-md5: ec54ceb5c4aae6365f13e3662a132ac6
Description-en: Perl module to analyze bounce mails
 Sisimai is the system formerly known as bounceHammer 4. It is a Perl module
 for analyzing bounce mails and generate structured data in a JSON format
 (YAML is also available if "YAML" module is installed on your system) from
 parsed bounce messages. Sisimai is a coined word: Sisi (the number 4 is
 pronounced "Si" in Japanese) and MAI (acronym of "Mail Analyzing Interface").

Package: libsisl-dev
Description-md5: ff700b1b79916c0b2a2fdfa2e568f4eb
Description-en: SINTEF Spline Library
 The SINTEF Spline Library is a comprehensive NURBS library for the modeling
 and interrogation of curves and surfaces.
 .
 It is implemented in C and has been under continuous development for over two
 decades.

Package: libsisu-guice-java
Description-md5: 5ad2ac626a826cde65ecd332ddfab789
Description-en: Patched build of Google Guice for Sisu-IoC
 Google Guice is a lightweight dependency injection framework
 for Java 5 and above.
 .
 This package contains a patched build of Guice modified for
 Sisu IoC framework (for instance, removes the need to write
 explicit bindings in Guice modules).

Package: libsisu-inject-java
Description-md5: 12908218aa6cec95944aa4f5c4085725
Description-en: Dependency Injection container for Java
 Sisu is a modular JSR330-based container that supports classpath scanning,
 auto-binding, and dynamic auto-wiring. Sisu uses Google-Guice to perform
 dependency injection and provide the core JSR330 support, but removes the
 need to write explicit bindings in Guice modules.

Package: libsisu-ioc-java
Description-md5: 4aaa6562177ca99ad5d8f43295546d80
Description-en: JSR 330 container and OSGi/Plexus adapter
 Sisu is a fully compliant JSR330 container that supports classpath scanning,
 auto-binding, and dynamic auto-wiring. Sisu uses patched Google Guice to
 perform dependency injection and provides the JSR330 support.
 .
 Sisu also provides a replacement Plexus container that builds on top of the
 JSR330 core. Plexus is the dependency injection container used in Maven 2.x.

Package: libsisu-maven-plugin-java
Description-md5: a6b69d4a5dcd90e2dd1262601b05230c
Description-en: Manage Sisu components and applications
 Sisu is a modular JSR330-based container that supports classpath scanning,
 auto-binding, and dynamic auto-wiring.
 .
 sisu-maven-plugin indexes the JSR-330 components to be made available
 to Maven. It creates an index in META-INF/sisu/javax.inject.Named enumerating
 the components. This means that no classpath scanning is required at runtime
 to find them, which keeps Maven's startup time fast.

Package: libsisu-plexus-java
Description-md5: 77c52a2536963fa571e8eaec1c2da318
Description-en: Plexus adapter for the Sisu dependency injection container
 Sisu is a modular JSR330 dependency injection container for Java that supports
 classpath scanning, auto-binding, and dynamic auto-wiring.
 .
 Sisu Plexus provides a replacement Plexus container (i.e. the dependency
 injection container used in Maven 2.x) that builds on top of the JSR330 core.

Package: libsitemesh-java
Description-md5: 3d2c90b7ac58bf5bd671cf83d9ceda33
Description-en: web-page layout and decoration framework
 SiteMesh is framework that aids in creating large sites consisting of
 many web pages and applications for which a consistent look/feel,
 navigation and layout scheme is required.
 .
 SiteMesh is built with Java servlet, JSP and XML technologies. This
 makes it ideal for use with Java EE applications, however it can be
 integrated with server-side web architectures that are not Java
 based.

Package: libsitemesh-java-doc
Description-md5: 63c8650112700a21d70e9b5cf89a79b9
Description-en: documentation for libsitemesh-java
 Documentation for SiteMesh which is a framework that aids in creating
 large sites consisting of many web pages and applications for which a
 consistent look/feel, navigation and layout scheme is required.

Package: libsixel-bin
Description-md5: ba6535343123daee409db5deb1762a01
Description-en: DEC SIXEL graphics codec implementation (binary)
 SIXEL is one of image formats for printer and terminal imaging
 introduced by Digital Equipment Corp. (DEC). Its data scheme is
 represented as a terminal-friendly escape sequence.
 So if you want to view a SIXEL image file, all you have to do
 is "cat" it to your terminal.
 .
 The package contains execution binaries.

Package: libsixel-dev
Description-md5: 278bce3f9091a46eaf8edbdd9335f422
Description-en: DEC SIXEL graphics codec implementation (develop)
 SIXEL is one of image formats for printer and terminal imaging
 introduced by Digital Equipment Corp. (DEC). Its data scheme is
 represented as a terminal-friendly escape sequence.
 So if you want to view a SIXEL image file, all you have to do
 is "cat" it to your terminal.
 .
 The package is for development.

Package: libsixel-examples
Description-md5: a3a2e0795e5f192b06a0ae7eb0032d76
Description-en: DEC SIXEL graphics codec implementation (examples)
 SIXEL is one of image formats for printer and terminal imaging
 introduced by Digital Equipment Corp. (DEC). Its data scheme is
 represented as a terminal-friendly escape sequence.
 So if you want to view a SIXEL image file, all you have to do
 is "cat" it to your terminal.
 .
 The package contains examples of libsixel.

Package: libsixel1
Description-md5: 85c4c8b71061b2455417baee1d098e32
Description-en: DEC SIXEL graphics codec implementation (runtime)
 SIXEL is one of image formats for printer and terminal imaging
 introduced by Digital Equipment Corp. (DEC). Its data scheme is
 represented as a terminal-friendly escape sequence.
 So if you want to view a SIXEL image file, all you have to do
 is "cat" it to your terminal.
 .
 The package is for runtime.

Package: libsjacket-clojure
Description-md5: b22ebf506a48ddec122ee718b799ba06
Description-en: Clojure code transformation library
 sjacket provides structural code transformation through S-expressions. It
 can preserve code layout (such as tabulation) and comments. This library
 is suitable as a backend for Clojure editors.

Package: libskarnet2.9
Description-md5: 2c9bf95082267dbab8cd3dc4e15abcba
Description-en: library used for building software at skarnet.org
 skalibs is a package centralizing the free software / open source C
 development files used for building all software at skarnet.org: it
 contains essentially general-purpose libraries. You will need to install
 skalibs if you plan to build skarnet.org software. The point is that you
 won't have to download and compile big libraries, and care about
 portability issues, every time you need to build a package: do it only
 once.
 .
 skalibs can also be used as a sound basic start for C development. There
 are a lot of general-purpose libraries out there; but if your main goal is
 to produce small and secure C code with a focus on system programming,
 skalibs might be for you.
 .
 This package contains shared libraries.

Package: libskinlf-java
Description-md5: 9fb460bf14d793de55b2a51abe91fab8
Description-en: Skin Look and Feel - Skinning Engine for the Swing toolkit
 Skin Look And Feel allows Java developers to write skinnable application
 using the Swing toolkit. Skin Look And Feel is able to load themepacks
 (a bundle of GTK+ - The Gimp Toolkit - and KDE - The K Desktop
 Environment  - skins) to enhance your application GUI controls such as
 Buttons, Checks, Radios, Scrollbars, Progress Bar, Lists, Tables,
 Internal Frames, Colors, Background Textures, Regular Windows.
 .
 Skin Look And Feel (aka SkinLF) also includes NativeSkin to create irregular
 windows.

Package: libskinlf-java-demo
Description-md5: 244c39c3148c2a3e2d2f6982a1d2db13
Description-en: Swing Java docking framework - demos and examples
 Skin Look And Feel allows Java developers to write skinnable application
 using the Swing toolkit. Skin Look And Feel is able to load themepacks
 (a bundle of GTK+ - The Gimp Toolkit - and KDE - The K Desktop
 Environment - skins) to enhance your application GUI controls such as Buttons,
 Checks, Radios, Scrollbars, Progress Bar, Lists, Tables, Internal Frames,
 Colors, Background Textures, Regular Windows.
 .
 Skin Look And Feel (aka SkinLF) also includes NativeSkin to create irregular
 windows.
 .
 This package contains the examples and demonstration files.

Package: libskk-common
Description-md5: 929ddc7a4282224b4c602465ac58095d
Description-en: library to deal with Japanese kana-kanji conversion method - common files
 Libskk is a library that provides GObject-based interface of Japanese
 input methods.  Currently it supports SKK (Simple Kana Kanji) with
 various typing rules including romaji-to-kana, AZIK, ACT, TUT-Code,
 T-Code, and NICOLA.
 .
 This package contains common files.

Package: libskk-dev
Description-md5: 57878ff0cda5d0064f1afa9e033ec7a9
Description-en: library to deal with Japanese kana-kanji conversion method - development
 Libskk is a library that provides GObject-based interface of Japanese
 input methods.  Currently it supports SKK (Simple Kana Kanji) with
 various typing rules including romaji-to-kana, AZIK, ACT, TUT-Code,
 T-Code, and NICOLA.
 .
 This package contains the library development files.

Package: libskk-utils
Description-md5: 4c3668b127feea84d6f46796f2337eb7
Description-en: program that emulates Japanese SKK input method
 Libskk is a library that provides GObject-based interface of Japanese
 input methods.  Currently it supports SKK (Simple Kana Kanji) with
 various typing rules including romaji-to-kana, AZIK, ACT, TUT-Code,
 T-Code, and NICOLA.
 .
 This package provides the skk tool that reads key sequences from the
 standard input and converts them to Japanese text according to SKK
 Japanese input method (Simple Kana to Kanji conversion program).

Package: libskk0
Description-md5: 6ec6e9c23a0efdd5ce604f2c40184fa5
Description-en: library to deal with Japanese kana-kanji conversion method
 Libskk is a library that provides GObject-based interface of Japanese
 input methods.  Currently it supports SKK (Simple Kana Kanji) with
 various typing rules including romaji-to-kana, AZIK, ACT, TUT-Code,
 T-Code, and NICOLA.
 .
 This package contains the shared library.

Package: libskstream-0.3-7v5
Description-md5: 149147f28c41fb735706c61d16390628
Description-en: iostream-based C++ socket library
 Skstream is a library that makes TCP and UDP sockets available as C++
 iostream-based objects. It is used as the underlying transport layer in
 various WorldForge projects.
 .
 This package contains the library runtime.

Package: libskstream-0.3-dev
Description-md5: 1ded73a87c9dc545f3b5ace1a074c89b
Description-en: iostream-based C++ socket library - development files
 Skstream is a library that makes TCP and UDP sockets available as C++
 iostream-based objects. It is used as the underlying transport layer in
 various WorldForge projects.
 .
 This package contains the files used for developing against the skstream
 library.

Package: libskypat-dev
Description-md5: 9af16e738374cec53597c37630ec9483
Description-en: C++ performance analyzing and testing framework - static libraries and headers
 skypat provides an unit-test framework. Additionally, skypat provides
 performance information to help developers optimize functions.
 .
 This package contains the header files to develop unit test which make use of
 skypat.

Package: libskypat3
Description-md5: e643169d5a6ca1d982ea726844094881
Description-en: C++ performance analyzing and testing framework - shared libraries
 skypat provides an unit-test framework. Additionally, it also provides
 performance information to help developers optimize functions.
 .
 It combines perf_events and unit tests together and gives programmers the power
 of fine-grained performance analysis via writing typical test cases.

Package: libslang2-modules
Description-md5: 1e964ad8c008b4e87762d66b0066a7b5
Description-en: Shared modules for S-Lang language
 S-Lang is a C programmer's library that includes routines for the rapid
 development of sophisticated, user friendly, multi-platform applications.
 .
 This package contains dynamically-loaded modules for S-Lang applications.

Package: libslang2-pic
Description-md5: 6ac39ebfc9448c20f9f8c02ffb8e243b
Description-en: S-Lang programming library, shared library subset kit
 This is used to develop subsets of the S-Lang shared libraries for use
 on custom installation floppies and in embedded systems. Unless you're
 making one of those, you won't need this package.

Package: libsleef-dev
Description-md5: 747c42542940fe8a00cf1e2c0818192c
Description-en: SLEEF Vectorized Math Library (development)
 SLEEF stands for SIMD Library for Evaluating Elementary Functions. It
 implements vectorized versions of all C99 real floating point math functions.
 It can utilize SIMD instructions of modern processors. SLEEF is designed to
 fully utilize SIMD computation by reducing the use of conditional branches
 and scatter/gather memory access.
 .
 The library contains subroutines for all C99 real FP math functions in double
 precision and single precision. SLEEF also includes subroutines for discrete
 Fourier transform(DFT).
 .
 This package ships development files.

Package: libsleef3
Description-md5: e9dc39e0bb5822bfc20d38ebff3f3baa
Description-en: SLEEF Vectorized Math Library (libraries)
 SLEEF stands for SIMD Library for Evaluating Elementary Functions. It
 implements vectorized versions of all C99 real floating point math functions.
 It can utilize SIMD instructions of modern processors. SLEEF is designed to
 fully utilize SIMD computation by reducing the use of conditional branches
 and scatter/gather memory access.
 .
 The library contains subroutines for all C99 real FP math functions in double
 precision and single precision. SLEEF also includes subroutines for discrete
 Fourier transform(DFT).
 .
 This package ships the shared objects.

Package: libslepc-complex3.12
Description-md5: ab137fd38ddb4b8b701d315198a09748
Description-en: Scalable Library for Eigenvalue Problem Computations
 SLEPc is a software library for the solution of large scale sparse eigenvalue
 problems on parallel computers. It is an extension of PETSc and can be used
 for either standard or generalized eigenproblems, with real or complex
 arithmetic. It can also be used for computing a partial SVD of a large,
 sparse, rectangular matrix.
 .
 This package contains the shared libraries for SLEPc with complex numbers.

Package: libslepc-complex3.12-dev
Description-md5: fc56ec490cff66d7614866616072ab3d
Description-en: Scalable Library for Eigenvalue Problem Computations
 SLEPc is a software library for the solution of large scale sparse eigenvalue
 problems on parallel computers. It is an extension of PETSc and can be used
 for either standard or generalized eigenproblems, with real or complex
 arithmetic. It can also be used for computing a partial SVD of a large,
 sparse, rectangular matrix.
 .
 This package contains the static libraries, shared links, and header files
 for SLEPc with complex numbers.

Package: libslepc-real3.12
Description-md5: fd9d366d6d994f645940710e7aee1cf0
Description-en: Scalable Library for Eigenvalue Problem Computations
 SLEPc is a software library for the solution of large scale sparse eigenvalue
 problems on parallel computers. It is an extension of PETSc and can be used
 for either standard or generalized eigenproblems, with real or complex
 arithmetic. It can also be used for computing a partial SVD of a large,
 sparse, rectangular matrix.
 .
 This package contains the shared libraries for SLEPc with real numbers.

Package: libslepc-real3.12-dev
Description-md5: 352163be964700c8e0409fd71fe316bc
Description-en: Scalable Library for Eigenvalue Problem Computations
 SLEPc is a software library for the solution of large scale sparse eigenvalue
 problems on parallel computers. It is an extension of PETSc and can be used
 for either standard or generalized eigenproblems, with real or complex
 arithmetic. It can also be used for computing a partial SVD of a large,
 sparse, rectangular matrix.
 .
 This package contains the static libraries, shared links, and header files
 for SLEPc with real numbers.

Package: libslepc3.12-dev-examples
Description-md5: 9ac43dcc2711a852dcdf252ac768898d
Description-en: Scalable Library for Eigenvalue Problem Computations
 SLEPc is a software library for the solution of large scale sparse eigenvalue
 problems on parallel computers. It is an extension of PETSc and can be used
 for either standard or generalized eigenproblems, with real or complex
 arithmetic. It can also be used for computing a partial SVD of a large,
 sparse, rectangular matrix.
 .
 This package contains example, test, and tutorial scripts for SLEPc 3.12.

Package: libslf4j-java
Description-md5: 307af13d2db4d50e6f124f83f84006d9
Description-en: Simple Logging Facade for Java
 The Simple Logging Facade for Java (or SLF4J) is intended to serve as
 a simple facade for various logging APIs allowing to the end-user to
 plug in the desired implementation at deployment time. SLF4J also
 allows for a gradual migration path away from Apache Commons
 Logging (CL)
 .
 Logging API implementations can either choose to implement the SLF4J
 interfaces directly, e.g. logback or SimpleLogger. Alternatively, it
 is possible (and rather easy) to write SLF4J adapters for the given
 API implementation, e.g. Log4jLoggerAdapter or JDK14LoggerAdapter.

Package: libslice-java
Description-md5: 3bad0e042647f88cc4cc37b8d5d59c20
Description-en: Java library for efficiently working with heap and off-heap memory
 Slice is a library for working efficiently with heap and off-heap memory.

Package: libslicot-dev
Description-md5: ec83f9b5e4488bdf3452241e45ba7537
Description-en: numerical algorithms from systems and control theory (static library)
 SLICOT (Subroutine Library In COntrol Theory) is a general purpose basic
 mathematical library for control theoretical computations. The library
 provides tools to perform essential system analysis and synthesis tasks. The
 main emphasis in SLICOT is on numerical reliability of implemented algorithms
 and the numerical robustness and efficiency of routines. Providing algorithmic
 flexibility and the use of rigorous implementation and documentation standards
 are other SLICOT features.
 .
 SLICOT is written is Fortran 77 and builds upon the numerical linear algebra
 routines from BLAS (Basic Linear Algebra Subroutines) and LAPACK (Linear
 Algebra PACKage).
 .
 This package contains a static version of the library.

Package: libslicot-doc
Description-md5: da6c3466484b3ef934c0089f439e59a6
Description-en: numerical algorithms from systems and control theory (documentation)
 SLICOT (Subroutine Library In COntrol Theory) is a general purpose basic
 mathematical library for control theoretical computations. The library
 provides tools to perform essential system analysis and synthesis tasks. The
 main emphasis in SLICOT is on numerical reliability of implemented algorithms
 and the numerical robustness and efficiency of routines. Providing algorithmic
 flexibility and the use of rigorous implementation and documentation standards
 are other SLICOT features.
 .
 SLICOT is written is Fortran 77 and builds upon the numerical linear algebra
 routines from BLAS (Basic Linear Algebra Subroutines) and LAPACK (Linear
 Algebra PACKage).
 .
 This package contains the documentation of all the routines. It also contains
 associated example programs, data and results for many routines.

Package: libslicot-pic
Description-md5: 1f853248601c8d1cd304a16d7780f509
Description-en: numerical algorithms from systems and control theory (static PIC library)
 SLICOT (Subroutine Library In COntrol Theory) is a general purpose basic
 mathematical library for control theoretical computations. The library
 provides tools to perform essential system analysis and synthesis tasks. The
 main emphasis in SLICOT is on numerical reliability of implemented algorithms
 and the numerical robustness and efficiency of routines. Providing algorithmic
 flexibility and the use of rigorous implementation and documentation standards
 are other SLICOT features.
 .
 SLICOT is written is Fortran 77 and builds upon the numerical linear algebra
 routines from BLAS (Basic Linear Algebra Subroutines) and LAPACK (Linear
 Algebra PACKage).
 .
 This package contains a static version of the library compiled with position
 independent code (PIC). It also contains a static PIC version of the library
 compiled with 64-bit indexing of vectors and matrices. This package is
 provided for other packages to create their own dynamic objects. You normally
 do not need it, unless you have special needs.

Package: libslicot0
Description-md5: 63b0f870cfb573c098c364712e686b49
Description-en: numerical algorithms from systems and control theory (shared library)
 SLICOT (Subroutine Library In COntrol Theory) is a general purpose basic
 mathematical library for control theoretical computations. The library
 provides tools to perform essential system analysis and synthesis tasks. The
 main emphasis in SLICOT is on numerical reliability of implemented algorithms
 and the numerical robustness and efficiency of routines. Providing algorithmic
 flexibility and the use of rigorous implementation and documentation standards
 are other SLICOT features.
 .
 SLICOT is written is Fortran 77 and builds upon the numerical linear algebra
 routines from BLAS (Basic Linear Algebra Subroutines) and LAPACK (Linear
 Algebra PACKage).
 .
 This package contains a shared version of the library.

Package: libslingshot-clojure
Description-md5: 6cd61a55ec98f45ed1067f4e9a5dc928
Description-en: Enhanced throw and catch library for Clojure
 Slingshot is a Clojure library providing enhanced throw and catch replacements
 try+ and throw+.
 .
 Each is 100% compatible with Clojure's and Java's native try and throw both in
 source code and at runtime. Each also provides new capabilities intended to
 improve ease of use by leveraging Clojure's features like maps, records, and
 destructuring. Among them:
 .
    * throw+ can throw any Java object, not just those whose class is derived
      from java.lang.Throwable (e.g. Clojure maps or records)
 .
    * catch clauses within try+ can catch any Java object thrown by throw+,
      Clojure's throw, or Java's throw
 .
    * selectors in catch clauses allow matching on class name, key-value
      vectors, predicates and more
 .
    * Information about the context of a throw site is accessible via a hidden
      argument that includes information on, for example, the caught object,
      exception messages and stack traces

Package: libslurm-dev
Description-md5: f0aebfafaf7bbbd713befbd50564fb90
Description-en: SLURM development files
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains SLURM static library and header files.

Package: libslurm-perl
Description-md5: b115bb8252671d7d0932402d6ca480ff
Description-en: Perl API for SLURM
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 .
 This package contains the Perl module.

Package: libslurm34
Description-md5: 8fcc9ba96758ba343b922e3f7467f2d0
Description-en: Runtime library files for SLURM
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM runtime library.

Package: libslurmdb-perl
Description-md5: 04e00e773d74275fa1285a96e39077a1
Description-en: Perl API for the SLURM database
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 .
 This package contains the Perl module for the SLURM database.

Package: libslvs1
Description-md5: 76e1e1bc9829dea4d8bb71b42a054bd1
Description-en: SolveSpace geometric kernel
 SolveSpace is a parametric 2d/3d CAD. libslvs contains the geometric
 kernel of SolveSpace, built as a library.

Package: libslvs1-dev
Description-md5: 1dbd81ff8fb48f7818026fd296968486
Description-en: SolveSpace geometric kernel (development files)
 SolveSpace is a parametric 2d/3d CAD. libslvs contains the geometric
 kernel of SolveSpace, built as a library.
 .
 This package includes development files for libslvs.

Package: libsmali-java
Description-md5: b9788bffc7223dce7f29951f002196d7
Description-en: assembler/disassembler for Android's dex format
 smali/baksmali is an assembler/disassembler for the dex format used by dalvik,
 Android's Java VM implementation. The syntax is loosely based on
 Jasmin's/dedexer's syntax and supports the full functionality of the dex
 format like annotations, debug info and line info.

Package: libsmart-comments-perl
Description-md5: 45a51fc66d621c069771b61e61c7d920
Description-en: Perl module for comments that do more than just sit there
 Smart::Comments is a Perl module that implements "intelligent" comments that
 can aid in debugging and tracking program execution flow. They can report the
 value of a variable, track the progress of a loop, and verify that particular
 assertions are true.
 .
 Best of all, when you're finished debugging, you don't have to remove them.
 Simply commenting out the "use Smart::Comments" line turns them back into
 regular comments. Leaving smart comments in your code is smart because if you
 needed them once, you'll almost certainly need them again later.

Package: libsmartcardpp-dev
Description-md5: c9ec3491565a269cde920ec4d43e4944
Description-en: smartcardpp development files
 smartcardpp is a set of C++ classes to manage Smart Card
 communications and to implement basic command primitives.
 .
 This package provides the development headers and testing tools.

Package: libsmartcardpp1
Description-md5: cd09db6a68e754b22a17d47dedfebd76
Description-en: C++ library for accessing Smart Cards
 smartcardpp is a set of C++ classes to manage Smart Card
 communications and to implement basic command primitives.
 .
 This package provides the runtime libraries.

Package: libsmartcardpp1-dbg
Description-md5: 19a89ca3af3dc87c5de946840cde5e71
Description-en: smartcardpp debugging symbols
 smartcardpp is a set of C++ classes to manage Smart Card
 communications and to implement basic command primitives.
 .
 This package provides the debugging symbols.

Package: libsmc-dev
Description-md5: c048d6a7d62d8c6116a64d61bf3a6ddc
Description-en: Robot OS 'bond' State Machine Compiler interface
 The State Machine Compiler (SMC) from http://smc.sourceforge.net/
 converts a language-independent description of a state machine
 into the source code to support that state machine.
 .
 This package contains the libraries that a compiled state machine
 depends on, but it does not contain the compiler itself.

Package: libsmdev-dev
Description-md5: d5fc58fd0cf576b05bbfe8d8e0a2258a
Description-en: storage media device access library -- development files
 libsmdev is a library to access to storage media devices.
 .
 This package includes the development support files.

Package: libsmdev-utils
Description-md5: 48a414103dfa046f5035eedce15d8284
Description-en: storage media device access library -- Utilities
 libsmdev is a library to access to storage media devices.
 .
 This package contains smdevinfo, a tool to determine information
 about storage media devices.

Package: libsmdev1
Description-md5: 03d08de27e40f9b053bad466dba6c5b4
Description-en: storage media device access library
 libsmdev is a library to access to storage media devices.
 .
 This package contains the shared library.

Package: libsmf-dev
Description-md5: e6f329b6f933e4161b9819cd7f6cd14a
Description-en: Development files for the smf library
 LibSMF is a BSD-licensed C library for handling SMF ("*.mid") files.
 .
 This package contains the development libraries, header files needed
 by programs that want to compile with LibSMF

Package: libsmf0
Description-md5: 47a48e480ba61c6d4e6690cf02152809
Description-en: LibSMF is a BSD-licensed C library for handling SMF ("*.mid") files
 LibSMF is a BSD-licensed C library for handling SMF ("*.mid")
 files. It transparently handles conversions between time and pulses,
 tempo map handling etc. The only dependencies are C compiler and
 glib. Full API documentation and examples are included.

Package: libsmi2-common
Description-md5: 8aabf03110de3d1b0c7096a63fb05c59
Description-en: library to access SMI MIB information - MIB module files
 This is just a transitional package to suggest snmp-mibs-downloader
 which should download needed MIB. You can delete it if you already
 have snmp-mibs-downloader.

Package: libsmi2-dev
Description-md5: 79d7c1c39b88b25dafd14e07f3327e69
Description-en: library to access SMI MIB information - development files
 These are the files needed to compile programs using libsmi.
 .
 The purpose of libsmi is to
   * give network management applications a concise programmer-friendly
     interface to access MIB module information,
   * separate the knowledge on SMI from the main parts of management
     applications,
   * allow one to add new kinds of MIB repositories without the need to
     adapt applications that make use of libsmi.
 .
 In this context, MIB is "Management Information Base" and SMI stands for
 "Structure and identification of Management Information". See RFC1902 and
 others for details.
 .
 This package contains development files for libsmi library.

Package: libsmi2ldbl
Description-md5: 6f50a74107845acd616a0ac7df918e68
Description-en: library to access SMI MIB information
 The purpose of libsmi is to
   * give network management applications a concise programmer-friendly
     interface to access MIB module information,
   * separate the knowledge on SMI from the main parts of management
     applications,
   * allow one to add new kinds of MIB repositories without the need to
     adapt applications that make use of libsmi.
 .
 In this context, MIB is "Management Information Base" and SMI stands for
 "Structure and identification of Management Information". See RFC1902 and
 others for details.
 .
 This package contains the main libsmi library.

Package: libsmithwaterman-dev
Description-md5: 4b3b50c6f301c8d77b3ba93fc159d579
Description-en: determine similar regions between two strings or genomic sequences (devel)
 The Smith–Waterman algorithm performs local sequence alignment; that is,
 for determining similar regions between two strings or nucleotide or
 protein sequences. Instead of looking at the total sequence, the
 Smith–Waterman algorithm compares segments of all possible lengths and
 optimizes the similarity measure.
 .
 This is the development package containing the statically linked
 library and the header files.

Package: libsmithwaterman0
Description-md5: aefb07a7cba8c668dbfd9aa090996f07
Description-en: determine similar regions between two strings or genomic sequences (lib)
 The Smith–Waterman algorithm performs local sequence alignment; that is,
 for determining similar regions between two strings or nucleotide or
 protein sequences. Instead of looking at the total sequence, the
 Smith–Waterman algorithm compares segments of all possible lengths and
 optimizes the similarity measure.
 .
 This package contains the dynamic library.

Package: libsml-dev
Description-md5: 5ebf7928918ff89d067cbea289cd707a
Description-en: Header files for libSML
 libSML is a library which implements the Smart Messaging Language (SML)
 protocol specified by VDE's Forum Netztechnik/Netzbetrieb (FNN).
 It can be utilized to communicate to FNN specified Smart Meters
 or Smart Meter components (EDL/MUC).
 .
 This package includes header include files and an example for libSML

Package: libsml1
Description-md5: 0d7f8d99d5aa1e753f0bac919253dacb
Description-en: Library for the Smart Messaging Language (SML)
 libSML is a library which implements the Smart Messaging Language (SML)
 protocol specified by VDE's Forum Netztechnik/Netzbetrieb (FNN).
 It can be utilized to communicate to FNN specified Smart Meters
 or Smart Meter components (EDL/MUC).

Package: libsmlnj-smlnj
Description-md5: 69f46d226cad4b66394ad8f31178c745
Description-en: Useful libraries for Standard ML of New Jersey
 A library for SML, including:
  * Util        A general utility library.
  * Controls    A library of support code for managing application controls.
  * HashCons    A library supporting hash-consing of data structures and
                efficient sets and maps using hash-consed keys.
  * HTML        Provides parsing and pretty printing of HTML.
  * INet        Networking utilities.
  * PP          Pretty-printing library.
  * Reactive    A low-level reactive scripting library.
  * RegExp      Regular-expression library.
  * Unix        A set of unix specific utilities.

Package: libsmltk0
Description-md5: 9db4e571a65cf8a43775ff1af998b584
Description-en: library for SyncML-DS (SyncML Data Sync) clients (shared libraries)
 The Synthesis SyncML engine supports SyncML versions 1.0, 1.1 and 1.2
 including complex features like data filtering, suspend & resume,
 vCard/vCalendar format conversion in a way completely transparent to
 the user of the library.
 .
 These are the shared libraries (see also libsynthesis0)

Package: libsmpeg-dev
Description-md5: 9c5f6a20982161c1e4e22c814e79576e
Description-en: SDL MPEG Player Library - development files
 SMPEG (SDL MPEG Player Library) is a free MPEG1 video player library with
 sound support.  Video playback is based on the ubiquitous Berkeley MPEG
 player, mpeg_play v2.2.  Audio is played through a slightly modified
 mpegsound library, part of splay v0.8.2. SMPEG supports MPEG audio (MP3),
 MPEG-1 video, and MPEG system streams.
 .
 This package contains the development files (headers and static libraries)
 for libsmpeg. It is only needed if you want to compile programs that use
 SMPEG.

Package: libsmpeg0
Description-md5: df25582f1bd3e853c5f3235018aa7a99
Description-en: SDL MPEG Player Library - shared libraries
 SMPEG (SDL MPEG Player Library) is a free MPEG1 video player library with
 sound support.  Video playback is based on the ubiquitous Berkeley MPEG
 player, mpeg_play v2.2.  Audio is played through a slightly modified
 mpegsound library, part of splay v0.8.2. SMPEG supports MPEG audio (MP3),
 MPEG-1 video, and MPEG system streams.
 .
 This package contains the libsmpeg shared library that is required by
 many MPEG-playing packages, including smpeg-xmms and smpeg-plaympeg.

Package: libsmpp34-1
Description-md5: f1ecf99f619fc51efa92ef52624c7007
Description-en: Open PDU SMPP packaging and unpackaging tool
 This library is an implementation for providing the PDU handling of the
 SMPP-3.4 protocol. SMPP (Short Message Peer-to-Peer) is an open industry
 standard protocol designed to provide a flexible data communication
 interface for the transfer of short message data between External Short
 Messaging Entities, Routing Entitites and Message Centres.

Package: libsmpp34-dev
Description-md5: e5de94a40fd36bc8a044139c1a1f6eee
Description-en: Development files for libsmpp34
 This library is an implementation for providing the PDU handling of the
 SMPP-3.4 protocol. SMPP (Short Message Peer-to-Peer) is an open industry
 standard protocol designed to provide a flexible data communication
 interface for the transfer of short message data between External Short
 Messaging Entities, Routing Entitites and Message Centres.
 .
 This package contains the development files for the library.

Package: libsmraw-dev
Description-md5: 1ef02f84dcf59426ebbb6e47d53f6403
Description-en: split RAW image format access library -- development files
 libsmraw is a library to access the (split) RAW image format.
 .
 This package includes the development support files.

Package: libsmraw-utils
Description-md5: 70ea7a9daa1f3cf04e05eec16c3b3742
Description-en: split RAW image format access library -- Utilities
 libsmraw is a library to access the (split) RAW image format.
 .
 This package contains tools to access data stored in split RAW files:
 smrawmount, smrawverify

Package: libsmraw1
Description-md5: dee097554f2b6d28429406de6f137733
Description-en: split RAW image format access library
 libsmraw is a library to access the (split) RAW image format.
 .
 This package contains the shared library.

Package: libsms-aql-perl
Description-md5: 2941e0104baee6b2af7dcab52c73a0f5
Description-en: Perl extension to send SMS text messages via AQL's SMS service
 SMS::AQL provides an object-oriented interface to send SMS text messages
 using the HTTP gateway provided by AQ Ltd (www.aql.com) in the UK.
 .
 It supports concatenated text messages (over the 160-character limit of
 normal text messages, achieved by sending multiple messages with a header to
 indicate that they are part of one message (this is handset-dependent, but
 supported by all reasonably new mobiles).

Package: libsms-send-aql-perl
Description-md5: c3c7cfcfc6f87ac2a23ccb740c389f54
Description-en: SMS::Send driver to send messages via AQL (www.aql.com)
 A driver for SMS::Send to send SMS text messages via AQL (www.aql.com)
 .
 This is not intended to be used directly, but instead called by SMS::Send
 (see SMS::Send's documentation for further information).

Package: libsms-send-perl
Description-md5: 127aafdd9901c7985cde071509aa2f10
Description-en: driver-based API for sending SMS messages
 The SMS::Send perl module is intended to provide a driver-based single API for
 sending SMS and MMS messages. The intent is to provide a single API against
 which to write the code to send an SMS message.
 .
 At the same time, the intent is to remove the limits of some of the
 previous attempts at this sort of API, like "must be free internet-based
 SMS services".
 .
 SMS::Send drivers are installed separately, and might use the web,
 email or physical SMS hardware. It could be a free or paid. The details
 shouldn't matter.
 .
 You should not have to care how it is actually sent, only that it has
 been sent (although some drivers may not be able to provide certainty).

Package: libsnacc-dev
Description-md5: 86581aa7c6cd4ba090a5cba71c1b55c1
Description-en: ASN.1 to C or C++ or IDL compiler, development files
 Snacc is short for "Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.  If you need features of
 ASN.1 1992 or later, snacc is not for you.
 .
 This package contains the static libraries and C/C++ header files for
 snacc development.

Package: libsnacc0c2
Description-md5: 7c017c4aef67d775412a498b7ee9caf8
Description-en: ASN.1 to C or C++ or IDL compiler, shared libraries
 Snacc is short for "Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.  If you need features of
 ASN.1 1992 or later, snacc is not for you.
 .
 These are the shared libraries for programs developed using snacc.

Package: libsnack-alsa
Description-md5: 0398b7537d9c7034ea56029c7bfab576
Description-en: Sound extension to Tcl/Tk and Python/Tkinter - ALSA files
 Snack is an extension to the Tcl/Tk scripting language
 that adds sound functionality. There are commands to play, record,
 edit, and even visualize sound. Snack supports in-memory sound
 objects, file based audio, and streaming audio. It handles
 file formats such as WAV, AU, AIFF, MP3, and OGG Vorbis.
 .
 This package includes libraries which use ALSA as a sound engine.

Package: libsnack-oss
Description-md5: 673cd6ddca7d1e502ac4a710b05e158a
Description-en: Sound extension to Tcl/Tk and Python/Tkinter - OSS files
 Snack is an extension to the Tcl/Tk scripting language
 that adds sound functionality. There are commands to play, record,
 edit, and even visualize sound. Snack supports in-memory sound
 objects, file based audio, and streaming audio. It handles
 file formats such as WAV, AU, AIFF, MP3, and OGG Vorbis.
 .
 This package includes libraries which use OSS as a sound engine.

Package: libsnapd-qt-dev
Description-md5: 52a4591df68465c7b093955419f9a46e
Description-en: Qt snapd library (development files)
 snapd-glib is a library to allow GLib based applications access to snapd,
 the daemon that controls Snaps.
 .
 Snaps are 'universal' packages that work across many different Linux
 systems, enabling secure distribution of the latest apps and utilities
 for cloud, servers, desktops and the internet of things.
 .
 snapd-qt is a wrapper library for snapd-glib for Qt applications.
 .
 This package contains the development files.

Package: libsnapd-qt1
Description-md5: 1a551d83aff5cf2beed47fce24d1995a
Description-en: Qt snapd library
 snapd-glib is a library to allow GLib based applications access to snapd,
 the daemon that controls Snaps.
 .
 Snaps are 'universal' packages that work across many different Linux
 systems, enabling secure distribution of the latest apps and utilities
 for cloud, servers, desktops and the internet of things.
 .
 snapd-qt is a wrapper library for snapd-glib for Qt applications.

Package: libsnapper-dev
Description-md5: 573ca834eaca01329b07640d7b1b4555
Description-en: Library for Linux filesystem snapshot management tool (header files)
 Snapper is a tool for Linux filesystem snapshot management. Apart from the
 obvious creation and deletion of snapshots, it can compare snapshots and revert
 differences between snapshots. In simple terms, this allows root and non-root
 users to view older versions of files and revert changes.
 .
 This package contains the header files for libsnapper, allowing the development
 of third-party tools.

Package: libsnapper4
Description-md5: ae52d7367536a864df43c03735c4976b
Description-en: Library for Linux filesystem snapshot management tool
 Snapper is a tool for Linux filesystem snapshot management. Apart from the
 obvious creation and deletion of snapshots, it can compare snapshots and revert
 differences between snapshots. In simple terms, this allows root and non-root
 users to view older versions of files and revert changes.
 .
 This package contains the runtime library for snapper, allowing the development
 of third-party tools.

Package: libsnappy-java
Description-md5: 3ac5e581aac28dae4c71ff9fb4671529
Description-en: Snappy for Java, a fast compressor/decompresser
 Java port of Snappy, a fast compresser/decompresser written in C++,
 originally developed by Google.
 .
 Snappy's main target is very high-speed compression/decompression with
 reasonable compression size. So the compression ratio of snappy-java is
 modest and about the same as LZF (ranging 20%-100% according to the
 dataset).

Package: libsnappy-jni
Description-md5: a38c39dd16269dd14949120671dab408
Description-en: Snappy for Java, a fast compressor/decompresser (JNI library)
 Java port of Snappy, a fast compresser/decompresser written in C++,
 originally developed by Google.
 .
 Snappy's main target is very high-speed compression/decompression with
 reasonable compression size. So the compression ratio of snappy-java is
 modest and about the same as LZF (ranging 20%-100% according to the
 dataset).
 .
 This package contains the architecture specific Java native interface part.

Package: libsndifsdl2-dev
Description-md5: 40c9434962abb406ea70f5794cf5ebe9
Description-en: SDL2-based sound support for the fizmo interpreter
 This library provides sound functionality related to the fizmo interpreter
 using the SDL2 library. It supports Infocom's .snd files as well as
 AIFF from blorb files.

Package: libsndio-dev
Description-md5: f22715f67cd95048d8edf7af5e76f61c
Description-en: Small audio and MIDI framework from OpenBSD, development files
 Sndio is a small, simple audio and MIDI framework, developed by the OpenBSD
 project. It provides a lightweight audio and MIDI server and a well-documented
 userspace API to access the server or audio hardware in a uniform way. Sndio is
 designed to work well for both desktop and professional music applications, and
 supports features found in more complex sound systems such as per-application
 volume control, software mixing, and network transparency.
 .
 This package contains development files and documentation for the sndio
 userspace libraries.

Package: libsndio7.0
Description-md5: 6122badd0cc1f75ae2ed2b7670bdc7a0
Description-en: Small audio and MIDI framework from OpenBSD, runtime libraries
 Sndio is a small, simple audio and MIDI framework, developed by the OpenBSD
 project. It provides a lightweight audio and MIDI server and a well-documented
 userspace API to access the server or audio hardware in a uniform way. Sndio is
 designed to work well for both desktop and professional music applications, and
 supports features found in more complex sound systems such as per-application
 volume control, software mixing, and network transparency.
 .
 This package contains the sndio userspace libraries.

Package: libsndobj-dev
Description-md5: 744a496c94d68da32b0221b041795ce4
Description-en: Sound Object library (development files)
 The Sound Object Library is an object-oriented audio processing library.
 It provides objects for synthesis and processing of sound that can be used
 to build applications for computer-generated music.
 .
 This package contains the development files.

Package: libsndobj2v5
Description-md5: 795eff0a0cbdc67712549f1868e83508
Description-en: Sound Object library
 The Sound Object Library is an object-oriented audio processing library.
 It provides objects for synthesis and processing of sound that can be used
 to build applications for computer-generated music.

Package: libsnl-dev
Description-md5: 49b1c8715994d13e37a6d8f102c8f3f9
Description-en: Simple Nurbs Library (development files)
 libSNL is a library of routines used for the
 manipulation of non-uniform rational B-Splines (NURBS).
 NURBS are widely used in programs  for computer-aided
 design (CAD), manufacturing (CAM) and engineerging (CAE)
 to model and representing curves and surfaces.
 .
 This package contains files needed to develop programs using libsnl.

Package: libsnl0
Description-md5: 283cb649073c7b154c9491c0ee9d5472
Description-en: Simple Nurbs Library
 libSNL is a library of routines used for the
 manipulation of non-uniform rational B-Splines (NURBS).
 NURBS are widely used in programs  for computer-aided
 design (CAD), manufacturing (CAM) and engineerging (CAE)
 to model and representing curves and surfaces.
 .
 This package contains the libsnl shared libraries.

Package: libsnmp-extension-passpersist-perl
Description-md5: 3a9fc0467cfe74a6b2098c5f3349ca05
Description-en: Generic pass/pass_persist extension framework for Net-SNMP
 SNMP::Extension::PassPersist is a framework for writing Net-SNMP extensions
 using the pass or pass_persist mechanisms.

Package: libsnmp-info-perl
Description-md5: cb7be57c3df4953327ca133605eacc30
Description-en: Object Oriented Perl5 Interface to Network devices and MIBs through SNMP
 SNMP::Info gives an object oriented interface to information obtained
 through SNMP.
 .
 The idea behind this module is to give a common interface to data
 from network devices, leaving the device-specific hacks behind the
 scenes in subclasses.
 .
 The interface avoids use of OIDs in favour of methods representing
 leaf names, either for single values or tables represented as Perl
 hashes.
 .
 Information is grouped more logically than in the MIB trees. Adding
 support for new devices is easy, and takes little or no SNMP
 knowledge.

Package: libsnmp-mib-compiler-perl
Description-md5: b029b528a68bc895626676b6deb68336
Description-en: MIB Compiler supporting SMIv1 and SMIv2
 SNMP::MIB::Compiler is a MIB compiler that fully supports
 both SMI(v1) and SMIv2. This module can be use to compile
 MIBs (recursively or not) or load already compiled MIBs for
 later use.

Package: libsnmp-multi-perl
Description-md5: f2e1b8c045c4efd898d9713b6ec9f51e
Description-en: Perform SNMP operations on multiple hosts simultaneously
 The SNMP::Multi package provides a mechanism to perform SNMP operations
 on several hosts simultaneously.  SNMP::Multi builds on G. Marzot's SNMP
 Perl interface to the UC-Davis SNMP libraries, using asynchronous SNMP
 operations to send queries/sets to multiple hosts simultaneously.
 .
 Results from all hosts are compiled into a single object, which offers
 methods to access the data in aggregate, or broken down by host or the
 individual request.
 .
 SNMP::Multi supports SNMP GET, SET, GETNEXT, GETBULK and BULKWALK requests.
 It also performs PDU packing in order to improve network efficiency, when
 packing is possible.

Package: libsnmp-perl
Description-md5: 5ee88a696b0fa001f969ddb758d70f1f
Description-en: SNMP (Simple Network Management Protocol) Perl5 support
 The Simple Network Management Protocol (SNMP) provides a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The Net-SNMP Perl5 support files provide the Perl functions for
 integration of SNMP into applications written in Perl.

Package: libsnmp-session-perl
Description-md5: 62e2290d30fc61c428a1ba19cb213e7e
Description-en: Perl support for accessing SNMP-aware devices
 SNMP_Session contains support for accessing SNMP-aware devices (routers,
 network interfaces of machines running an SNMP daemon, Squid, etc) from
 within Perl applications.
 .
 For more information, see https://github.com/sleinen/snmp-session.

Package: libsnmpkit-dev
Description-md5: 1062e6285974866cb732d9af3b219491
Description-en: multithreaded SNMP connection library
 This package contains headers and static libraries for the libsnmpkit2
 package.

Package: libsnmpkit2v5
Description-md5: e8eadddcf0d00d90411eb722fe63443e
Description-en: multithreaded SNMP connection library
 This library allows a program to make large numbers of SNMP connections
 simultaneously.

Package: libsnowball-norwegian-perl
Description-md5: 16b4a74769c3893c5c4bf153e23965a4
Description-en: Stemming algorithm for Norwegian
 The stem function takes a scalar as a parameter and stems the word
 according to Martin Porters Norwegian stemming algorithm,
 which can be found at the Snowball website: http://snowball.tartarus.org/.
 .
 It also supports caching if you pass the use_cache option when constructing
 a new L:S:S:N object.

Package: libsnowball-swedish-perl
Description-md5: b3a66d1af0681a1ad9ba5eafad4a3e1a
Description-en: Stemming algorithm for Swedish
 The stem function takes a scalar as a parameter and stems the word
 according to Martin Porters Swedish stemming algorithm,
 which can be found at the Snowball website: http://snowball.tartarus.org/.
 .
 It also supports caching if you pass the use_cache option when constructing
 a new L:S:S:S object.

Package: libsnp-sites1
Description-md5: 1ae1c73a1beae772bf71d4704d51d094
Description-en: Shared libraries of the package snp-sites
 Snp-sites finds single nucleotide polymorphism (SNP) sites from
 multi-fasta alignment input files (which might be compressed).   Its
 output can be in various widely used formats (Multi Fasta Alignment,
 Vcf, phylip).
 .
 The software has been developed at the Wellcome Trust Sanger Institute.
 .
 This package contains the dynamic library uses by snp-sites.

Package: libsnp-sites1-dev
Description-md5: f03ab28237b432d9db9de58769516b69
Description-en: Static libraries and header files for the package snp-sites
 Snp-sites finds single nucleotide polymorphism (SNP) sites from
 multi-fasta alignment input files (which might be compressed).   Its
 output can be in various widely used formats (Multi Fasta Alignment,
 Vcf, phylip).
 .
 The software has been developed at the Wellcome Trust Sanger Institute.
 .
 This package contains the development files to include snp-sites
 into your own code.  The library enables Python developers to make
 snp-sites function calls (Python bindings) through the Boost Python
 Library.

Package: libsoap-lite-perl
Description-md5: be07c65a458b82d4a5114e3d4a07d8ba
Description-en: Perl implementation of a SOAP client and server
 SOAP::Lite is a collection of Perl modules that provide a simple and
 lightweight interface to the Simple Object Access Protocol (SOAP) both on
 client and server side.
 .
 This version of SOAP::Lite supports a subset of the SOAP 1.1 specification
 and has initial support for SOAP 1.2 specification.
 See http://www.w3.org/TR/SOAP for details.

Package: libsoap-wsdl-perl
Description-md5: 819df8956767ad16c3ebfd38c8f3dcbe
Description-en: Perl module for SOAP with WSDL support
 SOAP::WSDL provides easy access to Web Services with WSDL descriptions.
 .
 The WSDL is parsed and stored in memory. Your data is serialized according to
 the rules in the WSDL. The only transport mechanisms currently supported are
 http and https.

Package: libsoapysdr-dev
Description-md5: 000beecb516bda457a33049681d77947
Description-en: SoapySDR library development files
 SoapySDR is a library providing a common interface to SDR (software
 defined radio) hardware. Support for different hardware is added through
 external modules.
 .
 This package contains the development files for the library.

Package: libsoapysdr-doc
Description-md5: 262ea9a01f5d347501d23e275cdff521
Description-en: SoapySDR library development documentation
 SoapySDR is a library providing a common interface to SDR (software
 defined radio) hardware. Support for different hardware is added through
 external modules.
 .
 This package contains the development documentation for the library.

Package: libsoapysdr0.7
Description-md5: d14a42c7597dbb32defcaa0f0d64ec7f
Description-en: software defined radio interface library
 SoapySDR is a library providing a common interface to SDR (software
 defined radio) hardware. Support for different hardware is added through
 external modules.
 .
 This package contains the shared library.

Package: libsoci-core3.2v5
Description-md5: 31c75454fb08d2899ddf5ddaddc23bea
Description-en: C++ Database Access Library
 Database access library for C++ that makes the illusion of
 embedding SQL queries in the regular C++ code, staying entirely
 within Standard C++.
 .
 This package provides the shared library.

Package: libsoci-dev
Description-md5: af48496b5d02d9dd016c9162e9313719
Description-en: C++ Database Access Library (devel)
 Database access library for C++ that makes the illusion of
 embedding SQL queries in the regular C++ code, staying entirely
 within Standard C++.
 .
 This package provides the development headers.

Package: libsoci-firebird3.2v5
Description-md5: 8e2615a7dfd99cbec7da533da774c937
Description-en: C++ Database Access Library (Firebird backend)
 Database access library for C++ that makes the illusion of
 embedding SQL queries in the regular C++ code,  staying entirely
 within Standard C++.
 .
 This package provides the Firebird backend.

Package: libsoci-mysql3.2v5
Description-md5: d56ed898ca3bc24b5f6c9ad4ed4fe6f0
Description-en: C++ Database Access Library (MySQL backend)
 Database access library for C++ that makes the illusion of
 embedding SQL queries in the regular C++ code, staying entirely
 within Standard C++.
 .
 This package provides the MySQL backend.

Package: libsoci-odbc3.2v5
Description-md5: dd04132792782dcbc85f557ccaf4a0ba
Description-en: C++ Database Access Library (ODBC backend)
 Database access library for C++ that makes the illusion of
 embedding SQL queries in the regular C++ code,  staying entirely
 within Standard C++.
 .
 This package provides the ODBC backend.

Package: libsoci-postgresql3.2v5
Description-md5: f74e7fcc9bb1989880ee8955850927bb
Description-en: C++ Database Access Library (PostgreSQL backend)
 Database access library for C++ that makes the illusion of
 embedding SQL queries in the regular C++ code, staying entirely
 within Standard C++.
 .
 This package provides the PostgreSQL backend.

Package: libsoci-sqlite3-3.2v5
Description-md5: d39063d2a310c8118e255043a03bf1cd
Description-en: C++ Database Access Library (SQLite3 backend)
 Database access library for C++ that makes the illusion of
 embedding SQL queries in the regular C++ code, staying entirely
 within Standard C++.
 .
 This package provides the SQLite3 backend.

Package: libsocket++-dev
Description-md5: acd5cf5deee2df866c4f88b1976f7560
Description-en: lightweight convenience library to handle low level BSD sockets in C++ -devel
 Socket++ library defines a family of C++ classes that can be used more
 effectively than directly calling the underlying low-level system functions.
 One distinct advantage of the socket++ is that it has the same interface as
 that of the iostream so that the users can perform type-safe input output.
 See your local IOStream library documentation for more information on
 iostreams.
 .
 Headers and shared libraries for socket++.

Package: libsocket++1
Description-md5: 6e3e621e7a6b5954cd480f3b4c8d3d2c
Description-en: lightweight convenience library to handle low level BSD sockets in C++ - libs
 Socket++ library defines a family of C++ classes that can be used more
 effectively than directly calling the underlying low-level system functions.
 One distinct advantage of the socket++ is that it has the same interface as
 that of the iostream so that the users can perform type-safe input output.
 See your local IOStream library documentation for more information on
 iostreams.
 .
 This package contains the libraries needed to run socket++ applications.

Package: libsocket-getaddrinfo-perl
Description-md5: 20e1352cfb294c18dae59c015592a39c
Description-en: module implementing getaddrinfo and getnameinfo
 Socket::GetAddrInfo provides a Perl implementation of the getaddrinfo and
 getnameinfo functions as described in RFC 2553. These functions are useful for
 converting between a pair of host name/service name and socket addresses, or
 vice versa.
 .
 This module uses underlying C code to do most of the work if possible, but can
 also fall back on some mostly-compatible emulation code written in Perl. This
 means that this module is a fairly portable implementation of these functions.
 .
 As of Perl version 5.14.0, Perl already supports `getaddrinfo' in core. On
 such a system, this module simply uses the functions provided by `Socket',
 and does not need to use its own compiled XS, or pure-perl legacy emulation.
 .
 As `Socket' in core now provides all the functions also provided by this
 module, it is likely this may be the last released version of this module.
 And code currently using this module would be advised to switch to using core
 `Socket' instead.

Package: libsocket-linux-perl
Description-md5: 6532ca0526744cbe6980fc30c926c5ae
Description-en: module for using socket constants defined in Linux <netinet/tcp.h>
 The Socket::Linux module exports the constants defined in netinet/tcp.h not
 available from the perl core package Socket, such as TCP_KEEPINTVL,
 TCP_QUICKACK and TCP_NODELAY.

Package: libsocket-msghdr-perl
Description-md5: 4ccb17359983227cb93fd3584e850c63
Description-en: sendmsg, recvmsg and ancillary data operations
 Socket::MsgHdr provides advanced socket messaging operations via sendmsg and
 recvmsg. Like their C counterparts, these functions accept few parameters,
 instead stuffing a lot of information into a complex structure.
 .
 This structure describes the message sent or received (buf), the peer on the
 other end of the socket (name), and ancillary or so-called control
 information (cmsghdr). This ancillary data may be used for file descriptor
 passing, IPv6 operations, and a host of implemenation-specific extensions.

Package: libsocket-multicast6-perl
Description-md5: edef32e900024c741b8740bab384c203
Description-en: base module for IPv4 and IPv6 multicast socket operations
 Socket::Multicast6 is used to gain access to constants and utility functions
 used when manipulating multicast socket attributes.
 .
 For simple, object-oriented way of doing the same thing, take a look at
 IO::Socket::Multicast6 or IO::Socket::Multicast.

Package: libsocket-perl
Description-md5: 7d357a39bcb75fd2f2472210f54b0c88
Description-en: networking constants and support functions
 Socket provides a variety of constants, structure manipulators and other
 functions related to socket-based networking. The values and functions
 provided are useful when used in conjunction with Perl core functions such as
 socket(), setsockopt() and bind(). It also provides several other support
 functions, mostly for dealing with conversions of network addresses between
 human-readable and native binary forms, and for hostname resolver operations.

Package: libsocket-wrapper
Description-md5: df581241da4b0b0d2068c5948a2fc755
Description-en: socket wrapper library
 socket_wrapper is a preload library that makes possible to run several
 instances of the full software stack on the same machine and perform locally
 functional testing of complex network configurations. It passes all socket
 communication over unix domain sockets.

Package: libsocketcan-dev
Description-md5: 5cb14bc4f5877e7d0dfac1e9e56b58b5
Description-en: library to control some basic functions in SocketCAN from userspace
 .
 This package provides development files for libsocketcan.

Package: libsocketcan-doc
Description-md5: 6429dd917292c4c26c76fa1e38b577f0
Description-en: library to control some basic functions in SocketCAN from userspace
 .
 This package provides documentation for libsocketcan.

Package: libsocketcan2
Description-md5: c02b2347b8e56c5078049d7abeed5970
Description-en: library to control some basic functions in SocketCAN from userspace
 This userspace library allows one to do common configure/control tasks on
 SocketCAN interface.

Package: libsocksd0
Description-md5: d1245be6c35efe33be994e5f388cba4d
Description-en: SOCKS library for packages built using libsocksd-dev
 Dante is a circuit-level firewall/proxy that can be used to provide
 convenient and secure network connectivity to a wide range of hosts
 while requiring only the server Dante runs on to have external network
 connectivity.
 .
 This package provides the Dante SOCKS support library and will generally
 be automatically selected by packages that require it.

Package: libsocksd0-dev
Description-md5: e3665f1fe22527f31227f98afb542c9a
Description-en: Development files for compiling programs with SOCKS support
 Dante is a circuit-level firewall/proxy that can be used to provide
 convenient and secure network connectivity to a wide range of hosts
 while requiring only the server Dante runs on to have external network
 connectivity.
 .
 This package provides header files and instructions for compiling programs
 with SOCKS support.

Package: libsocl-1.3-0
Description-md5: 607f8f9648f71dbaceaaeeaa04f4c6e8
Description-en: Task scheduler for heterogeneous multicore machines
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains an OpenCL-compatible library interface to StarPU.

Package: libsofia-sip-ua-dev
Description-md5: eea423e4e1b42614202f89598835c7c0
Description-en: Sofia-SIP library development files
 Sofia-SIP is an open-source SIP User-Agent library, compliant
 with the IETF RFC3261 specification. It can be used as
 a building block for SIP client software for uses such as VoIP,
 IM, and many other real-time and person-to-person communication
 services.
 .
 This package provides the headers and libraries needed to build
 applications against the Sofia-SIP library.

Package: libsofia-sip-ua-glib-dev
Description-md5: 7df07b6adec04309355355a6a71ff8c0
Description-en: Sofia-SIP library glib/gobject interface development files
 Sofia-SIP is an open-source SIP User-Agent library, compliant
 with the IETF RFC3261 specification. It can be used as
 a building block for SIP client software for uses such as VoIP,
 IM, and many other real-time and person-to-person communication
 services.
 .
 This package provides the headers and libraries needed to build
 applications against the glib/object interfaces of Sofia-SIP library.

Package: libsofia-sip-ua-glib3
Description-md5: 91fe9a8d309a6f7c31435ffc7e6b478b
Description-en: Sofia-SIP library glib/gobject interfaces runtime
 Sofia-SIP is an open-source SIP User-Agent library, compliant
 with the IETF RFC3261 specification. It can be used as
 a building block for SIP client software for uses such as VoIP,
 IM, and many other real-time and person-to-person communication
 services.
 .
 This package provides glib/object interfaces to the Sofia-SIP library.

Package: libsofia-sip-ua0
Description-md5: e921b85f67d50b90ca35d41ec1c1571f
Description-en: Sofia-SIP library runtime
 Sofia-SIP is an open-source SIP User-Agent library, compliant
 with the IETF RFC3261 specification. It can be used as
 a building block for SIP client software for uses such as VoIP,
 IM, and many other real-time and person-to-person communication
 services.
 .
 The library is implemented in ANSI C, and provides an extensive
 set of features for implementing SIP/SIMPLE User-Agents. Highlights
 include full UDP/TCP/TLS support, IPv4/6 support, SIMPLE presence
 and messaging support, SIP offer/answer engine and numerous
 mechanisms for NAT/FW traversal.
 .
 This package provides the main library runtime files.

Package: libsofthsm2
Description-md5: a797f31f4ecb146a2b0f6391d1a0e1c5
Description-en: cryptographic store accessible through a PKCS #11
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 SoftHSM 2 is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This package contains shared library.

Package: libsofthsm2-dev
Description-md5: 457678a6de9b43d23e63c99d323aea72
Description-en: cryptographic store accessible through a PKCS #11
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 SoftHSM 2 is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This package contains development library.  You will need external
 PKCS#11 header files.

Package: libsoftware-license-perl
Description-md5: 7af28028a9c9fd87287fc512280a2cea
Description-en: module providing templated software licenses
 Software::License is a Perl module used by various tools for module building,
 installation, and distribution to provide a simple way of referencing popular
 free and open-source software licenses.

Package: libsoftware-licensemoreutils-perl
Description-md5: 51de201fae9380ae86dc9f0a3918bbe4
Description-en: More utilities and a summary for Software::License
 Software::LicenseMoreUtils Perl module provides more utilities for
 Software::License:
 .
  * more short keyword to create license object
  * license summaries that point to /usr/share/common-licenses

Package: libsoftware-release-perl
Description-md5: d24d5f14d372aa62b6541c01309d43ce
Description-en: Perl class representing a release of software
 Software::Release is a purely informational collection of objects that you
 can use to represent a release of software. Its original use-case was to
 provide a contract between a git log parser and a formatter class that
 outputs a changelog, but it may be useful to others to create bug trackers,
 dashboards or whathaveyour.

Package: libsoil-dev
Description-md5: 9e6b955f490ccf2f672dd4e2455da28a
Description-en: Simple OpenGL Image Library - development files
 SOIL is a tiny C library used primarily for uploading textures into OpenGL.
 It supports loading BMP, PNG, JPG, TGA, DDS, PSD and HDR files as well as
 saving into TGA, BMP and DDS Files.
 .
 It is also able to perform common functions needed in loading OpenGL textures.
 .
 This package contains everything needed to develop software using libsoil.

Package: libsoil1
Description-md5: 592ac35975852881dc92c461ea847d2b
Description-en: Simple OpenGL Image Library
 SOIL is a tiny C library used primarily for uploading textures into OpenGL.
 It supports loading BMP, PNG, JPG, TGA, DDS, PSD and HDR files as well as
 saving into TGA, BMP and DDS Files.
 .
 It is also able to perform common functions needed in loading OpenGL textures.
 .
 This is the shared library potentially needed for some other software to work.

Package: libsoldout-dev
Description-md5: 174b85922389482b2c41319750320d56
Description-en: Development files for libsoldout a parser for the markdown language
 libsoldout is a library implementing a parser for John Gruber's markdown
 language (http://daringfireball.net/projects/markdown/).
 .
 This package contains the include files needed to develop program
 with libsoldout

Package: libsoldout-utils
Description-md5: 5688d936ca774d6b83cfea0cec2179ba
Description-en: Utils and examples based on libsoldout
 libsoldout is a library implementing a parser for John Gruber's markdown
 language (http://daringfireball.net/projects/markdown/).
 .
 This package contains the code to build examples of programs exploiting
 libsoldout. Even though they are meant to show how to program with
 libsoldout they are fully functional. The examples are
 .
   * markdown2html: a markdown to HTML converter
   * markdown2latex: markdown to LaTex converter
   * markdown2man: a markdown to man page converter

Package: libsoldout1
Description-md5: cd00284872d7385e7a1b826d23012eed
Description-en: Natacha's flexible C library for John Gruber's markdown language
 libsoldout is a library implementing a parser for John Gruber's markdown
 language (http://daringfireball.net/projects/markdown/).
 .
 This package contains
 .
    * libsoldout library
    * example programs generating HTML,LaTex and man pages from markdown
      documents

Package: libsollya-dev
Description-md5: 2a7413a16437d6ed9316c2f9b77d38bd
Description-en: library for safe floating-point code development -- libdev
 Sollya is both a tool environment and a library for safe floating-point code
 development. It offers a convenient way to perform computations with multiple
 precision interval arithmetic. It is particularly targeted to the automated
 implementation of mathematical floating-point libraries.
 .
 Initially, Sollya was intended more specifically for people implementing
 numerical functions in mathematical libraries (e.g., exp, arccos, tanh, etc.).
 Since then, the tool has evolved and has now become interesting not only to
 developers of mathematical libraries, but also to everyone who needs to
 perform numerical experiments in an environment that is safe with respect to
 round-off errors.
 .
 This package provides the static library, symbolic links, and headers needed
 for development.

Package: libsollya7
Description-md5: 4f765cece06abd03700012a3eb9c8547
Description-en: library for safe floating-point code development -- lib
 Sollya is both a tool environment and a library for safe floating-point code
 development. It offers a convenient way to perform computations with multiple
 precision interval arithmetic. It is particularly targeted to the automated
 implementation of mathematical floating-point libraries.
 .
 Initially, Sollya was intended more specifically for people implementing
 numerical functions in mathematical libraries (e.g., exp, arccos, tanh, etc.).
 Since then, the tool has evolved and has now become interesting not only to
 developers of mathematical libraries, but also to everyone who needs to
 perform numerical experiments in an environment that is safe with respect to
 round-off errors.
 .
 This package provides the dynamic library.

Package: libsolr-java
Description-md5: 4dd9dc9432d83c43177b09903e210aa6
Description-en: Enterprise search server based on Lucene - Java libraries
 Solr is an open source enterprise search server based on the Lucene
 Java search library, with XML/HTTP and JSON APIs, hit highlighting,
 faceted search, caching, replication, and a web administration
 interface. It runs in a Java servlet container such as Tomcat.
 .
 This package provides the Java libraries required to support execution
 of solr.

Package: libsolv-doc
Description-md5: 3e909a715c92fd608e8ad108759c98f5
Description-en: dependency solver using a satisfiablility algorithm (documentation files)
 This dependency solver code in this package is based on two major, but
 independent, blocks:
 .
 1. Using a dictionary approach to store and retrieve package
    and dependency information.
 2. Using satisfiability, a well known and researched topic, for
    resolving package dependencies.
 .
 This package contains documentation files for libsolv.

Package: libsolv-perl
Description-md5: 2a127e6e7b0632be84c0dde90b35f8d2
Description-en: dependency solver using a satisfiability algorithm (Perl bindings)
 This dependency solver code in this package is based on two major, but
 independent, blocks:
 .
 1. Using a dictionary approach to store and retrieve package
    and dependency information.
 2. Using satisfiability, a well known and researched topic, for
    resolving package dependencies.
 .
 This package contains the Perl bindings for the libsolv0 library.

Package: libsolv-tools
Description-md5: 0a85a0ef46cb512eb787f27f5b9a394c
Description-en: dependency solver using a satisfiability algorithm (command-line tools)
 This dependency solver code in this package is based on two major, but
 independent, blocks:
 .
 1. Using a dictionary approach to store and retrieve package
    and dependency information.
 2. Using satisfiability, a well known and researched topic, for
    resolving package dependencies.
 .
 This package contains command-line tools that facilitate using libsolv.

Package: libsolv0
Description-md5: 6723c852d5c4315974bf51247d6e055e
Description-en: dependency solver using a satisfiability algorithm (shared library)
 This dependency solver code in this package is based on two major, but
 independent, blocks:
 .
 1. Using a dictionary approach to store and retrieve package
    and dependency information.
 2. Using satisfiability, a well known and researched topic, for
    resolving package dependencies.
 .
 Supported package formats:
   - rpm/rpm5
   - deb
   - Arch Linux
   - haiku
 .
 Supported repository formats:
   - rpmmd (primary, filelists, comps, deltainfo/presto, updateinfo)
   - susetags, suse product formats
   - mandriva/mageia (synthesis, info, files)
   - Arch Linux
   - red carpet helix format
   - haiku

Package: libsolv0-dev
Description-md5: 9d9ed44c61a41629b8e8186a82d57c68
Description-en: dependency solver using a satisfiability algorithm (development files)
 This dependency solver code in this package is based on two major, but
 independent, blocks:
 .
 1. Using a dictionary approach to store and retrieve package
    and dependency information.
 2. Using satisfiability, a well known and researched topic, for
    resolving package dependencies.
 .
 This package contains development files for libsolv.

Package: libsolvext0
Description-md5: da88beb1817594c87d89fffc39a2ebb0
Description-en: dependency solver using a satisfiability algorithm (extensions)
 This dependency solver code in this package is based on two major, but
 independent, blocks:
 .
 1. Using a dictionary approach to store and retrieve package
    and dependency information.
 2. Using satisfiability, a well known and researched topic, for
    resolving package dependencies.
 .
 Search the internet  for 'sat solver' to get links to the theory behind it.
 .
 Supported package formats:
   - rpm/rpm5
   - deb
   - Arch Linux
   - haiku
 .
 Supported repository formats:
   - rpmmd (primary, filelists, comps, deltainfo/presto, updateinfo)
   - susetags, suse product formats
   - mandriva/mageia (synthesis, info, files)
   - Arch Linux
   - red carpet helix format
   - haiku
 .
 This package contains the libsolv extension library.

Package: libsolvext0-dev
Description-md5: 2f9d425c8287c1674bc3eb11f83c768e
Description-en: dependency solver using a satisfiability algorithm (ext development files)
 This dependency solver code in this package is based on two major, but
 independent, blocks:
 .
 1. Using a dictionary approach to store and retrieve package
    and dependency information.
 2. Using satisfiability, a well known and researched topic, for
    resolving package dependencies.
 .
 This package contains development files for libsolvext.

Package: libsombok-dev
Description-md5: 52846f6cd13fba1ac5d444a677039181
Description-en: Unicode Text Segmentation library (development files)
 Sombok library performs Line Breaking Algorithm described in Unicode
 Standards Annex #14 (UAX #14). East_Asian_Width informative properties
 defined by Annex #11 (UAX #11) may be concerned to determin breaking
 positions. This library also implements “default” Grapheme Cluster
 segmentation described in Annex #29 (UAX #29).
 .
 This package contains the development files.

Package: libsombok3
Description-md5: d47198dbbd36aed7c8bd57135d739f6f
Description-en: Unicode Text Segmentation library
 Sombok library performs Line Breaking Algorithm described in Unicode
 Standards Annex #14 (UAX #14). East_Asian_Width informative properties
 defined by Annex #11 (UAX #11) may be concerned to determin breaking
 positions. This library also implements “default” Grapheme Cluster
 segmentation described in Annex #29 (UAX #29).

Package: libsonic-java
Description-md5: c28e1dfeb233c1c03e87fe83098c9cb4
Description-en: Simple library to speed up or slow down speech - Java bindings
 This package contains just the Java library.
 libsonic is a very simple library for speeding up or slowing
 down speech.  It has only basic dependencies, and is meant to
 work on both Linux desktop machines and embedded systems.
 The key new feature in Sonic versus other libraries is very
 high quality at speed up factors well over 2X.

Package: libsope-dev
Description-md5: c10883bbcc0d0514ed87f5d63e340068
Description-en: SKYRiX Object Publishing Environment (development files)
 An extensive set of Objective-C frameworks which form a complete Web
 application server environment. Besides the Apple WebObjects
 compatible appserver that has been extended with Zope concepts, it
 contains a large set of reusable classes: XML processing (SAX, DOM),
 MIME/IMAP4 processing, LDAP connectivity, RDBMS connectivity, and
 iCalendar parsing.
 .
 This package contains the development files.

Package: libsope1
Description-md5: 003bea550b2dd06ebf538363d970d82b
Description-en: SKYRiX Object Publishing Environment (shared libraries)
 An extensive set of Objective-C frameworks which form a complete Web
 application server environment. Besides the Apple WebObjects
 compatible appserver that has been extended with Zope concepts, it
 contains a large set of reusable classes: XML processing (SAX, DOM),
 MIME/IMAP4 processing, LDAP connectivity, RDBMS connectivity, and
 iCalendar parsing.
 .
 This package contains the shared libraries.

Package: libsopt-dev
Description-md5: ba9b7754a5bac80355a0263f4fdce0f7
Description-en: Development package for Sparse OPTimisation library
 SOPT is a C package to perform Sparse OPTimisation.  It solves a
 variety of sparse regularisation problems, including the SARA
 algorithm.
 .
 SOPT is largely provided to support the PURIFY package, a companion
 open-source code to perform radio interferometric imaging, also
 written by the authors of SOPT.
 .
 This package contains the development files.

Package: libsopt3.0
Description-md5: 11e0e4aea8c231f5fc9490981eadcc74
Description-en: Sparse OPTimisation shared library
 SOPT is a C package to perform Sparse OPTimisation.  It solves a
 variety of sparse regularisation problems, including the SARA
 algorithm.
 .
 SOPT is largely provided to support the PURIFY package, a companion
 open-source code to perform radio interferometric imaging, also
 written by the authors of SOPT.
 .
 This package contains the shared library.

Package: libsoqt520
Description-md5: 2c74a05cb44b1f41180f76ced1e67b36
Description-en: Qt5 GUI component toolkit for Inventor - runtime
 SoQt is a Qt GUI component toolkit library for Coin, based on the
 API of the InventorXt GUI component toolkit.  SoQt is also compatible
 with OpenInventor of SGI and TGS.
 .
 This library is linked against Qt version 5.

Package: libsoqt520-dev
Description-md5: e61d3fbea8eb1290983981c1255558eb
Description-en: Qt5 GUI component toolkit for Inventor - development
 SoQt is a Qt GUI component toolkit library for Coin, based on the
 API of the InventorXt GUI component toolkit.  SoQt is also compatible
 with OpenInventor of SGI and TGS.
 .
 SoQt provides a class-hierarchy of viewer classes that ranges from a
 simple render canvas (the SoQtRenderArea), providing only the basic
 synchronization and device input conversion routines between Qt and
 Coin, up to 3 different full-featured viewer classes
 (SoQtExaminerViewer, SoQtPlaneViewer and SoQtFlyViewer) with input
 device handling logic and user interfaces to let the application
 programmer do Rapid Application Development.
 .
 This library is linked against Qt version 5.

Package: libsord-0-0
Description-md5: 34399ba83fdde348fc22d5d36c807c46
Description-en: library for storing RDF data in memory
 Sord is a lightweight C library for storing Resource
 Description Framework (RDF) data in memory.
 .
 Sord includes man pages for the library (man sord) and a
 simple command line utility (man sordi).

Package: libsord-dev
Description-md5: 47256121e704a2789bb89b1b7f2cfc7a
Description-en: library for storing RDF data in memory (development files)
 Sord is a lightweight C library for storing Resource
 Description Framework (RDF) data in memory.
 .
 This package provides the development headers.

Package: libsord-doc
Description-md5: 9e2f4b56c3363b210a81f7e155f0e967
Description-en: library for storing RDF data in memory (documentation)
 Sord is a lightweight C library for storing Resource
 Description Framework (RDF) data in memory.
 .
 This package provides the developer's reference for sord.

Package: libsort-fields-perl
Description-md5: f12cb85d6248c05adb70a47193b2e3ed
Description-en: Sort lines containing delimited fields
 Sort::Fields provides a general purpose technique for efficiently sorting
 lists of lines that contain data separated into fields.
 .
 Sort::Fields automatically imports two subroutines, fieldsort and
 make_fieldsort, and two variants, stable_fieldsort and
 make_stable_fieldsort.  make_fieldsort generates a sorting subroutine
 and returns a reference to it.  fieldsort is a wrapper for
 the make_fieldsort subroutine.
 .
 The first argument to make_fieldsort is a delimiter string, which is
 used as a regular expression argument for a split operator.  The
 delimiter string is optional.  If it is not supplied, make_fieldsort
 splits each line using /\s+/.

Package: libsort-key-top-perl
Description-md5: 8a824b07deebb69ab499b07891bac755
Description-en: Perl module to select and sort top n elements of a list
 The functions available from this module select the top n elements from a
 list using several common orderings and custom key extraction procedures.
 .
 They are all variations around 'keytopsort { CALC_KEY($_) } $n => @data;'.
 .
 In array context, this function calculates the ordering key for every element
 in @data using the expression inside the block. Then it selects and orders
 the $n elements with the lower keys when compared lexicographically.
 .
 In scalar context, the value returned by the functions on this module is the
 cutoff value allowing to select nth element from the array.

Package: libsort-naturally-perl
Description-md5: 917f4833330031a413159666672a3a3a
Description-en: Sort naturally - sort lexically except for numerical parts
 Sort::Naturally exports two functions, nsort and ncmp; they are used
 in implementing the idea of "natural sorting" algorithm.  With that natural
 sorting, numeric substrings are compared numerically, and other
 word-characters are compared lexically.

Package: libsoundio-dev
Description-md5: 82d84138360d06d496c0429a66a34be2
Description-en: cross platform audio input and output library (development files)
 libsoundio is a lightweight abstraction over various sound drivers. It provides
 a well-documented API that operates consistently regardless of the sound driver
 it connects to. It performs no buffering or processing on your behalf; instead
 exposing the raw power of the underlying backend.
 .
 libsoundio is appropriate for games, music players, digital audio workstations,
 and various utilities.
 .
 libsoundio is serious about robustness. It even handles out of memory
 conditions correctly.
 .
 This package contains the development files.

Package: libsoundio1
Description-md5: ab38558e8bf6559fc68033726b1fdff0
Description-en: cross-platform audio input and output library
 libsoundio is a lightweight abstraction over various sound drivers. It provides
 a well-documented API that operates consistently regardless of the sound driver
 it connects to. It performs no buffering or processing on your behalf; instead
 exposing the raw power of the underlying backend.
 .
 libsoundio is appropriate for games, music players, digital audio workstations,
 and various utilities.
 .
 libsoundio is serious about robustness. It even handles out of memory
 conditions correctly.
 .
 This package contains the shared library.

Package: libsoundtouch-dev
Description-md5: 548cba3fb57767140fabb12223bb9d6a
Description-en: Development files for the sound stretching library
 SoundTouch is a library that can be used to change pitch and time of
 soundfiles independently.
 .
 This package contains the development files used to build programs that use
 the SoundTouch shared library.

Package: libsoundtouch-ocaml
Description-md5: cd55ac2a2094fc1181ff965ea59bc2df
Description-en: OCaml bindings for the sound stretching library -- runtime files
 Libsoundtouch is a library that can be used to change pitch and time
 of soundfiles independently. This package provides OCaml interface to
 the C library.
 .
 This package contains only the shared runtime stub libraries.

Package: libsoundtouch-ocaml-dev
Description-md5: 1995299e8013f6bbb8c283494b40565e
Description-en: OCaml bindings for the sound stretching library -- runtime files
 Libsoundtouch is a library that can be used to change pitch and time
 of soundfiles independently. This package provides OCaml interface to
 the C library.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use soundtouch.

Package: libsoundtouch1
Description-md5: 967fc4942a648592b436d29b2f8dbae3
Description-en: Sound stretching library
 SoundTouch is a library that can be used to change pitch and time
 of soundfiles independently.
 .
 This package contains the SoundTouch shared library.

Package: libsoup2.4-cil
Description-md5: ac8c00bfc42c64dae7d3c3da34b66a91
Description-en: CLI bindings for libsoup2.4
 Soup# 2.4 is a CLI (.NET) language binding for the Soup 2.4 HTTP implementation
 library.
 .
 This package provides the soup-sharp assembly that allows CLI (.NET) programs
 to use the Soup HTTP implementation library.

Package: libsoup2.4-cil-dev
Description-md5: de0c74d67763a1214d6e4e7e2bc72d77
Description-en: CLI bindings for libsoup2.4 - development package
 Soup# 2.4 is a CLI (.NET) language binding for the Soup 2.4 HTTP implementation
 library.
 .
 This package contains development files for the soup-sharp library, and should
 be used for compilation.

Package: libsoup2.4-tests
Description-md5: 3548f8905c3d8a2d8f34650ae4a3c218
Description-en: HTTP library implementation in C -- installed tests
 libsoup uses the Glib main loop and is designed to work well with GTK+
 applications. This enables GNOME applications to access HTTP servers
 on the network in a completely asynchronous fashion, very similar to
 the GTK+ programming model (a synchronous operation mode is also
 supported for those who want it).
 .
 This package contains automated tests, mostly for use via autopkgtest.
 They can most easily be invoked via the gnome-desktop-testing-runner
 tool in the gnome-desktop-testing package.

Package: libsource-highlight-common
Description-md5: 6684d988c2cd164ebf5b9eab6b1511cc
Description-en: architecture-independent files for source highlighting library
 This package contains the data files used by the libsource-highlight
 library, which is the library that underlies the source-highlight
 program suite.  The library converts source code to a document with
 syntax highlighting and supports many file formats.  The library can
 be used by other C++ programs to get source code highlighting
 capabilities.

Package: libsource-highlight-dev
Description-md5: beb4090b012d6daac6cfc6a2861e45c1
Description-en: development files for source highlighting library
 These are the development files for the library that underlies the
 source-highlight program suite.  It converts source code to a
 document with syntax highlighting and supports many file formats.
 The library can be used by other C++ programs to get source code
 highlighting capabilities.

Package: libsource-highlight4v5
Description-md5: 39eb86159efd9ee5d0ab936cf7597ced
Description-en: source highlighting library
 This is the library that underlies the source-highlight program
 suite.  It converts source code to a document with syntax
 highlighting and supports many file formats.  The library can be used
 by other C++ programs to get source code highlighting capabilities.

Package: libsox-dev
Description-md5: 1795496664ecb4ebe868dbf0c76aeb58
Description-en: Development files for the SoX library
 SoX is the swiss army knife of sound processing.
 .
 This library allow you to convert various formats of computer audio files
 in to other formats. It also allows you to apply
 various effects to sound files.
 .
 This package contains the development files for the SoX library.

Package: libsox-fmt-all
Description-md5: 433da23d25d1e75a0272ef414fa2e58a
Description-en: All SoX format libraries
 SoX is the swiss army knife of sound processing.
 .
 This is a metapackage depending on all free SoX format libraries.

Package: libsox-fmt-alsa
Description-md5: 8120e471784ca59326086ba50837fa08
Description-en: SoX alsa format I/O library
 SoX is the swiss army knife of sound processing.
 .
 This package contains the SoX alsa format I/O library.
 .
 alsa: https://www.alsa-project.org/main/index.php/Main_Page

Package: libsox-fmt-ao
Description-md5: 626acb286100ef6209c143332b34cabd
Description-en: SoX Libao format I/O library
 SoX is the swiss army knife of sound processing.
 .
 This package contains the SoX Libao format I/O library.
 .
 libao: https://xiph.org/ao

Package: libsox-fmt-base
Description-md5: fc214ce72f54b2e2a1e1106e98cd66ef
Description-en: Minimal set of SoX format libraries
 SoX is the swiss army knife of sound processing.
 .
 This package contains most audio formats libraries supported by SoX.
 Among them: Ogg Vorbis, WAV, AIFF, VOC, SND, AU, GSM, WavPack, LPC10, FLAC,
 MATLAB/GNU Octave, Portable Voice Format, AMR and Sound Forge Audio Format.

Package: libsox-fmt-mp3
Description-md5: 438ef3de4181f1acbf962813dbb125ff
Description-en: SoX MP2 and MP3 format library
 SoX is the swiss army knife of sound processing.
 .
 This package contains the SoX MP2 and MP3 format library.
 Read support by libmad. MP2 and MP3 write support by libtwolame and
 libmp3lame respectively.
 .
 libmad: https://www.underbit.com/products/mad/
 lame: https://lame.sourceforge.io

Package: libsox-fmt-oss
Description-md5: b981fecb01084261f95f8975db7405a5
Description-en: SoX OSS format I/O library
 SoX is the swiss army knife of sound processing.
 .
 This package contains the SoX Open Sound System (OSS)
 format I/O library.
 .
 Open Sound System: http://www.opensound.com/oss.html

Package: libsox-fmt-pulse
Description-md5: 3f3a17c292ca5183b4b986a5bd679f73
Description-en: SoX PulseAudio format I/O library
 SoX is the swiss army knife of sound processing.
 .
 This package contains the SoX PulseAudio format I/O library.
 .
 PulseAudio: https://www.freedesktop.org/wiki/Software/PulseAudio/

Package: libsox3
Description-md5: 011f5899bd270f4751d3d576103dd307
Description-en: SoX library of audio effects and processing
 SoX is the swiss army knife of sound processing.
 .
 This package contains the SoX library which enables to convert various formats
 of computer audio files in to other formats. It also allows you to apply
 various effects to sound files.
 .
 Any format support requires at least libsox-fmt-base.
 Sound card I/O requires libsox-fmt-alsa, libsox-fmt-ao, libsox-fmt-oss or
 libsox-fmt-pulse.

Package: libspa-bluetooth
Description-md5: 1ecbd3e316936eb35914b703ab456d4d
Description-en: libraries for the PipeWire multimedia server - bluetooth plugins
 PipeWire is a server and user space API to deal with multimedia
 pipelines. This includes:
 .
  - Making available sources of video (such as from a capture devices or
    application provided streams) and multiplexing this with clients.
  - Accessing sources of video for consumption.
  - Generating graphs for audio and video processing.
 .
 This package contains the bluetooth plugins.

Package: libspa-ffmpeg
Description-md5: 610afe5b271c1b68e749d4b8240737d5
Description-en: libraries for the PipeWire multimedia server - ffmpeg plugins
 PipeWire is a server and user space API to deal with multimedia
 pipelines. This includes:
 .
  - Making available sources of video (such as from a capture devices or
   application provided streams) and multiplexing this with clients.
  - Accessing sources of video for consumption.
  - Generating graphs for audio and video processing.
 .
 This package contains the ffmpeg plugins.

Package: libspa-lib-0.1-dev
Description-md5: aa2453d735c47b91cd3b8cd2cc4e58d7
Description-en: libraries for the PipeWire multimedia server Simple Plugin API - development
 PipeWire is a server and user space API to deal with multimedia
 pipelines. This includes:
 .
  - Making available sources of video (such as from a capture devices or
    application provided streams) and multiplexing this with clients.
  - Accessing sources of video for consumption.
  - Generating graphs for audio and video processing.
 .
 This package contains the development files for the Simple Plugin API.

Package: libspandsp-dev
Description-md5: 7ecb24c6397c589890cc687797d45f9a
Description-en: Telephony signal processing library - development headers
 spandsp is a low-level signal processing library that modulates and demodulates
 signals commonly used in telephony, such as the "noise" generated by a
 fax modem or DTMF touchpad.
 .
 This package contains the static library and development headers.

Package: libspandsp-doc
Description-md5: 6eac261ec384e85fceb4c8a8962da3da
Description-en: Documentation for the spandsp signal processing library
 spandsp is a low-level signal processing library that modulates and demodulates
 signals commonly used in telephony, such as the "noise" generated by a
 fax modem or DTMF touchpad.
 .
 This package contains the online API in HTML.

Package: libspandsp2
Description-md5: acf1c7e090e21e8270204a3eecfaa217
Description-en: Telephony signal processing library
 spandsp is a low-level signal processing library that modulate and demodulate
 signals commonly used in telephony, such as the "noise" generated by a
 fax modem or DTMF touchpad.
 .
 This package contains the shared library.

Package: libsparkline-php
Description-md5: aa7b5ef39a35db589f7f14aaf0df8d15
Description-en: sparkline graphing library for php
 A library for php, to create sparklines. Sparklines are small word-size
 graphic charts. This library supports drawing both line charts and bar
 charts, with several display options. It outputs png files.

Package: libsparsehash-dev
Description-md5: f1892d074626ffc8f45c25a12319e388
Description-en: Google's extremely memory-efficient C++ hash_map implementation
 The Google SparseHash project contains several C++ template hash-map
 implementations in use at Google, with different performance
 characteristics, including an implementation that optimizes for space
 and one that optimizes for speed.

Package: libsparskit-dev
Description-md5: fab8290614b2b045afdd1f5736cffb34
Description-en: basic tool-kit for sparse matrix computations - devel
 SPARSKIT a basic tool-kit for sparse matrix computations. Sparskit is a general
 purpose FORTRAN-77  library for sparse matrix computations. It has been
 gathered over several years and includes some of the most useful tools for
 developing and implementing sparse matrix techniques, particularly for
 iterative solvers. If you need a simple routine for doing a sparse matrix
 operation (e.g., adding two sparse matrices, or reordering a sparse matrix) it
 is likely to be available in SPARSKIT. SPARSKIT also contains most of the
 iterative accelarators and a number of efficient preconditioners.

Package: libsparskit2.0
Description-md5: c664e38e25a9f3fd44276f2c86bbfbf7
Description-en: basic tool-kit for sparse matrix computations - runtime
 SPARSKIT a basic tool-kit for sparse matrix computations. Sparskit is a
 general purpose FORTRAN-77 library for sparse matrix computations. It has
 been gathered over several years and includes some of the most useful tools
 for developing and implementing sparse matrix techniques, particularly for
 iterative solvers. If you need a simple routine for doing a sparse matrix
 operation (e.g., adding two sparse matrices, or reordering a sparse matrix)
 it is likely to be available in SPARSKIT. SPARSKIT also contains most of
 the iterative accelarators and a number of efficient preconditioners.

Package: libspatial4j-0.4-java
Description-md5: 1edabe73fccf208194a6ca7bb18789bb
Description-en: spatial/geospatial Java library
 Spatial4j is a general purpose spatial / geospatial Java library.
 It's core capabilities are 3-fold: to provide common
 geospatially-aware shapes, to provide distance calculations and other
 math, and to read and write the shapes to strings.

Package: libspatial4j-java
Description-md5: 1edabe73fccf208194a6ca7bb18789bb
Description-en: spatial/geospatial Java library
 Spatial4j is a general purpose spatial / geospatial Java library.
 It's core capabilities are 3-fold: to provide common
 geospatially-aware shapes, to provide distance calculations and other
 math, and to read and write the shapes to strings.

Package: libspatialaudio-dev
Description-md5: 4b21283857d8215b7be0df183aeec23d
Description-en: library for ambisonic encoding and decoding (development files)
 libspatialaudio is an open-source and cross-platform C++ library for Ambisonic
 encoding and decoding, filtering and binaural rendering. It is targeted to
 render High-Order Ambisonic (HOA) and VR/3D audio samples in multiple
 environments, from headphones to classic loudspeakers. Its binaural rendering
 can be used for classical 5.1/7.1 spatial channels as well as Ambisonics
 inputs.  It supports Higher Order Ambisonics (HOA) and ACN/SN3D Ambisonics
 audio streams following the Google spatial audio specification and the IETF
 codec Ambisonics specification.
 .
 The library allows you to encode, decode, rotate, zoom HOA Ambisonics audio
 streams up to the 3rd order. It can output to standard and custom loudspeakers
 arrays. To playback with headphones, the binauralizer applies an HRTF (either
 a SOFA file or the included MIT HRTF) to provide a spatial binaural rendering
 effect. The binauralization can also be used to render multichannels streams
 (5.1, 7.1...).
 .
 This package contains the development files.

Package: libspatialaudio0
Description-md5: 11fa3f0afe937b05aac37a66cbcdaf1f
Description-en: library for ambisonic encoding and decoding (runtime files)
 libspatialaudio is an open-source and cross-platform C++ library for Ambisonic
 encoding and decoding, filtering and binaural rendering. It is targeted to
 render High-Order Ambisonic (HOA) and VR/3D audio samples in multiple
 environments, from headphones to classic loudspeakers. Its binaural rendering
 can be used for classical 5.1/7.1 spatial channels as well as Ambisonics
 inputs.  It supports Higher Order Ambisonics (HOA) and ACN/SN3D Ambisonics
 audio streams following the Google spatial audio specification and the IETF
 codec Ambisonics specification.
 .
 The library allows you to encode, decode, rotate, zoom HOA Ambisonics audio
 streams up to the 3rd order. It can output to standard and custom loudspeakers
 arrays. To playback with headphones, the binauralizer applies an HRTF (either
 a SOFA file or the included MIT HRTF) to provide a spatial binaural rendering
 effect. The binauralization can also be used to render multichannels streams
 (5.1, 7.1...).
 .
 This package contains the shared library.

Package: libspatialindex-c6
Description-md5: d190a618e56c1efedfff86abb18bc1bd
Description-en: General framework for developing spatial indices - C library
 Spatialindex is a C++ library that provides a framework for
 developing spatial indices. Currently it defines generic interfaces,
 provides simple main memory and disk based storage managers and a
 robust implementation of an R*-tree, an MVR-tree and a TPR-tree.
 .
 This package contains the SpatialIndex shared library for C.

Package: libspatialindex-dev
Description-md5: 92f657e8b3b28abf257d650b80a5adc2
Description-en: General framework for developing spatial indices - development files
 Spatialindex is a C++ library that provides a framework for
 developing spatial indices. Currently it defines generic interfaces,
 provides simple main memory and disk based storage managers and a
 robust implementation of an R*-tree, an MVR-tree and a TPR-tree.
 .
 This package contains the development files to build third
 parties programs.

Package: libspatialindex6
Description-md5: 458c2d50e0d5ea4fce16d0953261d069
Description-en: General framework for developing spatial indices
 Spatialindex is a C++ library that provides a framework for
 developing spatial indices. Currently it defines generic interfaces,
 provides simple main memory and disk based storage managers and a
 robust implementation of an R*-tree, an MVR-tree and a TPR-tree.
 .
 This package contains the SpatialIndex shared library.

Package: libspatialite-dev
Description-md5: 6ec4697a8c95a748906956e107cc3414
Description-en: Geospatial extension for SQLite - development files
 The SpatiaLite extension enables SQLite to support spatial (geometry) data
 in a way conformant to OpenGis specifications, with both WKT and WKB formats.
 .
 Spatialite also includes Virtualshape and Virtualtext to enable accessing
 shapefiles and csv/text files as virtual tables.
 .
 This package contains development files (static libraries and header files).

Package: libspatialite7
Description-md5: bd66a1b3a9625707c4874f423d02497f
Description-en: Geospatial extension for SQLite - libraries
 The SpatiaLite extension enables SQLite to support spatial (geometry) data
 in a way conformant to OpenGis specifications, with both WKT and WKB formats.
 .
 Spatialite also includes Virtualshape and Virtualtext to enable accessing
 shapefiles and csv/text files as virtual tables.
 .
 This package contains all shared libraries used by Spatialite based programs.

Package: libspctag-dev
Description-md5: f50de78f75b5755f6c93b2873d8dfb3f
Description-en: ID666 tags edition support library (development headers)
 libspctag provides a library that allows reading and writing ID666 tags from
 SPC files (SNES audio files).
 For the moment, libspctag do not support extended ID666 edition.
 .
 This package provides the development headers for libspctag.

Package: libspctag1
Description-md5: 946c4c7e0fe39bbd37f205209d166927
Description-en: ID666 tags edition support library
 libspctag provides a library that allows reading and writing ID666 tags from
 SPC files (SNES audio files).
 For the moment, libspctag do not support extended ID666 edition.

Package: libspdlog-dev
Description-md5: b277474299afaa53899f58a21a70b137
Description-en: Very fast, header only or compiled, C++ logging library
 Features: Very fast - performance is the primary goal; Headers only; No
 dependencies - just copy and use; call style using the excellent cppformat
 library; ostream call style is supported too; Optional extremely fast
 asynchronous mode - using lockfree queues and other tricks to reach millions
 of calls/sec; Custom formatting; Multi/Single threaded loggers; Easily
 extendable with custom log targets (just implement a single function in the
 sink interface). Severity based filtering - threshold levels can be modified
 in runtime as well as in compile time.
 .
 Various log targets:
 Rotating log files, Daily log files, Console logging, Linux syslog.

Package: libspdlog1
Description-md5: b2d503aa303f67bc884a02e53e082428
Description-en: Very fast C++ logging library
 Features: Very fast - performance is the primary goal; Headers only; No
 dependencies - just copy and use; call style using the excellent cppformat
 library; ostream call style is supported too; Optional extremely fast
 asynchronous mode - using lockfree queues and other tricks to reach millions
 of calls/sec; Custom formatting; Multi/Single threaded loggers; Easily
 extendable with custom log targets (just implement a single function in the
 sink interface). Severity based filtering - threshold levels can be modified
 in runtime as well as in compile time.
 .
 Various log targets:
 Rotating log files, Daily log files, Console logging, Linux syslog.
 .
 This is the compiled version of the library.

Package: libspdylay-dbg
Description-md5: f84e284f2b64b4776692c354e9a34316
Description-en: implementation of SPDY protocol in C - debug symbols
 This library provides SPDY version 2, 3 and 3.1 framing layer implementation.
 It does not perform any I/O operations. When the library needs them, it calls
 the callback functions provided by the application. It also does not include
 any event polling mechanism, so the application can freely choose the way of
 handling events
 .
 This package ships the debug symbols

Package: libspdylay-dev
Description-md5: 80f4ddf7087d70b34df1a9324e918d77
Description-en: implementation of SPDY protocol in C - development files
 This library provides SPDY version 2, 3 and 3.1 framing layer implementation.
 It does not perform any I/O operations. When the library needs them, it calls
 the callback functions provided by the application. It also does not include
 any event polling mechanism, so the application can freely choose the way of
 handling events
 .
 This package ships the development files.

Package: libspdylay-utils
Description-md5: fa6de863e52ca27e387c9f2c3283477b
Description-en: implementation of SPDY protocol in C - utilities
 This library provides SPDY version 2, 3 and 3.1 framing layer implementation.
 It does not perform any I/O operations. When the library needs them, it calls
 the callback functions provided by the application. It also does not include
 any event polling mechanism, so the application can freely choose the way of
 handling events
 .
 This package ships the utilities.

Package: libspdylay7
Description-md5: 1fa46e09c3a845006cfe360155819d76
Description-en: implementation of SPDY protocol in C
 This library provides SPDY version 2, 3 and 3.1 framing layer implementation.
 It does not perform any I/O operations. When the library needs them, it calls
 the callback functions provided by the application. It also does not include
 any event polling mechanism, so the application can freely choose the way of
 handling events

Package: libspe2-doc
Description-md5: b991b45065089a7cd66834a7cf506f62
Description-en: SPE Runtime Management Library (documentation)
 Documentation for the SPE Runtime Management Library.

Package: libspec-alpha-clojure
Description-md5: 7534a6fe04f32e172fb373d63186ffa1
Description-en: library to describe the structure of data and functions
 spec is a Clojure library to describe the structure of data and
 functions. Specs can be used to validate data, conform (destructure)
 data, explain invalid data, generate examples that conform to the specs,
 and automatically use generative testing to test functions.

Package: libspecio-library-path-tiny-perl
Description-md5: 45c08735436ac429edf1ffe2c3c9b1d9
Description-en: Path::Tiny types and coercions for Specio
 Specio::Library::Path::Tiny provides a set of Path::Tiny types and
 coercions for Specio. These types can be used with Moose, Moo,
 Params::ValidationCompiler, and other modules.

Package: libspecio-perl
Description-md5: a918770f3ebc9be719d4ba332766f545
Description-en: Perl module providing type constraints and coercions
 Specio provides classes for representing type constraints and coercion,
 along with the syntactic sugar for declaring them.
 .
 This distribution ships with a set of builtin types representing the types
 provided by the Perl interpreter itself.
 .
 Note that this is not a proper type system for Perl. Nothing in this
 distribution will magically make the Perl interpreter start checking a value's
 type on assignment to a variable.
 .
 Module Test::Specio requires the packages libtest-fatal-perl
 libtry-tiny-perl.

Package: libspecter-clojure
Description-md5: e2f3023c123e77e02ca6c65400a60724
Description-en: data structure transformation library for Clojure
 Most of Clojure programming involves creating, manipulating, and transforming
 immutable values. However, as soon as your values become more complicated than
 a simple map or list – like a list of maps of maps – transforming these data
 structures becomes extremely cumbersome.
 .
 Specter is a library (for both Clojure and ClojureScript) for doing these
 queries and transformations extremely concisely and elegantly. These kinds of
 manipulations are so common when using Clojure – and so cumbersome without
 Specter – that Specter is in many ways Clojure's missing piece.

Package: libspectrum-dev
Description-md5: 8c0165fe0df07cb34ea2b31b7fab0596
Description-en: ZX Spectrum emulator library - Development files
 libspectrum is a fairly simple library designed to make the handling
 of various ZX Spectrum emulator-related file formats easy.
 .
 So far it handles:
   * Snapshots: .z80, .szx, .sna (all read/write), .zxs, .sp., .snp
     and +D snapshots (read only).
   * Tape images: .tzx, .tap, .spc, .sta and .ltp (read/write) and
     .pzx, Warajevo .tap, Z80Em and CSW version 1 (read only).
   * Input recordings: .rzx (read/write).
   * Disk images: .dsk (both plain and extended), .d40, .d80, .fdi,
     .img, .mgt, .opd, .sad, .scl, .td0, .trd and .udi (identification
     only).
   * Timex cartridges: .dck (read only).
   * IDE hard disk images: .hdf (read/write).
   * Microdrive cartridge images: .mdr (read/write).
 .
 This package contains the development files.

Package: libspectrum8
Description-md5: 69091c33a109e292f11ebdc04b73b56e
Description-en: ZX Spectrum emulator library - Shared libraries
 libspectrum is a fairly simple library designed to make the handling
 of various ZX Spectrum emulator-related file formats easy.
 .
 So far it handles:
   * Snapshots: .z80, .szx, .sna (all read/write), .zxs, .sp., .snp
     and +D snapshots (read only).
   * Tape images: .tzx, .tap, .spc, .sta and .ltp (read/write) and
     .pzx, Warajevo .tap, Z80Em and CSW version 1 (read only).
   * Input recordings: .rzx (read/write).
   * Disk images: .dsk (both plain and extended), .d40, .d80, .fdi,
     .img, .mgt, .opd, .sad, .scl, .td0, .trd and .udi (identification
     only).
   * Timex cartridges: .dck (read only).
   * IDE hard disk images: .hdf (read/write).
   * Microdrive cartridge images: .mdr (read/write).
 .
 This package contains the shared libraries.

Package: libspeex-ocaml
Description-md5: 09d446d7ab1beb03906c1c769777b754
Description-en: OCaml interface to the speex library
 This package provides an interface to the speex library for
 OCaml programmers.
 .
 Speex is an audio codec especially designed for compressing voice at low
 bit-rates for applications such as voice over IP (VoIP).
 .
 This package contains only the shared runtime stub libraries.

Package: libspeex-ocaml-dev
Description-md5: ae9a1ce8b1af21ba919f065241076e6a
Description-en: OCaml interface to the speex library
 This package provides an interface to the speex library for
 OCaml programmers.
 .
 Speex is an audio codec especially designed for compressing voice at low
 bit-rates for applications such as voice over IP (VoIP).
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-speex.

Package: libspf2-2
Description-md5: 05b6d0830a2a44de3caf0f4294e9cfaa
Description-en: library for validating mail senders with SPF
 libspf2 implements the Sender Policy Framework, a part of the SPF/SRS
 protocol pair. libspf2 is a library which allows email systems such
 as Sendmail, Postfix, Exim, Zmailer and MS Exchange to check SPF
 records and make sure that the email is authorized by the domain name
 that it is coming from. This prevents email forgery, commonly used by
 spammers, scammers and email viruses/worms.

Package: libspf2-2-dbg
Description-md5: 9ae726e5e8a17437e7b21d8b7cdf3d0a
Description-en: library for validating mail senders with SPF (debugging symbols)
 libspf2 implements the Sender Policy Framework, a part of the SPF/SRS
 protocol pair.
 .
 This package contains the debugging symbols.

Package: libspf2-dev
Description-md5: 2493e9be4aaac9f193b07a9cca8f3b1b
Description-en: Header and development libraries for libspf2
 libspf2 implements the Sender Policy Framework, a part of the SPF/SRS
 protocol pair. libspf2 is a library which allows email systems such
 as Sendmail, Postfix, Exim, Zmailer and MS Exchange to check SPF
 records and make sure that the email is authorized by the domain name
 that it is coming from. This prevents email forgery, commonly used by
 spammers, scammers and email viruses/worms.

Package: libspfft-dev
Description-md5: d22474df44a93f125c46ecd63fab2440
Description-en: Sparse 3D FFT library with MPI, OpenMP, CUDA / ROCm support (development files)
 SpFFT was originally intended for transforms of data with spherical cutoff in
 frequency domain, as required by some computational material science codes.
 For distributed computations, SpFFT uses a slab decomposition in space domain
 and pencil decomposition in frequency domain (all sparse data within a pencil
 must be on one rank). If desired, the libray can be compiled without any
 parallelization (MPI, OpenMP, CUDA / ROCm).
 .
 This package contains development files.

Package: libspfft0
Description-md5: eec6cc5e1e7eb7967c8b1c8cbf1e832c
Description-en: Sparse 3D FFT library with MPI, OpenMP, CUDA / ROCm support
 SpFFT was originally intended for transforms of data with spherical cutoff in
 frequency domain, as required by some computational material science codes.
 For distributed computations, SpFFT uses a slab decomposition in space domain
 and pencil decomposition in frequency domain (all sparse data within a pencil
 must be on one rank). If desired, the libray can be compiled without any
 parallelization (MPI, OpenMP, CUDA / ROCm).

Package: libsphde-dev
Description-md5: b1570acc30113e8fa65aeb7bd91e4b96
Description-en: Shared Persistent Heap Data Environment library development files
 SPHDE is composed of two major software layers: The Shared Address Space (SAS)
 layer provides the basic services for a shared address space and transparent,
 persistent storage. The Shared Persistent Heap (SPH) layer organizes blocks of
 SAS storage into useful functions for storing and retrieving data.
 .
 This package contains the static library and header files used in development.

Package: libsphde-doc
Description-md5: 6e185fed19d33cf15665ca0f37e78c6f
Description-en: Shared Persistent Heap Data Environment library documentation files
 SPHDE is composed of two major software layers: The Shared Address Space (SAS)
 layer provides the basic services for a shared address space and transparent,
 persistent storage. The Shared Persistent Heap (SPH) layer organizes blocks of
 SAS storage into useful functions for storing and retrieving data.
 .
 This package contains the documentation of library.

Package: libsphde1
Description-md5: 32cb741ec854dc719b4fd90ef6d4facf
Description-en: Shared Persistent Heap Data Environment library
 SPHDE is composed of two major software layers: The Shared Address Space (SAS)
 layer provides the basic services for a shared address space and transparent,
 persistent storage. The Shared Persistent Heap (SPH) layer organizes blocks of
 SAS storage into useful functions for storing and retrieving data.
 .
 This package contains the runtime shared library.

Package: libsphere-dev
Description-md5: 6c71a00867ca4134877959d480b2ff9a
Description-en: Development files for Spherepack scientific library
 SPHEREPACK is a collection of FORTRAN programs that facilitates computer
 modeling of geophysical processes. The package contains programs for computing
 certain common differential operators including divergence, vorticity,
 gradients, and the Laplacian of both scalar and vector functions. Programs are
 also available for inverting these operators. For example, given divergence
 and vorticity, the package can be used to compute the velocity components.
 The Laplacian can also be inverted and therefore the package can be used
 to solve both the scalar and vector Poisson equations. Its use in model
 development is demonstrated by a sample program that solves the time-dependent
 non-linear shallow-water equations. Accurate solutions are obtained via the
 spectral method that uses both scalar and vector spherical harmonic transforms
 that are available to the user. The package also contains utility programs
 for computing the associated Legendre functions, Gauss points and weights,
 and multiple fast Fourier transforms. Programs are provided for both
 equally-spaced and Gauss distributed latitudinal points as well as programs
 that transfer data between these grids.

Package: libsphere0d
Description-md5: 215dda07f3669ee88827326e82b96b52
Description-en: Spherepack scientific library for geophysical processes
 SPHEREPACK is a collection of FORTRAN programs that facilitates computer
 modeling of geophysical processes. The package contains programs for computing
 certain common differential operators including divergence, vorticity,
 gradients, and the Laplacian of both scalar and vector functions.
 .
 This package contains the shared library, libsphere.

Package: libsphinx-search-perl
Description-md5: d624246cb7dd0635df6d2c8ab6648c8b
Description-en: Perl module for Sphinx search engine
 Sphinx::Search is the Perl API client module for the Sphinx
 open-source SQL full-text indexing search engine,
 http://www.sphinxsearch.com/.

Package: libsphinxbase-dev
Description-md5: ce5a2a6a18f95cc9c6328a2bab250fd8
Description-en: Speech recognition tool - development files
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains header files and static libraries for developing programs
 that use Sphinx.

Package: libsphinxbase-doc
Description-md5: bc669ffb96199e5a2755f2d5647927a6
Description-en: Speech recognition tool - development documentation
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains documentation for developing programs that use Sphinx.

Package: libsphinxbase3
Description-md5: 5fa18029a988cb1711f32f78d8c5b5e3
Description-en: Speech recognition tool - shared library
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains the shared library.

Package: libspi-java
Description-md5: f00fcc8dd89b6db66bb152402866b7c3
Description-en: Simplifies usage of Java Service Provider Interface
 The usage of the Service Provider Interface in Java is a great way to make your
 program more extensible. However, implementing and distributing such an
 Interface is error prone.
 .
 One of the reasons is that the system depends on naming schemes and text files.
 The name of an implementation should be put in a text file, located on the
 classpath in a folder called META-INF/services/<qualified interface name>.
 .
 This project allows the programmer to use an Annotation, @ProviderFor, to flag
 a class as an implementation of a certain interface. During compilation, the
 necessary files are created at the appropriate locations. Also, the class is
 inspected to see if it follows all rules applicable to Service Providers.
 Compile time errors will be generated if those rules are broken, assisting the
 programmer to create more robust code.

Package: libspi-java-doc
Description-md5: a67b30ffc55d4b40da79d32a1e7e32f9
Description-en: Documentation for libspi-java
 The usage of the Service Provider Interface in Java is a great way to make your
 program more extensible. However, implementing and distributing such an
 Interface is error prone.
 .
 One of the reasons is that the system depends on naming schemes and text files.
 The name of an implementation should be put in a text file, located on the
 classpath in a folder called META-INF/services/<qualified interface name>.
 .
 This project allows the programmer to use an Annotation, @ProviderFor, to flag
 a class as an implementation of a certain interface. During compilation, the
 necessary files are created at the appropriate locations. Also, the class is
 inspected to see if it follows all rules applicable to Service Providers.
 Compile time errors will be generated if those rules are broken, assisting the
 programmer to create more robust code.
 .
 This package contains the generated API documentation.

Package: libspice-client-glib-2.0-8
Description-md5: 1339b1424d9f8e2447467adda47f9800
Description-en: GObject for communicating with Spice servers (runtime library)
 libspice-glib4 provides glib objects for spice protocol
 decoding and surface rendering
 .
 This package provide the runtime library.

Package: libspice-client-glib-2.0-dev
Description-md5: a3c1e72e070d9c1e3419a20ca63b993e
Description-en: GObject for communicating with Spice servers (development files)
 libspice-glib4 provides glib objects for spice protocol
 decoding and surface rendering
 .
 This package provide the development headers.

Package: libspice-client-gtk-3.0-5
Description-md5: e88c1acd30dce09915eac14968f7f09a
Description-en: GTK3 widget for SPICE clients (runtime library)
 libspice-gtk3 provides gtk3 widget to show spice display
 and accept user input.
 .
 This package provide the runtime library.

Package: libspice-client-gtk-3.0-dev
Description-md5: 658c8ed1a4e10fb3dccea51a5247c105
Description-en: GTK3 widget for SPICE clients (development files)
 libspice-gtk3 provides gtk3 widget to show spice display
 and accept user input.
 .
 This package provide the development headers.

Package: libspiffy-perl
Description-md5: 321f2d26d73c78979ac05371b37daf21
Description-en: Spiffy Perl Interface Framework For You
 "Spiffy" is a framework and methodology for doing object oriented (OO)
 programming in Perl. Spiffy combines the best parts of Exporter.pm,
 base.pm, mixin.pm and SUPER.pm into one magic foundation class. It
 attempts to fix all the nits and warts of traditional Perl OO, in a
 clean, straightforward and (perhaps someday) standard way.
 .
 Spiffy borrows ideas from other OO languages like Python, Ruby,
 Java and Perl 6. It also adds a few tricks of its own.
 .
 If you take a look on CPAN, there are a ton of OO related modules. When
 starting a new project, you need to pick the set of modules that makes
 most sense, and then you need to use those modules in each of your
 classes. Spiffy, on the other hand, has everything you'll probably need
 in one module, and you only need to use it once in one of your classes.
 If you make Spiffy.pm the base class of the basest class in your
 project, Spiffy will automatically pass all of its magic to all of your
 subclasses. You may eventually forget that you're even using it!

Package: libspin-java
Description-md5: 8b250b41773bd7e087eb704857f9e21e
Description-en: transparent threading solution for non-freezing Swing applications
 Swing is the standard component architecture for developing Java desktop
 applications. Its exhaustive collection of widgets is the foundation for
 easy development of rich graphical user interfaces (GUI).
 .
 Alas every non trivial GUI sooner or later encounters the problem of
 "freeze". This annoying behaviour is experienced by users every time the
 application performs extensive calculations or blocks for network or disk
 I/O.
 .
 Spin offers a revolutionary new approach. It offers transparent thread
 handling with minimal impact on your application code.

Package: libspin-java-doc
Description-md5: f5f239c498c235877131aa4b3349f773
Description-en: transparent threading solution for non-freezing Swing applications (docs)
 Swing is the standard component architecture for developing Java desktop
 applications. Its exhaustive collection of widgets is the foundation for
 easy development of rich graphical user interfaces (GUI).
 .
 Alas every non trivial GUI sooner or later encounters the problem of
 "freeze". This annoying behaviour is experienced by users every time the
 application performs extensive calculations or blocks for network or disk
 I/O.
 .
 Spin offers a revolutionary new approach. It offers transparent thread
 handling with minimal impact on your application code.
 .
 This package contains the documentation files.

Package: libspiro-dev
Description-md5: db8e99c31d35d3fb5c587e2b2bd86550
Description-en: library for curve design - development files
 This library provides a mechanism for drawing smooth contours with
 constant curvature at the spline joins.
 .
 This package provides the runtime library's development files.

Package: libspiro1
Description-md5: a7edff0618138d488d9920a2328b7169
Description-en: library for curve design
 This library provides a mechanism for drawing smooth contours with
 constant curvature at the spline joins.
 .
 This package provides the runtime library.

Package: libspnav-dev
Description-md5: 47e5c01adf9ca2dc1638401b632bc33a
Description-en: Library to access 3D-input-devices
 The spacenav project provides a free, compatible alternative to the
 proprietary 3Dconnexion SDK for their 3D input devices (called
 "space navigator", "space pilot", "space traveller", etc).
 .
 This package contains the developement-files

Package: libspnav0
Description-md5: 7bfbe40fbae628f97b4c03a34aeda9c7
Description-en: Library to access 3D-input-devices (development files)
 The spacenav project provides a free, compatible alternative to the
 proprietary 3Dconnexion SDK for their 3D input devices (called
 "space navigator", "space pilot", "space traveller", etc).
 .
 This package contains the library.

Package: libspoa-dev
Description-md5: f250272ddece97d1777f9e14da15356c
Description-en: SIMD partial order alignment library (development files)
 Spoa (SIMD POA) is a c++ implementation of the partial order alignment
 (POA) algorithm (as described in 10.1093/bioinformatics/18.3.452) which
 is used to generate consensus sequences (as described in
 10.1093/bioinformatics/btg109). It supports three alignment modes: local
 (Smith-Waterman), global (Needleman-Wunsch) and semi-global alignment
 (overlap).
 .
 This package contains the static library and the header files.

Package: libspoa3
Description-md5: d776046e84f6d77f898ca0be06ae8262
Description-en: SIMD partial order alignment library
 Spoa (SIMD POA) is a c++ implementation of the partial order alignment
 (POA) algorithm (as described in 10.1093/bioinformatics/18.3.452) which
 is used to generate consensus sequences (as described in
 10.1093/bioinformatics/btg109). It supports three alignment modes: local
 (Smith-Waterman), global (Needleman-Wunsch) and semi-global alignment
 (overlap).
 .
 This package contains the shared library.

Package: libspock-java
Description-md5: c2108976bd6484b5e773d1c7a4a781b3
Description-en: testing and specification framework for Java and Groovy application
 Spock is a testing and specification framework for Java and Groovy applications
 .
 It provide highly expressive specification language.
 .
 Spock is compatible with most IDEs, build tools, and
 continuous integration servers thanks to its JUnit runner.

Package: libspock-java-doc
Description-md5: 7a0596180e12f984aaa776752f482e94
Description-en: testing and specification framework for Java and Groovy - Docs
 Spock is a testing and specification framework for Java and Groovy applications
 .
 It provide highly expressive specification language.
 .
 Spock is compatible with most IDEs, build tools, and
 continuous integration servers thanks to its JUnit runner.
 .
 This package contains Javadoc API for libspock-java.

Package: libspooles-dev
Description-md5: 74ac75a522d72c2e2cb1e666c6eed0bc
Description-en: SPOOLES SParse Object Oriented Linear Equations Solver development files
 SPOOLES is a library for solving sparse real and complex linear systems of
 equations, written in the C language using object oriented design.
 .
 This package contains the SPOOLES development files.

Package: libspooles2.2
Description-md5: d1ed9410d9ecb29a734fad3393746354
Description-en: SPOOLES SParse Object Oriented Linear Equations Solver shared library
 SPOOLES is a library for solving sparse real and complex linear systems of
 equations, written in the C language using object oriented design.
 .
 This package contains the SPOOLES shared library.

Package: libspread-sheet-widget
Description-md5: 338a63c477abba466a1d7e36d0ff1cb3
Description-en: shared library for GTK+ to view and manipulate two dimensional tabular data
 GNU Spread Sheet Widget is a library for Gtk+ which provides a widget
 for viewing and manipulating 2 dimensional tabular data in a manner
 similar to many popular spread sheet programs.
 .
 The design follows the model-view-controller paradigm and is
 of complexity O(1) in both time and space. This means that
 it is efficient and fast even for very large data.
 .
 Features commonly found in graphical user interfaces such as
 cut and paste, drag and drop and row/column labelling are also included.

Package: libspread-sheet-widget-dev
Description-md5: 44677407dfb26d634bd1162423114102
Description-en: development files for the GTK+ spread sheet widget
 GNU Spread Sheet Widget is a library for Gtk+ which provides a widget
 for viewing and manipulating 2 dimensional tabular data in a manner
 similar to many popular spread sheet programs.
 .
 This package contains the header files required if you wish to develop
 software that uses the spread sheet widget.

Package: libspreadsheet-parseexcel-perl
Description-md5: 58bcea933143b998cdb766721337abb3
Description-en: Perl module to access information from Excel Spreadsheets
 Spreadsheet::ParseExcel is a Perl module allows one to extract information
 from Excel spreadsheets. It can be used to read information from an Excel
 95-2003 file. It cannot read information in the new XML-based XLSX format,
 for that, see Spreadsheet::XLSX (libspreadsheet-xlsx-perl) instead.

Package: libspreadsheet-parseexcel-simple-perl
Description-md5: 67c05fb251e739c43cc91d4e589e4dfe
Description-en: simple interface to Excel data
 Spreadsheet::ParseExcel::Simple provides an abstraction to the
 Spreadsheet::ParseExcel module for simple reading of values.
 .
 You simply loop over the sheets, and fetch rows to arrays.
 .
 For anything more complex, you probably want to use
 Spreadsheet::ParseExcel directly.

Package: libspreadsheet-parsexlsx-perl
Description-md5: 333acc0068529f9178023429e33f5ef4
Description-en: Perl module to parse XLSX files
 Spreadsheet::ParseXLSX is an adaptor for Spreadsheet::ParseExcel that reads
 XLSX files. For documentation about the various data that you can retrieve
 from these classes, please see Spreadsheet::ParseExcel,
 Spreadsheet::ParseExcel::Workbook, Spreadsheet::ParseExcel::Worksheet, and
 Spreadsheet::ParseExcel::Cell.

Package: libspreadsheet-read-perl
Description-md5: dc9a201131757bf205837fcb96014507
Description-en: reader for common spreadsheet formats
 Spreadsheet::Read is a Perl module that tries to transparently read arbitrary
 spreadsheet files and return its content in a universal manner independent of
 the parsing module that does the actual spreadsheet scanning.
 .
 For older OpenOffice and/or LibreOffice files, this module uses
 Spreadsheet::ReadSXC (packaged as libspreadsheet-readsxc-perl).
 .
 For Microsoft Excel, this module uses Spreadsheet::ParseXLSX (for newer files;
 see the libspreadsheet-parsexlsx-perl package) or Spreadsheet::ParseExcel
 (available in libspreadsheet-parseexcel-perl)
 .
 For Comma-Separated Value (CSV) files, this module uses Text::CSV (either the
 pure perl version in libtext-csv-perl, or, if available, the XS-accelerated
 version packaged as libtext-csv-xs-perl).
 .
 For SquirrelCalc, there is a very simplistic built-in parser.

Package: libspreadsheet-readsxc-perl
Description-md5: 09ecff38b46d1e445d75f37ec7f91a07
Description-en: reader for OpenOffice 1.x spreadsheet data
 Spreadsheet::ReadSXC extracts data from OpenOffice 1.x spreadsheet files
 (.sxc). It exports the function read_sxc() which takes a filename and an
 optional reference to a hash of options as arguments and returns a
 reference to a hash of references to two-dimensional arrays. The hash keys
 correspond to the names of worksheets in the OpenOffice workbook. The
 two-dimensional arrays correspond to rows and cells in the respective
 spreadsheets.

Package: libspreadsheet-wright-perl
Description-md5: 7399f21c386b400a08f21a9969e8b039
Description-en: simple spreadsheet worker
 Spreadsheet::Wright is a fork of Spreadsheet::Write and may be used as
 a drop-in replacement.
 .
 Spreadsheet::Wright writes files in CSV, Microsoft Excel, HTML and
 OpenDocument formats.  It is especially suitable for building various
 dumps and reports where rows are built in sequence, one after another.
 .
 It is not especially suitable for modifying existing files.
 .
 The name is a not just pun on "write" - the word "wright" means worker
 or crafter, and "Spreadsheet::Wright" does a lot of the work of
 spreadsheet output for you!

Package: libspreadsheet-writeexcel-perl
Description-md5: a25637ace041f9c28c038e1d800f7d70
Description-en: module to create Excel spreadsheets
 Spreadsheet::WriteExcel is a Perl module that can be used to create a cross-
 platform Excel binary file. Multiple worksheets can be added to a workbook
 and formatting can be applied to cells. Additionally, this module supports
 writing text, numeric values, formulas and hyperlinks to cells.
 .
 The Excel file produced by this module is compatible with Excel 5, 95, 97
 and 2000. Generated files are also compatible with the Linux/UNIX spreadsheet
 applications OpenOffice.org, Gnumeric and XESS. The generated files are not
 compatible with MS Access.
 .
 This module cannot be used to read an Excel file. See Spreadsheet::ParseExcel
 (libspreadsheet-parseexcel-perl) or look at the main documentation for some
 suggestions. This module cannot be used to write to an existing Excel file.

Package: libspreadsheet-writeexcel-simple-perl
Description-md5: 3945c8219ea0420a3ac0873a6ef3b76e
Description-en: module providing simple creation of single-sheet Excel documents
 Spreadsheet::WriteExcel::Simple provides an abstraction to the
 Spreadsheet::WriteExcel module for easier creation of simple single-sheet
 Excel documents.
 .
 In its most basic form it provides two methods for writing data:
 .
  * write_row
  * write_bold_row
 .
 which write the data supplied to the next row of the spreadsheet.
 .
 However, you can also use $ss->book and $ss->sheet to get at the underlying
 workbook and worksheet from Spreadsheet::WriteExcel if you wish to manipulate
 these directly.

Package: libspreadsheet-xlsx-perl
Description-md5: 59779f76967814bb50850d9c43bd4d96
Description-en: Perl extension for reading MS Excel 2007 files
 Spreadsheet::XLSX emulates the behaviour of Spreadsheet::ParseExcel for the
 Excel 2007 (.xlsx) file format. It supports styles and many, but not all, of
 Excel's quirks. It populates the classes from Spreadsheet::ParseExcel for
 interoperability; including Workbook, Worksheet, and Cell.

Package: libspring-aop-java
Description-md5: 14292cd95e8c317bf0afc5f655ce4a82
Description-en: modular Java/J2EE application framework - AOP
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.aop.jar and
 org.springframework.aspects.jar: Aspect-oriented programming
 framework.

Package: libspring-beans-java
Description-md5: 5be5325e7e05542648e0ff28c4a29b82
Description-en: modular Java/J2EE application framework - Beans
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.beans.jar : JavaBeans support and
 bean container.

Package: libspring-context-java
Description-md5: 1622010480479903995766eec122b971
Description-en: modular Java/J2EE application framework - Context
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.context.jar : application context,
 JNDI, JMX, instrumentation, remoting, scripting, scheduling and validation

Package: libspring-context-support-java
Description-md5: b1ad419dfe31e6f6e35259c2cc5359df
Description-en: modular Java/J2EE application framework - Context Support
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.context.support.jar : Quartz
 scheduling, UI templating, mail and caching

Package: libspring-core-java
Description-md5: c4823f52d297c685f13e1deb9c4723a9
Description-en: modular Java/J2EE application framework - Core
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.core.jar : Core abstractions and
 utilities, source-level metadata support.

Package: libspring-expression-java
Description-md5: 16538e6f9ef0945b679ba7de2b7ffa6e
Description-en: modular Java/J2EE application framework - Expression language
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.expression.jar : expression language
 for manipulating an object at runtime.

Package: libspring-instrument-java
Description-md5: d3ec7485c1e7ce38c19727fb5756558f
Description-en: modular Java/J2EE application framework - Instrumentation
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.instrument.jar and
 org.springframework.instrument.tomcat.jar: allow load-time weaving (LTW)
 inject the dependencies at load-time.

Package: libspring-jdbc-java
Description-md5: 5e2f6de828dc8affc42044b1ef94776c
Description-en: modular Java/J2EE application framework - JDBC tools
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide spring-jdbc.jar : Java DataBase Connectivity support.

Package: libspring-jms-java
Description-md5: c43f864d1a517e6f275d29f82bc1e68c
Description-en: modular Java/J2EE application framework - JMS tools
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide spring-jms.jar : Java Message Service 1.0.2/1.1 support.

Package: libspring-messaging-java
Description-md5: 5e0d9eb7f32955ec86246b5e678f1a9f
Description-en: modular Java/J2EE application framework - Messaging tools
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide spring-messaging.jar.

Package: libspring-orm-java
Description-md5: 8be02d215939d43172e9f11d815aba76
Description-en: modular Java/J2EE application framework - ORM tools
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide spring-orm.jar : JDO support, JPA support, Hibernate
 support.

Package: libspring-oxm-java
Description-md5: 7e2904b51ce3aa11d33da4f2a37033a7
Description-en: modular Java/J2EE application framework - Object/XML Mapping
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.oxm.jar : marshaling and unmarshalling
 for XML with JAXB context and JiBX binding factories.

Package: libspring-test-java
Description-md5: 7b561121a4705050a8164df0f5395f35
Description-en: modular Java/J2EE application framework - Test helpers
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.test.jar : unit-testing helpers and
 mock classes.

Package: libspring-transaction-java
Description-md5: d7798aa2dbf1408961a5eb20839d8150
Description-en: modular Java/J2EE application framework - transaction
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide spring-transaction.jar : transaction infrastructure,
 JCA support, DAO support.

Package: libspring-web-java
Description-md5: 92e94b75ac6a676372ee562e8e1eca35
Description-en: modular Java/J2EE application framework - Web
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.web.jar : web application context,
 multipart resolver, HTTP-based remoting support.

Package: libspring-web-portlet-java
Description-md5: 183e283ccb55f87c4165b7897f492e02
Description-en: modular Java/J2EE application framework - Portlet MVC
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.web.portlet.jar : integrate JSR 286
 and JSR 168 API with Spring.

Package: libspring-web-servlet-java
Description-md5: b1976f777be57a7e9e3f6bfc8a408d84
Description-en: modular Java/J2EE application framework - Web Portlet
 Spring is a layered Java/J2EE application framework offering a lot of freedom
 to Java developers yet providing well documented and easy-to-use solutions for
 common practices in the industry.
 .
 This package provide org.springframework.web.servlet.jar : framework servlets,
 web MVC framework, web controllers, web views for JSP, Velocity, Tiles, iText
 and POI.

Package: libsprng2
Description-md5: 11d3fc20f81a74689bbdb69b37ad9811
Description-en: SPRNG Scalable Parallel RNG library -- library package
 The SPRNG (Scalable Parallel Random Number Generator) library provides
 several RNGs that are suitable for use in parallel computing.
 .
 URL: http://sprng.cs.fsu.edu
 .
 This package provides the shared libraries needed to execute programs
 built with SPRNG.

Package: libsprng2-dev
Description-md5: 4e8b2b28afb1e85c9a43b7f40f7f0fbf
Description-en: SPRNG Scalable Parallel RNG library -- development package
 The SPRNG (Scalable Parallel Random Number Generator) library provides
 several RNGs that are suitable for use in parallel computing.
 .
 URL: http://sprng.cs.fsu.edu
 .
 This package contains the header files, static libraries and symbolic
 links that are need to compile programs using the SPRNG library.

Package: libsprng2-doc
Description-md5: 0ed4422ae45cbd518a74a51e043c9d2f
Description-en: SPRNG Scalable Parallel RNG library -- documentation package
 The SPRNG (Scalable Parallel Random Number Generator) library provides
 several RNGs that are suitable for use in parallel computing.
 .
 URL: http://sprng.cs.fsu.edu
 .
 This package contains files from the docs, examples and tests
 directories included in the source distribution.

Package: libsptk-dev
Description-md5: 0d7ff84a8a12454efc2c64d80f5ba6fb
Description-en: speech signal processing toolkit - development files
 The Speech Signal Processing Toolkit (SPTK) is a suite of speech
 signal processing tools for UNIX environments developed by the SPTK
 working group and some graduate students in Nagoya Institute of
 Technology.
 .
 This package provides development headers for SPTK.

Package: libspullara-cli-parser-java
Description-md5: d6f9d6cdba4917293e7ca7792386a4ae
Description-en: command line parsing for Java
 CLI Parser is a tiny, super easy to use library for parsing various
 kinds of command line arguments or property lists. Using annotations
 on your fields or JavaBean properties you can specify what configuration
 is available.

Package: libspymemcached-java
Description-md5: 8f5c709d5a4f839e815b7ed09be9e77b
Description-en: simple, asynchronous, single-threaded memcached client
 Spymemcached is a simple, asynchronous, single-threaded memcached client
 written in Java, featuring:
 .
  *   Efficient storage of objects. General serializable objects are stored in
      their serialized form and optionally compressed if they meet criteria.
      Certain native objects are stored as tightly as possible (for example, a
      Date object generally consumes six bytes, and a Long can be anywhere from
      zero to eight bytes).
 .
  *   Resilient to server and network outages. In many cases, a client
      operation can be replayed against a server if it goes away and comes
      back. In cases where it can't, it will communicate that as well. An
      exponential backoff reconnect algorithm is applied when a memcached
      becomes unavailable, but asynchronous operations will queue up for the
      server to be applied when it comes back online.
 .
  *   Operations are asynchronous. It is possible to issue a store and continue
      processing without having to wait for that operation to finish. It is even
      possible to issue a get, do some further processing, check the result of
      the get and cancel it if it doesn't return fast enough.
 .
  *   There is only one thread for all processing. Regardless of the number of
      requests, threads using the client, or servers to which the client is
      connected, only one thread will ever be allocated to a given
      MemcachedClient.
 .
  *   Aggressively optimized. There are many optimizations that combine to
      provide high throughput.

Package: libsql-abstract-limit-perl
Description-md5: 3157a47e261bc8d8e8469f4ad1559c46
Description-en: portable LIMIT emulation
 SQL::Abstract::Limit is a portability layer for SQL LIMIT emulation.

Package: libsql-abstract-more-perl
Description-md5: 9a28d5c76149dc77f7139470f4911ae3
Description-en: extension of SQL::Abstract with more constructs and more flexible API
 Sql::Abstract::More generates SQL from Perl datastructures. This is a
 subclass of SQL::Abstract, fully compatible with the parent class, but with
 some additions:
 .
 1) additional SQL constructs like -union, -group_by, join, etc;
 .
 2) methods take arguments as named parameters instead of positional
 parameters, so that various SQL fragments are more easily identified;
 .
 3) values passed to select, insert or update can directly incorporate
 information about datatypes, in the form of arrayrefs of shape [$value,
 \%type].

Package: libsql-abstract-perl
Description-md5: 1e9f839b946a102a117fd53b345cbdb0
Description-en: module to generate SQL from Perl data structures
 SQL::Abstract is a Perl module that allows developers to generate SQL from
 Perl data strutures, inspired by DBIx::Abstract. The intent of this module
 is to provide abstract SQL generation methods, allowing one to generate SQL
 while retaining complete control over the statement handles.
 .
 There are many important differences between this module and DBIx::Abstract,
 especially when it comes to WHERE clauses. Chief among these changes is a
 new design to make it easier to generate SQL from Perl data structures and
 hopefully more intuitive. The underlying idea is that this module does what
 you mean, based on the data structures you provide it; the biggest advantage
 is that you don't have to modify your code every time your data changes.

Package: libsql-reservedwords-perl
Description-md5: 3f8cb671374d8564b232be9479c20697
Description-en: Perl extension that indicates if words are reserved by SQL
 SQL::ReservedWords determine if words are reserved by ANSI/ISO SQL standard or
 by specific database such as PostgreSQL or MySQL. It can also check which
 version of ANSI or specific database uses the word checked.

Package: libsql-splitstatement-perl
Description-md5: 29045c91511ba77df9442ba4e849b5d9
Description-en: Split any SQL code into atomic statements
 This is a simple module which tries to split any SQL code, even including
 non-standard extensions into the atomic statements it is composed of.

Package: libsql-statement-perl
Description-md5: f6921ffdba3a2fb5f7d3fa9540172dd9
Description-en: module for parsing and processing SQL statements
 SQL::Statement is a Perl module that implements a small, abstract SQL engine.
 This module is not useful itself, but as a base class for deriving concrete
 SQL engines. The implementation is designed to work fine with the DBI driver
 DBD::CSV, thus probably not so well suited for a larger environment, but I'd
 hope it is extendable without too much problems.

Package: libsql-tiny-perl
Description-md5: 65713d7e4194d05ea7ba19e520aea7a4
Description-en: simple SQL-building library
 SQL::Tiny is a very simple SQL-building library. It's not for all SQL needs,
 only the very simple ones.
 .
 SQL::Tiny is for generating SQL code for simple cases. It doesn't handle
 JOINs. It doesn't handle GROUP BY. It doesn't handle subselects. It's only
 for simple SQL.
 .
 The trade-off for that brevity of code is that SQL::Tiny has to make new SQL
 and binds from the input every time. You can't cache the SQL that comes back
 from SQL::Tiny because the placeholders could vary depending on what the
 input data is. Therefore, you don't want to use SQL::Tiny where speed is
 essential.

Package: libsql-tokenizer-perl
Description-md5: 5fb69be622ec3758bc523ef00dac5b9b
Description-en: simple SQL tokenizer
 SQL::Tokenizer is a simple tokenizer for SQL queries. It does not claim to be
 a parser or query verifier. It just creates sane tokens from a valid SQL
 query.

Package: libsql-translator-perl
Description-md5: 483fa12513542208d480de6217db873c
Description-en: SQL translation library
 SQL::Translator (also known as SQL Fairy) is a collection of Perl modules
 for manipulating structured data definitions (mostly database schemas). It
 can perform tasks like converting between different SQL data definition
 language (DDL) dialects, visualizations of schemas (pseudo-ER diagrams
 created with GD or GraphViz), converting non-RDBMS files to SQL schemas
 (xSV text files, Excel spreadsheets), serializing parsed schemas (via
 Storable, YAML and XML), creating documentation, and more.

Package: libsqlcipher-dev
Description-md5: 80ef21bfc6cf78a27bb59c41c04e323f
Description-en: SQLCipher development files
 SQLCipher is a C library that implements an encryption in the SQLite 3
 database engine.  Programs that link with the SQLCipher library can have SQL
 database access without running a separate RDBMS process.  It allows one to
 have per-database or page-by-page encryption using AES-256 from OpenSSL.
 .
 SQLCipher has a small footprint and great performance so it’s ideal for
 protecting embedded application databases and is well suited for mobile
 development.
 .
  * as little as 5-15% overhead for encryption
  * 100% of data in the database file is encrypted
  * Uses good security practices (CBC mode, key derivation)
  * Zero-configuration and application level cryptography
  * Algorithms provided by the peer reviewed OpenSSL crypto library.
 .
 SQLCipher has broad platform support for with C/C++, Obj-C, QT,
 Win32/.NET/Mono, Java, Python, Ruby, Linux, Mac OS X, iPhone/iOS, Android,
 Xamarin.iOS, and Xamarin.Android.
 .
 This package contains the development files (headers, static libraries)
 .
 SQLCipher v3.4.1 is based on SQLite3 v3.15.2.

Package: libsqlcipher0
Description-md5: 63fba3c9f3243408be04b0608add35b0
Description-en: SQLCipher shared library
 SQLCipher is a C library that implements an encryption in the SQLite 3
 database engine.  Programs that link with the SQLCipher library can have SQL
 database access without running a separate RDBMS process.  It allows one to
 have per-database or page-by-page encryption using AES-256 from OpenSSL.
 .
 SQLCipher has a small footprint and great performance so it’s ideal for
 protecting embedded application databases and is well suited for mobile
 development.
 .
  * as little as 5-15% overhead for encryption
  * 100% of data in the database file is encrypted
  * Uses good security practices (CBC mode, key derivation)
  * Zero-configuration and application level cryptography
  * Algorithms provided by the peer reviewed OpenSSL crypto library.
 .
 SQLCipher has broad platform support for with C/C++, Obj-C, QT,
 Win32/.NET/Mono, Java, Python, Ruby, Linux, Mac OS X, iPhone/iOS, Android,
 Xamarin.iOS, and Xamarin.Android.
 .
 SQLCipher v3.4.1 is based on SQLite3 v3.15.2.

Package: libsqlclient-dev
Description-md5: 20dc98222e76383718b2f93e2d5a637b
Description-en: SQL client library for GNUstep (development files)
 The SQLClient library is designed to provide a simple interface to
 SQL databases for GNUstep applications.  It does not attempt the sort
 of abstraction provided by the much more sophisticated GDL2 library
 but rather allows applications to directly execute SQL queries and
 statements.
 Major features:
 .
  * Simple API for executing queries and statements.
  * Simple API for combining multiple SQL statements into a single
    transaction.
  * Supports multiple sumultaneous named connections to a database
    server in a thread-safe manner.
  * Supports multiple simultaneous connections to different database
    servers with backend driver bundles loaded for different database
    engines.
  * Configuration for all connections held in one place and referenced
    by connection name for ease of configuration control.
  * Thread safe operation.
 .
 Supported backend bundles are ECPG, Postgres, MySQL and SQLite.
 .
 This package contains the development files and the library reference
 documentation.

Package: libsqlclient1.8
Description-md5: 8d563cfae853afe6dcb9cea06a76fe9e
Description-en: SQL client library for GNUstep (runtime library)
 The SQLClient library is designed to provide a simple interface to
 SQL databases for GNUstep applications.  It does not attempt the sort
 of abstraction provided by the much more sophisticated GDL2 library
 but rather allows applications to directly execute SQL queries and
 statements.
 Major features:
 .
  * Simple API for executing queries and statements.
  * Simple API for combining multiple SQL statements into a single
    transaction.
  * Supports multiple sumultaneous named connections to a database
    server in a thread-safe manner.
  * Supports multiple simultaneous connections to different database
    servers with backend driver bundles loaded for different database
    engines.
  * Configuration for all connections held in one place and referenced
    by connection name for ease of configuration control.
  * Thread safe operation.
 .
 Supported backend bundles are ECPG, Postgres, MySQL and SQLite.
 .
 This package contains the shared library and the supported bundles.

Package: libsqlite-tcl
Description-md5: 918f092ba00b0b9e68311d15278e5d85
Description-en: SQLite 2 Tcl bindings
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.
 .
 NOTE: This package is SQLite version 2. Most programs that use
 SQLite use SQLite version 3. See the "libsqlite3-tcl" package for
 that.
 .
 This package contains the Tcl bindings.

Package: libsqlite0
Description-md5: 144f79bc0a34bbcd63251807fb0c44c4
Description-en: SQLite 2 shared library
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.
 .
 NOTE: This package is SQLite version 2. Most programs that use
 SQLite use SQLite version 3. See the "libsqlite3-0" package for that.

Package: libsqlite0-dev
Description-md5: 9aab13e94844cb60f47c2875a2a85355
Description-en: SQLite 2 development files
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.
 .
 NOTE: This package is SQLite version 2. Most programs that use
 SQLite use SQLite version 3. See the "libsqlite3-dev" package for
 that.
 .
 This package contains the development files (headers, static libraries)

Package: libsqlite3-gst
Description-md5: 516909667c2c2176c37c437a8ebbe97c
Description-en: SQLite bindings for GNU Smalltalk
 This package contains the GNU Smalltalk DataBase Interface (DBI) connector
 for SQLite.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: libsqlite3-mod-blobtoxy
Description-md5: 28606705d652f1a4afadb3bd0860fcc9
Description-en: SQLite3 extension module for read-only BLOB to X/Y mapping
 This package extends SQLite3 with functions to automatically generate
 X/Y graphs from BLOB data.

Package: libsqlite3-mod-csvtable
Description-md5: d183e4ef922672f9a8e39dcb90dc5457
Description-en: SQLite3 extension module for read-only access to CSV files
 This package extends SQLite3 with read-only access to CSV (Comma-Separated
 Values) format files; each CSV file will appear as a table in SQLite3.

Package: libsqlite3-mod-impexp
Description-md5: 0ad79655bfafb10784d4a836bd699f77
Description-en: SQLite3 extension module for SQL script, XML, JSON and CSV import/export
 This package extends SQLite3 with functions to import/export data
 from/to SQL scripts and to export data to CSV (Comma-Separated
 Values), XML and JSON (JavaScript Object Notation).
 .
 The export to SQL can follow quoting conventions of other SQL
 databases: Oracle, SQL Server or MySQL.

Package: libsqlite3-mod-rasterlite2
Description-md5: f6137658be042623b6314f0600066b2f
Description-en: SQLite 3 module for huge raster coverages
 librasterlite2 is an open source library that stores and retrieves huge
 raster coverages using a SpatiaLite DBMS.
 .
 It is intended to completely replace and supersede librasterlite.
 The two projects are completely unrelated: the unique similarity between
 both is just in covering the same identical application area.
 .
 This package contains the loadable extension module for SQLite 3.

Package: libsqlite3-mod-spatialite
Description-md5: bc3a571bd2b30f1c47a1fac389e8c5a3
Description-en: Geospatial extension for SQLite - loadable module
 The SpatiaLite extension enables SQLite to support spatial (geometry) data
 in a way conformant to OpenGis specifications, with both WKT and WKB formats.
 .
 Spatialite also includes Virtualshape and Virtualtext to enable accessing
 shapefiles and csv/text files as virtual tables.
 .
 This package contains the loadable extension module for SQLite 3.

Package: libsqlite3-mod-virtualpg
Description-md5: 957c3ebb55be587fea54817dbb23f9ed
Description-en: Loadable dynamic extension to both SQLite and SpatiaLite
 VirtualPG is a loadable dynamic extension to both SQLite and SpatiaLite.
 .
 Its intended scope is supporting direct SQL access to PostgreSQL and
 PostGIS tables, to make any possible kind of data exchange between
 these two popular open source Spatial DBMSes as straightforward and
 simple as possible.
 .
 This package contains the loadable extension module for SQLite 3.

Package: libsqlite3-mod-xpath
Description-md5: d2528e5252b92c5ebfa749c8c375b66a
Description-en: SQLite3 extension module for querying XML data with XPath
 This package extends SQLite3 with functions to select a subpart
 of an XML document using XPath.

Package: libsqlite3-mod-zipfile
Description-md5: c55af23d7a51e94f877e6f9af61ca831
Description-en: SQLite3 extension module for read-only access to ZIP files
 This package extends SQLite3 with read-only access to ZIP files.
 ZIP is a combined archive and compression file format (think tar
 and gzip rolled into one) that is pretty popular on some non-Unixy platforms.

Package: libsqlite3-ocaml
Description-md5: 4f5b96784869662a1cfb5f900ee2156e
Description-en: Embeddable SQL Database for OCaml Programs (runtime)
 This package provides an interface for the SQLite3 embeddable SQL
 database. It allows your OCaml programs to use a SQL database without
 depending on any external software; the resulting system can be
 entirely self-contained.
 .
 This package provides the files necessary to run dynamically-linked
 OCaml programs with SQLite3.

Package: libsqlite3-ocaml-dev
Description-md5: baea62cbd2eb502fd7008db5be034dc1
Description-en: Embeddable SQL Database for OCaml Programs (development)
 This package provides an interface for the SQLite3 embeddable SQL
 database. It allows your OCaml programs to use a SQL database without
 depending on any external software; the resulting system can be
 entirely self-contained.
 .
 This package provides the files necessary to develop new applications that
 use SQLite3 for OCaml.

Package: libsqlite3-tcl
Description-md5: cb33fef2641311d660662ee9d18d437c
Description-en: SQLite 3 Tcl bindings
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.
 .
 This package contains the Tcl bindings.

Package: libsqliteodbc
Description-md5: 1fff7d8c657082b3609777c781262da0
Description-en: ODBC driver for SQLite embedded database
 This package allows you to connect to an SQLite database using
 ODBC, the Open Database Connectivity abstraction layer which is
 understood by a variety of database tools that may not be able
 to talk to SQLite directly.

Package: libsqljet-java
Description-md5: 8d7331d273802bee2c30edd8b8c5e7f5
Description-en: Pure Java implementation of SQLite database management system
 SQLJet is a software library that provides API that enables Java application to
 read and modify SQLite databases. SQLJet does not support SQL queries; there is
 an API to work with the database on a lower level.
 .
 SQLJet Major Features:
 .
  * Fine-grained API to create, read and modify SQLite databases.
  * Database format is fully compatible with SQLite 3.6.
  * No native binaries are needed.
  * Current version only supports SQL in schema.

Package: libsqljet-java-doc
Description-md5: 06410bd0e10226c0e6c2e7e2304aeb23
Description-en: API documentation for libsqljet-java
 SQLJet is a software library that provides API that enables Java application to
 read and modify SQLite databases. SQLJet does not support SQL queries; there is
 an API to work with the database on a lower level.
 .
 This package contains the Javadoc generated API documentation.

Package: libsquashfs-dev
Description-md5: 9458c8f81d359a95863d8d313b21b70c
Description-en: New set of tools for working with SquashFS images - development
 SquashFS is a highly compressed read-only filesystem for Linux, optimized
 for small size and high packing density. It is widely used in embedded
 systems and bootable live media.
 .
 SquashFS supports many different compression formats, such as zstd, xz,
 zlib or lzo for both data and metadata compression. It has many features
 expected from popular filesystems, such as extended attributes and support
 for NFS export.
 .
 As the name suggests, this is not the original user space tooling for
 SquashFS. Here are some of the features that primarily distinguish this
 package from the original:
   - reproducible SquashFS images, i.e. deterministic packing without
     any local time stamps,
   - Linux `gen_init_cpio` like file listing for micro managing the
     file system contents, permissions, and ownership without having to
     replicate the file system (and especially permissions) locally,
   - support for SELinux contexts file (see selabel_file(5)) to generate
     SELinux labels.
 .
 This package contains the C development headers and library files needed to
 compile programs using the squashfs-tools-ng library.

Package: libsquashfs0
Description-md5: f1063023deda73776e7b19dc9bc29ea0
Description-en: New set of tools for working with SquashFS images - shared library
 SquashFS is a highly compressed read-only filesystem for Linux, optimized
 for small size and high packing density. It is widely used in embedded
 systems and bootable live media.
 .
 SquashFS supports many different compression formats, such as zstd, xz,
 zlib or lzo for both data and metadata compression. It has many features
 expected from popular filesystems, such as extended attributes and support
 for NFS export.
 .
 As the name suggests, this is not the original user space tooling for
 SquashFS. Here are some of the features that primarily distinguish this
 package from the original:
   - reproducible SquashFS images, i.e. deterministic packing without
     any local time stamps,
   - Linux `gen_init_cpio` like file listing for micro managing the
     file system contents, permissions, and ownership without having to
     replicate the file system (and especially permissions) locally,
   - support for SELinux contexts file (see selabel_file(5)) to generate
     SELinux labels.
 .
 This package contains the C libraries needed to run executables that use
 the squashfs-tools-ng library.

Package: libsquashfuse-dev
Description-md5: 8fb2c33a265ea350640183082f7dc5ea
Description-en: Development files for libsquashfuse
 This lets you mount SquashFS archives in user-space. It supports almost
 all features of the SquashFS format, yet is still fast and memory-efficient.
 .
 SquashFS is an efficiently compressed, read-only storage format.
 Support for it  has been built into the Linux kernel since 2009. It is
 very common on Live CDs  and embedded Linux distributions.
 .
 This package contains development files for libsquashfuse

Package: libsquashfuse0
Description-md5: 2ea59e88671147285aae478923989565
Description-en: FUSE filesystem to mount squashfs archives library
 This lets you mount SquashFS archives in user-space. It supports almost
 all features of the SquashFS format, yet is still fast and memory-efficient.
 .
 SquashFS is an efficiently compressed, read-only storage format.
 Support for it  has been built into the Linux kernel since 2009. It is
 very common on Live CDs  and embedded Linux distributions.
 .
  This package contains the squashfuse library

Package: libsquid-dev
Description-md5: 8b13f94be6ba372646e19b5b3703c3d5
Description-en: biosquid headers and static library for biological sequence analysis
 SQUID is a library of C code functions for sequence analysis. It also
 includes a number of small utility programs to convert, show statistics,
 manipulate and do other functions on sequence files.
 .
 The original name of the package is "squid", but since there is already
 a squid on the archive (a proxy cache), it was renamed to "biosquid".
 .
 This package contains the header files and the static SQUID library.

Package: libsquid1
Description-md5: 66a3dd70ec9a27463baf10379d5ffc97
Description-en: biosquid dynamic library for biological sequence analysis
 SQUID is a library of C code functions for sequence analysis. It also
 includes a number of small utility programs to convert, show statistics,
 manipulate and do other functions on sequence files.
 .
 The original name of the package is "squid", but since there is already
 a squid on the archive (a proxy cache), it was renamed to "biosquid".
 .
 This package contains the dynamic SQUID library.

Package: libsquirrel-dev
Description-md5: 1b8eb9ed12c27108ae0c52f262a0149b
Description-en: development files for the Squirrel programming language
 Squirrel is a high-level imperative, object-oriented programming
 language, designed to be a lightweight scripting language that fits
 in the size, memory bandwidth, and real-time requirements of
 applications like video games.
 .
 This package contains developer resources for using the Squirrel
 library. Install it if you are developing programs that use the
 Squirrel API.

Package: libsquirrel3-0
Description-md5: b74313f1d82226bde4489dc0b0a5f9d7
Description-en: runtime libraries for the Squirrel programming language
 Squirrel is a high-level imperative, object-oriented programming
 language, designed to be a lightweight scripting language that fits
 in the size, memory bandwidth, and real-time requirements of
 applications like video games.
 .
 This package contains runtime libraries. You shouldn't have to
 install it manually.

Package: libsquish-dev
Description-md5: 936cfaec78bdf33d61f7c8899b8bd11c
Description-en: DXT texture compression library - development files
 libsquish is a lossy software DXT texture compression library. It
 implements the 3 useful DXT flavours (1,3,5) and has SIMD support for
 x86 (SSE) and powerpc (Altivec). It can be used (as a much slower
 software fallback) instead of the hardware implementations present on
 most modern graphics chips.
 .
 This package contains the deveopment files (headers, API docs)

Package: libsquish0
Description-md5: 7296ad7ebcd679c69825956a8833bc47
Description-en: DXT texture compression library
 libsquish is a lossy software DXT texture compression library. It
 implements the 3 useful DXT flavours (1,3,5) and has SIMD support for
 x86 (SSE) and powerpc (Altivec). It can be used (as a much slower
 software fallback) instead of the hardware implementations present on
 most modern graphics chips.
 .
 This package is the library itself.

Package: libsquizz
Description-md5: a1e8ed765be9b15be7b838d9a7a93962
Description-en: Conversion libs used by squizz genetic sequence converter
 Squizz is a sequence/alignment format checker, but it has some
 conversion capabilities too.
 Most common sequence and alignment formats are supported :
  - EMBL, FASTA, GCG, GDE, GENBANK, IG, NBRF, PIR (codata), RAW, and
   SWISSPROT.
  - CLUSTAL, FASTA, MEGA, MSF, NEXUS, PHYLIP (interleaved and sequential) and
   STOCKHOLM.
 .
 This package provides required libs for squizz binary

Package: libsquizz-dev
Description-md5: 589915a772125bf4a896737d450265e0
Description-en: development tools for squizz genetic sequence converter
 Squizz is a sequence/alignment format checker, but it has some
 conversion capabilities too.
 Most common sequence and alignment formats are supported :
  - EMBL, FASTA, GCG, GDE, GENBANK, IG, NBRF, PIR (codata), RAW, and
   SWISSPROT.
  - CLUSTAL, FASTA, MEGA, MSF, NEXUS, PHYLIP (interleaved and sequential) and
   STOCKHOLM.
 .
 This package provides the required static libs and header files of squizz

Package: libsratom-0-0
Description-md5: bf8e263f7eb48292c2fa0f8d85965031
Description-en: library for serialising LV2 atoms to/from Turtle
 Sratom is a new C library for serialising LV2 atoms to/from Turtle.
 It is intended to be a full serialisation solution for LV2 atoms,
 allowing implementations to serialise binary atoms to strings and
 read them back again. This is particularly useful for saving plugin
 state, or implementing plugin control with network transparency.
 Sratom uses Serd and Sord to do the work, it is a small library
 implemented in a single source file, suitable for direct inclusion
 in projects if avoiding a dependency is desired.

Package: libsratom-dev
Description-md5: 4148b254571302864ff25117df02475e
Description-en: library for serialising LV2 atoms to/from Turtle - development files
 Sratom is a new C library for serialising LV2 atoms to/from Turtle.
 It is intended to be a full serialisation solution for LV2 atoms,
 allowing implementations to serialise binary atoms to strings and
 read them back again. This is particularly useful for saving plugin
 state, or implementing plugin control with network transparency.
 Sratom uses Serd and Sord to do the work, it is a small library
 implemented in a single source file, suitable for direct inclusion
 in projects if avoiding a dependency is desired.
 .
 This package provides the development files for Sratom.

Package: libsratom-doc
Description-md5: 5693fd4c19d2c0a7e16b712393f4b212
Description-en: library for serialising LV2 atoms to/from Turtle - documentation
 Sratom is a new C library for serialising LV2 atoms to/from Turtle.
 It is intended to be a full serialisation solution for LV2 atoms,
 allowing implementations to serialise binary atoms to strings and
 read them back again. This is particularly useful for saving plugin
 state, or implementing plugin control with network transparency.
 Sratom uses Serd and Sord to do the work, it is a small library
 implemented in a single source file, suitable for direct inclusion
 in projects if avoiding a dependency is desired.
 .
 This package provides the developer's reference for sratom.

Package: libsrecord-dev
Description-md5: 8958c9bb55493a4f3d96ed91c64c5014
Description-en: library for manipulating EPROM load files (development)
 The srecord library is a collection of powerful C++ classes for manipulating
 EPROM load files. It reads and writes numerous EPROM file formats, and can
 perform many different manipulations.
 .
 This package contains the static libraries and headers for developing
 applications that use the srecord library.

Package: libsrecord0
Description-md5: 20a6b9d9e40e848e58482752fc5a3574
Description-en: library for manipulating EPROM load files (runtime)
 The srecord library is a collection of powerful C++ classes for manipulating
 EPROM load files. It reads and writes numerous EPROM file formats, and can
 perform many different manipulations.
 .
 This package contains the files necessary for running applications that
 use the srecord library.

Package: libsrf-dev
Description-md5: 166c7140786a22a8b1da3f639f32f889
Description-en: C++ implementation of the SRF format for DNA sequence data
 SRF (sort for Sequence Read Format) is a generic format capable of storing
 data generated by any DNA sequencing technology. This library is an
 implementation of SRF and provides basic input-output functions.

Package: libsrt-dev
Description-md5: 8c2bfa2a8656f91d3de64061f78e1980
Description-en: Secure Reliable Transport UDP streaming library
 SRT is a latency-aware UDP transport mechanism optimized for video streams.
 It detects and compensates for jitter and bandwidth fluctuations due to
 network congestion. It mitigates packet loss and supports AES encryption.
 .
 This package contains development files for libsrt1

Package: libsrt-doc
Description-md5: 8f3c25e6ca7071ad4fc980f836a3de9d
Description-en: Secure Reliable Transport UDP streaming library
 SRT is a latency-aware UDP transport mechanism optimized for video streams.
 It detects and compensates for jitter and bandwidth fluctuations due to
 network congestion. It mitigates packet loss and supports AES encryption.
 .
 This package contains documentation files for libsrt1

Package: libsrt1
Description-md5: a1ca5ba2445abfe59f0be7c88b3ec345
Description-en: Secure Reliable Transport UDP streaming library
 SRT is a latency-aware UDP transport mechanism optimized for video streams.
 It detects and compensates for jitter and bandwidth fluctuations due to
 network congestion. It mitigates packet loss and supports AES encryption.

Package: libsrtp2-1
Description-md5: b86be50185de339144d91624003e7952
Description-en: Secure RTP (SRTP) and UST Reference Implementations - shared library
 SRTP is a security profile for RTP that adds confidentiality, message
 authentication, and replay protection to that protocol. It is specified
 in RFC 3711.
 .
 LibSRTP provides an implementation of the Secure Real-time Transport
 Protocol (SRTP), the Universal Security Transform (UST), and a
 supporting cryptographic kernel.
 .
 This package contains the shared libraries.

Package: libsrtp2-1-dbg
Description-md5: e258deedbff64170fe933f955167011a
Description-en: Secure RTP (SRTP) and UST Reference Implementations - debugging symbols
 SRTP is a security profile for RTP that adds confidentiality, message
 authentication, and replay protection to that protocol. It is specified
 in RFC 3711.
 .
 LibSRTP provides an implementation of the Secure Real-time Transport
 Protocol (SRTP), the Universal Security Transform (UST), and a
 supporting cryptographic kernel.
 .
 This package contains the debugging symbols.

Package: libsrtp2-dev
Description-md5: c6e942175d47f415610fe706fc70b559
Description-en: Secure RTP (SRTP) and UST Reference Implementations - development files
 SRTP is a security profile for RTP that adds confidentiality, message
 authentication, and replay protection to that protocol. It is specified
 in RFC 3711.
 .
 LibSRTP provides an implementation of the Secure Real-time Transport
 Protocol (SRTP), the Universal Security Transform (UST), and a
 supporting cryptographic kernel.
 .
 This package contains the development headers and static libraries.

Package: libsrtp2-docs
Description-md5: ad463bd75f0d60d41474115b88480c00
Description-en: Secure RTP (SRTP) and UST Reference Implementations - documentation
 SRTP is a security profile for RTP that adds confidentiality, message
 authentication, and replay protection to that protocol. It is specified
 in RFC 3711.
 .
 LibSRTP provides an implementation of the Secure Real-time Transport
 Protocol (SRTP), the Universal Security Transform (UST), and a
 supporting cryptographic kernel.
 .
 This package contains API documentation.

Package: libsru-perl
Description-md5: 92ed233d2f9087097a7fa30aae4035fa
Description-en: framework for Search and Retrieval by URL
 The SRU package provides a framework for working with the Search and
 Retrieval by URL (SRU) protocol developed by the Library of Congress. SRU
 defines a web service for searching databases containing metadata and
 objects. SRU often goes under the name SRW which is a SOAP version of the
 protocol. You can think of SRU as a RESTful version of SRW, since all the
 requests are simple URLs instead of XML documents being sent via some sort of
 transport layer.
 .
 You might be interested in SRU if you want to provide a generic API for
 searching a data repository and a mechanism for returning metadata records.
 SRU defines three verbs: explain, scan and searchRetrieve which define the
 requests and responses in a SRU interaction.
 .
 This set of modules attempts to provide a framework for building an SRU
 service. The distribution is made up of two sets of Perl modules: modules in
 the SRU::Request::* namespace which represent the three types of requests;
 and modules in the SRU::Response::* namespace which represent the various
 responses.

Package: libss7-2.0
Description-md5: 8acbe4ebb131f61fb6e9d7f13b4d1217
Description-en: Signalling System 7 (ss7) library
 A userspace library that provids SS7 protocol services to applications.
 It has a working MTP2, MTP3, and ISUP for ITU and ANSI style SS7, however
 it was written in a manner that will easily allow support for other various
 national specific variants in the future.  For a working reference
 implementation, see the various link test programs, as well as the Asterisk
 Open Source PBX.
 .
 C implementation of the Signalling System 7 (ss7) telephony protocol.

Package: libss7-dev
Description-md5: efa312dd2913f1c1f8e1dcc42dced2de
Description-en: Signalling System 7 (ss7) development files
 A userspace library that provids SS7 protocol services to applications.
 It has a working MTP2, MTP3, and ISUP for ITU and ANSI style SS7, however
 it was written in a manner that will easily allow support for other various
 national specific variants in the future.  For a working reference
 implementation, see the various link test programs, as well as the Asterisk
 Open Source PBX.
 .
 Development files for the C implementation of the Signalling System 7
 (ss7) telephony protocol.

Package: libsscm-dev
Description-md5: 472b6c8cc0daf3d6724c929d3c0c9947
Description-en: Development library for sigscheme Scheme interpreter
 SigScheme is a Scheme interpreter, which targets embedded programs.
 SigScheme is used as extension engine for uim, universal input method
 system.  It conforms to R5RS, some of SRFI-s.
 .
 This package contains header files and static libraries for sigscheme
 development.

Package: libsscm3
Description-md5: 47320ce218b9676d74b3c303d3144eb9
Description-en: Shared library for sigscheme Scheme interpreter
 SigScheme is a Scheme interpreter, which targets embedded programs.
 SigScheme is used as extension engine for uim, universal input method
 system.  It conforms to R5RS, some of SRFI-s.
 .
 This package contains the shared libraries for sigscheme.

Package: libssh2-1
Description-md5: a72806365acab9f7b0057c7e13cb7b14
Description-en: SSH2 client-side library
 libssh2 is a client-side C library implementing the SSH2 protocol.
 It supports regular terminal, SCP and SFTP (v1-v5) sessions;
 port forwarding, X11 forwarding; password, key-based and
 keyboard-interactive authentication.
 .
 This package contains the runtime library.

Package: libssh2-1-dev
Description-md5: 069490795958c24c9fe87f4f276ea25c
Description-en: SSH2 client-side library (development headers)
 libssh2 is a client-side C library implementing the SSH2 protocol.
 It supports regular terminal, SCP and SFTP (v1-v5) sessions;
 port forwarding, X11 forwarding; password, key-based and
 keyboard-interactive authentication.
 .
 This package contains the development files.

Package: libssl-ocaml
Description-md5: 7d4099cbc739fbbd4114fe464ebc2ede
Description-en: OCaml bindings for OpenSSL (runtime)
 OCaml library for communicating through SSL/TLS encrypted connections
 using the OpenSSL library.
 .
 This package contains only the shared runtime stub libraries.

Package: libssl-ocaml-dev
Description-md5: 350b176ea61c15ea1b7f264b4f2ca2df
Description-en: OCaml bindings for OpenSSL
 OCaml library for communicating through SSL/TLS encrypted connections
 using the OpenSSL library.
 .
 This package contains all the development stuff you need to use
 the ssl OCaml library in your programs.

Package: libssl-utils-clojure
Description-md5: 2a55cc23ea7ba8524d2535f3deef604f
Description-en: library for SSL certificate management on the JVM
 ssl-utils provides a library to ease SSL certificate management on the JVM. It
 supports handling a (limited) number of X.509 certificate extensions.

Package: libssm-bin
Description-md5: d8d3b9fd849ed55317356f87cb44d211
Description-en: macromolecular superposition library - binaries
 SSM is a macromolecular coordinate superposition library, written by
 Eugene Krissinel of the EBI.
 .
 The library implements the SSM algorithm of protein structure
 comparison in three dimensions, which includes an original procedure
 of matching graphs built on the protein's secondary-structure
 elements, followed by an iterative three-dimensional alignment of
 protein backbone Calpha atoms.
 .
 This package contains the binaries of the libraries

Package: libssm-dev
Description-md5: cbb9b3d1969d4fb8079c03b649cda5ee
Description-en: macromolecular superposition library - development files
 SSM is a macromolecular coordinate superposition library, written by
 Eugene Krissinel of the EBI.
 .
 The library implements the SSM algorithm of protein structure
 comparison in three dimensions, which includes an original procedure
 of matching graphs built on the protein's secondary-structure
 elements, followed by an iterative three-dimensional alignment of
 protein backbone Calpha atoms.
 .
 This package contains libraries and header files needed for program
 development.

Package: libssm2
Description-md5: 98821aaad34f86a5f15638508fbc594e
Description-en: macromolecular superposition library - runtime
 SSM is a macromolecular coordinate superposition library, written by
 Eugene Krissinel of the EBI.
 .
 The library implements the SSM algorithm of protein structure
 comparison in three dimensions, which includes an original procedure
 of matching graphs built on the protein's secondary-structure
 elements, followed by an iterative three-dimensional alignment of
 protein backbone Calpha atoms.
 .
 This package contains the shared library components needed for programs
 that have been compiled with the ssm library.

Package: libssreflect-coq
Description-md5: 5e372e261cbf7d4b3305676ff6b2dc63
Description-en: Mathematical Components library for Coq
 The Mathematical Components Library is an extensive and coherent
 repository of formalized mathematical theories. It is based on the
 Coq proof assistant, powered with the Coq/SSReflect language.
 .
 These formal theories cover a wide spectrum of topics, ranging from
 the formal theory of general-purpose data structures like lists,
 prime numbers or finite graphs, to advanced topics in algebra.
 .
 The formalization technique adopted in the library, called "small
 scale reflection", leverages the higher-order nature of Coq's
 underlying logic to provide effective automation for many small,
 clerical proof steps. This is often accomplished by restating
 ("reflecting") problems in a more concrete form, hence the name. For
 example, arithmetic comparison is not an abstract predicate, but
 rather a function computing a Boolean.
 .
 This package installs the full Mathematical Components library.

Package: libssw-dev
Description-md5: 91d365f407161f9a65ddf38fc6b0c7b3
Description-en: Development headers and static libraries for libssw
 This package provides development headers and static libraries for libssw,
 a fast implementation of the Smith-Waterman algorithm using
 Single-Instruction Multiple-Data (SIMD) instructions to parallelize the
 algorithm at the instruction level.

Package: libssw-java
Description-md5: dadfacd237f394ea606ea2c8d1092c7a
Description-en: Java bindings for libssw
 This package provides JNI based Java bindings for libssw, a fast
 implementation of the Smith-Waterman algorithm using Single-Instruction
 Multiple-Data (SIMD) instructions to parallelize the algorithm at the
 instruction level.

Package: libssw0
Description-md5: 0b58f3f88326885dfe15923e841780be
Description-en: fast SIMD parallelized implementation of the Smith-Waterman algorithm
 SSW is a fast implementation of the Smith-Waterman algorithm, which uses the
 Single-Instruction Multiple-Data (SIMD) instructions to parallelize the
 algorithm at the instruction level. SSW library provides an API that can be
 flexibly used by programs written in C, C++ and other languages. The library
 can do protein and genome alignment directly.
 Current version of this implementation is ~50 times faster than an ordinary
 Smith-Waterman. It can return the Smith-Waterman score, alignment location
 and traceback path (cigar) of the optimal alignment accurately; and return
 the sub-optimal alignment score and location heuristically.

Package: libst-dev
Description-md5: 1767b42fb9f91cb231466a9dece0a4bc
Description-en: State Threads Library - Development files
 The State Threads library has an interface similar to POSIX threads.
 .
 However, the threads are actually all run in-process. This type of
 threading allows for controlled schedualing points. It is highly useful
 for designing robust and extremely scalable internet applications since
 there is no resource contention and locking is generally unnecessary.
 .
 It can be combined with traditional threading or multiple process
 parallelism to take advantage of multiple processors.
 .
 This package contains the development files for compiling programs.

Package: libst1
Description-md5: 1b96e9d6ca2fafd1b653007782ae07f7
Description-en: State Threads Library
 The State Threads library has an interface similar to POSIX threads.
 .
 However, the threads are actually all run in-process. This type of
 threading allows for controlled schedualing points. It is highly useful
 for designing robust and extremely scalable internet applications since
 there is no resource contention and locking is generally unnecessary.
 .
 It can be combined with traditional threading or multiple process
 parallelism to take advantage of multiple processors.
 .
 This package provides the library required by dependent programs.

Package: libstaden-read-dev
Description-md5: 15cfe00340abc3feace01b3777be2c6c
Description-en: development files for libstaden-read
 This package contains the header and development files needed to build
 programs and packages using the Staden io_lib.
 .
 The io_lib from the Staden package is a library of file reading and writing
 code to provide a general purpose trace file (and Experiment File) reading
 interface. It has been compiled and tested on a variety of unix systems,
 MacOS X and MS Windows.

Package: libstaden-read13
Description-md5: 58645e88efc1570fb98c015406e6674e
Description-en: Staden library for reading and writing DNA sequencing results
 This package contains the Staden io_lib, that is renamed in Debian and
 Fedora ‘staden-read’ instead of ‘read’. This library supports various DNA
 sequence read formats, in particular SCF, ABI, ALF, CTF, ZTR, SFF and SRF.
 .
 The io_lib from the Staden package is a library of file reading and writing
 code to provide a general purpose trace file (and Experiment File) reading
 interface. It has been compiled and tested on a variety of unix systems,
 MacOS X and MS Windows.

Package: libstarlink-ast-dev
Description-md5: 8bb26e5b66e74ac79c8866dbb77e0f29
Description-en: Handle World Coordinate Systems in Astronomy (development package)
 AST implements an object oriented model for describing physical
 coordinate systems, and the transformations that exist between them.
 .
 It provides a comprehensive range of facilities for attaching world
 coordinate systems (WCS) to astronomical data and for retrieving and
 manipulating that information.
 .
 It has built-in intelligence for identifying types of celestial,
 spectral, time and other coordinate systems (including
 compound systems that combine axes of different types)
 and determining how to transform between them. This
 allows general purpose code to be written that makes no
 assumptions about the nature of the coordinate systems.
 .
 This package contains the files needed for development.

Package: libstarlink-ast-doc
Description-md5: 4bf748af0ff5e89e80c37d89a08483b5
Description-en: Handle World Coordinate Systems in Astronomy (documentation)
 AST implements an object oriented model for describing physical
 coordinate systems, and the transformations that exist between them.
 .
 It provides a comprehensive range of facilities for attaching world
 coordinate systems (WCS) to astronomical data and for retrieving and
 manipulating that information.
 .
 It has built-in intelligence for identifying types of celestial,
 spectral, time and other coordinate systems (including
 compound systems that combine axes of different types)
 and determining how to transform between them. This
 allows general purpose code to be written that makes no
 assumptions about the nature of the coordinate systems.
 .
 This package contains the HTML and PDF documentation.

Package: libstarlink-ast-err9
Description-md5: 48447f04ec220da8a1ce34bda1cf06bc
Description-en: Handle World Coordinate Systems in Astronomy (error reporting)
 AST implements an object oriented model for describing physical
 coordinate systems, and the transformations that exist between them.
 .
 This package contains the default error reporting shared library. All
 error messages are printed to stderr.

Package: libstarlink-ast-grf3d9
Description-md5: d6fe8723a530fc168432ba79d8a2b1dc
Description-en: Handle World Coordinate Systems in Astronomy (dummy 3d routines)
 AST implements an object oriented model for describing physical
 coordinate systems, and the transformations that exist between them.
 .
 This package contains the default (dummy) 3d graphics shared library.
 This library resolves all 3d graphics calls and reports an error if they
 are called.

Package: libstarlink-ast9
Description-md5: 5143f04c71841f17f7d94ab409a6415e
Description-en: Handle World Coordinate Systems in Astronomy (main shared lib)
 AST implements an object oriented model for describing physical
 coordinate systems, and the transformations that exist between them.
 .
 It provides a comprehensive range of facilities for attaching world
 coordinate systems (WCS) to astronomical data and for retrieving and
 manipulating that information.
 .
 It has built-in intelligence for identifying types of celestial,
 spectral, time and other coordinate systems (including
 compound systems that combine axes of different types)
 and determining how to transform between them. This
 allows general purpose code to be written that makes no
 assumptions about the nature of the coordinate systems.
 .
 This package contains the main shared library.

Package: libstarlink-pal-dev
Description-md5: 2fcebae6660cb5fb5c51bac9c69c931a
Description-en: Positional Astronomy Library (development files)
 This library is a collection of code designed to aid in replacing the SLA
 library with code from NOVAS and ERFA.
 .
 Where possible the API is similar to the C SLA API except for the use of a
 "pal" prefix.
 .
 This package contains the development files.

Package: libstarlink-pal-doc
Description-md5: 2197d693c7168f5ae1b4fbaf598c8300
Description-en: Positional Astronomy Library (documentation)
 This library is a collection of code designed to aid in replacing the SLA
 library with code from NOVAS and ERFA.
 .
 Where possible the API is similar to the C SLA API except for the use of a
 "pal" prefix.
 .
 This package contains the HTML and PDF documentation.

Package: libstarlink-pal0
Description-md5: db5c4dd1c409a10044bd68bdd2c43518
Description-en: Positional Astronomy Library (shared library)
 This library is a collection of code designed to aid in replacing the SLA
 library with code from NOVAS and ERFA.
 .
 Where possible the API is similar to the C SLA API except for the use of a
 "pal" prefix.
 .
 This library contains the shared library.

Package: libstaroffice-0.0-0
Description-md5: cc71e387d997e7b4619feb6bbb483927
Description-en: Import filter library to import all StarOffice documents
 The purpose of libstaroffice is to build a filter for old StarOffice's
 documents (.sdc, .sdw, ...) based on librevenge (see
 https://sourceforge.net/p/libwpd/wiki/librevenge/ and
 http://www.documentliberation.org/projects/ ).

Package: libstaroffice-dev
Description-md5: 9c97cbe1764265519f72f410da29cd83
Description-en: Import filter library to import all StarOffice documents -- development
 The purpose of libstaroffice is to build a filter for old StarOffice's
 documents (.sdc, .sdw, ...) based on librevenge (see
 https://sourceforge.net/p/libwpd/wiki/librevenge/ and
 http://www.documentliberation.org/projects/ ).
 .
 This package contains the development files needed for developing files against
 libstaroffice.

Package: libstaroffice-doc
Description-md5: 87bebe27e28e1c7ad21346afeb4af24c
Description-en: Import filter library to import all StarOffice documents -- documentation
 The purpose of libstaroffice is to build a filter for old StarOffice's
 documents (.sdc, .sdw, ...) based on librevenge (see
 https://sourceforge.net/p/libwpd/wiki/librevenge/ and
 http://www.documentliberation.org/projects/ ).
 .
 This package contains the API documentation.

Package: libstaroffice-tools
Description-md5: 33fa229c7c85ed74ede1e9c1cc94f542
Description-en: Import filter library to import all StarOffice documents -- tools
 The purpose of libstaroffice is to build a filter for old StarOffice's
 documents (.sdc, .sdw, ...) based on librevenge (see
 https://sourceforge.net/p/libwpd/wiki/librevenge/ and
 http://www.documentliberation.org/projects/ ).
 .
 This package contains tools tp convert StarOffice documents to RAW, SVG, Text,
 CSV and HTML.

Package: libstarpu-1.3-2
Description-md5: 6e4e8db53b47fb942bef212def2a1e22
Description-en: Task scheduler for heterogeneous multicore machines
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains the main StarPU library

Package: libstarpu-dev
Description-md5: 967c103c8b3cf3662ee291f422fa5be6
Description-en: Task scheduler for heterogeneous multicore machines - dev
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains development headers and libraries.

Package: libstarpufft-1.3-1
Description-md5: a1e84fcd5b7aa1a04d6c8089b0a0ecef
Description-en: Task scheduler for heterogeneous multicore machines
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains a hybrid CPU+GPU FFT library.

Package: libstarpumpi-1.3-1
Description-md5: a7034f989f1ae2e40b4db1b5bb58bd47
Description-en: Task scheduler for heterogeneous multicore machines
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains MPI extensions for StarPU.

Package: libstat-lsmode-perl
Description-md5: f157b0ea42434e7c7695baadb3581735
Description-en: Perl module to format file modes like ls -l
 Stat::lsMode provides Perl functions to format file modes in the same way
 that ls -l does (into strings like drwxr-xr-x, for example).  It handles
 all the expected variations, including setuid, setgid, and sticky bits
 and special file types.

Package: libstatgen-dev
Description-md5: 848d5379e0a82db9565c6544f0a3f9bd
Description-en: development files for the libStatGen
 libStatGen is a library for statistical genetic programs. It includes some:
 A. General Operation Classes including: File/Stream I/O, String processing
  and Parameter Parsing.
 B. Statistical Genetic Specific Classes including: Handling Common file
  formats (Accessors to get/set values, Indexed access to BAM files) and
  some utility classes, including: 1. Cigar: interpretation and mapping
  between query and reference. 2. Pileup: structured access to data by
  individual reference position.
 .
 This package provides the development files for libstatgen.

Package: libstatgen-doc
Description-md5: 34d494f4b3e926df95dbdb069fa5cf0b
Description-en: documentation files for the libStatGen
 libStatGen is a library for statistical genetic programs. It includes some:
 A. General Operation Classes including: File/Stream I/O, String processing
  and Parameter Parsing.
 B. Statistical Genetic Specific Classes including: Handling Common file
  formats (Accessors to get/set values, Indexed access to BAM files) and
  some utility classes, including: 1. Cigar: interpretation and mapping
  between query and reference. 2. Pileup: structured access to data by
  individual reference position.
 .
 This package provides the documentation files for libstatgen.

Package: libstatgen0
Description-md5: 55ae60427beffdb36962b828f3883bac
Description-en: processing and analyzing next generation sequencing and genotyping data library
 libStatGen is a library for statistical genetic programs. It includes some:
 A. General Operation Classes including: File/Stream I/O, String processing
  and Parameter Parsing.
 B. Statistical Genetic Specific Classes including: Handling Common file
  formats (Accessors to get/set values, Indexed access to BAM files) and
  some utility classes, including: 1. Cigar: interpretation and mapping
  between query and reference. 2. Pileup: structured access to data by
  individual reference position.
 .
 This package provides the shared library.

Package: libstatistics-basic-perl
Description-md5: b493d486cab10ca7596211feb6241b16
Description-en: collection of very basic statistics modules
 Statistics::Basic is a collection of very basic statistics modules. It
 includes modules to find the correlation between two arrays, find the
 covariance between two arrays, find the least square fit for two arrays,
 find the mean of an array, find the median of an array, find the mode of
 an array, find the standard deviation of an array, and find the variance
 of an array.

Package: libstatistics-contingency-perl
Description-md5: fb9c95544aae6c91a869d4cddad75fa1
Description-en: module to calculate precision, recall, F1, accuracy, etc.
 The Statistics::Contingency class helps you calculate several useful
 statistical measures based on 2x2 "contingency tables". These measures
 can help judge the results of automatic text categorization experiments, but
 they are useful in other situations as well.
 .
 The general usage flow is to tally a whole bunch of results in the
 Statistics::Contingency object, then query that object to obtain the measures
 you are interested in. When all results have been collected, you can get a
 report on accuracy, precision, recall, F1, and so on, with both
 macro-averaging and micro-averaging over categories.

Package: libstatistics-descriptive-perl
Description-md5: fcee8f4ac73c1aa6d4ebf1ef4e5367dc
Description-en: Perl module for basic descriptive statistical functions
 Statistics::Descriptive provides basic functions used in descriptive
 statistics. It has an object oriented design and supports two
 different types of data storage and calculation objects: sparse and
 full. With the sparse method, none of the data is stored and only a
 few statistical measures are available. Using the full method, the
 entire data set is retained and additional functions are available.

Package: libstatistics-distributions-perl
Description-md5: 4af2804a096d21f5ee500f3c5bba4181
Description-en: module for calculating some values of common statistical distributions
 Statistics::Distributions calculates percentage points (5 significant digits)
 of the u (standard normal) distribution, the student's t distribution, the
 chi-square distribution and the F distribution. It can also calculate the upper
 probability (5 significant digits) of the u (standard normal), the
 chi-square, the t and the F distribution. These critical values are needed to
 perform statistical tests, like the u test, the t test, the F test and the
 chi-squared test, and to calculate confidence intervals.
 .
 If you are interested in more precise algorithms you could look at:
 .
 StatLib: http://lib.stat.cmu.edu/apstat/ ;
 .
 Applied Statistics Algorithms by Griffiths, P. and Hill, I.D., Ellis Horwood:
 Chichester (1985)

Package: libstatistics-linefit-perl
Description-md5: 26510d820fc7b89105ba3a038653534d
Description-en: least squares line fit module for Perl
 Statistics::Linefit produces a least squares line fit, either
 weighted or unweighted.  It borrows some ideas from Statistics::OLS,
 but is faster, more robust, has better code, and it allows for
 weighted or unweighted regression.

Package: libstatistics-lite-perl
Description-md5: e520f94715c866550150d83d4b90b36e
Description-en: Perl module for simple statistical analysis
 Statistics::Lite is a lightweight, functional alternative to larger, more
 complete, object-oriented statistics packages. As such, it is likely to be
 better suited, in general, to smaller data sets.
 .
 When you just want something to give some very basic, high-school-level
 statistical values, without having to set up and populate an object first,
 this module may be useful.

Package: libstatistics-normality-perl
Description-md5: dc6ccfa7a62c9da7efc61f5abc14d55a
Description-en: module for testing normal distribution of data
 Various situations call for testing whether an empirical sample can be
 presumed to have been drawn from a normally (Gaussian) distributed
 population, especially because many downstream significance tests depend upon
 the assumption of normality. Statistics::Normality implements some of the
 more well-known normality tests from the mathematical statistics literature,
 though there are also others that are not included. The tests here are all
 so-called omnibus tests that find departures from normality on the basis of
 skewness and/or kurtosis.
 .
 Note that, although the Kolmogorov-Smirnov test can also be used in this
 capacity, it is a distance test and therefore not advisable. This, and other
 distance tests (e.g. Chi-square) are not implemented here.

Package: libstatistics-online-perl
Description-md5: 6966eed6599fd9ccc3ec76b59657b3a6
Description-en: module to perform statistic operations on large datasets
 Statistics::OnLine is a pure Perl implementation of the on-line algorithm to
 produce statistics on large datasets which, typically, could not fit the memory
 of the machine, e.g. a stream of data from the network.

Package: libstatistics-r-perl
Description-md5: 8b69be5b717dffc1f367b98bcdf149e1
Description-en: Control the R interpreter with perl
 Statistics::R is a module to controls the R interpreter (R project
 for statistical computing: http://www.r-project.org/). It lets you
 start R, pass commands to it and retrieve the output. A shared mode
 allow to have several instances of Statistics::R talk to the same R
 process.
 .
 The current Statistics::R implementation uses pipes (for stdin,
 stdout and stderr) to communicate with R. This implementation should
 be more efficient and reliable than that in previous version, which
 relied on reading and writing files.

Package: libstatistics-test-randomwalk-perl
Description-md5: 0a9515efff02e9217a874cd757365a81
Description-en: module to perform a Random Walk statistical test
 Statistics::Test::RandomWalk implements a Random Walk test of a random number
 generator as outlined in Blobel et al. Basically, it tests that the numbers
 (in the interval between 0 and 1) generated by a random number generator are
 distributed evenly. It divides [0,1] into n evenly sized bins and calculates
 the number of expected and actual random numbers in the bin. (In fact, this
 counts the cumulated numbers, but that works the same.)

Package: libstatistics-test-sequence-perl
Description-md5: 85eaadb0cb6fbde9049cec0e67305571
Description-en: Perl module that tests correlation of random numbers
 Statistics::Test::Sequence implements a sequence correlation test for random
 number generators. It shows pairwise correlation between subsequent random
 numbers.
 .
 It performs this analysis using an algorithm published in: Blobel, V., and
 Lohrmann, E. Statistische und numerische Methoden der Datenanalyse. Stuttgart,
 Leipzig: Teubner, 1998

Package: libstatistics-welford-perl
Description-md5: 03cc815c688796aaf86524cb272d2d74
Description-en: Standard statistics using Welford's algorithm
 Statistics::Welford provides standard statistics (mean value,
 variance, standard deviation, minimum and maximum value as well as
 the number of elements) using Welford's algorithm.
 .
 Welford's algorithm is much more resource efficient compared to the
 naïve algorithm implemented in e.g. Statistics::Basic::StdDev or
 Catmandu::Fix::stat_stddev. It especially does not need to load all
 values into RAM at the same time, i.e. does not require an array
 containing all values.
 .
 See also
 https://en.wikipedia.org/wiki/Variance/Algorithm#Online_algorithm

Package: libstax-ex-java
Description-md5: 7329ed197485eb0f6ca75685644dbebc
Description-en: Extended StAX API
 This project develops a few extensions to complement JSR-173 StAX API in the
 following area.
 .
  * Enable parser instance reuse (which is important in the
    high-performance environment like JAXB and JAX-WS)
  * Improve the support for reading from non-text XML infoset,
    such as FastInfoset.
  * Improve the namespace support.

Package: libstax-java
Description-md5: b5d713edc04b0457f337dcf9252d0927
Description-en: StAX Reference Implementation (RI)
 StAX is a standard XML processing API that allows you to stream XML data
 from and to your application. This StAX implementation is the standard
 pull parser implementation for JSR-173 specification.

Package: libstax-java-doc
Description-md5: 64b9f537cecefed241b1c6273f727a1f
Description-en: Javadoc API for libstax-java
 StAX is a standard XML processing API that allows you to stream XML data
 from and to your application. This StAX implementation is the standard
 pull parser implementation for JSR-173 specification.
 .
 This package provides the Javadoc API for StAX.

Package: libstax2-api-java
Description-md5: 4da95c6001e24c6d3d589da87c807c9c
Description-en: Extension to StAX API for parsing XML documents
 StAX 2 is an extension to StAX (Streaming API for XML), a Java API to read
 and write XML documents. It adds some features over the original API,
 such as typed access API and read/write validation (with pluggable
 validators).

Package: libstb-dev
Description-md5: 65bafbc722bdd0c3a34e68e21e60e33e
Description-en: single-file public domain (or MIT licensed) libraries - development headers
 It includes the following modules:
  * stb_vorbis.c: decode ogg vorbis files from file/memory to float/16-bit
    signed output
  * stb_image.h: image loading/decoding from file/memory: JPG, PNG, TGA, BMP,
    PSD, GIF, HDR, PIC
  * stb_truetype.h: parse, decode, and rasterize characters from truetype fonts
  * stb_image_write.h: image writing to disk: PNG, TGA, BMP
  * stb_image_resize.h: resize images larger/smaller with good quality
  * stb_rect_pack.h: simple 2D rectangle packer with decent quality
  * stb_sprintf.h: fast sprintf, snprintf for C/C++
  * stretchy_buffer.h: typesafe dynamic array for C (i.e. approximation to
    vector<>), doesn't compile as C++
  * stb_textedit.h: guts of a text editor for games etc implementing them from
    scratch
  * stb_voxel_render.h: Minecraft-esque voxel rendering "engine" with many more
    features
  * stb_dxt.h: Fabian "ryg" Giesen's real-time DXT compressor
  * stb_perlin.h: revised Perlin noise (3D input, 1D output)
  * stb_easy_font.h: quick-and-dirty easy-to-deploy bitmap font for printing
    frame rate, etc
  * stb_tilemap_editor.h: embeddable tilemap editor
  * stb_herringbone_wang_tile.h: herringbone Wang tile map generator
  * stb_c_lexer.h: simplify writing parsers for C-like languages
  * stb_divide.h: more useful 32-bit modulus e.g. "euclidean divide"
  * stb_connected_components.h: incrementally compute reachability on grids
  * stb.h: helper functions for C, mostly redundant in C++; basically author's
    personal stuff
  * stb_leakcheck.h: quick-and-dirty malloc/free leak-checking
 .
 This package contains the development files.

Package: libstb0
Description-md5: abc6b130b584d46d9d10955a33fe9caf
Description-en: single-file public domain (or MIT licensed) libraries for C/C++
 It includes the following modules:
  * stb_vorbis.c: decode ogg vorbis files from file/memory to float/16-bit
    signed output
  * stb_image.h: image loading/decoding from file/memory: JPG, PNG, TGA, BMP,
    PSD, GIF, HDR, PIC
  * stb_truetype.h: parse, decode, and rasterize characters from truetype fonts
  * stb_image_write.h: image writing to disk: PNG, TGA, BMP
  * stb_image_resize.h: resize images larger/smaller with good quality
  * stb_rect_pack.h: simple 2D rectangle packer with decent quality
  * stb_sprintf.h: fast sprintf, snprintf for C/C++
  * stretchy_buffer.h: typesafe dynamic array for C (i.e. approximation to
    vector<>), doesn't compile as C++
  * stb_textedit.h: guts of a text editor for games etc implementing them from
    scratch
  * stb_voxel_render.h: Minecraft-esque voxel rendering "engine" with many more
    features
  * stb_dxt.h: Fabian "ryg" Giesen's real-time DXT compressor
  * stb_perlin.h: revised Perlin noise (3D input, 1D output)
  * stb_easy_font.h: quick-and-dirty easy-to-deploy bitmap font for printing
    frame rate, etc
  * stb_tilemap_editor.h: embeddable tilemap editor
  * stb_herringbone_wang_tile.h: herringbone Wang tile map generator
  * stb_c_lexer.h: simplify writing parsers for C-like languages
  * stb_divide.h: more useful 32-bit modulus e.g. "euclidean divide"
  * stb_connected_components.h: incrementally compute reachability on grids
  * stb.h: helper functions for C, mostly redundant in C++; basically author's
    personal stuff
  * stb_leakcheck.h: quick-and-dirty malloc/free leak-checking

Package: libstd-msgs-dev
Description-md5: 1ae300dd225e06a6aa793b457b410e56
Description-en: C/C++ headers for Standard Robot OS Messages
 This package is part of Robot OS (ROS). It contains development C++
 headers for the ROS std_msgs library. This library provides wrappers
 for ROS primitive types, which are documented in the msg
 specification. It also contains the Empty type, which is useful for
 sending an empty signal.

Package: libstd-rust-1.41
Description-md5: 6ce316b8b522dda49e8dcffc4eff4eb5
Description-en: Rust standard libraries
 Rust is a curly-brace, block-structured expression language.  It
 visually resembles the C language family, but differs significantly
 in syntactic and semantic details.  Its design is oriented toward
 concerns of "programming in the large", that is, of creating and
 maintaining boundaries - both abstract and operational - that
 preserve large-system integrity, availability and concurrency.
 .
 It supports a mixture of imperative procedural, concurrent actor,
 object-oriented and pure functional styles.  Rust also supports
 generic programming and meta-programming, in both static and dynamic
 styles.
 .
 This package contains the standard Rust libraries, built as dylibs.

Package: libstd-rust-dev
Description-md5: d389d9d21943bf89cfd4a490c38752f2
Description-en: Rust standard libraries - development files
 Rust is a curly-brace, block-structured expression language.  It
 visually resembles the C language family, but differs significantly
 in syntactic and semantic details.  Its design is oriented toward
 concerns of "programming in the large", that is, of creating and
 maintaining boundaries - both abstract and operational - that
 preserve large-system integrity, availability and concurrency.
 .
 It supports a mixture of imperative procedural, concurrent actor,
 object-oriented and pure functional styles.  Rust also supports
 generic programming and meta-programming, in both static and dynamic
 styles.
 .
 This package contains development files necessary to use the standard
 Rust libraries.

Package: libstd-rust-dev-wasm32-cross
Description-md5: 717d546ee1f6e73daa506806a81c1790
Description-en: Rust standard libraries - development files
 Rust is a curly-brace, block-structured expression language.  It
 visually resembles the C language family, but differs significantly
 in syntactic and semantic details.  Its design is oriented toward
 concerns of "programming in the large", that is, of creating and
 maintaining boundaries - both abstract and operational - that
 preserve large-system integrity, availability and concurrency.
 .
 It supports a mixture of imperative procedural, concurrent actor,
 object-oriented and pure functional styles.  Rust also supports
 generic programming and meta-programming, in both static and dynamic
 styles.
 .
 This package contains development files necessary to use the standard
 Rust libraries, for cross-compiling to the wasm32-unknown-unknown target.

Package: libstd-srvs-dev
Description-md5: cb35c070c279ff042cb2d158ea574ff0
Description-en: Robot OS Common service definitions
 This package is part of Robot OS (ROS). It contains common service
 definitions.
 .
 This package contains C++ development files for common service definitions.

Package: libstdc++-10-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libstdc++-10-dev-alpha-cross
Description-md5: 0e691d175b22e08f5fb4ec06ccfa6661
Description-en: GNU Standard C++ Library v3 (development files) (alpha)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-amd64-cross
Description-md5: 6d3f657fb824f1fb9fc979f0e961ef54
Description-en: GNU Standard C++ Library v3 (development files) (amd64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-arm64-cross
Description-md5: b3cf592d4bba33e3b225bbffa19ad85f
Description-en: GNU Standard C++ Library v3 (development files) (arm64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for arm64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-armel-cross
Description-md5: ab3bbd18acc1427942e370921d69bba6
Description-en: GNU Standard C++ Library v3 (development files) (armel)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-armhf-cross
Description-md5: 5c94411e364c10e6c08261a0a964d7c8
Description-en: GNU Standard C++ Library v3 (development files) (armhf)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-hppa-cross
Description-md5: 3289c7de723a5f226a78565aee38eb5e
Description-en: GNU Standard C++ Library v3 (development files) (hppa)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-m68k-cross
Description-md5: 0829514fa6f25204a2a56f32093b5496
Description-en: GNU Standard C++ Library v3 (development files) (m68k)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-mips-cross
Description-md5: 05755abdfa063dbe6573ea1108a17f08
Description-en: GNU Standard C++ Library v3 (development files) (mips)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-mips64-cross
Description-md5: 9694eddaa25cad4ecadcdfd0b8aa42e8
Description-en: GNU Standard C++ Library v3 (development files) (mips64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-mips64el-cross
Description-md5: 268b16ec942125a360b59b2dbc0390ae
Description-en: GNU Standard C++ Library v3 (development files) (mips64el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-mips64r6-cross
Description-md5: 23c9b573fd21936f1b9a980cd440514a
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-mips64r6el-cross
Description-md5: 09da8031daea91b3223aac9f711bb4d3
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-mipsel-cross
Description-md5: 18aa08670926f6f48bc8b92686e377e2
Description-en: GNU Standard C++ Library v3 (development files) (mipsel)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-mipsr6-cross
Description-md5: 53054b833a4f7196e72ccfddf01b44e2
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-mipsr6el-cross
Description-md5: 7940ce18311e881b522f34469316cae3
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-powerpc-cross
Description-md5: 9fad388828b4fa14ecd808a58b88704b
Description-en: GNU Standard C++ Library v3 (development files) (powerpc)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-ppc64-cross
Description-md5: 9ed53ed2eade4c8b1ec98247d3d535f0
Description-en: GNU Standard C++ Library v3 (development files) (ppc64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-ppc64el-cross
Description-md5: 813d263957e8d32c052437fddcfd908e
Description-en: GNU Standard C++ Library v3 (development files) (ppc64el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64el architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-riscv64-cross
Description-md5: 4ece25cff1ca3aab6c0da4e011b01872
Description-en: GNU Standard C++ Library v3 (development files) (riscv64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-s390x-cross
Description-md5: 2e07343add09b92b671f67aa5b4d02b8
Description-en: GNU Standard C++ Library v3 (development files) (s390x)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-sh4-cross
Description-md5: 59250095b2d466277be2eb5637a5a157
Description-en: GNU Standard C++ Library v3 (development files) (sh4)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-sparc64-cross
Description-md5: 751105838e19d8219c75e6a4fcad4465
Description-en: GNU Standard C++ Library v3 (development files) (sparc64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-dev-x32-cross
Description-md5: 58857ed969230a807511ee5014cd839a
Description-en: GNU Standard C++ Library v3 (development files) (x32)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic
Description-md5: 1b398e74a3b20401a8669d8c6472c7cc
Description-en: GNU Standard C++ Library v3 (shared library subset kit)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.

Package: libstdc++-10-pic-alpha-cross
Description-md5: b02119c56e48ba28d32a337719642f4c
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (alpha)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-amd64-cross
Description-md5: 897213acb8110acaaf18974a2aad020d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (amd64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-arm64-cross
Description-md5: 66fcdb170ff1079926ce4091eed9507d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (arm64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for arm64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-armel-cross
Description-md5: 63f80af80be8c7aa9d2e4b0c8cce96d1
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (armel)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-armhf-cross
Description-md5: 8eeda6931545837207549183feb1ce3c
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (armhf)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-hppa-cross
Description-md5: 566fbbc443ff2965ab0534050aa962ae
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (hppa)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-i386-cross
Description-md5: 40a305b2bee28054790c436480373841
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (i386)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-m68k-cross
Description-md5: 67c2a93a5b3de0a479ddf2953824e9f9
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (m68k)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-mips-cross
Description-md5: 981341f0eb6bca19f0665b5ab12627d1
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-mips64-cross
Description-md5: 8bf191d852768a0cbcd2d04cd4d5d194
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-mips64el-cross
Description-md5: 473d3048bf773bebd8db3b819238630d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips64el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-mips64r6-cross
Description-md5: cbbcbd47340102026983bc8a32ffe170
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips64r6)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-mips64r6el-cross
Description-md5: 9c697415810a4e83063d2d4694d3773f
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips64r6el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-mipsel-cross
Description-md5: 55f2b216405d890c51bb81fe15dfa51f
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mipsel)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-mipsr6-cross
Description-md5: 29577bbfb82d4ecd270b606d17c3b36d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mipsr6)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-mipsr6el-cross
Description-md5: 88bac6ea567edf4a4188013495060a5c
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mipsr6el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-powerpc-cross
Description-md5: 8ec00688cdfad4bc2c12000de90bc2cf
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (powerpc)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-ppc64-cross
Description-md5: 5910789309872c0a41711802dd6397cd
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (ppc64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-ppc64el-cross
Description-md5: 64adb38721429df45745cd8ab9d9e5b5
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (ppc64el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for ppc64el architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-riscv64-cross
Description-md5: 467dfb9ab50e13cf46a473aa82cb2455
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (riscv64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-s390x-cross
Description-md5: b3f1e514f0051310da311f3db06238b4
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (s390x)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-sh4-cross
Description-md5: f7eb5c17cb48aca62d22c21ce777e6dd
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (sh4)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-sparc64-cross
Description-md5: 3d9a93eab2fa4ba7f17a3f281414de1d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (sparc64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-10-pic-x32-cross
Description-md5: 19bff1c161a1bd26e17d27fa01001347
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (x32)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdc++-7-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libstdc++-7-doc
Description-md5: 1a4b88b8d9f96dfb2ac2be1049b4db01
Description-en: GNU Standard C++ Library v3 (documentation files)
 This package contains documentation files for the GNU stdc++ library.
 .
 One set is the distribution documentation, the other set is the
 source documentation including a namespace list, class hierarchy,
 alphabetical list, compound list, file list, namespace members,
 compound members and file members.

Package: libstdc++-7-pic
Description-md5: 1b398e74a3b20401a8669d8c6472c7cc
Description-en: GNU Standard C++ Library v3 (shared library subset kit)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.

Package: libstdc++-8-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libstdc++-8-dev-alpha-cross
Description-md5: 0e691d175b22e08f5fb4ec06ccfa6661
Description-en: GNU Standard C++ Library v3 (development files) (alpha)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-amd64-cross
Description-md5: 6d3f657fb824f1fb9fc979f0e961ef54
Description-en: GNU Standard C++ Library v3 (development files) (amd64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-arm64-cross
Description-md5: b3cf592d4bba33e3b225bbffa19ad85f
Description-en: GNU Standard C++ Library v3 (development files) (arm64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for arm64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-armel-cross
Description-md5: ab3bbd18acc1427942e370921d69bba6
Description-en: GNU Standard C++ Library v3 (development files) (armel)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-armhf-cross
Description-md5: 5c94411e364c10e6c08261a0a964d7c8
Description-en: GNU Standard C++ Library v3 (development files) (armhf)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-hppa-cross
Description-md5: 3289c7de723a5f226a78565aee38eb5e
Description-en: GNU Standard C++ Library v3 (development files) (hppa)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-m68k-cross
Description-md5: 0829514fa6f25204a2a56f32093b5496
Description-en: GNU Standard C++ Library v3 (development files) (m68k)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-powerpc-cross
Description-md5: 9fad388828b4fa14ecd808a58b88704b
Description-en: GNU Standard C++ Library v3 (development files) (powerpc)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-ppc64-cross
Description-md5: 9ed53ed2eade4c8b1ec98247d3d535f0
Description-en: GNU Standard C++ Library v3 (development files) (ppc64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-ppc64el-cross
Description-md5: 813d263957e8d32c052437fddcfd908e
Description-en: GNU Standard C++ Library v3 (development files) (ppc64el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64el architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-riscv64-cross
Description-md5: 4ece25cff1ca3aab6c0da4e011b01872
Description-en: GNU Standard C++ Library v3 (development files) (riscv64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-s390x-cross
Description-md5: 2e07343add09b92b671f67aa5b4d02b8
Description-en: GNU Standard C++ Library v3 (development files) (s390x)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-sh4-cross
Description-md5: 59250095b2d466277be2eb5637a5a157
Description-en: GNU Standard C++ Library v3 (development files) (sh4)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-sparc64-cross
Description-md5: 751105838e19d8219c75e6a4fcad4465
Description-en: GNU Standard C++ Library v3 (development files) (sparc64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-dev-x32-cross
Description-md5: 58857ed969230a807511ee5014cd839a
Description-en: GNU Standard C++ Library v3 (development files) (x32)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-doc
Description-md5: 1a4b88b8d9f96dfb2ac2be1049b4db01
Description-en: GNU Standard C++ Library v3 (documentation files)
 This package contains documentation files for the GNU stdc++ library.
 .
 One set is the distribution documentation, the other set is the
 source documentation including a namespace list, class hierarchy,
 alphabetical list, compound list, file list, namespace members,
 compound members and file members.

Package: libstdc++-8-pic
Description-md5: 1b398e74a3b20401a8669d8c6472c7cc
Description-en: GNU Standard C++ Library v3 (shared library subset kit)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.

Package: libstdc++-8-pic-alpha-cross
Description-md5: b02119c56e48ba28d32a337719642f4c
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (alpha)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-amd64-cross
Description-md5: 897213acb8110acaaf18974a2aad020d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (amd64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-arm64-cross
Description-md5: 66fcdb170ff1079926ce4091eed9507d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (arm64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for arm64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-armel-cross
Description-md5: 63f80af80be8c7aa9d2e4b0c8cce96d1
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (armel)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-armhf-cross
Description-md5: 8eeda6931545837207549183feb1ce3c
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (armhf)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-hppa-cross
Description-md5: 566fbbc443ff2965ab0534050aa962ae
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (hppa)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-i386-cross
Description-md5: 40a305b2bee28054790c436480373841
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (i386)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-m68k-cross
Description-md5: 67c2a93a5b3de0a479ddf2953824e9f9
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (m68k)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-powerpc-cross
Description-md5: 8ec00688cdfad4bc2c12000de90bc2cf
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (powerpc)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-ppc64-cross
Description-md5: 5910789309872c0a41711802dd6397cd
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (ppc64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-ppc64el-cross
Description-md5: 64adb38721429df45745cd8ab9d9e5b5
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (ppc64el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for ppc64el architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-riscv64-cross
Description-md5: 467dfb9ab50e13cf46a473aa82cb2455
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (riscv64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-s390x-cross
Description-md5: b3f1e514f0051310da311f3db06238b4
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (s390x)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-sh4-cross
Description-md5: f7eb5c17cb48aca62d22c21ce777e6dd
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (sh4)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-sparc64-cross
Description-md5: 3d9a93eab2fa4ba7f17a3f281414de1d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (sparc64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-8-pic-x32-cross
Description-md5: 19bff1c161a1bd26e17d27fa01001347
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (x32)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-alpha-cross
Description-md5: 0e691d175b22e08f5fb4ec06ccfa6661
Description-en: GNU Standard C++ Library v3 (development files) (alpha)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-amd64-cross
Description-md5: 6d3f657fb824f1fb9fc979f0e961ef54
Description-en: GNU Standard C++ Library v3 (development files) (amd64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-armel-cross
Description-md5: ab3bbd18acc1427942e370921d69bba6
Description-en: GNU Standard C++ Library v3 (development files) (armel)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-hppa-cross
Description-md5: 3289c7de723a5f226a78565aee38eb5e
Description-en: GNU Standard C++ Library v3 (development files) (hppa)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-m68k-cross
Description-md5: 0829514fa6f25204a2a56f32093b5496
Description-en: GNU Standard C++ Library v3 (development files) (m68k)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-mips-cross
Description-md5: 05755abdfa063dbe6573ea1108a17f08
Description-en: GNU Standard C++ Library v3 (development files) (mips)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-mips64-cross
Description-md5: 9694eddaa25cad4ecadcdfd0b8aa42e8
Description-en: GNU Standard C++ Library v3 (development files) (mips64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-mips64el-cross
Description-md5: 268b16ec942125a360b59b2dbc0390ae
Description-en: GNU Standard C++ Library v3 (development files) (mips64el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-mips64r6-cross
Description-md5: 23c9b573fd21936f1b9a980cd440514a
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-mips64r6el-cross
Description-md5: 09da8031daea91b3223aac9f711bb4d3
Description-en: GNU Standard C++ Library v3 (development files) (mips64r6el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-mipsel-cross
Description-md5: 18aa08670926f6f48bc8b92686e377e2
Description-en: GNU Standard C++ Library v3 (development files) (mipsel)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-mipsr6-cross
Description-md5: 53054b833a4f7196e72ccfddf01b44e2
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-mipsr6el-cross
Description-md5: 7940ce18311e881b522f34469316cae3
Description-en: GNU Standard C++ Library v3 (development files) (mipsr6el)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-powerpc-cross
Description-md5: 9fad388828b4fa14ecd808a58b88704b
Description-en: GNU Standard C++ Library v3 (development files) (powerpc)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-ppc64-cross
Description-md5: 9ed53ed2eade4c8b1ec98247d3d535f0
Description-en: GNU Standard C++ Library v3 (development files) (ppc64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-riscv64-cross
Description-md5: 4ece25cff1ca3aab6c0da4e011b01872
Description-en: GNU Standard C++ Library v3 (development files) (riscv64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-sh4-cross
Description-md5: 59250095b2d466277be2eb5637a5a157
Description-en: GNU Standard C++ Library v3 (development files) (sh4)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-sparc64-cross
Description-md5: 751105838e19d8219c75e6a4fcad4465
Description-en: GNU Standard C++ Library v3 (development files) (sparc64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-dev-x32-cross
Description-md5: 58857ed969230a807511ee5014cd839a
Description-en: GNU Standard C++ Library v3 (development files) (x32)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-alpha-cross
Description-md5: b02119c56e48ba28d32a337719642f4c
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (alpha)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-amd64-cross
Description-md5: 897213acb8110acaaf18974a2aad020d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (amd64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-arm64-cross
Description-md5: 66fcdb170ff1079926ce4091eed9507d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (arm64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for arm64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-armel-cross
Description-md5: 63f80af80be8c7aa9d2e4b0c8cce96d1
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (armel)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-armhf-cross
Description-md5: 8eeda6931545837207549183feb1ce3c
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (armhf)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-hppa-cross
Description-md5: 566fbbc443ff2965ab0534050aa962ae
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (hppa)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-i386-cross
Description-md5: 40a305b2bee28054790c436480373841
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (i386)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-m68k-cross
Description-md5: 67c2a93a5b3de0a479ddf2953824e9f9
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (m68k)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-mips-cross
Description-md5: 981341f0eb6bca19f0665b5ab12627d1
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-mips64-cross
Description-md5: 8bf191d852768a0cbcd2d04cd4d5d194
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-mips64el-cross
Description-md5: 473d3048bf773bebd8db3b819238630d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips64el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-mips64r6-cross
Description-md5: cbbcbd47340102026983bc8a32ffe170
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips64r6)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-mips64r6el-cross
Description-md5: 9c697415810a4e83063d2d4694d3773f
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mips64r6el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-mipsel-cross
Description-md5: 55f2b216405d890c51bb81fe15dfa51f
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mipsel)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-mipsr6-cross
Description-md5: 29577bbfb82d4ecd270b606d17c3b36d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mipsr6)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-mipsr6el-cross
Description-md5: 88bac6ea567edf4a4188013495060a5c
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (mipsr6el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-powerpc-cross
Description-md5: 8ec00688cdfad4bc2c12000de90bc2cf
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (powerpc)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-ppc64-cross
Description-md5: 5910789309872c0a41711802dd6397cd
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (ppc64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-ppc64el-cross
Description-md5: 64adb38721429df45745cd8ab9d9e5b5
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (ppc64el)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for ppc64el architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-riscv64-cross
Description-md5: 467dfb9ab50e13cf46a473aa82cb2455
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (riscv64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-s390x-cross
Description-md5: b3f1e514f0051310da311f3db06238b4
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (s390x)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-sh4-cross
Description-md5: f7eb5c17cb48aca62d22c21ce777e6dd
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (sh4)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-sparc64-cross
Description-md5: 3d9a93eab2fa4ba7f17a3f281414de1d
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (sparc64)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++-9-pic-x32-cross
Description-md5: 19bff1c161a1bd26e17d27fa01001347
Description-en: GNU Standard C++ Library v3 (shared library subset kit) (x32)
 This is used to develop subsets of the libstdc++ shared libraries for
 use on custom installation floppies and in embedded systems.
 .
 Unless you are making one of those, you will not need this package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdc++-arm-none-eabi-newlib
Description-md5: dc4e7e50fdfde2001f9f19b8a4b9bb8d
Description-en: GNU Standard C++ Library v3 for ARM Cortex-R/M processors (newlib)
 GNU Standard C++ library for embedded ARM chips using Cortex-M, and
 Cortex-R processors.
 .
 This library is built using newlib as standard C library.

Package: libstdc++5
Description-md5: 3a035c38e04ee5f6159a6f2530fadb7e
Description-en: The GNU Standard C++ Library v3
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 This package contains an old version of libstdc++ intended solely for
 compatibility with proprietary binaries that cannot be recompiled.

Package: libstdc++6-10-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libstdc++6-10-dbg-alpha-cross
Description-md5: 6cde10314b912c2178957251d2a42f50
Description-en: GNU Standard C++ Library v3 (debug build) (alpha)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-amd64-cross
Description-md5: 07c21f492e3f02130fb6a3185fa7511a
Description-en: GNU Standard C++ Library v3 (debug build) (amd64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-arm64-cross
Description-md5: 9df4ee912240a43a1f85f30cd99b1bbe
Description-en: GNU Standard C++ Library v3 (debug build) (arm64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for arm64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-armel-cross
Description-md5: b74ada7586fcf49790198c7aab2c3fe5
Description-en: GNU Standard C++ Library v3 (debug build) (armel)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-armhf-cross
Description-md5: 7e4a3bc52837008e0b4bfe305e4235c0
Description-en: GNU Standard C++ Library v3 (debug build) (armhf)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-hppa-cross
Description-md5: bbfabac6595863d9d8b2aa19321e92e1
Description-en: GNU Standard C++ Library v3 (debug build) (hppa)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-i386-cross
Description-md5: e54d2e29375497ecd66b27e9274a07a0
Description-en: GNU Standard C++ Library v3 (debug build) (i386)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-m68k-cross
Description-md5: f2941590e5d6949db3f08ded4d2b8993
Description-en: GNU Standard C++ Library v3 (debug build) (m68k)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-mips-cross
Description-md5: 6e9c58d4cd678e4a78fecfa36243e73f
Description-en: GNU Standard C++ Library v3 (debug build) (mips)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-mips64-cross
Description-md5: 282f559a9454c17f9bb24b2282fce503
Description-en: GNU Standard C++ Library v3 (debug build) (mips64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-mips64el-cross
Description-md5: f06dfcf951ffac7c0df17a21f605fdfe
Description-en: GNU Standard C++ Library v3 (debug build) (mips64el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-mips64r6-cross
Description-md5: 2b0ae6666da6caf3f44a5d26e69a4097
Description-en: GNU Standard C++ Library v3 (debug build) (mips64r6)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-mips64r6el-cross
Description-md5: c878fbe61b0478e9f5b5990ff99d7677
Description-en: GNU Standard C++ Library v3 (debug build) (mips64r6el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-mipsel-cross
Description-md5: 228f9b0e5c5d12c6f1b3ede6bee2b4c0
Description-en: GNU Standard C++ Library v3 (debug build) (mipsel)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-mipsr6-cross
Description-md5: 09986fe9cb4d1b99da8747f8c5d9fa81
Description-en: GNU Standard C++ Library v3 (debug build) (mipsr6)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-mipsr6el-cross
Description-md5: f7476d55bb4d0381dd137d55cf732bb8
Description-en: GNU Standard C++ Library v3 (debug build) (mipsr6el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-powerpc-cross
Description-md5: 1bca9e17bb543dcca1e3d6a742d48109
Description-en: GNU Standard C++ Library v3 (debug build) (powerpc)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-ppc64-cross
Description-md5: 63ddd8f846ac247debc54f517d86639d
Description-en: GNU Standard C++ Library v3 (debug build) (ppc64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-ppc64el-cross
Description-md5: 8bc8d5dab6268a0f3d74b173ff9229fb
Description-en: GNU Standard C++ Library v3 (debug build) (ppc64el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for ppc64el architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-riscv64-cross
Description-md5: e2f83ed8d7e07f16cdfe19927301bd90
Description-en: GNU Standard C++ Library v3 (debug build) (riscv64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-s390x-cross
Description-md5: f4f53b44d8ad2eaedde73442805cd8fb
Description-en: GNU Standard C++ Library v3 (debug build) (s390x)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-sh4-cross
Description-md5: 458bf17786f114de7c72571866123d89
Description-en: GNU Standard C++ Library v3 (debug build) (sh4)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-sparc64-cross
Description-md5: 34f72c42d2a8cc980ba5b3df641c0cf3
Description-en: GNU Standard C++ Library v3 (debug build) (sparc64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-10-dbg-x32-cross
Description-md5: ba27cf8c6183b2ee9015ed672f7ebf42
Description-en: GNU Standard C++ Library v3 (debug build) (x32)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdc++6-7-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libstdc++6-8-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libstdc++6-8-dbg-alpha-cross
Description-md5: 6cde10314b912c2178957251d2a42f50
Description-en: GNU Standard C++ Library v3 (debug build) (alpha)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-amd64-cross
Description-md5: 07c21f492e3f02130fb6a3185fa7511a
Description-en: GNU Standard C++ Library v3 (debug build) (amd64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-arm64-cross
Description-md5: 9df4ee912240a43a1f85f30cd99b1bbe
Description-en: GNU Standard C++ Library v3 (debug build) (arm64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for arm64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-armel-cross
Description-md5: b74ada7586fcf49790198c7aab2c3fe5
Description-en: GNU Standard C++ Library v3 (debug build) (armel)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-armhf-cross
Description-md5: 7e4a3bc52837008e0b4bfe305e4235c0
Description-en: GNU Standard C++ Library v3 (debug build) (armhf)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for armhf architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-hppa-cross
Description-md5: bbfabac6595863d9d8b2aa19321e92e1
Description-en: GNU Standard C++ Library v3 (debug build) (hppa)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-i386-cross
Description-md5: e54d2e29375497ecd66b27e9274a07a0
Description-en: GNU Standard C++ Library v3 (debug build) (i386)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-m68k-cross
Description-md5: f2941590e5d6949db3f08ded4d2b8993
Description-en: GNU Standard C++ Library v3 (debug build) (m68k)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-powerpc-cross
Description-md5: 1bca9e17bb543dcca1e3d6a742d48109
Description-en: GNU Standard C++ Library v3 (debug build) (powerpc)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-ppc64-cross
Description-md5: 63ddd8f846ac247debc54f517d86639d
Description-en: GNU Standard C++ Library v3 (debug build) (ppc64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-ppc64el-cross
Description-md5: 8bc8d5dab6268a0f3d74b173ff9229fb
Description-en: GNU Standard C++ Library v3 (debug build) (ppc64el)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for ppc64el architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-riscv64-cross
Description-md5: e2f83ed8d7e07f16cdfe19927301bd90
Description-en: GNU Standard C++ Library v3 (debug build) (riscv64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-s390x-cross
Description-md5: f4f53b44d8ad2eaedde73442805cd8fb
Description-en: GNU Standard C++ Library v3 (debug build) (s390x)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for s390x architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-sh4-cross
Description-md5: 458bf17786f114de7c72571866123d89
Description-en: GNU Standard C++ Library v3 (debug build) (sh4)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-sparc64-cross
Description-md5: 34f72c42d2a8cc980ba5b3df641c0cf3
Description-en: GNU Standard C++ Library v3 (debug build) (sparc64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-8-dbg-x32-cross
Description-md5: ba27cf8c6183b2ee9015ed672f7ebf42
Description-en: GNU Standard C++ Library v3 (debug build) (x32)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdc++6-9-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libstdc++6-alpha-cross
Description-md5: 77d6f08df433332036055863b9ef7da1
Description-en: GNU Standard C++ Library v3 (alpha)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for alpha architecture, for use in cross-compile
 environment.

Package: libstdc++6-amd64-cross
Description-md5: 5e49ca869389309d7a1689d9c5c97e1a
Description-en: GNU Standard C++ Library v3 (amd64)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-armel-cross
Description-md5: 3145e34b663c25d8c84322e1ca966066
Description-en: GNU Standard C++ Library v3 (armel)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for armel architecture, for use in cross-compile
 environment.

Package: libstdc++6-hppa-cross
Description-md5: 23d2ef2a94443af687c0fb81a3fab323
Description-en: GNU Standard C++ Library v3 (hppa)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for hppa architecture, for use in cross-compile
 environment.

Package: libstdc++6-i386-cross
Description-md5: 28e5bee6794a19d1c3c53f77739d091f
Description-en: GNU Standard C++ Library v3 (i386)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libstdc++6-m68k-cross
Description-md5: 86c0319c80b8069fd15ae0b53e3afd64
Description-en: GNU Standard C++ Library v3 (m68k)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for m68k architecture, for use in cross-compile
 environment.

Package: libstdc++6-mips-cross
Description-md5: f169c6452bc6c9c9a841f12b6d509f48
Description-en: GNU Standard C++ Library v3 (mips)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips architecture, for use in cross-compile
 environment.

Package: libstdc++6-mips64-cross
Description-md5: b7018fb902644ec9c1bc435e656ca004
Description-en: GNU Standard C++ Library v3 (mips64)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-mips64el-cross
Description-md5: f44f153acf0738c498694cf7a5ec9926
Description-en: GNU Standard C++ Library v3 (mips64el)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64el architecture, for use in cross-compile
 environment.

Package: libstdc++6-mips64r6-cross
Description-md5: 1415ddd4684985d7796518a5bbdae431
Description-en: GNU Standard C++ Library v3 (mips64r6)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6 architecture, for use in cross-compile
 environment.

Package: libstdc++6-mips64r6el-cross
Description-md5: 5a4afc830384f08a84d3c27234ea1b6e
Description-en: GNU Standard C++ Library v3 (mips64r6el)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mips64r6el architecture, for use in cross-compile
 environment.

Package: libstdc++6-mipsel-cross
Description-md5: e660a54a60eb027be986f95203194b95
Description-en: GNU Standard C++ Library v3 (mipsel)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsel architecture, for use in cross-compile
 environment.

Package: libstdc++6-mipsr6-cross
Description-md5: b9aba3e09ea4bc6974bb6bd9d093a395
Description-en: GNU Standard C++ Library v3 (mipsr6)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6 architecture, for use in cross-compile
 environment.

Package: libstdc++6-mipsr6el-cross
Description-md5: b676078a3f2eea2422d683e3f226c0d9
Description-en: GNU Standard C++ Library v3 (mipsr6el)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for mipsr6el architecture, for use in cross-compile
 environment.

Package: libstdc++6-powerpc-cross
Description-md5: 918ab370246d860a81b1fef587175b0a
Description-en: GNU Standard C++ Library v3 (powerpc)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for powerpc architecture, for use in cross-compile
 environment.

Package: libstdc++6-ppc64-cross
Description-md5: f6e15964395d8d9cd0b6b1fd48c51c45
Description-en: GNU Standard C++ Library v3 (ppc64)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for ppc64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-riscv64-cross
Description-md5: c2263126b51b2daf86c1979a285ea4de
Description-en: GNU Standard C++ Library v3 (riscv64)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for riscv64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-sh4-cross
Description-md5: 01c1db71e5e4ff0203bfb90f345f7bdd
Description-en: GNU Standard C++ Library v3 (sh4)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sh4 architecture, for use in cross-compile
 environment.

Package: libstdc++6-sparc64-cross
Description-md5: 4657c40108e08136b88fd48ddf2f08cb
Description-en: GNU Standard C++ Library v3 (sparc64)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for sparc64 architecture, for use in cross-compile
 environment.

Package: libstdc++6-x32-cross
Description-md5: 2e5c14095fc6a9fdeefc42e23ca1100d
Description-en: GNU Standard C++ Library v3 (x32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for x32 architecture, for use in cross-compile
 environment.

Package: libstdcompat-ocaml
Description-md5: ed4bf9d8df50ea74cb4cd6e98272349a
Description-en: compatibility module for OCaml standard library (runtime)
 Stdcompat is a compatibility layer allowing programs to use some
 recent additions to the OCaml standard library while preserving the
 ability to be compiled on former versions of OCaml.
 .
 The module Stdcompat provides some definitions for values and types
 introduced in recent versions of the standard library. These
 definitions are just aliases to the matching definition of the
 standard library if the latter is recent enough. Otherwise, the
 module Stdcompat provides an alternative implementation.
 .
 This package contains the runtime files.

Package: libstdcompat-ocaml-dev
Description-md5: 41ae184409cbfb093a5a96424cb69777
Description-en: compatibility module for OCaml standard library (development)
 Stdcompat is a compatibility layer allowing programs to use some
 recent additions to the OCaml standard library while preserving the
 ability to be compiled on former versions of OCaml.
 .
 The module Stdcompat provides some definitions for values and types
 introduced in recent versions of the standard library. These
 definitions are just aliases to the matching definition of the
 standard library if the latter is recent enough. Otherwise, the
 module Stdcompat provides an alternative implementation.
 .
 This package contains the development files.

Package: libstdio-ocaml
Description-md5: 5913a53b8efd1b5afee5ea5b91c3721c
Description-en: standard IO library for OCaml (runtime)
 Stdio implements simple input/output functionalities for OCaml.
 .
 It re-exports the input/output functions of the OCaml standard
 libraries using a more consistent API.
 .
 This package contains runtime files.

Package: libstdio-ocaml-dev
Description-md5: a74cfb8f752ad94a96b700361f9bcdcf
Description-en: standard IO library for OCaml (development)
 Stdio implements simple input/output functionalities for OCaml.
 .
 It re-exports the input/output functions of the OCaml standard
 libraries using a more consistent API.
 .
 This package contains development files.

Package: libstdx-allocator-dev
Description-md5: 9d069937360cd14478eeda0ad1c754cf
Description-en: High-level interface for allocators for D -- development files
 This D module implements bundled allocation/creation and
 destruction/deallocation of data including structs and classes,
 and also array primitives related to allocation.
 .
 The module is part of the D standard library as well, but has
 been split out into a separate library to allow using the most
 recent std.experimental.allocator with older D versions.
 .
 This package contains development files for the D programming language.

Package: libstdx-allocator0
Description-md5: 0d5c31bf3ae7d22377f63157c0b76770
Description-en: High-level interface for allocators for D
 This D module implements bundled allocation/creation and
 destruction/deallocation of data including structs and classes,
 and also array primitives related to allocation.
 .
 The module is part of the D standard library as well, but has
 been split out into a separate library to allow using the most
 recent std.experimental.allocator with older D versions.

Package: libstemmer-tools
Description-md5: 2c6bff0c1560b8df2510c16e2e7d9469
Description-en: Simple word stemming utility using Snowball
 Snowball provides access to efficient algorithms for calculating a
 "stemmed" form of a word.  This is a form with most of the common
 morphological endings removed; hopefully representing a common
 linguistic base form.  This is most useful in building search engines
 and information retrieval software; for example, a search with stemming
 enabled should be able to find a document containing "cycling" given the
 query "cycles".
 .
 Snowball provides algorithms for several (mainly European) languages.
 It also provides access to the classic Porter stemming algorithm for
 English: although this has been superseded by an improved algorithm, the
 original algorithm may be of interest to information retrieval
 researchers wishing to reproduce results of earlier experiments.
 .
 This package contains "stemwords", a simple utility for stemming words.

Package: libstencil-clojure
Description-md5: 94a99881e5692bf91868313dd549edc8
Description-en: Fast, compliant implementation of Mustache in Clojure
 Stencil is a Clojure implementation of Mustache, a template system.
 Mustache lacks of control flow statements such as if/else conditionals
 or for loops; it instead features section tag processing lists and
 lambdas. Mustache also enforces a strong separation of logic from
 presentation.

Package: libsteptalk-dev
Description-md5: 861dcdd2dd95c4e24044f4f7357b8c35
Description-en: GNUstep Scripting Framework (development files)
 StepTalk is a scripting framework for creating scriptable servers or
 applications.  StepTalk, when combined with the dynamism of the Objective-C
 language, goes way beyond mere scripting.
 .
 This package contains the development files and headers.

Package: libsteptalk0
Description-md5: 55bb8932d619b5f245c90da8442fcf99
Description-en: GNUstep Scripting Framework (library files)
 StepTalk is a scripting framework for creating scriptable servers or
 applications.  StepTalk, when combined with the dynamism of the Objective-C
 language, goes way beyond mere scripting.
 .
 This package contains the shared library.

Package: libstereo-msgs-dev
Description-md5: 85a7e15e1231305ee2bb310e0a721287
Description-en: Messages relating to Robot OS stereo, C/C++ interface
 This package is part of Robot OS (ROS), and provides messages
 specific to stereo processing, such as disparity images.
 .
 This package contains the generated headers files.

Package: libstfl-dev
Description-md5: 15b3e9d29849c03fd6930a7d0ac21393
Description-en: development files for libstfl
 stfl is a library which implements a curses-based widget set for text
 terminals.
 .
 This package contains the development files required to
 build software that uses libstfl.

Package: libstfl-perl
Description-md5: 2d0b62770ff7e66bfa761df7fd33023b
Description-en: Perl bindings for the structured terminal forms language/library
 stfl is a library which implements a curses-based widget set for text
 terminals.
 .
 This package contains the perl bindings to libstfl.

Package: libstfl-ruby
Description-md5: 94b34133aa2db4670ebf8f0aba20067d
Description-en: Ruby bindings for the structured terminal forms language/library
 stfl is a library which implements a curses-based widget set for text
 terminals.

Package: libstfl-ruby1.8
Description-md5: 2022067fce118de08e75684b86c52c69
Description-en: Ruby 1.8 bindings for the structured terminal forms language/library
 stfl is a library which implements a curses-based widget set for text
 terminals.
 .
 This is a transitional package and can be safely removed.

Package: libstfl-ruby1.9.1
Description-md5: a5b3484e6874930f894cf2e8969ee885
Description-en: Ruby 1.9.1 bindings for the structured terminal forms language/library
 stfl is a library which implements a curses-based widget set for text
 terminals.
 .
 This is a transitional package and can be safely removed.

Package: libstfl0
Description-md5: a94ebb3b6dd6c7b41d0717ff67e26eee
Description-en: structured terminal forms language/library
 stfl is a library which implements a curses-based widget set for text
 terminals.
 .
 This package contains the shared library for libstfl.

Package: libstk-4.6.1
Description-md5: 14523bee3924b22788cb838b40178948
Description-en: Sound Synthesis Toolkit
 The Sound Synthesis Toolkit is a C++ library with implementations
 of several sound synthesis algorithms, starting from Frequency
 Modulation, over Physical Modelling and others. It can be used
 as a library, but it also provides some nice software synthesizers.

Package: libstk-dev
Description-md5: 766dd07d3c57b5161412b34877275814
Description-en: Sound Synthesis Toolkit (development files)
 The Sound Synthesis Toolkit is a C++ library with implementations
 of several sound synthesis algorithms, starting from Frequency
 Modulation, over Physical Modelling and others. It can be used
 as a library, but it also provides some nice software synthesizers.
 .
 This package provides the development files for the sound synthesis toolkit.

Package: libstk0-dev
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: libstlink-dev
Description-md5: 036e2243fd3b7bbae3212c47b512ed82
Description-en: OpenSource ST-Link tools replacement.
 Flashing tools for STMicroelectronics STM32VL and STM32L. The transport layers
 STLINKv1 and STLINKv2 are supported.
 .
 This package contains the development files for stlink.

Package: libstlink1
Description-md5: 1314aad6e3dc1f12b1edd29bae5cf9a3
Description-en: OpenSource ST-Link tools replacement.
 Flashing tools for STMicroelectronics STM32VL and STM32L. The transport layers
 STLINKv1 and STLINKv2 are supported.
 .
 This package contains the shared library for stlink.

Package: libstockpile-clojure
Description-md5: fad138ec99f6db4e924c12e26d75b380
Description-en: Simple, durable Java queuing library
 A simple, durable Java queueing library. Stockpile supports the durable
 storage and retrieval of data. After storage, stockpile returns an "entry"
 that can be used to access the data later, and when no longer needed, the data
 can be atomically discarded.

Package: libstoken-dev
Description-md5: ebe564bbf204c1f09db9790ce81b5f48
Description-en: Software Token for cryptographic authentication - development files
 stoken is a software token which generates one-time passwords compatible
 with RSA SecurID 128-bit (AES) tokens.  SecurID tokens are commonly
 used to authenticate end users to protected network resources and VPNs,
 as OTPs provide greater resistance to many attacks associated with static
 passwords.
 .
 stoken aims to provide a Linux-friendly, free software alternative to the
 proprietary RSA SecurID Software Authenticators.
 .
 This package provides the headers and static libraries for libstoken.

Package: libstoken1
Description-md5: 9a69eac2dfc7880e8c0a23e5c094625a
Description-en: Software Token for cryptographic authentication - shared library
 stoken is a software token which generates one-time passwords compatible
 with RSA SecurID 128-bit (AES) tokens.  SecurID tokens are commonly
 used to authenticate end users to protected network resources and VPNs,
 as OTPs provide greater resistance to many attacks associated with static
 passwords.
 .
 stoken aims to provide a Linux-friendly, free software alternative to the
 proprietary RSA SecurID Software Authenticators.
 .
 This package provides a shared library allowing other applications, such
 as VPN clients and web browsers, to generate tokencodes.  This can improve
 both ease of use and security, as the user does not need to copy and paste
 tokencodes between applications.

Package: libstore-opaque-perl
Description-md5: 1399c5d3b154e8be87e5252821fa5dbf
Description-en: module for opaque objects to prevent accidental leaking
 Store::Opaque implements an opaque object implementation to avoid
 accidentially putting sensitive information into dumps or stack traces.
 .
 This module is not directly about security in the sense of preventing
 malicious action. It's about preventing mistakes that could turn out to be a
 security or compliance issue.

Package: libstorj-dev
Description-md5: 6f01bd63d17139c532fa79999b672c27
Description-en: C library for file transfer on Storj network (Development files)
 Asynchronous multi-platform C library for encrypted file transfer on  the Storj
 network.
 libstorj provides the following features:
  - Asynchronous I/O with concurrent peer-to-peer network requests for shards
  - Erasure encoding with reed solomon for data durability
  - Robust handling of shard transfers by selecting alternative sources
  - File integrity and authenticity verified with HMAC-SHA512
  - File encryption with AES-256-CTR
  - File name and bucket name encryption with AES -256 -GCM
  - Proxy support with SOCKS5, SOCKS4, SOCKS4a
  - Asynchronous progress updates in bytes per file
  - Transfers can be cleanly canceled per file
  - Seed based file encryption key for portability between devices
  - Reference implementation for SIP5 file standard
 .
 This package contains the development files for libjstorj.

Package: libstorj0
Description-md5: f5d8166e6ff90908c12de7f74a701709
Description-en: Asynchronous C library for file transfer on the Storj network
 Asynchronous multi-platform C library for encrypted file transfer on  the Storj
 network.
 libstorj provides the following features:
  - Asynchronous I/O with concurrent peer-to-peer network requests for shards
  - Erasure encoding with reed solomon for data durability
  - Robust handling of shard transfers by selecting alternative sources
  - File integrity and authenticity verified with HMAC-SHA512
  - File encryption with AES-256-CTR
  - File name and bucket name encryption with AES-256-GCM
  - Proxy support with SOCKS5, SOCKS4, SOCKS4a
  - Asynchronous progress updates in bytes per file
  - Transfers can be cleanly canceled per file
  - Seed based file encryption key for portability between devices
  - Reference implementation for SIP5 file standard

Package: libstorm-dev
Description-md5: e6cc254cebf54a92eb2e9c91c52e8d1d
Description-en: Library for accessing the MPQ archives (development files)
 The StormLib library is a pack of modules, written in C++, which are able to
 read and also to write files from/to the MPQ archives.
 .
 This package contains development files needed for building StormLib programs.

Package: libstorm9
Description-md5: aba21ba26e0d810af4a5d0db47d63cae
Description-en: Library for accessing the MPQ archives
 The StormLib library is a pack of modules, written in C++, which are able to
 read and also to write files from/to the MPQ archives.
 .
 This package contains StormLib runtime library needed for StormLib programs.

Package: libstream-buffered-perl
Description-md5: 1183e64cf1a7dfc5104e84462256203a
Description-en: temporary buffer to store strings in a seekable filehandle
 Stream::Buffered is a buffer class to store byte strings of arbitrary length
 and then get a seekable filehandle once everything is buffered. It uses PerlIO
 and/or a temporary file to save the buffer depending on the amount of bytes
 stored.

Package: libstream-java
Description-md5: 2cd68af9a5b3a1c618fdceded509bfb5
Description-en: library for summarizing data in streams
 A Java library for summarizing data in streams for which it is infeasible to
 store all events. More specifically, there are classes for estimating:
 cardinality (i.e. counting things); set membership; top-k elements and
 frequency. One particularly useful feature is that cardinality estimators with
 compatible configurations may be safely merged.

Package: libstreambuffer-java
Description-md5: 410e5695f59283b085a4e2892aa56b0e
Description-en: XML Stream Buffer
 A stream buffer is a stream-based representation of an XML
 info-set in Java. Stream buffers are designed to: provide
 very efficient stream-based memory representations of XML
 info-sets; and be created and processed using any Java-based
 XML API.
 .
 Conceptually a stream buffer is similar to the representation
 used in the Xerces deferred DOM implementation, with the crucial
 difference that a stream buffer does not store hierarchical
 information like parent and sibling information. The deferred
 DOM implementation reduces memory usage when large XML documents
 are parsed but only a subset of the document needs to be processed.
 (Note that using deferred DOM will be more expensive than
 non-deferred DOM in terms of memory and processing if all
 the document is traversed.)
 .
 Stream buffers may be used as an efficient alternative to DOM where:
 * most or all of an XML info-set will eventually get traversed; and/or
 * targeted access to certain parts of an XML info-set are required
   and need to be efficiently processed using stream-based APIs like
   SAX or StAX.

Package: libstreamex-java
Description-md5: 7e133acf3cf27dfa5577d356ff65e259
Description-en: Enhancing Java 8 Streams
 StreamEx defines four classes (StreamEx, IntStreamEx, LongStreamEx,
 DoubleStreamEx) which are fully compatible with Java 8 stream classes and
 provide many additional useful methods. Also the EntryStream class is
 provided which represents the stream of map entries and provides additional
 functionality for this case. Finally there are some new useful collectors
 defined in MoreCollectors class as well as primitive collectors concept.

Package: libstring-approx-perl
Description-md5: 86b530540768e6b008d1d09e295d4dfa
Description-en: Perl extension for approximate matching (fuzzy matching)
 String::Approx lets you match and substitute strings approximately.
 With this you can emulate errors: typing errors, spelling errors,
 closely related vocabularies (colour color), genetic mutations (GAG
 ACT), abbreviations (McScot, MacScot).

Package: libstring-bufferstack-perl
Description-md5: f6cd4129ea9f2042d4a1db3f77bcc4a0
Description-en: framework for storing nested buffers
 String::BufferStack provides some functions to store data into nested
 buffers. By default, all of the buffers flow directly to the output method,
 but individual levels of the stack can apply filters, or store their output
 in a scalar reference.

Package: libstring-camelcase-perl
Description-md5: c753af76702e10f8ec8dca01cf6cac8a
Description-en: Perl module to convert strings to and from CamelCase
 String::CamelCase provides functions to CamelCase and de-camelcase strings.
 Also includes wordsplit which returns a list of the words in the string,
 whether they are camelized or separated by underscores.

Package: libstring-compare-constanttime-perl
Description-md5: e17f3767ca24cd1dc8e2c67da9b0ba7e
Description-en: module for protecting string comparison from timing attacks
 String::Compare::ConstantTime provides one function, equals(), which takes
 two strings of the same length as arguments. It will return true if they are
 string-wise identical and false otherwise, just like eq. However, comparing
 any two differing strings will take a fixed amount of time, unlike eq.

Package: libstring-crc-cksum-perl
Description-md5: 435c1612bf1792a0e3c7e72b87ad8305
Description-en: Perl extension for calculating cksum-compatible checksums
 String::CRC::Cksum calculates a 32 bit CRC, generating the same
 CRC value as the POSIX cksum program. If called in a list context, returns
 the length of the data object as well, which is useful for fully emulating
 the cksum program. The returned checksum will always be a non-negative
 integral number in the range 0..2^32-1.

Package: libstring-crc32-perl
Description-md5: 4135c9b99fb423c84e57e56c324fbe15
Description-en: Perl interface for cyclic redundancy check generation
 The String::CRC32 module calculates CRC sums of 32 bit lengths.
 It generates the same CRC values as ZMODEM, PKZIP, PICCHECK and
 many others.
 .
 Despite its name, this module is able to compute the checksum of
 strings as well as of files.

Package: libstring-diff-perl
Description-md5: 4ea08238eeaca15403b1ec39d40db407
Description-en: simple diff for strings
 String::Diff compares two strings, and emphasizes changed hunks within
 the resulting diff.
 .
 The markup of the addition and the deletion can be freely changed, e.g.
 coloring for the terminal with ANSI, or using HTML for web usage.

Package: libstring-dirify-perl
Description-md5: f4b452816cd9cb192e000e6eca2a1d27
Description-en: utility converting string to a safe format
 String::Dirify converts a string (possibly containing high ASCII characters,
 and even HTML) into another, lower-cased, string which can be used as a
 directory name or URL.
 .
 The algorithm is as follows:
  * Each high ASCII character is replaced by its normal equivalent
  * The string is converted to lower case
  * Any HTML (including HTML entities) in the string is removed
  * Any characters which are not (Perl) words, spaces or hyphens, are removed
  * Runs of spaces are converted to the separator character

Package: libstring-elide-parts-perl
Description-md5: baec4ea105ec4161105425e447681029
Description-en: module for eliding strings with multiple parts of different priorities
 String::Elide::Parts is similar to other string eliding modules, with one
 main difference: it accepts string marked with parts of different priorities.
 The goal is to retain more important information as much as possible when
 length is reduced.

Package: libstring-errf-perl
Description-md5: 85b9d461e565c9b192402a8d8b35cf7a
Description-en: Perl module that provides a simple sprintf-like dialect
 String::Errf provides errf, a simple string formatter that works something
 like sprintf|perlfunc/sprintf. It is implemented using String::Formatter and
 Sub::Exporter. Their documentation may be useful in understanding or
 extending String::Errf.

Package: libstring-expand-perl
Description-md5: 512ffe22ae6b6306b87375eb93c73e29
Description-en: string utility functions for expanding variables in self-referential sets
 String::Expand implements utility functions for expanding embedded variables
 in a string. Variable references are embedded in strings in a similar form to
 the Bourne shell, namely, in the form $NAME or ${OTHER_NAME}. In the former
 case, the NAME must consist of a capital letter or underscore, and may be
 followed by zero or more capital letters, digits or underscores. In the
 latter case, the name can consist of any characters, but will be terminated
 by the first close brace character '}'.
 .
 This is especially useful if you want to expand environment variables
 inside strings.
 .
 The string may also contain literal dollar marks, escaped by \$, and literal
 escape marks, escaped by \\. These will be converted to $ and \ respectively
 on return.
 .
 While there are many other modules that also provide expansion such as this,
 this module provides the function expand_strings(), which will perform
 variable expansions in all the values in a given hash, where values can refer
 to other values within the same hash.

Package: libstring-flogger-perl
Description-md5: 5f4f31aa623fb4701198d5330802a043
Description-en: module to munge strings for loggers
 String::Flogger is a Perl module that allows developers to dynamically
 manipulate strings that should eventually get logged. It easily performs
 logging optimizations such as serializing data structures for display.

Package: libstring-format-perl
Description-md5: f381fc125420ef37876cbbf45161db1e
Description-en: module for flexible printf-like string formatting
 String::Format is a Perl module that allows developers to define arbitrary
 printf-like format sequences to be expanded, inspired by mutt's index_format
 and related directives. This module would be most useful in configuration
 files and reporting tools, where the results of a query need to be formatted
 in a particular way.

Package: libstring-formatter-perl
Description-md5: 5eeb43fea6d2d08a43fa014b6d0f31d9
Description-en: module to build sprintf-like formatting routines
 String::Formatter is a tool for building sprintf-like formatting routines. It
 supports named or positional formatting, custom conversions, fixed string
 interpolation, and simple width-matching out of the box. It is easy to alter
 its behavior to write new kinds of format string expanders. For most cases,
 it should be easy to build all sorts of formatters out of the options built
 into String::Formatter.

Package: libstring-glob-permute-perl
Description-md5: 819e6d6e909f2d98045cfeadaced2a22
Description-en: Expand {foo,bar,baz}[2-4] style string globs
 String::Glob::Permute provides a way to expand strings via glob-like
 notations and return a list of all possible permutations.

Package: libstring-interpolate-perl
Description-md5: ec52cddf8849bd1a340fbb260094101c
Description-en: wrapper for the builtin Perl interpolation engine
 String::Interpolate provides a neat interface to the solution to that
 perenial Perl problem - how to invoke the Perl string interpolation engine on
 a string contained in a scalar variable.
 .
 A String::Interpolate object encapsulates a string and a context in which it
 should be subjected to Perl interpolation. In the simplest, default, case the
 context is simply the namespace (package) from which the constructor was
 called.

Package: libstring-koremutake-perl
Description-md5: 453cce2d82132ed91599d00ff893ed3b
Description-en: Convert to/from Koremutake Memorable Random Strings
 The String::Koremutake module converts to and from Koremutake
 Memorable Random Strings.
 .
 The term "Memorable Random String" was thought up by Sean B. Palmer
 as a name for those strings like dopynl, glargen, glonknic,
 spoopwiddle, and kebble etc. that don't have any conventional sense,
 but can be used as random identifiers, especially in URIs to keep
 them persistent. See http://infomesh.net/2001/07/MeRS/
 .
 Koremutake is a MeRS algorithm which is used by Shorl
 (http://shorl.com/koremutake.php). As they explain: "It is, in plain
 language, a way to express any large number as a sequence of
 syllables. The general idea is that word-sounding pieces of
 information are a lot easier to remember than a sequence of digits."

Package: libstring-mkpasswd-perl
Description-md5: 7c19bd5c8ce1ea265289b288a3678d64
Description-en: Perl module implementing a random password generator
 String::MkPasswd defines a single function, mkpasswd(), to generate
 random passwords.  The function is meant to be a simple way for
 developers and system administrators to easily generate a relatively
 secure password.
 .
 The exportable mkpasswd() function returns a single scalar: a random
 password.  By default, this password is nine characters long with a
 random distribution of four lower-case characters, two upper-case
 characters, two digits, and one non-alphanumeric character.  These
 parameters can be tuned by the user, as described in the /"ARGUMENTS"
 section.

Package: libstring-parity-perl
Description-md5: dd2d96100cad48e38a1cbf532b375be8
Description-en: Perl library for parity functions
 String::Parity provides parity functions for working with even, odd, mark and
 space parity. Don't use this module unless you have to communicate with some
 old device or protocol.

Package: libstring-print-perl
Description-md5: 866c51bb1e9514a6821c9862b75540e1
Description-en: module providing (s)printf alternatives
 String::Print inserts values into (translated) strings.  It provides printf()
 and sprintf() alternatives via both an object oriented and a functional
 interface.

Package: libstring-random-perl
Description-md5: 87a61770f1320c94ff848a3d2acc3b08
Description-en: Perl module for generating random strings
 String::Random is used to generate random strings based on provided
 patterns. It was written to make generating random passwords and such
 a little easier.

Package: libstring-rewriteprefix-perl
Description-md5: c55d9764981ec000def24ef23490df42
Description-en: module to rewrite strings based on a set of known prefixes
 String::RewritePrefix rewrites all of the given strings based on a
 user-specified set of rules.

Package: libstring-scanf-perl
Description-md5: 49497a5f1ae8e03319a138a4197413c1
Description-en: module to implement C-like sscanf() in Perl
 String::Scanf supports scanning strings for data using formats similar to the
 libc/stdio sscanf().

Package: libstring-similarity-perl
Description-md5: 7fd4364d538bebe74f87e8b951003006
Description-en: Perl module for calculating the similarity of two strings
 String::Similarity calculates the similarity index of its two arguments.
 A value of 0 means that the strings are entirely different. A value
 of 1 means that the strings are identical. Everything else lies between
 0 and 1 and describes the amount of similarity between the strings.

Package: libstring-tagged-perl
Description-md5: 5edacb3c6de8d98c17a2ed4404eb1382
Description-en: string buffers with value tags on extents
 String::Tagged implements an object class, instances of which store a
 (mutable) string buffer that supports tags. A tag is a name/value pair that
 applies to some non-empty extent of the underlying string.
 .
 The types of tag names ought to be strings, or at least values that are
 well-behaved as strings, as the names will often be used as the keys in
 hashes or applied to the eq operator.
 .
 The types of tag values are not restricted - any scalar will do. This could
 be a simple integer or string, ARRAY or HASH reference, or even a CODE
 reference containing an event handler of some kind.
 .
 Tags may be arbitrarily overlapped. Any given offset within the string has in
 effect, a set of uniquely named tags. Tags of different names are
 independent. For tags of the same name, only the latest, shortest tag takes
 effect.

Package: libstring-template-maven-plugin-java
Description-md5: 36071947a0a28c4e9b74924bcac56f16
Description-en: StringTemplate Maven Plugin
 This plugin allows one to execute StringTemplate template files during a Maven
 build. The values for templates can come from static declarations or from a
 Java class specified to be executed.

Package: libstring-toidentifier-en-perl
Description-md5: f64c7020b4db342c4c1b23feffcd474c
Description-en: module to convert strings to English program identifiers
 String::ToIdentifier::EN is a Perl module that provides a utility to
 convert an arbitrary string into an identifier usable in a computer
 program. The intent is to make unique identifier names from which the
 content of the original string can be easily inferred by a human just
 by reading the identifier.
 .
 If you need the full set of alphanumeric caracters including Unicode,
 see the subclass String::ToIdentifier::EN::Unicode.
 .
 Currently, this process is one way only, and will likely remain this way.

Package: libstring-tokenizer-perl
Description-md5: f418e575fe22d78a7cce08a624b4abb0
Description-en: simple string tokenizer
 String::Tokenizer is a simple string tokenizer which takes a string and splits
 it on whitespace. It also optionally takes a string of characters to use as
 delimiters, and returns them with the token set as well. This allows for
 splitting the string in many different ways.
 .
 This is a very basic tokenizer, so more complex needs should be either
 addressed with a custom written tokenizer or post-processing of the output
 generated by this module. Basically, this will not fill everyones needs, but
 it spans a gap between simple split / /, $string and the other options that
 involve much larger and complex modules.
 .
 Also note that this is not a lexical analyser. Many people confuse
 tokenization with lexical analysis. A tokenizer mearly splits its input into
 specific chunks, a lexical analyzer classifies those chunks. Sometimes these
 two steps are combined, but not here.

Package: libstring-trim-more-perl
Description-md5: 36fd6f90619f72cadaca62bfb8a65436
Description-en: Perl module with various string trimming utilities
 String::Trim::More is an alternative to String::Trim (and similar modules).
 Instead of a single trim function, this module provides several from which you
 can choose on, depending on your needs.

Package: libstring-trim-perl
Description-md5: 15147bd63eaaf7a57334398f0f270b43
Description-en: trim whitespace from your strings
 String::Trim trims whitespace off your strings. chomp trims only $/
 (typically, that's newline), but trim will trim all leading and trailing
 whitespace.

Package: libstring-truncate-perl
Description-md5: 54360934fa8d6cb9d719bc32bb1b7eed
Description-en: Perl module to truncate long strings
 String::Truncate is a Perl module useful for truncating any long string
 sequence and marking the place where any text has been elided (deleted).
 You simply tell the module the maximum string width and where to elide
 strings (at the right side, left side, in the middle or at the ends). It
 also supports a customized marker for elisions, but defaults to the most
 common symbol, the ellipsis (...). It can also prefer to break strings at
 whitespace where possible.

Package: libstring-tt-perl
Description-md5: 89f6345cf379901595ea2622027941e8
Description-en: Perl module to use TT to interpolate lexical variables
 String::TT exports a "tt" function, which takes a TT (Template Toolkit)
 template as its argument. It uses the current lexical scope to resolve
 variable references.

Package: libstringtemplate-java
Description-md5: 079890d906eb214a33942454c5e32d45
Description-en: StringTemplate templating engine for Java
 StringTemplate is a java template engine for generating source code,
 web pages, emails, or any other formatted text output. StringTemplate
 is particularly good at multi-targeted code generators, multiple site
 skins, and internationalization/localization.
 .
 Its distinguishing characteristic is that it strictly enforces
 model-view separation unlike other engines.

Package: libstringtemplate4-java
Description-md5: f9a556af3776d35a98dfc0c1e86b1939
Description-en: StringTemplate templating engine for Java
 StringTemplate is a Java template engine for generating source code,
 web pages, emails, or any other formatted text output. StringTemplate
 is particularly good at multi-targeted code generators, multiple site
 skins, and internationalization/localization.
 .
 Its distinguishing characteristic is that unlike other engines,
 it strictly enforces model-view separation. Strict separation makes websites
 and code generators more flexible and maintainable; it also provides
 an excellent defense against malicious template authors.

Package: libstroke0
Description-md5: 56f1e49c95c1067ca8442f0e7bfe8b20
Description-en: mouse strokes library -- runtime files
 libstroke is a stroke interface library.  Strokes are motions
 of the mouse that can be interpreted by a program as a command. Strokes
 are common in CAD software such as gEDA and Mentor Graphics.
 fvwm can use mouse strokes to cause certain actions, just like button
 clicks.

Package: libstroke0-dev
Description-md5: f0273d39a5d089397e758871124c1834
Description-en: mouse strokes library -- development files
 libstroke is a stroke interface library.  Strokes are motions
 of the mouse that can be interpreted by a program as a command. Strokes
 are common in CAD software such as gEDA and Mentor Graphics.
 fvwm can use mouse strokes to cause certain actions, just like button
 clicks.
 .
 This package contains the header files needed for developing with this library.

Package: libstrongswan-extra-plugins
Description-md5: aadcee3bdfdcf3b5bfb74ef3a8d7c233
Description-en: strongSwan utility and crypto library (extra plugins)
 The strongSwan VPN suite uses the native IPsec stack in the standard
 Linux kernel. It supports both the IKEv1 and IKEv2 protocols.
 .
 This package provides extra plugins for the strongSwan utility and
 cryptographic library.
 .
 Included plugins are:
  - af-alg [linux] (AF_ALG Linux crypto API interface, provides
    ciphers/hashers/hmac/xcbc)
  - ccm (CCM cipher mode wrapper)
  - cmac (CMAC cipher mode wrapper)
  - ctr (CTR cipher mode wrapper)
  - curl (libcurl based HTTP/FTP fetcher)
  - curve25519 (support for Diffie-Hellman group 31 using Curve25519 and
    support for the Ed25519 digital signature algorithm for IKEv2)
  - gcrypt (Crypto backend based on libgcrypt, provides
    RSA/DH/ciphers/hashers/rng)
  - ldap (LDAP fetching plugin based on libldap)
  - ntru (key exchanged based on post-quantum computer NTRU)
  - padlock (VIA padlock crypto backend, provides AES128/SHA1)
  - pkcs11 (PKCS#11 smartcard backend)
  - rdrand (High quality / high performance random source using the Intel
    rdrand instruction found on Ivy Bridge processors)
  - test-vectors (Set of test vectors for various algorithms)
 .
 Also included is the libtpmtss library adding support for TPM plugin
 (https://wiki.strongswan.org/projects/strongswan/wiki/TpmPlugin)

Package: libstrophe-dev
Description-md5: 6cd25cbb495f967c8648ecdc7dad08f2
Description-en: Library for writing XMPP clients - development files
 libstrophe is a lightweight XMPP client library written in C.
 It has minimal dependencies and is configurable for various environments.
 .
 This package contains the development files.

Package: libstrophe0
Description-md5: 51b3da4908c7956a5002a69e692bd220
Description-en: Library for writing XMPP clients - shared library
 libstrophe is a lightweight XMPP client library written in C.
 It has minimal dependencies and is configurable for various environments.
 .
 This package contains the shared library.

Package: libstruct-compare-perl
Description-md5: 856ee9289829c1dc330032d3e9e77d1c
Description-en: Perl module providing recursive diff for perl structures
 Struct::Compare compares two values of any type and structure and returns
 true if they are the same. It does a deep comparison of the structures,
 so a hash of a hash of a whatever will be compared correctly.
 .
 This is especially useful for writing unit tests for your modules!

Package: libstxxl-dev
Description-md5: a744779e7a8787f613d0915361538a7e
Description-en: Development libraries for STXXL
 STXXL provides an STL replacement using an abstraction layer to
 storage devices to allow for the optimal layout of data structures. This
 allows for multi-terabyte datasets to be held and manipulated in standard
 C++ data structures, whilst abstracting the complexity of managing this
 behaviour efficiently. STXXL utilises multi-disk I/O to speed up
 I/O bound calculations. STXXL has been developed at the University
 of Karlsruhe.
 .
 Development libraries for STXXL, required for building programs that
 utilise the STXXL library

Package: libstxxl-doc
Description-md5: ceda35b18e5c6736429687f3705adc72
Description-en: Documentation for STXXL
 STXXL provides an STL replacement using an abstraction layer to
 storage devices to allow for the optimal layout of data structures. This
 allows for multi-terabyte datasets to be held and manipulated in standard
 C++ data structures, whilst abstracting the complexity of managing this
 behaviour efficiently. STXXL utilises multi-disk I/O to speed up
 I/O bound calculations. STXXL has been developed at the University
 of Karlsruhe.
 .
 Documentation for the STXXL library.

Package: libstxxl1-bin
Description-md5: ed3c15b15bc4c6f8160bbd762e53ad98
Description-en: STXXL File creation and benchmark tool
 STXXL provides an STL replacement using an abstraction layer to
 storage devices to allow for the optimal layout of data structures. This
 allows for multi-terabyte datasets to be held and manipulated in standard
 C++ data structures, whilst abstracting the complexity of managing this
 behaviour efficiently. STXXL utilises multi-disk I/O to speed up
 I/O bound calculations. STXXL has been developed at the University
 of Karlsruhe.
 .
 A benchmarking tool and STXXL on-disk file administration utility

Package: libstxxl1v5
Description-md5: 1b1e00519d4b847c7b31320d820d1da1
Description-en: C++ Standard Template Library for extra large datasets
 STXXL provides an STL replacement using an abstraction layer to
 storage devices to allow for the optimal layout of data structures. This
 allows for multi-terabyte datasets to be held and manipulated in standard
 C++ data structures, whilst abstracting the complexity of managing this
 behaviour efficiently. STXXL utilises multi-disk I/O to speed up
 I/O bound calculations. STXXL has been developed at the University
 of Karlsruhe.

Package: libstylebook-java
Description-md5: 5dd02f512ac13e913b6fa52879cb6fd5
Description-en: XML application for authoring web site content
 Stylebook is a way to convert a set of XML files into a proper web
 site with consistent navigation and layout.

Package: libstyx2
Description-md5: 8efc7c06045e1e2fc95046c30d87afdb
Description-en: runtime libraries for styx
 Dynamically linked programs containing lexical scanners or parsers
 developed with styx depend on this library.
 .
 It implements abstract grammar, LALR(1) parser and lexical scanner
 interfaces, hashed symbol tables and supporting data types.

Package: libsub-delete-perl
Description-md5: 7d10986bfcbae5d2fae3612cd05c89bf
Description-en: Perl module to delete subroutines
 Sub::Delete provides one function, delete_sub, that deletes the subroutine
 whose name is passed to it. (To load the module without importing the
 function, write use Sub::Delete();.)
 .
 This does more than simply undefine the subroutine in the manner of undef
 &foo, which leaves a stub that can trigger AUTOLOAD (and, consequently, won't
 work for deleting methods). The subroutine is completely obliterated from the
 symbol table (though there may be references to it elsewhere, including in
 compiled code).

Package: libsub-exporter-formethods-perl
Description-md5: db8a4a469b1f2cb2216ecf7bd6cd5e5c
Description-en: Sub::Exporter extension for handling methods
 Sub::Exporter::ForMethods is a Perl module that allows methods to be easily
 imported into your namespace. It also wraps method calls to a transformation
 routine, which inserts a named frame into stack traces to aid in debugging.

Package: libsub-exporter-globexporter-perl
Description-md5: 347f44df1445efd393b907a8a3070bd7
Description-en: module for exporting shared globs
 Sub::Exporter::GlobExporter is a Perl module that enables packages to share
 glob references with other modules. This allows your module to be subclassed,
 for the subclass to re-use the same glob when exporting, or to export a new
 one. This scheme provides extensibility by returning a collection validator,
 allowing arbitrary options to be passed to the globref locator.

Package: libsub-infix-perl
Description-md5: 71c681eb31705b7a0c366fa0960d7bf4
Description-en: create a fake infix operator
 Sub::Infix creates fake infix operators using overloading. It doesn't
 use source filters, or Devel::Declare, or any of that magic. (Though
 Devel::Declare isn't magic enough to define infix operators anyway; I
 know; I've tried.) It's pure Perl, has no non-core dependencies, and
 runs on Perl 5.8.

Package: libsub-info-perl
Description-md5: 53d6dcbcba866904978a825e423376b3
Description-en: helper module for inspecting subroutines
 Sub::Info is a tool to inspect subroutines. It returns various kinds of
 information about a subroutine, like its name, the file or package it was
 defined in, and line numbers.

Package: libsub-prototype-perl
Description-md5: 1cbddfed43dd32a25afbbcea0cd02300
Description-en: module to set a subroutine's prototype
 Sub::Prototype has only one function which is exported by default. The
 'set_prototype' function allows one to take a code reference and apply
 a subroutine prototype to it.

Package: libsub-recursive-perl
Description-md5: 15966177c71c0ad9a85e11f425ca7de1
Description-en: Perl module for memory-leak free recursive anonymous subroutines
 Recursive closures suffer from a severe memory leak. Sub::Recursive makes the
 problem go away cleanly and at the same time allows you to write recursive
 subroutines as expression and can make them truly anonymous. There's no
 significant speed difference between using &recursive and writing the simpler
 leaking solution.

Package: libsub-uplevel-perl
Description-md5: c17fcb34119603f2d9fa587afe3444b8
Description-en: module to spoof the Perl call stack
 Sub::Uplevel is a Perl module that provides a safe implementation similar to
 Tcl's uplevel() function. It allows you to safely fool the caller() into
 thinking it's in a different part of the stack, without knowing that it is
 being wrapped.

Package: libsub-wrappackages-perl
Description-md5: a9c98f73e0aafeacf37d1e7dadc34c86
Description-en: module to wrap subroutines in packages
 Sub::WrapPackages is a Perl module that can add pre- and post-execution code
 wrappers around some given subroutines. It is mostly a wrapper around Damian
 Conway's Hook::LexWrap module. Instead of exporting a wrap function, magic
 happens when you load the module via the "use" keyword.

Package: libsublib-cil
Description-md5: dcb133e94ceddb76318560064ac1e144
Description-en: CLI library that eases the development of subtitling applications
 SubLib supports the most common text-based subtitle formats and allows for
 subtitle editing, conversion and synchronization.
 .
 SubLib is written in C# and can be used in platforms like Mono or
 Microsoft .NET Framework.

Package: libsubtitleeditor-dev
Description-md5: d99453baefd1fef4be7dc7d337b36425
Description-en: subtitleeditor lib - development files
 Subtitle Editor is a GTK+3 tool to edit subtitles.  It can be used for new
 subtitles or as a tool to transform, edit, correct and refine existing
 subtitles.
 .
 This program also shows sound waves, which makes it easier to synchronise
 subtitles to voices.
 .
 Development files.

Package: libsubtitleeditor0
Description-md5: 31e80a3d2ed546c0512558cc27adcfb5
Description-en: subtitleeditor lib - runtime files
 Subtitle Editor is a GTK+3 tool to edit subtitles.  It can be used for new
 subtitles or as a tool to transform, edit, correct and refine existing
 subtitles.
 .
 This program also shows sound waves, which makes it easier to synchronise
 subtitles to voices.
 .
 Runtime files.

Package: libsubtitles-perl
Description-md5: 9aa5822c766a1b0e10e098fb85e69f2c
Description-en: utilities to fix subtitles timing
 Movie files can be viewed with subtitles, which are currently very popular as
 text files. This module provides means for simple loading, re-timing, and
 storing these subtitle files.
 .
 The module supports srt, sub, smi subtitle formats.
 .
 A command-line tool `subs' for manipulation of subtitles files is included in
 this package.

Package: libsubunit-perl
Description-md5: 032829985123975208b9829a6660c274
Description-en: perl parser and diff for Subunit streams
 subunit is a protocol for test activity serialisation deserialisation. This
 permits executing tests remotely, or saving the result of test runs for later
 introspection.
 .
 The libsubunit-perl package contains the Perl language support for Subunit.

Package: libsugarext-data
Description-md5: fd040f5fc57ad0dbf6eb79fc6805c17c
Description-en: Sugar Learning Platform - toolkit common files
 Sugar Learning Platform promotes collaborative learning
 through Sugar Activities that encourage critical thinking,
 the heart of a quality education.
 Designed from the ground up especially for children,
 Sugar offers an alternative to traditional "office-desktop" software.
 .
 The sugar3 library contains a set of widgets
 to build HIG compliant applications and interfaces
 to interact with system services like presence and the datastore.
 .
 This contains the common files (translations)
 used by the runtime library.

Package: libsugarext-dev
Description-md5: fe12636c769a3ca65ab00b490ab023e5
Description-en: Sugar Learning Platform - toolkit development files
 Sugar Learning Platform promotes collaborative learning
 through Sugar Activities that encourage critical thinking,
 the heart of a quality education.
 Designed from the ground up especially for children,
 Sugar offers an alternative to traditional "office-desktop" software.
 .
 The sugar3 library contains a set of widgets
 to build HIG compliant applications and interfaces
 to interact with system services like presence and the datastore.
 .
 This package contains the files needed for development.

Package: libsugarext0
Description-md5: c698f638c917aa91eaf95e3c5085ee52
Description-en: Sugar Learning Platform - toolkit runtime library
 Sugar Learning Platform promotes collaborative learning
 through Sugar Activities that encourage critical thinking,
 the heart of a quality education.
 Designed from the ground up especially for children,
 Sugar offers an alternative to traditional "office-desktop" software.
 .
 The sugar3 library contains a set of widgets
 to build HIG compliant applications and interfaces
 to interact with system services like presence and the datastore.
 .
 This package contains the runtime library.

Package: libsuil-0-0
Description-md5: ec2a5551018df35a7a63f802afbe518d
Description-en: library for loading and wrapping LV2 plugin UIs
 Suil makes it possible to load a UI of any toolkit in a host using
 any other toolkit (assuming the toolkits are both supported by
 Suil). Hosts do not need to build against or link to foreign toolkit
 libraries to use UIs written with that toolkit (Suil performs its
 magic at runtime using dynamically loaded modules). The API
 is designed such that hosts do not need to explicitly support
 particular toolkits whatsoever - if Suil supports a particular
 toolkit, then all hosts that use Suil will support that toolkit
 "for free". Suil currently supports GTK-2+ and QT5
 .
 This package provides the shared library.

Package: libsuil-dev
Description-md5: 4c6a5e032cc702125939a866ad0b0c42
Description-en: Development files for suil
 Suil makes it possible to load a UI of any toolkit in a host using
 any other toolkit (assuming the toolkits are both supported by
 Suil). Hosts do not need to build against or link to foreign toolkit
 libraries to use UIs written with that toolkit (Suil performs its
 magic at runtime using dynamically loaded modules). The API
 is designed such that hosts do not need to explicitly support
 particular toolkits whatsoever - if Suil supports a particular
 toolkit, then all hosts that use Suil will support that toolkit
 "for free". Suil currently supports GTK-2+ and QT5
 .
 This package provides the development files for suil.

Package: libsundials-arkode2
Description-md5: 5c257d12d16e49e3873ad356646fc5bf
Description-en: differential equation solver (SUNDIALS library)
 This package contains the library for differential equation (ODE) system
 solvers from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers). ARKODE is a solver for multi-rate ODE systems (initial value
 problems) given in explicit form M*dy/dt = f(t,y)

Package: libsundials-cvode3
Description-md5: 0ff52e3f29b18deabc6db57ac3b5e1d1
Description-en: ordinary differential equation solver (SUNDIALS library)
 This package contains the library for ordinary differential equation (ODE)
 system solvers from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic
 equation Solvers). CVODE is a solver for stiff and nonstiff ODE systems
 (initial value problem) given in explicit form dy/dt = f(t,y).

Package: libsundials-cvodes3
Description-md5: a9a4492d86a28ec7578a00e72cb5d2b1
Description-en: ODE solver with sensistivity analysis (SUNDIALS library)
 This package contains the library for ordinary differential equation (ODE)
 system solver with sensistivity analysis capabilities from SUNDIALS (SUite of
 Nonlinear and DIfferential/ALgebraic equation Solvers). CVODES is a solver for
 stiff and nonstiff ODE systems (initial value problem) given in explicit form
 y' = f(t,y,p) with sensitivity analysis capabilities (both forward and adjoint
 modes).

Package: libsundials-dev
Description-md5: 9c4aec18b9b5cc8e8b4cfd8105655be6
Description-en: Package providing everything for SUNDIALS dev work
 This package contains all development files necessary to build applications
 using SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers). All examples and documentation links live in this package as well.

Package: libsundials-ida3
Description-md5: c359589cc273deb6f6dddc3d3f3a202d
Description-en: differential-algebraic system solver (SUNDIALS library)
 This package contains the library for differential-algebraic system (IDA)
 solver from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers).

Package: libsundials-idas2
Description-md5: 503ef1a5c6d979fe325b818c3a88ae03
Description-en: IDA solver with sensitivity capabilities (SUNDIALS library)
 This package contains the library for differential-algebraic system with
 Sensitivity capabilities (IDAS) solver from SUNDIALS (SUite of Nonlinear and
 DIfferential/ALgebraic equation Solvers).

Package: libsundials-kinsol3
Description-md5: f267dbe7489f2eb95d1c6b5d83f7182e
Description-en: KINSOL solver (SUNDIALS library)
 This package contain the library for KINSOL (nonlinear algebraic systems)
 system solver from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic
 equation Solvers).

Package: libsundials-nvecparallel-hypre3
Description-md5: 8da582d23ea48351d8eb61e029bee537
Description-en: HYPRE vector operations library (SUNDIALS library)
 This package provides implementations for all vector operations
 defined by the generic NVECTOR module in the table of operations for
 SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers).
 .
 This package contains the HYPRE-based parallel flavor of the library.

Package: libsundials-nvecparallel-mpi3
Description-md5: 7697e104e796dd21736f11b715949ed5
Description-en: MPI vector operations library (SUNDIALS library)
 This package provides implementations for all vector operations
 defined by the generic NVECTOR module in the table of operations for
 SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers).
 .
 This package contains the MPI parallel flavor of the library.

Package: libsundials-nvecparallel-openmp3
Description-md5: 9c81ecc23d7793f2a719fb8dfd4f9fb5
Description-en: OpenMP vector operations library (SUNDIALS library)
 This package provides implementations for all vector operations
 defined by the generic NVECTOR module in the table of operations for
 SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers).
 .
 This package contains the OpenMP-based parallel flavor of the library.

Package: libsundials-nvecparallel-petsc3
Description-md5: f66e08e2eab2e39bae8b39de4d4a145e
Description-en: PETSc vector operations library (SUNDIALS library)
 This package provides implementations for all vector operations
 defined by the generic NVECTOR module in the table of operations for
 SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers).
 .
 This package contains the PETSc-based parallel flavor of the library.

Package: libsundials-nvecparallel-pthread3
Description-md5: d0d9f60c2b63b48333180adeb6b4dcd9
Description-en: Pthread vector operations library (SUNDIALS library)
 This package provides implementations for all vector operations
 defined by the generic NVECTOR module in the table of operations for
 SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers).
 .
 This package contains the pthread-based parallel flavor of the library.

Package: libsundials-nvecserial3
Description-md5: 8f934980000cb2191bcd580d4875420f
Description-en: Non-parallel vector operations library (SUNDIALS library)
 This package provides implementations for all vector operations
 defined by the generic NVECTOR module in the table of operations for
 SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation
 Solvers).
 .
 This package contains the serial flavor of the library.

Package: libsundials-sunlinsol1
Description-md5: c2d9713eb79bcc429f16fb6375356abc
Description-en: differential equation solver (SUNDIALS library)
 Auxiliary solvers used by the SUNDIALS library.

Package: libsundials-sunmatrix1
Description-md5: c2d9713eb79bcc429f16fb6375356abc
Description-en: differential equation solver (SUNDIALS library)
 Auxiliary solvers used by the SUNDIALS library.

Package: libsunflow-java
Description-md5: ef147749ff2046fcc25fc6d1d234e5f2
Description-en: rendering system for photo-realistic image synthesis
 Sunflow is an open source rendering system for photo-realistic image
 synthesis. It is written in Java and built around a flexible ray
 tracing core and an extensible object-oriented design. It was created
 as a framework for experimenting with global illumination algorithms
 and new surface shading models.

Package: libsunflow-java-doc
Description-md5: d76308d2b6be1ddce7faaea5789b5224
Description-en: rendering system for photo-realistic image synthesis (javadoc)
 Sunflow is an open source rendering system for photo-realistic image
 synthesis. It is written in Java and built around a flexible ray
 tracing core and an extensible object-oriented design. It was created
 as a framework for experimenting with global illumination algorithms
 and new surface shading models.
 .
 This is the API documentation for sunflow

Package: libsunpinyin-dev
Description-md5: ee0ab4995e882afbb25b83272650b453
Description-en: Simplified Chinese Input Method from SUN (development)
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains the development header files that allows others
 to write their own front-end for sunpinyin.

Package: libsunpinyin3v5
Description-md5: 2097d4efba2fbcf7f271d3f42eb068be
Description-en: Simplified Chinese Input Method from SUN (runtime)
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains the runtime library.

Package: libsuper-csv-java
Description-md5: f1134aced42a41057026a24a47bce924
Description-en: Super CSV library for Java
 It is highly configurable, and supports reading and writing with POJOs,
 Maps and Lists. It also has support for deep-mapping and index-based
 mapping with POJOs, using the powerful Dozer extension.
 .
 Its flexible 'Cell Processor' API automates data type conversions
 (parsing and formatting Dates, Integers, Booleans etc) and enforces
 constraints (mandatory columns, matching against regular expressions
 etc) - and it's easy to write your own if required.

Package: libsuper-csv-java-doc
Description-md5: fefc0dace8f386a9bbbc346af43e3e72
Description-en: Documentation for Super CSV library for Java
 It is highly configurable, and supports reading and writing with POJOs,
 Maps and Lists. It also has support for deep-mapping and index-based
 mapping with POJOs, using the powerful Dozer extension.
 .
 Its flexible 'Cell Processor' API automates data type conversions
 (parsing and formatting Dates, Integers, Booleans etc) and enforces
 constraints (mandatory columns, matching against regular expressions
 etc) - and it's easy to write your own if required.
 .
 This package contains the API documentation of libsuper-csv-java.

Package: libsuper-perl
Description-md5: 4350c1d37109bacfad54b0ea2671637b
Description-en: control superclass method dispatch
 SUPER allows one to dispatch control to the superclass with a better syntax
 than the original mechanism. It provides an universal method super to
 determine a class' own superclass. SUPER allows the use of mixin and roles as
 the class containing the method is no more needed at compile time.

Package: libsuperlu-dev
Description-md5: 1083826ee45c824cea8be43dae8a2dcc
Description-en: Direct solution of large, sparse systems of linear equations
 Development files for SuperLU.
 .
 SuperLU is a general purpose library for the direct solution of
 large, sparse, nonsymmetric systems of linear equations on high
 performance machines.
 .
 The library is written in C and is callable from either C or Fortran.
 The library routines will perform an LU decomposition with partial
 pivoting and triangular system solves through forward and back
 substitution. The LU factorization routines can handle non-square
 matrices but the triangular solves are performed only for square
 matrices. The matrix columns may be preordered (before factorization)
 either through library or user supplied routines. This preordering
 for sparsity is completely separate from the factorization. Working
 precision iterative refinement subroutines are provided for improved
 backward stability. Routines are also provided to equilibrate the
 system, estimate the condition number, calculate the relative
 backward error, and estimate error bounds for the refined solutions.
 .
 This package contains the header and development files to build
 against SuperLU.

Package: libsuperlu-dist-dev
Description-md5: dc1ebe74903e4a1938fd3dfbc0e0d4de
Description-en: Highly distributed solution of sparse linear equations
 SuperLU is a general purpose library for the direct solution of large,
 sparse, nonsymmetric systems of linear equations. The library is
 written in C and is callable from either C or Fortran program. It uses
 MPI, OpenMP and CUDA to support various forms of parallelism. It
 supports both real and complex datatypes, both single and double
 precision, and 64-bit integer indexing. The library routines performs
 an LU decomposition with partial pivoting and triangular system solves
 through forward and back substitution. The LU factorization routines
 can handle non-square matrices but the triangular solves are performed
 only for square matrices. The matrix columns may be preordered (before
 factorization) either through library or user supplied routines. This
 preordering for sparsity is completely separate from the
 factorization. Working precision iterative refinement subroutines are
 provided for improved backward stability. Routines are also provided
 to equilibrate the system, estimate the condition number, calculate
 the relative backward error, and estimate error bounds for the refined
 solutions.
 .
 SuperLU_DIST implements the algorithms for distributed memory,
 targetting highly parallel distributed memory hybrid systems. The
 numerical factorization routines are already implemented for hybrid
 systems with multiple GPUs. Further work will be needed to implement
 the other phases of the algorithms on the hybrid systems and to
 enhance strong scaling to extreme scale.
 .
 This package provides development files for building client
 applications against superlu-dist.

Package: libsuperlu-dist6
Description-md5: 023fa0991dc32a4126ab45c58ec26188
Description-en: Highly distributed solution of sparse linear equations
 SuperLU is a general purpose library for the direct solution of large,
 sparse, nonsymmetric systems of linear equations. The library is
 written in C and is callable from either C or Fortran program. It uses
 MPI, OpenMP and CUDA to support various forms of parallelism. It
 supports both real and complex datatypes, both single and double
 precision, and 64-bit integer indexing. The library routines performs
 an LU decomposition with partial pivoting and triangular system solves
 through forward and back substitution. The LU factorization routines
 can handle non-square matrices but the triangular solves are performed
 only for square matrices. The matrix columns may be preordered (before
 factorization) either through library or user supplied routines. This
 preordering for sparsity is completely separate from the
 factorization. Working precision iterative refinement subroutines are
 provided for improved backward stability. Routines are also provided
 to equilibrate the system, estimate the condition number, calculate
 the relative backward error, and estimate error bounds for the refined
 solutions.
 .
 SuperLU_DIST implements the algorithms for distributed memory,
 targetting highly parallel distributed memory hybrid systems. The
 numerical factorization routines are already implemented for hybrid
 systems with multiple GPUs. Further work will be needed to implement
 the other phases of the algorithms on the hybrid systems and to
 enhance strong scaling to extreme scale.
 .
 This package provides the superlu-dist shared library.

Package: libsuperlu-doc
Description-md5: cb5c4dc1875ccb696c612cef7c10964c
Description-en: Documentation for SuperLU
 This package contains the user guide and the reference manual of SuperLU.
 It also includes some example programs.

Package: libsuperlu5
Description-md5: f5d7ed20001bd6eecdadcded912d1154
Description-en: Direct solution of large, sparse systems of linear equations
 SuperLU is a general purpose library for the direct solution of
 large, sparse, nonsymmetric systems of linear equations on high
 performance machines.
 .
 The library is written in C and is callable from either C or Fortran.
 The library routines will perform an LU decomposition with partial
 pivoting and triangular system solves through forward and back
 substitution. The LU factorization routines can handle non-square
 matrices but the triangular solves are performed only for square
 matrices. The matrix columns may be preordered (before factorization)
 either through library or user supplied routines. This preordering
 for sparsity is completely separate from the factorization. Working
 precision iterative refinement subroutines are provided for improved
 backward stability. Routines are also provided to equilibrate the
 system, estimate the condition number, calculate the relative
 backward error, and estimate error bounds for the refined solutions.
 .
 This package contains the SuperLU shared library.

Package: libsurefire-java
Description-md5: bab89622b27ed7a11502370f4e3469da
Description-en: Surefire test framework for Java
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features
 .
 This package ships the surefire test framework and the maven-surefire-plugin.

Package: libsvg-graph-perl
Description-md5: ff988157f063631d951218fe6ae77983
Description-en: module to visualize data in SVG format
 SVG::Graph is a suite of perl modules for plotting data.
 It currently supports plots of one-, two- and three-dimensional
 data, as well as N-ary rooted trees.

Package: libsvg-perl
Description-md5: 9d43a9357fd77fcdfa3e9908cdece64f
Description-en: perl module to generate SVG images
 This package provides a perl extension to generate stand-alone
 or inline SVG (scalable vector graphics) images using the W3C
 SVG xml recommendation.

Package: libsvg-tt-graph-perl
Description-md5: 02581abf19da0e38cf3ef68198cb40e8
Description-en: module for creating vector graphs
 SVG::TT::Graph is a Perl module that allows you to easily create Scalable
 Vector Graphics (SVG) for a variety of graph types, including Pie, Line, Bar
 and Time Series. You can also create extend existing types to make your own.

Package: libsvgpp-dev
Description-md5: 780e4b706e2fc16594708a786b92c125
Description-en: SVG-framework with parsers for various syntaxes and adapters. Development files
 The library can be thought of as a framework, containing parsers for various
 SVG syntaxes, adapters that simplify handling of parsed data and a lot of
 other utilities and helpers for the most common tasks.  SVG++ features
 .
  * Is a header-only library
  * Can be used with any XML parser
  * Compile time configured - no virtual functions
  * Minimal runtime overhead - you pay only for what you get
  * Fully functional, conforming SVG viewers
  * Simple in-app SVG rasterizers
  * Import modules of vector editing software
  * Implementing path-only input of SVG format with minimal efforts
    in any graphics or math software
  * Compatible with C++03, but requires conforming implementation
 .
 The package contains the header development files.

Package: libsvgpp-doc
Description-md5: 50b63b4a4b87f11e7541f3cee0bce756
Description-en: SVG-framework with parsers for various syntaxes and adapters. Documentation
 The library can be thought of as a framework, containing parsers for various
 SVG syntaxes, adapters that simplify handling of parsed data and a lot of
 other utilities and helpers for the most common tasks.  SVG++ features
 .
  * Is a header-only library
  * Can be used with any XML parser
  * Compile time configured - no virtual functions
  * Minimal runtime overhead - you pay only for what you get
  * Fully functional, conforming SVG viewers
  * Simple in-app SVG rasterizers
  * Import modules of vector editing software
  * Implementing path-only input of SVG format with minimal efforts
    in any graphics or math software
  * Compatible with C++03, but requires conforming implementation
 .
 The package contains the documentation.

Package: libsvgsalamander-java
Description-md5: 595336718590d3d9828920fef64dcaa3
Description-en: SVG engine for Java
 SVG Salamander is an SVG engine for Java that's designed to be small,
 fast, and allow programmers to use it with a minimum of fuss.
 .
 It's in particular targeted for making it easy to integrate SVG into
 Java games and making it much easier for artists to design 2D game
 content - from rich interactive menus to charts and graphcs to
 complex animations.

Package: libsvm-dev
Description-md5: 48d7d425fdb518dcb32d734698116832
Description-en: LIBSVM header files
 LIBSVM, a machine-learning library, is an easy-to-use package for
 support vector classification, regression and one-class SVM. It
 supports multi-class classification, probability outputs, and
 parameter selection.
 .
 This package contains the development header files.

Package: libsvm-java
Description-md5: 4752121c9203fb5c86bdfd6c8e3436aa
Description-en: Java API to support vector machine library (libsvm.jar)
 The functionality of the libsvm are offered in a single jar file. It includes
 one-class, two-class, multiclass, regression-mode, and probablistic output
 functionality.
 .
 This package solely provides a symbolic link from libsvm.jar libsvm3.jar.

Package: libsvm-tools
Description-md5: af1c107ff7acb318d142f845b59cd658
Description-en: LIBSVM binary tools
 LIBSVM is an easy-to-use package for support vector classification,
 regression and one-class SVM. It supports multi-class classification,
 probability outputs, and parameter selection.

Package: libsvm3
Description-md5: 654d8162a91296623875d5686648d176
Description-en: library implementing support vector machines
 The LIBSVM library is used to calculate Support Vector Machine optimizations
 with a great variety of powerful options.  It includes one-class, two-class,
 multiclass, regression-mode, and probablistic output functionality.  It is
 primarily of interest to machine-learning researchers and artificial
 intelligence application developers.

Package: libsvm3-java
Description-md5: 0037a0b270a7d48f0899ac65a2a734d6
Description-en: Java API to support vector machine library (libsvm3.jar)
 The functionality of the libsvm are offered in a single jar file. It includes
 one-class, two-class, multiclass, regression-mode, and probablistic output
 functionality.

Package: libsvmloc-dev
Description-md5: 4a79474fccc76e28debed5f3253d51a9
Description-en: PSORTb adapted library for svm machine-learning library (dev)
 Libsvm is a machine-learning library which is an easy-to-use package
 for support vector classification, regression and one-class SVM. It
 supports multi-class classification, probability outputs, and
 parameter selection.
 .
 PSORTb was featuring a code copy plus some local additions.  This
 library is linked against the Debian packaged libsvn and just contains
 the PSORTb extensions.
 .
 PSORTb enables prediction of bacterial protein subcellular localization
 (SCL) and provides a quick and inexpensive means for gaining insight
 into protein function, verifying experimental results, annotating newly
 sequenced bacterial genomes, detecting potential cell surface/secreted
 drug targets, as well as identifying biomarkers for microbes.
 .
 This library needed by PSORTb is distributed separately by upstream.
 .
 This package contains the static library which is needed to link PSORTb.

Package: libsvmloc0
Description-md5: 8c4413f4ce02fd721527e31a61738b02
Description-en: PSORTb adapted library for svm machine-learning library
 Libsvm is a machine-learning library which is an easy-to-use package
 for support vector classification, regression and one-class SVM. It
 supports multi-class classification, probability outputs, and
 parameter selection.
 .
 PSORTb was featuring a code copy plus some local additions.  This
 library is linked against the Debian packaged libsvn and just contains
 the PSORTb extensions.
 .
 PSORTb enables prediction of bacterial protein subcellular localization
 (SCL) and provides a quick and inexpensive means for gaining insight
 into protein function, verifying experimental results, annotating newly
 sequenced bacterial genomes, detecting potential cell surface/secreted
 drug targets, as well as identifying biomarkers for microbes.
 .
 This library needed by PSORTb is distributed separately by upstream.
 .
 This package contains the shared library which is needed to run PSORb.

Package: libsvn-class-perl
Description-md5: 26a9884cca0ed28db2d1a1d5a0e13a44
Description-en: perl object oriented interface for Subversion workspaces
 SVN::Class Perl module extends Path::Class to allow for basic
 Subversion workspace management. SVN::Class::File and SVN::Class::Dir
 are subclasses of Path::Class::File::Stat and Path::Class::Dir
 respectively.

Package: libsvn-dev
Description-md5: d7ba285f3a44ff8995f08ac293b28fe7
Description-en: Development files for Apache Subversion libraries
 This package contains the symlinks, headers, and object files needed
 to compile and link programs which use libsvn1, the Apache Subversion
 libraries.  This package is needed only in order to compile software
 that uses libsvn1.

Package: libsvn-doc
Description-md5: 8d748bf81b749540b1f83fe4f991a0e6
Description-en: Developer documentation for libsvn
 This package contains development (API) documentation for libsvn1, the
 Apache Subversion libraries.  See the 'libsvn1' package for more information.

Package: libsvn-dump-perl
Description-md5: 13302c75a579c07fbdf7f5ab046a5655
Description-en: module for parsing Subversion dumps
 SVN::Dump is a collection of Perl modules for loading a Subversion dump file
 and representing its contents as a collection of node objects. It creates a
 tree of records, headers, text blocks and other nodes.

Package: libsvn-hooks-perl
Description-md5: e979244462ca68682450ce2bad58e90d
Description-en: framework for implementing Subversion hooks
 SVN::Hooks is a Perl-based framework for creating Subversion hooks. It comes
 with several plugins that implement some of the most commonly used standalone
 Subversion hooks available. As a framework, it can be extended to implement
 all types of hooks.

Package: libsvn-java
Description-md5: 7fe80f50698182cc45e487dedf954400
Description-en: Java bindings for Apache Subversion
 This is a set of Java classes which provide the functionality of
 libsvn, the Apache Subversion libraries.  It is useful if you want to,
 for example, write a Java class that manipulates a Subversion repository
 or working copy.  See the 'subversion' package for more information.

Package: libsvn-look-perl
Description-md5: 1a16059acc2370566804158a22b270d3
Description-en: module providing access to svnlook data
 SVN::Look is a simple Perl module that provides a object-oriented wrapper
 around Subversion's svnlook command, with built-in caching to improve speed.
 It is useful to gather information about a repository, its revisions and its
 transactions, which makes it easy for hook writers to retrieve and use the
 information they need.

Package: libsvn-notify-mirror-perl
Description-md5: 967be84e903973eca0dc0cebc5e08f1b
Description-en: module to keep a mirrored working copy of a repository path
 SVN::Notify::Mirror allows one to keep a directory in sync with a portion of
 a Subversion repository. It is typically used to keep a development web
 server in sync with the changes made to the repository. This directory can
 either be on the same box as the repository itself, or it can be remote (via
 SSH connection).

Package: libsvn-notify-perl
Description-md5: 8bddc3f743fd219b2d108f8209bb2101
Description-en: Subversion activity notification
 SVN::Notify provides a class and an interface to notify by email Subversion
 repository changes.
 .
 It is able to inform about the files changed in a commit and send the
 appropriate diff files.
 .
 An additional class (SVN::Notify::HTML) is provided to manage HTML formatted
 emails.
 .
 Please, consider installing a MTA if you are planning to use sendmail instead
 of --smtp-* options.

Package: libsvn-perl
Description-md5: aa3fb72865b42c59ad1e6eae171929c3
Description-en: Perl bindings for Apache Subversion
 This is a set of Perl interfaces to libsvn, the Apache Subversion libraries.
 It is useful if you want to, for example, write a Perl script that
 manipulates a Subversion repository or working copy.  See the
 'subversion' package for more information.

Package: libsvn-svnlook-perl
Description-md5: ef54381fd89902a8a210917961dd1644
Description-en: module to aid using svnlook in Subversion hooks
 SVN::SVNLook runs the command line client, svnlook. This module provides an
 interface to retrieve information such as author, revision, date, and log
 message.

Package: libsvn-web-perl
Description-md5: 5562f623a995f8660ec43a8f1a2011b5
Description-en: Subversion repository web frontend
 SVN::Web provides a web interface to subversion repositories.
 .
  - Viewing multiple Subversion repositories.
  - Browsing every revision of the repository.
  - Viewing the contents of files in the repository at any revision.
  - Viewing diffs of arbitrary revisions of any file.
  - Viewing the revision log of files and directories, see what was changed
    when, by who.
  - Viewing the blame/annotation details of any file.
  - Generating RSS feeds of commits, down to the granularity of
    individual files.
  - Many more.

Package: libsvn1
Description-md5: e815569b5d20540901c51f341d283767
Description-en: Shared libraries used by Apache Subversion
 This package includes shared libraries to manipulate Apache Subversion
 (svn) repositories and working copies.  See the 'subversion' package for
 more information.

Package: libsvnclientadapter-java
Description-md5: 4cda7d717b3c93e32d3b4bf2d1eed5d0
Description-en: High-level Java API for Subversion (library)
 SVNClientAdapter is a high-level Java API for Subversion.
 It can use one of 3 low-level svn client implementations to provide access to
 the Subversion API:
  - JavaHL native (JNI) Subversion library
    <http://svn.collab.net/repos/svn/trunk/subversion/bindings/java/javahl/>
  - JavaSVN (pure Java) Subversion API implementation
    <http://tmate.org/svn/>
  - svn command line client wrapper
 .
 This package contains the SvnClientAdapter library.

Package: libsvnclientadapter-java-doc
Description-md5: ed24c2f1fdc9575a6e529e777b9c85c2
Description-en: High-level Java API for Subversion (documentation)
 SVNClientAdapter is a high-level Java API for Subversion.
 It can use one of 3 low-level svn client implementations to provide access to
 the Subversion API:
  - JavaHL native (JNI) Subversion library
    <http://svn.collab.net/repos/svn/trunk/subversion/bindings/java/javahl/>
  - JavaSVN (pure Java) Subversion API implementation
    <http://tmate.org/svn/>
  - svn command line client wrapper
 .
 This package contains Javadoc API documentation.

Package: libsvnkit-java
Description-md5: db3ae0dd23de60a58094063745e9c66f
Description-en: pure Java Subversion client library
 SVNKit provides APIs to work with Subversion repositories and working
 copies. The SVNKit library features direct repository access as well as
 support for all high level Subversion operations available via the
 command-line Subversion client.

Package: libsvnkit-java-doc
Description-md5: ca4c2b968b7344371e82707e2f06b614
Description-en: documentation for libsvnkit-java
 Documentation for SVNKit, which is a Java library that provides APIs to
 work with Subversion repositories and working copies. SVNKit features
 direct repository access as well as support for all high level Subversion
 operations that are available via the command-line Subversion client.

Package: libswami-dev
Description-md5: 468f367402d96d438b1ad713c47567b2
Description-en: MIDI instrument editor - development files
 Swami (Sampled Waveforms And Musical Instruments) is an application
 for editing and managing MIDI instruments, such as SoundFont files.
 A programming API is also provided for integration with other
 applications.
 .
 This package contains the headers files.

Package: libswami1
Description-md5: cb2e5adf36e600769454fa1b3499724b
Description-en: MIDI instrument editor - shared library
 Swami (Sampled Waveforms And Musical Instruments) is an application
 for editing and managing MIDI instruments, such as SoundFont files.
 A programming API is also provided for integration with other
 applications.
 .
 This package provides the shared library.

Package: libswamigui1
Description-md5: 6849eae7af99253a17411449a28b0edd
Description-en: MIDI instrument editor - shared GUI library
 Swami (Sampled Waveforms And Musical Instruments) is an application
 for editing and managing MIDI instruments, such as SoundFont files.
 A programming API is also provided for integration with other
 applications.
 .
 This package provides the shared library for the GUI.

Package: libswarmcache-java
Description-md5: a1896e79b5d1bd2dbba399b8e5f784ad
Description-en: SwarmCache - Distributed caching mechanism for Java
 SwarmCache is a simple but effective distributed cache. It uses IP
 multicast to efficiently communicate with any number of hosts on a LAN.
 It is specifically designed for use by clustered, database-driven web
 applications. Such applications typically have many more read
 operations than write operations, which allows SwarmCache to deliver
 the greatest performance gains. SwarmCache uses JavaGroups internally
 to manage the membership and communications of its distributed cache.

Package: libswe-dev
Description-md5: a017eadfd3ee237eac18f673cbb94409
Description-en: C library for The Swiss Ephemeris
 Contains static library, headers, example code and development manpages
 for libswe0.
 The Swiss Ephemeris offers these advantages:
 The Swiss Ephemeris is based upon the latest planetary and lunar
 ephemeris, DE405/406, developed by NASA's Jet Propulsion
 Laboratory.

Package: libswe0
Description-md5: fb2dc16f34fe1f40ee2e16b2ff94e21f
Description-en: C library for the Swiss Ephemeris
 libswe0 allows programs to access the Swiss Ephemeris.
 The SWISS EPHEMERIS is the high precision ephemeris developed
 by Astrodienst, largely based upon the DE406 ephemeris from NASA's JPL.
 The Swiss Ephemeris is based upon the latest planetary and lunar
 ephemeris, DE405/406, developed by NASA's Jet Propulsion
 Laboratory.

Package: libswing-layout-java
Description-md5: 9d64b74fb19b7826577616b20449d3e6
Description-en: Extensions to Swing layout
 The goal of Swing Layout Extensions is to make it easy to create professional
 cross platform layouts with Swing. This project has an eye towards the needs
 of GUI builders, such as NetBeans. This package provides the following
 functionality:
 .
   Ability to get the baseline for components.
 .
   Ability to get the preferred gap between components.
 .
   A new LayoutManager that utilizes both of these concepts and is tuned toward
   a free-form drag and drop layout model as can be provided by GUI builders.

Package: libswing-layout-java-doc
Description-md5: e73aa258813adff22fa622448b4da6c4
Description-en: Extensions to Swing layout - contains Javadoc API documentation
 The goal of Swing Layout Extensions is to make it easy to create professional
 cross platform layouts with Swing. This project has an eye towards the needs
 of GUI builders, such as NetBeans. This package provides the following
 functionality:
 .
   Ability to get the baseline for components.
 .
   Ability to get the preferred gap between components.
 .
   A new LayoutManager that utilizes both of these concepts and is tuned toward
   a free-form drag and drop layout model as can be provided by GUI builders.
 .
 This package contains Javadoc API documentation.

Package: libswingx-java
Description-md5: fbdf4053060d3a36a228fd945d659977
Description-en: extensions to the Swing GUI toolkit
 This package contains extensions to the Swing GUI toolkit, including new and
 enhanced components that provide functionality commonly required by rich
 client applications. Highlights include:
  - Sorting, filtering, highlighting for tables, trees, and lists
  - Find/search
  - Auto-completion
  - Login/authentication framework
  - TreeTable component
  - Collapsible panel component
  - Date picker component
  - Tip-of-the-Day component
 .
 Many of these features will eventually be incorporated into the Swing toolkit,
 although API compatibility will not be guaranteed. The SwingX project focuses
 exclusively on the raw components themselves.

Package: libswingx-java-doc
Description-md5: c5e5294bd4b745a4c9b913ce4584ecdb
Description-en: extensions to the Swing GUI toolkit - documentation
 This package contains extensions to the Swing GUI toolkit, including new and
 enhanced components that provide functionality commonly required by rich
 client applications. Highlights include:
  - Sorting, filtering, highlighting for tables, trees, and lists
  - Find/search
  - Auto-completion
  - Login/authentication framework
  - TreeTable component
  - Collapsible panel component
  - Date picker component
  - Tip-of-the-Day component
 .
 Many of these features will eventually be incorporated into the Swing toolkit,
 although API compatibility will not be guaranteed. The SwingX project focuses
 exclusively on the raw components themselves.
 .
 This package contains API documentation (Javadoc) of SwingX GUI Toolkit.

Package: libswish-api-common-perl
Description-md5: c23c7aa449fd7d9847497f448cf601ab
Description-en: Perl interface to the SWISH index engine
 SWISH::API::Common offers an easy interface to the Swish index engine. While
 SWISH::API offers a complete API, SWISH::API::Common focuses on ease of use.
 Currently, this API allows for indexing documents in a single directory and
 any of its subdirectories. However, index() and search() cannot be executed
 in parallel.

Package: libswiss-perl
Description-md5: 3ae417aaf8dabe78f2459874f16eb9e8
Description-en: Perl API to the UniProt database
 UniProt, SwissProt and TrEMBL are different views on protein sequence
 data that is prepared by groups at the European Bioinformatics Institute
 (EMBL-EBI) in Cambridge and the Swiss Bioinformatics Institute (SIB) at
 the University Hospital in Geneva.
 .
 The SwissKnife Perl library is used by the developers of these databases
 to perform all the automated editing and sytax checks. The users of
 this package will profit from the stable API on an ever evolving
 representation of biological knowledge.

Package: libswitch-perl
Description-md5: 368beff0ef956ff3fffbcfb15e494ad5
Description-en: switch statement for Perl
 Switch.pm implements a generalized case mechanism that covers many
 possible combinations of switch and case values.
 .
 This is an obsolete module provided for compatiblity since it is being
 removed from the core. For perl 5.10 and above the "given/when" builtins
 are much preferred.

Package: libsword-1.8.1
Description-md5: 794e93dd6a6b1ae55ae9f6bf0b4a7812
Description-en: API/library for bible software
 The SWORD Project is an open source, cross-platform (Linux, Windows, Solaris,
 MacOSX etc.) API/library for Bible software with a constantly growing list
 of front-ends (GUI, textmode, web-based, etc.) and a library of over 200
 text modules.
 .
 This package contains the core libraries for the project.
 It also contains utilities for generating data modules.
 .
 Please read the README.Debian for more information on how to obtain other
 modules for SWORD.

Package: libsword-common
Description-md5: 4ba4fc778800cc2d206e90952d3841e0
Description-en: common settings and module repository for libsword
 The SWORD Project is an open source, cross-platform (Linux, Windows, Solaris,
 MacOSX etc.) API/library for Bible software with a constantly growing list
 of front-ends (GUI, textmode, web-based, etc.) and a library of over 200
 text modules.
 .
 This package contains sword configuration and global module repository.

Package: libsword-dev
Description-md5: 626d1f4f7f82b6723dda2b44a0b1000e
Description-en: Development files for libsword
 The SWORD Project is an open source, cross-platform (Linux, Windows, Solaris,
 MacOSX etc.) API/library for Bible software with a constantly growing list
 of front-ends (GUI, textmode, web-based, etc.) and a library of over 200
 text modules.
 .
 This package contains the static libraries and headers for developing
 applications that use the SWORD library.

Package: libsword-utils
Description-md5: 46f9c1d2e3151e21e28cfef09dcbba41
Description-en: conversion utilities for bible documents in SWORD supported formats
 The SWORD Project is an open source, cross-platform (Linux, Windows, Solaris,
 MacOSX etc.) API/library for Bible software with a constantly growing list
 of front-ends (GUI, textmode, web-based, etc.) and a library of over 200
 text modules.
 .
 This package contains utilities to convert documents in various
 formats into those suitable to be used with SWORD library.

Package: libswresample-dev
Description-md5: 34f0c0961bf2caa9bd7bc04f11f83f53
Description-en: FFmpeg library for audio resampling, rematrixing etc. - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides performs highly optimized audio resampling, rematrixing
 and sample format conversion operations.
 Specifically, this library performs the following conversions:
  * Resampling is the process of changing the audio rate, for example from a
    high sample rate of 44100Hz to 8000Hz. Audio conversion from high to low
    sample rate is a lossy process. Several resampling options and algorithms
    are available.
  * Rematrixing is the process of changing the channel layout, for example from
    stereo to mono. When the input channels cannot be mapped to the output
    streams, the process is lossy, since it involves different gain factors
    and mixing. Various other audio conversions (e.g. stretching and padding)
    are enabled through dedicated options.
  * Format conversion is the process of converting the type of samples, for
    example from 16-bit signed samples to unsigned 8-bit or float samples.
    It also handles packing conversion, when passing from packed layout (all
    samples belonging to distinct channels interleaved in the same buffer), to
    planar layout (all samples belonging to the same channel stored in a
    dedicated buffer or "plane").
 .
 This package contains the development files.

Package: libswresample3
Description-md5: 66f4103de8764db87a02371849313a17
Description-en: FFmpeg library for audio resampling, rematrixing etc. - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library provides performs highly optimized audio resampling, rematrixing
 and sample format conversion operations.
 Specifically, this library performs the following conversions:
  * Resampling is the process of changing the audio rate, for example from a
    high sample rate of 44100Hz to 8000Hz. Audio conversion from high to low
    sample rate is a lossy process. Several resampling options and algorithms
    are available.
  * Rematrixing is the process of changing the channel layout, for example from
    stereo to mono. When the input channels cannot be mapped to the output
    streams, the process is lossy, since it involves different gain factors
    and mixing. Various other audio conversions (e.g. stretching and padding)
    are enabled through dedicated options.
  * Format conversion is the process of converting the type of samples, for
    example from 16-bit signed samples to unsigned 8-bit or float samples.
    It also handles packing conversion, when passing from packed layout (all
    samples belonging to distinct channels interleaved in the same buffer), to
    planar layout (all samples belonging to the same channel stored in a
    dedicated buffer or "plane").
 .
 This package contains the runtime files.

Package: libswscale-dev
Description-md5: 0d8e27afb61d9773ce9b37356a71de28
Description-en: FFmpeg library for image scaling and various conversions - development files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library performs highly optimized image scaling and colorspace and pixel
 format conversion operations.
 Specifically, this library performs the following conversions:
  * Rescaling is the process of changing the video size. Several rescaling
    options and algorithms are available. This is usually a lossy process.
  * Pixel format conversion is the process of converting the image format and
    colorspace of the image, for example from planar YUV420P to RGB24 packed.
    It also handles packing conversion, that is converts from packed layout
    (all pixels belonging to distinct planes interleaved in the same buffer),
    to planar layout (all samples belonging to the same plane stored in a
    dedicated buffer or "plane"). This is usually a lossy process in case the
    source and destination colorspaces differ.
 .
 This package contains the development files.

Package: libswscale5
Description-md5: 5ab1f77fb1b78fa767ca99e4a7a7a9ea
Description-en: FFmpeg library for image scaling and various conversions - runtime files
 FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
 mux, demux, stream, filter and play pretty much anything that humans and
 machines have created. It supports the most obscure ancient formats up to the
 cutting edge.
 .
 This library performs highly optimized image scaling and colorspace and pixel
 format conversion operations.
 Specifically, this library performs the following conversions:
  * Rescaling is the process of changing the video size. Several rescaling
    options and algorithms are available. This is usually a lossy process.
  * Pixel format conversion is the process of converting the image format and
    colorspace of the image, for example from planar YUV420P to RGB24 packed.
    It also handles packing conversion, that is converts from packed layout
    (all pixels belonging to distinct planes interleaved in the same buffer),
    to planar layout (all samples belonging to the same plane stored in a
    dedicated buffer or "plane"). This is usually a lossy process in case the
    source and destination colorspaces differ.
 .
 This package contains the runtime files.

Package: libswt-cairo-gtk-4-jni
Description-md5: 63c52c79b7275b9839bb7b16859425b5
Description-en: Standard Widget Toolkit for GTK+ Cairo JNI library
 The Standard Widget Toolkit (SWT) is a fast and rich Java GUI toolkit.
 For platforms with existing SWT implementations it provides efficient, portable
 and fast access to native controls and user interface facilities.
 .
 This package includes the Cairo JNI libraries.

Package: libswt-glx-gtk-4-jni
Description-md5: d5031a5fa6edbb8e20c4b89d6b0a8ec2
Description-en: Standard Widget Toolkit for GTK+ GLX JNI library
 The Standard Widget Toolkit (SWT) is a fast and rich Java GUI toolkit.
 For platforms with existing SWT implementations it provides efficient, portable
 and fast access to native controls and user interface facilities.
 .
 This package includes the GLX JNI libraries.

Package: libswt-gtk-4-java
Description-md5: 1b9aa97f70de4d3f28f67ae9a8a729ed
Description-en: Standard Widget Toolkit for GTK+ Java library
 The Standard Widget Toolkit (SWT) is a fast and rich Java GUI toolkit.
 For platforms with existing SWT implementations it provides efficient, portable
 and fast access to native controls and user interface facilities.

Package: libswt-gtk-4-jni
Description-md5: 526af343a3a3d7d545b68ca185e464cf
Description-en: Standard Widget Toolkit for GTK+ JNI library
 The Standard Widget Toolkit (SWT) is a fast and rich Java GUI toolkit.
 For platforms with existing SWT implementations it provides efficient, portable
 and fast access to native controls and user interface facilities.
 .
 This package includes the JNI libraries (atk, awt, gtk, pi).

Package: libswt-webkit-gtk-4-jni
Description-md5: 2dc21f10b168b1d5fa29a4af163e3b7b
Description-en: Standard Widget Toolkit for GTK+ WebKit JNI library
 The Standard Widget Toolkit (SWT) is a fast and rich Java GUI toolkit.
 For platforms with existing SWT implementations it provides efficient, portable
 and fast access to native controls and user interface facilities.
 .
 This package includes the WebKit JNI libraries.

Package: libswtcalendar-java
Description-md5: cc963f540c9c5e5afba9c91053f2ca4d
Description-en: GUI date picker for Java using SWT
 SWTCalendar is a port of Kai Toedter's JCalendar to Eclipse's SWT.
 It is a GUI date picker for Java using SWT as the GUI toolkit.
 SWTCalendar was designed to be a flexible component so developer
 can embed a date picker in their application or create their own
 standalone date picker dialog.

Package: libswtchart-java
Description-md5: 69ddfef28b1e2346c86113b1a38e5200
Description-en: Chart drawing SWT component
 SWTChart is a chart component which has following basic functionalities:
  * line chart
  * scatter chart
  * stack chart
  * log scale
  * category axis
  * multiple axes
  * bar chart
  * area chart
  * step chart
  * axis orientation
  * series label
 .
 All of widgets in SWTChart are composed of SWT, so that you can easily hock
 listeners to widgets, and also you can easily extend the functionality without
 expertise of AWT.
 .
 SWTChart provides an ability to handle large data size of series. Even if
 showing 1,000,000 data points, repainting or resizing chart panel doesn't take
 long time. The internal algorithm is not to simply sample the data with a
 certain interval but to thin out only the data which doesn't make sense to show
 in current screen resolution. Therefore, even if there are prominent peaks in
 large data points, the peaks won't be lost in the screen.
 .
 SWTChart has minimum basic functionalities. SWTChart doesn't have any
 dependencies other than SWT, and even JFace is not required.

Package: libswtchart-java-doc
Description-md5: 6810e824e3682b49c47de5384ce3dbd5
Description-en: Chart drawing SWT component (documentation)
 This package contains Javadoc API documentation for SWTChart.

Package: libsx-dev
Description-md5: 4be5566dd01e2c99b89cca3ebebe170a
Description-en: Development files (headers and static libraries) for libsx
 Libsx (the Simple X library) is a library of code that sits on top of and
 to the side of the Athena widget set.  Its purpose is to make writing X
 applications *much* easier.
 .
 This package contains header files, pkgconfig files and static libraries
 for libsx.

Package: libsx0
Description-md5: 0e690da2f4c98931585a8bed53b40d8a
Description-en: Simple X library
 Libsx (the Simple X library) is a library of code that sits on top of and
 to the side of the Athena widget set.  Its purpose is to make writing X
 applications *much* easier.

Package: libsylfilter0
Description-md5: df68e701a5f9dc43b67e917468905252
Description-en: generic spam filter library
 SylFilter is a generic message filter library and command-line tools. It
 provides a bayesian filter which is very popular as an algorithm for spam
 filtering. SylFilter also supports multilingual and can be applied to any
 languages. It is implemented with C language and runs fast  with small
 resources.
 .
  - Very lightweight and fast
  - Provides learning-type junk filter
  - Multilingual support (including CJKV)
  - Simple and flexible library APIs
  - Supports several embedded databases (SQLite and QDBM)

Package: libsylph-dev
Description-md5: b91ad324aa92050b0fcdd0a37a90ecbb
Description-en: e-mail client library derived from Sylpheed - devel files
 This library, which has been originally used as the core part of
 Sylpheed, was modified so that it can be utilized as stand-alone.
 .
 This is the development package which contains headers and static
 libraries.

Package: libsylph1
Description-md5: 122e8917680a4a4683f0e07ff6a8cbb8
Description-en: e-mail client library derived from Sylpheed
 This library, which has been originally used as the core part of
 Sylpheed, was modified so that it can be utilized as stand-alone.
 .
 It is used with applications (i.e. sylph-searcher).

Package: libsymbol-global-name-perl
Description-md5: a3b7a9325891bd8b42189757b4f0d2b6
Description-en: module to find name and type of a global variable
 Symbol::Global::Name lookups the symbol table to find an element by
 reference.
 .
 Its find() method takes a reference and optionally a package name. It returns
 the name of the referenced variable as long as it's in the package or
 sub-package and it's a global variable.

Package: libsymkey-java
Description-md5: f75ad87c5e9141f1c08b4b9b37aafd36
Description-en: Symmetric Key Java library
 The Symmetric Key Java library supplies various symmetric key operations
 to Java programs.
 .
 This package is a part of the PKI Core used by the Certificate System.

Package: libsymkey-jni
Description-md5: 01a9fd520b96f8f84913077abd75d5e7
Description-en: Symmetric Key JNI Library
 The Symmetric Key Java Native Interface (JNI) package supplies various native
 symmetric key operations to Java programs.
 .
 This package is a part of the PKI Core used by the Certificate System.

Package: libsymmetrica2
Description-md5: 0bff1092ff190cd1e6ace9439fb53172
Description-en: Symmetrica Combinatoric C Library -- library package
 Symmetrica is a library for combinatorics. It has support for the
 representation theory of the symmetric group and related groups,
 combinatorics of tableaux, symmetric functions and polynomials,
 Schubert polynomials, and the representation theory of Hecke algebras
 of type A_n.
 .
 This package provides the shared library required to run programs
 compiled against the Symmetrica Combinatoric C Library. To compile
 your own program you also need to install the libsymmetrica2-dev
 package.

Package: libsymmetrica2-dev
Description-md5: b024a819394dc5eaa49ca1db910fcff5
Description-en: Symmetrica Combinatoric C Library -- development package
 Symmetrica is a library for combinatorics. It has support for the
 representation theory of the symmetric group and related groups,
 combinatorics of tableaux, symmetric functions and polynomials,
 Schubert polynomials, and the representation theory of Hecke algebras
 of type A_n.
 .
 This package contains the header files, static libraries and symbolic
 links that developers using the Symmetrica Combinatoric C Library will
 need.

Package: libsymspg-dev
Description-md5: 311000890c3ecb3c4ea3a6e470e6e772
Description-en: C library for crystal symmetry determination (development files)
 Spglib is a C library for crystal symmetry determination. Symmetry
 operations, space groups and other data can be obtained using this
 symmetry finder.
 .
 Features include:
 .
  * Identify space-group type
  * Find symmetry operations
  * Find a primitive cell
  * Search irreducible k-points
  * Refine crystal structure
  * Wyckoff position assignment
 .
 This package contains static library and header files.

Package: libsymspg1
Description-md5: 645a484e4ecc5cc5fbced1554e727036
Description-en: C library for crystal symmetry determination
 Spglib is a C library for crystal symmetry determination. Symmetry
 operations, space groups and other data can be obtained using this
 symmetry finder.
 .
 Features include:
 .
  * Identify space-group type
  * Find symmetry operations
  * Find a primitive cell
  * Search irreducible k-points
  * Refine crystal structure
  * Wyckoff position assignment

Package: libsynfig-dev
Description-md5: 2a9b378cf611313a54c64ddcec63afaa
Description-en: synfig library development files
 synfig is a vector based 2D animation package. It is designed to be
 capable of producing feature-film quality animation.
 .
 This package contains headers and other files needed to develop
 applications using the shared library and plugin modules.

Package: libsynfig0a
Description-md5: 954185cac03f0fac6f9abd49cdf51ec9
Description-en: render library and plugins for synfig 2D animation
 synfig is a vector based 2D animation package. It is designed to be
 capable of producing feature-film quality animation.
 .
 This package contains the shared library and plugin modules for it.

Package: libsyntax-highlight-engine-kate-perl
Description-md5: ee171574a9fa0031ebe6ba1ee0ba6413
Description-en: Perl port of Kate's syntax highlighting engine
 Syntax::Highlight::Engine::Kate collection of modules porting the Kate text
 editor's syntax highlighting engine to Perl. Kate's language XML specification
 files have been rewritten to Perl modules using a script and the modules can
 act as plugins to the module.
 .
 Upstream considers this module deprecated and recommends Syntax::Kamelon as
 an alternative.

Package: libsyntax-highlight-perl-improved-perl
Description-md5: 4970ac6a409e05e0a606fcbbe2b321b7
Description-en: Highlighting of Perl Syntactical Structures
 Syntax::Highlight::Perl::Improved provides syntax highlighting for
 Perl code. The design bias is roughly line-oriented and streamed (ie,
 processing a file line-by-line in a single pass). Provisions may be
 made in the future for tasks related to "back-tracking" (i.e.,
 re-doing a single line in the middle of a stream) such as speeding up
 state copying.

Package: libsyntax-keyword-dynamically-perl
Description-md5: 072173824a775280ad429bf8f5cb7a39
Description-en: module to dynamically change the value of a variable
 Syntax::Keyword::Dynamically provides a syntax plugin that implements a
 single keyword, dynamically, which alters the behaviour of a scalar
 assignment operation. Syntactically and semantically it is similar to the
 built-in perl keyword local, but is implemented somewhat differently to give
 two key advantages over regular local:
 .
  - You can dynamically assign to lvalue functions and accessors.
  - You can dynamically assign to regular lexical variables.

Package: libsyntax-keyword-gather-perl
Description-md5: 41e20205fff9023ddb09c5620f6bf044
Description-en: syntax extension for the "gather" keyword
 Syntax::Keyword::Gather is a Perl syntax extension (see libsyntax-perl)
 that provides the "gather" control structure, which was inspired by Perl 6.
 It allows lists to be constructed procedurally, without the need for a
 temporary variable, by managing an implicit array within a closure.

Package: libsyntax-keyword-junction-perl
Description-md5: c6fd636a7a76910cb9e1e0785f5927ed
Description-en: Perl6 style Junction operators in Perl5
 Syntax::Keyword::Junction is a lightweight module which provides 'Junction'
 operators, the most commonly used being "any" and "all".
 .
 Inspired by the Perl6 design docs,
 <http://dev.perl.org/perl6/doc/design/exe/E06.html>.

Package: libsyntax-keyword-try-perl
Description-md5: 3f47ba36dd0381263ca3cd09af4f523a
Description-en: try/catch/finally syntax for perl
 Syntax::Keyword::Try provides a syntax plugin that implements
 exception-handling semantics in a form familiar to users of other languages,
 being built on a block labeled with the try keyword, followed by at least one
 of a catch or finally block.
 .
 As well as providing a handy syntax for this useful behaviour, this module
 also serves to contain a number of code examples for how to implement parser
 plugins and manipulate optrees to provide new syntax and behaviours for perl
 code.

Package: libsyntax-perl
Description-md5: 864d44d6c30dc2effbdcced10a60a166
Description-en: pragma for activating syntax extensions
 syntax is a Perl pragma for activating community-provided syntax extensions.
 It is similar to, but much more extensible than, the "feature" pragma, since
 it can load third-party extensions as well.
 .
 Because of the extensible nature of this module, there are no standardised
 options. Please consult the documentation for specific syntax features to
 learn about possible configuration options. See the are packages that have
 names like: libsyntax-keyword-*-perl.

Package: libsynthesis-dev
Description-md5: 2c7c95297ed53c06095a9dd59f6252d0
Description-en: library for SyncML-DS (SyncML Data Sync) clients (development files)
 The Synthesis SyncML engine supports SyncML versions 1.0, 1.1 and 1.2
 including complex features like data filtering, suspend & resume,
 vCard/vCalendar format conversion in a way completely transparent to
 the user of the library.
 .
 These are the development files, only needed if you are compiling applications.

Package: libsynthesis0v5
Description-md5: 0811d60c8e81cee3442f04e189698913
Description-en: library for SyncML-DS (SyncML Data Sync) clients (shared libraries)
 The Synthesis SyncML engine supports SyncML versions 1.0, 1.1 and 1.2
 including complex features like data filtering, suspend & resume,
 vCard/vCalendar format conversion in a way completely transparent to
 the user of the library.
 .
 These are the shared libraries (see also libsmltk0).

Package: libsys-cpu-perl
Description-md5: cb40c7b6d3eadac691dc3206bb403b83
Description-en: module for getting CPU information
 The Sys::CPU Perl offers an API to get the number of CPUs and its
 speed and type. It reports numbers, type, and clockspeed of CPUs.

Package: libsys-cpuaffinity-perl
Description-md5: 2d5d91c0b4610ea8dcdf587c9987c1b3
Description-en: module to set CPU affinity for processes
 The details of getting and setting process CPU affinities varies greatly from
 system to system. Even among the different flavors of Unix there is very
 little in the way of a common interface to CPU affinities. The existing tools
 and libraries for setting CPU affinities are not very standardized, so that a
 technique for setting CPU affinities on one system may not work on another
 system with the same architecture.
 .
 Sys::CpuAffinity seeks to do one thing and do it well: manipulate CPU
 affinities through a common interface on as many systems as possible, by any
 means necessary.
 .
 The module is composed of several subroutines, each one implementing a
 different technique to perform a CPU affinity operation. A technique might
 try to import a Perl module, run an external program that might be installed
 on your system, or invoke some C code to access your system libraries.
 Usually, a technique is applicable to only a single or small group of
 operating systems, and on any particular system, the vast majority of
 techniques would fail. Regardless of your particular system and
 configuration, it is hoped that at least one of the techniques will work and
 you will be able to get and set the CPU affinities of your processes.

Package: libsys-cpuload-perl
Description-md5: 63119e343c8245d64b76860070b8effd
Description-en: module which retrieves load average of a machine
 Sys::CpuLoad retrieves the 1 minute, 5 minute, and 15
 minute load average of a machine.

Package: libsys-filesystem-perl
Description-md5: 4380d36ada948a81c89aa291d77eadfe
Description-en: Perl module to retrieve list of filesystems and their properties
 Sys::Filesystem is intended to be a portable interface to list and query
 filesystem names and their properties. It hopes to provide a consistent API
 to list all, mounted, unmounted and special filesystems on a system, and
 query as many properties as possible with common aliases wherever possible.

Package: libsys-gamin-perl
Description-md5: 8f90baf84e14194ecda0c4bc4df03817
Description-en: Perl interface to Gamin (File Access Monitor implementation)
 The Sys::Gamin module provides a somewhat higher-level and friendlier interface
 to the Gamin File Access Monitor API. This allows one to monitor both local and
 remote (NFS-mounted) files and directories for common filesystem events.

Package: libsys-hostip-perl
Description-md5: 1c41fbb571a273f03fb2d08d24245778
Description-en: Perl module to determine local machine's IP address
 Sys::HostIP determines the IP address of the local machine by parsing
 ifconfig(8) (ipconfig on Win32/Cygwin) output.
 .
 It has been tested on a wide range of systems including Irix, OpenBSD,
 FreeBSD, NetBSD, Solaris, Linux, OSX, Win32, and Cygwin.
 .
 For convenience, Sys::HostIP offers both object-oriented and functional
 interfaces.

Package: libsys-info-base-perl
Description-md5: 69b6c9e36fd865d7b57fe58ff6a38545
Description-en: fetch basic information from the host system
 Sys::Info::Base extracts and collects basic information
 from the host system.

Package: libsys-info-driver-linux-perl
Description-md5: 7330c35ccead98c3c55a9a918ec5b649
Description-en: Linux driver for Sys::Info
 Sys::Info::Driver::Linux is the main module in the Linux driver collection
 used by various Sys::Info::* modules.

Package: libsys-info-perl
Description-md5: 9ed93f1754ecdf20af226a5d4264f665
Description-en: module to fetch information from the host system
 Sys::Info extracts and collects information from the host system.
 .
 It provides information on the OS, devices, perl, etc.

Package: libsys-meminfo-perl
Description-md5: 2e1333a6a9a19c71da5caf32ebe55f25
Description-en: module to query the total free and used physical memory
 Sys::MemInfo is a Perl module to return the total amount of free and used
 physical memory and swap-space. It is available for most major operating
 systems.

Package: libsys-mmap-perl
Description-md5: 345611db10314ff64e17db9dfb4ec388
Description-en: module for using POSIX mmap
 The Mmap module uses the POSIX mmap call to map in a file as a Perl variable.
 Memory access by mmap may be shared between threads or forked processes, and
 may be a disc file that has been mapped into memory. Sys::Mmap depends on
 your operating system supporting UNIX or POSIX.1b mmap, of course.
 .
 Note that PerlIO now defines a :mmap tag and presents mmap'd files as regular
 files, if that is your cup of joe.
 .
 Several processes may share one copy of the file or string, saving memory,
 and concurrently making changes to portions of the file or string. When not
 used with a file, it is an alternative to SysV shared memory. Unlike SysV
 shared memory, there are no arbitrary size limits on the shared memory area,
 and sparce memory usage is handled optimally on most modern UNIX
 implementations.

Package: libsys-sigaction-perl
Description-md5: 610bce5c1b4328b62935f3a19bb5b6bc
Description-en: Perl extension for consistent signal handling
 Sig::SigAction implements set_sig_handler(), which sets up a signal handler and
 (optionally) returns an object which causes the signal handler to be reset to
 the previous value, when it goes out of scope.
 .
 Also implemented is timeout_call() which takes a timeout value and a code
 reference, and executes the code reference wrapped with an alarm timeout.
 .
 Finally, two convenience routines are defined which allow one to get the
 signal name from the number -- sig_name(), and get the signal number from the
 name -- sig_number().

Package: libsys-statistics-linux-perl
Description-md5: c37303ac6be0eae3fde59d574b01e2db
Description-en: Perl module to collect system statistics
 Sys::Statistics::Linux is a Perl module that provides a simple interface to
 get various information about a running Linux system, including things like
 the current processor workload, memory usage, network and disk statistics,
 and more.

Package: libsys-syscall-perl
Description-md5: 98dd055f4624008d31bde75ca70f0203
Description-en: module to access system calls that Perl doesn't normally provide access to
 Sys::Syscall allows one to use epoll and sendfile system calls from
 Perl.  Support is mostly Linux-only for now, but other syscalls/OSes
 are planned for the future.

Package: libsys-utmp-perl
Description-md5: 6f92392edd45785718be62d05ab7370f
Description-en: perl module to query UTMP files
 Sys::Utmp provides a vaguely object oriented interface to the Unix user
 accounting file /var/run/utmp, and other files of similar format. It can
 only be used to query the contents of the file, and cannot modify it.

Package: libsys-virt-perl
Description-md5: 07dddecc38f78736f79b80c156a98a2d
Description-en: Perl module providing an extension for the libvirt library
 The Sys::Virt module provides a Perl XS binding to the libvirt virtual
 machine management APIs. This allows machines running within arbitrary
 virtualization containers to be managed with a consistent API.
 .
 For further details on libvirt consult its website http://libvirt.org/

Package: libsysadm-install-perl
Description-md5: ae2e861162abf14341765b4180010b9b
Description-en: module for typical installation tasks of system administrators
 Sysadm::Install executes shell-like commands performing typical
 installation tasks: Copying files, extracting tarballs, calling make.
 It has a fail once and die policy, meticulously checking the result
 of every operation and calling die() immeditatly if anything fails.
 .
 Sysadm::Install also supports a dry_run mode, in which it
 logs everything, but suppresses any write actions.

Package: libsyslog-ocaml
Description-md5: c184c16b5087ad4b4649163cf57c0d22
Description-en: syslog routines for OCaml (runtime)
 This library provides syslog client functions for OCaml programs.
 These functions are implemented almost entirely in OCaml, not as
 bindings to the standard C library.
 .
 This package contains the shared runtime stub libraries.

Package: libsyslog-ocaml-dev
Description-md5: b92dd8cb956ea15684a4bbb35d8e65f0
Description-en: syslog routines for OCaml (development files)
 This library provides syslog client functions for OCaml programs.
 These functions are implemented almost entirely in OCaml, not as
 bindings to the standard C library.
 .
 This package contains the files needed to compile OCaml programs
 that use the syslog library.

Package: libsysstat-qt5-0
Description-md5: 168c880ebf893c91f137e27df737299f
Description-en: Qt-based interface to system statistics
 SysStat is a Qt-based interface to system statistics.
 .
 This package contain the shared library.

Package: libsysstat-qt5-0-dev
Description-md5: 78f8e93c2cbbb3377c63d0ecad228722
Description-en: Qt-based interface to system statistics (dev)
 SysStat is a Qt-based interface to system statistics.
 .
 This package contain the development files.

Package: libsystem-command-perl
Description-md5: 82594e971ca9cf377678c77b85a00cf0
Description-en: Perl class for running system commands
 System::Command is a class that launches external system commands and return
 an object representing them, allowing to interact with them through their
 STDIN, STDOUT and STDERR handles.

Package: libsystem-info-perl
Description-md5: 2423619d460f37c4f32eb2c582141738
Description-en: package to obtain basic system information
 System::Info tries to present system-related information, like number
 of CPUs, architecture, OS, and release related information in a
 system-independent way.  This releases the user of this module of the
 need to know if the information comes from Windows, Linux, HP-UX,
 AIX, Solaris, Irix, or VMS, and if the architecture is i386, x64,
 pa-risc2, or arm.

Package: libsystem-sub-perl
Description-md5: 5155d37e8f8917f2efbbcaf9ba392156
Description-en: wrap external command with a DWIM sub
 System::Sub provides a Perl sub
 that wraps the call to an external program.
 The return value is line(s) dependending on context ("wantarray").
 .
 This may be what you need if you want to run external commands
 as easily as from a Unix shell script
 but with a perl-ish feel (contextual output).
 So this is not a universal module
 for running external programs (like IPC::Run)
 but instead a simpler interface
 for a common style of external programs.

Package: libsystemc
Description-md5: 6ce85d52a129da2cc8933ab680139ed9
Description-en: SystemC library
 SystemC is an HDL (High Definition Language) based on a C++ class library
 intended for simulations using standard C++ tools.
 This library also includes TLM (Transaction Level Modeling) code,
 .
 This package contains the shared libraries.

Package: libsystemc-dev
Description-md5: 08b55958bcf9b7cacae7a9a9ffe7be99
Description-en: Development files for SystemC library
 SystemC is an HDL (High Definition Language) based on a C++ class library
 intended for simulations using standard C++ tools.
 This library also includes TLM (Transaction Level Modeling) code,
 .
 This package contains the header files and static libraries for the
 SystemC library.

Package: libsystemc-doc
Description-md5: 723162704602a4e2517be1d6dcceace8
Description-en: Documentation for SystemC library
 SystemC is an HDL (High Definition Language) based on a C++ class library
 intended for simulations using standard C++ tools.
 This library also includes TLM (Transaction Level Modeling) code,
 .
 This package contains the documentation for the SystemC library.

Package: libsz2
Description-md5: 81965572fa7032369ae23b1dacd70f5a
Description-en: Adaptive Entropy Coding library - SZIP
 Libaec provides fast lossless compression of 1 up to 32 bit wide
 signed or unsigned integers (samples).
 Libaec implements Golomb Rice coding as defined in the Space Data
 System Standard documents 121.0-B-2 [1] and 120.0-G-2[2].
 .
 This package provides a free drop-in replacement for the SZIP
 compression library.

Package: libt-digest-java
Description-md5: 66f122eeb099f2b7c4da5fb6e8d311d8
Description-en: Data structure for quantiles and related rank statistics
 The t-digest construction algorithm uses a variant of 1-dimensional
 k-means clustering to product a data structure that is related to the
 Q-digest. This t-digest data structure can be used to estimate
 quantiles or compute other rank statistics. The advantage of the
 t-digest over the Q-digest is that the t-digest can handle floating
 point values while the Q-digest is limited to integers. With small
 changes, the t-digest can handle any values from any ordered set that
 has something akin to a mean. The accuracy of quantile estimates
 produced by t-digests can be orders of magnitude more accurate than
 those produced by Q-digests in spite of the fact that t-digests are
 more compact when stored on disk.

Package: libt-digest-java-doc
Description-md5: 2df42a3e625678451aa987a84de61068
Description-en: Documentation for libt-digest-java
 Data structure which allows accurate estimation of quantiles and related
 rank statistics
 .
 This package contains the API documentation of libt-digest-java.

Package: libt3config-dev
Description-md5: e4f3af5f527f3b034238eec5e9edef3c
Description-en: Development files for libt3config
 The libt3config library provides functions for reading and writing simple
 structured configuration files. It provides a simple structured syntax and
 typed data, a simple API for reading and manipulating configurations, and
 schema definitions allowing the verification of the structure of loaded
 configuration data.
 .
 This package contains the header files to compile programs against
 libt3config.

Package: libt3config0
Description-md5: ecca56d9528975654af5c35a9907db16
Description-en: Library for reading and writing configuration files
 The libt3config library provides functions for reading and writing simple
 structured configuration files. It provides a simple structured syntax and
 typed data, a simple API for reading and manipulating configurations, and
 schema definitions allowing the verification of the structure of loaded
 configuration data.

Package: libt3highlight-dev
Description-md5: 1c5143832622a87c8bbca5741850ffdf
Description-en: Development files for libt3highlight
 The libt3highlight library provides functions for syntax-highlighting different
 types of text files. Its main design goal is an easily restartable syntax
 highlighting, suitable for use in interactive text editors.
 .
 To make the syntax highlighting restartable, libt3highlight uses a single
 integer start-of-line state. However, it does provide several advanced
 features, such as dynamic end-of-state patterns, which make it possible to
 highlight complex languages like Perl and Bash with high fidelity.
 .
 This package contains the header files to compile programs against
 libt3highlight.

Package: libt3highlight2
Description-md5: 25be62695431c40922d48aef73aa9357
Description-en: Syntax highlighting library
 The libt3highlight library provides functions for syntax-highlighting different
 types of text files. Its main design goal is an easily restartable syntax
 highlighting, suitable for use in interactive text editors.
 .
 To make the syntax highlighting restartable, libt3highlight uses a single
 integer start-of-line state. However, it does provide several advanced
 features, such as dynamic end-of-state patterns, which make it possible to
 highlight complex languages like Perl and Bash with high fidelity.

Package: libt3key-bin
Description-md5: 97090f56d3ae13fe1e779b2a59018204
Description-en: Utilities for working with libt3key terminal descriptions
 The libt3key library provides functions for retrieving the character sequences
 used by terminals to represent keys pressed by the user. Although the terminfo
 database provides part of this information, it lacks information for the
 sequences returned by modern terminals/terminal emulators for many combinations
 of modifiers with other keys. For example, many terminal emulators provide
 separate character sequences for Control combined with the cursor keys,
 which is not stored in the terminfo database.
 .
 This package contains the t3learnkeys and t3keyc programs.

Package: libt3key-dev
Description-md5: 3f6aee221a25a17aff272577985d3b7a
Description-en: Development files for libt3key
 The libt3key library provides functions for retrieving the character sequences
 used by terminals to represent keys pressed by the user. Although the terminfo
 database provides part of this information, it lacks information for the
 sequences returned by modern terminals/terminal emulators for many combinations
 of modifiers with other keys. For example, many terminal emulators provide
 separate character sequences for Control combined with the cursor keys,
 which is not stored in the terminfo database.
 .
 This package contains the header files to compile programs against
 libt3key.

Package: libt3key1
Description-md5: 3c57018d194a4c7cd316d6bbe34f7d7c
Description-en: Terminal key sequence database library
 The libt3key library provides functions for retrieving the character sequences
 used by terminals to represent keys pressed by the user. Although the terminfo
 database provides part of this information, it lacks information for the
 sequences returned by modern terminals/terminal emulators for many combinations
 of modifiers with other keys. For example, many terminal emulators provide
 separate character sequences for Control combined with the cursor keys,
 which is not stored in the terminfo database.

Package: libt3widget-dev
Description-md5: b677a86dcef052afb0b5217844a3d27e
Description-en: Development files for libt3widget
 The libt3widget library provides a C++ dialog toolkit. It provides objects for
 dialogs and widgets like buttons, text fields, check boxes etc., to facilitate
 easy construction of dialog based programs for Un*x terminals.
 .
 This package contains the header files to compile programs against
 libt3widget.

Package: libt3widget2
Description-md5: 5aed2fdbeda9693eb97557072babeb81
Description-en: C++ terminal dialog toolkit
 The libt3widget library provides a C++ dialog toolkit. It provides objects for
 dialogs and widgets like buttons, text fields, check boxes etc., to facilitate
 easy construction of dialog based programs for Un*x terminals.

Package: libt3window-dev
Description-md5: 54667e28f8cc2830f8bdf9fbacbf49cb
Description-en: Development files for libt3window
 The libt3window library provides functions for manipulating the terminal and
 for creating (possibly overlapping) windows on a terminal. libt3window can be
 used instead of (n)curses for drawing on the terminal.
 .
 This package contains the header files to compile programs against
 libt3window.

Package: libt3window0
Description-md5: c2f9ebff862b2324e4b4dce618636c40
Description-en: Library for creating window-based terminal programs
 The libt3window library provides functions for manipulating the terminal and
 for creating (possibly overlapping) windows on a terminal. libt3window can be
 used instead of (n)curses for drawing on the terminal.

Package: libt4k-common0
Description-md5: 816d03aeaae3d82f3a11140bdd2a64ea
Description-en: common library for tux4kids
 t4k_common is a library of code shared between tuxmath and tuxtype.

Package: libt4k-common0-dev
Description-md5: 07deaa1e27ff4f81ffdc36a27e518b52
Description-en: common library for tux4kids - development files
 t4k_common is a library of code shared between tuxmath and tuxtype.
 .
 This package contains the development flies.

Package: libtabixpp-dev
Description-md5: 65d3e133805bf0d504fbd986752b3bf7
Description-en: C++ wrapper to tabix indexer (development files)
 This package provides development headers and static libraries for libtabixpp,
 a C++ interface wrapper for Tabix. Tabix is a part of htslib to index tabular
 files in which some columns indicate sequence coordinates.

Package: libtabixpp0
Description-md5: 5d905f6df26f286bf996183bc2175c8f
Description-en: C++ wrapper to tabix indexer
 Tabix indexes files where some columns indicate sequence coordinates: name
 (usually a chromosome), start and stop. The input data file must be position
 sorted and compressed by bgzip. After indexing, tabix is able to quickly
 retrieve data lines by chromosomal coordinates.
 .
 This package provides a C++ wrapper to the tabix indexer.

Package: libtablelayout-java
Description-md5: 9826495a46778cc88026b2c0908a8309
Description-en: Java layout manager for creating user interfaces fast and easy
 TableLayout is a free layout manager designed to make creating user interfaces
 fast and easy. It can do anything GridBagLayout can do and much, much more.
 Yet it is remarkably simple and easy to use.
 .
 TableLayout uses concepts that everyone is familiar with such as
 spreadsheet-like rows, columns, and cells; and word processing justifications
 like left, center, right, and full. Consequentially, creating interfaces takes
 minutes instead of hours.
 .
 Since its publication in 2002, TableLayout has rapidly become the most popular
 third party layout manager in the world.

Package: libtachyon-dev-common
Description-md5: 7bcd085525906722ed5438377f06447e
Description-en: Parallel/Multiprocessor Ray Tracing Library - development - common material
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package contains the header files that third party developers
 using the tachyon C library will need.

Package: libtachyon-mpi-dev
Description-md5: 474b27d55a90699f68f12d99ad28c447
Description-en: Parallel/Multiprocessor Ray Tracing Library - development - default MPI flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This metapackage depends on the default MPI version of the tachyon
 C library development package for each architecture.

Package: libtachyon-mpich-0
Description-md5: 8bd7d9d348d9f552dd2a83a2422ac8d2
Description-en: Parallel/Multiprocessor Ray Tracing Library - runtime - MPICH flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package provides the shared library required to run third party
 program compiled against the tachyon C library built against MPICH.
 To compile your own programs you also need to install the concomitant
 libtachyon-mpich-0-dev package.

Package: libtachyon-mpich-0-dev
Description-md5: 5a77c99c057962b9a020314818b47fa9
Description-en: Parallel/Multiprocessor Ray Tracing Library - development - MPICH flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package contains the static libraries and symbolic links that
 third party developers using the tachyon C library built against
 MPICH will need.

Package: libtachyon-mt-0
Description-md5: b592ea1e35a2e03f74e935e83c7c110c
Description-en: Parallel/Multiprocessor Ray Tracing Library - runtime - MT flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package provides the shared library required to run third party
 program compiled against the tachyon C library built with multithreading.
 To compile your own programs you also need to install the concomitant
 libtachyon-mt-0-dev package.

Package: libtachyon-mt-0-dev
Description-md5: c0030f695864f9dc9d00c85e556ac8a3
Description-en: Parallel/Multiprocessor Ray Tracing Library - development - MT flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package contains the static libraries and symbolic links that
 third party developers using the tachyon C library built with
 multithreading will need.

Package: libtachyon-openmpi-0
Description-md5: 21a2e6e422acf3791fdecf04d1a6234b
Description-en: Parallel/Multiprocessor Ray Tracing Library - runtime - OpenMPI flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package provides the shared library required to run third party
 program compiled against the tachyon C library built against OpenMPI.
 To compile your own programs you also need to install the concomitant
 libtachyon-openmpi-0-dev package.

Package: libtachyon-openmpi-0-dev
Description-md5: d39af58048fe6ab83f0211871367e638
Description-en: Parallel/Multiprocessor Ray Tracing Library - development - OpenMPI flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package contains the static libraries and symbolic links that
 third party developers using the tachyon C library built against
 OpenMPI will need.

Package: libtachyon-serial-0
Description-md5: b08285f46a0107ccc8c43e43acf99aa6
Description-en: Parallel/Multiprocessor Ray Tracing Library - runtime - serial flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package provides the shared library required to run third party
 program compiled against the tachyon C library built for serial platforms.
 To compile your own programs you also need to install the concomitant
 libtachyon-serial-0-dev package.

Package: libtachyon-serial-0-dev
Description-md5: 2c2c1b4a833f6e57f3b0c81ee0710af0
Description-en: Parallel/Multiprocessor Ray Tracing Library - development - serial flavour
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package contains the static libraries and symbolic links that
 third party developers using the tachyon C library built for serial
 platforms.

Package: libtag-extras-dev
Description-md5: 1c64042d580b8a4e9d240dd720b5ac93
Description-en: TagLib extras library - development files
 This package contains development files needed to build applications using
 TagLib extras library. This library implements reading and editing tags of a
 couple extra media file formats which are not supported by the core TagLib
 Audio Meta-Data Library (taglib). Currently supported file formats are ASF,
 Audible, MP4, RealMedia and WAV.

Package: libtag-extras1
Description-md5: 018e2877565bafdfc2887e827fa3b393
Description-en: TagLib extras library - support for more file formats
 This package contains a library which implements reading and editing tags of a
 couple extra media file formats which are not supported by the core TagLib
 Audio Meta-Data Library (taglib). Currently supported file formats are ASF,
 Audible, MP4, RealMedia and WAV.

Package: libtagcoll2-dev
Description-md5: 4f0bb748070edb127d52d61cbbb2702d
Description-en: Functions used to manipulate tagged collections (development version)
 Tagged collections are collections of items tagged with multiple categories.
 libtagcoll provides general infrastructure to handle tagged collection data,
 plus various kind of tagged collection manipulation functions:
 .
  * apply various kinds of transformations to the collection;
  * generate and apply tag patches;
  * discover and show implicit hierarchical relationships between
    tags;
  * organize the collection in an intuitively navigable hierarchy.
  * keep an on-disk index of the tag data
 .
 Warning: the API has not yet been stabilized and is subject to change in
 future versions.  This is why the library is distributed in a -dev package
 only.

Package: libtaglib-cil-dev
Description-md5: bc5ea7c5ce965dd7c2e57aaabb6ddd25
Description-en: CLI library for accessing audio and video files metadata (dev files)
 TagLib# is a CLI library used to read and manipulate metadata
 in different audio and video file formats.
 .
 This package contains development files for TagLib#, and should be used
 for development

Package: libtaglib-ocaml
Description-md5: c26ec2c6880d38d0cda61215fe53e258
Description-en: OCaml bindings for the TagLib Audio Meta-Data Library
 TagLib is a library for reading and editing the meta-data of several popular
 audio formats. This package provides OCaml interface to
 the TagLib library.
 .
 This package contains only the shared runtime stub libraries.

Package: libtaglib-ocaml-dev
Description-md5: 1ff7bcf17fc5547584c665594d3767c9
Description-en: OCaml bindings for the TagLib Audio Meta-Data Library -- devel
 TagLib is a library for reading and editing the meta-data of several popular
 audio formats. This package provides OCaml interface to
 the TagLib library.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use taglib.

Package: libtaglib2.1-cil
Description-md5: 474feaba5c241157ba11ddada1200146
Description-en: CLI library for accessing audio and video files metadata
 TagLib# is a CLI library used to read and manipulate metadata
 in different audio and video file formats.
 .
 This package contains the TagLib# assembly

Package: libtaglibs-standard-impl-java
Description-md5: 19ebc56d1703dc726ca67eed195e4d58
Description-en: Apache JSP Standard Taglib Implementation
 JSTL can be used to embed logic in JSP pages without using embedded java code.
 Various tags are defined for common tasks such as conditional execution, loops,
 internationalization, XML processing etc.
 .
 This package is an implementation of the JSP Standard Tag Library (JSTL).

Package: libtaglibs-standard-jstlel-java
Description-md5: 664b2f0f53943fc79f9b3c9dd4b79cc7
Description-en: Apache JSP Standard Taglib 1.0 EL Support
 JSTL can be used to embed logic in JSP pages without using embedded java code.
 Various tags are defined for common tasks such as conditional execution, loops,
 internationalization, XML processing etc.
 .
 This package contains JSTL 1.0 tags using the original EL implementation.

Package: libtaglibs-standard-spec-java
Description-md5: f501bb63d07247c023f5599a9e64079b
Description-en: Apache JSP Standard Taglib Specification API
 JSTL can be used to embed logic in JSP pages without using embedded java code.
 Various tags are defined for common tasks such as conditional execution, loops,
 internationalization, XML processing etc.
 .
 This package is implementation of the JSP Standard Tag Library (JSTL)
 Specification API.

Package: libtagsoup-java
Description-md5: ccd8d2c5307472e6177df4ec5855d5c4
Description-en: SAX-compliant parser for real-life HTML
 TagSoup, a SAX-compliant parser written in Java that, instead of parsing
 well-formed or valid XML, parses HTML as it is found in the wild: poor,
 nasty and brutish, though quite often far from short. TagSoup is designed
 for people who have to process this stuff using some semblance of a
 rational application design.
 .
 By providing a SAX interface, it allows standard XML tools to be applied
 to even the worst HTML. TagSoup also includes a command-line processor
 that reads HTML files and can generate either clean HTML or well-formed
 XML that is a close approximation to XHTML.
 .
 TagSoup is designed as a parser, not a whole application; it isn't
 intended to permanently clean up bad HTML, as HTML Tidy does, only to
 parse it on the fly. Therefore, it does not convert presentation HTML
 to CSS or anything similar. It does guarantee well-structured results:
 tags will wind up properly nested, default attributes will
 appear appropriately, and so on.

Package: libtagsoup-java-doc
Description-md5: e7e9d07ddcb2ef2b49d5eaa49599a677
Description-en: API Documentation for TagSoup
 Documentation for TagSoup, a SAX-compliant parser written in Java that instead
 of parsing well-formed or valid XML, parses HTML as it is found in the wild:
 poor, nasty and brutish, though quite often far from short. TagSoup is designed
 for people who have to process this stuff using some semblance of a
 rational application design.

Package: libtaint-runtime-perl
Description-md5: 4192464627f9b90a3cd456dd015222d3
Description-en: module to enable taint checking at runtime
 Taint::Runtime module is intended to encourage the use of taint mode as
 painless as possible. You should probably use the -T switch on the commandline
 instead. There are a somewhat limited number of legitimate use cases where you
 should use this module instead of the -T switch. Unless you have a specific and
 good reason for not using the -T option, you should use the -T option. See
 manpage for more details.

Package: libtaint-util-perl
Description-md5: a16d972ab7858aeda5e6ecd8c0452138
Description-en: Perl module to manipulate the Perl internal taint flag
 Taint::Util wraps perl's internal routines for checking and setting the
 taint flag and thus does not rely on regular expressions for untainting
 or odd tricks involving eval and kill for checking whether data is
 tainted, instead it checks and flips a flag on the scalar in-place.

Package: libtainting-perl
Description-md5: 238aa297f2ad36cc444a4b6f918f2160
Description-en: Perl pragma to enable taint mode lexically
 tainting provides a simpler interface to Taint::Runtime. The idea is so that
 there is no functions or variables to import. Just use or no, like warnings
 or strict. Tainting of %ENV will be done one time automatically the first
 time this module is used.
 .
 Please (PLEASE) read Taint::Runtime's documentation first about the pro's and
 con's of enabling/disabling tainting at runtime. Use -T if you can.

Package: libtaktuk-1-dev
Description-md5: 462cf33b3bbc3742fb768259cb12e68d
Description-en: C bindings for taktuk (development files)
 TakTuk allows one to execute commands in parallel on a potentially large set
 of remote nodes (using ssh to connect to each node). It is typically used
 inside high performance computing clusters and grids. It uses an adaptive
 algorithm to efficiently distribute the work and sets up an interconnection
 network to transport commands and perform I/Os multiplexing. It doesn't
 require any specific software on the nodes thanks to a self-propagation
 algorithm.
 .
 This package provides development files for libtaktuk3, the C bindings for
 the taktuk communication layer.

Package: libtaktuk-perl
Description-md5: f2a17f77704e0f6a39c1afd121cc6ec0
Description-en: Perl bindings for taktuk
 TakTuk allows one to execute commands in parallel on a potentially large set
 of remote nodes (using ssh to connect to each node). It is typically used
 inside high performance computing clusters and grids. It uses an adaptive
 algorithm to efficiently distribute the work and sets up an interconnection
 network to transport commands and perform I/Os multiplexing. It doesn't
 require any specific software on the nodes thanks to a self-propagation
 algorithm.
 .
 This package provides perl bindings to the TakTuk API. It also provides
 TakTuk::Pilot, a perl module that allows one to manage a TakTuk instance from
 a perl program.

Package: libtaktuk3
Description-md5: 4ec2cd2f53a1ac7955b303afb7a76a9c
Description-en: C bindings for taktuk
 TakTuk allows one to execute commands in parallel on a potentially large set
 of remote nodes (using ssh to connect to each node). It is typically used
 inside high performance computing clusters and grids. It uses an adaptive
 algorithm to efficiently distribute the work and sets up an interconnection
 network to transport commands and perform I/Os multiplexing. It doesn't
 require any specific software on the nodes thanks to a self-propagation
 algorithm.
 .
 This package provides libtaktuk3, the C bindings for the taktuk communication
 layer.

Package: libtamuanova-0.2
Description-md5: ea2fd2f5bec81bf6dfb00e7a81fb08d7
Description-en: Library to calculate an ANOVA
 C library for single and two factor ANOVA.
 .
 This package contains the runtime library.

Package: libtamuanova-dev
Description-md5: cd577afd0e0c4f5248fcb61e1937e489
Description-en: Development files for the TAMU ANOVA library
 C library for single and two factor ANOVA.
 .
 This package contains the header and development files for the
 tamuanova library.

Package: libtangence-perl
Description-md5: 49bad26e287f7b1700b9bf44823cdcb0
Description-en: attribute-oriented server/client object remoting framework
 Like CORBA only much smaller, lighter, and with heavy emphasis on attributes
 of remoted objects, including notifications of modification and atomic update
 operations.

Package: libtango-dev
Description-md5: e618df7940f0a511812d4eccd49a78b7
Description-en: TANGO distributed control system - development library
 TANGO is an object-oriented distributed control system using CORBA. In
 TANGO all objects are representations of devices, which can be on the
 same computer or distributed over a network. Communication between
 devices uses CORBA and can be synchronous, asynchronous or event driven.
 .
 The object model in TANGO supports methods, attributes and properties.
 TANGO provides an API which hides all the details of network access and
 provides object browsing, discovery, and security features. Permanent
 data is stored in a MySQL/MariaDB database.
 .
 TANGO is being actively developed as a collaborative effort between the
 ESRF (www.esrf.eu), Soleil (synchrotron-soleil.fr), Alba (www.cells.es),
 and Elettra institutes (www.elettra.trieste.it).
 .
 This package contains the tango9 development files.

Package: libtango-doc
Description-md5: b92831c45aba30fe495f650e30a64269
Description-en: TANGO distributed control system - documentation
 TANGO is an object-oriented distributed control system using CORBA. In
 TANGO all objects are representations of devices, which can be on the
 same computer or distributed over a network. Communication between
 devices uses CORBA and can be synchronous, asynchronous or event driven.
 .
 The object model in TANGO supports methods, attributes and properties.
 TANGO provides an API which hides all the details of network access and
 provides object browsing, discovery, and security features. Permanent
 data is stored in a MySQL/MariaDB database.
 .
 TANGO is being actively developed as a collaborative effort between the
 ESRF (www.esrf.eu), Soleil (synchrotron-soleil.fr), Alba (www.cells.es),
 and Elettra institutes (www.elettra.trieste.it).
 .
 This package contains the documentation for the libtango9 library.

Package: libtango-tools
Description-md5: 544e0fea418eb6b7c4e205af672d77a2
Description-en: TANGO distributed control system - common executable files
 This package provides shared files for the TANGO distributed control
 system, and sets up the required infrastructure.

Package: libtango9
Description-md5: 07b63e916b41f8d54e9fbf0df09a98a0
Description-en: TANGO distributed control system - shared library
 TANGO is an object-oriented distributed control system using CORBA. In
 TANGO all objects are representations of devices, which can be on the
 same computer or distributed over a network. Communication between
 devices uses CORBA and can be synchronous, asynchronous or event driven.
 .
 The object model in TANGO supports methods, attributes and properties.
 TANGO provides an API which hides all the details of network access and
 provides object browsing, discovery, and security features. Permanent
 data is stored in a MySQL/MariaDB database.
 .
 TANGO is being actively developed as a collaborative effort between the
 ESRF (www.esrf.eu), Soleil (synchrotron-soleil.fr), Alba (www.cells.es),
 and Elettra institutes (www.elettra.trieste.it).
 .
 This package contains the files necessary for running TANGO applications.

Package: libtangram-perl
Description-md5: 953aba0bc62cc4b416c6aa400b447fa2
Description-en: Orthogonal Object Persistence in Relational Databases
 Tangram is an object-relational mapper. It makes objects persist in
 relational databases, and provides powerful facilities for retrieving
 and filtering them. Tangram fully supports object-oriented programming,
 including polymorphism, multiple inheritance and collections.
 It does so in an orthogonal fashion, that is, it doesn't require
 your classes to implement support functions nor inherit from a utility class.
 .
 Tangram builds upon DBI, so you'll need to install DBI and at least
 one DBD driver if that is not done already. You will also need
 Set::Object. These modules are available from CPAN.
 .
 If you intend to run the regression tests (recommended), you will
 also need to prepare an empty database before starting the installation
 procedure.

Package: libtaningia-dev
Description-md5: 6c303135f73171909626c4f5af1d6073
Description-en: Development files for lib taningia
 Taningia is a simple and modern communication library that provides
 tools to write software based on XMPP.
 .
 In this library you will find a XMPP client abstraction, some pubsub
 helpers, an implementation of the Atom Syndication Format, a
 (incomplete) abstraction to the RFC3987 (IRI), a logging system
 among other things.
 .
 This package provides headers, static linked library and other
 development stuff.

Package: libtaningia0
Description-md5: df9d5f12dd2d2840d08095afaadb1636
Description-en: Taningia is a generic communication library based on XMPP
 Taningia is a simple and modern communication library that provides
 tools to write software based on XMPP.
 .
 In this library you will find a XMPP client abstraction, some pubsub
 helpers, an implementation of the Atom Syndication Format, a
 (incomplete) abstraction to the RFC3987 (IRI), a logging system
 among other things.

Package: libtaoframework-freeglut-cil-dev
Description-md5: 8c7cdd8e6c590e3177d54fe0125e822c
Description-en: Tao CLI binding for freeglut - development files
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 freeglut is a completely OpenSourced alternative to the OpenGL Utility
 Toolkit (GLUT) library. GLUT (and hence freeglut) allows the user to
 create and manage windows containing OpenGL contexts on a wide range of
 platforms and also read the mouse, keyboard and joystick functions.
 .
 This package contains development files for Tao.FreeGlut, and should be
 used for compilation.

Package: libtaoframework-freeglut2.4-cil
Description-md5: 512332d70afbdb11493f22ec89867420
Description-en: Tao CLI binding for freeglut
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 freeglut is a completely OpenSourced alternative to the OpenGL Utility
 Toolkit (GLUT) library. GLUT (and hence freeglut) allows the user to
 create and manage windows containing OpenGL contexts on a wide range of
 platforms and also read the mouse, keyboard and joystick functions.

Package: libtaoframework-openal-cil-dev
Description-md5: 14cde6e030b315ce7aaa15aaef4c91a8
Description-en: Tao CLI binding for OpenAL - development files
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 OpenAL, the Open Audio Library, is a joint effort to create an open,
 vendor-neutral, cross-platform API for interactive, primarily spatialized
 audio. OpenAL's primary audience are application developers and desktop
 users that rely on portable standards like OpenGL, for games and other
 multimedia applications.
 .
 This package contains development files for Tao.OpenAl and should be used
 for compilation.

Package: libtaoframework-openal1.1-cil
Description-md5: bcbd26e7e5d468776a7b7c3a3f77aef3
Description-en: Tao CLI binding for OpenAL
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 OpenAL, the Open Audio Library, is a joint effort to create an open,
 vendor-neutral, cross-platform API for interactive, primarily spatialized
 audio. OpenAL's primary audience are application developers and desktop
 users that rely on portable standards like OpenGL, for games and other
 multimedia applications.

Package: libtaoframework-opengl-cil-dev
Description-md5: e30946af7514369d326f703b791e1e31
Description-en: Tao CLI binding for OpenGL and GLU - development files
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 OpenGL is a vendor-neutral, multi-platform standard for high performance
 2D/3D graphics on devices ranging from mobile phones to PCs to
 Supercomputers.
 GLU is the OpenGL Utility Library. This is a set of functions to create
 texture mipmaps from a base image, map coordinates between screen and
 object space, and draw quadric surfaces and NURBS.
 .
 This package contains development files for Tao.OpenGl, and should be used
 for compilation.

Package: libtaoframework-opengl3.0-cil
Description-md5: 5887cb43224a66b24d3fddf3b15b89c1
Description-en: Tao CLI binding for OpenGL and GLU
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 OpenGL is a vendor-neutral, multi-platform standard for high performance
 2D/3D graphics on devices ranging from mobile phones to PCs to
 Supercomputers.
 GLU is the OpenGL Utility Library. This is a set of functions to create
 texture mipmaps from a base image, map coordinates between screen and
 object space, and draw quadric surfaces and NURBS.

Package: libtaoframework-sdl-cil-dev
Description-md5: 57050f3167ab339d65c151164e236fe2
Description-en: Tao CLI binding for SDL - development files
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 Simple DirectMedia Layer (SDL) is a cross-platform multimedia library
 designed to provide low level access to audio, keyboard, mouse, joystick,
 3D hardware via OpenGL, and 2D video framebuffer.
 .
 This package contains development files for Tao.Sdl, and should be used
 for compilation.

Package: libtaoframework-sdl1.2-cil
Description-md5: 43b8c2e64bce6feedced356f8f7fab59
Description-en: Tao CLI binding for SDL
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 Simple DirectMedia Layer (SDL) is a cross-platform multimedia library
 designed to provide low level access to audio, keyboard, mouse, joystick,
 3D hardware via OpenGL, and 2D video framebuffer.

Package: libtap-formatter-html-perl
Description-md5: 0acecb03c78dde7c475af34806178624
Description-en: TAP Test Harness output delegate for html output
 TAP::Formatter::HTML provides HTML output formatting for TAP::Harness (a
 replacement for Test::Harness).
 .
 This module is targeted at all users of automated test suites. It's meant to
 make reading test results easier, giving you a visual summary of your test
 suite and letting you drill down into individual failures.

Package: libtap-formatter-junit-perl
Description-md5: 638d046f119b0c5a16202fb0128e8981
Description-en: Perl module for converting TAP output to JUnit XML output
 TAP::Formatter::JUnit provides JUnit XML output formatting for
 TAP::Harness.  It can be used with prove, for example, to format the
 output of a test suite into a JUnit XML document.  It is particularly
 intended for integrating Perl or TAP test suites into the Hudson and
 Jenkins continuous integration servers.  (Previously, they had a
 JUnit plugin but no TAP plugin.  Nowadays, you could perhaps also use
 the TAP plugin instead.)

Package: libtap-harness-archive-perl
Description-md5: 85a370d3226edb7f8f11274634ef9450
Description-en: module for creating an archive of TAP test results
 TAP::Harness::Archive is a direct subclass of TAP::Harness and behaves in
 exactly the same way except for one detail. In addition to outputting a
 running progress of the tests and an ending summary it can also capture all
 of the raw TAP from the individual test files or streams into an archive file
 (.tar or .tar.gz).

Package: libtap-parser-sourcehandler-pgtap-perl
Description-md5: 78c9e8c3407ecf5ab3d21f9cc18aa027
Description-en: Unit testing tools for pgTAP
 TAP::Parser::SourceHandler::pgTAP is a set of tools for PostgreSQL unit
 testing. It contains the pg_prove and pg_tapgen scripts, which allows running
 and harnessing pgTAP tests on command-line, and summarizing the results.
 .
 The corresponding PostgreSQL extension is contained in the postgresql-pgtap
 package.
 .
 TAP is the Test Anything Protocol (http://testanything.org/).

Package: libtap-simpleoutput-perl
Description-md5: 3bddd097566b2333ec7af6d99ae98e7c
Description-en: simple closure-driven TAP generator
 TAP::SimpleOutput provides one function, counters(), that returns a number of
 simple closures designed to help output TAP easily and correctly, with a
 minimum of fuss.
 .
 TAP is the Test Anything Protocol used to Perl and other languages to
 communicate results between unit tests and the test harness.

Package: libtar-dev
Description-md5: b4d48192bf584b3b3037d0a9dcbe315a
Description-en: C library for manipulating tar archives (development files)
 Contains static library, headers, example code and development manpages
 for libtar

Package: libtar0
Description-md5: 6264c3df27815242c44262bae8ad6df0
Description-en: C library for manipulating tar archives
 libtar allows programs to create, extract and test tar archives.
 It supports both the strict POSIX tar format and many of the commonly-used
 GNU extensions.

Package: libtask-kensho-all-perl
Description-md5: a90c375e337bc2a0f1e02cfb95c272a6
Description-en: provides all Task::Kensho tasks (metapackage)
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the individual libtask-kensho-*-perl task
 metapackages, but one can install these individually if preferred.

Package: libtask-kensho-async-perl
Description-md5: 97521b946129cba1a9bed449ed5896be
Description-en: provides modules recommended for Task::Kensho::Async
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Async task, suitable for asynchronous programming.

Package: libtask-kensho-cli-perl
Description-md5: 48b71cb8d92d5f60ebb2b5efd3919796
Description-en: provides modules recommended for Task::Kensho::CLI
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::CLI task, providing useful command-line tools.

Package: libtask-kensho-config-perl
Description-md5: de8faed4bba6a73941c05649564f6e8d
Description-en: provides modules recommended for Task::Kensho::Config
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Config task, providing useful configuration file tools.

Package: libtask-kensho-dates-perl
Description-md5: bc92b959fb5aa916145a5e97fdd62824
Description-en: provides modules recommended for Task::Kensho::Dates
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Dates task, suitable for handling dates and times.

Package: libtask-kensho-dbdev-perl
Description-md5: 43d4999f3e7319ac1baa9d2a95661041
Description-en: provides modules recommended for Task::Kensho::DBDev
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::DBDev task, suitable for database development.

Package: libtask-kensho-email-perl
Description-md5: 4459986ae99f2b7bc0d8a481ec058251
Description-en: provides modules recommended for Task::Kensho::Email
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Email task, suitable for working with email.

Package: libtask-kensho-excelcsv-perl
Description-md5: 461c7acc92e9a2165b5409f00041ce4b
Description-en: provides modules recommended for Task::Kensho::ExcelCSV
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::ExcelCSV task, suitable for working with Microsoft Excel
 spreadsheets.

Package: libtask-kensho-exceptions-perl
Description-md5: 9b0009c4a4374bf7b66422532b0cb7b0
Description-en: provides modules recommended for Task::Kensho::Exceptions
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Exceptions task, suitable for exception handling.

Package: libtask-kensho-hackery-perl
Description-md5: fc29296ef4b85566c689f16760756f79
Description-en: provides modules recommended for Task::Kensho::Hackery
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Hackery task, suitable for script hackery.

Package: libtask-kensho-logging-perl
Description-md5: e5dbad40552570328ac5f3564ed54910
Description-en: provides modules recommended for Task::Kensho::Logging
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Logging task, suitable for logging.

Package: libtask-kensho-moduledev-perl
Description-md5: b4e872acdca0fa6ff0a439510dcce7be
Description-en: provides modules recommended for Task::Kensho::ModuleDev
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::ModuleDev task, suitable for Perl module development.

Package: libtask-kensho-oop-perl
Description-md5: 656cf711201e6ac62ba5541388f2fe33
Description-en: provides modules recommended for Task::Kensho::OOP
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::OOP task, suitable for object-oriented programming in Perl.

Package: libtask-kensho-perl
Description-md5: 9f2bf4a6f4f9c1e5d57609b00e711c6f
Description-en: recommended modules for Enlightened Perl development
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development. The list is maintained by the Enlightened Perl Organisation.
 .
 Perl modules that are recommended by Task::Kensho are grouped into several
 logical categories ("tasks"). Modules are chosen from various top 100
 most-used Perl modules lists and from discussions with various subject matter
 experts in the Perl Community.
 .
 This package recommends the libtask-kensho-all-perl package, which allows
 quick installation of all modules recommended in all Task::Kensho tasks.
 Note that one can install each Task::Kensho task individually if preferred.
 .
 This package also provides documentation for Task::Kensho including details
 of the recommended modules for each task.

Package: libtask-kensho-scalability-perl
Description-md5: 03685f095f1d7878d286a2a836493ca0
Description-en: provides modules recommended for Task::Kensho::Scalability
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Scalability task, suitable for building scalable applications.

Package: libtask-kensho-testing-perl
Description-md5: cb1a8fcd7ff46cb1b3678220b3b2fad3
Description-en: provides modules recommended for Task::Kensho::Testing
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Testing task, suitable for testing Perl modules and applications.

Package: libtask-kensho-toolchain-perl
Description-md5: f0ef89cd60fbd9c3582f4cc57cfa5371
Description-en: provides modules recommended for Task::Kensho::Toolchain
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::Toolchain task, providing useful components of the Perl
 toolchain.

Package: libtask-kensho-webcrawling-perl
Description-md5: d730bdd801f5e50586056c9111d81226
Description-en: provides modules recommended for Task::Kensho::WebCrawling
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::WebCrawling task, suitable for the processing and manipulation
 of websites and webpages.

Package: libtask-kensho-webdev-perl
Description-md5: 8a49732057a3c6a254fc50379be5f8ca
Description-en: provides modules recommended for Task::Kensho::WebDev
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::WebDev task, suitable for web development.

Package: libtask-kensho-xml-perl
Description-md5: 1f254d88f632de40af6a363b854af840
Description-en: provides modules recommended for Task::Kensho::XML
 Task::Kensho is a list of recommended modules for Enlightened Perl
 development.
 .
 This metapackage recommends all of the available Perl modules specified in the
 Task::Kensho::XML task, suitable for XML handling.

Package: libtask-weaken-perl
Description-md5: a40f3ac784f0225651b7a0e76df7f034
Description-en: module for ensuring that a platform has weaken support
 One recurring problem in modules that use Scalar::Util's weaken function is
 that it is not present in the pure-perl variant.
 .
 While this isn't necessarily always a problem in a straight CPAN-based Perl
 environment, some operating system distributions only include the pure-Perl
 versions, don't include the XS version, and so weaken is then "missing" from
 the platform, despite passing a dependency on Scalar::Util successfully.
 .
 The normal solution is to manually write tests in each distribution to ensure
 that weaken is available.
 .
 Task::Weaken restores the functionality testing to a dependency you do once
 in your Makefile.PL, rather than something you have to write extra tests for
 each time you write a module.

Package: libtaskmanager6
Description-md5: f1bb4603fcff3e44ccc7366f0dcda36a
Description-en: Plasma Workspace for KF5 library
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 Taskmanager library.
 .
 This package contains library files.

Package: libtasn1-bin
Description-md5: 732971f746a6a2ec0311889ae799da20
Description-en: Manage ASN.1 structures (binaries)
 Manage ASN1 (Abstract Syntax Notation One) structures.
 The main features of this library are:
   * on-line ASN1 structure management that doesn't require any C code
     file generation.
   * off-line ASN1 structure management with C code file generation
     containing an array.
   * DER (Distinguish Encoding Rules) encoding
   * no limits for INTEGER and ENUMERATED values
 .
 This package contains programs to encode, decode and parse asn1 data
 structures.

Package: libtbb-dev
Description-md5: 9ab4f6fe21833733598b00121416094f
Description-en: parallelism library for C++ - development files
 TBB is a library that helps you leverage multi-core processor
 performance without having to be a threading expert. It represents a
 higher-level, task-based parallelism that abstracts platform details
 and threading mechanism for performance and scalability.
 .
 (Note: if you are a user of the i386 architecture, i.e., 32-bit Intel
 or compatible hardware, this package only supports Pentium4-compatible
 and higher processors.)
 .
 This package includes the TBB development files.

Package: libtbb-doc
Description-md5: a314fa5d7add4a337abfe779cd40aedd
Description-en: parallelism library for C++ - documentation
 TBB is a library that helps you leverage multi-core processor
 performance without having to be a threading expert. It represents a
 higher-level, task-based parallelism that abstracts platform details
 and threading mechanism for performance and scalability.
 .
 This package includes the TBB documentation files and examples.

Package: libtbb2
Description-md5: 597d0e658709781286f685402350c986
Description-en: parallelism library for C++ - runtime files
 TBB is a library that helps you leverage multi-core processor
 performance without having to be a threading expert. It represents a
 higher-level, task-based parallelism that abstracts platform details
 and threading mechanism for performance and scalability.
 .
 (Note: if you are a user of the i386 architecture, i.e., 32-bit Intel
 or compatible hardware, this package only supports Pentium4-compatible
 and higher processors.)
 .
 This package includes the TBB runtime files.

Package: libtcc-dev
Description-md5: 7df7a679c5c0eb70ce53dfd0b6d7e097
Description-en: fast library for dynamic code generation
 Libtcc is a library that uses tcc, a compiler several times faster than
 'gcc -O0' to perform dynamic code generation. It exposes in its API all
 operations tcc performs to generate a binary from sources: setting
 header and library paths, compiling program from a source file,
 manipulating its symbols and handling relocation of its code among
 other things. Libtcc is also able to compile from a buffer.
 .
 This package contains the static library and header file for TCC (Tiny
 C Compiler).
 .
 NOTE: libtcc is still somewhat experimental and is not recommended for
 production use.  The code it generates is much less optimized than what
 GCC produces, and compiler bugs can have serious security consequences
 for your program.

Package: libtcd-dev
Description-md5: 6a8cf5c0ae0c44b7f9ac1a308d9947c4
Description-en: Tide Constituent Database (TCD) library (header file)
 libtcd provides a software API for reading and writing Tide Constituent
 Database (TCD) files.
 .
 The TCD file format and schema are used by XTide to retrieve constituent
 definitions (speeds, equilibrium arguments, and node factors), harmonic
 constants, subordinate station offsets and associated metadata for use in
 generating tide predictions.

Package: libtcd0
Description-md5: 487b94b4f8ea3c55b921bc11af364fc8
Description-en: Tide Constituent Database (TCD) library
 libtcd provides a software API for reading and writing Tide Constituent
 Database (TCD) files.
 .
 The TCD file format and schema are used by XTide to retrieve constituent
 definitions (speeds, equilibrium arguments, and node factors), harmonic
 constants, subordinate station offsets and associated metadata for use in
 generating tide predictions.

Package: libtcl-chiark-1
Description-md5: 928c43badcc7ac82197ec4db976aba94
Description-en: Tcl interfaces for adns, cdb, crypto, etc.
 Tcl bindings for:
  * adns (resolver library)
  * cdb (constant database) plus journalling writable database
  * crypto: the nettle cryptographic library
  * hbytes: bytestrings with hex as string representation but efficient
  * dgram: datagram sockets
  * tuntap: tun/tap interfaces
  * maskmap: address masks and maps
 To make sensible use of these you will need a version of Tcl installed
 (this package is compatible with at least Tcl 8.0 to 8.4 inclusive).
 To use the adns and nettle bindings you need to have the
 appropriate libraries installed too.

Package: libtcl-perl
Description-md5: 4e787d8b4c5bc9c664dd3d2cd9f9cdd5
Description-en: Tcl extension module for Perl
 The Tcl extension module gives access to the Tcl library with functionality
 and interface similar to the C functions of Tcl.
  * creating Tcl interpreters
  * executing Tcl code in an interpreter
  * binding in new Tcl procedures
  * manipulating the result field of a Tcl interpreter
  * setting and getting values of variables in a Tcl interpreter
  * tying perl variables to variables in a Tcl interpreter
 .
 Tcl is a powerful, easy to use, embeddable, cross-platform interpreted
 scripting language.

Package: libtclap-dev
Description-md5: 9a1649e8c6aeb157e3d6b3452cfb2af5
Description-en: Templatized command-line argument parser for C++
 This package provides a simple C++ library that facilitates
 parsing command-line arguments in a type-independent manner.
 The functionality is provided via template header files, so
 no actual library is included.

Package: libtclcl1
Description-md5: b335d3fb92a199511d469563b769aa0c
Description-en: shared library of TclCL
 TclCL (Tcl with classes) is a Tcl/C++ interface used by Mash, vic,
 vat, rtp_play, and nsnam. It provides a layer of C++ glue over OTcl.
 .
 This package contains shared library.

Package: libtclcl1-dev
Description-md5: f6b8cc6175ccfa940dd42df08fa75d58
Description-en: development files of TclCL
 TclCL (Tcl with classes) is a Tcl/C++ interface used by Mash, vic,
 vat, rtp_play, and nsnam. It provides a layer of C++ glue over OTcl.
 .
 This package contains static file and header files.

Package: libtcmu2
Description-md5: 4412c81ce6b855cdb9e1ccd39b88a1c5
Description-en: Library that handles the userspace side of the LIO TCM-User backstore
 LIO is the SCSI target in the Linux kernel. It is entirely kernel
 code, and allows exported SCSI logical units (LUNs) to be backed by
 regular files or block devices. But, if one want to get fancier with
 the capabilities of the device one is emulating, the kernel is not
 necessarily the right place. While there are userspace libraries for
 compression, encryption, and clustered storage solutions like Ceph or
 Gluster, these are not accessible from the kernel.
 .
 The TCMU userspace-passthrough backstore allows a userspace process
 to handle requests to a LUN. But since the kernel-user interface that
 TCMU provides must be fast and flexible, it is complex enough that
 one would like to avoid each userspace handler having to write boilerplate
 code.
 .
 tcmu-runner handles the messy details of the TCMU interface -- UIO,
 netlink, pthreads, and DBus -- and exports a more friendly C plugin
 module API. Modules using this API are called "TCMU
 handlers". Handler authors can write code just to handle the SCSI
 commands as desired, and can also link with whatever userspace
 libraries they like.
 .
 This is the library package

Package: libtcnative-1
Description-md5: e84963f196d386a9d69b251a5bf67a6e
Description-en: Tomcat native library using the Apache Portable Runtime
 Tomcat can use the Apache Portable Runtime to provide superior scalability,
 performance, and better integration with native server technologies.
 The Apache Portable Runtime is a highly portable library that is at the
 heart of Apache HTTP Server 2.x. APR has many uses, including access to
 advanced IO functionality (such as sendfile, epoll and OpenSSL), OS level
 functionality (random number generation, system status, etc), and native
 process handling (shared memory, NT pipes and Unix sockets).
 .
 These features allows making Tomcat a general purpose webserver, will
 enable much better integration with other native web technologies, and
 overall make Java much more viable as a full fledged webserver platform
 rather than simply a backend focused technology.

Package: libtcod-dev
Description-md5: 286c0f28579e2f5e43d8eedf286de061
Description-en: development files for the libtcod roguelike library
 Libtcod offers a free, fast, portable and uncomplicated API for
 roguelike developers providing an advanced true color console, input
 and lots of other utilities frequently used in roguelikes.
 .
 This package contains the development files.

Package: libtcod1
Description-md5: 3c5c8e63bf6503c39a93ebf2ffceab05
Description-en: graphics and utility library for roguelike developers
 Libtcod offers a free, fast, portable and uncomplicated API for
 roguelike developers providing an advanced true color console, input
 and lots of other utilities frequently used in roguelikes.
 .
 This package contains the runtime libraries. You shouldn't have to
 install it manually.

Package: libtcpcrypt-dev
Description-md5: 62c0122fbc4f3ac1f47c0acccc1efd10
Description-en: Library to retrieve the tcpcrypt session ID where available
 Tcpcrypt offers opportunistic security for TCP network traffic.  All
 traffic between two tcpcrypt-enabled peers will be encrypted to
 protect against passive monitoring.  tcpcrypt also exposes a strong
 session identifier to tcpcrypt-aware clients, which can be used as a
 hook for authentication.
 .
 This package contains header files and directives for building tools
 that use libtcpcrypt.

Package: libtcpcrypt0
Description-md5: d6989d3166d29ec435c37ed99b06edde
Description-en: Library to retrieve the tcpcrypt session ID where available
 Tcpcrypt offers opportunistic security for TCP network traffic.  All
 traffic between two tcpcrypt-enabled peers will be encrypted to
 protect against passive monitoring.  tcpcrypt also exposes a strong
 session identifier to tcpcrypt-aware clients and servers, which can
 be used as a hook for authentication.
 .
 This package contains a library useful for clients and servers
 interested in using the session ID for higher-level authentication.

Package: libtcplay
Description-md5: 2025ca2fa2f58f2ec31f07666d96e515
Description-en: Free and simple TrueCrypt Implementation based on dm-crypt
 tcplay is a free (BSD-licensed), pretty much fully featured (including
 multiple keyfiles, cipher cascades, etc) and stable TrueCrypt implementation.
 .
 This implementation supports mapping (opening) both system and normal
 TrueCrypt volumes, as well as opening hidden volumes and opening an outer
 volume while protecting a hidden volume. There is also support to create
 volumes, including hidden volumes, etc.
 .
 Since tcplay uses dm-crypt it makes full use of any available hardware
 encryption/decryption support once the volume has been mapped.

Package: libtcplay-dev
Description-md5: 2025ca2fa2f58f2ec31f07666d96e515
Description-en: Free and simple TrueCrypt Implementation based on dm-crypt
 tcplay is a free (BSD-licensed), pretty much fully featured (including
 multiple keyfiles, cipher cascades, etc) and stable TrueCrypt implementation.
 .
 This implementation supports mapping (opening) both system and normal
 TrueCrypt volumes, as well as opening hidden volumes and opening an outer
 volume while protecting a hidden volume. There is also support to create
 volumes, including hidden volumes, etc.
 .
 Since tcplay uses dm-crypt it makes full use of any available hardware
 encryption/decryption support once the volume has been mapped.

Package: libteam-utils
Description-md5: 6d637a19bc3e5a2df0badbeec9e68d63
Description-en: library for controlling team network device -- userspace utilities
 Libteam provides a mechanism to team multiple NICs (ports) at OSI Layer 2
 (Data link) into a single virtual NIC. The process is called "channel
 bonding", "Ethernet bonding", "channel teaming", "link aggregation", etc.
 This is already implemented in the Linux kernel by the bonding driver but
 unlike the bonding driver, libteam (user space) has the following
 additional features:
  * load-balancing for LACP support
  * NS/NA (IPV6) link monitoring
  * port priorities and stickiness ("primary" option enhancement)
  * separate per-port link monitoring setup
 .
 Libteam requires Linux kernel 3.3 or higher.
 .
 This package provies user-space counterpart for team network driver.

Package: libteckit-dev
Description-md5: 133bdd43c3c58c6dc3dd4787fe410593
Description-en: Development files for TECkit encoding conversion library
 TECkit is a toolkit for encoding conversions. It offers a simple format for
 describing the mapping between legacy 8-bit encodings and Unicode, and a
 set of utilities based on such descriptions for converting text between 8-bit
 and Unicode encodings.
 .
 It also includes a compiler for a mapping description language that allows for
 bidirectional conversion description (i.e. the same description is used for
 conversion to and from Unicode).
 .
 This package contains development files to allow linking to libTECkit
 for data conversion, and libTECkit_Compiler to compile mappings.

Package: libteckit0
Description-md5: af6afc61016740b3f939afc1a8afb0d4
Description-en: Encoding conversion library
 TECkit is a toolkit for encoding conversions. It offers a simple format for
 describing the mapping between legacy 8-bit encodings and Unicode, and a
 set of utilities based on such descriptions for converting text between 8-bit
 and Unicode encodings.
 .
 It also includes a compiler for a mapping description language that allows for
 bidirectional conversion description (i.e. the same description is used for
 conversion to and from Unicode).
 .
 This package contains the shared libraries libTECkit and libTECkit_Compiler.

Package: libtecla-dev
Description-md5: 090ad5b88962d749ea242a4ea020b194
Description-en: interactive command line editing facilities (development)
 The tecla library provides UNIX and LINUX programs with interactive
 command line editing facilities, similar to those of the UNIX tcsh
 shell. In addition to simple command-line editing, it supports recall
 of previously entered command lines, TAB completion of file names or
 other tokens, and in-line wild-card expansion of filenames. The
 internal functions which perform file-name completion and wild-card
 expansion are also available externally for optional use by programs.
 .
 In addition, the library includes a path-searching module. This allows
 an application to provide completion and lookup of files located in
 UNIX style paths. Although not built into the line editor by default,
 it can easily be called from custom tab-completion callback
 functions. This was originally conceived for completing the names of
 executables and providing a way to look up their locations in the
 user's PATH environment variable, but it can easily be asked to look
 up and complete other types of files in any list of directories.
 .
 Note that special care has been taken to allow the use of this library
 in threaded programs. The option to enable this is discussed in the
 Makefile, and specific discussions of thread safety are presented in
 the included man pages.
 .
 This package contains the development files and documentation for
 developing applications using the tecla library.

Package: libtecla1
Description-md5: 550fd5029b3b03d2dcc601bba3712aaa
Description-en: interactive command line editing facilities
 The tecla library provides UNIX and LINUX programs with interactive
 command line editing facilities, similar to those of the UNIX tcsh
 shell. In addition to simple command-line editing, it supports recall
 of previously entered command lines, TAB completion of file names or
 other tokens, and in-line wild-card expansion of filenames. The
 internal functions which perform file-name completion and wild-card
 expansion are also available externally for optional use by programs.
 .
 In addition, the library includes a path-searching module. This allows
 an application to provide completion and lookup of files located in
 UNIX style paths. Although not built into the line editor by default,
 it can easily be called from custom tab-completion callback
 functions. This was originally conceived for completing the names of
 executables and providing a way to look up their locations in the
 user's PATH environment variable, but it can easily be asked to look
 up and complete other types of files in any list of directories.
 .
 Note that special care has been taken to allow the use of this library
 in threaded programs. The option to enable this is discussed in the
 Makefile, and specific discussions of thread safety are presented in
 the included man pages.
 .
 This package contains the runtime libraries.

Package: libteem-dev
Description-md5: df5cea85f40d7c11d96421b84f3cff14
Description-en: Tools to process and visualize scientific data and images - development
 Teem is a coordinated group of libraries for representing, processing, and
 visualizing scientific raster data. Teem includes command-line tools that
 permit the library functions to be quickly applied to files and streams,
 without having to write any code. The most important and useful libraries in
 Teem are:
 .
  * Nrrd (and the unu command-line tool on top of it) supports a range of
    operations for transforming N-dimensional raster data (resample, crop,
    slice, project, histogram, etc.), as  well as the NRRD file format for
    storing arrays and their meta-information.
  * Gage: fast convolution-based measurements at arbitrary point locations in
    volume datasets (scalar, vector, tensor, etc.)
  * Mite: a multi-threaded ray-casting volume render with transfer functions
    based on any quantity Gage can measure
  * Ten: for estimating, processing, and visualizing diffusion tensor fields,
    including fiber tractography methods.
 .
 This package provides the Teem header files required to compile C++ programs
 that use Teem to do 3D visualisation.

Package: libteem2
Description-md5: 8547f2556d1ab3a681bcf98b7b6f6d03
Description-en: Tools to process and visualize scientific data and images - runtime
 Teem is a coordinated group of libraries for representing, processing, and
 visualizing scientific raster data. Teem includes command-line tools that
 permit the library functions to be quickly applied to files and streams,
 without having to write any code. The most important and useful libraries in
 Teem are:
 .
  * Nrrd (and the unu command-line tool on top of it) supports a range of
    operations for  transforming N-dimensional raster data (resample, crop,
    slice, project, histogram, etc.), as  well as the NRRD file format for
    storing arrays and their meta-information.
  * Gage: fast convolution-based measurements at arbitrary point locations in
    volume datasets (scalar, vector, tensor, etc.)
  * Mite: a multi-threaded ray-casting volume render with transfer functions
    based on any quantity Gage can measure
  * Ten: for estimating, processing, and visualizing diffusion tensor fields,
    including fiber tractography methods.
 .
 This package provides the runtime files required to run programs
 that use Teem to do 3D visualisation.

Package: libtelepathy-farstream-dev
Description-md5: 7059845522da2433f9e336314529f605
Description-en: Glue library between telepathy and farstream (development files)
 A helper library to glue together Telepathy's media signalling and the media
 streaming capabilities of Farstream
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for applications.
 .
 Farstream is a framework for media streaming in audio/video conferences.
 .
 This package contains development files for the telepathy-farstream library.

Package: libtelepathy-farstream-doc
Description-md5: 0ad7276522e83b70c5bb63c4dd3ba6f8
Description-en: Glue library between telepathy and farstream (documentation)
 A helper library to glue together Telepathy's media signalling and the media
 streaming capabilities of Farstream
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for applications.
 .
 Farstream is a framework for media streaming in audio/video conferences.
 .
 This package contains HTML documentation for the telepathy-farstream library.

Package: libtelepathy-farstream3
Description-md5: 1487c1b6d888d475ae70862d26313c1f
Description-en: Glue library between telepathy and farstream
 Telepathy-farstream is a helper library to glue together Telepathy's media
 signalling and the media streaming capabilities of Farstream.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for applications.
 .
 Farstream is a framework for media streaming in audio/video conferences.
 .
 This package contains the core telepathy-farstream library.

Package: libtelepathy-farstream3-dbg
Description-md5: c5e1ddfe74dd7235319cd0e5accd797c
Description-en: Glue library between telepathy and farstream (debug symbols)
 Telepathy-farstream is a helper library to glue together Telepathy's media
 signalling and the media streaming capabilities of Farstream.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for applications.
 .
 Farstream is a framework for media streaming in audio/video conferences.
 .
 This package contains debugging symbols for the telepathy-farstream library.

Package: libtelepathy-glib-dev
Description-md5: cecc48489d9277257f3e75f69d84e5a8
Description-en: GLib Telepathy connection manager library (headers)
 This package contains development files for telepathy-glib, a GLib-based
 library for Telepathy components.
 .
 Vala bindings are also included; the Vala bindings are currently considered
 to be experimental by the maintainers of telepathy-glib, and might receive
 incompatible changes until at least telepathy-glib 0.14.0.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelepathy-glib-doc
Description-md5: 4a02941f4dc85c9b62b0b5e012eeb814
Description-en: GLib Telepathy library (documentation)
 This package contains HTML documentation for telepathy-glib, a GLib-based
 library for Telepathy components.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelepathy-glib0
Description-md5: bbae6d3ff9e905985fa54ab934ff329f
Description-en: Telepathy framework - GLib library
 This package contains telepathy-glib, a GLib-based library for Telepathy
 components.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelepathy-logger-dev
Description-md5: 7423195bf5dc867605a3c6aa1b016cad
Description-en: Telepathy logger service -- utility library (headers)
 This package contains development files for the Telepathy logger utility
 library
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelepathy-logger-doc
Description-md5: f4e7b201a3b235288c458398442ffb10
Description-en: Telepathy logger service -- documentation
 This package contains HTML documentation for the Telepathy logger utility
 library.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelepathy-logger-qt-dev
Description-md5: 28ecc2ffda975b361af6afec7a881b19
Description-en: Qt bindings for the telepathy-logger library - development files
 This library provides Qt-style C++ bindings for the telepathy-logger library.
 .
 The telepathy-logger library provides access to IM chat and event logs
 that are recorded by the telepathy-logger daemon.
 .
 This package provides the development headers for compiling applications
 that are using telepathy-logger-qt.

Package: libtelepathy-logger-qt5
Description-md5: aa9c5c8e78827f920dceffabf01fc1e9
Description-en: Qt bindings for the telepathy-logger library
 This library provides Qt-style C++ bindings for the telepathy-logger library.
 .
 The telepathy-logger library provides access to IM chat and event logs
 that are recorded by the telepathy-logger daemon.

Package: libtelepathy-logger3
Description-md5: 2cfae4fc7dd895b9280f68c4b8dd2025
Description-en: Telepathy logger service - utility library
 This package contains a utility library to access Telepathy log files
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelepathy-qt5-0
Description-md5: dacff9664347699bb76fe688c7f19ca2
Description-en: Telepathy framework - Qt 5 library
 This package contains telepathy-qt5, a Qt-based library for Telepathy
 components.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelepathy-qt5-dev
Description-md5: 699a3a38718c4f8c6cc31c4b67521a07
Description-en: Qt 5 Telepathy library (headers and static library)
 This package contains development files for telepathy-qt5, a Qt-based
 library for Telepathy components.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelepathy-qt5-farstream0
Description-md5: 5dbfede9b048d543a72c1c05878cf6e0
Description-en: Telepathy/Farsight integration - Qt 5 library
 telepathy-qt5-farstream provides telepathy-qt5 integration with
 telepathy-farstream, which implements media streaming using GStreamer and
 Farsight.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: libtelephony-asterisk-ami-perl
Description-md5: 3bf6dfd9c09578dd3acd8a7e5138c799
Description-en: Simple Asterisk Manager Interface client (Perl module)
 Telephony::Asterisk::AMI is a simple client for the Asterisk Manager
 Interface. It's better documented and less buggy than Asterisk::Manager, and
 has fewer prerequisites than Asterisk::AMI. It uses IO::Socket::IP, so it
 should support either IPv4 or IPv6.
 .
 If you need a more sophisticated client (especially for use in an
 event-driven program), try Asterisk::AMI.

Package: libtelnet-dev
Description-md5: 43d7a32612e83b1e4fa792ed331be311
Description-en: Small library for parsing the TELNET protocol - development files
 libtelnet is a small library for parsing the TELNET protocol,
 responding to TELNET commands via an event interface,
 and generating valid TELNET commands.
 .
 libtelnet includes support for the non-official MCCP, MCCP2, ZMP, and
 MSSP protocols used by MUD servers and clients.
 .
 This package contains the libtelnet development support files.

Package: libtelnet-utils
Description-md5: c5654fceaed5e4501643bcbd1c788bfd
Description-en: Small library for parsing the TELNET protocol - utilities
 libtelnet is a small library for parsing the TELNET protocol,
 responding to TELNET commands via an event interface,
 and generating valid TELNET commands.
 .
 libtelnet includes support for the non-official MCCP, MCCP2, ZMP, and
 MSSP protocols used by MUD servers and clients.
 .
 This package contains a small set of utilities based on libtelnet for testing
 and debugging TELNET clients.

Package: libtelnet2
Description-md5: 03674b5fc3384ed798f83cb95874cf72
Description-en: Small library for parsing the TELNET protocol - shared library
 libtelnet is a small library for parsing the TELNET protocol,
 responding to TELNET commands via an event interface,
 and generating valid TELNET commands.
 .
 libtelnet includes support for the non-official MCCP, MCCP2, ZMP, and
 MSSP protocols used by MUD servers and clients.
 .
 This package contains the libtelnet shared library.

Package: libtemplate-alloy-perl
Description-md5: 6e37203145b93e70cfc0f259dc66f260
Description-en: TT2/3, HT, HTE, Tmpl, and Velocity Engine
 Template::Alloy represents the mixing of features and capabilities
 from all of the major mini-language based template systems (support
 for non-mini-language based systems will happen eventually).  With
 Template::Alloy you can use your favorite template interface and syntax
 and get features from each of the other major template systems.  And
 Template::Alloy is fast - whether your using mod_perl, cgi, or running
 from the commandline.  There is even Template::Alloy::XS for getting
 a little more speed when that is necessary.
 .
 According to Wikipedia, "an alloy is a homogeneous mixture of two or
 more elements".

Package: libtemplate-autofilter-perl
Description-md5: 1e990eb99dba2c30857e7716281d8008
Description-en: Template::Toolkit subclass with automatic filtering
 Template::AutoFilter is a subclass of Template::Toolkit which loads a
 specific Parser that is subclassed from Template::Parser. It adds a filter
 instruction to each interpolation token found in templates loaded by the TT
 engine. Tokens that already have a filter instruction are left unchanged.
 .
 By default this automatic filter is set to be 'html', but can be modified
 during object creation by passing the AUTO_FILTER option with the name of the
 wanted filter.
 .
 Additionally a pass-through filter called 'none' is added to the object to
 allow exclusion of tokens from being filtered.
 .
 Lastly, if you have problems with the directives which get auto filters
 applied, you can see the Template::AutoFilter::Parser docs for how you can
 customize that.

Package: libtemplate-declare-perl
Description-md5: 7810ee2ee855616fb8b6c61945bd3e92
Description-en: module for Perlish declarative templates
 Template::Declare is a pure-perl declarative HTML/XUL/RDF/XML templating
 system. All templates are 100% pure perl code using a simple declarative
 syntax. It supports "native" XML namespace and declarator support, as well
 as inheritance and public/private templates.

Package: libtemplate-glib-1.0-0
Description-md5: 6bdedc597be204d52e9c49e74d957fbf
Description-en: templating library for GLib
 Template-GLib includes a simple template format along with integration into
 GObject Introspection for properties and methods. It separates the parsing of
 templates and the expansion of templates for faster expansion. You can also
 define scope, custom functions, and more with the embedded expression
 language.

Package: libtemplate-glib-1.0-dev
Description-md5: 106c2cd31e746fb9439c9a02f173b74e
Description-en: templating library for GLib - development files
 Template-GLib includes a simple template format along with integration into
 GObject Introspection for properties and methods. It separates the parsing of
 templates and the expansion of templates for faster expansion. You can also
 define scope, custom functions, and more with the embedded expression
 language.
 .
 This package contains the development files.

Package: libtemplate-glib-common
Description-md5: 99b9474467bfa7efb2b152bbd9a43a3d
Description-en: templating library for GLib - common files
 Template-GLib includes a simple template format along with integration into
 GObject Introspection for properties and methods. It separates the parsing of
 templates and the expansion of templates for faster expansion. You can also
 define scope, custom functions, and more with the embedded expression
 language.
 .
 This package contains common data files and translations.

Package: libtemplate-glib-doc
Description-md5: 44597e7f5aabaf8cbfaee9e09d6bebb6
Description-en: templating library for GLib - documentation
 Template-GLib includes a simple template format along with integration into
 GObject Introspection for properties and methods. It separates the parsing of
 templates and the expansion of templates for faster expansion. You can also
 define scope, custom functions, and more with the embedded expression
 language.
 .
 This package contains the API reference.

Package: libtemplate-multilingual-perl
Description-md5: c2af897dc6505f5ca22677bc1658c5a4
Description-en: multilingual templates for Template Toolkit
 Template::Multilingual class supports multilingual templates
 that contain text in several languages.

Package: libtemplate-plugin-calendar-simple-perl
Description-md5: 206583c4470de1a6425d3b5f082e417c
Description-en: simple calendar plugin for perl Template Toolkit
 Template::Plugin::Calendar::Simple is a plugin for the perl Template Toolkit
 which provides access to the calendar function in the Calendar::Simple
 module.
 .
 It is designed to make it very easy to produce a calendar for a
 particular, user designated, month.

Package: libtemplate-plugin-class-perl
Description-md5: a7182da2afe60736eb5cf4b9e7c6757c
Description-en: module for calling methods of arbitrary classes in Template Toolkit
 This is a plugin for the perl Template Toolkit.
 .
 Template::Plugin::Class allows you to call class methods on arbitrary
 classes.  One particularly good use for this is in Class::DBI style
 applications.

Package: libtemplate-plugin-clickable-email-perl
Description-md5: 42ab10f5796a7c6c64e2d2e0013dd83d
Description-en: plugin to make clickable e-mail addresses with Template Toolkit
 Template::Plugin::Clickable::Email is a plugin for Template Toolkit that
 converts any e-mail addresses found in the filtered text into proper
 HTML mailto: links.

Package: libtemplate-plugin-clickable-perl
Description-md5: 23eec7b24d66f38eb9fb7e5746d28dd5
Description-en: Make URLs clickable in HTML
 Template::Plugin::Clickable is a plugin for the Perl Template Toolkit
 which allows you to  filter HTML to make any embedded URLs clickable.
 The basic idea is  that it searches the text for any http:// and https://
 URLs that are  not marked up and adds the correct HTML anchor tags to make
 them into hyperlinks.

Package: libtemplate-plugin-comma-perl
Description-md5: 67a09de877938930e7ba426c491b3d8e
Description-en: TT plugin for using commas in numbers
 Template::Plugin::Comma is a plugin for TT, which allows you to commify your
 numbers in templates. This would be especially useful for prices.

Package: libtemplate-plugin-cycle-perl
Description-md5: 6703b51d90ee84a0140b0672d8a1086c
Description-en: Cyclically insert into a Template from a sequence of values
 Template::Plugin::Cycle is a small, simple, and hopefully DWIM solution to
 these sorts of tasks.
 .
 It can be used either as a normal Template::Plugin, or can be created directly
 and passed in as a template argument, so that you can set up situations where
 it is implicitly available in every page.

Package: libtemplate-plugin-datetime-format-perl
Description-md5: 31345807b1bf370800c25925971e61b1
Description-en: module for formatting DateTime objects from TT with DateTime::Format
 Oftentimes, you have a DateTime object that you want to render in
 your template. However, the default rendering (2008-01-01T01:23:45)
 is pretty ugly. Formatting the DateTime with a DateTime::Format object
 is the usual solution, but there's usually not a nice place to put the
 formatting code.
 .
 Template::Plugin::Datetime::Format solves that problem. You can create
 a formatter object from within TT and then use that object to format
 DateTime objects.

Package: libtemplate-plugin-datetime-perl
Description-md5: e41cfa70cefbad457075dc1d150b289e
Description-en: plugin to use DateTime objects in the Template Toolkit
 Basic usage for Template::Plugin::DateTime is as follows:
 .
 USE date = DateTime(year = 2004, month = 4, day = 1);
 .
 -OR-
 .
 by passing a pattern to parse a date by string using
 DateTime::Format::Strptime
 .
 USE date = DateTime(from_string => '2008-05-30 00:00:00',
                     pattern     => '%Y-%m-%d %H:%M:%S',
                     time_zone   => 'America/New_York');

Package: libtemplate-plugin-dbi-perl
Description-md5: 3b98854fd8b9199b9d265034bc502b56
Description-en: DBI plugin for the Template Toolkit
 This package contains the DBI plugin for Template Toolkit, for
 interfacing with databases within templates.

Package: libtemplate-plugin-digest-md5-perl
Description-md5: 5abb0f795677a496a6222cb53c47483a
Description-en: interface to the MD5 Algorithm for the Template Toolkit
 Template::Plugin::Digest::MD5 provides access to the MD5 algorithm
 via the Digest::MD5 module. It is used like a plugin but installs filters and
 vmethods into the current context.
 .
 When you invoke
 .
 [% USE Digest.MD5 %]
 .
 the following filters (and vmethods of the same name) are installed into the
 current context: md5, md5_hex, md5_base64.

Package: libtemplate-plugin-gd-perl
Description-md5: dd39bd427445824ebd239e7f54b94c87
Description-en: GD plugin(s) for the Template Toolkit
 This package provides a number of Template Toolkit plugin modules to
 interface with Lincoln Stein's GD modules. These in turn provide an
 interface to Thomas Boutell's GD graphics library.
 .
 These plugins were distributed as part of the Template Toolkit until
 version 2.15 released in February 2006. At this time they were
 extracted into this separate distribution.

Package: libtemplate-plugin-gravatar-perl
Description-md5: 44296feafd143435ddb8f4f20737281c
Description-en: Template Toolkit plugin for generating Gravatar URLs
 Template::Plugin::Gravatar is a configurable TT2 plugin for the generation of
 Gravatar URLs from email addresses.
 .
 Please see http://site.gravatar.com/site/implement for more on the service
 interface and http://site.gravatar.com/ for information about Gravatars
 (globally recognized avatars) in general.
 .
 All of the options supported in Gravatars--default, rating, size, and
 border--can be used here. The gravatar_id is generated from a given email.

Package: libtemplate-plugin-html-strip-perl
Description-md5: 4fcaaa02fa08ff273828b9fad46aa138
Description-en: plugin to remove HTML for the Template Toolkit
 Template::Plugin::HTML::Strip package provides a Template Toolkit plugin
 which uses HTML::Strip to remove markup (primarily HTML, but also SGML,
 XML, etc) from filtered content during template processing.

Package: libtemplate-plugin-ipaddr-perl
Description-md5: fa651771d2c153ebd34fb13696edeca4
Description-en: plugin handling IP addresses for the Template Toolkit
 Template::Plugin::IPAddr implements an IPAddr class for handling IPv4 and
 IPv6 addresses in an object-orientated way. The module is based on NetAddr::IP
 and works on IPv4 as well as IPv6 addresses.
 .
 You can create a IPAddr object via the USE directive, adding any initial
 prefix as an argument:
 .
 [% USE IPAddr %]
 .
 [% USE IPAddr(prefix) %]
 .
 Once you've got an IPAddr object, you can use it as a prototype to create
 other IPAddr objects with the new() method.

Package: libtemplate-plugin-javascript-perl
Description-md5: 637cd4066938e35cb13564a8e32fb39a
Description-en: Perl module to sanitize text for JavaScript
 Template::Plugin::JavaScript is a Template Toolkit filter that automatically
 sanitizes your text so it can be used safely in JavaScript quotes. You simply
 enable the filter inside a JavaScript string, resulting in any metacharacters
 being automatically escaped.

Package: libtemplate-plugin-json-escape-perl
Description-md5: 0524fc24e807dfab0b5b46dea36bd8b7
Description-en: module for embedding JSON strings in Template Toolkit
 The Template::Plugin::JSON::Escape plugin allows you to embed JSON strings
 in HTML. In the output, special characters such as < and & are escaped as
 \uxxxx to prevent XSS attacks.
 .
 It also provides decoding function to keep compatibility with
 Template::Plugin::JSON.

Package: libtemplate-plugin-latex-perl
Description-md5: 048b58ac67f1773715505b924418b0ca
Description-en: LaTeX support for the Template Toolkit
 The Template::Plugin::Latex module is a wrapper of convenience around the
 Template module, providing additional support for generating PDF, PostScript
 and DVI documents from LaTeX templates. It is used in exactly the same way
 that the Template module is used. It is a subclass of the Template module
 and inherits all of its methods.

Package: libtemplate-plugin-lingua-en-inflect-perl
Description-md5: da9023702eebea9029176cf622f0e8c6
Description-en: interface to Lingua::EN::Inflect for the Template Toolkit
 Template::Plugin::Lingua::EN::Inflect is an interface to Damian Conway's
 Lingua::EN::Inflect Perl module, which provides plural inflections,
 "a"/"an" selection for English words, and manipulation of numbers as words.
 .
 The plugin provides an 'inflect' filter, which can be used to interpolate
 inflections in a string. The NUM() function sets a persistent default value
 to be used whenever an optional number argument is omitted. The number to be
 used for a particular invocation of 'inflect' can also be specified with a
 'number' option.
 .
 For the full details of the inflection functionality please refer to the
 Lingua::EN::Inflect manual.

Package: libtemplate-plugin-number-format-perl
Description-md5: fd7e5ae5bb98fdc9fddd9eb7501d5a4e
Description-en: Number formatting plugin for perl Template Toolkit
 Template::Plugin::Number::Format is a plugin for the perl Template Toolkit
 which provides access to the number formatting functions in the
 Number::Format module.

Package: libtemplate-plugin-posix-perl
Description-md5: 1fb8f734dbff565b4aaacb6076af3b4f
Description-en: Template Toolkit plugin to access Perl POSIX functions
 Template::Plugin::POSIX provides a Template Toolkit plugin which enables
 using any of Perl POSIX functions to help create content during template
 processing.

Package: libtemplate-plugin-stash-perl
Description-md5: 29a1cd9420edac51ab07269dfcc5cbb0
Description-en: Template::Toolkit plugin that exposes the template's stash
 Template::Plugin::Stash provides an easy way to access the stash that was
 supplied to your template, making it more convenient to dump it out for
 debugging.

Package: libtemplate-plugin-textile2-perl
Description-md5: 39d0676759a9c9a6d10edfb4c87dc2a0
Description-en: textile plugin for the Template Toolkit
 Template::Plugin::Textile2 wraps Text::Textile into a plugin for Template
 Toolkit. It provides a filter named "textile2". It aims to be a more
 featureful plugin than Template::Plugin::Textile, by allowing you to pass
 parameters to Text::Textile.

Package: libtemplate-plugin-utf8decode-perl
Description-md5: 4cb69d8b88df3df914bfc6414195805e
Description-en: UTF8 decoder filter for Template Toolkit
 Template::Plugin::UTF8Decode is a Template Toolkit filter, which decode a
 string to utf8. For example, using FreeTDS (http://www.freetds.org) in order
 to talk with ms sql, can return an utf8 string as byte char.

Package: libtemplate-plugin-xml-perl
Description-md5: 3c6f5429a233702e7b8a3daac4214da6
Description-en: XML plugins for the Template Toolkit
 This package provides a number of Template Toolkit
 plugin modules for working with XML.
 .
 The Template::Plugin::XML module is a front-end to the various other
 XML plugin modules.  Through this you can access XML files and
 directories of XML files via the Template::Plugin::XML::File and
 Template::Plugin::XML::Directory modules (which subclass from the
 Template::Plugin::File and Template::Plugin::Directory modules
 respectively).  You can then create a Document Object Model (DOM) from
 an XML file (Template::Plugin::XML::DOM), examine it using XPath
 queries (Template::Plugin::XML::XPath), turn it into a Perl data
 structure (Template::Plugin::XML::Simple) or parse it as an RSS (RDF
 Site Summary) file.

Package: libtemplate-plugin-yaml-perl
Description-md5: f6987dbf14910491555d96fe535cd7ad
Description-en: simple Template Toolkit Plugin Interface to the YAML module
 Template::Plugin::YAML provides the ability to load YAML strings and files
 into data structures and also dump data structures to files and strings from
 within the Perl Template Toolkit.

Package: libtemplate-provider-encoding-perl
Description-md5: efc1c69a04ecad00bbf551481560a494
Description-en: Template plugin to specify encoding
 Template::Plugin::encoding is a Template plugin to declare the
 encoding of template files. This plugin doesn't actually do anything
 but Template::Provider::Encoding scans the usage of this module to
 find the encoding of templates. As a bonus, you can use encoding
 variable in the template to specify file encoding, which might be
 useful for XML or HTML meta tag.

Package: libtemplate-provider-fromdata-perl
Description-md5: 8869c3fcc8cc948271fcfb9e9ad46f18
Description-en: module to load templates from your __DATA__ section
 Template::Provider::FromDATA allows you to store your templates inline with
 your code in the __DATA__ section. It will search any number of classes
 specified.

Package: libtemplate-stash-autoescaping-perl
Description-md5: fc8aa8b390e73ed4c0a90b4ce2b04b0a
Description-en: Template::Stash subclass for automatically escaping HTML strings
 Template::Stash is used by Template::Toolkit to store variable values for the
 runtime use of the template processor.
 .
 Template::Stash::AutoEscaping acts as a replacement of Template::Stash that
 automatically escape all HTML strings and avoid XSS vulnerability.

Package: libtemplate-timer-perl
Description-md5: 86042f6304b4c7e9198e7e23c36f0292
Description-en: rudimentary profiling module for Template Toolkit
 Template::Timer provides inline timings of the template processing throughout
 your code. It's an overridden version of Template::Context that wraps the
 process() and include() methods.

Package: libtemplate-tiny-perl
Description-md5: 5c31d6c8de109d248ec98e152d0a9452
Description-en: lightweight implementation of Template Toolkit
 Template::Tiny is a reimplementation of a partial subset of the Template
 Toolkit, in as few lines of code as possible.
 .
 It is intended for use in light-usage, low-memory, or low-cpu templating
 situations, where you may need to upgrade to the full feature set in the
 future, or if you want the familiarity of TT-style templates.
 .
 Note: This module is experimental and subject to change without notice.

Package: libtemplates-parser-doc
Description-md5: f215015f590d85871d2c6028bb8cba41
Description-en: Ada library to parse files and replace variables (documentation)
 The main goal is to ease the development of Web servers. In CGI
 (Common Gateway Interface) applications, you have to write  HTML pages in
 the program (in Ada or whatever other language) by using some specific
 libraries or by using only basic output functions.  This is of course not
 mandatory but by lack of a good library every Web development ends up doing
 just that.  Templates Parser takes that burden off of you.
 .
 This package contains the documentation for developers using the library.

Package: libtemplates-parser13-dev
Description-md5: 9cce1eb6cf7de74d6b15663ba4d84908
Description-en: Ada library to parse files and replace variables
 The main goal is to ease the development of Web servers. In CGI
 (Common Gateway Interface) applications, you have to write  HTML pages in
 the program (in Ada or whatever other language) by using some specific
 libraries or by using only basic output functions.  This is of course not
 mandatory but by lack of a good library every Web development ends up doing
 just that.  Templates Parser takes that burden off of you.
 .
 This package contains the static libraries, documentation, tools and Ada
 specification files.

Package: libtemplates-parser19
Description-md5: 8fb5216db500612183ba10aef3e0096d
Description-en: Ada library to parse files and replace variables (runtime)
 The main goal is to ease the development of Web servers. In CGI
 (Common Gateway Interface) applications, you have to write  HTML pages in
 the program (in Ada or whatever other language) by using some specific
 libraries or by using only basic output functions.  This is of course not
 mandatory but by lack of a good library every Web development ends up doing
 just that.  Templates Parser takes that burden off of you.
 .
 This package contains the runtime shared library.

Package: libtenjin-perl
Description-md5: 4bade153dfe996d8779dd47fc3ad85ba
Description-en: fast templating engine with support for embedded Perl
 Tenjin is a very fast and full-featured templating engine, implemented in
 several programming languages, among them Perl.
 .
 The Perl version of Tenjin supports embedded Perl code, nestable layout
 template, inclusion of other templates inside a template, capturing parts of
 or the entire template output, file and memory caching, template arguments
 and preprocessing.

Package: libtercpp-dev
Description-md5: a9b7ca4e6a37fa5a3baeac9ae0002a9c
Description-en: Translation Error Rate scoring tool - development files
 TERCpp is a tool (implemented in C++) for scoring machine translation
 performance. It uses the Translation Error Rate (TER) metric to measure
 edit distances between translations and references.
 .
 This package contains development files for TERCpp.

Package: libtercpp0v5
Description-md5: 6b4b234479b872499d19ba67366cb617
Description-en: Translation Error Rate scoring tool - shared library
 TERCpp is a tool (implemented in C++) for scoring machine translation
 performance. It uses the Translation Error Rate (TER) metric to measure
 edit distances between translations and references.
 .
 This package contains the library for TERCpp.

Package: libterm-choose-perl
Description-md5: 32a4bf745f887b4ba3eec79712a290e5
Description-en: module to choose items from a list interactively
 Term::Choose allows one to create prompts where the user can choose
 interactively from a list of items.
 .
 It provides a functional and an object-oriented interface.
 .
 The prompt and the options can be customized in multiple ways, e.g. providing
 default values or setting the layout for the presentation of the options.

Package: libterm-clui-perl
Description-md5: 676e8da101243d655cf020d6e6e6bbaf
Description-en: Perl module offering a Command-Line User Interface
 Term::Clui offers a high-level user interface to give the user of
 command-line applications a consistent "look and feel". Its metaphor for the
 computer is as a human-like conversation-partner, and as each
 question/response is completed it is summarised onto one line, and remains on
 screen, so that the history of the session gradually accumulates on the
 screen and is available for review, or for cut/paste. This user interface can
 therefore be intermixed with standard applications which write to STDOUT or
 STDERR, such as make, pgp, rcs etc.

Package: libterm-editoredit-perl
Description-md5: 8728560cd0b6fd16937da2d9dca5bae5
Description-en: module for editing a document via $EDITOR
 Term::EditorEdit is a tool for prompting the user to edit a piece of text via
 $VISUAL or $EDITOR and return the result.
 .
 In addition to just editing a document, this module can distinguish between a
 document preamble and document content, giving you a way to provide
 "out-of-bound" information to whoever is editing. Once an edit is complete,
 only the content (whatever was below the preamble) is returned.

Package: libterm-encoding-perl
Description-md5: 765188765cb9cfbd1b5ba04b88f51ccc
Description-en: Perl module to detect encoding of the current terminal
 Term::Encoding is a simple module to detect an encoding the current terminal
 expects, in various ways.

Package: libterm-extendedcolor-perl
Description-md5: d86954d4e1e044de67c113d1e38eb755
Description-en: module to color screen output using extended escape sequences
 Term::ExtendedColor provides functions for sending so called extended escape
 sequences to the terminal. This ought to be used with a 256-color compatible
 terminal.
 .
 The codes generated by this module comply to the extension of the ANSI colors
 standard first implemented in xterm in 1999. The first 16 color indexes (0 -
 15) are the regular ANSI colors, while index 16 - 255 are the extendes ones.
 Most terminal emulators support this extension.

Package: libterm-filter-perl
Description-md5: 8307922013229139760fffcfa0386233
Description-en: Perl module to run an interactive terminal session, filtering input and output
 Term::Filter is a Moose role which implements running a program in a pty
 while being able to filter the data that goes into and out of it. This
 can be used to alter the inputs and outputs of a terminal based program, or to
 intercept the data going in or out to record it or rebroadcast it.

Package: libterm-progressbar-perl
Description-md5: 2cec77ebf671483f55c632fe332c4172
Description-en: Perl module to print a progress bar
 This is the CPAN Perl module Term::ProgressBar.
 .
 This module displays progress bar for things that take a while.
 It looks like:
 .
  50% [=====     ]
 .
 and is as long as the terminal.  Linear estimation of the time left
 for the process to run is available.

Package: libterm-progressbar-quiet-perl
Description-md5: ccb32ee21b2d8de3a920a69cfe0c6aa1
Description-en: only show a progress meter if run interactively
 Term::ProgressBar is a wonderful module for showing progress bars on the
 terminal. Term::ProgressBar::Quiet acts very much like that module when
 it is run interactively. However, when it is not run interactively (for
 example, as a cron job) then it does not show the progress bar.

Package: libterm-progressbar-simple-perl
Description-md5: ace420180a8395f96672205e2149c5f5
Description-en: simple but featureful terminal progress bar
 Progress bars are handy - they tell you how much work has been done, how
 much is left to do and estimate how long it will take. But they can be
 fiddly! Term::ProgressBar::Simple module does the right thing in almost
 all cases in a really convenient way.

Package: libterm-prompt-perl
Description-md5: 4a5f55e229cebb9eecf8609915a8d3cd
Description-en: Perl module for prompting a user for information
 Term::Prompt is used to check accept interactive input. You
 specify the type of inputs allowed, a prompt, help text and
 defaults and it will deal with the user interface, (and the
 user!), by displaying the prompt, showing the default, and checking
 to be sure that the response is one of the legal choices.

Package: libterm-query-perl
Description-md5: e277728fa50cd4653209e70cf4d62e34
Description-en: Perl module for subroutines that handle simple tty-based UI
 Term::Query is a Perl 5 module, which performs generalized queries on
 various kinds of values.  Validation and normalization of input, based
 on the type, is automated, as is error reporting and re-solicitation of
 input.

Package: libterm-readline-gnu-perl
Description-md5: 6885b47a7c28c60cf0d67cde21c6b3e2
Description-en: Perl extension for the GNU ReadLine/History Library
 Term::ReadLine::Gnu is a Perl interface to the GNU ReadLine Library, allowing
 developers to provide features including input line editing, input history
 management, word completion and more.
 .
 Through this module, you have access to almost all variables and functions as
 documented in the GNU ReadLine/History Library. This means you can write your
 custom editing function, custom completion function, and so on, with Perl.
 You may find it useful for prototyping before programming with C.

Package: libterm-readline-perl-perl
Description-md5: d1e0da404146e7415d9733526f4c456b
Description-en: Perl implementation of Readline libraries
 Term::ReadLine::Perl is a quick implementation of the minimal interface to
 Readline libraries. The implementation is made in Perl (mostly) by Jeffrey
 Friedl. The only thing this library does is to make it conformant (and add
 some minimal changes, like using Term::ReadKey if present, and correct work
 under xterm).

Package: libterm-readline-ttytter-perl
Description-md5: f8c553663a14767297fcfcbd1d868f58
Description-en: Term::ReadLine driver with special features for microblogging
 Term::Readline::Ttytter is a Term::ReadLine driver based on
 Term::ReadLine::Perl, with special features for microblogging and the
 TTYtter client (q.v).
 .
 As TTYtter is dead upstream, this module can be used together with oysttyer
 as well.

Package: libterm-readline-zoid-perl
Description-md5: 2a00b1a548ee6137d4745f3b2370bfde
Description-en: Pure Perl implementation of Readline libraries
 Term::ReadLine::Zoid provides a set of modules that form an
 interactive input buffer written in plain perl with minimal
 dependencies.  It features almost all key-bindings described in the
 posix spec for the sh(1) utility with some extensions like multiline
 editing; this includes a vi-command mode with a save-buffer (for
 copy-pasting) and an undo-stack.
 .
 It is compatible with the Term::ReadLine interface, so it can be
 used with perl programs using this interface.

Package: libterm-readpassword-perl
Description-md5: e055fc6b883fbd20defad7daef657e47
Description-en: prompt user for a password
 Term::ReadPassword lets you ask the user for a password in the
 traditional way, from the keyboard, without echoing.
 .
 The read_password function prompts for input, reads a line of
 text from the keyboard, then returns that line to the caller.
 The line of text doesn't include the newline character, so
 there's no need to use chomp.

Package: libterm-shell-perl
Description-md5: 435216d2e91382d11ba11ae4ce87fb08
Description-en: Perl module for writing shell-like interfaces
 Term::Shell makes it joyfully easy to write command-line interfaces in Perl.
 All the boring details like command-line parsing and terminal handling are
 done for you.

Package: libterm-shellui-perl
Description-md5: 8a9e5cfea1a98118b72ddb31ea71e98e
Description-en: Perl module for fully-featured shell-like command line environment
 Term::ShellUI uses the history and autocompletion features of Term::ReadLine
 to present a sophisticated command-line interface to the user. It tries to
 make every feature that one would expect to see in a fully interactive shell
 trivial to implement. You simply declare your command set and let ShellUI
 take care of the heavy lifting.

Package: libterm-size-any-perl
Description-md5: 41519e62e522cd989e2804a5c5b78f12
Description-en: unified interface to retrieve the terminal size from Perl
 Term::Size::Any presents a unified interface to retrieve the terminal
 size in terms of characters or pixels. To do the job, it loads the first
 available module from a list of known alternatives, in this order:
     * Term::Size::Perl
     * Term::Size
     * Term::Size::ReadKey

Package: libterm-size-perl
Description-md5: cacecec0c2115a65ee6450a58a1be0d2
Description-en: Perl extension for retrieving terminal size
 Term::Size is a Perl module which provides a straightforward way to
 retrieve the terminal size, either as characters or pixels.
 Optionally, a file handle may be specified to retrieve the width and
 height of the associated terminal.

Package: libterm-size-perl-perl
Description-md5: 44e42722bc9e3f07585da4d690e83258
Description-en: pure Perl extension for retrieving the terminal size
 Term::Size::Perl is a pure Perl implementation of Term::Size, a module
 to retrieve the terminal size in terms of either characters or pixels.
 .
 It is currently the only working implementation of Term::Size::Any.

Package: libterm-sk-perl
Description-md5: f1cb4c5a9ad8b9e22821cba3b3c94b4f
Description-en: Perl extension for displaying a progress indicator on a terminal
 Term::Sk is a class to implement a progress indicator ("Sk" is a short form
 for "Show Key").  This is used to provide immediate feedback for long
 running processes.

Package: libterm-slang-perl
Description-md5: 9d060fd06dc2e1d47917ff9e35eb01ba
Description-en: Perl interface to the S-Lang terminal library
 Term::Slang is a Perl interface to the S-Lang terminal library.

Package: libterm-table-perl
Description-md5: 55df841e92c456bfd0e4195b96b2211f
Description-en: module to format a header and rows into a table
 The Term::Table module is able to generically format rows of data into
 tables. This is used in test suites by some failing tests to provide
 diagnostics about what has gone wrong but can also be used in all kinds of
 other contexts.

Package: libterm-termkey-perl
Description-md5: 31eab338ca9071aba0b4d2a7bd19d407
Description-en: perl wrapper around libtermkey
 Term::TermKey provides a light perl wrapper around the libtermkey library.
 Term::TermKey attempts to provide an abstract way to read keypress events in
 terminal-based programs by providing structures that describe keys, rather
 than simply returning raw bytes as read from the TTY device.

Package: libterm-title-perl
Description-md5: 7bb5596f1ef214524f5fec7678aadf84
Description-en: module for setting the terminal titlebar
 Term::Title provides an abstraction for setting the titlebar or the tab title
 across different types of terminals. For *nix terminals, it prints the
 appropriate escape sequences to set the terminal or tab title based on the
 value of $ENV{TERM}.

Package: libterm-ttyrec-plus-perl
Description-md5: bce899f7a8cdb6541abfb930b44787f4
Description-en: module for reading a ttyrec
 Term::TtyRec::Plus is a module that lets you read ttyrec files. The related
 module, Term::TtyRec is designed more for simple interactions.
 .
 Term::TtyRec::Plus gives you more information and, using a callback, lets you
 munge the data block and timestamp. It will do all the subtle work of making
 sure timing is kept consistent, and of rebuilding each frame header.

Package: libterm-twiddle-perl
Description-md5: 9cd5ea0e93d337b07499d8fce0344ad9
Description-en: Perl module to twiddle a thingy while-u wait
 Term::Twiddle provides methods to start, stop and configure a terminal-based
 animation meant to entertain the user while waiting for some long-running
 task to finish. It was inspired by the spinner during FreeBSD's loader
 bootstrap, and aspires to let other people find the same joy in it as the
 author did.

Package: libterm-ui-perl
Description-md5: a1604b835be35aeb53e69ee2a1104119
Description-en: Term::ReadLine UI made easy
 Term::UI is a transparent way of eliminating the overhead of having to format
 a question and then validate the reply, informing the user if the answer was
 not proper and re-issuing the question.
 .
 Simply give it the question you want to ask, optionally with choices the user
 can pick from and a default and Term::UI will DWYM.
 .
 For asking a yes or no question, there's even a shortcut.

Package: libterm-visual-perl
Description-md5: 712b25e8e1c6df3dc69aa8337d2d50c3
Description-en: split-terminal user interface for Perl
 Term::Visual is a "visual" terminal interface for curses applications.
 It provides the split-screen interface you may have seen in console
 based IRC and MUD clients.
 .
 Term::Visual uses the POE networking and multitasking framework to support
 concurrent input from network sockets and the console, multiple
 timers, and more.

Package: libterm-vt102-perl
Description-md5: a5ee9ea4d33928512bc7ee7c9baf7a4d
Description-en: module to emulate a DEC VT102 terminal
 The Term::VT102 class provides emulation of most of the functions of a DEC
 VT102 terminal. Once initialised, data passed to a VT102 object is processed
 and the in-memory "screen" modified accordingly. This "screen" can be
 interrogated by the external program in a variety of ways.
 .
 This allows your program to interface with full-screen console programs by
 running them in a subprocess and passing their output to a VT102 class. You
 can then see what the application has written on the screen by querying the
 class appropriately.

Package: libtermbox-dev
Description-md5: d2bda16733106a99d54e7f9293777fd4
Description-en: Library for writing text-based user interfaces (dev)
 Termbox is a library that provides minimalistic API which allows the
 programmer to write text-based user interfaces.
 .
 It is based on a very simple abstraction. The main idea is viewing terminals
 as a table of fixed-size cells and input being a stream of structured
 messages. Would be fair to say that the model is inspired by windows console
 API. The abstraction itself is not perfect and it may create problems in
 certain areas.  The most sensitive ones are copy & pasting and wide characters
 (mostly Chinese, Japanese, Korean (CJK) characters). When it comes to copy &
 pasting, the notion of cells is not really compatible with the idea of text.
 And CJK runes often require more than one cell to display them nicely. Despite
 the mentioned flaws, using such a simple model brings benefits in a form of
 simplicity. And KISS principle is important.
 .
 At this point one should realize, that CLI (command-line interfaces) aren't
 really a thing termbox is aimed at. But rather pseudo-graphical user
 interfaces.
 .
 This package ships with headers and static library.

Package: libtermbox1
Description-md5: 7afff2fc98c3e658190f25a7410aa372
Description-en: Library for writing text-based user interfaces (lib)
 Termbox is a library that provides minimalistic API which allows the
 programmer to write text-based user interfaces.
 .
 It is based on a very simple abstraction. The main idea is viewing terminals
 as a table of fixed-size cells and input being a stream of structured
 messages. Would be fair to say that the model is inspired by windows console
 API. The abstraction itself is not perfect and it may create problems in
 certain areas.  The most sensitive ones are copy & pasting and wide characters
 (mostly Chinese, Japanese, Korean (CJK) characters). When it comes to copy &
 pasting, the notion of cells is not really compatible with the idea of text.
 And CJK runes often require more than one cell to display them nicely. Despite
 the mentioned flaws, using such a simple model brings benefits in a form of
 simplicity. And KISS principle is important.
 .
 At this point one should realize, that CLI (command-line interfaces) aren't
 really a thing termbox is aimed at. But rather pseudo-graphical user
 interfaces.
 .
 This package ships with shared object.

Package: libtermkey-dev
Description-md5: 0b553edec5f6df7fdf96e742dbf9b0c1
Description-en: library for processing keyboard input (development files)
 This library allows easy processing of keyboard entry from terminal-based
 programs. It handles all the necessary logic to recognise special keys, UTF-8
 combining, and so on, with a simple interface.
 .
 This package contains the header files and libraries needed for developing
 with libtermkey.

Package: libtermkey1
Description-md5: 9735e53677a19eb3887c80b7cb954bc2
Description-en: library for processing keyboard input
 This library allows easy processing of keyboard entry from terminal-based
 programs. It handles all the necessary logic to recognise special keys, UTF-8
 combining, and so on, with a simple interface.  It provides the following
 features:
 .
  * Follows terminfo for every sequence listed there, only falling back on
    other methods to deal with unrecognised input.
  * Recognises special keys (such as arrow and function keys), including
    "modified" keys like Ctrl-Left.
  * Recognises XTerm-style mouse position/button reporting.
  * MIT licenced to allow almost all projects to use it; both Open Source
    and Commercial.
  * Small API - just three functions are needed in simple common cases.
  * Both synchronous (blocking) and asynchronous (non-blocking) APIs are
    supported.

Package: libterralib-dev
Description-md5: 30f1b6433775de0813b88f16a2578be3
Description-en: C++ library for Geographical Information Systems -- development package
 TerraLib enables quick development of custom-built geographical applications
 using spatial databases. As a research tool, TerraLib  is aimed at providing a
 rich and powerful environment for the development of GIS research,
 enabling the development of GIS prototypes that include new concepts such as
 spatio-temporal data models, geographical ontologies and advanced spatial
 analysis techniques. TerraLib defines a geographical data model and provides
 support for this model over a range of different DBMS (MySQL, PostgreSQL,
 ORACLE and ACCESS), and is implemented as a library of C++ classes and
 functions, written in ANSI-C++.
 .
 This package contains development files for terralib.

Package: libterralib-doc
Description-md5: 9c045605ddbfcd1a4c16ae4e835f4574
Description-en: C++ library for Geographical Information Systems -- documentation package
 TerraLib enables quick development of custom-built geographical applications
 using spatial databases. As a research tool, TerraLib  is aimed at providing a
 rich and powerful environment for the development of GIS research,
 enabling the development of GIS prototypes that include new concepts such as
 spatio-temporal data models, geographical ontologies and advanced spatial
 analysis techniques. TerraLib defines a geographical data model and provides
 support for this model over a range of different DBMS (MySQL, PostgreSQL,
 ORACLE and ACCESS), and is implemented as a library of C++ classes and
 functions, written in ANSI-C++.
 .
 This package contains examples for the terralib library.

Package: libterralib3
Description-md5: c1b0c585d1b23e4c76ab6688e077df49
Description-en: C++ library for Geographical Information Systems
 TerraLib enables quick development of custom-built geographical applications
 using spatial databases. As a research tool, TerraLib  is aimed at providing a
 rich and powerful environment for the development of GIS research,
 enabling the development of GIS prototypes that include new concepts such as
 spatio-temporal data models, geographical ontologies and advanced spatial
 analysis techniques. TerraLib defines a geographical data model and provides
 support for this model over a range of different DBMS (MySQL, PostgreSQL,
 ORACLE and ACCESS), and is implemented as a library of C++ classes and
 functions,  written in ANSI-C++.

Package: libtesseract-dev
Description-md5: f6e772057deff3bcd995b32a578efb48
Description-en: Development files for the tesseract command line OCR tool
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. It supports a wide variety of
 languages. This package includes the development files, static
 library, and header files.

Package: libtesseract4
Description-md5: 1c67aa6c8fa00c9ab066f4cbab95b7c2
Description-en: Tesseract OCR library
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. It supports a wide variety of
 languages. This package includes the shared library.

Package: libtest-abortable-perl
Description-md5: 5d9bf75a44b149f6d636756499cbd6f6
Description-en: module to handle subtest failures with exceptions
 Test::Abortable provides a simple system for catching some exceptions and
 turning them into test events. It provides a means to communicate, via
 exceptions, that the running subtest should be aborted, possibly with some
 test output, and that the program should then continue.
 .
 Test::Abortable exports a subtest() routine that behaves like the one in
 Test::More but will handle and recover from abortable exceptions. It also
 exports testeval(), which behaves like a block eval that only catches
 abortable exceptions.

Package: libtest-api-perl
Description-md5: 3c03c337b801e89fec58d65c60f0041f
Description-en: test a list of subroutines provided by a module
 Test::API checks the subroutines provided by a module.  This is useful
 for confirming a planned API in testing and ensuring that other
 functions aren't unintentionally included via import.

Package: libtest-assertions-perl
Description-md5: d29d645c8c562c3e173342797d7e62fb
Description-en: simple set of building blocks for unit and runtime testing
 Test::Assertions provides a convenient set of tools for constructing tests,
 such as unit tests or run-time assertion checks (like C's ASSERT macro).
 Unlike some of the Test:: modules available on CPAN, Test::Assertions is
 not limited to unit test scripts; for example it can be used to check if
 output is as expected within a benchmarking script.
 When it is used for unit tests, it generates output in the standard form
 for CPAN unit testing (under Test::Harness).

Package: libtest-async-http-perl
Description-md5: 8443e5a86e82c4d4fbda0c11d76e85ea
Description-en: unit test code that uses Net::Async::HTTP
 Test::Async::HTTP implements a mock version of Net::Async::HTTP suitable for
 unit tests that virtualises the actual HTTP request/response cycle, allowing
 the unit test script to inspect the requests made and provide responses to
 them.

Package: libtest-autoloader-perl
Description-md5: 5990f5331a6fdefe993542847366eeb4
Description-en: testing utility for autosplit/autoloaded modules
 Test::AutoLoader single-purpose module attempts to eliminate uncaught syntax
 errors or other obvious goofs in subroutines that are autosplit, and hence not
 looked at by "perl -c Module.pm". Ideally, this module will become unnecessary
 as you reach full coverage of those subroutines in your unit tests. Until
 that happy day, however, this should provide a quick and dirty backstop for
 embarrassing typos.

Package: libtest-base-perl
Description-md5: 85a0daee4fe81efb1dfe2814d80c81c9
Description-en: data driven testing framework for Perl
 Testing is usually the ugly part of Perl module authoring. Perl gives you a
 standard way to run tests with Test::Harness, and basic testing primitives
 with Test::More. After that you are pretty much on your own to develop a
 testing framework and philosophy. Test::More encourages you to make your own
 framework by subclassing Test::Builder, but that is not trivial.
 .
 Test::Base gives you a way to write your own test framework base class that is
 trivial. In fact it is as simple as two lines:
   package MyTestFramework;
   use Test::Base -Base;
 .
 A module called MyTestFramework.pm containing those two lines, will give all
 the power of Test::More and all the power of Test::Base to every test file
 that uses it. As you build up the capabilities of MyTestFramework, your tests
 will have all of that power as well.
 .
 MyTestFramework becomes a place for you to put all of your reusable testing
 bits. As you write tests, you will see patterns and duplication, and you can
 "upstream" them into MyTestFramework. Of course, you don't have to subclass
 Test::Base at all. You can use it directly in many applications, including
 everywhere you would use Test::More.
 .
 Test::Base concentrates on offering reusable data driven patterns, so that you
 can write tests with a minimum of code. At the heart of all testing you have
 inputs, processes and expected outputs. Test::Base provides some clean ways
 for you to express your input and expected output data, so you can spend your
 time focusing on that rather than your code scaffolding.

Package: libtest-bdd-cucumber-perl
Description-md5: a7c0ac25f022b5fd387430ae7d724f89
Description-en: Cucumber-style acceptance testing framework in Perl
 Behavioral testing tools like Cucumber let software development teams
 describe in plain text how software should behave. The text is
 written in a business-readable domain-specific language and serves as
 documentation, automated tests and development-aid - all rolled into
 one format.
 .
 Test::BDD::Cucumber aims to be a sane and complete Cucumber
 implementation in Perl.
 .
 Test::BDD::Cucumber is not feature-complete yet. However, in almost
 all cases, where the behaviour of this module is different from
 the real Cucumber, the plan is to move it to be more similar to that.

Package: libtest-bits-perl
Description-md5: 6c342b3c447e04623886a4a822bb21ac
Description-en: Perl module for testing binary data
 Test::Bits provides a single subroutine, bits_is(), for testing binary data.
 .
 This module is quite similar to Test::BinaryData and Test::HexString in
 concept. The difference is that this module shows failure diagnostics in a
 different way, and has a slightly different calling style. Depending on the
 nature of the data you're working with, this module may be easier to work
 with.
 .
 In particular, when you're doing a lot of bit twiddling, this module's
 diagnostic output may make it easier to diagnose failures. A typical failure
 diagnostic will look like this:
 .
 The two pieces of binary data are not the same length (got 2, expected 3).
 .
 Binary data begins differing at byte 1.
 .
 Got: 01111000
 .
 Expect: 01111001

Package: libtest-block-perl
Description-md5: b53562bbe0ebfbf13914e73474dee2d1
Description-en: module for specifying tests with a finer granularity
 Test::Block allows one to specify the number of expected tests at a finer
 level of granularity than an entire test script. It is built with
 Test::Builder and plays happily with Test::More and friends.
 .
 Note: Test::Block has been marked DEPRECATED by its author. It was
 written before subtests existed in TAP and Test::More. These days
 subtests will probably be a better option for you.

Package: libtest-carp-perl
Description-md5: 899126323a39023ebef3e4fd728d247c
Description-en: module to test your code for calls to Carp functions
 Test::Carp is a module that facilitates testing whether or not a
 particular Carp function is called as a result of given code. It also
 allows checking the value (error message) the Carp function is called
 with.

Package: libtest-checkdeps-perl
Description-md5: b92a93274e18a02855fd7f1b1339769b
Description-en: check for presence of dependencies
 Test::CheckDeps adds a test that assures all dependencies have been
 installed properly. If requested, it can bail out all testing on error.

Package: libtest-checkmanifest-perl
Description-md5: 275ab149e98baa4ab005e1b6573f51ec
Description-en: module to check if your manifest matches your distribution
 Test::CheckManifest is a Perl test module that checks whether the package
 manifest matches your distribution. The package manifest must name all files
 that come with the distribution. By default, this module searches for a file
 named MANIFEST.

Package: libtest-class-most-perl
Description-md5: 2120544de078a3bc61d59e64e3b8f413
Description-en: module to reduce boilerplate code when testing classes
 Test::Class::Most acts as a combination of Test::Class, Test::Most and
 Modern::Perl, thus saving a lot of typing boilerplate code when writing
 tests for Perl classes.

Package: libtest-class-perl
Description-md5: 1334bad0fed629651e98bc8fcb267d4b
Description-en: module for creating test classes in an xUnit style
 Test::Class is a Perl test harness for creating tests using the xUnit style
 inspired by Smalltalk. It is aimed at authors of Perl modules already using
 Test::Builder-based modules.

Package: libtest-classapi-perl
Description-md5: b55384c80b1088b553a48b8d43f5c0c3
Description-en: Perl extension for basic first-pass API testing for class trees
 For many APIs with large numbers of classes, it can be very useful to be able
 to do a quick once-over to make sure that classes, methods, and inheritance
 is correct, before doing more comprehensive testing.
 Test::ClassAPI aims to provide such a capability.

Package: libtest-cleannamespaces-perl
Description-md5: 0989129cc40c1cea415db81484dce086
Description-en: module to check for uncleaned imports
 Test::CleanNamespaces lets you check your module's namespaces for imported
 functions you might have forgotten to remove with namespace::autoclean or
 namespace::clean and are therefore available to be called as methods, which
 usually isn't want you want.

Package: libtest-cmd-perl
Description-md5: 2f5666d415c99a67301b6119940a3a47
Description-en: perl module which provides a testing framework
 The Test::Cmd module provides a framework for portable automated testing
 of executable commands and scripts (in any language, not just Perl),
 especially commands and scripts that interface with the file system.

Package: libtest-command-perl
Description-md5: fa4b13716aa1446426aa00dc034ac4c0
Description-en: Perl module for testing external commands
 Test::Command lets you write tests that examine the exit status, STDOUT
 and STDERR of any program helping you determine if it is behaving as
 expected.

Package: libtest-command-simple-perl
Description-md5: 2441b1a6af93934aa755026abdba96e1
Description-en: Perl module to test external commands
 Test::Command::Simple is a intended to simplify testing of external commands.
 It does so by running the command under IPC::Open3, closing the stdin
 immediately, and reading everything from the command's stdout and stderr.
 It then makes the output available to be tested.
 .
 It is not (yet?) as feature-rich as Test::Cmd (in package libtest-cmd-perl),
 however the interface to this is much simpler.  Tests also plug directly
 into the Test::Builder framework, which plays nice with Test::More.

Package: libtest-compile-perl
Description-md5: 2e5c5d36a02fe346c393304c25e6b565
Description-en: test module for checking that scripts and modules compile
 Test::Compile is a Perl module that lets you check whether a Perl module or
 script compiles properly, and report its results in standard Test::Simple
 fashion. It can test all Perl modules in a distribution, as well as single
 module or script files.

Package: libtest-consistentversion-perl
Description-md5: 68c7aad08d49ef3dd5072807cb165193
Description-en: module to ensure consistent versions in a package
 Test::ConsistentVersion is a Perl module that ensures consistent versioning
 in all modules of a package. It also checks that the README and Changes files
 match the module version.

Package: libtest-corpus-audio-mpd-perl
Description-md5: 2bebb8862118066f1820454e7841f186
Description-en: module to fake mpd for testing purposes
 Test::Corpus::Audio::MPD is a Perl test harness that tries to launch a new
 Music Player Daemon (MPD) server using a fake mpd.conf file with correct
 paths for testing.
 .
 If an mpd server is already running, this module will stop it temporarily if
 the MPD_TEST_OVERRIDE environment variable is true; otherwise, the test will
 bail. Once the tests are complete, the temporarily launched mpd server will
 be shut down and the original one relaunched (if there was one).

Package: libtest-cpan-meta-json-perl
Description-md5: 6b2e1d6049895a6b26de7f5c0b4ad440
Description-en: module to validate CPAN META.json files
 Test::CPAN::Meta::JSON was written to ensure that a META.json file, provided
 with a standard distribution uploaded to CPAN, meets the specifications that
 are slowly being introduced to module uploads, via the use of package makers
 and installers such as ExtUtils::MakeMaker, Module::Build and
 Module::Install.
 .
 See CPAN::Meta for further details of the CPAN Meta Specification.

Package: libtest-cpan-meta-perl
Description-md5: b468749c53ffff0e94ee29a24ce69923
Description-en: test module to validate package metadata for CPAN
 Test::CPAN::Meta is a Perl test module for validating that the META.yml or
 META.json file, included in all standard distributions uploaded to CPAN, is
 correct. To work properly, these files must conform to the CPAN Metadata
 Specification (see <URL:http://search.cpan.org/dist/CPAN-Meta-Spec>)
 .
 This package is considered the successor of Test::CPAN::Meta::YAML and
 Test::CPAN::Meta::JSON.

Package: libtest-cpan-meta-yaml-perl
Description-md5: 7920486037200cdd15ce7022756e226b
Description-en: test module to validate a META.yml file
 Test::CPAN::Meta::YAML::Perl was written to ensure that a META.yml file,
 provided with a standard distribution, meets the specifications that are
 gradually being introduced to module uploads, via the use of
 ExtUtils::MakeMaker, Module::Build and Module::Install.
 It is one of three very similar packages with different dependencies
 and you may prefer one of the others: libtest-cpan-meta-perl or
 libtest-cpan-meta-json-perl.

Package: libtest-cukes-perl
Description-md5: ef7be43bb4dabc8afbc22a0f169b0207
Description-en: test framework inspired by Cucumber
 Test::Cukes is a Perl test framework inspired by Cucumber, which lets you
 write module tests with scenarios and is compatible with Test::More and other
 TAP-compliant modules. It uses Test::Builder's note function internally to
 print messages.
 .
 For more information about how to define features and scenarios, please read
 the Cucumber documentation from <URL:http://cukes.info/>

Package: libtest-data-perl
Description-md5: 68fdcbc5d72afbeb187d7302c2faacf5
Description-en: module to check properties and values of data and variables
 Test::Data imports functions from plugin modules that allow testing of
 a wide range of properties of a variable. Plugin modules shipped in
 this package include Test::Data::Scalar, Test::Data::Array,
 Test::Data::Hash and Test::Data::Function. Tests range from
 blessed_ok() to array_sorted_descending_ok() or prototype_ok().

Package: libtest-database-perl
Description-md5: 43a12c423c426dfb8fb7e2fa14459519
Description-en: support for testing against multiple unspecified databases
 From the perspective of a module author, this allows you to write tests
 against a datbase without knowing precisely which databases will be used.
 You call Test::Database->handles() and you get a number of DBI handles
 against which you can run your tests. You can specify which Databases you
 are willing to support.
 .
 From the perspective of someone installing a perl module which uses
 Test::Database, you put a config file in your home directory which
 explains how to connect to non-file based databases. File based databases
 do not normally needed configuration so long as the DBD driver is available.

Package: libtest-databaserow-perl
Description-md5: 46324a3a05e7641811bca5164ea95a29
Description-en: module for simple database tests
 Test::DatabaseRow is a simple module for doing simple tests on a database,
 primarily designed to test if a row exists with the correct details in a
 table or not.

Package: libtest-debian-perl
Description-md5: 836f3f926e5ffb215697dd2321738a72
Description-en: perl tests for debian
 The module provides some perl tests for debian system:
    - system_is_debian
    - package_is_installed
    - package_isnt_installed
 You can use them inside Your perl tests.

Package: libtest-deep-fuzzy-perl
Description-md5: 5ad5403499bf520d4dad500588ae8e15
Description-en: fuzzy number comparison with Test::Deep
 Test::Deep::Fuzzy provides fuzzy number comparison with Test::Deep.
 .
 A value under test is compared against an expected value and a
 specified range. Math::Round::nearest() is used to round the value
 before comparison against the expected value.

Package: libtest-deep-json-perl
Description-md5: dfe87f6ab848b70c8599fb2d08471000
Description-en: Test::Deep plugin for comparing JSON data
 Test::Deep::JSON provides the sub json to indicate that the data
 being tested is a JSON string. An error condition is reported if
 the data cannot be parsed as valid JSON.

Package: libtest-deep-perl
Description-md5: 73cd5da785d054447b6e60a9c9aa4413
Description-en: Perl module for extremely flexible deep comparisons
 Test::Deep gives you very flexible ways to check that the result you got is
 the result you were expecting. At it's simplest it compares two structures by
 going through each level, ensuring that the values match, that arrays and
 hashes have the same elements and that references are blessed into the correct
 class. It also handles circular data structures without getting caught in an
 infinite loop.
 .
 Where it becomes more interesting is in allowing you to do something besides
 simple exact comparisons. With strings, the eq operator checks that 2 strings
 are exactly equal but sometimes that's not what you want. When you don't know
 exactly what the string should be but you do know some things about how it
 should look, eq is no good and you must use pattern matching instead.
 Test::Deep provides pattern matching for complex data structures

Package: libtest-deep-type-perl
Description-md5: 1179ed7b84cf2f72c564133602d074ad
Description-en: Test::Deep plugin for validating type constraints
 Test::Deep::Type provides the sub is_type to indicate that the data being
 tested must validate against the passed type. This is an actual type object,
 not a string name -- for example something provided via MooseX::Types, or a
 plain old coderef that returns a bool (such as what might be used in a Moo
 type constraint).

Package: libtest-deep-unorderedpairs-perl
Description-md5: 283743cfe85f038e8ee50675b2fdc962
Description-en: Test::Deep plugin for comparing lists as if they were hashes
 Test::Deep::UnorderedPairs provides the sub unordered_pairs (and tuples,
 samehash, as synonyms) to indicate the data being tested is a list of pairs
 that should be tested where the order of the pairs is insignificant.
 .
 This is useful when testing a function that returns a list of hash elements
 as an arrayref, not a hashref.

Package: libtest-dependencies-perl
Description-md5: 41513c4da75dd4aa4b00ceaa64c0c32c
Description-en: module to verify dependencies listed in Makefile.PL
 Test::Dependencies makes sure that all of the modules that are 'use'd are
 listed in the Makefile.PL as dependencies. Target users are Perl module
 authors who want to add a check if their Makefile.PL lists all dependencies to
 their tests.

Package: libtest-diaginc-perl
Description-md5: 08920c23b23d49bcacb06ae552b6cc66
Description-en: List modules and versions loaded if tests fail
 Assuming you shipped your module to CPAN with working tests, test failures
 from CPAN Testers|http://www.cpantesters.org/ might be due to platform
 issues, Perl version issues or problems with dependencies. Test::DiagINC
 helps you diagnose deep dependency problems by showing you exactly what
 modules and versions were loaded during a test run.
 .
 When this module is loaded, it sets up an END block that will take action if
 the program is about to exit with a non-zero exit code or if
 $test_builder->is_passing|Test::Builder/is_passing is false by the time the
 END block is reached. If that happens, this module prints out the names and
 version numbers of non-local modules appearing in %INC|perlvar/%INC at the
 end of the test.
 .
 For example:
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libtest-differences-perl
Description-md5: a8c6988b57ccec8ea3e432b94e0d4ffa
Description-en: Perl module to test string and data structure differences
 CPAN's Test::Differences compares data structures and strings
 and returns their differences in a UNIX diff fashion if they
 are "not ok". Useful for comparing large structures easily
 when running tests.

Package: libtest-dir-perl
Description-md5: faa575b6818b0f06ff442aba244edf94
Description-en: module for testing directory attributes
 Test::Dir is a Perl test module that provides functions to verify various
 characteristics of directories: existence, emptiness, permissions (readable,
 writable and/or executable), and more.

Package: libtest-distmanifest-perl
Description-md5: f9f0930a1d8507784d1933384eddfd4d
Description-en: Perl module for verifying CPAN MANIFEST files
 Test::DistManifest provides a simple method of testing that a MANIFEST
 matches the distribution. It is similar to Test::CheckManifest, but differs
 in that it has native support for the MANIFEST.SKIP file.
 .
 It tests three things:
 .
  1. Everything in MANIFEST exists
  2. Everything in the package is listed in MANIFEST, or subsequently matches
     a regular expression mask in MANIFEST.SKIP
  3. Nothing exists in MANIFEST that also matches a mask in MANIFEST.SKIP,
     which is an unsatisfiable condition
 .
 Much of the functionality is provided by Module::Manifest, so developers
 wishing to manipulate MANIFEST files in a similar way are encouraged to look
 at that distribution.

Package: libtest-distribution-perl
Description-md5: 340a6494b3a8c17e68fe8344ceff0f9e
Description-en: perform tests on all modules of a distribution
 When using Test::Distribution in a test script, it goes through all the
 modules in your distribution, checks their POD, checks that they compile ok
 and checks that they all define a $VERSION.
 .
 This module also performs a number of tests on the distribution itself. It
 checks that your files match your SIGNATURE file if you have one. It checks
 that your distribution isn't missing certain 'core' description files. It
 checks to see you haven't missed out listing any pre-requisites in Makefile.PL
 .
 It defines its own testing plan, so you usually don't use it in conjunction
 with other Test::* modules in the same file. It's recommended that you just
 create a one-line test script as shown in the SYNOPSIS above. However, there
 are options.

Package: libtest-effects-perl
Description-md5: ecb57d4943e60ef8df572658dea05a37
Description-en: Perl module to test various effects at once
 Test::Effects allows one to test all effects of some code to be tested
 at once: return value, I/O, warnings, exceptions, etc.
 .
 Test::Effects provides a single exported subroutine: effects_ok.
 .
 This sub expects a block of code (or sub ref) as its first argument, followed
 by an optional hash ref as its second, and an optional string as its third.

Package: libtest-email-perl
Description-md5: 8456b80a6b05edcea619b72d1c037b46
Description-en: email contents test module
 Test::Email provides tests for email contents; it is a subclass of
 MIME::Entity with header_is, body_is, or _ok or _like and part_ok,
 mime_type_ok methods. Tests for equality remove trailing newlines from
 strings before testing. Some mail messages have newlines appended to them
 during the mailing process, which could cause unnecessary confusion.
 .
 This package also provides Test::POP3 to automate email delivery tests.

Package: libtest-eol-perl
Description-md5: ba66ac1e2e99c9b48c987b4d71dd8f84
Description-en: module to check for non-Unix line endings
 Test::EOL is a Perl module for verifying that your project/distribution
 contains only Unix-style line endings. It is especially useful for developers
 that work on Windows, but that release code for Unix.

Package: libtest-exception-lessclever-perl
Description-md5: f363c7fa4773bc754498219a7333fcdf
Description-en: simplified Test::Exception alternative
 Test::Exception::LessClever is an alternative to Test::Exception that is much
 simpler. This alternative does not use fancy stack tricks to hide itself. The
 idea here is to keep it simple. This also solves the Test::Exception bug
 where some dies will be hidden when a DESTROY method calls eval. If a DESTROY
 method masks $@ a warning will be generated as well.
 .
 This module is deprecated.

Package: libtest-exception-perl
Description-md5: 86b2fffa95f12ffe1616dcc8453427d7
Description-en: module for testing exception-based code
 Test::Exception is a Perl test module that provides a few convenience methods
 for testing exception-based code. It is built with Test::Builder and plays
 happily with Test::More and friends.
 .
 Note that this module only checks for exceptions, so it ignores other methods
 of halting program executing - including exit(). If your code causes the Perl
 interpreter to exit, Test::Exception won't be able to catch it.

Package: libtest-exit-perl
Description-md5: 7784b36020324af04b0329d7609fc5c5
Description-en: Perl module for testing whether code exits without terminating
 Test::Exit provides some simple tools for testing that code does or does not
 call exit(), while stopping code that does exit at the point of the exit().
 Currently it does so by means of exceptions, so it will not function properly
 if the code under test calls exit() inside of an eval block or string.
 .
 The only criterion tested is that the supplied code does or does not call
 exit(). If the code throws an exception, the exception will be propagated and
 you will have to call it yourself. die()ing is not exiting for the purpose of
 these tests.

Package: libtest-expect-perl
Description-md5: b0afc08cb3b65716309e0624a5213c0a
Description-en: module for automated driving and testing of terminal-based programs
 Test::Expect is a module for automated driving and testing of
 terminal-based programs.  It is handy for testing interactive programs
 which have a prompt, and is based on the same concepts as the Tcl
 Expect tool.  As in Expect::Simple, the Expect object is made
 available for tweaking.
 .
 Test::Expect is intended for use in a test script.

Package: libtest-failwarnings-perl
Description-md5: 77cd849f7a135f071326c16cd46989d5
Description-en: module for adding test failures if warnings are caught
 Test::FailWarnings hooks $SIG{__WARN__} and converts warnings to Test::More's
 fail() calls. It is designed to be used with done_testing, when you don't
 need to know the test count in advance.
 .
 Just as with Test::NoWarnings, this does not catch warnings if other things
 localize $SIG{__WARN__}, as this is designed to catch unhandled warnings.

Package: libtest-fake-httpd-perl
Description-md5: 49728271d7dd3168b7c2eb170e011df4
Description-en: fake HTTP server
 Test::Fake::HTTPD is a fake HTTP server module for testing.

Package: libtest-file-contents-perl
Description-md5: cdd058b1a3a00c3b2d67c68114d0da4f
Description-en: Perl module for testing the contents of files
 Test::File::Contents provides a set of routines useful to construct
 tests that examine the content of files.
 .
 It can check for an exact match and for a regexp match against the file's
 contents, but also if the file's contents do not match a string or a
 regexp and whether a file matches or not a given md5sum.

Package: libtest-file-perl
Description-md5: ed819693fc074a9430dfed1602fdef83
Description-en: Perl module to test file attributes
 Test::File provides a collection of test utilities for file attributes.
 .
 Some file attributes depend on the owner of the process testing the file in
 the same way the file test operators do. For instance, root (or super-user or
 Administrator) may always be able to read files no matter the permissions.

Package: libtest-file-sharedir-perl
Description-md5: 9b6f9507f2ee74956510b1cadc3a7f42
Description-en: Perl module to create fake ShareDirs
 Test::File::ShareDir is a Perl test module that provides functionality for
 creating fake ShareDirs (directories to store read-only data such as /usr/share
 on Linux), useful for module testing purposes.

Package: libtest-filename-perl
Description-md5: e53be58cf405e40f06179ed0028ae790
Description-en: portable filename comparison
 Many cross-platform test failures -- particularly on Win32 -- are due
 to hard-coded file paths being used in comparison tests.
 .
  my $file = get_file();     # returns "foo\bar.t";
  is( $file, "foo/bar.t" );  # fails on Win32
 .
 Test::Filename provides some handy functions to convert all those path
 separators automatically so filename tests will just DWIM.
 .
 The alternative is to write your own utility subroutine and use it
 everywhere or just keep on littering your test code with calls to
 File::Spec -- yuck!
 .
  is( $file, File::Spec->canonpath("some/path"), "should pass" );
 .
 Since this module is so simple, you might not think it worth including
 as a dependency.  After all, it's not that hard to always remember to
 use File::Spec, Path::Tiny or some other file utility, right? But odds
 are that, at some point, you'll be so busy writing tests that you'll
 forget and hard-code a path in your haste to show what a clever
 programmer you are.
 .
 So just use this module and stop worrying about it.  You'll be happier
 and so will anyone trying to install your modules on Win32.

Package: libtest-files-perl
Description-md5: f6d73516785b0c3e633f6f86208d92df
Description-en: module to ease testing with files and directories
 The Test::Files module is meant to ease testing with files and directories,
 e.g. to compare the contents of a file to a string, compare the contents of
 two files, check a directory for the presence of a list of files, compare all
 text files in two directories reporting any differences, etc.

Package: libtest-fixme-perl
Description-md5: fb3c474cae8054f6a4256caeb811ff90
Description-en: Perl module to check code for FIXME declarations
 When coding it is common to come up against problems that need to be
 addressed but that are not a big deal at the moment. What generally happens
 is that the coder adds comments like:
 .
  # FIXME - add checking of user privileges here.
 .
 Test::Fixme allows you to add a test file that ensures that none of these get
 forgotten in the module.

Package: libtest-fork-perl
Description-md5: b3cd82457d9672760db4385200259af8
Description-en: module for testing code which forks
 Because each test has a number associated with it, testing code which forks
 is problematic. Coordinating the test number amongst the parent and child
 processes is complicated. Test::Fork provides a function to smooth over the
 complications.

Package: libtest-harness-perl
Description-md5: 19d0b5f443f263f69b1183a94037b80f
Description-en: module to run standard Perl test scripts with statistics
 Test::Harness is a Perl module usually invoked by Perl's test systems, namely
 Test::Simple, Test::More and those based on Test::Builder.
 .
 This module is already included as part of Perl's core distribution, so this
 package is only beneficial when newer features or bug fixes are required. For
 details, please see Bug #383517.

Package: libtest-hasversion-perl
Description-md5: 07094c92dd4a224b995ac16ddd413a9d
Description-en: Perl library to check that Perl modules have version numbers
 Do you wanna check that every one of your Perl modules in a distribution has
 a version number? You wanna make sure you don't forget the brand new modules
 you just added? Well, that's the module you have been looking for. Use it!
 .
 Do you wanna check someone else's distribution to make sure the author have
 not committed the sin of leaving Perl modules without a version that can be
 used to tell if you have this or that feature? Test::HasVersion is also for
 you, nasty little fellow.
 .
 There's a script test_version which is installed with this distribution. You
 may invoke it from within the root directory of a distribution you just
 unpacked, and it will check every .pm file in the directory and under lib/
 (if any).

Package: libtest-hexstring-perl
Description-md5: bbfd80a95dbe77e469a4f9295e07f68a
Description-en: module that tests binary strings with hex dump diagnostics
 Test::HexString provides a single function, is_hexstr(), which asserts that
 the given string matches what was expected. When the strings match (i.e.
 compare equal using the eq operator), the behaviour is identical to the usual
 is() function provided by Test::More.
 .
 When the strings are different, a hex dump is produced as diagnostic, rather
 than the string values being printed raw.

Package: libtest-html-content-perl
Description-md5: 77949f9a494f92d78d7232b87bbeb2c9
Description-en: Perl extension for testing HTML output
 This is a module to test the HTML output of your programs in simple
 test scripts. It can test a scalar (presumably containing HTML) for
 the presence (or absence, or a specific number) of tags having (or
 lacking) specific attributes. Unspecified attributes are ignored,
 and the attribute values can be specified as either scalars (meaning
 a match succeeds if the strings are identical) or regular expressions
 (meaning that a match succeeds if the actual attribute value is matched
 by the given RE) or undef (meaning that the attribute must not
 be present).

Package: libtest-html-w3c-perl
Description-md5: 138524a97b6a68ebbdbd8bbb9d8be63e
Description-en: wrapper around W3C HTML validation testing service
 The purpose of this module is to provide a wide range of testing utilities.
 Various ways to say "ok" with better diagnostics, facilities to skip tests,
 test future features and compare complicated data structures. While you can
 do almost anything with a simple ok() function, it doesn't provide good
 diagnostic output.

Package: libtest-http-localserver-perl
Description-md5: 2cc471f848c37b0cf85ecbf2f37d09e6
Description-en: local HTTP server for testing other perl modules
 Test::HTTP::LocalServer implements a tiny web server suitable for running
 "live" tests of HTTP clients against it. It also takes care of cleaning %ENV
 from settings that influence the use of a local proxy etc.
 .
 Use this web server if you write an HTTP client and want to exercise its
 behaviour in your test suite without talking to the outside world.

Package: libtest-http-server-simple-perl
Description-md5: a2f320680f9d725d656c846071a51a13
Description-en: Test::More functions for HTTP::Server::Simple
 Test::HTTP::Server::Simple provides methods to test an
 HTTP::Server::Simple-based web server.
 Currently, it provides only one such method: started_ok.

Package: libtest-http-server-simple-stashwarnings-perl
Description-md5: d74fcde1ecfcf7df79c24afda223e760
Description-en: module to catch forked web server's warnings in Test::More tests
 Test::HTTP::Server::Simple-StashWarnings, based on
 Test::HTTP::Server::Simple, lets you retrieve the warnings that your forked
 HTTP::Server::Simple-based web server throws. That way you can test that your
 application continues to throw warnings when it makes sense. Catching the
 warnings also keeps your test output tidy. Finally, you'll be able to see
 when your application throws new, unexpected warnings.

Package: libtest-identity-perl
Description-md5: 7d4160f116eef18e3d0d417c7fc1359e
Description-en: test module that asserts the referential identity of a reference
 Test::Identity provides a single testing function, identical. It asserts that
 a given reference is as expected; that is, it either refers to the same
 object or is undef. It is similar to Test::More::is except that it uses
 refaddr, ensuring that it behaves correctly even if the references under test
 are objects that overload stringification or numification. It also provides
 better diagnostics if the test fails.

Package: libtest-if-perl
Description-md5: 939e4065e63827ed8f4dbce365af6a7f
Description-en: module to conditionally use other test modules
 Test::If allows one to use test modules conditionally.
 .
 It is also possible to combine options, and it is allowed to omit plan
 options, if it is run by an already loaded module or you want to load it
 manually. If some of conditions are not met, the corresponding test will be
 skipped.

Package: libtest-image-gd-perl
Description-md5: a3bcdb0e0377925021801c3a7b15f3f2
Description-en: module for testing images using GD
 Test::Image::GD is meant to be used for testing custom graphics, it attempts
 to "visually" compare the images, this means it ignores invisible differences
 like color palettes and metadata. It also provides some extra functions to
 check the size of the image.

Package: libtest-indistdir-perl
Description-md5: 4a7a64f26081d018925a334245e6d5a4
Description-en: test environment setup for development with IDE
 Test::InDistDir helps run test scripts in IDEs like Komodo.
 .
 It automatically moves up one directory when it cannot see the
 test script in "t/$scriptname" and includes 'lib' in @INC when
 when there's no blib present. That way the test ends up with
 almost the same environment it'd get from EUMM/prove/etc.,
 even when it's actually run inside the t/ directory.

Package: libtest-inline-perl
Description-md5: d49cde1a686f23f030ab594836714846
Description-en: Perl extension for embed tests and code examples in POD
 Test::Inline is a way to embed tests in the same file as your source code
 rather than in a separate file. The idea is, the closer your tests are to
 your code and docs, the more likely you'll keep them up to date.

Package: libtest-inter-perl
Description-md5: 8740cc6c23ab4b1a4d64796399480d0f
Description-en: framework for more readable interactive test scripts
 Test::Inter is another framework for perl developers writing test scripts. It
 is loosely inspired by Test::More, and has most of it's functionality, but it
 is not a drop-in replacement.
 .
 This framework offers the ability to write the tests in a more readable
 format, and you can access specific tests in a reasonably interactive fashion.

Package: libtest-is-perl
Description-md5: e81ea8f55646b67857a8ef8db24ec043
Description-en: Perl module to skip tests in a declarative way
 Test::Is is a simple way of following the specifications of the environment
 variables available for Perl tests as defined as one of the "Lancaster
 Consensus" at Perl QA Hackathon 2013. Those variables (NONINTERACTIVE_TESTING,
 EXTENDED_TESTING) define which tests should be skipped.
 .
 If the environment does not match what the author of the test expected, the
 complete test is skipped (in the same way as use Test::More skip_all => ...).

Package: libtest-json-perl
Description-md5: 32ace4f3a62f2dc3cec58e0babd7804f
Description-en: module for testing JSON data
 Test::JSON is a Perl test framework for verifying arbitrary data written in
 JavaScript Object Notation (JSON), a lightweight data interchange format.
 .
 See <URL:http://www.json.org/> for more information.

Package: libtest-kwalitee-perl
Description-md5: b0e2de0b2255234f9753e3b8240a5f4e
Description-en: module to test the quality of a Perl module distribution
 Test::Kwalitee is an automatically-measurable gauge of how good your software
 is.  That's very different from quality, which a computer really can't
 measure in a general sense. (If you can, you've solved a hard problem in
 computer science.)
 .
 In the world of the CPAN, the CPANTS project (CPAN Testing Service; also a
 funny acronym on its own) measures Kwalitee with several metrics. If you plan
 to release a distribution to the CPAN -- or even within your own organization
 -- testing its Kwalitee before creating a release can help you improve your
 quality as well.

Package: libtest-leaktrace-perl
Description-md5: 44f7d84b31d908d4dea79804cb924f77
Description-en: Perl test module for tracing memory leaks
 Test::LeakTrace provides several functions that detect and trace memory leaks.
 This module scans arenas, the memory allocation system, so it can detect any
 leaked scalar values (SVs) in given blocks. Leaked SVs are those which are not
 released after the end of the scope they have been created, including internal
 caches and global variables.

Package: libtest-lectrotest-perl
Description-md5: 27c71e2f9159014764b0aa9bfb166ac3
Description-en: easy, automatic, specification-based testing system
 Test::LectroTest provides a simple (yet full featured) interface to
 LectroTest, an automated, specification-based testing system for Perl.
 To use it, declare properties that specify the expected behavior
 of your software.  LectroTest then checks your software to see whether
 those properties hold.

Package: libtest-log-dispatch-perl
Description-md5: 89ead960fbb6d71d8166fbd9b1d6c7f7
Description-en: Log::Dispatch object to test what is being logged
 Test::Log::Dispatch is a Log::Dispatch object that keeps track of
 everything logged to it, in memory, providing a convenient way
 to test what has been logged.

Package: libtest-log-log4perl-perl
Description-md5: 3126b193c7f83ab1706b235bd2c5f898
Description-en: module to test Log::Log4perl
 Test::Log::Log4perl module can be used to test that you're logging
 the right thing with Log::Log4perl. It checks that your code logs what
 you expect and only that.
 .
 This module is a fork and can be used instead of Test::Log4perl

Package: libtest-log4perl-perl
Description-md5: 5006332fcf20f7f68e8874746159f681
Description-en: test module for checking Log::Log4perl code
 Test::Log4perl can be used to test that you're logging the right
 thing with Log::Log4perl.  It checks that you get exactly what you
 expect logged by your code.

Package: libtest-longstring-perl
Description-md5: 74c3c9860a908ea82df0d553da2be68d
Description-en: module to test for string equality
 Test::LongString is a Perl test module that provides some functions useful
 for testing the equality of long strings. Upon failure, it will provide more
 useful diagnostic output by:
 .
  * Reporting lengths of the strings that have been compared
  * Reporting length of the common prefix of strings
  * Automatically escaping non-ASCII characters as \x{xx}

Package: libtest-lwp-useragent-perl
Description-md5: 35f670721760ef4da66e2427ad9e363c
Description-en: module for simulating and testing network calls
 Test::LWP::UserAgent useful for testing PSGI applications, for simulating a
 server so as to test client code, route some or all requests through the
 network as normal, but still gain the hooks provided by this class to test
 what was sent and received.
 .
 Note that LWP::UserAgent itself is not monkey-patched - use this module (or
 a subclass) to send request, or it cannot be caught and processed.

Package: libtest-manifest-perl
Description-md5: 6bc8f11f38f452cb5a9b5ecfee6085a9
Description-en: Perl module for interacting with a test manifest file
 Test::Manifest is a Perl test framework that allows you to run any tests you
 want based on the t/test_manifest file, in any order you desire (rather than
 simply the ascii-betical order provided by default.)

Package: libtest-memory-cycle-perl
Description-md5: bd48d7e7c3d475b47b6b0c0a042e08bc
Description-en: Perl module that verifies code hasn't left circular references
 Test::Memory::Cycle checks for memory leaks and circular memory
 references. It's a thin Test::More-compatible wrapper around Lincoln
 Stein's Devel::Cycle module.
 .
 Perl's garbage collection has one big problem: Circular references
 can't get cleaned up. A circular reference can be as simple as two
 reference that refer to each other. Test::Memory::Cycle is built on
 top of Devel::Cycle to give you an easy way to check for these
 circular references. You can also use memory_cycle_exists() to make
 sure that you have a cycle where you expect to have one.

Package: libtest-memorygrowth-perl
Description-md5: c70622d73542b09e05d65dbd480f263e
Description-en: module to assert that code does not cause growth in memory usage
 Test::MemoryGrowth provides a function to check that a given block of code
 does not result in the process consuming extra memory once it has finished.
 Despite the name of this module it does not, in the strictest sense of the
 word, test for a memory leak: that term is specifically applied to cases
 where memory has been allocated but all record of it has been lost, so it
 cannot possibly be reclaimed. While the method employed by this module can
 detect such bugs, it can also detect cases where memory is still referenced
 and reachable, but the usage has grown more than would be expected or
 necessary.
 .
 The block of code will be run a large number of times (by default 10,000),
 and the difference in memory usage by the process before and after is
 compared. If the memory usage has now increased by more than one byte per
 call, then the test fails.

Package: libtest-minimumversion-perl
Description-md5: ba9a973e639952fee904f13b188ef7c6
Description-en: Perl test module to check the version of perl required
 Test::MinimumVersion is a simple test to look at the features you are using in
 your code and determine the minimum version of the perl interpreter that is
 required. This is helpful, for example, when you are using features like 'say'
 which was introduced in Perl 5.10.
 .
 This test makes it easy to determine if your Perl code requires a newer perl
 than expected, or if you accidentally made your dist require a newer version
 than strictly necessary.

Package: libtest-mock-guard-perl
Description-md5: ec043e1a3fe6a1f8e05ed8aec6d0edbc
Description-en: Simple mock test library using RAII.
 Test::Mock::Guard is mock test library using RAII.

Package: libtest-mock-lwp-perl
Description-md5: cf2020ebc3f8b415852d352a6ed925a9
Description-en: module for easy mocking of LWP packages
 Make LWP packages to make testing easier. Test::Mock::LWP arises from
 duplicating the same code to mock LWP et al in several different modules.
 The code is very minimalist, but may work for your needs.

Package: libtest-mock-redis-perl
Description-md5: 8a0fd32df74ee3fdaab309fe1d867e14
Description-en: test stub for Redis databases
 Test::Mock::Redis can be used in place of Redis for running
 tests without needing a running redis instance.
 .
 This module is designed to function as a drop in replacement for
 Redis.pm for testing purposes.

Package: libtest-mock-time-perl
Description-md5: b121568cc381e9a7315183617f6dd1ef
Description-en: module for deterministic time & timers in event loop tests
 Test::Mock::Time replaces actual time with simulated time everywhere (core
 time(), Time::HiRes, EV, AnyEvent with EV, Mojolicious, …) and provide a
 way to write deterministic tests for event loop based applications with
 timers.
 .
 IMPORTANT! This module must be loaded by your script/app/test before other
 related modules (Time::HiRes, Mojolicious, EV, etc.).

Package: libtest-mockdatetime-perl
Description-md5: b19879488bf9112458afa6ab6e88e632
Description-en: module to mock DateTime->now calls during tests
 Getting the current time sometimes is not very helpful for testing scenarios.
 Instead, if you could obtain a known value during the runtime of a testcase
 will make your results predictable.
 .
 The Test::MockDateTime module allows faking a given date and time for the
 runtime of a subsequent code block. By default the on keyword is exported
 into the namespace of the test file. The date to get mocked must be in a
 format that is recognized by DateTime::Format::DateParse.

Package: libtest-mockdbi-perl
Description-md5: b44ed63a1d02eb2236cf5f0cd71b9886
Description-en: mocked DBI interface for testing purposes
 Test::MockDBI has a major advantage over DBI::trace()
 or DBD::Mock -- Test::MockDBI lets you change how the
 mocked DBI functions behave, along with modifying
 what data is returned by the mocked DBI functions.
 These changes and modifications can be made specific
 to particular SQL statement patterns.
 .
 This ability to modify DBI function behavior and
 DBI-returned data makes it easier to test code that has
 different branches for different DBI behaviors -- you
 can test that a failure within DBI or returned DB data
 that is different than normal is processed correctly by
 your module or program.  If you are taking over a large
 code base, Test::MockDBI will help in understanding the
 code by allowing you to safely check how the code behaves
 under different database conditions without modifying any
 databases (production or otherwise).

Package: libtest-mockmodule-perl
Description-md5: ed61c3c03517795a6ff4b2cebab46e3c
Description-en: Perl module to override subroutines in a module for unit testing
 Test::MockModule lets you temporarily redefine subroutines in other
 packages for the purposes of unit testing.
 .
 A Test::MockModule object is set up to mock subroutines for a given
 module. The object remembers the original subroutine so it can be
 easily restored. This happens automatically when all MockModule
 objects for the given module go out of scope, or when you unmock()
 the subroutine.

Package: libtest-mockobject-perl
Description-md5: 8cbf97f9d10bb2d837a5a7ca52c39096
Description-en: Perl extension for emulating troublesome interfaces
 Test::MockObject allows you to control interfaces for writing
 tests in perl. It can emulate interfaces that you normally
 would use (such as a database or other external items).
 You don't have to recreate the functionality, but simply mimic
 the input and output.

Package: libtest-mockrandom-perl
Description-md5: 698823315a6250efc77b4ad8a95fb3ff
Description-en: module to replace random number generation with non-random number generation
 The Test::MockRandom module replaces random number generation with non-random
 number generation.
 .
 This perhaps ridiculous-seeming module was created to test routines that
 manipulate random numbers by providing a known output from rand. Given a
 list of seeds with srand, it will return each in turn. After seeded
 random numbers are exhausted, it will always return 0. Seed numbers must
 be of a form that meets the expected output from rand as called with no
 arguments -- i.e. they must be between 0 (inclusive) and 1 (exclusive).
 In order to facilitate generating and testing a nearly-one number, this
 module exports the function oneish, which returns a number just
 fractionally less than one.
 .
 Depending on how this module is called with use, it will export rand to
 a specified package (e.g. a class being tested) effectively overriding
 and intercepting calls in that package to the built-in rand. It can also
 override rand in the current package or even globally. In all of these
 cases, it also exports srand and oneish to the current package in order
 to control the output of rand.

Package: libtest-mocktime-datecalc-perl
Description-md5: 1b5416a9919b7f4ffe4a5bbdc235621d
Description-en: testing utility to fake time for Date::Calc
 Test::MockTime::DateCalc arranges for the functions in Date::Calc to
 follow the Perl level 'time' function, and in particular any fake date/time
 set there by Test::MockTime.  The following Date::Calc functions are changed
 .
  System_Clock
  Today
  Now
  Today_and_Now
  This_Year
  Gmtime
  Localtime
  Timezone
  Time_to_Date
 .
 Gmtime, Localtime, Timezone and Time_to_Date are made to default
 to the Perl-level current time.  When called with an explicit time
 argument they're unchanged.

Package: libtest-mocktime-perl
Description-md5: 486e2fb2302cf10c864971adab9fa86e
Description-en: module to simulate time in tests
 Test::MockTime enables test suites to test code at specific points in time.
 Specifically it overrides the localtime, gmtime and time functions at compile
 time and then relies on the user supplying a mock time via set_relative_time,
 set_absolute_time or set_fixed_time to alter future calls to gmtime, time or
 localtime.

Package: libtest-modern-perl
Description-md5: 639f5a69d6d8295bc9e124ef15811de3
Description-en: precision testing for modern perl
 Test::Modern provides the best features of Test::More, Test::Fatal,
 Test::Warnings, Test::API, Test::LongString, and Test::Deep, as well as
 ideas from Test::Requires, Test::DescribeMe, Test::Moose, and
 Test::CleanNamespaces.
 .
 Test::Modern also automatically imposes strict and warnings on your
 script, and loads IO::File. (Much of the same stuff Modern::Perl does.)
 .
 Although Test::Modern is a modern testing framework, it should run fine
 on pre-modern versions of Perl. It should be easy to install on Perl
 5.8.9 and above; and if you can persuade its dependencies to install
 (not necessarily easy!), should be OK on anything back to Perl 5.6.1.

Package: libtest-module-used-perl
Description-md5: a65c7ece5a2f1f3e45d6724bde7e4e7f
Description-en: module for testing whether a module is used
 Test::Module::Used is a Perl test module for determining whether a module is
 really used (and vice versa) between lib/t and META.yml. It is useful for
 detecting cases where dependencies are specified (possibly as a remnant of
 older versions) but no longer needed, or where one forgets to declare them.

Package: libtest-mojibake-perl
Description-md5: 55740cf6cbcb63f35a3b58a2bd37e713
Description-en: module to check source for encoding misbehavior
 Many modern text editors automatically save files using UTF-8
 encoding, however, perl interpreter does not expects it by default.
 Whereas this does not represent a big deal on (most) backend-oriented
 programs, Web framework (Catalyst, Mojolicious) based applications
 will suffer of so-called Mojibake (lit. "unintelligible sequence of
 characters").
 .
 Test::Mojibake lets you check for inconsistencies in source and
 documentation encoding, and report its results in a standard
 Test::Simple fashion.

Package: libtest-moose-more-perl
Description-md5: e6800db558b2d5d7af40b9a3fa0855de
Description-en: collection of tools for testing Moose packages
 Test::Moose::More contains a number of additional tests that can be employed
 against Moose classes/roles. It is intended to replace Test::Moose in a
 package's tests, and re-exports any tests that it has and the package does
 not, yet.

Package: libtest-more-utf8-perl
Description-md5: 97cc9ab72ed91258dece72d3bea0f24e
Description-en: enhance Test::More for UTF8-based projects
 Test::More::UTF8 is a simple extension for the widely used Test::More
 module. By default, it will do a "binmode ':utf8'" on all of
 Test::Builder's output handles thus enabling the easy use flagged
 strings without warnings like "Wide character in print ..."

Package: libtest-most-perl
Description-md5: 40af6726afd38f6494807dbcbfbaa73f
Description-en: Perl module with the most commonly needed test functions and features
 Test::Most provides the most commonly used testing functions and gives
 a bit more fine-grained control over your test suite.
 .
 All functions from the following modules will automatically be
 exported:
 .
  * Test::More
  * Test::Exception
  * Test::Differences
  * Test::Deep
  * Test::Warn
 .
 This is useful when one is used to most of the above modules but
 wouldn't want to bother to load them all explicitly.
 .
 Test::Most also provides a couple of routines to control tests
 behaviour in case of errors.

Package: libtest-name-fromline-perl
Description-md5: e30944d1fcb18da23f368396553d2e52
Description-en: auto fill test names from caller line
 Test::Name::FromLine is a test utility that fills test names from its
 file.  Just use the module in the test file and it will fill test names
 to all tests except named ones.

Package: libtest-needs-perl
Description-md5: 7970ab9f96d82513dcd441d228186b54
Description-en: module to skip tests when modules are not available
 Test::Needs allows one to skip test scripts if modules are not available. The
 requested modules will be loaded, and optionally have their versions checked.
 If the module is missing, the test script will be skipped. Modules that are
 found but fail to compile will exit with an error rather than skip.
 .
 If used in a subtest, the rest of the subtest will be skipped.
 .
 If the "RELEASE_TESTING" environment variable is set, the tests will
 fail rather than skip. Subtests will be aborted, but the test script
 will continue running after that point.

Package: libtest-needsdisplay-perl
Description-md5: cc0437f8d4f6634af23a2ba906a66ae9
Description-en: module to ensure that tests needing a display have one
 When testing GUI applications, sometimes applications or modules absolutely
 insist on a display, even just to load a module without actually showing any
 objects.
 .
 Regardless, this makes GUI applications pretty much impossible to build and
 test on headless or automated systems. And it fails to the point of not even
 running the Makefile.PL script because a dependency needs a display so it can
 be loaded to find a version.
 .
 In these situations, what is needed is a fake display.
 .
 The Test::NeedsDisplay module will search around and try to find a way to load
 some sort of display that can be used for the testing.

Package: libtest-net-ldap-perl
Description-md5: d82fe712d8fbba4e69cd68415ee838df
Description-en: Net::LDAP subclass for testing
 Test::Net::LDAP is a subclass of Net::LDAP and provides testing methods for
 LDAP operations, such as search, add, and modify, where each method is
 suffixed with either _ok or _is. (E.g. search_ok and search_is)
 .
 Test::Net::LDAP::Mock is a subclass of Test::Net::LDAP and performs all the
 LDAP operations in memory without connecting to the real LDAP server, so that
 it is easy to set up fake LDAP entries for particular test cases.

Package: libtest-nicedump-perl
Description-md5: fc3d11e5b8034a04ca1e4996fff8e128
Description-en: module for nice and human readable dumps of objects in tests
 Test::NiceDump uses Data::Dump::Filtered and a set of sensible filters to
 dump test data in a more readable way.
 .
 For example, DateTime objects get printed in the full ISO 8601 format, and
 DBIx::Class::Row objects get printed as hashes of their inflated columns.

Package: libtest-nobreakpoints-perl
Description-md5: 62bd03950a6164e61846b55daf517dd1
Description-en: module to test that files do not contain soft breakpoints
 Test::NoBreakpoints is a Perl module useful as part of a module's release
 testing that ensures that files do not contain soft breakpoints for the Perl
 debugger (such as $DB::single or $DB::signal).

Package: libtest-notabs-perl
Description-md5: 3a225ec5c26ccdd4b3aace67c1557793
Description-en: module for scanning for hard tabs in files
 Test::NoTabs is a Perl test module that scans your project/distribution for
 any Perl files (scripts, modules, etc) that contain hard tabs (the \t, or
 0x09) character. Tabs can render with slightly different width depending on
 the author's environment, so it's best to use spaces instead.

Package: libtest-nowarnings-perl
Description-md5: 5bcfb627a3d672bb6dceba5131f2584c
Description-en: module to make sure you didn't emit any warnings while testing
 In general, your tests shouldn't produce warnings. This module causes any
 warnings to be captured and stored. It automatically adds an extra test that
 will run when your script ends to check that there were no warnings. If there
 were any warnings, the test will give a "not ok" and diagnostics of where, when
 and what the warning was, including a stack trace of what was going on when
 it occurred.
 .
 If some of your tests are supposed to produce warnings then you should be
 capturing and checking them with Test::Warn, that way Test::NoWarnings will
 not see them and so not complain.
 .
 The test is run by an END block in Test::NoWarnings. It will not be run when
 any forked children exit.

Package: libtest-number-delta-perl
Description-md5: bc6fd6f22775ffbbe84d03255c3bbe46
Description-en: module to compare the difference between numbers against a given tolerance
 Test::Number::Delta allows perl programmers to define tests for
 operations that have no exact outcome, but need to return values
 in a given tolerance range around a certain value.

Package: libtest-object-perl
Description-md5: 18aff869305ec0958b4f2921da8373f5
Description-en: module for thoroughly testing objects via registered handlers
 The Test::Object perl module aids testing deep trees of classes.
 It allows testing an object against the expected behavior of all the
 classes in its inheritance tree.

Package: libtest-output-perl
Description-md5: 4b3c10fa26276e237a9ae5dcd1ecad22
Description-en: perl module to test stdout and stderr messages
 Test::Output provides a simple interface for testing output sent to STDOUT
 or STDERR. A number of different utilities are included to try and be as
 flexible as possible to the tester.
 .
 Test::Output ties STDOUT and STDERR using Test::Output::Tie, also provided
 by this package.

Package: libtest-perl-critic-perl
Description-md5: f44c26cf50e4e4748610b16550770dd4
Description-en: module to use Perl::Critic in test scripts
 Test::Perl::Critic wraps the Perl::Critic engine in a convenient subroutine
 suitable for test programs written using the Test::More framework. This makes
 it easy to integrate coding-standards enforcement into the build process. For
 ultimate convenience (at the expense of some flexibility), see the criticism
 pragma.
 .
 If you'd like to try Perl::Critic without installing anything, there is a web
 service available at http://perlcritic.com. The web service does not support
 all the configuration features that are available in the native Perl::Critic
 API, but it should give you a good idea of what it does.

Package: libtest-perl-critic-progressive-perl
Description-md5: 1f69aba179816c57718d4dca92037135
Description-en: module that allows gradual enforcement of coding standards
 Test::Perl::Critic::Progressive allows Perl coding standards to be
 enforced in a gradual way. With it hooked into a continuous integration
 system, it will fail any changes that increase the number of policy
 violations, as judged using Perl::Critic.

Package: libtest-pod-content-perl
Description-md5: 069836f91179faaad509cc3847d07f57
Description-en: Perl module for testing POD content
 Test::Pod::Content is a very simple module for testing Perl's Plain Old
 Documentation (POD) format's content, not just the markup syntax. It is
 mainly intended for testing the content of generated POD - that is, the POD
 included in Perl modules generated by some mechanism.

Package: libtest-pod-coverage-perl
Description-md5: 0ecb93527aa535dd98d01caf5c5f4c6c
Description-en: module to check for pod coverage in a distribution
 Test::Pod::Coverage is a wrapper for Pod::Coverage that
 automates the process of testing one's documentation for
 completeness. It is mostly used as a final check before
 distributing a new release.
 .
 Also see libpod-coverage-perl.

Package: libtest-pod-no404s-perl
Description-md5: 91b4457f3e86374ec9c48b65d87c3924
Description-en: test utility checking POD for HTTP 404 links
 Test::Pod::No404s looks for any http(s) links in your POD and verifies that
 they will not return a 404. It uses LWP::UserAgent for the heavy lifting, and
 simply lets you know if it failed to retrieve the document. More
 specifically, it uses $response->is_error as the "test."
 .
 Normally, you wouldn't want this test to be run during end-user installation
 because they might have no internet! It is HIGHLY recommended that this be
 used only for module authors' RELEASE_TESTING phase. To do that, just modify
 the synopsis to add an env check :)

Package: libtest-pod-perl
Description-md5: 6c5d646eddb2779b4d2bc7a579d696d2
Description-en: module to test for POD errors
 Test::Pod is a simple test for Perl's Plain Old Documentation (POD) format. It
 checks your distribution's module, script and documentation files for issues
 with the documentation syntax. In the CPAN community, it is considered a best
 practice to use this module as an author test prior to releasing your packages
 because it prevents issues with various applications that use the POD.

Package: libtest-poe-client-tcp-perl
Description-md5: 60e5208c1f4d3a447ff4247a09e12184
Description-en: POE Component providing TCP client services for test cases
 Test::POE::Client::TCP is a POE component that provides a TCP client framework
 for inclusion in client component test cases, instead of having to roll your
 own.
 .
 Once registered with the component, a session will receive events related to
 connections made, disconnects, flushed output and input from the specified
 server.

Package: libtest-poe-server-tcp-perl
Description-md5: 9194f67e63481551cd56a009f1ba1d5f
Description-en: test framework for TCP client libraries using POE
 Test::POE::Server::TCP is a Perl Object Environment (POE, see libpoe-perl)
 component that provides a TCP server framework for inclusion in your client
 module's test cases. Once registered, a session will receive events related
 to client connections, disconnections, input and any flushed output. It will
 also get a unique client identifier which may be used in communication with
 the client component when sending data to the client or ending a connection.

Package: libtest-portability-files-perl
Description-md5: b2ceb40e7eeb5485e73170008615d6ff
Description-en: Perl module to check that file names in a distribution are portable
 Test::Portability::Files is used to check the portability across operating
 systems of the names of the files present in the distribution of a module.
 The tests use the advices given in perlport/"Files and Filesystems". The
 author of a distribution can select which tests to execute.
 .
 To use this module, simply copy the code from the synopsis in a test file
 named t/portfs.t for example, and add it to your MANIFEST. You can delete the
 call to options() to enable only most common tests.

Package: libtest-postgresql-perl
Description-md5: ca45b6de8daa274475f161d56a9caed4
Description-en: sets up and destroys temporary PostgreSQL instances for testing
 Test::PostgreSQL automatically setups a PostgreSQL instance in a temporary
 directory, and destroys it when the perl script exits.
 .
 Test::PostgreSQL is a fork of Test::postgresql, which was abandoned by its
 author several years ago.

Package: libtest-prereq-perl
Description-md5: cd1a78dc665258d81b40289e8830b397
Description-en: Perl module to check if Makefile.PL has the right pre-requisites
 Test::Prereq examines the modules looking on blib/lib/,
 blib/script, and the test files t/*  (and test.pl).
 then figures out which modules they use, skips the modules that are
 in the Perl core, and compares the remaining list of modules to those
 in the PREREQ_PM section of Makefile.PL.

Package: libtest-randomresult-perl
Description-md5: acf0c4df5f442415e47df276bd804117
Description-en: module to test that results of a running code look random
 Test::RandomResult is a test module that checks that the results of some code
 look random.
 .
 Currently it does not check the distribution of the random results.

Package: libtest-rdf-doap-version-perl
Description-md5: 92bd2e587337492d5d4cfa87ee0ffe90
Description-en: tests 'meta/changes.ttl' is up to date
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 Test::RDF::DOAP::Version reads all RDF in a distribution's "meta"
 directory and checks the distribution metadata matches the pattern:
 .
  ?uri doap:release ?rel .
  ?rel doap:revision ?ver .
 .
 Where ?uri is the URI
 "http://purl.org/NET/cpan-uri/dist/$dist/project" and ?ver is
 "$module->VERSION", as an xsd:string or plain literal.

Package: libtest-rdf-perl
Description-md5: aae8b3daf3bd5e2f51435051511b2a60
Description-en: Test RDF data for validity and equality
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 Test::RDF provides tools for testing code which deals with RDF. It can
 test RDF for validity, check if two RDF graphs are the same, or
 subgraphs of each other, if a URI is or is not in a dataset, if it has
 certain subjects, predicates, objects or literals. It can also test to
 see if a full pattern is present or absent.

Package: libtest-redisserver-perl
Description-md5: 1275def57da2932b032b7cfc5ba05af9
Description-en: redis-server runner for tests
 Test::RedisServer automatically sets up a Redis instance, and destroys
 it when the perl script exits.
 .
 Redis is a key-value database in a similar vein to memcache but the
 dataset is non-volatile.

Package: libtest-regexp-pattern-perl
Description-md5: 2ac2d6275a3d5c199fa722a9fb31a0d6
Description-en: test Regexp::Pattern patterns
 Test::Regexp::Pattern performs various checks
 on a module's Regexp::Pattern patterns.
 It is recommended that you include
 something like release-regexp-pattern.t in your distribution
 if you add regexp patterns to your code.
 If you use Dist::Zilla to build your distribution,
 there is Test::Regexp::Pattern to make it easy to do so.
 .
 Regexp::Pattern is a convention
 for organizing reusable regexp patterns in modules.

Package: libtest-regexp-perl
Description-md5: d159ee1086b013e5b2b088af036393ca
Description-en: Perl module to test regular expressions
 Test::Regexp is intended to test regular expressions. Given a subject
 string and a regular expression (aka pattern), the module not only
 tests whether the regular expression complete matches the subject
 string, it performs a utf8::upgrade or utf8::downgrade on the subject
 string and performs the tests again, if necessary. Furthermore, given
 a pattern with capturing parenthesis, it checks whether all captures
 are present, and in the right order. Both named and unnamed captures
 are checked.

Package: libtest-regression-perl
Description-md5: 57924d75205c3276e67d9e7824adc890
Description-en: test library for regression testing output
 Test::Regression is a Test::More compatible module that provides an
 ok_regression function which compares the output of a code reference with
 stored reference files. If necessary the reference files can be regenerated
 by setting an environment variable.

Package: libtest-reporter-perl
Description-md5: c8dbda3c8d420cd8e9330c7ac0d64707
Description-en: Perl module to send test results to cpan-testers@perl.org
 Test::Reporter reports the test results of any given distribution to the CPAN
 testing service. See http://testers.cpan.org/ for details.
 .
 Test::Reporter has wide support for various perl5's and platforms.

Package: libtest-requires-git-perl
Description-md5: f9d8a32be732e56ec558801cdfe0debe
Description-en: module to check the available version of Git
 Test::Requires::Git checks if the version of Git available for testing
 meets the given requirements.
 .
 The "current git" is obtained by running "git --version" (so the first
 "git" binary found in the "PATH" will be tested).
 .
 If the checks fail, then all tests will be skipped.

Package: libtest-requires-perl
Description-md5: 56c1024014b7e7a023b627711a2815bf
Description-en: utility module for tests to check whether modules are available
 Test::Requires is a Perl module for tests written using Test::Builder that
 simply checks to see if the module can be loaded. If loading the module fails
 then this skips all tests in the file, rather than causing a complete failure
 in module testing.

Package: libtest-requiresinternet-perl
Description-md5: e827ebd2441a1c27eda21b268aca3892
Description-en: module to easily test network connectivity
 Test::RequiresInternet is intended to easily test network connectivity
 before functional tests begin to non-local Internet resources. It does not
 require any modules beyond those supplied in core Perl.
 .
 If the environment variable NO_NETWORK_TESTING is set, then the tests will be
 skipped without attempting any socket connections.
 .
 If the sockets cannot connect to the specified hosts and ports, the exception
 is caught, reported and the tests skipped.

Package: libtest-roo-perl
Description-md5: b73a07c3409327bc8864ef7703709053
Description-en: module for composable, reusable tests with roles and Moo
 Test::Roo allows you to compose Test::More tests from roles. It is inspired
 by the excellent Test::Routine module, but uses Moo instead of Moose. This
 gives most of the benefits without the need for Moose as a test dependency.
 .
 Test files are Moo classes. You can define any needed test fixtures as Moo
 attributes. You define tests as method modifiers -- similar in concept to
 "subtest" in Test::More, but your test method will be passed the test object
 for access to fixture attributes. You may compose any Moo::Role into your
 test to define attributes, require particular methods, or define tests.
 .
 This means that you can isolate test *behaviors* into roles which require
 certain test *fixtures* in order to run. Your main test file will provide the
 fixtures and compose the roles to run. This makes it easy to reuse test
 behaviors.
 .
 For example, if you are creating tests for Awesome::Module, you could create
 the test behaviors as Awesome::Module::Test::Role and distribute it with your
 module. If another distribution subclasses Awesome::Module, it can compose
 the Awesome::Module::Test::Role behavior for its own tests.
 .
 No more copying and pasting tests from a super class! Superclasses define and
 share their tests. Subclasses provide their own fixtures and run the tests.

Package: libtest-routine-perl
Description-md5: 251e9144f0aa0d20b37eb755f5e9b972
Description-en: Perl test framework for tests as composable units of assertion
 Test::Routine is a very simple framework for writing your tests as composable
 units of assertion.  In other words: roles.
 .
 For a walkthrough of tests written with Test::Routine, see
 Test::Routine::Manual::Demo.

Package: libtest-script-perl
Description-md5: bbdb25e7172043f1175ad3d8a9aeea86
Description-en: Perl test module for scripts
 Test::Script is a Perl module that provides a series of basic tests for those
 files usually found in the bin or scripts directory of your package. It aims
 to provide your scripts with perfect platform-compatability and in a way that
 is as unobstrusive as possible. The general idea is that if a program works
 on a platform, then Test::Script tests should also pass on that platform.

Package: libtest-script-run-perl
Description-md5: 5d1067c0b8d41d0d1980ce7141ef99a0
Description-en: Perl module for testing scripts as subprocesses
 Test::Script::Run provides Test::More-compatible subroutines for testing
 scripts in a Perl module distribution's bin/ directory using IPC::Run3 to
 spawn the scripts as subprocesses.
 .
 Subroutines are provided for running scripts and simply checking that the
 return value is good, as well as capturing a script's STDOUT and STDERR and
 comparing against expected output.

Package: libtest-sharedfork-perl
Description-md5: ace19bd4752668af9927e5d34821b316
Description-en: module to run tests in multiple processes and merge results
 Test::SharedFork is utility module for tests built using Test::Builder (which
 constitute the majority of test modules on CPAN). It allows developers to run
 tests in multiple processes, in parallel, and combine the results together.

Package: libtest-signature-perl
Description-md5: a62f500ce0311275018e022f282f04e8
Description-en: Perl module that provides automatic SIGNATURE testing
 "Module::Signature" allows you to verify that a distribution has not
 been tampered with. "Test::Signature" lets that be tested as part of the
 distribution's test suite.
 .
 IMPORTANT: This is not a substitute for the users verifying the
 distribution themselves. By the time this module is run, the users will
 have already run your Makefile.PL or Build.PL scripts which could have
 been compromised.

Package: libtest-simple-perl
Description-md5: e01609c3dfe7831306afd042b4d34e27
Description-en: set of basic utilities for writing tests in Perl
 Test::Simple is an extremely simple module for writing tests suitable for CPAN
 modules and other pursuits.
 .
 This module is already included as part of Perl's core distribution, so this
 package is only beneficial when newer features or bug fixes are required.

Package: libtest-spec-perl
Description-md5: 7cc99ad2ddf28ae564393991e5ac4ea2
Description-en: Perl module to write tests in a declarative specification style
 This is a declarative specification-style testing system for behavior-driven
 development (BDD) in Perl. The tests (a.k.a. examples) are named with strings
 instead of subroutine names, so your fingers will suffer less fatigue from
 underscore-itis, with the side benefit that the test reports are more
 legible.
 .
 Test::Spec is inspired by and borrows heavily from RSpec, a BDD tool for the
 Ruby programming language.

Package: libtest-spelling-perl
Description-md5: 377937f9cfa3207a1cede619d04f9764
Description-en: Perl module for spellchecking pod formatted text
 Test::Spelling lets you check the spelling of a POD file, and report
 its results in standard Test::More fashion. This module requires a
 spellcheck program such as spell, aspell, ispell, or hunspell.
 .
 You can add your own stopwords, which are words that should be ignored by the
 spell check. See libpod-spell-perl (which this module is built upon) for a
 variety of ways to add per-file stopwords to each .pm file. If you have a lot
 of stopwords, it's useful to put them in your test file's DATA section.

Package: libtest-strict-perl
Description-md5: 6be5adbf6c1ac10eff20dd7f0d8527a7
Description-en: test module for checking the syntax of use strict and test coverage
 Test::Strict tests if the code compiles and inter-operates with
 Test::Simple modules. Another good practice this module can test
 is to "use strict;" in all perl files.
 .
 By setting a minimum test coverage through all_cover_ok(), a code
 author can ensure his code is tested above a preset level of kwalitee
 (CPAN quality metrics) throughout the development cycle. Along with
 Test::Pod, this module can provide the first tests to setup for a
 module author.

Package: libtest-subcalls-perl
Description-md5: e584d7fa203d5cb7a11171f5dd68dd14
Description-en: Perl module to count subroutine calls
 Test::SubCalls provides functions for testing that subroutines are called a
 specific number of times. It is useful in situations like testing caching
 code, where you want to do some tests as normal and then verify that some
 underlying subroutine within the code was called a specific number of times.

Package: libtest-synopsis-perl
Description-md5: c012198048183ab89ee79b78a9e690e7
Description-en: Perl module to test SYNOPSIS code in PODs
 Test::Synopsis is an (author) test module to find .pm or .pod files
 under your lib directory and then make sure the example snippet code in
 your SYNOPSIS section passes the perl compile check.
 .
 Note that this module only checks the perl syntax (by wrapping the code
 with sub) and doesn't actually run the code.

Package: libtest-sys-info-perl
Description-md5: 0c00cad70e5ff0faa8572439be68741a
Description-en: centralized test suite for Sys::Info
 Test::Sys::Info is a centralized test suite for Sys::Info Drivers.
 .
 It is used by the test suite of libsys-info*-perl packages.

Package: libtest-tabledriven-perl
Description-md5: f16376566d573884b95dfd02693c7348
Description-en: write tests, not scripts that run them
 Writing table-driven tests is usually a good idea.  Adding a test case
 doesn't require adding code, so it's easy to avoid fucking up the
 other tests.  However, actually going from a table of tests to a test
 that runs is non-trivial.
 .
 Test::TableDriven makes writing the test drivers trivial.  You simply
 define your test cases and write a function that turns the input data
 into output data to compare against.  C<Test::TableDriven> will compute
 how many tests need to be run, and then run the tests.
 .
 Concentrate on your data and what you're testing, not
  plan tests => scalar keys %test_cases
 and a big foreach loop.

Package: libtest-tabs-perl
Description-md5: 74699514cbc0f88a49cd90b62d62d42f
Description-en: check the presence of tabs in your project
 Test::Tabs scans your project/distribution for any perl files (scripts,
 modules, etc) for the presence of tabs.
 .
 In particular, it checks that all indentation is done using tabs, not
 spaces; alignment is done via spaces, not tabs; indentation levels
 never jump up (e.g. going from 1 tab indent to 3 tab indent without an
 intervening 2 tab indent); and there is no trailing whitespace on any
 line (though lines may consist entirely of whitespace).

Package: libtest-taint-perl
Description-md5: 0e2bb932059cfe03c64c2916c6d37a98
Description-en: Module to test taintedness
 The Test::Taint module provides tools to test taintedness.
 Tainted data is data that comes from an unsafe source, such as the
 command line, or, in the case of web apps, any GET or POST transactions.
 Read the perlsec man page for details on why tainted data is bad,
 and how to untaint the data.
 .
 When you're writing unit tests for code that deals with tainted data,
 you'll want to have a way to provide tainted data for your routines to
 handle, and easy ways to check and report on the taintedness of your data,
 in standard Test::More style.

Package: libtest-tcp-perl
Description-md5: 9e3c86aaf4b2c9102680af139f28e445
Description-en: module to test TCP/IP programs
 Test::TCP is a Perl test framework for TCP/IP programs, especially those
 written in Perl. For example, to test a client or server written in Perl,
 one simply specifies code to launch the server on an arbitrary port and
 provides corresponding code to execute a client to connect to it.
 .
 This module also supports running multiple servers in the same test file.

Package: libtest-tempdir-perl
Description-md5: 0f27c0d8d9c5b7d6f7c05ba08591f23d
Description-en: Perl module that creates temporary files for testing
 Test::TempDir provides temporary directory creation with testing in mind.
 .
 The differences between this module and File::Temp are:
  * prevent race conditions when running parallel tests harness
  * empty directory before running tests
  * files are not cleaned at the end of the tests if they fail

Package: libtest-tempdir-tiny-perl
Description-md5: 7cb78b86e632ec788533094559b9bac1
Description-en: test module for temporary directories that stick around when tests fail
 Test::TempDir::Tiny works with Test::More to create temporary directories
 that stick around if tests fail.
 .
 It is loosely based on Test::TempDir, but with less complexity, greater
 portability and zero non-core dependencies.

Package: libtest-time-perl
Description-md5: 24152e8e36c27751b896c275c42a4253
Description-en: module to override the time() and sleep() functions for testing
 Test::Time can be used to test modules that deal with time. Once you use this
 module, all references to time and sleep will be internalized.

Package: libtest-timer-perl
Description-md5: 559a54d15d5e70d9fcedace3612b4652
Description-en: Perl module to test/assert code response times
 Test::Timer implements a set of test primitives to test and assert test times
 from bodies of code.
 .
 The key features are subroutines to test/assert the following:
 .
   - that execution of a given piece of code does not exceed a specified time
     limit
 .
   - that execution of a given piece of code takes longer than a specified time
     limit and does not exceed another

Package: libtest-trap-perl
Description-md5: 9033bd8e849a40370abad8dc7e47f13b
Description-en: test module to trap exit codes, exceptions, output, etc.
 Test::Trap is a Perl test module useful primarily (but not exclusively) for
 test scripts: a block eval on steroids, configurable and extensible, but by
 default trapping (Perl) STDOUT, STDERR, warnings, exceptions, would-be exit
 codes, and return values from boxed blocks of test code.
 .
 The values collected by the latest trap can then be queried or tested through
 as a special trap object.

Package: libtest-unit-perl
Description-md5: ad3e7c412cafdade11b5286a32e1bad7
Description-en: unit testing framework for Perl
 Test::Unit::* is a sophisticated unit testing framework for Perl
 that is derived from the JUnit testing framework for Java by Kent
 Beck and Erich Gamma.
 .
 While this framework is originally intended to support unit
 testing in an object-oriented development paradigm (with support
 for inheritance of tests etc.), Test::Unit::Procedural is intended
 to provide a simpler interface to the framework that is more
 suitable for use in a scripting style environment.

Package: libtest-unixsock-perl
Description-md5: 8e59c93d82304429284082668292d5c8
Description-en: test module for UNIX domain sockets
 Test::UNIXSock is a test utility to test UNIX domain socket server programs.
 .
 It is based on Test::TCP.

Package: libtest-useallmodules-perl
Description-md5: 77e4d3e138edde6aab3690d26542cf88
Description-en: test module to do use_ok() for all the MANIFESTed modules
 Test::UseAllModules reads MANIFEST to find modules to be tested and
 does use_ok() for each of them. Now all you have to do is update
 MANIFEST. You don't have to modify the test any more (hopefully).

Package: libtest-utf8-perl
Description-md5: 292d207472f6c2f7f72fe204f9e6a331
Description-en: handy perl module for testing strings with utf8
 Test::utf8 is a collection of tests that's useful when dealing with utf8
 strings in Perl. The Test::utf8 module provides two type of tests:
 .
  - validity test if a string is valid and not corrupt
  - characteristics tests will check a string has a given set of characteristics

Package: libtest-valgrind-perl
Description-md5: e6713431d2c536615e959cb1376d4121
Description-en: module to test Perl code through valgrind
 Test::Valgrind is a module that lets you run Perl code through the memcheck
 tool of the Valgrind Memory Debugger, to test it for memory errors and leaks.
 .
 Due to the nature of perl's memory allocator, this module can't track leaks
 of Perl objects. This includes non-mortalized scalars and memory cycles.
 However, it can track leaks of chunks of memory allocated in XS extensions
 with Newx and friends or malloc. As such, it's complementary to the other
 very good leak detectors such as Test::LeakTrace.
 .
 Additionally, this module can run arbitrary commands (not just Perl code)
 and provide output compliant with Perl's Test Anything Protocol (TAP).
 This means that any executable can be run under valgrind and incorporated
 into your testsuite.

Package: libtest-version-perl
Description-md5: 41fce1a4b0703d99c8bae84a0bc23422
Description-en: module for checking the sanity of versions in modules
 Test::Versions's goal is to be a one stop shop for checking to see that
 the versions in the modules across a CPAN distribution are sane.
 .
 It has checks to ensure that all modules have a VERSION defined, and that the
 VERSION is valid.

Package: libtest-warn-perl
Description-md5: cf13ca83a228cf486174fbf64d8b020c
Description-en: test module for checking which warnings are generated
 Test::Warn provides an easy way to test which warnings are thrown in some
 perl code. It is compatible with the Test::More family of utilities and
 similar to Test::Exception.

Package: libtest-warnings-perl
Description-md5: 4e8345d765536530b38b8fa0877f7b3d
Description-en: Perl module to test for warnings and the lack of them
 If you've ever tried to use Test::NoWarnings to confirm there are no
 warnings generated by your tests, combined with the convenience of
 done_testing to not have to declare a test count, you'll have
 discovered that these two features do not play well together, as the
 test count will be calculated before the warnings test is run,
 resulting in a TAP error.
 .
 Test::Warnings is intended to be used as a drop-in replacement for
 Test::NoWarnings. It can also be used as a replacement for Test::Warn,
 if you wish to test the content of expected warnings.

Package: libtest-weaken-perl
Description-md5: 0c3cf3840e2215253fc5ab756a0288df
Description-en: Perl module to test that freed memory objects were actually freed
 A memory leak occurs when a Perl data structure is destroyed but some of the
 contents of that structure are not freed. Leaked memory is a useless
 overhead. Leaks can significantly impact system performance. They can also
 cause an application to abend due to lack of memory. In Perl, circular
 references are a common cause of memory leaks. Circular references are
 allowed in Perl, but data structures containing circular references will leak
 memory unless the programmer takes specific measures to prevent leaks.
 Preventive measures include weakening the references and arranging to break
 the reference cycle just before the structure is destroyed. When using
 circular references, it is easy to misdesign or misimplement a scheme for
 preventing memory leaks. Mistakes of this kind have been hard to detect in a
 test suite. Test::Weaken allows easy detection of unfreed Perl data and
 allows you to examine the unfreed data, even data that would usually have
 been made inaccessible.

Package: libtest-without-module-perl
Description-md5: 27f9905e0030ba6c7a0ac02fdd3410c1
Description-en: test fallback behaviour in absence of modules
 Test::Without::Module allows you to deliberately hide modules from a program
 even though they are installed. This is mostly useful for testing modules
 that have a fallback when a certain dependency module is not installed.

Package: libtest-www-declare-perl
Description-md5: 510b76933658b87b77baf0880dc39f85
Description-en: declarative testing module for web apps
 Often in web apps, tests are very dependent on the state set up by previous
 tests. If one test fails (e.g. "follow the link to the admin page") then it's
 likely there will be many more failures. Test::WWW::Declare aims to alleviate
 this problem, as well as provide a nicer interface to Test::WWW::Mechanize.
 .
 The central idea is that of "flow". Each flow is a sequence of commands ("fill
 in this form") and assertions ("content should contain 'testuser'"). If any of
 these commands or assertions fail then the flow is aborted. Only that one
 failure is reported to the test harness and user. Flows may also contain other
 flows. If an inner flow fails, then the outer flow fails as well.

Package: libtest-www-mechanize-catalyst-perl
Description-md5: fd5fe5dd675c08a07df6043d40b6aaa2
Description-en: module for automated testing for Catalyst applications
 Test::WWW::Mechanize::Catalyst is a Perl module that combines the well-known
 Test::WWW::Mechanize module with the power of Catalyst. It allows developers
 to easily test their Catalyst applications without starting up a web server;
 in fact, this module works by passing the HTTP request object directly to
 Catalyst.

Package: libtest-www-mechanize-cgiapp-perl
Description-md5: 020ec86d5df0697fb06f2c446d19f7e8
Description-en: Test CGI::Application apps using WWW::Mechanize
 Test::WWW::Mechanize::CGIApp makes testing CGIApp based modules fast
 and easy.  It takes advantage of Test::WWW::Mechanize to provide
 functions for common web testing scenarios.

Package: libtest-www-mechanize-mojo-perl
Description-md5: d06e80ba0d85ebea3fb4b40300ffb375
Description-en: module for testing web applications built using Mojolicious
 Test::WWW::Mechanize::Mojo is a Perl framework for testing web applications
 based on Mojolicious (see libmojolicious-perl). It extends the functionality
 of Test::WWW::Mechanize (see libtest-www-mechanize-perl) by enabling testing
 without requiring a web server.

Package: libtest-www-mechanize-perl
Description-md5: 8211ca0238052ec50d300bfc76306f9d
Description-en: module for testing web applications
 Test::WWW::Mechanize is a Perl module framework for testing web applications.
 It extends the functionality of WWW::Mechanize (see libwww-mechanize-perl) by
 subclassing it, providing additional features for testing web applications.
 In particular, it provides much nicer diagnostics upon failure.

Package: libtest-www-mechanize-psgi-perl
Description-md5: 2ecacb5990551f459ef630b41c80be59
Description-en: test PSGI programs using WWW::Mechanize
 Test::WWW::Mechanize is a subclass of WWW::Mechanize
 that incorporates features for web application testing.
 The Test::WWW::Mechanize::PSGI module meshes the two
 to allow easy testing of PSGI applications.
 .
 PSGI is a specification to decouple web server environments
 from web application framework code.

Package: libtest-www-selenium-perl
Description-md5: 941fa63859f063d92a7b7981fd019ce7
Description-en: Perl test framework using Selenium Remote Control
 Test::WWW::Selenium is a WWW::Selenium subclass that provides methods useful
 for writing an automated test suite. For every Selenium command, there is a
 corresponding method that checks its return value; likewise, for each getter,
 there is a corresponding set of methods to verify the value of the attribute.

Package: libtest-xml-perl
Description-md5: 91ac229966bbd72168f1bdd7cd508fed
Description-en: compare XML in perl tests
 This Perl module, Test::XML, provides tools for testing code which
 deals with XML.  These tools are compatible with the Test::More and
 Test::Simple modules.  At present, there is generic XML comparison
 support, as well as modules for dealing with XML::SAX, XML::Twig and
 XML::XPath handlers.

Package: libtest-xml-simple-perl
Description-md5: e0d2feadb0d98e050f37700b9ec58bb2
Description-en: Perl testing framework for XML data
 Test::XML::Simple is a very basic class for testing XML. It uses the XPath
 syntax to locate nodes within the XML. You can also check all or part of the
 structure against a given XML fragment.

Package: libtest-xpath-perl
Description-md5: bffa722ba1aeac914e94630726d26002
Description-en: test XML and HTML content and structure with XPath expressions
 Test::XPath lets you use the power of XPath expressions
 to validate the structure of your XML and HTML documents.
 .
 XPath (XML Path Language) is a query language
 for selecting nodes from an XML document.

Package: libtest-yaml-perl
Description-md5: 2e5be9c84a50d0622fac09ac59243ff1
Description-en: test module for YAML implementations
 Test::YAML is a subclass of Test::Base with YAML specific support.
 Its purpose is to test Perl YAML modules.

Package: libtest-yaml-valid-perl
Description-md5: c47045dd28c35955d9c29a75d1116d46
Description-en: module to test for valid YAML
 Test::YAML::Valid is a Perl module that allows developers to easily test the
 validity of arbitrary data structures serialized using YAML (YAML Ain't a
 Markup Language). It provides a simple interface compatible for use with most
 Test::Builder-based tests.

Package: libtest2-plugin-nowarnings-perl
Description-md5: 7e50a8c75182ce5c4e0e11d1005a3240
Description-en: Perl testing plugin to fail tests if warnings are generated
 The Test2::Plugin::NoWarnings plugin causes tests to fail if any warnings
 are generated whilst the tests run. Each warning generates a new failing
 test, and the warning content is output via diag.
 .
 This module uses $SIG{__WARN__}, so if the code you're testing sets this,
 this module will stop working.

Package: libtest2-suite-perl
Description-md5: 1ec4342f758520a2626b761675744b1d
Description-en: set of tools built upon the Test2 framework
 Test2::Suite contains a rich set of tools, plugins, bundles, etc. built upon
 the Test2 testing library. If you are interested in writing tests this is the
 distribution for you.

Package: libtet1.5
Description-md5: 41ebf41dfa0cc1e75e2cd92ffa1b18e1
Description-en: Quality Tetrahedral Mesh Generator
 TetGen generates the Delaunay tetrahedralization, Voronoi diagram, and convex
 hull for three-dimensional point sets, generates the constrained Delaunay
 tetrahedralizations and quality tetrahedral meshes for three-dimensional
 domains with piecewise linear boundary.
 .
 This package provides the runtime shared library.

Package: libtet1.5-dev
Description-md5: f11d9ab15a12a38bdea2d5ba36c7a53a
Description-en: Quality Tetrahedral Mesh Generator
 TetGen generates the Delaunay tetrahedralization, Voronoi diagram, and convex
 hull for three-dimensional point sets, generates the constrained Delaunay
 tetrahedralizations and quality tetrahedral meshes for three-dimensional
 domains with piecewise linear boundary.
 .
 This package provides header file.

Package: libtex-encode-perl
Description-md5: 0afbc0c0ca04bf6d6212ca224f28b18a
Description-en: Perl module to transform UTF-8 strings into TeX
 TeX::Encode provides a simple way to encode arbitrary UTF-8 strings into LaTeX
 escapes using mapping tables provided by Pod::LaTeX and HTML::Entities.
 .
 This module only covers a subset of the Unicode character set and has limited
 facilities for converting TeX back to UTF-8 (this is because TeX is in essence
 a programming language, and this module does not yet implement TeX).

Package: libtexhyphj-java
Description-md5: dc9dba5f0483805dffeb16bcb215f2af
Description-en: Support for TeX hyphenation patterns in Java
 This Java library allows the re-use of hyphenation patterns, as used by LaTeX.
 .
 This project continues the work by David Tolpin. Specifically, adding UTF-8
 support for pattern files while maintaining the original functionality.

Package: libtext-affixes-perl
Description-md5: cf94f1256aaee634513df16b10f9fd76
Description-en: Perl module for extracting prefixes and suffixes from a text
 Text::Affixes provides methods to extract prefixes and suffixes from a text
 (string). It is possible set some configuration options like 'exclude
 numbers' and 'lowercase'.

Package: libtext-aligner-perl
Description-md5: 691eed34ab3167710eefdf43fd6e7e98
Description-en: Justify strings to various alignment styles
 Text::Aligner exports a single function, align(), which is used to
 justify strings to various alignment styles. The alignment
 specification is the first argument, followed by any number of
 scalars which are subject to alignment.

Package: libtext-ansi-util-perl
Description-md5: a465ac7cf1d591a92879907924fd56ec
Description-en: routines for text containing ANSI color codes
 Text::ANSI::Util provides routines for dealing with text that contains
 ANSI color codes, e.g. for determining its length/width (excluding the color
 codes), stripping the color codes, extracting the color codes, and so on.

Package: libtext-asciitable-perl
Description-md5: 8cda813032a78e4598d039970e159bf6
Description-en: Perl module to create a table using ASCII characters
 The Text::ASCIITable module helps you to output dynamic text to your console
 or other fixed-size-font displays in a nice human-readable way.

Package: libtext-aspell-perl
Description-md5: 9b086cd36d0a53a3ed4d2134fbc715df
Description-en: Perl interface to the GNU Aspell library
 Text::Aspell provides a Perl interface to the GNU Aspell library.  The
 GNU Aspell library provides access to system spelling libraries,
 including a spell checker.
 .
 This module is to meet the need of looking up many words, one at a
 time, in a single session.  It is a perl xs interface which should
 provide good performance in comparison to forking the aspell program
 for every word.

Package: libtext-autoformat-perl
Description-md5: 14c332f02ca653eb86152a8692f1ccd1
Description-en: module for automatic text wrapping and reformatting
 Text::Autoformat provides intelligent formatting of plain text without the
 need for any kind of embedded mark-up. The module recognizes Internet
 quoting conventions, a wide range of bullet and number schemes, centered
 text, and block quotations, and reformats each appropriately. Other options
 allow the user to adjust inter-word and inter-paragraph spacing, justify
 text, and impose various capitalization schemes.

Package: libtext-bibtex-perl
Description-md5: e81db1aca46c80101e972eb39899fa90
Description-en: Perl extension to read and parse BibTeX files
 The Text::BibTeX module provides functions to read, parse and write bibTeX
 files using perl.
 .
 The package provides two fundamental modules for processing BibTeX files
 (Text::BibTeX::File and Text::BibTeX::Entry).
 .
 In addition, the Text::BibTeX module provides a number of
 miscellaneous functions that are useful in processing BibTeX data
 (especially the kind that comes from bibliographies as defined by BibTeX
 0.99, rather than generic database files).

Package: libtext-bidi-perl
Description-md5: 2cab93c9a6755190b3b12188810389a2
Description-en: Unicode bidi algorithm for Perl using libfribidi
 Text::Bidi provides basic support for the Unicode bidirectional text
 (Bidi) algorithm, for displaying text consisting of both left-to-right and
 right-to-left written languages (like Hebrew and Arabic.) It does so using a
 swig interface file to the libfribidi library.

Package: libtext-brew-perl
Description-md5: 570508d113f5724240bb8b74522e9c35
Description-en: perl implementation of Brew edit distance
 Text::Brew implements the Brew edit distance that is very close to
 the dynamic programming technique used for the Wagner-Fischer (and so
 for the Levenshtein) edit distance. For more information about the
 Brew edit distance see:
 <http://ling.ohio-state.edu/~cbrew/795M/string-distance.html>

Package: libtext-chasen-perl
Description-md5: d8d8a0498b6472307a0d1b4e20400405
Description-en: Japanese Morphological Analysis System module for Perl
 ChaSen (a Japanese morphological analysis system) module for Perl.

Package: libtext-clip-perl
Description-md5: 267c41e76632509041bd62b0da764313
Description-en: module to clip and extract text in clipboard-like way
 Text::Clip allows you to mark/slice up a piece of text. String matching (by
 regular expression, etc.) is used to place marks. The first mark lets you
 access the text preceding and following the mark. Subsequent marks allow you
 to slurp up the text "clipped" between the marks.

Package: libtext-context-eitherside-perl
Description-md5: 317259d6e62040215adbad35f03c7880
Description-en: Perl module to get context around a keyword
 Text::Context::EitherSide is a Perl module that extracts some context from a
 large piece of text, such as a web page or mail message. You can search for a
 keyword and intelligently get some context in which you found the keywords
 inside the body of the text. It is more rigorous than simply extracting the
 two words on either side of each keyword, since multiple searches may have
 overlapping context.

Package: libtext-context-perl
Description-md5: 92562d62642e594e64390ab37db49661
Description-en: Perl module to highlight search result context snippets
 Text::Context is a Perl module that provides a simple interface to extract and
 highlight some context around given search terms. It can also dump the string
 out as HTML text with all the search terms highlighted in bold. This provides
 a simple way to get some representative text for display in search results.

Package: libtext-csv-encoded-perl
Description-md5: 996f5624a83cbbd28780d78793b1c775
Description-en: encoding-aware comma-separated values manipulator
 Provides the Text::CSV::Encoded Perl module, which is a
 version of Text::CSV that is encoding aware. Both modules provide
 tools for handling files in the comma-separated values format
 (.csv).

Package: libtext-csv-perl
Description-md5: da7d2d784c53107ba92fe5224c10af56
Description-en: comma-separated values manipulator (using XS or PurePerl)
 Text::CSV provides facilities for the composition and decomposition of files
 containing comma-separated value records. An instance of the Text::CSV class
 can combine fields into a CSV string and parse a CSV string into fields.
 .
 The module accepts either strings or files as input and can utilize any
 user-specified characters as delimiters, separators, and escapes so it is
 perhaps better called ASV (anything separated values) rather than just CSV.
 .
 This module will automatically select the best available implementation of
 the algorithm, whether the Pure Perl version present in this package, or the
 C/XS implementation, available in libtext-csv-xs-perl.

Package: libtext-csv-xs-perl
Description-md5: d1ac3f3bfe33a139a37c7882eb4bf589
Description-en: Perl C/XS module to process Comma-Separated Value files
 Text::CSV_XS provides facilities for the composition and decomposition of
 comma-separated values. This module can combine fields into a CSV string and,
 correspondingly, parse a CSV string back into fields.
 .
 Text::CSV (see libtext-csv-perl) is a wrapper module which will automatically
 select the most appropriate implementation for your configuration.

Package: libtext-dhcpleases-perl
Description-md5: 5d90fa9a9c4069c1d8e4b9fd2861ffd9
Description-en: Perl module to parse DHCP leases file from ISC dhcpd
 Text::DHCPLeases provides an object-oriented interface to ISC DHCPD leases
 files.  The goal is to objectify all declarations, as defined by the ISC
 dhcpd package man pages.
 .
 This interface is useful for analyzing, reporting, converting lease files,
 or as a tool for other applications that need to import dhcpd lease data
 structures.

Package: libtext-diff-perl
Description-md5: 44a9cd0fd2893b3b20bd59305db8110c
Description-en: Perl module to find differences in files and record sets
 Text::Diff provides a basic functions similar to the GNU diff utility. It is
 not anywhere near as feature complete as GNU diff, but is better integrated
 with Perl and available on all platforms. It is often faster than shelling
 out to a system's diff executable for small files, and generally slower on
 larger files. While it is not guaranteed to produce the same output as the
 system diff command, output is often identical.

Package: libtext-english-perl
Description-md5: 1bfcc5735582fb60182e20cb77b77710
Description-en: Perl module implementing the Porter Stemming algorithm
 libtext-english-perl implements the Porter Stemming algorithm in pure
 Perl.
 .
 The Porter stemming algorithm is a process for removing the commoner
 morphological and inflexional endings from words in English. Its main
 use is as part of a term normalisation process that is usually done
 when setting up Information Retrieval systems.

Package: libtext-findindent-perl
Description-md5: 1bacde9f2c1d7fd6001dfa965fcc50c0
Description-en: module to heuristically determine indentation style
 Text::FindIndent is a Perl module that attempts to detect the underlying
 indentation "policy" for a text file (most likely a source code file). You
 give it a chunk of text, and it tells you if tabs, spaces or combination of
 both are used for indentation.
 .
 It honours Vim midelines and Emacs Local Variables settings.

Package: libtext-flow-perl
Description-md5: 82efc85bf8e404e4cb9f55b42fc84b4d
Description-en: module providing generalized text wrapping
 Text::Flow is a Perl module designed to provide flexible and generalized text
 handling facilities, wrapping or reflowing text as required. It is different
 from other modules because it can be used for graphical text output as with
 PDF files through the use of custom callbacks.

Package: libtext-format-perl
Description-md5: e93811b1edfc9e00dfb2c6343395eeb4
Description-en: module for formatting plain text
 Text::Format is a Perl module that provides various utility functions for
 formatting plain text. This module is similar to, but more powerful than,
 the Text::Wrap module (in Perl core). It can format arbitrary lines into
 paragraphs, center text, handle indentation and left/right margins, and
 convert between tabs and spaces.

Package: libtext-formattable-perl
Description-md5: ebf76a5f995ba5b675772bb9ee93cf52
Description-en: Perl module to format text tables
 Text::FormatTable renders simple tables as text. You pass to the constructor
 (new) a table format specification similar to LaTeX (e.g. r|l|l) and you
 call methods to fill the table data and insert rules. After the data is filled,
 you call the render method and the table gets formatted as text.

Package: libtext-german-perl
Description-md5: c0ceabbc617802b190c97ecab5a023c0
Description-en: German grundform reduction
 This is a rather incomplete implementation of work done by Gudrun
 Putze-Meier <gudrun.pm@t-online.de>.

Package: libtext-greeking-perl
Description-md5: 2bcc2d63b9ef45cdc158b915e2cbaf45
Description-en: Perl module for generating meaningless filler text
 Greeking is the use of random letters or marks to show the overall
 appearance of a printed page without showing the actual text. Greeking
 is used to make it easy to judge the overall appearance of a document
 without being distracted by the meaning of the text.
 .
 The Text::Greeking module is for quickly generating varying meaningless text
 from any source to create this illusion of the content in systems.
 .
 This module was created to quickly give developers simulated content to
 fill systems with simulated content. Instead of static Lorem Ipsum text,
 by using randomly generated text and optionally varying word sources,
 repetitive and monotonous patterns that do not represent real system
 usage is avoided.

Package: libtext-header-perl
Description-md5: a801303d0f9bcf74005a117703d5f941
Description-en: RFC 822/2068 header and unheader functions
 Text::Header provides two new functions, header and unheader,
 which provide general-purpose RFC 822 header construction and parsing.
 They do not provide any intelligent defaults of HTTP-specific methods.
 They are simply aimed at providing an easy means to address the
 mechanics of header parsing.
 .
 The output style is designed to mimic CGI.pm and HTTP::Headers,
 so that users familiar with these interfaces will feel at home with
 these functions.

Package: libtext-hogan-perl
Description-md5: 37191a09307f9f3b348c753d11283ea1
Description-en: mustache templating engine statement-for-statement cloned from hogan.js
 Text::Hogan is a statement-for-statement rewrite of hogan.js in Perl.
 .
 It is a mustache templating engine
 which supports pre-compilation of your templates into pure Perl code,
 which then renders very quickly.
 .
 It passes the full mustache spec.

Package: libtext-hunspell-perl
Description-md5: 6266a384628ae7123852281593b0dc1c
Description-en: Perl interface to the GNU Hunspell library
 Text::Hunspell provides a Perl interface to the OO Hunspell
 library. This module is to meet the need of looking up many words, one
 at a time, in a single session, such as spell-checking a document in
 memory.

Package: libtext-kakasi-perl
Description-md5: 05978947aa1e054f5503f88711795b97
Description-en: KAKASI interface for scripting language perl
 KAKASI interface module for Perl.
 KAKASI is the language processing filter to convert Kanji characters
 to Hiragana, Katakana or Romaji(alphabetical description of Japanese
 pronunciation).

Package: libtext-levenshtein-damerau-perl
Description-md5: e2e37ec6fbe81a264fc4ea3055be233d
Description-en: Edit distance calculator with Damerau Levenshtein algorithm
 Text::Levenshtein::Damerau module returns the true Damerau Levenshtein
 edit distance of strings with adjacent transpositions. Useful for
 fuzzy matching, DNA variation metrics, and fraud detection.
 .
 Defaults to using Pure Perl Text::Levenshtein::Damerau::PP. This
 module can use Text::Levenshtein::Damerau::XS for massive speed
 improvements. Works correctly with utf8 if backend supports it.

Package: libtext-levenshteinxs-perl
Description-md5: 4e5d6a5d962ac3e1cc4935b2d73d7b35
Description-en: XS implementation of the Levenshtein edit distance
 Text::LevenshteinXS implements the Levenshtein edit distance in a XS way; this
 should be much faster than the pure Perl implementation.
 .
 The Levenshtein edit distance is a measure of the degree of proximity between
 two strings. This distance is the number of substitutions, deletions or
 insertions ("edits") needed to transform one string into the other one (and
 vice versa). When two strings have distance 0, they are the same.

Package: libtext-lorem-perl
Description-md5: 0e3611a13f798c0acc7be141c66fb207
Description-en: random faux Latin text generator
 Often when developing a website or other application it's important to have
 placeholders for content. Text::Lorem generates prescribed amounts of fake
 Latin text.

Package: libtext-markdown-discount-perl
Description-md5: 01ffaf9ea29a0db48554d57d59c335a5
Description-en: Perl interface to Discount, an implementation of Markdown
 Text::Markdown::Discount is a Perl module that implements the bindings to
 discount, an implementation of John Gruber's Markdown markup language.
 .
 Given the performance of Discount, Text::Markdown::Discount processes markdown
 formatted text quickly and passes the Markdown 1.0 test suite.

Package: libtext-markdown-perl
Description-md5: 9fc918955c9ed47e808b57904a3418e4
Description-en: module to convert Markdown syntax to (X)HTML
 Text::Markdown is a Perl module that provides an alternate implementation of
 the Markdown implementation by John Gruber (see the markdown package). It is
 a slower implementation, but better maintained.
 .
 Markdown is a markup language to convert structured text into (X)HTML. Its
 main design goal is to make the input text as readable as possible. For this,
 the syntax is highly inspired by the format of plain text email. Markdown
 supports features like headers, *emphasis*, code blocks, blockquotes and
 links. For maximum flexibility, it also allows embedding HTML tags, both
 within paragraphs (eg. <span>), and at block level (<div>, <table>).

Package: libtext-markup-perl
Description-md5: 3bddac27b43aa6147aa05b7ed80e7187
Description-en: Parse text markup into HTML
 Text::Markup provides a single interface for parsing a large number
 of text markup formats and converting them to HTML. It currently
 supports the following markups:
 .
   - Asciidoc
   - HTML
   - Markdown
   - MultiMarkdown
   - MediaWiki
   - POD
   - reStructuredText (reST)
   - Textile
   - Trac
   - BBcode
   - Creole

Package: libtext-mecab-perl
Description-md5: 7bc976a902c80ffa972c5a967db5c002
Description-en: alternate MeCab Interface for Perl
 Text::MeCab provides Perl bindings for MeCab (libmecab).
 libmecab (http://mecab.sourceforge.ne.jp) already has a perl interface built
 with it, but it is a not Perl-ish one. Text::MeCab has following advantages
 compared with it:
 .
  - High performance
  - Perl-ish programming interface

Package: libtext-mediawikiformat-perl
Description-md5: 4b766e23f74fab4be3dc699561def211
Description-en: module that converts Mediawiki markup into other text formats
 Wikipedia and its sister projects use the PHP Mediawiki to format
 their pages. Text::MediawikiFormat attempts to duplicate the Mediawiki
 formatting rules. Those formatting rules can be simple and easy to use, while
 providing more advanced options for the power user. They are also easy to
 translate into other, more complicated markup languages with this module. It
 creates HTML by default, but could produce valid POD, DocBook, XML, or any
 other format imaginable.
 .
 The most important function is Text::MediawikiFormat::format(). It is not
 exported by default, but will be exported as wikiformat() if any options at
 all are passed to the exporter, unless the name is overridden explicitly. See
 "EXPORT" for more information.
 .
 It should be noted that this module is written as a drop in replacement for
 Text::WikiMarkup that expands on that modules functionality and provides a
 default rule set that may be used to format text like the PHP Mediawiki. It
 is also well to note early that if you just want a Mediawiki clone (you don't
 need to customize it heavily and you want integration with a back end
 database), you should look at Wiki::Toolkit::Formatter::Mediawiki.

Package: libtext-metaphone-perl
Description-md5: 15e5a75eb13bbc5d872507d616ae8dcb
Description-en: modern soundex module providing phonetic encoding of words
 Text::Metaphone provides the Metaphone() function, whereby a string/word
 is broken down into a rough approximation of its English phonetic
 pronunciation. Very similar in concept  and purpose to soundex, but much
 more comprehensive in its approach.
 .
 This module is C/XS accelerated.

Package: libtext-micromason-perl
Description-md5: 7dd2850ae2dc4a328914258159d599f0
Description-en: simple and extensible templating module
 Text::MicroMason interpolates blocks of Perl code embedded into text strings.
 Each MicroMason object acts as a "template compiler" which converts templates
 from text-with-embedded-code formats into ready-to-execute Perl subroutines.
 If you want to use the Text::Template compatibility mode, you will also need
 to install Text::Balanced.

Package: libtext-microtemplate-perl
Description-md5: 6c864cd4adc781b4413c9446aab32c26
Description-en: Micro template engine with Perl5 language
 Text::MicroTemplate is a standalone, fast, intelligent, extensible template
 engine with following features.
 .
   standalone
       Text::MicroTemplate does not rely on other CPAN modules.
 .
   fast
       Based on Mojo::Template, expressions in the template is perl code.
 .
   intelligent
       Text::MicroTemplate automatically escapes variables when and only when
       necessary.
 .
   extensible
       Text::MicroTemplate does not provide features like template cache or
       including other files by itself.  However, it is easy to add you own
       (that suites the most to your application), by wrapping the result of
       the module (which is a perl expression).
 .
       The module only provides basic building blocks for a template engine.
       Refer to Text::MicroTemplate::File for higher-level interface.

Package: libtext-multimarkdown-perl
Description-md5: b13142742e26254a35c8d48cdaac8115
Description-en: module to convert MultiMarkdown syntax to (X)HTML
 Text::MultiMarkdown is a Perl module that extends the functionality of the
 Markdown structured text format (see the libtext-markdown-perl package).
 Markdown provides a simple way to translate easy-to-read and easy-to-write
 structured text into (X)HTML. In turn, this module extends the functionality
 by implementing the MultiMarkdown syntax extensions as described in:
 <URL:http://fletcherpenney.net/multimarkdown>.

Package: libtext-ngram-perl
Description-md5: 67418558c3c4192b30b0b869959ff7e6
Description-en: efficient XS-Based n-gram spectrum analysis module
 n-Gram analysis is a field in textual analysis which uses sliding window
 character sequences in order to aid topic analysis, language
 determination and so on. The n-gram spectrum of a document can be used
 to compare and filter documents in multiple languages, prepare word
 prediction networks, and perform spelling correction.
 .
 Text::Ngram provides an efficient XS-based implementation of n-gram
 spectrum analysis.

Package: libtext-ngrams-perl
Description-md5: 341c9d17f3db54664287ec42926b8914
Description-en: Perl module for flexible N-gram analysis of text
 Text::Ngrams is a module for flexible N-gram analysis of text.  It supports
 character n-grams, word n-grams, and many more types of n-grams.  It allows
 a user to manually feed a sequence of tokens, has automatic recognition and
 feed of tokens, and the way they are combined in an n-gram.  It can also be
 used from the command line through the script ngrams.pl provided with the
 package.

Package: libtext-password-pronounceable-perl
Description-md5: f5af51df8435c5c7a2e5df97edcbd4f5
Description-en: Perl module to generate pronounceable passwords
 Text::Password::Pronounceable generates pronounceable passwords, based on the
 English digraphs by D Edwards. It can quickly and simply generate passwords
 with a length within a given range, making it useful for a many applications
 that require secure and memorable passwords.

Package: libtext-patch-perl
Description-md5: 4c7d119edbfc6da5a2c4f8900d11c455
Description-en: module for patching text with a given patch
 Text::Patch combines source text with given diff (difference) data. Diff data
 is produced by Text::Diff module or by the standard diff utility (man diff,
 see -u option).

Package: libtext-pdf-perl
Description-md5: aab4d5fa5bf2fc89a75592b94b7843d6
Description-en: relatively low-level interface for manipulating PDFs
 Text::PDF provides a strong base for working with PDF files. It may be
 a bit hard to use for novices, but it is powerful enough for many other
 important Perl modules for PDF generation to depend on it.

Package: libtext-qrcode-perl
Description-md5: 3ea32a6114164fda17495a02e2b43ca4
Description-en: module for generating text based QR Codes
 Text::QRCode is a module for generating two-dimensional bar codes
 (called "QR Codes") using only the ' ' and '*' characters. This module
 uses libqrencode '2.0.0' and above to do its task.

Package: libtext-quoted-perl
Description-md5: 2268e83778246884479ff350bf552c57
Description-en: Perl module to extract the structure of a quoted mail message
 Text::Quoted examines the structure of some text which may contain
 multiple different levels of quoting, and turns the text into a nested
 data structure.

Package: libtext-recordparser-perl
Description-md5: 20c22fd63b72b7571d0635c0ba615b35
Description-en: module to parse record-oriented data in a text file
 Text::RecordParser is a Perl module that extracts record-oriented data from
 arbitrary text files. The most common example has records separated by
 newlines and fields delimited by commas or tabs. This module aims to provide
 a consistent interface for processing an arbitrary sequence of records in a
 file, however they may be delimited. The data can then be extracted using
 arrays or hashes.

Package: libtext-reflow-perl
Description-md5: 1103fcf1c4603bbc1a4060735211c487
Description-en: Perl module for reflowing files using Knuth's algorithm
 Text::Reflow provides a series of utilities that reflow paragraphs in a given
 file, filehandle, string or array using Knuth's paragraphing algorithm (as
 used in TeX) to pick the optimal places to break lines. The reflow algorithm
 tries to keep lines the same length but also tries to break at punctuation,
 and to avoid breaking within a proper name or after certain connectives. The
 result is more readable since fewer phrases are broken across line breaks.

Package: libtext-reform-perl
Description-md5: 90dfad8dc0aba5cdb63f6755fc7f0529
Description-en: Perl module for manual text wrapping and reformatting
 Text::Reform provides a re-entrant, highly configurable replacement
 for the built-in Perl format() mechanism.

Package: libtext-rewriterules-perl
Description-md5: 7d8731911cd7fb7eb8b8b1a145f6c587
Description-en: system for rewriting text using regexp-based rules
 Text::RewriteRules uses a simplified syntax for regexp-based rules for
 rewriting text. You define a set of rules, and the system applies them
 until no more rule can be applied. The rules are defined using a specific
 non-perl syntax inside the Perl program. This improves legibility of big
 rewriting rules systems.

Package: libtext-roman-perl
Description-md5: 5dfed9d4b34f63571afe79b59a87a623
Description-en: Allows conversion between Roman and Arabic algarisms
 Text::Roman supports both conventional Roman algarisms (which range
 from 1 to 3999) and Milhar Romans, a variation which uses a bar
 across the algarism to indicate multiplication by 1_000. For the
 purposes of this module, acceptable syntax consists of an underscore
 suffixed to the algarism e.g. IV_V = 4_005. The term Milhar
 apparently derives from the Portuguese word for "thousands" and the
 range of this notation extends the range of Roman numbers to 3999 *
 1000 + 3999 = 4_002_999.

Package: libtext-sass-perl
Description-md5: dde3f2f49464a4fa5a02267ab18b7828
Description-en: implementation of the Sass CSS meta-language
 Sass is a meta-language on top of CSS
 that’s used to describe the style of a document
 cleanly and structurally,
 with more power than flat CSS allows.
 Sass both provides a simpler, more elegant syntax for CSS
 and implements various features
 that are useful for creating manageable stylesheets.
 .
 Text::Sass is a Perl implementation of Sass.

Package: libtext-simpletable-autowidth-perl
Description-md5: 14934daeb5fc8a1d6ec6e2889708d0c5
Description-en: simple eyecandy ASCII tables with auto-width selection
 Text::SimpleTable::AutoWidth module provides ASCII table drawing routines.
 The table automatically adjusts its width to accommodate the data.

Package: libtext-simpletable-perl
Description-md5: 8bde03fa9f31ae7d16c7ac3be1597be1
Description-en: Perl module for creating simple eyecandy ASCII Tables
 Text::SimpleTable is a replacement for the Text::ASCIITable module.
 .
 If you need to create text tables like
 .
  .-------+------------.
  | foob- | yadayaday- |
  | arbaz | ada        |
  '-------+------------'
 .
 this module is for you.

Package: libtext-soundex-perl
Description-md5: 23561c30dfaab3a80255c9a772086ebf
Description-en: implementation of the soundex algorithm
 Text::Soundex implements the original soundex algorithm developed by Robert
 Russell and Margaret Odell, patented in 1918 and 1922, as well as a variation
 called "American Soundex" used for US census data, and current maintained by
 the National Archives and Records Administration (NARA).

Package: libtext-sprintfn-perl
Description-md5: d04135b249ca8b6a012b91d3dcc4644d
Description-en: drop-in replacement for sprintf(), with named parameter support
 Text::sprintfn provides sprintfn() and printfn(), which are like
 sprintf() and printf(), with the exception that they support named
 parameters from a hash.

Package: libtext-string-hexconvert-perl
Description-md5: 7892cf82335272c8012b84b18ac8fa5c
Description-en: Converts ASCII strings to hexadecimal and reverse
 Wrapper around pack and unpack of Perl to convert a string of hex digits to
 ASCII and other way around.

Package: libtext-table-perl
Description-md5: 09db31082b83b31ed0ee264803757736
Description-en: Create tables that adapt to alignment requirements
 You create a Text::Table object by describing the columns the table
 is going to have. Then you load lines of data into the table, and
 finally print the resulting output lines. Alignment of data and
 column titles is handled dynamically in dependence on the data
 present.

Package: libtext-tabulardisplay-perl
Description-md5: 87f2a9cd468992a3c1f97ee58f58d51f
Description-en: Perl module to display text as a formatted table
 Text::TabularDisplay is a Perl module that simplifies displaying textual data
 in a table. It provides column-based output identical to the display of query
 results in the MySQL text monitor.

Package: libtext-template-perl
Description-md5: b3ca341547c5c5849fe2759629341bfc
Description-en: perl module to process text templates
 Text::Template is a library for generating form letters, building
 HTML pages, or filling in templates generally. A `template' is a
 piece of text that has little Perl programs embedded in it here and
 there. When you `fill in' a template, you evaluate the little
 programs and replace them with their values.

Package: libtext-textile-perl
Description-md5: 37f2aa96585c565d5bf6dc69288c8bb1
Description-en: Perl extension to format text using a simple style of markup
 Text::Textile is a Perl-based implementation of Dean Allen's Textile
 syntax. Textile is shorthand for doing common formatting tasks.
 .
 More information is available at http://www.textism.com/tools/textile/

Package: libtext-trac-perl
Description-md5: 4d60c481794ff28b30bd6e5f2739a778
Description-en: module for formatting text with Trac Wiki Style
 Text::Trac is a perl module the provides an easy interface
 to parse text with Trac WikiFormatting and convert it to
 HTML format.

Package: libtext-trim-perl
Description-md5: fbaa912518a8063c6f028d0f42007773
Description-en: module for remove leading and/or trailing whitespace from strings
 Text::Trim provides functions for removing leading and/or trailing whitespace
 from strings. It is basically a wrapper around some simple regexes with a
 flexible context-based interface.

Package: libtext-typography-perl
Description-md5: 6d26b8dd22abf834404461c421f3286b
Description-en: markup ASCII text with correct typography for HTML
 Text::Typography is a thin wrapper for John Gruber's SmartyPants plugin for
 various CMSs. SmartyPants is a web publishing utility that translates
 plain ASCII punctuation characters into "smart" typographic punctuation
 HTML entities.

Package: libtext-unaccent-perl
Description-md5: 5bc26ac62f81d29fb519aa99c7ec5991
Description-en: provides functions to remove accents using UTF16 as a pivot
 Text::Unaccent is a module that provides functions to remove accents
 from a string.  For instance the string été will become ete.  The
 charset of the input string is specified as an argument. The input is
 converted to UTF-16 using iconv(3), accents are stripped and the
 result is converted back to the original charset. The iconv -l
 command on GNU/Linux will show all charset supported.

Package: libtext-unicode-equivalents-perl
Description-md5: 03f1f33b645cf11efc13ce0b453de8c5
Description-en: module to build Unicode canonically equivalent strings
 Text::Unicode::Equivalents offers a method which takes an arbitrary string
 and returns a reference to an unsorted array of all unique strings that are
 canonically equivalent to the argument.

Package: libtext-unidecode-perl
Description-md5: a36161f675c1beadc204e5afd5b54b7a
Description-en: US-ASCII transliterations of Unicode text
 It often happens that you have non-Roman text data in Unicode, but you can't
 display it -- usually because you're trying to show it to a user via an
 application that doesn't support Unicode, or because the fonts you need
 aren't accessible. You could represent the Unicode characters as "???????" or
 "\15BA\15A0\1610...", but that's nearly useless to the user who actually
 wants to read what the text says.
 .
 What Text::Unidecode provides is a function, unidecode(...) that takes
 Unicode data and tries to represent it in US-ASCII characters (i.e., the
 universally displayable characters between 0x00 and 0x7F). The representation
 is almost always an attempt at transliteration -- i.e., conveying, in Roman
 letters, the pronunciation expressed by the text in some other writing
 system.

Package: libtext-vcard-perl
Description-md5: e83a751e028300d861acaca74024c1ea
Description-en: module to parse, edit and create multiple vCards
 Text::vCard::Addressbook provides an API to reading / editing and
 creating multiple vCards. A vCard is an electronic business card.
 This package has been developed based on rfc2426.
 .
 Many applications (Apple Address book, MS Outlook, Evolution etc)
 can export and import vCards.

Package: libtext-vfile-asdata-perl
Description-md5: deabf472c95164d3148e54902fe74fef
Description-en: generic perl module to read and write vfile files
 Works with such as vCard (RFC 2426) and vCalendar (RFC 2445).
 The result of loading this data is a collection of objects which
 will grant you easy access to the properties. Then the module
 can write your objects back to a data file.

Package: libtext-wagnerfischer-perl
Description-md5: 6196888129b7be622bca4eecebf993dc
Description-en: implementation of the Wagner-Fischer edit distance
 Text::WagnerFischer implements the Wagner-Fischer dynamic programming
 technique, used here to calculate the edit distance of two strings.
 The edit distance is a measure of the degree of proximity between two
 strings, based on "edits": the operations of substitutions, deletions
 or insertions needed to transform the string into the other one (and
 vice versa).

Package: libtext-wikicreole-perl
Description-md5: 93c1d3c6d19e154c95523d33353959c9
Description-en: module to convert Wiki Creole 1.0 markup to XHTML
 Text::WikiCreole implements the Wiki Creole markup language,
 version 1.0. It reads Creole 1.0 markup and returns XHTML.

Package: libtext-wikiformat-perl
Description-md5: ba98b5d81e8ea231e38db82a9d2caefc
Description-en: translates Wiki formatted text into other formats
 The original Wiki web site was intended to have a very simple interface to
 edit and to add pages. Its formatting rules are simple and easy to use. They
 are also easily translated into other, more complicated markup languages with
 this module. It creates HTML by default, but can be extended to produce valid
 POD, DocBook, XML, or any other format imaginable.

Package: libtext-worddiff-perl
Description-md5: 3fb7ba0b4c1781b7fa33eba8e0fd4762
Description-en: module to track changes between documents
 Text::WordDiff is a variation on the lovely Text::Diff module. Rather than
 generating traditional line-oriented diffs, however, it generates
 word-oriented diffs. This can be useful for tracking changes in narrative
 documents or documents with very long lines. To diff source code, one is
 still best off using Text::Diff. But if you want to see how a short story
 changed from one version to the next, this module will do the job very
 nicely.

Package: libtext-wrapper-perl
Description-md5: 911456912e23049d6266f9603d83e2cd
Description-en: simple word wrapping routine
 Text::Wrapper provides simple word wrapping.  It breaks long lines, but does
 not alter spacing or remove existing line breaks. If you're looking for more
 sophisticated text formatting, try the Text::Format module.

Package: libtext-xslate-perl
Description-md5: c96263a9d51761f289a5d0fd6e1ea90c
Description-en: scalable template engine for Perl 5 (C/XS accelerated)
 Text::Xslate is a template engine, tuned for persistent applications, safe
 as an HTML generator, and with rich features.
 .
 There are a lot of template engines in CPAN, for example Template-Toolkit,
 Text::MicroTemplate, HTML::Template, and so on, but all of them have some
 weak points: a full-featured template engine may be slow, while a fast
 template engine may be too simple to use. This is why Text::Xslate is
 developed, which is to be the best template engine for web applications.
 .
 The concept of Text::Xslate is strongly influenced by Text::MicroTemplate and
 Template-Toolkit 2, but the central philosophy of Text::Xslate is different
 from them. That is, the philosophy is one of sandboxing; that the template
 logic should not have no access outside the template beyond your permission.

Package: libtexttools-doc
Description-md5: 18fae2cf806eac17ad20b8516264dea5
Description-en: Ada library for writing console applications: documentation
 The Texttools packages are a GPL, ncurses-based library for the Linux
 console.  Texttools contain more than 600 procedures and functions to
 create windows, draw scroll bars, handle the mouse and keyboard
 events, play sounds, and much more.  The Texttools package also
 provides a thick binding to Linux kernel calls.  You can create a wide
 variety of application programs using Texttools alone.
 .
 This package contains the documentation.

Package: libtexttools10
Description-md5: 466bdb4298bade4ddb1a8cfbbbfad9aa
Description-en: Ada library for writing console applications: shared library
 The Texttools packages are a GPL, ncurses-based library for the Linux
 console.  Texttools contain more than 600 procedures and functions to
 create windows, draw scroll bars, handle the mouse and keyboard
 events, play sounds, and much more.  The Texttools package also
 provides a thick binding to Linux kernel calls.  You can create a wide
 variety of application programs using Texttools alone.
 .
 This package contains the runtime shared library.

Package: libtexttools8-dev
Description-md5: 57b7d099868fda1746521986c312f316
Description-en: Ada library for writing console applications: development
 The Texttools packages are a GPL, ncurses-based library for the Linux
 console.  Texttools contain more than 600 procedures and functions to
 create windows, draw scroll bars, handle the mouse and keyboard
 events, play sounds, and much more.  The Texttools package also
 provides a thick binding to Linux kernel calls.  You can create a wide
 variety of application programs using Texttools alone.
 .
 Install this package if you want to write Ada programs that use
 Texttools.

Package: libtf-conversions-dev
Description-md5: ccf564863807cae0ead80299104f9ac0
Description-en: Robot OS conversion library between Eigen, KDL and tf - development files
 This package contains a set of conversion functions to convert
 common tf datatypes (point, vector, pose, etc) into semantically
 identical datatypes used by other libraries. The conversion functions
 make it easier for users of the transform library (tf) to work with
 the datatype of their choice. Currently this package has support for
 the Kinematics and Dynamics Library (KDL) and the Eigen matrix library.
 .
 This package contains the development files of tf-conversions library
 and is part of Robot OS (ROS).

Package: libtf-conversions0d
Description-md5: 5f7578e6321a92de08ec17f0f2ace49b
Description-en: Robot OS conversion library between tf and Eigen and KDL
 This package contains a set of conversion functions to convert
 common tf datatypes (point, vector, pose, etc) into semantically
 identical datatypes used by other libraries. The conversion functions
 make it easier for users of the transform library (tf) to work with
 the datatype of their choice. Currently this package has support for
 the Kinematics and Dynamics Library (KDL) and the Eigen matrix library.
 .
 This package contains the library itself and is part of Robot OS (ROS).

Package: libtf-dev
Description-md5: 284ff7bc657bbf693751c094e7aaed21
Description-en: Robot OS tf transform library  - development files
 tf is a package that lets the user keep track of multiple coordinate frames
 over time. tf maintains the relationship between coordinate frames in a tree
 structure buffered in time, and lets the user transform points, vectors, etc
 between any two coordinate frames at any desired point in time.
 .
 This package contains the development files of tf library.

Package: libtf1d
Description-md5: c76d9696d43cd193fdb6bb8aeee5c30a
Description-en: Robot OS tf transform library to keep track of multiple coordinate frames
 tf is a package that lets the user keep track of multiple coordinate frames
 over time. tf maintains the relationship between coordinate frames in a tree
 structure buffered in time, and lets the user transform points, vectors, etc
 between any two coordinate frames at any desired point in time.
 .
 This package contains the library itself.

Package: libtf2-1d
Description-md5: bbc07c7453443d07067cb84c89242943
Description-en: Robot OS tf2 transform library
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the library itself.

Package: libtf2-bullet-dev
Description-md5: 871d83d71eff31b92040d09213da2f22
Description-en: Robot OS tf2 transform library for Bullet - dev files
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the development files for libtf2 for Bullet.

Package: libtf2-dev
Description-md5: 6d269ef40b3ea61ddd89e093a56ffcf0
Description-en: Robot OS tf2 transform library - development files
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the development files of the tf2 library.

Package: libtf2-eigen-dev
Description-md5: 3bc96c4227db8d8e5bd454aa74cc83de
Description-en: Robot OS tf2 transform library for Eigen - dev files
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains development files to convert between libtf2 and Eigen.

Package: libtf2-geometry-msgs-dev
Description-md5: 29ee4b5ad8add6e317825bd9b100b220
Description-en: Robot OS geometry messages for tf2 transform library - dev files
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the development files to transform geometry messages
 to tf2.

Package: libtf2-kdl-dev
Description-md5: b4eb0ffb6bcae107f707b66556db06ab
Description-en: Robot OS tf2 transform library using Orocos-KDL - dev files
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the development files to convert between tf2 and
 liborocos-kdl.

Package: libtf2-msgs-dev
Description-md5: 1b8572ee4961d36cf6646604e210bd6c
Description-en: Robot OS messages for tf2 transform library - dev files
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the development files.

Package: libtf2-ros-dev
Description-md5: f4841fb153e15229879ae721b396ff27
Description-en: Robot OS binding for tf2 transform library - dev files
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the development files of the ROS binding to tf2.

Package: libtf2-ros0d
Description-md5: 36cff32e62a17aae4b18a2cac18a1d87
Description-en: Robot OS binding for tf2 transform library
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the ROS bindings to tf2.

Package: libtf2-sensor-msgs-dev
Description-md5: ed2018cc6f6c5716ff33a0cd8024005b
Description-en: Small lib for ROS to transform sensor_msgs with tf2 - dev files
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains utils to transform sensor_msgs with tf2.

Package: libtfbs-perl
Description-md5: 329310953f967bb54344ec3b1c418600
Description-en: scanning DNA sequence with a position weight matrix
 The TFBS perl modules comprise a set of routines to interact with the
 Transfac and Jaspar databases that describe a special family of proteins,
 the transcription factors. These bind to genomic DNA to initiate (or
 prevent) the readout of a gene.  Once multiple binding sites are known
 for a transcription factor, these are gathered in a single file and are
 aligned in order to find position-specific characteristica that might
 be used to predict such binding events in novel DNA sequences.
 .
 If you use TFBS in your work, please cite "Lenhard B., Wasserman W.W. (2002)
 TFBS: Computational framework for transcription factor binding site analysis.
 Bioinformatics 18:1135-1136".
 .
 Note: the TFBS perl module is no longer under active development.  All the
 functionality can be found in the TFBSTools Bioconductor package; users are
 highly encouraged to switch.  <http://bioconductor.org/packages/TFBSTools/>

Package: libtgl-0.0.0.20160623-0
Description-md5: b446dc282c3af44e0fae811b996067a0
Description-en: telegram API library
 Telegram is an instant messaging application with a focus on speed, it is
 super-fast, simple and free. You can use Telegram on all your devices at the
 same time - your messages sync seamlessly across any number of your phones,
 tablets or computers. This library handles Telegram API and protocol.

Package: libtgl-0.0.0.20160623-dev
Description-md5: d44ebdba13334b771cc60f1e03d40ede
Description-en: telegram API library (development headers)
 Telegram is an instant messaging application with a focus on speed, it is
 super-fast, simple and free. You can use Telegram on all your devices at the
 same time - your messages sync seamlessly across any number of your phones,
 tablets or computers. This library handles Telegram API and protocol.
 .
 This package contains the development files.

Package: libtgvoip-dev
Description-md5: 6244f857d0a7f12dc29d94705ae49876
Description-en: VoIP library for Telegram clients - developer files
 This package contains the multiplatform library for calls in Telegram.
 Telegram is a free cloud-based instant messaging service, it was established
 by Pavel Durov and his team in 2013.
 .
 This package provides headers files and static library for development.

Package: libthemedsupport
Description-md5: 79d412e0bf7ec741c7f12c004839f78f
Description-en: Theme-D support library
 This package contains a Guile plugin needed by the Theme-D runtime
 environment. This plugin defines optimized Guile wrappers for some
 math procedures. This plugin also defines Guile wrappers for many
 math functions specified by the C language standard.

Package: libtheora-bin
Description-md5: 56d5c180e3285bb38d73ffa6b09cb5db
Description-en: Theora Video Compression Codec (example encoder, decoder)
 Theora is a fully open, non-proprietary, patent-and-royalty-free,
 general-purpose compressed video format.
 .
 This package contains a reference implementation of an encoder and a
 decoder.

Package: libtheora-ocaml
Description-md5: 1e8ad586dca55e8c14af5ef85a0eb895
Description-en: OCaml interface to the theora library
 This package provides an interface to the theora library for
 OCaml programmers.
 .
 Theora is a fully open, non-proprietary, patent-and-royalty-free,
 general-purpose compressed video format.
 .
 This package contains only the shared runtime stub libraries.

Package: libtheora-ocaml-dev
Description-md5: 8e4da83530c9168f56df79822b0bf612
Description-en: OCaml interface to the theora library
 This package provides an interface to the theora library for
 OCaml programmers.
 .
 Theora is a fully open, non-proprietary, patent-and-royalty-free,
 general-purpose compressed video format.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-theora.

Package: libtheschwartz-perl
Description-md5: 7c535edfca2eb57ce10ec55aab04007f
Description-en: reliable job queue
 TheSchwartz is a reliable job queue system. Your application can put jobs into
 the system, and your worker processes can pull jobs from the queue atomically
 to perform. Failed jobs can be left in the queue to retry later.
 .
 Abilities specify what jobs a worker process can perform. Abilities are the
 names of TheSchwartz::Worker subclasses, as in the synopsis: the MyWorker
 class name is used to specify that the worker script can perform the job. When
 using the TheSchwartz client's work functions, the class-ability duality
 is used to automatically dispatch to the proper class to do the actual work.
 .
 TheSchwartz clients will also prefer to do jobs for unused abilities before
 reusing a particular ability, to avoid exhausting the supply of one kind of job
 while jobs of other types stack up.

Package: libthread-conveyor-monitored-perl
Description-md5: 5f78d81ad23e5adecc8499def14b65ca
Description-en: module to monitor a conveyor belt for specific content
 The Thread::Conveyor::Monitored module implements a single worker thread that
 takes of boxes of values from a belt created with Thread::Conveyor and which
 checks the boxes for specific content.
 .
 It can be used for simply logging actions that are placed on the belt. Or
 only output warnings if a certain value is encountered in a box. Or create a
 safe sandbox for Perl modules that are not thread-safe yet.

Package: libthread-conveyor-perl
Description-md5: bb48ed33045473ba8f00c4df26c54c24
Description-en: thread-safe conveyor belt for any data-structure
 The Thread::Conveyor object is a thread-safe data structure that mimics the
 behaviour of a conveyor belt. One or more worker threads can put boxes with
 frozen values and references on one end of the belt to be taken off by one or
 more worker threads on the other end of the belt to be thawed and returned.

Package: libthread-pool-dev
Description-md5: 2da0fbece5f7c411329063def1d4d33c
Description-en: C++ thread pool library (devel)
 A thread pool is a software design pattern for achieving concurrency of
 execution in a computer program. Often also called a replicated workers
 or worker-crew model,[1] a thread pool maintains multiple threads
 waiting for tasks to be allocated for concurrent execution by the
 supervising program.
 .
 This package contains the static library and the header files.

Package: libthread-pool-perl
Description-md5: edca1f915cd328ebe17d941f993a65d3
Description-en: group of threads for performing similar jobs
 The Thread::Pool module allows you to set up a group of (worker) threads to
 execute a (large) number of similar jobs that need to be executed
 asynchronously. The routine that actually performs the job (the "do"
 routine), must be specified as a name or a reference to a (anonymous)
 subroutine.

Package: libthread-pool-simple-perl
Description-md5: 76877d0e37b4497cc89cfa17bf17889f
Description-en: Perl implementation of a simple thread pool
 Thread::Pool::Simple provides a simple thread-pool implementation without
 external dependencies outside core modules. Jobs can be submitted to and
 handled by multi-threaded 'workers' managed by the pool.

Package: libthread-pool0
Description-md5: f73d1db8ad7cc324a3ee61f8b3111ba7
Description-en: C++ thread pool library
 A thread pool is a software design pattern for achieving concurrency of
 execution in a computer program. Often also called a replicated workers
 or worker-crew model,[1] a thread pool maintains multiple threads
 waiting for tasks to be allocated for concurrent execution by the
 supervising program.
 .
 This package contains a shared library with a thread pool
 implementation.

Package: libthread-queue-any-perl
Description-md5: d399fc9444036c852a07db63cef49753
Description-en: module implementing thread-safe queues for any data-structure
 A queue, as implemented by Thread::Queue::Any is a thread-safe data structure
 that inherits from Thread::Queue. But unlike the standard Thread::Queue, you
 can pass (a reference to) any data structure to the queue.

Package: libthread-serialize-perl
Description-md5: 5806926a243bcfb1102cbbc3005fd4ab
Description-en: module to serialize data-structures between threads
 The Thread::Serialize module is a library for centralizing the routines used
 to serialize data-structures between threads. Because of this central
 location, other modules such as Thread::Conveyor, Thread::Pool or Thread::Tie
 can benefit from the same optimilizations that may take place here in the
 future.

Package: libthread-sigmask-perl
Description-md5: 75212716bf78b111d92822af64f9770c
Description-en: module for thread specific signal masks
 Thread::SigMask provides per-thread signal masks. On non-threaded perls it
 will be effectively the same as POSIX::sigprocmask. The interface works
 exactly the same as sigprocmask.

Package: libthread-tie-perl
Description-md5: 6950942feac3c6f092950de961ead35b
Description-en: alternative separate thread implementation of shared variables
 The standard shared variable scheme used by Perl, is based on tie-ing the
 variable to some very special dark magic. This dark magic ensures that shared
 variables, which are copied just as any other variable when a thread is
 started, update values in all of the threads where they exist as soon as the
 value of a shared variable is changed.
 .
 The Thread::Tie module is a proof-of-concept implementation of another approach
 to shared variables. Instead of having shared variables exist in all the
 threads from which they are accessible, shared variable exist as "normal",
 unshared variables in a separate thread. Only a tied object exists in each
 thread from which the shared variable is accessible.
 .
 Through the use of a client-server model, any thread can fetch and/or update
 variables living in that thread. This client-server functionality is hidden
 under the hood of tie(). So you could say that one dark magic (the current
 shared variables implementation) is replaced by another dark magic.
 .
 The Thread::Tie approach has the following advantages:
 .
  * Memory usage - This implementation circumvents the memory leak that
    currently (threads::shared version 0.90) plagues any shared array or shared
    hash access.
  * Tieing shared variables - Because the current implementation uses tie-ing,
    you can not tie a shared variable. The same applies for this implementation
    you might say. However, it is possible to specify a non-standard tie
    implementation for use within the thread. So with this implementation you
    can tie() a shared variable. So you could tie a shared hash to a DBM file à
    la dbmopen() with this module.
 .
 Of course there are disadvantages to this approach:
 .
  * Pure Perl implementation - This module is currently a pure Perl
    implementation. This is ok for a proof of concept, but may need re-
    implementation in pure XS or in Inline::C for production use.
 .
  * Tradeoff between cpu and memory - This implementation currently uses (much)
    more cpu than the standard shared variables implementation. Whether this
    would still be true when re-implemented in XS or Inline::C, remains to be
    seen.

Package: libthreeten-extra-java
Description-md5: 80333f5fa6f4010f87239d21a15153a8
Description-en: Additional functionality that enhances JSR-310 dates and times
 Not every piece of date/time logic is destined for the JDK. Some concepts
 are too specialized or too bulky to make it in. This project provides
 some of those additional classes as a well-tested and reliable jar. It
 is curated by the primary author of the Java 8 date and time library.

Package: libthrift-0.13.0
Description-md5: 8be7a4bd1b401251d0b6bf259fce5c4d
Description-en: Thrift C++ library
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization.  It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package contains the runtime libraries needed for C++ applications
 using Thrift.

Package: libthrift-c-glib-dev
Description-md5: cc9529cd9ec1e708d0963f88fa76a113
Description-en: Thrift glib library (development headers)
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization.  It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package contains the development libraries required for writing glib
 based C applications using Thrift.

Package: libthrift-c-glib0
Description-md5: d24de5d0a673a0686e760d6d99e2e22f
Description-en: Thrift glib library
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization.  It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package contains the glib based runtime libraries needed for C
 applications using Thrift.

Package: libthrift-dev
Description-md5: 6cb3893616b20c403e2ade5a6f93a17f
Description-en: Thrift C++ library (development headers)
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization.  It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package contains the development libraries required for writing C++
 applications using Thrift.

Package: libthrift-perl
Description-md5: a0335ce3192de1f9e0e508c6e7ce79b1
Description-en: Perl language support for Thrift
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization. It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package provides the Perl language support for Thrift.

Package: libthrowable-perl
Description-md5: 46157512d8320fe14766afc463e31f73
Description-en: role for classes that can be thrown
 Throwable is a role for classes that are meant to be thrown as exceptions to
 standard program flow. It automatically saves any previous
 value for $@ and calls die $self.

Package: libthunarx-3-0
Description-md5: a776999a1a1d1cd89b141fe9303e683d
Description-en: extension library for thunar
 This package contains the Thunar extension library which permits adding new
 features to the Thunar file manager.

Package: libthunarx-3-dev
Description-md5: 0d4661e4e1e07c636d1d14b457e9e7f0
Description-en: Development files for libthunarx
 This package contains the headers and the static library for libthunarx,
 the extension library used by thunar

Package: libticables-dev
Description-md5: c790222d29061fe3668744f21550a58c
Description-en: Texas Instruments link cables library [development files]
 The libticables is a library providing support for operations on Texas
 Instruments calculators link cables. All link cables are supported read/write.
 .
 This package provides the development files for the library, static library,
 required headers and documentation.

Package: libticables2-7
Description-md5: 10b075c6ad389659691bf6e82fb8215e
Description-en: Texas Instruments link cables library
 The libticables is a library providing support for operations on Texas
 Instruments calculators link cables. All link cables are supported read/write.
 .
 This package provides the shared library.

Package: libticalcs-dev
Description-md5: 0da7626509baa0d172e1dcf204ecd51b
Description-en: Texas Instruments calculator communication library [development files]
 The libticalcs is a library providing support for communication with Texas
 Instruments calculators. It implements the TI protocol for each type of
 calculator, independently of the link cable used to establish the link.
 .
 All graphing calculators from Texas Instruments are supported.
 .
 This package provides the development files for the library, static library,
 required headers and documentation.

Package: libticalcs2-12
Description-md5: c3d4a6204e7b4c43a8e7eb1009e3335e
Description-en: Texas Instruments calculator communication library
 The libticalcs is a library providing support for communication with Texas
 Instruments calculators. It implements the TI protocol for each type of
 calculator, independently of the link cable used to establish the link.
 .
 All graphing calculators from Texas Instruments are supported.
 .
 This package provides the shared library.

Package: libticcutils-dev
Description-md5: fcab4bdfd192b8da47a78d8876ac3db8
Description-en: utility functions used in the context of Natural Language Processing (headers)
 The TiCC utils C++ library contains useful functions and other goodies for
 general use in TiMBL and other parts of the TiCC software stack and beyond.
 .
 TiCC utils is a product of the Tilburg centre for Cognition and Communication
 (Tilburg University, The Netherlands).  If you do scientific research in
 Natural Language Processing, TiCC software will likely be of use to you.
 .
 This package provides the header files required to compile C++ programs
 that use libticcutils.

Package: libticcutils5
Description-md5: 838744739a7696c450532cbfda6b76ae
Description-en: utility functions used in the context of Natural Language Processing (library)
 The TiCC utils C++ library contains useful functions and other goodies for
 general use in TiMBL and other parts of the TiCC software stack and beyond.
 .
 TiCC utils is a product of the Tilburg centre for Cognition and Communication
 (Tilburg University, The Netherlands).  If you do scientific research in
 Natural Language Processing, TiCC software will likely be of use to you.
 .
 This package provides the runtime files required to run programs that use
 libticcutils.

Package: libticket-simple-perl
Description-md5: a1212fb8f2154f1dd79c776c617461ec
Description-en: basic ticket system
 Ticket::Simple provides a simple ticket system for creating, storing,
 fetching, comparing user assigned tickets.

Package: libtickit-async-perl
Description-md5: f53c7c0672ae57f8942bf7d02e73be57
Description-en: module to use Tickit with IO::Async
 Thes Tickit::Async module allows a Tickit user interface to run alongside
 other IO::Async-driven code, using IO::Async as a source of IO events.
 .
 As a shortcut convenience, a containing IO::Async::Loop will be constructed
 using the default magic constructor the first time it is needed, if the
 object is not already a member of a loop. This will allow a Tickit::Async
 object to be used without being aware it is not a simple Tickit object.
 .
 To avoid accidentally creating multiple loops, callers should be careful to
 add the Tickit::Async object to the main application's loop if one already
 exists as soon as possible after construction.

Package: libtickit-console-perl
Description-md5: fdaf8dca690ee093beea00f5e6e95529
Description-en: module to build full-screen console-style applications
 A Tickit::Console instance is a subclass of Tickit::Widget::VBox intended to
 help building a full-screen console-style application which presents the user
 with one or more scrollable text areas, selectable as tabs on a ribbon, with
 a text entry area at the bottom of the screen for entering commands or other
 data. As a Tickit::Widget subclass it can be added anywhere within a widget
 tree, though normally it would be used as the root widget for a Tickit
 instance.

Package: libtickit-dev
Description-md5: b6238dd0ad8a9a34da4291d712643aa7
Description-en: Terminal Interface Construction KIT (development files)
 This library provides an abstracted mechanism for building interactive
 full-screen terminal programs. It provides a full set of output drawing
 functions, and handles keyboard and mouse input events.
 .
 Using this library, applications can
 .
  * Divide the terminal into a hierarchy of nested, possibly-overlapping
    rectangular windows
  * Render output content and react to input events independently in any
    window region
  * Use fully Unicode-aware string content, including non-BMP, full-width
    and combining characters
  * Draw line-art using Unicode box-drawing characters in a variety of
    styles
  * Operate synchronously or asynchronously via file descriptors, or
    abstractly via byte buffers
  * Recognise arbitrary keyboard input, including modifiers*
  * Make use of multiple terminals, if available, from a single
    application
 .
 The following terminal features are supported (depending on terminal support):
 .
  * Many rendering attributes; bold, italics, underline, reverse,
  * strike-though, alternate font
  * 256 and 24-bit (16 million) colours
  * Mouse including mouse wheel and recognition of position reporting greater
  * than 224 columns
  * Arbitrary scrolling regions
 .
 This package contains the header files and libraries needed for developing
 with libtickit.

Package: libtickit-perl
Description-md5: 935903cceb676543c21e0bc626b66dc6
Description-en: Terminal Interface Construction KIT
 Tickit is a high-level toolkit for creating full-screen terminal-based
 interactive programs. It allows programs to be written in an abstracted way,
 working with a tree of widget objects, to represent the layout of the
 interface and implement its behaviours.
 .
 Its supported terminal features includes a rich set of rendering attributes
 (bold, underline, italic, 256-colours, etc), support for mouse including
 wheel and position events above the 224th column and arbitrary modified key
 input via libtermkey (all of these will require a supporting terminal as
 well). It also supports having multiple instances and non-blocking or
 asynchronous control.
 .
 At the current version, this is a Perl distribution which contains and XS and
 C implementation of the lower levels (Tickit::Term and Tickit::Pen), and
 implements the higher levels (Tickit::Window and Tickit::Widget) in pure
 perl. The XS parts are supported by libtickit, either from the installed
 library, or using a bundled copy compiled at build time. It is intended that
 eventually the Window layer will be rewritten in XS and C instead.

Package: libtickit-widget-floatbox-perl
Description-md5: 91899aab137215d23d3735d7ce5857b6
Description-en: manage a collection of floating widgets
 This container widget maintains a collection of floating widgets that can be
 displayed over the top of a single base widget. The box itself is entirely
 occupied by the base widget, and by default when no floats are created or
 displayed it will behave essentially invisibly, as though the box were not
 there and the base widget was an immediate child of the container the
 floatbox is inside.
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libtickit-widget-scrollbox-perl
Description-md5: 0f06ba118e0949b8d780f9fd360ab055
Description-en: allow a single child widget to be scrolled
 This container widget draws a scrollbar beside a single child widget and
 allows a portion of it to be displayed by scrolling.
 .
 This description was automagically extracted from the module by dh-make-perl.

Package: libtickit-widget-scroller-perl
Description-md5: 1f38b2c919763394c912e6aa5c2fbd3f
Description-en: a scroller widget for Tickit
 This class provides a widget which displays a scrollable list of items. The
 view of the items is scrollable, able to display only a part of the list.
 .
 A Scroller widget stores a list of instances implementing the
 Tickit::Widget::Scroller::Item interface.

Package: libtickit-widget-tabbed-perl
Description-md5: 04ca8cf6d9c00ff53c938ba527a1429e
Description-en: basic tabbed window support for Tickit
 Tickit::Widget::Tabbed provides a container that operates as a tabbed window.
 .
 Subclass of Tickit::ContainerWidget.
 .
 Tickit is a high-level toolkit for creating full-screen terminal-based
 interactive programs. It allows programs to be written in an abstracted way,
 working with a tree of widget objects, to represent the layout of the
 interface and implement its behaviours.

Package: libtickit-widgets-perl
Description-md5: bf79c334d4825229e24b569bcb8d0f8b
Description-en: collection of Tickit::Widget implementations
 This class acts as an abstract base class for on-screen widget objects. It
 provides the lower-level machinery required by most or all widget types.
 .
 Objects cannot be directly constructed in this class. Instead, a subclass of
 this class which provides a suitable implementation of the render_to_rb and
 other provided methods is derived. Instances in that class are then
 constructed.
 .
 The core Tickit distribution only contains a couple of simple widget classes.
 Many more widget types are available on CPAN. Almost certainly for any
 widget-based program you will want to at least install the Tickit::Widgets
 distribution, which provides many of the basic UI types of widget.
 .
 Tickit is a high-level toolkit for creating full-screen terminal-based
 interactive programs. It allows programs to be written in an abstracted way,
 working with a tree of widget objects, to represent the layout of the
 interface and implement its behaviours.

Package: libtickit2
Description-md5: 5fc8d3a180600be08c4ef0361339b9ad
Description-en: Terminal Interface Construction KIT
 This library provides an abstracted mechanism for building interactive
 full-screen terminal programs. It provides a full set of output drawing
 functions, and handles keyboard and mouse input events.

Package: libticonv-dev
Description-md5: 2d69a3aea77769efe33edbd84801ae17
Description-en: Texas Instruments calculators charsets library [development files]
 The libticonv is a library providing support for operations on Texas
 Instruments calculators charsets. All charsets for all calculators are
 supported; the library is also able to convert to/from UTF-8/UTF-16.
 .
 This package provides the development files for the library, static library,
 required headers and documentation.

Package: libticonv8
Description-md5: c1b376f0a1f24b15e3bffbbc11579a6f
Description-en: Texas Instruments calculators charsets library
 The libticonv is a library providing support for operations on Texas
 Instruments calculators charsets. All charsets for all calculators are
 supported; the library is also able to convert from/to UTF-8/UTF-16.
 .
 This package provides the shared library.

Package: libtie-array-iterable-perl
Description-md5: 8542a8ea2280ef9140ae766b5bfb559e
Description-en: module allowing creation of iterators for lists and arrays
 Tie::Hash::Iterable allows one to create iterators for lists and arrays. The
 concept of iterators is borrowed from the C++ STL [1], in which most of the
 collections have iterators, though this class does not attempt to fully mimic
 it.
 .
 Typically, in C/C++ or Perl, the 'easy' way to visit each item on a list is
 to use a counter, and then a for( ;; ) loop. However, this requires knowledge
 on how long the array is to know when to end. In addition, if items are
 removed or inserted into the array during the loop, then the counter will be
 incorrect on the next run through the loop, and will cause problems.
 .
 While some aspects of this are fixed in Perl by the use of for or foreach,
 these commands still suffer when items are removed or added to the array
 while in these loops. Also, if one wished to use break to step out of a
 foreach loop, then restart where they left at some later point, there is no
 way to do this without maintaining some additional state information.

Package: libtie-array-sorted-perl
Description-md5: 59052947ac11238b502cdb99e607fde7
Description-en: Perl array which is kept sorted
 Tie::Array::Sorted presents an ordinary array, but it is kept sorted. All
 pushes and unshifts cause the elements in question to be inserted in the
 appropriate location to maintain order.

Package: libtie-cache-perl
Description-md5: 7ef146815e5aff7390769e0fa44339ac
Description-en: module for LRU cache in memory
 The module Tie::Cache module implements a least recently used (LRU) cache in
 memory through a tie interface. Any time data is stored in the tied hash,
 that key/value pair has an entry time associated with it, and as the cache
 fills up, those members of the cache that are the oldest are removed to make
 room for new entries.
 .
 So, the cache only "remembers" the last written entries, up to the size
 of the cache. This can be especially useful if you access great amounts
 of data, but only access a minority of the data a majority of the time.

Package: libtie-cphash-perl
Description-md5: 236c65cfc0ded61b21188cdd541a9a92
Description-en: module for managing a case preserving but case insensitive hash table
 Tie::CPHash provides a hash table that is case preserving but case
 insensitive. This means that
 .
  $cphash{KEY}    $cphash{key}
  $cphash{Key}    $cphash{keY}
 .
 all refer to the same entry.  Also, the hash remembers which form of
 the key was last used to store the entry.  The keys and each functions
 will return the key that was used to set the value.

Package: libtie-cycle-perl
Description-md5: 83df1db8cf5f54fe57b0827ef73ebfa9
Description-en: module for cycling through a list of values via a scalar
 You use Tie::Cycle to go through a list over and over again. Once you get to
 the end of the list, you go back to the beginning. You don't have to worry
 about any of this since the magic of tie does that for you.
 .
 The tie takes an array reference as its third argument. The tie should
 succeed unless the argument is not an array reference. Previous versions
 required you to use an array that had more than one element (what's the
 pointing of looping otherwise?), but I've removed that restriction since the
 number of elements you want to use may change depending on the situation.
 .
 During the tie, this module makes a shallow copy of the array reference. If
 the array reference contains references, and those references are changed
 after the tie, the elements of the cycle will change as well. See the
 included test.pl script for an example of this effect.

Package: libtie-dbi-perl
Description-md5: 671ea0398223d32b8cad7be4093f199c
Description-en: module tying hashes to relational databases
 Tie::DBI is a Perl module for using a database as a backing store for Perl's
 hash data structure. One field of the table becomes the hash key, and another
 becomes the value. Once tied, all standard hash operations work, including
 iteration over keys and values.
 .
 Using the Storable module, you may store complex Perl data structures (even
 objects) in the database and later retrieve them. When used in conjunction
 with a network-accessible database, this provides a simple way to transmit
 data structures between Perl programs on different machines.

Package: libtie-dxhash-perl
Description-md5: f43cc6a1ea08a378a6546440c0fd4112
Description-en: Perl module that keeps insertion order in hash
 Tie::DxHash implements a hash which preserves insertion order and allows
 duplicate keys.
 .
 Tie::DxHash works by storing the hash data in an array of hash references
 (containing the key/value pairs). This preserves insertion order. A
 separate set of iterators (one per distinct key) keeps track of the last
 retrieved value for a given key, thus allowing the successive retrieval of
 multiple values for the same key to work as expected.
 .
 Tie::DxHash was originally written to facilitate the use of more complex
 mod_rewrite rules in Apache configuration files written with Perl Sections.

Package: libtie-encryptedhash-perl
Description-md5: 04905cf6f73f8922489e3a3e87909201
Description-en: module for encrypted hashes and objects based on hashes
 Tie::EncryptedHash is a Perl module that enables hashes (and objects based on
 hashes) with automatically encrypting fields. When the password is not set,
 an encrypted hash behaves exactly like a standard Perl hash, which is useful
 for serializing the resulting data.

Package: libtie-handle-offset-perl
Description-md5: 315f46aae29325cf99469514ffbd50ee
Description-en: module to provide tied handle that hides the beginning of a file
 Tie::Handle::Offset provides a file handle that hides the beginning of a
 file. After opening, the file is positioned at the offset location. "seek()"
 and "tell()" calls are modified to preserve the offset.
 .
 For example, "tell($fh)" will return 0, though the actual file position is at
 the offset. Likewise, "seek($fh,80,0)" will seek to 80 bytes from the offset
 instead of 80 bytes from the actual start of the file.
 .
 The included Tie::Handle::SkipHeader module automatically hides an
 email-style message header. After opening the file, it reads up to a blank or
 white-space-only line and sets the offset to the next byte.

Package: libtie-hash-expire-perl
Description-md5: f40e6ece879509c9a799658ab05791f8
Description-en: Perl module providing hashes with keys that expire after a user-set period
 Hashes tied to Tie::Hash::Expire behave like normal hashes in all respects
 except that when a key is added or the value associated with a key is
 changed, the current time is stored, and after 'expire_seconds' the key and
 value are removed from the hash.
 .
 Resolutions finer than seconds are available if the module finds access to
 Time::HiRes.

Package: libtie-hash-indexed-perl
Description-md5: cb2c9f87fe7506279ce6037638c843da
Description-en: Ordered hashes for Perl
 This module implements an ordered hash, meaning that it associates keys
 with values like a Perl hash, but keeps the keys in a consistent order.
 .
 Tie::Hash::Indexed is very similar to Tie::IxHash. However, it is written
 completely in XS and usually about twice as fast as Tie::IxHash. It's quite a
 lot faster when it comes to clearing or deleting entries from large hashes.
 .
 However compared to Tie::IxHash, only the plain tying mechanism is supported.

Package: libtie-hash-regex-perl
Description-md5: 7f4b74c0882450a43c2ccf978e25110a
Description-en: module to match hash keys using regular expressions
 The Tie::Hash::Regex module allows hash keys to be matched using regular
 expressions.
 .
 In comparison to Tie::RegexpHash, rather than using regexps as keys that match
 against fetches, Tie::Hash::Regex allows the use of standard keys that are
 matched by regexps in fetches.

Package: libtie-ical-perl
Description-md5: b90cf4822d22b47e1304b0189f08adc9
Description-en: module for tieing iCal files to Perl hashes
 Tie::iCal represents an RFC2445 iCalendar file as a Perl hash. Each key in
 the hash represents an iCalendar component like VEVENT, VTODO or VJOURNAL.
 Each component in the file must have a unique UID property as specified in
 the RFC 2445. A file containing non-unique UIDs can be converted to have
 only unique UIDs (see samples/uniquify.pl).
 .
 The module makes very little effort in understanding what each iCalendar
 property means and concentrates on the format of the iCalendar file only.

Package: libtie-persistent-perl
Description-md5: 2fe06c51a314faf9194623e3a36153a0
Description-en: tied interface to persistent file
 Tie::Persistent makes working with persistent data real easy by using the tie
 interface. It works by storing data contained in a variable into a file (not
 unlike a database). The primary advantage is speed, as the whole datastructure
 is kept in memory (which is also a limitation), and, of course, that you can
 use arbitrary data structures inside the variable (unlike DB_File). Note that
 it is most useful if the data structure fits into memory.

Package: libtie-refhash-weak-perl
Description-md5: 01ed2f0dcdf160bf21ec89ff6ad29b5b
Description-en: Tie::RefHash subclass with weakened references in the keys
 Tie::RefHash can be used to access hashes by reference. This is useful when
 you index by object, for example.
 .
 The problem with Tie::RefHash, and cross indexing, is that sometimes the
 index should not contain strong references to the objecs. The internal
 structures of Tie::RefHash contain strong references to the key, and provide
 no convenient means to make those references weak.
 .
 Tie::RefHash::Weak has weak keys, instead of strong ones. The values are left
 unaltered, and you'll have to make sure there are no strong references there
 yourself.

Package: libtie-shadowhash-perl
Description-md5: 8cf76c329e919a93008bf10b417d5d03
Description-en: Merge multiple data sources into a hash
 ShadowHash merges together multiple sets of data in the form of hashes into a
 data structure that looks to Perl like a single simple hash. When that hash
 is accessed, the data structures managed by that shadow hash are searched in
 order they were added for that key. This allows the rest of a program simple
 and convenient access to a disparate set of data sources.

Package: libtie-simple-perl
Description-md5: 62609d1d756c6347d94281694670e0b2
Description-en: simple way to tie Perl variables
 This package provides the Perl module Tie::Simple. This module adds to
 tie function the ability to quickly create new types of tie objects
 without creating a complete Tie::Something class. The idea is to make
 the programmers life easier when it comes to single-use ties which are
 sometime useful.
 .
 Tie::Simple class is actually a front-end to other classes which
 really do all the work once tied, but this package does the dwimming
 to automatically figure out what you're trying to do.

Package: libtie-toobject-perl
Description-md5: ae6000a260e631d72b198c5afdeae496
Description-en: module for tying to an existing object
 While perldoc/tie allows tying to an arbitrary object, the class in question
 must support this in it's implementation of TIEHASH, TIEARRAY or
 whatever.
 .
 Tie::ToObject class provides a very tie constructor that simply returns the
 object it was given as it's first argument. This way side effects of calling
 $object->TIEHASH are avoided.
 .
 This is used in Data::Visitor in order to tie a variable to an already
 existing object. This is also useful for cloning, when you want to clone the
 internal state object instead of going through the tie interface for that
 variable.

Package: libtiff-opengl
Description-md5: 8b1debea0e0eac5de9dc7eb9a32524a2
Description-en: TIFF manipulation and conversion tools
 libtiff is a library providing support for the Tag Image File Format
 (TIFF), a widely used format for storing image data.  This package
 contains libtiff tools that depend upon opengl.  It complements the
 libtiff-tools package, which contains the libtiff tools that don't
 depend upon opengl.

Package: libtiff-tools
Description-md5: f333fccce3ca049190b80f117d3faa92
Description-en: TIFF manipulation and conversion tools
 libtiff is a library providing support for the Tag Image File Format
 (TIFF), a widely used format for storing image data.  This package
 includes tools for converting TIFF images to and from other formats
 and tools for doing simple manipulations of TIFF images.  See also
 libtiff-opengl.

Package: libtifiles-dev
Description-md5: 8182623bb5ffca5920021c1eed788fa1
Description-en: Texas Instruments calculator files library [development files]
 The libtifiles is a library providing support for operations on Texas
 Instruments calculators files. All formats for all calculators are supported
 read/write ; the library is also able to manipulate the group files, which
 means it is able to group and ungroup variables into such group files.
 .
 This package provides the development files for the library, static library,
 required headers and documentation.

Package: libtifiles2-10
Description-md5: d68e7ab1aef4daa78beac198f192274b
Description-en: Texas Instruments calculator files library
 The libtifiles is a library providing support for operations on Texas
 Instruments calculators files. All formats for all calculators are supported
 read/write ; the library is also able to manipulate the group files, which
 means it is able to group and ungroup variables into such group files.
 .
 This package provides the shared library.

Package: libtigris-clojure
Description-md5: 6e21c6c17ff8102f8605b3a1c8eefcef
Description-en: stream-based JSON escaping for Clojure
 Tigris provides a stream for escaping JSON strings as they're being
 read from a different stream. This stream-to-stream string
 encoding allows for easy integration of JSON escaping into a data
 processing pipeline.

Package: libtika-java
Description-md5: 3578a7e09e3dc9796857a96f31a4df9d
Description-en: Apache Tika - content analysis toolkit
 The Apache Tika toolkit detects and extracts metadata and text content
 from various documents (PPT, CSV, PDF, MP3, HTML and more) using existing
 parser libraries. Tika unifies these parsers under a single interface to
 allow you to easily parse over a thousand different file types. Tika is
 useful for search engine indexing, content analysis, translation, and much
 more.

Package: libtiled-dev
Description-md5: e1813858a4863695fd23a2a097b42044
Description-en: library for general purpose tile map editor (development headers)
 Tiled is a general purpose tile map editor. It's built to be easy to use,
 yet capable of catering to a host of varying game engines, whether your game
 is an RPG, platformer or Breakout clone. Tiled supports plugins to read and
 write map formats, in addition to its map format, to support map formats in
 use by engines.
 .
 This package contains the development headers for libtiled.

Package: libtiled1
Description-md5: cf9cb23fe15caf2d6e225132808fd660
Description-en: library for general purpose tile map editor
 Tiled is a general purpose tile map editor. It's built to be easy to use,
 yet capable of catering to a host of varying game engines, whether your game
 is an RPG, platformer or Breakout clone. Tiled supports plugins to read and
 write map formats, in addition to its map format, to support map formats in
 use by engines.
 .
 This package contains the library to read the map files.

Package: libtiledarray-dev
Description-md5: 496786b776d4e0cc09aa3b659b89303a
Description-en: Block-Sparse Tensor Library (development files)
 TiledArray is a scalable, block-sparse tensor library that is designed
 to aid in rapid composition of high-performance tensor expressions,
 appearing for example in many-body quantum mechanics. It allows users
 to compose tensor expressions of arbitrary complexity that closely
 resembles the standard mathematical notation.
 .
 This package contains the static libraries and the header files.

Package: libtiles-autotag-java
Description-md5: d1a611472adb0ee752b03303fc1c2add
Description-en: Automatic tag generation for Apache Tiles
 Autotag generates tags (or tag-like) artifact from a common template
 code for a range of templating languages. JSP tags, Freemarker directive
 models and Velocity directives are generated from a common template model.

Package: libtiles-java
Description-md5: 510c93f05a789a9b4f19ea4471647112
Description-en: Java templating framework for web application user interfaces
 Apache Tiles is a Java templating framework built to simplify the development
 of web application user interfaces. Tiles allows authors to define page
 fragments which can be assembled into a complete page at runtime.
 .
 Tiles grew in popularity as a component of the popular
 Struts <http://struts.apache.org/1.x/> framework.
 .
 It has since been extracted from Struts and is now integrated with various
 frameworks, such as Struts 2 <http://struts.apache.org/2.x/>
 and Shale <http://shale.apache.org/>.

Package: libtiles-java-doc
Description-md5: fa540fa747795ee0ee6877d2d79b83b8
Description-en: Java templating framework for web application user interfaces - documentation
 Apache Tiles is a Java templating framework built to simplify the development
 of web application user interfaces. Tiles allows authors to define page
 fragments which can be assembled into a complete page at runtime.
 .
 Tiles grew in popularity as a component of the popular
 Struts <http://struts.apache.org/1.x/> framework.
 .
 It has since been extracted from Struts and is now integrated with various
 frameworks, such as Struts 2 <http://struts.apache.org/2.x/>
 and Shale <http://shale.apache.org/>.
 .
 This package contains the Javadoc API of Tiles.

Package: libtiles-request-java
Description-md5: 744833d00847728a33b6a074310c300d
Description-en: Tiles Request Framework
 The Tiles Request library provides a simple abstraction layer around
 request/response objects of various Java frameworks and template technologies.
 Bindings are available for Servlet, Portlet, JSP, Mustache, Velocity
 and FreeMarker.

Package: libtimbl-dev
Description-md5: c4673c04563465053d0d2454fc45e3ae
Description-en: Tilburg Memory Based Learner - development
 The Tilburg Memory Based Learner, TiMBL, is a tool for Natural Language
 Processing research, and for many other domains where classification tasks are
 learned from examples.  It is an efficient implementation of k-nearest neighbor
 classifier.
 .
 TiMBL is a product of the Centre of Language and Speech Technology
 (Radboud University, Nijmegen, The Netherlands), the ILK Research Group
 (Tilburg University, The Netherlands) and the CLiPS Research Centre
 (University of Antwerp, Belgium).
 .
 This package provides the TiMBL header files required to compile C++ programs
 that use TiMBL.

Package: libtimbl4
Description-md5: 669112d8948aa34cb30fd3a9016fea3e
Description-en: Tilburg Memory Based Learner - runtime
 The Tilburg Memory Based Learner, TiMBL, is a tool for Natural Language
 Processing research, and for many other domains where classification tasks are
 learned from examples.  It is an efficient implementation of k-nearest neighbor
 classifier.
 .
 TiMBL is a product of the Centre of Language and Speech Technology
 (Radboud University, Nijmegen, The Netherlands), the ILK Research Group
 (Tilburg University, The Netherlands) and the CLiPS Research Centre
 (University of Antwerp, Belgium).
 .
 This package provides the runtime files required to run programs that use
 TiMBL.

Package: libtimblserver-dev
Description-md5: 8a989da828ff348c660ebc7d21ba5030
Description-en: Server extensions for Timbl - development
 timblserver is a TiMBL wrapper; it adds server functionality to TiMBL.  It
 allows TiMBL to run multiple experiments as a TCP server, optionally via HTTP.
 .
 The Tilburg Memory Based Learner, TiMBL, is a tool for Natural Language
 Processing research, and for many other domains where classification tasks are
 learned from examples.
 .
 TimblServer is a product of the ILK Research Group (Tilburg University, The
 Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium).
 .
 This package provides the header files required to compile C++ programs that
 use timblserver.

Package: libtimblserver4
Description-md5: 50a69ee89497639df751ed8a8c7b5f64
Description-en: Server extensions for Timbl - runtime
 timblserver is a TiMBL wrapper; it adds server functionality to TiMBL.  It
 allows TiMBL to run multiple experiments as a TCP server, optionally via HTTP.
 .
 The Tilburg Memory Based Learner, TiMBL, is a tool for Natural Language
 Processing research, and for many other domains where classification tasks are
 learned from examples.
 .
 TimblServer is a product of the ILK Research Group (Tilburg University, The
 Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium).
 .
 This package provides the runtime files required to run programs that use
 timblserver

Package: libtime-clock-perl
Description-md5: fdff493ca84f28ba67de462f992b7551
Description-en: twenty-four hour clock object with nanosecond precision
 A Time::Clock object is a twenty-four hour clock with nanosecond precision
 and wrap-around.  It is a clock only; it has absolutely no concept of dates.
 Vagaries of date/time such as leap seconds and daylight savings time
 are unsupported.
 .
 When a Time::Clock object hits 23:59:59.999999999 and at least one more
 nanosecond is added, it will wrap around to 00:00:00.000000000.  This works
 in reverse when time is subtracted.
 .
 Time::Clock objects automatically stringify to a user-definable format.

Package: libtime-duration-parse-perl
Description-md5: d7358626401ac88429fc0aa26d5aba71
Description-en: module to parse a string that represents a time duration
 Time::Duration::Parse is a module to parse human readable duration
 strings like 2 minutes and 3 seconds to seconds.
 .
 parse_duration '6 Minutes and 3 seconds' == 363 ;

Package: libtime-duration-perl
Description-md5: 5535cee70321b46c88eb8bf77bb931ac
Description-en: module for rounded or exact English expression of durations
 Time::Duration provides functions for expressing time spans in rounded
 or exact terms. So while
 .
    say duration_exact(86399);
 .
 will correctly give "23 hours, 59 minutes, and 59 seconds",
 .
    say ago(86399);
 .
 will output the rounded time span as "1 day ago".
 .
 The provided functions are duration(), ago(), from_now(), later() and
 earlier(), along with their *_exact counterparts.  The precision used
 for rounding can be specified, and version 1.10 added a millisecond
 mode.

Package: libtime-fake-perl
Description-md5: 3ebfeaf18d0d278aef5dbc07eedbeaf4
Description-en: Perl module for simulating different times without changing your system clock
 Use Time::Fake to achieve the effect of changing your system clock, but
 without actually changing your system clock. It overrides the Perl builtin
 subs time, localtime, and gmtime, causing them to return a "faked" time
 of your choice. From the script's point of view, time still flows at the
 normal rate, but it is just offset as if it were executing in the past or
 present.

Package: libtime-format-perl
Description-md5: 69eea05e0e55db9b61159e18d735cc60
Description-en: module for easy date/time formatting
 Time::Format creates global pseudovariables which format dates and times,
 according to formatting codes you pass to them in strings.
 .
 The %time formatting codes are designed to be easy to remember and use, and to
 take up just as many characters as the output time value whenever possible.
 For example, the four-digit year code is "yyyy", the three-letter month
 abbreviation is "Mon".
 .
 The nice thing about having a variable-like interface instead of function
 calls is that the values can be used inside of strings (as well as outside of
 strings in ordinary expressions). Dates are frequently used within strings
 (log messages, output, data records, etc.), so having the ability to
 interpolate them directly is handy.

Package: libtime-hr-perl
Description-md5: 4697a4626a58b673c3ed2e9da9218379
Description-en: Perl interface to high-resolution timer
 Time::HR is a very simple interface to high-resolution timer - it only
 supports one function call - gethrtime(). gethrtime() function returns
 current high-resolution real time value either as 64-bit integer (on systems
 with 64-bit support) or double value. Time is expressed as nanoseconds since
 some arbitrary time in the past; it is not correlated in any way to the time
 of day, and thus is not subject to resetting or drifting by way of adjtime or
 settimeofday. The high resolution timer is ideally suited to performance
 measurement tasks, where cheap, accurate interval timing is required.
 Currently, this extension is only supported on Solaris, Linux and Cygwin.

Package: libtime-human-perl
Description-md5: b0cf4eb654cb7ab833a53a3ea72db2d1
Description-en: convert localtime() format to "speaking clock" time
 Time::Human provides a "vague" rendering of the time into natural
 language; it's useful for text-to-speech applications and other
 speech-based interfaces.

Package: libtime-mock-perl
Description-md5: e2256dc54a2e601ddedfdbd6eabf174f
Description-en: shift and scale time Perl module
 Test::MockTime is nice, but doesn't allow you to accelerate the timestep
 and doesn't deal with Time::HiRes or give you any way to change the time
 across forks. Time::Mock speed up your sleep(), alarm(), and time() calls.

Package: libtime-moment-perl
Description-md5: 9c906bbda6e45901538f60a9a854b2bf
Description-en: Perl C/XS module representing date and time of day with UTC offset
 Time::Moment is an immutable object representing a date and time of day with
 an offset from UTC in the ISO 8601 calendar system.
 .
 Time is measured in nanoseconds since 0001-01-01T00Z. Leap seconds are
 ignored.  Time::Moment can represent all epoch integers from -62,135,596,800
 to 2,534,02,300,799; this range suffices to measure times to nanosecond
 precision for any instant that is within 0001-01-01T00:00:00Z to
 9999-12-31T23:59:59Z.

Package: libtime-olsontz-download-perl
Description-md5: 35f3b4544d21159828cf905594a208bd
Description-en: Olson timezone database source module
 An object of the Time::OlsonTZ::Download class represents a local copy of the
 source of the Olson timezone database, possibly used to build binary tzfiles.
 The source copy always begins by being downloaded from the canonical
 repository of the Olson database. This class provides methods to help with
 extracting useful information from the source.

Package: libtime-out-perl
Description-md5: 921f287d413d3e7be1a2f5ff78c9a184
Description-en: module to easily timeout long running operations
 The Time::Out module provides an easy interface to alarm(2) based timeouts.
 Nested timeouts are supported.

Package: libtime-period-perl
Description-md5: d1578391bfec4b128825b12fc2170ce9
Description-en: Perl library for testing if a time() is in a specific period
 The Time::Period library provides a function which tells whether a specific
 time falls within a specified time period.  Its syntax for specifying
 time periods allows you to test for conditions like "Monday to Friday,
 9am till 5pm" and "on the second Tuesday of the month" and "between 4pm
 and 4:15pm" and "in the first half of each minute" and "in January of
 1998".

Package: libtime-piece-mysql-perl
Description-md5: 7ea48691cb746b0e057eb2dbae2abb93
Description-en: module adding MySQL-specific methods to Time::Piece
 Using Time::Piece::MySQL instead of, or in addition to, Time::Piece adds a
 few MySQL-specific date-time methods to Time::Piece objects.

Package: libtime-progress-perl
Description-md5: f7c16a79b6370c0db13ef73dd32f1958
Description-en: perl module for elapsed and estimated finish time reporting
 Time::Progress displays progress information for long-running processes. This
 can be percentage complete, time elapsed, estimated time remaining, an ASCII
 progress bar, or any combination of those.
 .
 It is useful for code where you perform a number of steps, or iterations of a
 loop, where the number of iterations is known before you start the loop.

Package: libtime-stopwatch-perl
Description-md5: 68b1da19fd0cf7d90973dbb2105f4bf8
Description-en: Perl module to use tied scalars as timers
 The Time::Stopwatch module provides a convenient interface to
 timing functions through tied scalars.  From the point of view of the
 user, scalars tied to the module simply increase their value by one
 every second.

Package: libtime-tiny-perl
Description-md5: 9377af7baf6b80492db99f1e864ba719
Description-en: time object, with as little code as possible
 Time::Tiny is a member of the DateTime::Tiny suite of time modules.
 .
 It implements an extremely lightweight object that represents a time, without
 any time data.

Package: libtime-warp-perl
Description-md5: 1667ae544a7e4489d62f6def3c8f684e
Description-en: module to provide control over the flow of time
 Human external experience unfolds in 3 1/2 dimensions (time has a
 dimensionality of 1/2). The Time::Warp module offers developers control over
 the measurement of time.

Package: libtime-y2038-perl
Description-md5: 74d09201357c1187c9c8271941b0c579
Description-en: Perl module with time functions working beyond 2038
 On many computers, Perl's time functions will not work past the year 2038.
 This is a design fault in the underlying C libraries Perl uses. Time::y2038
 provides replacements for those functions which will work accurately +/1 142
 million years.

Package: libtimingframework-java
Description-md5: c4a5c11888bb6cfbdd145574e12ffcd2
Description-en: A Java framework for timing and animations
 The code serves as a tutorial on how to use timers, as well as a
 framework for doing more powerful things with timers.

Package: libtimingframework-java-doc
Description-md5: 528f1349f47c5a55ed703832bff00e02
Description-en: A Java framework for timing and animations (documentation)
 The code serves as a tutorial on how to use timers, as well as a
 framework for doing more powerful things with timers.
 .
 This package contains the documentation.

Package: libtinfo5
Description-md5: e4089e57710bd30671fca7351b19ae90
Description-en: shared low-level terminfo library (legacy version)
 The ncurses library routines are a terminal-independent method of
 updating character screens with reasonable optimization.
 .
 This package contains the legacy version of the shared low-level
 terminfo library.

Package: libtins-dev
Description-md5: 3bb4fcb9f10da74d0aaa128d440fefeb
Description-en: packet crafting and sniffing library - development files
 libtins is a high-level, multiplatform C++ network packet sniffing and
 crafting library.
 Its main purpose is to provide the C++ developer an easy, efficient, platform
 and endianess-independent way to create tools which need to send, receive and
 manipulate network packets.
 This package contains development files.

Package: libtins4.0
Description-md5: 0ad2c8acafacc8e3ae9af3857667bcaa
Description-en: packet crafting and sniffing library
 libtins is a high-level, multiplatform C++ network packet sniffing and
 crafting library.
 Its main purpose is to provide the C++ developer an easy, efficient, platform
 and endianess-independent way to create tools which need to send, receive and
 manipulate network packets.

Package: libtinyxml-dev
Description-md5: d3a5e9025bee380a7662ecd28c91ffff
Description-en: TinyXml library - header and static library
 This package contains the header file and a static version of the
 TinyXml library.
 .
 TinyXml is a simple and small C++ XML parser that can be easily integrating
 into other programs. It reads XML and creates C++ objects representing
 the XML document. The objects can be manipulated, changed, and
 saved again as XML.

Package: libtinyxml-doc
Description-md5: e7b00bf95436a184d0cca665d87ffa1a
Description-en: TinyXml library - documentation files
 This package contains the documentation to program with the TinyXml library.
 .
 TinyXml is a simple and small C++ XML parser that can be easily integrating
 into other programs. It reads XML and creates C++ objects representing
 the XML document. The objects can be manipulated, changed, and
 saved again as XML.

Package: libtinyxml2-6a
Description-md5: f7a6d9c81bce6e3c6808f3a7af25b07c
Description-en: C++ XML parsing library
 This package contains the shared version of the TinyXML2 library.
 .
 TinyXML2 is a simple and small C++ XML parser that can be easily integrating
 into other programs. It reads XML and creates C++ objects representing the XML
 document. The objects can be manipulated, changed, and saved again as XML.
 .
 TinyXML2 supersedes the previous TinyXML library, with various improvements:
  - Fewer memory allocations (1% - 10% compared to TinyXML)
  - Uses less memory (about 40% of that used by TinyXML)
  - Faster
  - No STL requirement
  - More modern C++, including a proper namespace
  - Proper and useful handling of whitespace

Package: libtinyxml2-dev
Description-md5: 010e91311a83f9f79a3ece268beb399a
Description-en: TinyXML2 library - header and static library
 This package contains the header file and a static version of the
 TinyXML2 library.
 .
 TinyXML2 is a simple and small C++ XML parser that can be easily integrating
 into other programs. It reads XML and creates C++ objects representing the XML
 document. The objects can be manipulated, changed, and saved again as XML.
 .
 TinyXML2 supersedes the previous TinyXML library, with various improvements:
  - Fewer memory allocations (1% - 10% compared to TinyXML)
  - Uses less memory (about 40% of that used by TinyXML)
  - Faster
  - No STL requirement
  - More modern C++, including a proper namespace
  - Proper and useful handling of whitespace

Package: libtinyxml2.6.2v5
Description-md5: 68d44c9a512e85616444081383cca452
Description-en: C++ XML parsing library
 This package contains the shared version of the TinyXml library.
 .
 TinyXml is a simple and small C++ XML parser that can be easily integrating
 into other programs. It reads XML and creates C++ objects representing
 the XML document. The objects can be manipulated, changed, and
 saved again as XML.

Package: libtinyxml2.6.2v5-dbg
Description-md5: bde877a7cd5b03373adcfa6f81a7ce5b
Description-en: TinyXml library - debug files
 This package contains debug symbols of the TinyXml library.
 .
 TinyXml is a simple and small C++ XML parser that can be easily integrating
 into other programs. It reads XML and creates C++ objects representing
 the XML document. The objects can be manipulated, changed, and
 saved again as XML.

Package: libtitanium-perl
Description-md5: a274f8263686909a775031634887aea8
Description-en: strong, lightweight web application framework for Perl
 Titanium is solid and mature. While it has a new name, the reality is
 that Titanium is simply a more user-friendly packaging of the mature
 CGI::Application framework and some useful plugins.
 .
 Titanium is lightweight; it has a light core and the plugins it uses employ
 lazy-loading whenever possible. It runs well in a plain CGI environment and
 provides excellent performance in a persistent environment such as FastCGI
 or mod_perl.
 .
 Applications are compatible with plugins for CGI::Application, so you can add
 additional features as your needs evolve.

Package: libtk-dirselect-perl
Description-md5: 425ccfb9a33e8d65da6bb3b4d49e22ea
Description-en: cross-platform directory selection widget
 Tk::DirSelect provides a cross-platform directory selection widget. A
 context menu (right-click or <Button3>) allows the
 creation, renaming, and deletion of directories while browsing.
 .
 Note: Perl/Tk 804 added the chooseDirectory method which uses native
 system dialogs where available. Unfortunately, a non-existent
 directory cannot (yet?) be chosen. If you want a native feel for
 your program, and do not need non-existent directory choice, you
 probably want to use chooseDirectory method instead of Tk::DirSelect.

Package: libtk-doubleclick-perl
Description-md5: a059172d83402ae5dc3bc9646227ea5a
Description-en: Perl/Tk function to handle double and single clicks
 Tk::DoubleClick provides a single function to create bindings for
 single and double click events.
 .
 These bindings can be used instead of the bindings provided by Tk
 widgets (which do not always work)

Package: libtk-filedialog-perl
Description-md5: e5a16a98baa286651de03177247ff08f
Description-en: highly configurable file dialog widget for Perl/Tk
 This file dialog widget called Tk::Filedialog is a collection of sub-widgets:
 a listbox for files and (optionally) directories, an entry for filename, an
 (optional) entry for pathname, an entry for a filter pattern, a 'ShowAll'
 checkbox (for enabling display of .* files and directories), and three
 buttons, namely OK, Rescan, and Cancel

Package: libtk-fontdialog-perl
Description-md5: 30b6ec2c89dc6048620900e5da9a67b7
Description-en: font dialog widget for perl/Tk
 Tk::FontDialog Perl module implements a font dialog widget.
 .
 The dialog is displayed by calling the Show method. The returned value is
 either the selected font (if the dialog was closed with the Ok button) or
 undef (otherwise). The exact type of the return value is a Tk::Font
 object which can be used as values in Tk -font options.

Package: libtk-gbarr-perl
Description-md5: d7b105e29284821e82d7520d62c21e95
Description-en: various Tk Perl widgets
 A contribution to the ever growing collection of Tk Widgets, currently it
 includes:
 .
     Tk::Cloth       - An OO interface to Tk::Canvas
     Tk::TFrame      - A frame with a title
     Tk::NumEntry    - An entry widget that accepts numbers and has up/down
                       buttons for in/decrement.
     Tk::FireButton  - Button that keeps invoking command when pressed
 .
 Tk::Pane and Tk::ProgressBar are no longer part of this
 distribution, because they are included in standard Perl/Tk since
 800.016.

Package: libtk-histentry-perl
Description-md5: 06ea221c2c262cf6b5eba4e0b076c1fa
Description-en: Tk entry widget with history
 Tk::HistEntry implements an entry widget with history. You may use the up
 and down keys to select older entries (or use the associated listbox).
 .
 The widget comes in two flavors: the "HistEntry" widget is an
 inherited BrowseEntry widget where you see history in the associated
 listbox, too. The "SimpleHistEntry" widget is a plain Entry widget.

Package: libtk-img
Description-md5: 107dce53010b14040034953c08c90092
Description-en: Extended image format support for Tcl/Tk (runtime)
 Img is a package to enhance Tk by providing support for various image
 formats such as XPM, GIF (transparency supported, but not LZW), PNG,
 JPEG, TIFF, and PostScript.
 .
 The libimg library can be loaded dynamically into Tcl/Tk scripts to
 provide the image handling functions.
 .
 This package includes runtime libraries.

Package: libtk-img-dev
Description-md5: 2cff299ceb1e3ef58659d4a71e038b14
Description-en: Extended image format support for Tcl/Tk (development files)
 Img is a package to enhance Tk by providing support for various image
 formats such as XPM, GIF (transparency supported, but not LZW), PNG,
 JPEG, TIFF, and PostScript.
 .
 The libimg library can be loaded dynamically into Tcl/Tk scripts to
 provide the image handling functions.
 .
 This package includes Tcl stub libraries and headers, which are necessary
 to develop C-based extensions using Img.

Package: libtk-img-doc
Description-md5: 98e5dc9d851376792e7d6110d2ebb40f
Description-en: Extended image format support for Tcl/Tk (manual pages)
 Img is a package to enhance Tk by providing support for various image
 formats such as XPM, GIF (transparency supported, but not LZW), PNG,
 JPEG, TIFF, and PostScript.
 .
 The libimg library can be loaded dynamically into Tcl/Tk scripts to
 provide the image handling functions.
 .
 This package includes manual pages and examples.

Package: libtk-objeditor-perl
Description-md5: 47074eaaeb5b8233e94afc859bc67199
Description-en: Perl/Tk widget to edit recursively a data structure
 Tk::ObjEditor module provide a widget to edit the content of
 a Perl data structure. The widget enables recursive edition of deep
 data structure.
 .
 ObjEditor is located within a DialogBox widget. I.e. it will appear in
 its own toplevel window when you invoke the Show() method like the
 FileDialog widget.

Package: libtk-objscanner-perl
Description-md5: 1a5b9584487068f78f7856895fb568e5
Description-en: Tk viewer for perl data structures
 Tk::ObjScanner from CPAN provides a GUI to scan the attributes
 of an object. It can also be used to scan the elements of a hash
 or an array.

Package: libtk-pod-perl
Description-md5: f783ca342fcc4721640011cb7b49d34c
Description-en: Tk Pod browser widget with hypertext capability
 Tk::Pod is a simple Pod browser with hypertext capabilities in a
 Toplevel widget

Package: libtk-splashscreen-perl
Description-md5: 275c95a40ce0317ccd0cae7da2da4732
Description-en: Toplevel mega widget to display a splashscreen
 For programs that require large load times, it's a common practice to
 display a Splashscreen that occupies the user's attention. This Toplevel
 mega widget provides all the display, destroy and timing events. All you do
 is create the Splashscreen mega widget, populate it as you see fit, then
 invoke Splash() to display it and Destroy() to tear it down.

Package: libtk-tablematrix-perl
Description-md5: f34f2478016549b7d8700b830ba9ade1
Description-en: Table/matrix widget extension to Perl/Tk
 This is the CPAN Perl module Tk::TableMatrix.
 .
 Tk::TableMatrix is a table/matrix widget extension to Perl/Tk
 for displaying data in a table (or spreadsheet) format.
 The basic features of the widget are:
 .
  * multi-line cells
  * support for embedded windows (one per cell)
  * row & column spanning
  * variable width columns / height rows (interactively resizable)
  * row and column titles
  * multiple data sources ((perl hash|| perl callback) &| internal caching)
  * supports standard Tk reliefs, fonts, colors, etc.
  * x/y scrollbar support
  * 'tag' styles per row, column or cell to change visual appearance
  * in-cell editing - returns value back to data source
  * support for disabled (read-only) tables or cells (via tags)
  * multiple selection modes, with "active" cell
  * multiple drawing modes to get optimal performance for larger tables
  * optional 'flashes' when things update
  * cell validation support

Package: libtl-parser-dev
Description-md5: d4e8744d5134bd91ddf4357c2b2fe09b
Description-en: command-line tool to parse TL scheme to tlo file (development)
 This tool parses the TL scheme and compile the scheme to tlo file. It is part
 of the telegram-cli.
 .
 TL (Type Language) serves to describe the used system of types, constructors,
 and existing functions.
 .
 This package contains some header files that can be cooperate with the parser.
 It is a header library.

Package: libtlsh-dev
Description-md5: fcb40bbb872bca09e973946d23af252f
Description-en: fuzzy hashing library - development files
 The Trend Micro Locality Sensitive Hash is a fuzzy hash algorithm that can be
 used to compare similar but not identical files.
 .
 Identifying near duplicates and similar files is known to be useful to
 identify malware samples with similar binary file structure, variants of spam
 email, or backups with corrupted files.
 .
 This package contains the development headers and the static library.

Package: libtlsh0
Description-md5: 968346b17fb7378f7f65a4541740ae69
Description-en: fuzzy hashing library
 The Trend Micro Locality Sensitive Hash is a fuzzy hash algorithm that can be
 used to compare similar but not identical files.
 .
 Identifying near duplicates and similar files is known to be useful to
 identify malware samples with similar binary file structure, variants of spam
 email, or backups with corrupted files.
 .
 This package contains the shared library itself.

Package: libtm-perl
Description-md5: e1d8048ba6ebbd3b048824e5b4e79c99
Description-en: Perl modules for reading/writing Topic Maps
 The TM suite of modules provides several classes for reading, querying
 and building Topic Maps.

Package: libtnt-dev
Description-md5: 9a3aff3c02a70e01c89860676d5952dd
Description-en: interface for scientific computing in C++
 The Template Numerical Toolkit (TNT) is a collection of interfaces and
 reference implementations of numerical objects useful for scientific
 computing in C++. The toolkit defines interfaces for basic data
 structures, such as multidimensional arrays and sparse matrices,
 commonly used in numerical applications. The goal of this package is to
 provide reusable software components that address many of the portability
 and maintenance problems with C++ codes.
 .
 TNT provides a distinction between interfaces and implementations of TNT
 components. For example, there is a TNT interface for two-dimensional
 arrays which describes how individual elements are accessed and how
 certain information, such as the array dimensions, can be used in
 algorithms; however, there can be several implementations of such an
 interface: one that uses expression templates, or one that uses BLAS
 kernels, or another that is instrumented to provide debugging information.
 By specifying only the interface, applications codes may utilize such
 algorithms, while giving library developers the greatest flexibility in
 employing optimization or portability strategies.
 .
  Homepage: http://math.nist.gov/tnt/

Package: libtntdb-dev
Description-md5: 555cc235ee603643088613de4adabf79
Description-en: Development headers for tntdb
 This library provides a thin, database independent layer over an SQL
 database.  It lacks complex features like schema queries or wrapper
 classes like active result sets or data bound controls.  Instead you
 get to access the database directly with SQL queries.  The library is
 suited for application programming, not for writing generic database
 handling tools.
 .
 Currently has support for MySQL, PostgreSQL and SQLite.

Package: libtntdb4v5
Description-md5: a0a884761fd14947ecc7bf00d7ee30f3
Description-en: C++ class library for easy database access
 This library provides a thin, database independent layer over an SQL
 database.  It lacks complex features like schema queries or wrapper
 classes like active result sets or data bound controls.  Instead you
 get to access the database directly with SQL queries.  The library is
 suited for application programming, not for writing generic database
 handling tools.
 .
 Currently has support for MySQL, PostgreSQL and SQLite.

Package: libtntnet-dev
Description-md5: 2425482d4695bcb01e6ffdbda96e1ab9
Description-en: Tntnet library development headers
 Tntnet has a template-language called ecpp similar to PHP, JSP or
 Mason, where you can embed c++ code inside a HTML page to generate
 active content. The ecpp files are precompiled to C++ classes called
 components and compiled and linked into a shared library. This process
 is done at compiletime. The web server Tntnet needs only the compiled
 component library.
 .
 Because the web applications are compiled into native code, they are
 very fast and compact.
 .
 Components can call other components. So you can create building blocks
 of HTML parts and call them in other pages like subprocesses.
 .
 Requests are parsed by tntnet and the request information is easily
 accessible to the components. It supports GET and POST parameters and
 MIME multipart requests for file upload.
 .
 The template language has also support for internationalized
 applications. You can easily create web applications for different
 languages.
 .
 Other features are: cookies, HTTP upload, automatic request parameter
 parsing and conversion, automatic session management, scoped variables
 (application, request and session), internationalisation and keep-alive.
 .
 Logging is done through cxxtools, which provides a unique API for
 log4cpp, log4cxx or simple logging to files or console.
 .
 Tntnet is fully multithreaded and much work has been gone into making
 it scalable. It uses a dynamic pool of worker threads, which answer
 requests from HTTP clients.

Package: libtntnet12v5
Description-md5: 6e1d6fc6ca2d0715ff31857cc7917d52
Description-en: Tntnet libraries
 Tntnet has a template-language called ecpp similar to PHP, JSP or
 Mason, where you can embed c++ code inside a HTML page to generate
 active content. The ecpp files are precompiled to C++ classes called
 components and compiled and linked into a shared library. This process
 is done at compiletime. The web server Tntnet needs only the compiled
 component library.
 .
 Because the web applications are compiled into native code, they are
 very fast and compact.
 .
 Components can call other components. So you can create building blocks
 of HTML parts and call them in other pages like subprocesses.
 .
 Requests are parsed by tntnet and the request information is easily
 accessible to the components. It supports GET and POST parameters and
 MIME multipart requests for file upload.
 .
 The template language has also support for internationalized
 applications. You can easily create web applications for different
 languages.
 .
 Other features are: cookies, HTTP upload, automatic request parameter
 parsing and conversion, automatic session management, scoped variables
 (application, request and session), internationalisation and keep-alive.
 .
 Logging is done through cxxtools, which provides a unique API for
 log4cpp, log4cxx or simple logging to files or console.
 .
 Tntnet is fully multithreaded and much work has been gone into making
 it scalable. It uses a dynamic pool of worker threads, which answer
 requests from HTTP clients.

Package: libtogl-dev
Description-md5: 859016aa95e250693b9d7dfd1bd118a8
Description-en: Tk OpenGL widget - development files
 Togl is a Tk widget for OpenGL rendering. Togl was originally
 based on OGLTK, written by Benjamin Bederson at the University
 of New Mexico. Togl's main features
 are:
 .
  * unifies Microsoft Windows, X11 (Linux/IRIX/...), and Mac OS X
    Aqua support
  * support for requesting stencil, accumulation, alpha buffers, etc.
  * multiple OpenGL drawing windows
  * simple stereo rendering support
  * simple, portable font support
  * color-index mode support including color allocation functions
  * overlay plane support
  * OpenGL extension testing from Tcl
  * Tcl Extension Architecture (TEA) 3 compliant
 .
 This package contains development files for using optimized shared libraries.

Package: libtogl2
Description-md5: d67a4d0cd9b4a7703446598de93e3f39
Description-en: Tk OpenGL widget
 Togl is a Tk widget for OpenGL rendering. Togl was originally
 based on OGLTK, written by Benjamin Bederson at the University
 of New Mexico. Togl's main features
 are:
 .
  * unifies Microsoft Windows, X11 (Linux/IRIX/...), and Mac OS X
    Aqua support
  * support for requesting stencil, accumulation, alpha buffers, etc.
  * multiple OpenGL drawing windows
  * simple stereo rendering support
  * simple, portable font support
  * color-index mode support including color allocation functions
  * overlay plane support
  * OpenGL extension testing from Tcl
  * Tcl Extension Architecture (TEA) 3 compliant

Package: libtokyocabinet-perl
Description-md5: 8e8548c69e98d20aa0a690f53a545619
Description-en: Perl Binding of Tokyo Cabinet
 Tokyo Cabinet is a library of routines for managing a database.  The database
 is a simple data file containing records, each is a pair of a key and a value.
 Every key and value is serial bytes with variable length.  Both binary data
 and character string can be used as a key and a value.  There is neither
 concept of data tables nor data types.  Records are organized in hash table,
 B+ tree, or fixed-length array.

Package: libtokyotyrant-dev
Description-md5: 8e87e4faab6a6557056feb6319bf6cb9
Description-en: Tokyo Tyrant development files
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the development package for Tokyo Tyrant. It contains headers and
 libraries.

Package: libtokyotyrant3
Description-md5: 45d82c4d2dfcf21364c5c350901cf054
Description-en: Tokyo Tyrant runtime libraries
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the runtime package for programs that use the Tokyo Tyrant library.

Package: libtolua++5.1-dev
Description-md5: 41aa1cbae48f7052bc868a952759e917
Description-en: Extended tool to integrate C/C++ code with Lua
 tolua++5.1 is an extension of toLua, a tool to integrate C/C++ code with
 Lua. tolua++5.1 includes new features oriented to c++, such as class
 templates and is compiled with the newest lua 5.1.
 .
 Based on a "cleaned" header file, tolua++ automatically generates
 the binding code to access C/C++ features from Lua. Using Lua-5.1 API and
 metamethod facilities, the current version automatically maps C/C++
 constants, external variables, functions, namespace, classes, and methods
 to Lua. It also provides facilities to create Lua modules.

Package: libtolua-dev
Description-md5: 93977fd9aacea38c08a64362913814eb
Description-en: Tool to integrate C/C++ code with Lua - development files
 tolua is a tool that greatly simplifies the integration of C/C++ code with
 Lua. Based on a cleaned header file, tolua automatically generates the
 binding code to access C/C++ features from Lua. Using Lua API and tag
 method facilities, tolua maps C/C++ constants, external variables,
 functions, classes, and methods to Lua.

Package: libtomcat9-embed-java
Description-md5: 5c9b6ca5d7a3999869c0ddee9a4b2b87
Description-en: Apache Tomcat 9 - Servlet and JSP engine -- embed libraries
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Oracle, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the libraries required to embed Tomcat into Java
 applications.

Package: libtomcat9-java
Description-md5: 00d5ea98b1d596ecf9152383a3eafc0d
Description-en: Apache Tomcat 9 - Servlet and JSP engine -- core libraries
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Oracle, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the Tomcat core classes which can be used by other
 Java applications to embed Tomcat.

Package: libtomcatjss-java
Description-md5: 2c313a56285c26856b2c86e9910d0439
Description-en: JSSE implementation using JSS for Tomcat
 A Java Secure Socket Extension (JSSE) implementation
 using Java Security Services (JSS) for Tomcat 8.

Package: libtomcrypt-dev
Description-md5: 43e4a8e08af16bf6fe526984bfb05514
Description-en: static library, header files and documentation for libtomcrypt
 LibTomCrypt is a well documented, public domain open source cryptographic
 toolkit written in C. It supports lots of block ciphers (Blowfish, Twofish,
 AES, XTEA, ...), chaining modes (ECB, CBC, OFB, CFB, CTR, ...), hash functions
 (MD5, SHA-1, SHA-256, RIPE-MD, ...), HMAC mechanisms, pseudo-random number
 generators and public key algorithms. It has several optimizations for the
 i386, x86_64 and ppc32 architectures.
 .
 This package contains include files and a statically linked version of the
 library.

Package: libtomcrypt1
Description-md5: 6e4eefc8b6dbe90ec472a54d8c5f7408
Description-en: public domain open source cryptographic toolkit
 LibTomCrypt is a well documented, public domain open source cryptographic
 toolkit written in C. It supports lots of block ciphers (Blowfish, Twofish,
 AES, XTEA, ...), chaining modes (ECB, CBC, OFB, CFB, CTR, ...), hash functions
 (MD5, SHA-1, SHA-256, RIPE-MD, ...), HMAC mechanisms, pseudo-random number
 generators and public key algorithms. It has several optimizations for the
 i386, x86_64 and ppc32 architectures.

Package: libtoml-parser-perl
Description-md5: a3d3ad5a0315f542177ca057666fdb20
Description-en: simple toml parser
 TOML::Parser is a simple toml parser.
 .
 This data structure complies with the tests provided at
 https://github.com/mojombo/toml/tree/master/tests.

Package: libtoml-perl
Description-md5: 8064b93485b50249aff3f88bc4f43954
Description-en: Perl module for reading and writing TOML files
 TOML implements a parser for Tom's Obvious, Minimal Language,
 as defined at https://github.com/mojombo/toml.
 .
 TOML aims to be a minimal configuration file format that is easy
 to read due to obvious semantics.
 It is designed to map unambiguously to a hash table and it is
 easy to parse into data structures in a wide variety of languages.

Package: libtomoyotools3
Description-md5: f350ea7322eca7ccb567251db612478a
Description-en: lightweight Linux Mandatory Access Control system - library
 TOMOYO Linux is a lightweight and easy-to-use path-based Mandatory
 Access Control (MAC) implementation with:
  * automatic policy configuration via "learning" mode;
  * an administrator-friendly policy language;
  * no need for SELinux, or userland program modifications.
 .
 This package provides the shared library used by the utilities in the
 tomoyo-tools package.

Package: libtonezone-dev
Description-md5: ac6918413755537822c2a45291c1e97c
Description-en: tonezone library (development)
 A library for generating tones to be sent through the DAHDI telephony
 interface.
 .
 This package contains the development files.

Package: libtonezone2.0
Description-md5: 2eb90ac649a5faf8646cdcfd503e6640
Description-en: tonezone library (runtime)
 A library for generating tones to be sent through the DAHDI telephony
 interface.
 .
 This package contains the runtime library files.

Package: libtoolkit-perl
Description-md5: 2c6598028db0ebca2fc5126eee53d722
Description-en: Keep your handy modules organized
 The Toolkit module provides a standard location to store modules that you use
 all the time, and then loads them for you automatically. For example, instead
 of always writing:
 .
  use strict;
  use warnings;
  use Carp;
  use Smart::Comments;
 .
 in every program/module, you can just write:
 .
  use Toolkit;
 .
 and put all your favorite modules in a file.

Package: libtools-analyzer-clojure
Description-md5: cc20c43c0a0db2e9b228e6bc570c1409
Description-en: analyzer for host agnostic Clojure code
 An analyzer for host agnostic Clojure code, written in Clojure and producing
 AST in EDN.
 The analyzer in this library should not to be used directly as it lacks any
 knowledge about host-specific special forms and it should only be considered
 as a building platform for host-specific analyzers

Package: libtools-analyzer-jvm-clojure
Description-md5: 28ec98e4f758288b653fb865a6ea6a68
Description-en: analyzer for Clojure code providing additional jvm-specific passes
 An analyzer for Clojure code, written on top of tools.analyzer, providing
 additional jvm-specific passes.

Package: libtools-cli-clojure
Description-md5: a27cfcd7228c16e29b260d9441903081
Description-en: command line argument parser for Clojure
 tools.cli is a command-line argument parser for Clojure. It currently
 supports:
 .
  *Multiple switches per option.
  *Option description.
  *Default values for options.
  *Boolean flags.

Package: libtools-logging-clojure
Description-md5: e0a9f0a5694fac2b8121c69af0cd46de
Description-en: Logging macros for Clojure
 Logging macros for Clojure, which delegate to a specific logging
 implementation. At runtime a specific implementation is selected from, in
 order, slf4j, Apache commons-logging, log4j, and finally java.util.logging.
 .
 This was formerly clojure.contrib.logging before modular contrib projects.

Package: libtools-macro-clojure
Description-md5: 0df2b9e367c84286b47463516386b88a
Description-en: Clojure tools for writing macros
 This implements tools for writing macros.
 .
 This is one of the Clojure standard libraries.

Package: libtools-namespace-clojure
Description-md5: 22ba6911032e226e09906c77407b9344
Description-en: tools for managing namespaces in Clojure
 A set of tools for managing namespaces in Clojure. Parse `ns` declarations
 from source files, extract their dependencies, build a graph of namespace
 dependencies within a project, update that graph as files change, and
 reload files in the correct order.
 .
 Note that tools.namespace only manages namespace dependencies within a single
 project and is not a substitute for tools like leiningen or maven.

Package: libtools-nrepl-clojure
Description-md5: b8dd500a60802e6109608ff6e24c08de
Description-en: Clojure network REPL
 tools.nrepl provides a REPL server and client, along with some common APIs of
 use to IDEs and other tools that may need to evaluate Clojure code in remote
 environments.

Package: libtools-reader-clojure
Description-md5: 96b907ae0847ddba604802aef5ea0170
Description-en: complete Clojure and EDN-only reader
 clojure.tools.reader offers all functionality of the reader from
 clojure-1.7.0, and more. Using reader types provided by this library, column
 info is available and both line and column metadata is attached to multiple
 datatypes.

Package: libtools-trace-clojure
Description-md5: 6dbe0afe71f6ac3943840c1c43885299
Description-en: Clojure tracing facility in Clojure
 A Clojure trace tool. Defines tracing macros/fns to help you see what your
 code is doing. Formerly known as clojure.contrib.trace.

Package: libtophide-ocaml-dev
Description-md5: 856727ebee95a7cf940c400b43f1eaa2
Description-en: hide values that start with '_' in OCaml toplevel
 This library hides toplevel values whose name starts with an underscore. This
 is useful for some Camlp4 syntax extensions that produce lots of global
 identifiers that should remain hidden.

Package: libtopic-tools-dev
Description-md5: 5b310e7e5ff114516bc14855859a9249
Description-en: development files for libtopic-tools (Robot OS)
 This package is part of Robot OS (ROS). It contains development files
 for the libtopic-tools library, which provides tools for directing,
 throttling, selecting, and otherwise messing with ROS topics at a
 meta level.
 .
 This contains the development files

Package: libtopic-tools1d
Description-md5: 6b25192d4f09de52d80f7ebe5ba8d2c7
Description-en: library for messing with Robot OS topics
 This package is part of Robot OS (ROS). Tools for directing,
 throttling, selecting, and otherwise messing with ROS topics at a
 meta level. None of the programs in this package actually know about
 the topics whose streams they are altering; instead, these tools deal
 with messages as generic binary blobs. This means they can be applied
 to any ROS topic.
 .
 This package contains the library.

Package: libtopkg-ocaml-dev
Description-md5: dbb6f35fc84e6474f82b3608f59f80ca
Description-en: packager for OCaml software
 Topkg provides an API to describe the files a package installs in a
 given build configuration and to specify information about the
 package's distribution creation and publication procedures. Many
 OCaml packages depend on topkg for building and packaging.

Package: libtorch3-dev
Description-md5: 97624bfafba0dbe54105f0799a38e8b7
Description-en: State of the art machine learning library - development files
 Torch is a machine-learning library, written in C++.  Its aim is to
 provide the state-of-the-art of the best algorithms.
 .
  * Many gradient-based methods, including multi-layered perceptrons,
    radial basis functions, and mixtures of experts.  Many small "modules"
    (Linear module, Tanh module, SoftMax module, ...) can be plugged
    together.
  * Support Vector Machine, for classification and regression.
  * Distribution package, includes Kmeans, Gaussian Mixture Models,
    Hidden Markov Models, and Bayes Classifier, and classes for speech
    recognition with embedded training.
  * Ensemble models such as Bagging and Adaboost.
  * Non-parametric models such as K-nearest-neighbors, Parzen Regression
    and Parzen Density Estimator.
 .
 This package is the Torch development package (header files and
 static library.)

Package: libtorch3c2
Description-md5: 329693d5991c8e9e703b83dc6cb3ed06
Description-en: State of the art machine learning library - runtime library
 Torch is a machine-learning library, written in C++.  Its aim is to
 provide the state-of-the-art of the best algorithms for
 machine-learning.
 .
  * Many gradient-based methods, including multi-layered perceptrons,
    radial basis functions, and mixtures of experts.  Many small "modules"
    (Linear module, Tanh module, SoftMax module, ...) can be plugged
    together.
  * Support Vector Machine, for classification and regression.
  * Distribution package, includes Kmeans, Gaussian Mixture Models,
    Hidden Markov Models, and Bayes Classifier, and classes for speech
    recognition with embedded training.
  * Ensemble models such as Bagging and Adaboost.
  * Non-parametric models such as K-nearest-neighbors, Parzen Regression
    and Parzen Density Estimator.
 .
 This package is the Torch runtime library.

Package: libtorrent-dev
Description-md5: f80a46b339a0f082a22024cbd602e1e7
Description-en: C++ BitTorrent library by Rakshasa (development files)
 LibTorrent is a BitTorrent library written in C++ for *nix. It is
 designed to avoid redundant copying and storing of data that other
 clients and libraries suffer from.
 .
 This package contains the files needed to compile and link programs
 which use LibTorrent.

Package: libtorrent-rasterbar-dbg
Description-md5: 1f20bf93bd9cfa1b78cc63ad3dd388a3
Description-en: Debug symbols for libtorrent-rasterbar
 Bittorrent library by Rasterbar Software (Arvid Norberg).
 libtorrent-rasterbar is a C++ library that aims to be a good alternative to
 all the other bittorrent implementations around.
 .
 The main goals of libtorrent-rasterbar are:
  * to be cpu efficient
  * to be memory efficient
  * to be very easy to use
 .
 This package contains debugging symbols.

Package: libtorrent-rasterbar-dev
Description-md5: 705ada813b82d11c67efa98c9c4ca92d
Description-en: Development files for libtorrent-rasterbar
 Bittorrent library by Rasterbar Software (Arvid Norberg).
 libtorrent-rasterbar is a C++ library that aims to be a good alternative to
 all the other bittorrent implementations around.
 .
 The main goals of libtorrent-rasterbar are:
  * to be cpu efficient
  * to be memory efficient
  * to be very easy to use
 .
 This package contains header files and static version of the library.

Package: libtorrent-rasterbar-doc
Description-md5: 73e12f09fdc69aff638cb9fc1456982b
Description-en: Documentation for libtorrent-rasterbar
 Bittorrent library by Rasterbar Software (Arvid Norberg).
 libtorrent-rasterbar is a C++ library that aims to be a good alternative to
 all the other bittorrent implementations around.
 .
 The main goals of libtorrent-rasterbar are:
  * to be cpu efficient
  * to be memory efficient
  * to be very easy to use
 .
 This package contains documentation and example files.

Package: libtorrent-rasterbar9
Description-md5: 2eaccb98576b5d83c868c53992a012f8
Description-en: C++ bittorrent library by Rasterbar Software
 Bittorrent library by Rasterbar Software (Arvid Norberg).
 libtorrent-rasterbar is a C++ library that aims to be a good alternative to
 all the other bittorrent implementations around.
 .
 The main goals of libtorrent-rasterbar are:
  * to be cpu efficient
  * to be memory efficient
  * to be very easy to use
 .
 This package contains libtorrent-rasterbar run time shared library.

Package: libtorrent21
Description-md5: f64558ce33a3049640e3f325f06f8671
Description-en: C++ BitTorrent library by Rakshasa
 LibTorrent is a BitTorrent library written in C++ for *nix. It is
 designed to avoid redundant copying and storing of data that other
 clients and libraries suffer from.

Package: libtotem-plparser-videosite
Description-md5: e7a6f4eed57ad27f2b0990012d186911
Description-en: Totem Playlist Parser library - videosite helper
 totem-pl-parser is a simple GObject-based library to parse a host of
 playlist formats, as well as save those.
 .
 This package contains the videosite helper.

Package: libtowitoko-dev
Description-md5: 6f8d143568479a4b8421a7a0ec7a1d84
Description-en: Towitoko smartcard reader CT-API development files
 This package contains the files needed for compiling and linking
 an application that communicates to the Towitoko smartcard
 reader through the CT-API interface

Package: libtowitoko2
Description-md5: 46498a08df42f833e7889bc01085708f
Description-en: Towitoko smartcard reader PCSC and CT-API driver
 This library provides CT-API and PCSC IFD handler implementation
 for the Towitoko smartcard readers.
 .
 This package is needed to communicate with the Towitoko
 smartcard reader through the PCSC Lite resource manager.

Package: libtoxcore-dev
Description-md5: e8276e2938eaac81f43bee33c1d6c73a
Description-en: Distributed, secure messenger - development headers
 Tox provides an encrypted, distributed, and extensible peer-to-peer platform
 that can be used for various forms of communication. Tox is intended to be used
 as a multimedia messenger, but many people have shown that Tox can be used for
 a lot more than just instant messaging.
 .
 This package contains the development headers.

Package: libtoxcore2
Description-md5: f9f98e79255ba7b37fa39bfa6cf0de06
Description-en: Distributed, secure messenger
 Tox provides an encrypted, distributed, and extensible peer-to-peer platform
 that can be used for various forms of communication. Tox is intended to be used
 as a multimedia messenger, but many people have shown that Tox can be used for
 a lot more than just instant messaging.

Package: libtpl-dev
Description-md5: eeeedfd104c9953f3f0064e684f26a53
Description-en: efficient C serialization library - development files
 Tpl is a library for serializing C data. The data is stored in its
 natural binary form. The API is small and tries to stay "out of the
 way". Tpl can serialize many C data types, including structures.
 .
 Tpl makes a convenient file format. For example, suppose a program
 needs to store a list of user names and ids. This can be expressed
 using the format string "A(si)". If the program needs two such lists
 (say, one for regular users and one for administrators) this could be
 expressed as "A(si)A(si)". It is easy to read and write this kind of
 structured data using tpl.
 .
 Tpl can also be used as an IPC message format. It handles byte order
 issues and deframing individual messages off of a stream
 automatically.

Package: libtpl0
Description-md5: bebb25ac947bb62a12fdbc3247d027b3
Description-en: efficient C serialization library
 Tpl is a library for serializing C data. The data is stored in its
 natural binary form. The API is small and tries to stay "out of the
 way". Tpl can serialize many C data types, including structures.
 .
 Tpl makes a convenient file format. For example, suppose a program
 needs to store a list of user names and ids. This can be expressed
 using the format string "A(si)". If the program needs two such lists
 (say, one for regular users and one for administrators) this could be
 expressed as "A(si)A(si)". It is easy to read and write this kind of
 structured data using tpl.
 .
 Tpl can also be used as an IPC message format. It handles byte order
 issues and deframing individual messages off of a stream
 automatically.

Package: libtpm-unseal-dev
Description-md5: 74e97a1a1239066889dcbd333c3c02fa
Description-en: Management tools for the TPM hardware (development)
 tpm-tools is a group of tools to manage and utilize the Trusted Computing
 Group's TPM hardware. TPM hardware can create, store and use RSA keys
 securely (without ever being exposed in memory), verify a platform's
 software state using cryptographic hashes and more.
 .
 This package contains the development files.

Package: libtpm-unseal1
Description-md5: aef77e92adc6d98676ff39e73a8f4102
Description-en: Management tools for the TPM hardware (library)
 tpm-tools is a group of tools to manage and utilize the Trusted Computing
 Group's TPM hardware. TPM hardware can create, store and use RSA keys
 securely (without ever being exposed in memory), verify a platform's
 software state using cryptographic hashes and more.
 .
 This package contains the library.

Package: libtr-tid2
Description-md5: 8870f818798a16af7d8cc7a36d63abff
Description-en: Moonshot Temporary Identity  Shared Libraries
 Moonshot allows services using GSS-API applications to gain federated
 access to identities provided by other organizations.  Moonshot uses
 EAP and RADIUS over TLS for authentication and federation and SAML
 for enhanced attribute exchange.
 .
 This package provides the trust router's Temporary Identity Protocol
 client and server library.  The trust router provides authenticated
 key exchange so that RADIUS proxies in one organization can connect
 to RADIUS servers (providing identities) in another organization.

Package: libtrace-tools
Description-md5: c44ec317d2c91ab4e9a12df2dd4d90c1
Description-en: helper utilities for use with the libtrace process library
 libtrace is a library for trace processing. These tools perform many common
 tasks that are required when analysing and manipulating network traces.
 .
 Multiple input methods and formats are supported including device capture,
 raw and gz-compressed traces, and sockets.
 .
 libtrace is developed by the WAND Network Research Group at Waikato
 University in New Zealand.

Package: libtrace3
Description-md5: 195e67919a5e5cf1c9abc08de9e8675a
Description-en: network trace processing library supporting many input formats
 libtrace is a library for trace processing. It supports multiple input
 methods, including device capture, raw and gz-compressed trace, and sockets;
 and multiple input formats.
 .
 libtrace is developed by the WAND Network Research Group at Waikato
 University in New Zealand.

Package: libtrace3-dev
Description-md5: 96c63bea37797a0f66ca2a4bf004bd46
Description-en: development headers for the libtrace network processing library
 This package contains development headers and other ancillary files for
 the libtrace library.
 .
 libtrace is a library for trace processing. It supports multiple input
 methods, including device capture, raw and gz-compressed trace, and sockets;
 and multiple input formats, including pcap and DAG.
 .
 libtrace is developed by the WAND Network Research Group at Waikato
 University in New Zealand.

Package: libtraildb-dev
Description-md5: 982af7de52811e1ed044721f3f75a302
Description-en: library to handle series of discrete events (development files)
 TrailDB is a highly optimized database library, written in C, which allows you
 to store and query trillions of discrete events quickly. In contrast to many
 other time-series databases, TrailDB focuses on discrete data, like events in
 a log file, which it can compress efficiently using a custom compression
 algorithm.
 .
 This package contains development files for libtraildb.

Package: libtraildb0
Description-md5: 472b9c543725e9acc06637504aa4ada1
Description-en: library to handle series of discrete events
 TrailDB is a highly optimized database library, written in C, which allows you
 to store and query trillions of discrete events quickly. In contrast to many
 other time-series databases, TrailDB focuses on discrete data, like events in
 a log file, which it can compress efficiently using a custom compression
 algorithm.
 .
 This package contains library of traildb.

Package: libtrajectory-msgs-dev
Description-md5: 748ae2600fd6422cd9179db04c602e61
Description-en: Messages relating to Robot OS trajectory, C/C++ interface
 This package is part of Robot OS (ROS), and provides messages for
 defining robot trajectories. These messages are also the building
 blocks of most of the https://wiki.ros.org/control_msgs
 .
 This package contains the generated headers files.

Package: libtrampoline1
Description-md5: a4950779db1a74b824f048d187bb6628
Description-en: foreign function call libraries - closures in C (non-reentrant variant)
 ffcall is a collection of libraries which can be used to build
 foreign function call interfaces in embedded interpreters.
 .
 This package installs a shared library version of the trampoline library,
 which implements closures as first-class C functions. This is a non-reentrant
 variant of part of the 'callback' library.

Package: libtrang-java
Description-md5: 6813b85bdcf4bcb8fa3e99cb0e15923e
Description-en: XML schema converter - Java library
 Trang converts between different schema languages for XML. It supports the
 following languages:
  - RELAX NG (XML syntax)
  - RELAX NG compact syntax
  - XML 1.0 DTDs
  - W3C XML Schema
 .
 This package contains the Java library

Package: libtranscript-dev
Description-md5: 755763fbd5cde2113d29fd429dd6846c
Description-en: Development files for libtranscript
 libtranscript is a character-set conversion library, much like the iconv set of
 functions. The library allows great control over the conversions. It was
 designed for use in programs which use Unicode internally, using UTF-8, UTF-16
 or UTF-32/UCS-4.
 .
 This package contains the header files to compile programs against
 libtranscript, and to develop converters for use in libtranscript. It also
 contains the ucm2ltc program to create compilable converters from .ucm files.

Package: libtranscript1
Description-md5: c60121578280f1acdaf0d1cf44525071
Description-en: Character set conversion library
 libtranscript is a character-set conversion library, much like the iconv set of
 functions. The library allows great control over the conversions. It was
 designed for use in programs which use Unicode internally, using UTF-8, UTF-16
 or UTF-32/UCS-4.
 .
 libtranscript currently provides converters for the following encodings:
 .
  - Unicode UTF-{7,8,16LE,16BE,32LE,32BE}, CESU-8, GB-18030.
  - ISO-8859-{1,2,3,4,5,6,7,7-2003,8,9,10,11,13,14,15,16}.
  - Windows-125{1,2,3,4,5,6,7,8}.
  - KOI8-{R,U,RU}.
  - ISO-2022-{JP,JP2,JP3,JP2004,KR,CN,CN-EXT}.
  - EUC-{CN,JP,JIS-2004,KR,TW}, Windows-949.
  - Big5, Big5-HKSCS, Windows-950.
  - Shift-JIS, Shift-JISX0213, Shift-JIS-2004, Windows-932.
  - JIS-X-201.
  - Window-936.
  - VISCII.
  - IBM-{37,437,1047}.

Package: libtransmission-client-perl
Description-md5: 4ff1441e4def1a2ca92dc07eb4882b3a
Description-en: Perl interface to Transmission
 Transmission is a cross-platform BitTorrent client that is:
  * Easy
  * Lean
  * Native
  * Powerful
  * Free
 .
 Transmission::Client is the main module in a collection of modules
 to communicate with Transmission.

Package: libtrapperkeeper-clojure
Description-md5: c5bec8675cc9b89e08c2cf9b95f993da
Description-en: framework for configuring, composing and running Clojure services
 Trapperkeeper is a Clojure framework for hosting long-running applications and
 services. It acts as a sort of "binder" for Ring applications and other
 modular bits of Clojure code.

Package: libtrapperkeeper-metrics-clojure
Description-md5: 186e3309b26ffc5103d81513b5e645d3
Description-en: Trapperkeeper Metrics Service
 trapperkeeper-metrics is a library intended to help make it easier to track
 metrics in other Trapperkeeper applications. It includes:
 .
  * a TK service that manages the life cycle of your metrics registry
  * config-driven control of metrics and metrics reporting
  * other miscellaneous utility functions for working with metrics

Package: libtrapperkeeper-scheduler-clojure
Description-md5: 3de8f52205875d97d6e9ce879f0ea065
Description-en: Trapperkeeper service for scheduling background tasks
 Trapperkeeper-scheduler is a Trapperkeeper service that provides a simple API
 for scheduling potentially recurring background tasks. Other Trapperkeeper
 services may specify a dependency on the Scheduler service and then use its
 functions to schedule and cancel jobs to be run on background.

Package: libtrapperkeeper-status-clojure
Description-md5: d28b00e316b7d3e49d5bbc4fdc9e5b0e
Description-en: status monitoring for trapperkeeper services
 The Trapperkeeper Status Service is a Trapperkeeper service that provides a
 web endpoint for getting status information for a Trapperkeeper-based
 application.
 .
 Other Trapperkeeper services may register a status callback function with
 the Status Service, returning any kind of status information that is relevant
 to the consuming service. The Status Service will make this information
 available via HTTP, in a consistent, consolidated format. This makes it
 possible for users to automate monitoring and other tasks around the system.

Package: libtrapperkeeper-webserver-jetty9-clojure
Description-md5: 73eac4f589e5eb757b263af187c894fa
Description-en: trapperkeeper webserver service
 trapperkeeper-webserver-jetty9 provides a webserver service for use with the
 trapperkeeper service framework, utilizing the Jetty 9 Java web server.

Package: libtravel-routing-de-vrr-perl
Description-md5: 84cf519ff0dfae864068e69e699e0709
Description-en: unofficial interface to the efa.vrr.de German itinerary service
 Travel::Routing::DE::VRR is a client for the efa.vrr.de web interface. You
 pass it the start/stop of your journey, maybe a time and a date and more
 details, and it returns the up-to-date scheduled connections between those
 two stops.
 .
 Travel::Routing::DE::VRR uses the included Travel::Routing::DE::EFA which is
 a generic interface to various EFA-based itinerary services.

Package: libtre-dev
Description-md5: 013af04fa5efdf93e15f7377ba9258bc
Description-en: development package for the libtre5 regexp matching library
 This package contains the header files and static libraries required
 to develop programs based on the TRE library. TRE is a lightweight,
 robust, and efficient POSIX compliant regexp matching library with
 some exciting features such as approximate matching.

Package: libtre5
Description-md5: 96072d5ac80a3f3a47affc64b536b450
Description-en: regexp matching library with approximate matching
 TRE is a lightweight, robust, and efficient POSIX compliant regexp
 matching library with some exciting features such as approximate
 matching.

Package: libtree-dagnode-perl
Description-md5: ad34734ff2b960a0ef7c58c459cee35c
Description-en: Perl (super)class for representing nodes in a tree
 Tree::DAG_Node is a (super)class for representing nodes in a tree.
 .
 This class encapsulates/makes/manipulates objects that represent nodes
 in a tree structure.  The tree structure is not an object itself, but
 is emergent from the linkages you create between nodes.  This class
 provides the methods for making linkages that can be used to build up
 a tree, while preventing you from ever making any kinds of linkages
 which are not allowed in a tree (such as having a node be its own
 mother or ancestor, or having a node have two mothers).

Package: libtree-multinode-perl
Description-md5: b1f0f6c3c993bf3c80c3e47159c2d999
Description-en: a multi node tree object
 Tree::MultiNode, Tree::MultiNode::Node, and MultiNode::Handle
 of objects are written to model the Tree heirarchical structure.
 Each child object can be the tree itself. The tree has no
 internal sorting, though all operations perserve the order
 of the child nodes.

Package: libtree-perl
Description-md5: 738eaeb6bc4be6afb974016ec68ef7b8
Description-en: N-ary tree Perl module derived from Tree::Simple
 This is meant to be a full-featured N-ary tree representation with
 configurable error-handling and a simple events system that allows for
 transparent persistence to a variety of datastores. It is derived from
 Tree::Simple, but has a simpler interface and much, much more.

Package: libtree-r-perl
Description-md5: e130da1b117974c854bebf2a6f9c99ac
Description-en: Perl extension for the R-tree data structure and algorithms
 R-tree is a data  structure for storing, indexing and efficiently
 looking up non-zero-size spatial objects. This is a native Perl module
 to manage an R-tree index implementation.

Package: libtree-rb-perl
Description-md5: 9eeebec00f404625d4ddd7320aed9995
Description-en: Perl implementation of the Red/Black tree
 Tree::RB is a Pure-Perl implementation of the Red/Black tree, a type of
 balanced binary search tree.
 .
 A tied hash interface is also provided to allow ordered hashes to be used.
 .
 See the Wikipedia article at http://en.wikipedia.org/wiki/Red-black_tree for
 more on Red/Black trees.

Package: libtree-redblack-perl
Description-md5: 244c97cfc49ad76158ce8c01b0cd2297
Description-en: Perl implementation of Red/Black tree, a balanced tree
 Tree::RedBlack is a demonstration package, showing the performance
 differences between different methods of data storage and
 accessing. It contains a perl implementation of the Red/Black tree
 algorithm found in the book "Algorithms", by Cormen, Leiserson &
 Rivest (more commonly known as "CLR" or "The White Book").  A
 Red/Black tree is a binary tree which remains "balanced"- that is,
 the longest length from root to a node is at most one more than the
 shortest such length.  It is fairly efficient; no operation takes
 more than O(lg(n)) time.
 .
 An example perl script is contained in the documentation directory,
 which would show the different run times using hash table, linear or
 tree structure.

Package: libtree-simple-perl
Description-md5: 63a6bc9ebc72956f48cd0a3f4edce0bc
Description-en: implementation of a simple tree object
 Tree::Simple is a fully object-oriented implementation of a simple
 n-ary tree. It is built upon the concept of parent-child
 relationships, so therefore every Tree::Simple object has both a
 parent and a set of children (who themselves may have children, and so
 on). Every Tree::Simple object also has siblings, as they are just the
 children of their immediate parent.
 .
 It can be used to model hierarchal information such as a file-system,
 the organizational structure of a company, an object inheritance
 hierarchy, versioned files from a version control system or even an
 abstract syntax tree for use in a parser. It makes no assumptions as
 to your intended usage, but instead simply provides the structure and
 means of accessing and traversing said structure.
 .
 This module uses exceptions and a minimal Design By Contract
 style. All method arguments are required unless specified in the
 documentation, if a required argument is not defined an exception will
 usually be thrown. Many arguments are also required to be of a
 specific type, for instance the $parent argument to the constructor
 must be a Tree::Simple object or an object derived from Tree::Simple,
 otherwise an exception is thrown.

Package: libtree-simple-visitorfactory-perl
Description-md5: 8552134f68041cf8cd60eea7f3b363e7
Description-en: collection of dispensing visitor objects for Tree::Simple
 The Tree::Simple::VisitorFactory module contains a number of visitor objects
 which can perform various tasks on Tree::Simple objects.
 .
 The following visitors are included:
  * PathToRoot: find the path back to the tree's root node
  * FindByPath: find the node specified by a path
  * FindByUID: find the node with the same UID
  * FindByNodeValue: find the node with the same node value
  * BreadthFirstTraversal: implements a breadth-first traversal
  * PostOrderTraversal: implements a post-order traversal
  * PreOrderTraversal: implements a pre-order traversal
  * LoadDirectoryTree: load a directory tree into a Tree::Simple hierarchy
  * CreateDirectoryTree: create a set of directories and files from a
    Tree::Simple hierarchy
  * FromNestedArray: create a Tree::Simple hierarchy from nested arrays
  * ToNestedArray: create a nested array construct from a Tree::Simple hierarchy
  * Tree::Simple::Visitor::VariableDepthClone: clone parts of Tree hierarchies
  * FromNestedHash: create a Tree::Simple hierarchy from nested hashes
  * ToNestedHash: create a nested hash construct from a Tree::Simple hierarchy
  * LoadClassHierarchy: create a Tree::Simple hierarchy which models the classes
    inheritance hierarchy
  * GetAllDescendents: return all the descendents recursively on down the
    hierarchy
  * Sort: implements a multi-level sort of a Tree::Simple hierarchy

Package: libtree-xpathengine-perl
Description-md5: e194089405c569bff282e6d0413ab058
Description-en: re-usable XPath engine
 Tree::XPathEngine provides an XPath engine, that can be re-used by other
 module/classes that implement trees.
 .
 It is designed to be compatible with Class::XPath, ie it passes its tests if
 you replace Class::XPath by Tree::XPathEngine.

Package: libtreelayout-java
Description-md5: f04200bd471127011cce8523e98c4667
Description-en: Efficient and customizable TreeLayout Algorithm in Java
 The TreeLayout creates tree layouts for arbitrary trees. It is not restricted
 to a specific output or format, but can be used for any kind of two dimensional
 diagram. Examples are Swing based components, SVG files, and many more. This is
 possible because TreeLayout separates the layout of a tree from the actual
 rendering.

Package: libtreil-dev
Description-md5: ad65696bc7d1b4b14c26204da82db010
Description-en: Development library for treil (tree structure into tiles)
 Treil is a utility which produces an image of tiles from tree structure.
 This is a development library and a header file for treil.

Package: libtreil0
Description-md5: d97b746d6be3ad9ec70e647c276f0d7d
Description-en: Shared library for treil (tree structure into tiles)
 Treil is a utility which produces an image of tiles from tree structure.
 This is a runtime shared library for treil.

Package: libtrident-java
Description-md5: 823cb2d0f39f91cb940c9fa64aa92f15
Description-en: Animation library for Java applications
 The main goal of Trident project is to provide a general
 purpose animation library for Java applications. Animations
 are a natural fit for modern client applications, and
 Trident has special built-in support for Java based UI
 toolkits such as Swing and SWT.
 The classes for the Android are not included.

Package: libtrident-java-doc
Description-md5: 441eac6f28803850b43357df15cb7d99
Description-en: Animation library for Java applications (documentation)
 The main goal of Trident project  is to provide a general
 purpose animation library for Java applications. Animations
 are a natural fit for modern client applications, and
 Trident has special built-in support for Java based UI
 toolkits such as Swing and SWT.
 .
 This package contains API documentation (Javadoc) for libtrident-java.

Package: libtrilead-putty-extension-java
Description-md5: a6043449500808093ec92ebc3f766e65
Description-en: PuTTY key support for Trilead SSH2 library
 This is a small library that allows you to programatically convert the
 PuTTY key file (.ppk) to the OpenSSH format.

Package: libtrilead-putty-extension-java-doc
Description-md5: 9924bfa62b93b0ed79b8dd65fff4068e
Description-en: Documentation for libtrilead-putty-extension-java
 This is a small library that allows you to programatically convert the
 PuTTY key file (.ppk) to the OpenSSH format.
 .
 This package provides the API documentation for
 libtrilead-putty-extension-java.

Package: libtrilead-ssh2-java
Description-md5: 488d9de16ca83b0e9cd4da930ffdd6e9
Description-en: Java SSH library
 Trilead SSH for Java is a freely available open-source library which
 implements the SSH-2 protocol in pure Java (tested on J2SE 1.4.2 and 5.0). It
 allows one to connect to SSH servers from within Java programs. It supports
 SSH sessions (remote command execution and shell access), local and remote
 port forwarding, local stream forwarding, X11 forwarding, SCP and SFTP. There
 are no dependencies on any JCE provider, as all crypto functionality is
 included.

Package: libtrilinos-amesos-dev
Description-md5: f8f2acf5ce5bff5b09ccf71a1e54b647
Description-en: direct sparse solver package - development files
 Amesos is the Direct Sparse Solver Package in Trilinos. The goal of Amesos is
 to make AX=B as easy as it sounds, at least for direct methods. Amesos
 provides clean and consistent interfaces to a number of third-party libraries.
 .
 This package provides headers.

Package: libtrilinos-amesos12
Description-md5: ecdf604e928f8a2c48753ae3dceaf38f
Description-en: direct sparse solver package - runtime files
 Amesos is the Direct Sparse Solver Package in Trilinos. The goal of Amesos is
 to make AX=B as easy as it sounds, at least for direct methods. Amesos
 provides clean and consistent interfaces to a number of third-party libraries.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-amesos2-12
Description-md5: 25ff7db1c75a65dd8b01ec2985ffd52a
Description-en: next generation direct sparse solver package - runtime files
 Amesos2 is a package for solving sparse linear systems using direct solvers.
 It differs from Amesos in that it is templated on the scalar and index types.
 KLU2 is the only native solver.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-amesos2-dev
Description-md5: 39a23ed3f04d52b3ed3fdfc6aea61718
Description-en: next generation direct sparse solver package - development files
 Amesos2 is a package for solving sparse linear systems using direct solvers.
 It differs from Amesos in that it is templated on the scalar and index types.
 KLU2 is the only native solver.
 .
 This package provides headers.

Package: libtrilinos-anasazi-dev
Description-md5: c197134c6b9ee41d314b1667af00b418
Description-en: large-scale eigenvalue algorithms - development files
 Anasazi is an extensible and interoperable framework for large-scale
 eigenvalue algorithms. The motivation for this framework is to provide a
 generic interface to a collection of algorithms for solving large-scale
 eigenvalue problems. Anasazi is interoperable because both the matrix and
 vectors (defining the eigenspace) are considered to be opaque objects -- only
 knowledge of the matrix and vectors via elementary operations is necessary.
 .
 This package provides headers.

Package: libtrilinos-anasazi12
Description-md5: c8716b04d6c68195db1d18505c6c8cc5
Description-en: large-scale eigenvalue algorithms - runtime files
 Anasazi is an extensible and interoperable framework for large-scale
 eigenvalue algorithms. The motivation for this framework is to provide a
 generic interface to a collection of algorithms for solving large-scale
 eigenvalue problems. Anasazi is interoperable because both the matrix and
 vectors (defining the eigenspace) are considered to be opaque objects -- only
 knowledge of the matrix and vectors via elementary operations is necessary.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-aztecoo-dev
Description-md5: 24607c09d41bc5654fc7f044deac8dbb
Description-en: object-oriented interface to the Aztec solver - development files
 AztecOO provides an object-oriented interface to the well-known Aztec solver
 library. Furthermore, it allows flexible construction of matrix and vector
 arguments via Epetra matrix and vector classes. Finally, AztecOO provide
 additional functionality not found in Aztec and any future enhancements to the
 Aztec package will be available only through the AztecOO interfaces.
 .
 This package provides headers.

Package: libtrilinos-aztecoo12
Description-md5: 62bd827b6fb3f1df93d48d5b802a60fd
Description-en: object-oriented interface to the Aztec solver - runtime files
 AztecOO provides an object-oriented interface to the well-known Aztec solver
 library. Furthermore, it allows flexible construction of matrix and vector
 arguments via Epetra matrix and vector classes. Finally, AztecOO provide
 additional functionality not found in Aztec and any future enhancements to the
 Aztec package will be available only through the AztecOO interfaces.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-belos-dev
Description-md5: e0533b482a721888c8c7525207f4a2a8
Description-en: iterative linear solvers - development files
 Belos provides next-generation iterative linear solvers and a powerful linear
 solver developer framework. This framework includes abstract interfaces to
 linear algebra using traits mechanisms, abstract interfaces to
 orthogonalization, abstract interfaces to iteration kernels, powerful solver
 managers, and a basic linear problem class.
 .
 This package provides headers.

Package: libtrilinos-belos12
Description-md5: a626c1ed63fbc92794142bc07f6bed71
Description-en: iterative linear solvers - runtime files
 Belos provides next-generation iterative linear solvers and a powerful linear
 solver developer framework. This framework includes abstract interfaces to
 linear algebra using traits mechanisms, abstract interfaces to
 orthogonalization, abstract interfaces to iteration kernels, powerful solver
 managers, and a basic linear problem class.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-epetra-dev
Description-md5: 9c94591657d85f06e6889021b5a09bf5
Description-en: basis package for linear algebra - development files
 Epetra provides the fundamental construction routines and services function
 that are required for serial and parallel linear algebra libraries. Epetra
 provides the underlying foundation for all Trilinos solvers.
 .
 This package provides headers.

Package: libtrilinos-epetra12
Description-md5: b1fb505913c86233acd15a07de1fe65e
Description-en: basis package for linear algebra - runtime files
 Epetra provides the fundamental construction routines and services function
 that are required for serial and parallel linear algebra libraries. Epetra
 provides the underlying foundation for all Trilinos solvers.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-epetraext-dev
Description-md5: bd85893c9b3421a8ce761dccebec6bc7
Description-en: extensions to the Epetra toolkit - development files
 EpetraExt provides tools and functions that make it easier to work with
 Epetra.
 .
 This package provides headers.

Package: libtrilinos-epetraext12
Description-md5: 262e737ffd9445222a1f58e9454d1064
Description-en: extensions to the Epetra toolkit - runtime files
 EpetraExt provides tools and functions that make it easier to work with
 Epetra.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-galeri-dev
Description-md5: c600c80aebfeff2cd907bbeccf18b497
Description-en: generation of distributed linear systems - development files
 The Trilinos package Galeri contains a suite of utilities and classes to
 generate a variety of (distributed) linear systems. Galeri's functionalities
 are very close to that of the MATLAB's gallery() function.
 Several well-known finite element and finite difference matrices can be
 generated using only a few simple code lines.
 .
 This package provides headers.

Package: libtrilinos-galeri12
Description-md5: 30e8a69aca2e319dbcfc0dc5dd684a31
Description-en: generation of distributed linear systems - runtime files
 The Trilinos package Galeri contains a suite of utilities and classes to
 generate a variety of (distributed) linear systems. Galeri's functionalities
 are very close to that of the MATLAB's gallery() function.
 Several well-known finite element and finite difference matrices can be
 generated using only a few simple code lines.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-globipack-dev
Description-md5: 145a0e48ea3eecebaa2c97c35ce58b28
Description-en: 1D globalization capabilities - development files
 The GlobiPack package contains a set of interfaces and implementations for 1D
 globalization capabilities to be used in nonlinear solvers, optimization
 solvers, and similar algorithms that require globalization methods (e.g. line
 search and trust region methods). Basic interfaces and a few implementations
 are present for 1D line search methods appropritate for Newton, quasi-Newton,
 and nonlinear CG algorithms.
 .
 This package provides headers.

Package: libtrilinos-globipack12
Description-md5: ca52474f77a062e313f1ba327601257e
Description-en: 1D globalization capabilities - runtime files
 The GlobiPack package contains a set of interfaces and implementations for 1D
 globalization capabilities to be used in nonlinear solvers, optimization
 solvers, and similar algorithms that require globalization methods (e.g. line
 search and trust region methods). Basic interfaces and a few implementations
 are present for 1D line search methods appropritate for Newton, quasi-Newton,
 and nonlinear CG algorithms.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-ifpack-dev
Description-md5: 9072d7c970f5aeed4b68f4eef97068a7
Description-en: algebraic preconditioners - development files
 IFPACK provides a suite of object-oriented algebraic preconditioners for the
 solution of preconditioned iterative solvers. IFPACK constructors expect an
 Epetra_RowMatrix object for construction. IFPACK is part of the Trilinos
 Solver Project and IFPACK object interact well with other Trilinos classes. In
 particular, IFPACK can be used as a preconditioner for AztecOO.
 .
 This package provides headers.

Package: libtrilinos-ifpack12
Description-md5: 43d4bcb24b48a33134f96791290b0571
Description-en: algebraic preconditioners - runtime files
 IFPACK provides a suite of object-oriented algebraic preconditioners for the
 solution of preconditioned iterative solvers. IFPACK constructors expect an
 Epetra_RowMatrix object for construction. IFPACK is part of the Trilinos
 Solver Project and IFPACK object interact well with other Trilinos classes. In
 particular, IFPACK can be used as a preconditioner for AztecOO.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-ifpack2-12
Description-md5: 0fec478c269aa8bcdf3a1c3288f8467a
Description-en: next generation algebraic preconditioners - runtime files
 Ifpack2 provides incomplete factorizations, relaxations, and domain
 decomposition operators for linear algebra objects (sparse matrices,
 operators, and dense vectors and multivectors) provided by the Tpetra package.
 You may use these operators however you wish: for example as preconditioners
 in an iterative solver, or as smoothers for algebraic multigrid.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-ifpack2-dev
Description-md5: b364924c4a6a0739087aa07eab934422
Description-en: next generation algebraic preconditioners - development files
 Ifpack2 provides incomplete factorizations, relaxations, and domain
 decomposition operators for linear algebra objects (sparse matrices,
 operators, and dense vectors and multivectors) provided by the Tpetra package.
 You may use these operators however you wish: for example as preconditioners
 in an iterative solver, or as smoothers for algebraic multigrid.
 .
 This package provides headers.

Package: libtrilinos-intrepid-dev
Description-md5: ae5af45401619ed281beb4ca5206c062
Description-en: compatible discretizations of PDEs - development files
 Intrepid is a library of interoperable tools for compatible discretizations of
 Partial Differential Equations (PDEs).  Intrepid is intended primarily for
 application developers who want to reuse large parts of their existing code
 frameworks such as I/O, data structures, assembly routines, etc. while gaining
 access to advanced discretization capabilities provided by Intrepid.
 .
 This package provides headers.

Package: libtrilinos-intrepid12
Description-md5: 2cb0943c4089f37303ed77429c4cb558
Description-en: compatible discretizations of PDEs - runtime files
 Intrepid is a library of interoperable tools for compatible discretizations of
 Partial Differential Equations (PDEs).  Intrepid is intended primarily for
 application developers who want to reuse large parts of their existing code
 frameworks such as I/O, data structures, assembly routines, etc. while gaining
 access to advanced discretization capabilities provided by Intrepid.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-intrepid2-12
Description-md5: f50e9a51c57c2f6bc1c4b6136615dcd8
Description-en: next generation compatible discretizations of PDEs - runtime files
 Intrepid2 is an extension of Intrepid, a library of interoperable tools for
 compatible discretizations of Partial Differential Equations (PDEs). Intrepid2
 utilizes Kokkos dynamic rank views as the default multidimensional array type,
 which enables the use of Intrepid2 on heterogeneous architectures.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-intrepid2-dev
Description-md5: 0acd449f3fcf8b8faa2a0136766d90cc
Description-en: next generation compatible discretizations of PDEs - development files
 Intrepid2 is an extension of Intrepid, a library of interoperable tools for
 compatible discretizations of Partial Differential Equations (PDEs). Intrepid2
 utilizes Kokkos dynamic rank views as the default multidimensional array type,
 which enables the use of Intrepid2 on heterogeneous architectures.
 .
 This package provides headers.

Package: libtrilinos-isorropia-dev
Description-md5: 41004d7e748422d6102b8135e2851fae
Description-en: partitioning, load balancing, coloring of sparse matrices - development files
 Isorropia is a package for combinatorial scientific computing, with focus on
 partitioning and load balancing, but also supports coloring and ordering of
 sparse matrices. Its main purpose is to assist with redistributing objects
 such as matrices and graphs in a parallel execution setting, to allow for more
 efficient computations. Isorropia partitions matrices by rows, and produces
 good maps for Epetra matrices (graphs).
 .
 This package provides headers.

Package: libtrilinos-isorropia12
Description-md5: 759585b2ca72a2d4b925d697e84c37b3
Description-en: partitioning, load balancing, coloring of sparse matrices - runtime files
 Isorropia is a package for combinatorial scientific computing, with focus on
 partitioning and load balancing, but also supports coloring and ordering of
 sparse matrices. Its main purpose is to assist with redistributing objects
 such as matrices and graphs in a parallel execution setting, to allow for more
 efficient computations. Isorropia partitions matrices by rows, and produces
 good maps for Epetra matrices (graphs).
 .
 This package contains the dynamic libraries.

Package: libtrilinos-kokkos-dev
Description-md5: 7463616d8e9cef7c865cfa168b783178
Description-en: Trilinos Kokkos programming model - development files
 Kokkos implements a programming model in C++ for writing performance portable
 applications targeting all major HPC platforms. For that purpose it provides
 abstractions for both parallel execution of code and data management.
 Kokkos is designed to target complex node architectures with N-level memory
 hierarchies and multiple types of execution resources. It currently can use
 OpenMP, Pthreads and CUDA as backend programming models.
 .
 This package provides headers.

Package: libtrilinos-kokkos-kernels-dev
Description-md5: b00711f74cacd4dbc89b16b5f3f6641b
Description-en: Kokkos local computational kernels - development files
 KokkosKernels implements local computational kernels for linear algebra and
 graph operations, using the Kokkos shared-memory parallel programming model.
 "Local" means not using MPI, or running within a single MPI process without
 knowing about MPI.  "Computational kernels" are coarse-grained operations;
 they take a lot of work and make sense to parallelize inside using Kokkos.
 KokkosKernels can be the building block of a parallel linear algebra library
 like Tpetra that uses MPI and threads for parallelism, or it can be used
 stand-alone in your application.
 .
 This package provides headers.

Package: libtrilinos-kokkos-kernels12
Description-md5: 75eaedb213964c7524d00bc1aaf9e78b
Description-en: Kokkos local computational kernels - runtime files
 KokkosKernels implements local computational kernels for linear algebra and
 graph operations, using the Kokkos shared-memory parallel programming model.
 "Local" means not using MPI, or running within a single MPI process without
 knowing about MPI.  "Computational kernels" are coarse-grained operations;
 they take a lot of work and make sense to parallelize inside using Kokkos.
 KokkosKernels can be the building block of a parallel linear algebra library
 like Tpetra that uses MPI and threads for parallelism, or it can be used
 stand-alone in your application.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-kokkos12
Description-md5: b16d4305e8001b6f3f9e125266bea0a8
Description-en: Trilinos Kokkos programming model - runtime files
 Kokkos implements a programming model in C++ for writing performance portable
 applications targeting all major HPC platforms. For that purpose it provides
 abstractions for both parallel execution of code and data management.
 Kokkos is designed to target complex node architectures with N-level memory
 hierarchies and multiple types of execution resources. It currently can use
 OpenMP, Pthreads and CUDA as backend programming models.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-komplex-dev
Description-md5: fc96ccf010c96fde724b5e473fb19aa4
Description-en: complex linear solver package - development files
 Komplex is a complex linear solver package. Solves complex-valued linear
 systems via equivalent real formulations.
 .
 This package provides headers.

Package: libtrilinos-komplex12
Description-md5: 5aed6e50f4f545275681e85aa496dbc4
Description-en: complex linear solver package - runtime files
 Komplex is a complex linear solver package. Solves complex-valued linear
 systems via equivalent real formulations.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-ml-dev
Description-md5: 95b52fe0b90a53881f62519965435547
Description-en: multigrid preconditioning - development files
 ML is Sandia's main multigrid preconditioning package. ML is designed to solve
 large sparse linear systems of equations arising primarily from elliptic PDE
 discretizations. ML is used to define and build multigrid solvers and
 preconditioners, and it contains black-box classes to construct
 highly-scalable smoothed aggregation preconditioners. ML preconditioners have
 been used on thousands of processors for a variety of problems, including the
 incompressible Navier-Stokes equations with heat and mass transfer, linear and
 nonlinear elasticity equations, the Maxwell equations, semiconductor
 equations, and more.
 .
 This package provides headers.

Package: libtrilinos-ml12
Description-md5: b88aa6a669e8bb97a7bbb0c5625bc371
Description-en: multigrid preconditioning - runtime files
 ML is Sandia's main multigrid preconditioning package. ML is designed to solve
 large sparse linear systems of equations arising primarily from elliptic PDE
 discretizations. ML is used to define and build multigrid solvers and
 preconditioners, and it contains black-box classes to construct
 highly-scalable smoothed aggregation preconditioners. ML preconditioners have
 been used on thousands of processors for a variety of problems, including the
 incompressible Navier-Stokes equations with heat and mass transfer, linear and
 nonlinear elasticity equations, the Maxwell equations, semiconductor
 equations, and more.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-moertel-dev
Description-md5: 7799f7f1eea042985115e7b6c18fd959
Description-en: mortar methods package - development files
 This package supplies capabilities for nonconforming mesh tying and contact
 formulations in 2 and 3 dimensions using Mortar methods. Mortar methods are a
 type of Lagrange Multiplier constraint that can be used in contact
 formulations and in non-conforming or conforming mesh tying as well as in
 domain decomposition techniques. Originally introduced as a domain
 decomposition method for spectral elements, Mortar methods are used in a large
 class of nonconforming situations such as the surface coupling of different
 physical models, discretization schemes or non-matching triangulations along
 interior interfaces of a domain.
 .
 This package provides headers.

Package: libtrilinos-moertel12
Description-md5: 0a2ca0759713f843f16e65a32a6af4dc
Description-en: mortar methods package - runtime files
 This package supplies capabilities for nonconforming mesh tying and contact
 formulations in 2 and 3 dimensions using Mortar methods. Mortar methods are a
 type of Lagrange Multiplier constraint that can be used in contact
 formulations and in non-conforming or conforming mesh tying as well as in
 domain decomposition techniques. Originally introduced as a domain
 decomposition method for spectral elements, Mortar methods are used in a large
 class of nonconforming situations such as the surface coupling of different
 physical models, discretization schemes or non-matching triangulations along
 interior interfaces of a domain.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-muelu-dev
Description-md5: 9221d98ba564f8f9b614d89f488fd696
Description-en: next generation multilevel preconditioner capabilities - development files
 MueLu is designed to solve large sparse linear systems of equations arising
 from PDE discretizations. MueLu provides easy-to-use multigrid solvers and
 preconditioners based on smoothed aggregation algorithms. As a multigrid
 framework, MueLu supports the design of highly application specific multigrid
 preconditioners.
 .
 This package provides headers.

Package: libtrilinos-muelu12
Description-md5: 1b6fe2ab7b4dd2e7cfb06338396aaeac
Description-en: next generation multilevel preconditioner capabilities - runtime files
 MueLu is designed to solve large sparse linear systems of equations arising
 from PDE discretizations. MueLu provides easy-to-use multigrid solvers and
 preconditioners based on smoothed aggregation algorithms. As a multigrid
 framework, MueLu supports the design of highly application specific multigrid
 preconditioners.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-nox-dev
Description-md5: 3be07aaf1ac4c22c689acb481744e879
Description-en: robust solution of nonlinear equations - development files
 NOX robustly solves and analyzes large-scale systems of nonlinear equations.
 NOX is short for Nonlinear Object-Oriented Solutions, and its objective is to
 enable the robust and efficient solution of the equation: F(x)=0 using
 globalized Newton methods such as line search and trust region methods. NOX is
 designed to work with any linear algebra package and to be easily customized.
 .
 This package provides headers.

Package: libtrilinos-nox12
Description-md5: 84147d2c99a7e04b6197c942303b5678
Description-en: robust solution of nonlinear equations - runtime files
 NOX robustly solves and analyzes large-scale systems of nonlinear equations.
 NOX is short for Nonlinear Object-Oriented Solutions, and its objective is to
 enable the robust and efficient solution of the equation: F(x)=0 using
 globalized Newton methods such as line search and trust region methods. NOX is
 designed to work with any linear algebra package and to be easily customized.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-optipack-dev
Description-md5: 23c780b12383e642eaaaca927e38ddbe
Description-en: Trilinos optimization algorithms - development files
 The OptiPack package contains interfaces and concrete implementations of some
 basic optimization algorithms based on Thyra. The globalization methods used
 are implemented in GlobiPack.
 .
 This package provides headers.

Package: libtrilinos-optipack12
Description-md5: 3e8149ba7b19617fb7a98abb5ead5c50
Description-en: Trilinos optimization algorithms - runtime files
 The OptiPack package contains interfaces and concrete implementations of some
 basic optimization algorithms based on Thyra. The globalization methods used
 are implemented in GlobiPack.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-pamgen-dev
Description-md5: 1f786598522477efc1028205fa8db7fb
Description-en: hexahedral and quadrilateral mesh generator - development files
 PAMGEN creates hexahedral or quadrilateral (in 2D) finite element meshes of
 simple shapes (cubes and cylinders) in parallel. When linked to an application
 as a library, it allows each process of a parallel simulation to generate its
 finite element domain representation at execution time.
 .
 This package provides headers.

Package: libtrilinos-pamgen12
Description-md5: 24018a956741af0bdb06d842369e49c6
Description-en: hexahedral and quadrilateral mesh generator - runtime files
 PAMGEN creates hexahedral or quadrilateral (in 2D) finite element meshes of
 simple shapes (cubes and cylinders) in parallel. When linked to an application
 as a library, it allows each process of a parallel simulation to generate its
 finite element domain representation at execution time.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-phalanx-dev
Description-md5: 4f8febbd813e494837b35ba6c4c1b2ba
Description-en: local field evaluation kernel - development files
 Phalanx is a local field evaluation kernel specifically designed for general
 partial differential equation solvers. The main goal of Phalanx is to
 decompose a complex problem into a number of simpler problems with managed
 dependencies to support rapid development and extensibility of the PDE code.
 Through the use of template metaprogramming concepts, Phalanx supports
 arbitrary user defined data types and evaluation types. This allows for
 unprecedented flexibility for direct integration with user applications and
 provides extensive support for embedded technology such as automatic
 differentiation for sensitivity analysis and uncertainty quantification.
 .
 This package provides headers.

Package: libtrilinos-phalanx12
Description-md5: 8d4d86ab36bd7b99e17022143208692b
Description-en: local field evaluation kernel - runtime files
 Phalanx is a local field evaluation kernel specifically designed for general
 partial differential equation solvers. The main goal of Phalanx is to
 decompose a complex problem into a number of simpler problems with managed
 dependencies to support rapid development and extensibility of the PDE code.
 Through the use of template metaprogramming concepts, Phalanx supports
 arbitrary user defined data types and evaluation types. This allows for
 unprecedented flexibility for direct integration with user applications and
 provides extensive support for embedded technology such as automatic
 differentiation for sensitivity analysis and uncertainty quantification.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-pike-dev
Description-md5: 49105586c082a4121520a1008dbdb137
Description-en: physics integration kernels - development files
 Pike is a software library for coupling and solving multiphysics applications.
 It provides basic interfaces and utilities for performing code-to-code
 coupling. It provides simple black-box Picard iteration methods for solving the
 coupled system of equations including Jacobi and Gauss-Seidel solvers. The
 Pike library contains no physics and just provides interfaces and utilities for
 coupling codes.
 .
 This package provides headers.

Package: libtrilinos-pike12
Description-md5: 028d242e6fa5a7a55130f06630eef83d
Description-en: physics integration kernels - runtime files
 Pike is a software library for coupling and solving multiphysics applications.
 It provides basic interfaces and utilities for performing code-to-code
 coupling. It provides simple black-box Picard iteration methods for solving the
 coupled system of equations including Jacobi and Gauss-Seidel solvers. The
 Pike library contains no physics and just provides interfaces and utilities for
 coupling codes.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-piro-dev
Description-md5: 5dc71fdbeabb7048ad197e19eeda32cf
Description-en: embedded nonlinear analysis package - development files
 Piro is the top-level, unifying package of the Embedded Nonlinear Analysis
 Capability area. The main purpose of the package is to provide driver classes
 for the common uses of Trilinos nonlinear analysis tools. These drivers all
 can be constructed similarly, with a ModelEvaluator and a ParameterList, to
 make it simple to switch between different types of analysis. They also all
 inherit from the same base classes (reponse-only model evaluators) so that the
 resulting analysis can in turn driven by non-intrusive analysis routines.
 .
 This package provides headers.

Package: libtrilinos-piro12
Description-md5: 2c5df5f06241cb98823c1f208ac99c84
Description-en: embedded nonlinear analysis package - runtime files
 Piro is the top-level, unifying package of the Embedded Nonlinear Analysis
 Capability area. The main purpose of the package is to provide driver classes
 for the common uses of Trilinos nonlinear analysis tools. These drivers all
 can be constructed similarly, with a ModelEvaluator and a ParameterList, to
 make it simple to switch between different types of analysis. They also all
 inherit from the same base classes (reponse-only model evaluators) so that the
 resulting analysis can in turn driven by non-intrusive analysis routines.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-pliris-dev
Description-md5: 92cbe80f4e6c3c486ff115b30c139683
Description-en: Trilinos dense solvers package - development files
 Pliris is an object-oriented interface to a LU solver for dense matrices on
 parallel platforms. These matrices are double precision real matrices
 distributed on a parallel machine.
 .
 This package provides headers.

Package: libtrilinos-pliris12
Description-md5: 7b1ac89e1e6deb3c6d6742558056d4f0
Description-en: Trilinos dense solvers package - runtime files
 Pliris is an object-oriented interface to a LU solver for dense matrices on
 parallel platforms. These matrices are double precision real matrices
 distributed on a parallel machine.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-rol-dev
Description-md5: dac6390a334d4f761568374eb76fde57
Description-en: rapid optimization library - development files
 The Rapid Optimization Library (ROL) is a package for large-scale optimization.
 It is used for the solution of optimal design, optimal control and inverse
 problems in large-scale engineering applications. Other uses include mesh
 optimization and image processing.
 .
 This package provides headers.

Package: libtrilinos-rol12
Description-md5: bcbc9028cbefb8d1da09705428984a4d
Description-en: rapid optimization library - runtime files
 The Rapid Optimization Library (ROL) is a package for large-scale optimization.
 It is used for the solution of optimal design, optimal control and inverse
 problems in large-scale engineering applications. Other uses include mesh
 optimization and image processing.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-rtop-dev
Description-md5: 5490932295cf870f789caf4abe84d7f0
Description-en: reductions/transformation operators - development files
 RTOp (reduction/transformation operators) provides the basic mechanism for
 implementing vector operations in a flexible and efficient manner.
 .
 This package provides headers.

Package: libtrilinos-rtop12
Description-md5: c7e1a0d26c9ab3d057077e5d2e582efe
Description-en: reductions/transformation operators - runtime files
 RTOp (reduction/transformation operators) provides the basic mechanism for
 implementing vector operations in a flexible and efficient manner.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-rythmos-dev
Description-md5: 028d3e7f422154e14737c139853c1193
Description-en: Trilinos transient integrator - development files
 Rythmos is a transient integrator for ordinary differential equations and
 differential-algebraic equations with support for explicit, implicit, one-step
 and multi-step algorithms. The fundamental design of Rythmos is aimed at
 supporting operator-split algorithms, multi-physics applications, block linear
 algebra, and adjoint integration.
 .
 This package provides headers.

Package: libtrilinos-rythmos12
Description-md5: 55b9da2d6371d462bc1768fade246305
Description-en: Trilinos transient integrator - runtime files
 Rythmos is a transient integrator for ordinary differential equations and
 differential-algebraic equations with support for explicit, implicit, one-step
 and multi-step algorithms. The fundamental design of Rythmos is aimed at
 supporting operator-split algorithms, multi-physics applications, block linear
 algebra, and adjoint integration.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-sacado-dev
Description-md5: 56d0237e5c1b1696541f989564c1f0d9
Description-en: automatic differentiation for C++ applications - development files
 Sacado is a set of automatic differentiation tools for C++ applications. It
 provides templated classes for forward, reverse and Taylor mode automatic
 differentiation.
 .
 This package provides headers.

Package: libtrilinos-sacado12
Description-md5: e573e2f11ad50b059ceb99385bbb5c23
Description-en: automatic differentiation for C++ applications - runtime files
 Sacado is a set of automatic differentiation tools for C++ applications. It
 provides templated classes for forward, reverse and Taylor mode automatic
 differentiation.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-shards-dev
Description-md5: ee813cac43e8768045ae70c76d7f1866
Description-en: interoperability tools for PDE solving - development files
 The purpose of Shards is to provide a suite of common tools for numerical and
 topological data that facilitate interoperability between typical software
 modules used to solve Partial Differential Equations (PDEs) by finite element,
 finite volume and finite difference methods. Shards comprises of two
 categories of tools: methods to manage and access information about cell
 topologies used in mesh-based methods for PDEs, and methods to work with
 multi-dimensional arrays used to store numerical data in corresponding
 computer codes.
 .
 This package provides headers.

Package: libtrilinos-shards12
Description-md5: cff1a471a7cb51a5d01b6a6647559b25
Description-en: interoperability tools for PDE solving - runtime files
 The purpose of Shards is to provide a suite of common tools for numerical and
 topological data that facilitate interoperability between typical software
 modules used to solve Partial Differential Equations (PDEs) by finite element,
 finite volume and finite difference methods. Shards comprises of two
 categories of tools: methods to manage and access information about cell
 topologies used in mesh-based methods for PDEs, and methods to work with
 multi-dimensional arrays used to store numerical data in corresponding
 computer codes.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-shylu-dev
Description-md5: 54d90b1a297502815d17c44f8c375264
Description-en: solving sparse linear systems - development files
 ShyLU is a package for solving sparse linear systems. It can be used either as
 a preconditioner, or as a solver. Currently, it is recommended as an Ifpack
 preconditioner. ShyLU uses a hybrid direct/iterative approach based on Schur
 complements. The goal is to provide robustness similar to sparse direct
 solvers, but memory usage more similar to preconditioned iterative solvers.
 ShyLU was designed as a node-level solver. It can use both MPI and threads in
 several ways. ShyLU was designed (a) to solve difficult but medium-size
 problems, and (b) to be used as a subdomain solver or smoother for very large
 problems within an iterative scheme. It is a purely algebraic method so can be
 used as a black-box solver. ShyLU has been particularly successful in
 electrical circuit simulation (Xyce).
 .
 This package provides headers.

Package: libtrilinos-shylu12
Description-md5: 7f6152a0c3aea1ee1ae965f3a301d521
Description-en: solving sparse linear systems - runtime files
 ShyLU is a package for solving sparse linear systems. It can be used either as
 a preconditioner, or as a solver. Currently, it is recommended as an Ifpack
 preconditioner. ShyLU uses a hybrid direct/iterative approach based on Schur
 complements. The goal is to provide robustness similar to sparse direct
 solvers, but memory usage more similar to preconditioned iterative solvers.
 ShyLU was designed as a node-level solver. It can use both MPI and threads in
 several ways. ShyLU was designed (a) to solve difficult but medium-size
 problems, and (b) to be used as a subdomain solver or smoother for very large
 problems within an iterative scheme. It is a purely algebraic method so can be
 used as a black-box solver. ShyLU has been particularly successful in
 electrical circuit simulation (Xyce).
 .
 This package contains the dynamic libraries.

Package: libtrilinos-stokhos-dev
Description-md5: ff529238927cc41f9b7e5fcce45137bd
Description-en: Trilinos uncertainty quantification package - development files
 Stokhos is a package for intrusive stochastic Galerkin uncertainty
 quantification methods. It provides methods for computing well-known intrusive
 stochastic Galerkin projections such as Polynomial Chaos and Generalized
 Polynomial Chaos, interfaces for forming the resulting nonlinear systems, and
 linear solver methods for solving block stochastic Galerkin linear systems.
 .
 This package provides headers.

Package: libtrilinos-stokhos12
Description-md5: dd98bcdc88721f7d7fc9bc9bb57188dd
Description-en: Trilinos uncertainty quantification package - runtime files
 Stokhos is a package for intrusive stochastic Galerkin uncertainty
 quantification methods. It provides methods for computing well-known intrusive
 stochastic Galerkin projections such as Polynomial Chaos and Generalized
 Polynomial Chaos, interfaces for forming the resulting nonlinear systems, and
 linear solver methods for solving block stochastic Galerkin linear systems.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-stratimikos-dev
Description-md5: e7900158a91dfe49314fc9ea96eb6ad8
Description-en: wrappers for linear solvers and preconditioners - development files
 The package Stratimikos contains a unified set of Thyra-based wrappers to
 linear solver and preconditioner capabilities in Trilinos.  The Stratimikos
 package is also a place where unified testing of linear solvers and
 preconditioners can be performed.
 .
 This package provides headers.

Package: libtrilinos-stratimikos12
Description-md5: 9b9a424ee10589fa507414119216b8bb
Description-en: wrappers for linear solvers and preconditioners - runtime files
 The package Stratimikos contains a unified set of Thyra-based wrappers to
 linear solver and preconditioner capabilities in Trilinos.  The Stratimikos
 package is also a place where unified testing of linear solvers and
 preconditioners can be performed.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-teko-dev
Description-md5: 921acfd60d71ef7184635bbf99c3157b
Description-en: block preconditioners - development files
 Teko is a package for development and implementation of block preconditioners.
 This includes support for manipulation and setup of block operators.
 Furthermore tools exist to support decomposition of a fully coupled operator.
 Additionally, facilities that allow the construction of approximate inverse
 operators using the full complement of available preconditioners and solvers
 are available in Teko. Finally, a small number of generic block
 preconditioners has been implemented in Teko, including block Jacobi, and
 block Gauss-Seidel. For the Navier-Stokes equation, Teko has implementations
 of SIMPLE, PCD and LSC. For details on these methods see Stabilization and
 Scalable Block Preconditioning for the Navier-Stokes Equations and the
 references therein.
 .
 This package provides headers.

Package: libtrilinos-teko12
Description-md5: d2659146cd5356db3094f0fba942f099
Description-en: block preconditioners - runtime files
 Teko is a package for development and implementation of block preconditioners.
 This includes support for manipulation and setup of block operators.
 Furthermore tools exist to support decomposition of a fully coupled operator.
 Additionally, facilities that allow the construction of approximate inverse
 operators using the full complement of available preconditioners and solvers
 are available in Teko. Finally, a small number of generic block
 preconditioners has been implemented in Teko, including block Jacobi, and
 block Gauss-Seidel. For the Navier-Stokes equation, Teko has implementations
 of SIMPLE, PCD and LSC. For details on these methods see Stabilization and
 Scalable Block Preconditioning for the Navier-Stokes Equations and the
 references therein.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-teuchos-dev
Description-md5: dcc5a072b2721884114cb85c671ecc3b
Description-en: common tools for Trilinos - development files
 Teuchos provides a suite of common tools for Trilinos for developers to use.
 These tools include BLAS/LAPACK wrappers, smart pointers, parameter lists, XML
 parsers, etc.
 .
 This package provides headers.

Package: libtrilinos-teuchos12
Description-md5: 02f6f14f418b4563934bfa0a5f63b3a1
Description-en: common tools for Trilinos - runtime files
 Teuchos provides a suite of common tools for Trilinos for developers to use.
 These tools include BLAS/LAPACK wrappers, smart pointers, parameter lists, XML
 parsers, etc.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-thyra-dev
Description-md5: 8858666565ef8d7dbf22dee6f50a0554
Description-en: interoperability layer for numerical software - development files
 The Thyra package contains a set of interfaces and supporting code that
 defines basic interoperability mechanisms between different types of numerical
 software. The foundation of all of these interfaces are the mathematical
 concepts of vectors, vector spaces, and linear operators. All other interfaces
 and support software is built on the basic operator/vector interfaces.
 .
 This package provides headers.

Package: libtrilinos-thyra12
Description-md5: 63514e2c64fc7c346b4900926c0aeacb
Description-en: interoperability layer for numerical software - runtime files
 The Thyra package contains a set of interfaces and supporting code that
 defines basic interoperability mechanisms between different types of numerical
 software. The foundation of all of these interfaces are the mathematical
 concepts of vectors, vector spaces, and linear operators. All other interfaces
 and support software is built on the basic operator/vector interfaces.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-tpetra-dev
Description-md5: 6cd5b85e2ad9cd2e56cec22fd4411426
Description-en: templated numerical linear algebra package - development files
 Next-generation, templated version of Petra, taking advantage of the newer
 advanced features of C++.
 .
 This package provides headers.

Package: libtrilinos-tpetra12
Description-md5: 62f003ec14216ff6e3e970700d8cf549
Description-en: templated numerical linear algebra package - runtime files
 Next-generation, templated version of Petra, taking advantage of the newer
 advanced features of C++.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-trilinoscouplings-dev
Description-md5: 0603f27fba6ce7a863de5d383748309f
Description-en: combining Trilinos packages - development files
 TrilinosCouplings provides a location for multiple Trilinos packages to be
 combined for testing integrated package capabilities.
 .
 This package provides headers.

Package: libtrilinos-trilinoscouplings12
Description-md5: 15e0ee09bdc616e68b98c0bbaf4bf8c8
Description-en: combining Trilinos packages - runtime files
 TrilinosCouplings provides a location for multiple Trilinos packages to be
 combined for testing integrated package capabilities.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-trilinosss-dev
Description-md5: 3a3fe5ef0103631aaf997506bb243af6
Description-en: suitesparse with adaptations for Amesos - development files
 A suite of sparse matrix algorithms with adaptations for Amesos.
 .
 This package provides headers.

Package: libtrilinos-trilinosss12
Description-md5: 41f57ad99dc5722a8557c5c10a1c08cd
Description-en: suitesparse with adaptations for Amesos - runtime files
 A suite of sparse matrix algorithms with adaptations for Amesos.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-triutils-dev
Description-md5: 5adae80e362e57a0b2f989e3dc0894bf
Description-en: utilities for Trilinos - development files
 TriUtils a package of utilities for other Trilinos packages.
 .
 This package provides headers.

Package: libtrilinos-triutils12
Description-md5: 19e6a6a6ea210a63419368db7e720de6
Description-en: utilities for Trilinos - runtime files
 TriUtils a package of utilities for other Trilinos packages.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-xpetra-dev
Description-md5: e95e00a4a6aba8bd9257424084673d01
Description-en: conversion between Epetra and Teuchos objects - development files
 Xpetra a lightweight wrapper to both Epetra and Tpetra. The Xpetra syntax
 mirrors that of Tpetra. Xpetra enables algorithm developers to write to a
 single interface but be able to use either Epetra or Tpetra. Xpetra can also be
 introduced into existing code to allow for gradual migration.
 .
 This package provides headers.

Package: libtrilinos-xpetra12
Description-md5: 69fe700c08df14ddb5bcebd7e24f5108
Description-en: conversion between Epetra and Teuchos objects - runtime files
 Xpetra a lightweight wrapper to both Epetra and Tpetra. The Xpetra syntax
 mirrors that of Tpetra. Xpetra enables algorithm developers to write to a
 single interface but be able to use either Epetra or Tpetra. Xpetra can also be
 introduced into existing code to allow for gradual migration.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-zoltan-dev
Description-md5: cc4152717f75cefe235f6db599405f4f
Description-en: parallel dynamic load balancing - development files
 Zoltan is a toolkit of parallel services for dynamic, unstructured, and/or
 adaptive simulations. Zoltan provides parallel dynamic load balancing and
 related services for a wide variety of applications, including finite element
 methods, matrix operations, particle methods, and crash simulations. Zoltan
 also provides parallel graph coloring, matrix ordering, unstructured
 communication tools, and distributed data directories.
 .
 This package provides headers.

Package: libtrilinos-zoltan12
Description-md5: 185fbc84a1ace421cb4bdc14dc861ae4
Description-en: parallel dynamic load balancing - runtime files
 Zoltan is a toolkit of parallel services for dynamic, unstructured, and/or
 adaptive simulations. Zoltan provides parallel dynamic load balancing and
 related services for a wide variety of applications, including finite element
 methods, matrix operations, particle methods, and crash simulations. Zoltan
 also provides parallel graph coloring, matrix ordering, unstructured
 communication tools, and distributed data directories.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-zoltan2-12
Description-md5: 8c46c722aa1a58352f3583ccf4195e7b
Description-en: Trilinos next generation load balancing package - runtime files
 Zoltan2 is a package for load balancing and combinatorial scientific
 computing. It may be viewed as a successor to the popular Zoltan and Isorropia
 packages.
 .
 This package contains the dynamic libraries.

Package: libtrilinos-zoltan2-dev
Description-md5: a59bf49e83ee7d261c5db17f7f3667f5
Description-en: Trilinos next generation load balancing package - development files
 Zoltan2 is a package for load balancing and combinatorial scientific
 computing. It may be viewed as a successor to the popular Zoltan and Isorropia
 packages.
 .
 This package provides headers.

Package: libtrio-dev
Description-md5: d2d08d5ef8c33a4c68e56b8e5ea454e3
Description-en: portable and extendable printf and string functions development files
 Trio is a fully matured and stable set of printf and string functions designed
 be used by applications with focus on portability or with the need for
 additional features that are not supported by standard stdio implementation.
 .
 This package provides header files and static libraries.

Package: libtrio2
Description-md5: f111264f1835828835ebcd4ab2733a10
Description-en: portable and extendable printf and string functions
 Trio is a fully matured and stable set of printf and string functions designed
 be used by applications with focus on portability or with the need for
 additional features that are not supported by standard stdio implementation.

Package: libtritonus-java
Description-md5: 5c608d3b82e98f360df309df237edc3f
Description-en: implementation of the Java Sound API
 Tritonus is a clean room open source implementation of the Java Sound
 1.0 API for Linux.
 .
 This package ships the required jar files.

Package: libtritonus-jni
Description-md5: 95514950e78d050c24ead8b2733f5a2d
Description-en: implementation of the Java Sound API (jni shared libraries)
 Tritonus is a clean room open source implementation of the Java Sound
 1.0 API for Linux.
 .
 This package ships the optional jni shared libraries.

Package: libtrove-intellij-java
Description-md5: 36b333303b5f511d199425b0b543d473
Description-en: high performance collections for java
 GNU Trove is a fast, lightweight  implementations of the java.util
 Collections API. These implementations are designed to be pluggable
 replacements for their JDK equivalents.
 .
 Whenever possible, GNU Trove provide the same collections support for
 primitive types. This gap in the JDK is often addressed by using the
 "wrapper" classes (java.lang.Integer, java.lang.Float, etc.) with
 Object-based collections. For most applications, however, collections
 which store primitives directly will require less space and yield
 significant performance gains.
 .
 This package provides a fork of trove4j used by IntelliJ IDEA.

Package: libtrove-java
Description-md5: b3b886b4634e1682d711ea75b5085d3b
Description-en: high performance collections for java
 GNU Trove is a fast, lightweight  implementations of the java.util
 Collections API. These implementations are designed to be pluggable
 replacements for their JDK equivalents.
 .
 Whenever possible, GNU Trove provide the same collections support for
 primitive types. This gap in the JDK is often addressed by using the
 "wrapper" classes (java.lang.Integer, java.lang.Float, etc.) with
 Object-based collections. For most applications, however, collections
 which store primitives directly will require less space and yield
 significant performance gains.

Package: libtrove-java-doc
Description-md5: 5e28b4ff47f06d668df5f2427384b43e
Description-en: high performance collections for java
 GNU Trove is a fast, lightweight  implementations of the java.util
 Collections API. These implementations are designed to be pluggable
 replacements for their JDK equivalents.
 .
 Whenever possible, GNU Trove provide the same collections support for
 primitive types. This gap in the JDK is often addressed by using the
 "wrapper" classes (java.lang.Integer, java.lang.Float, etc.) with
 Object-based collections. For most applications, however, collections
 which store primitives directly will require less space and yield
 significant performance gains.
 .
 This package includes the documentation.

Package: libtrove3-java
Description-md5: b3b886b4634e1682d711ea75b5085d3b
Description-en: high performance collections for java
 GNU Trove is a fast, lightweight  implementations of the java.util
 Collections API. These implementations are designed to be pluggable
 replacements for their JDK equivalents.
 .
 Whenever possible, GNU Trove provide the same collections support for
 primitive types. This gap in the JDK is often addressed by using the
 "wrapper" classes (java.lang.Integer, java.lang.Float, etc.) with
 Object-based collections. For most applications, however, collections
 which store primitives directly will require less space and yield
 significant performance gains.

Package: libtrove3-java-doc
Description-md5: 5e28b4ff47f06d668df5f2427384b43e
Description-en: high performance collections for java
 GNU Trove is a fast, lightweight  implementations of the java.util
 Collections API. These implementations are designed to be pluggable
 replacements for their JDK equivalents.
 .
 Whenever possible, GNU Trove provide the same collections support for
 primitive types. This gap in the JDK is often addressed by using the
 "wrapper" classes (java.lang.Integer, java.lang.Float, etc.) with
 Object-based collections. For most applications, however, collections
 which store primitives directly will require less space and yield
 significant performance gains.
 .
 This package includes the documentation.

Package: libtrue-perl
Description-md5: 6d6855042be74d5c6729dd09eb54aa3b
Description-en: automatically return a true value when a file is required
 Perl's require builtin (and its use wrapper) requires the files it loads to
 return a true value. This is usually accomplished by placing a single
 .
 1;
 .
 statement at the end of included scripts or modules. It's not onerous to add
 but it's a speed bump on the Perl novice's road to enlightenment.
 .
 This module packages this "return true" behaviour so that it need not be
 written explicitly.

Package: libtruffle-dsl-processor-java
Description-md5: fe067768207aeaaf0a62e72a766ee10e
Description-en: Java library that helps writing Truffle nodes in a efficient way
 Truffle DSL uses annotations on classes, fields, and methods, from
 which an annotation processor infers further classes. It relieves the
 programmer from having to write the boiler plate code, and allows
 her to concentrate on implementing the semantics.
 .
 Truffle is essentially a language for modeling and implementing
 languages using Java as a base. This means that a programmer can use
 the existing Java's standard libraries, debug infrastructure,
 memory management, and productivity tools to implement an own language.

Package: libtruffle-dsl-processor-java-doc
Description-md5: 8b39dc130f07004e191f3dd281c50337
Description-en: Documentation for truffle-dsl-processor
 Truffle DSL uses annotations on classes, fields, and methods, from
 which an annotation processor infers further classes. It relieves the
 programmer from having to write the boiler plate code, and allows
 her to concentrate on implementing the semantics.
 .
 Truffle is essentially a language for modeling and implementing
 languages using Java as a base. This means that a programmer can use
 the existing Java's standard libraries, debug infrastructure,
 memory management, and productivity tools to implement an own language.
 .
 This package contains the API documentation of libtruffle-dsl-processor-java.

Package: libtruffle-java
Description-md5: 49303ff5aa5670f6be512f8668343657
Description-en: multi-language framework for executing dynamic languages
 Truffle is a language abstract syntax tree interpreter which
 allow it to implement languages on top of the Graal framework.
 .
 To implement a language using Truffle you write an AST for your
 language and add methods to interpret (perform the action of) each
 node.
 .
 Graal is an Oracle project aiming to implement a high performance
 Java dynamic compiler and interpreter.

Package: libtruffle-java-doc
Description-md5: 5abc53971bdffa5a9d864fa3c4418c53
Description-en: Documentation for truffle
 Truffle is a language abstract syntax tree interpreter which
 allow it to implement languages on top of the Graal framework.
 .
 To implement a language using Truffle you write an AST for your
 language and add methods to interpret (perform the action of) each
 node.
 .
 Graal is an Oracle project aiming to implement a high performance
 Java dynamic compiler and interpreter.
 .
 This package contains the API documentation of libtruffle-java.

Package: libtruth-java
Description-md5: 783c29f65a2e0c0687ea0e4f90fe64e3
Description-en: assertion/proposition framework for Java unit tests
 This package provides a assertion/proposition framework for Java unit tests.
 It is considered alpha software but is currently needed and used by the Guava
 GWT bindings.

Package: libtry-tiny-byclass-perl
Description-md5: 0dcc82e29cded93f44f59172cef8f269
Description-en: selectively catch exceptions by class name
 This module is a simple wrapper around Try::Tiny, which see. It
 re-exports try, catch, and finally.  In addition, it provides a way to
 catch only some exceptions by filtering on the class (including
 superclasses and consumed roles) of an exception object.

Package: libtry-tiny-smartcatch-perl
Description-md5: 5f13fc52e377d95f8bbc8df25bddc18e
Description-en: lightweight Perl module for powerful exceptions handling
 Try::Tiny::SmartCatch's goals are mostly the same as Try::Tiny module,
 but there are few changes to it's specification.  Main difference is
 possibility to catch just some kinds of exceptions in place of catching
 everything.  Another one is slightly changed syntax.
 .
 When raised exception is an object, Try::Tiny::SmartCatch will test for
 exception type (using UNIVERSAL::isa).  When raised exception is just a
 text message (like "die ('message')"), there can be specified part of
 message to test for.
 .
 There are also explicit sub blocks.  In opposite to Try::Tiny, every
 block in Try::Tiny::SmartCatch's "try", "catch_when", "catch_default",
 "then" and "finally" must have explicit subroutines specified.

Package: libtrycatch-perl
Description-md5: a92b1e0cf1f6d7b1d85a9a4fda7f1365
Description-en: first class try catch semantics for Perl
 TryCatch aims to provide a nicer syntax and method to catch errors in
 Perl, similar to what is found in other languages (such as Java, Python
 or C++). The standard method of using "eval {}; if ($@) {}" is often
 prone to subtle bugs, primarily that its far too easy to stomp on the
 error in error handlers. And also eval/if isn't the nicest idiom.

Package: libts-bin
Description-md5: 92087b86b746888590db96fdb46e2073
Description-en: touch screen library utilities
 Tslib is an abstraction layer for touchscreen panel events, as well as a
 filter stack for the manipulation of those events.
 .
 This package contains programs like ts_calibrate or ts_uinput that can
 be useful when developing, testing or deploying applications using tslib.

Package: libts-dev
Description-md5: dd5c4218ff33d5c8d62152dc2f7c6f2b
Description-en: touch screen library, development files
 Tslib is an abstraction layer for touchscreen panel events, as well as a
 filter stack for the manipulation of those events.
 .
 This package contains the static library and header files used in
 development.

Package: libts0
Description-md5: 0d134568383846198db2f92361255acb
Description-en: touch screen library
 Tslib is an abstraction layer for touchscreen panel events, as well as a
 filter stack for the manipulation of those events. Examples of implemented
 filters include debounce, median, iir, dejitter and the calibration
 transform.

Package: libtsan0-amd64-cross
Description-md5: 750c144e4cc79bcf76c58f43c882ff70
Description-en: ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
 ThreadSanitizer (Tsan) is a data race detector for C/C++ programs.
 The Linux and Mac versions are based on Valgrind.

Package: libtsan0-ppc64-cross
Description-md5: 750c144e4cc79bcf76c58f43c882ff70
Description-en: ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
 ThreadSanitizer (Tsan) is a data race detector for C/C++ programs.
 The Linux and Mac versions are based on Valgrind.

Package: libtse3-0.3.1c2a
Description-md5: 1ece689849640ee31e9efc431a60d9e2
Description-en: portable MIDI sequencer engine in C++
 TSE3 is a portable open source MIDI sequencer engine written in C++.
 It provides programmers with rich and powerful sequencing
 capabilities.  Although it does not provide a user interface, it
 provides a lot of assistance to a UI, attempting to provide as much
 functionality as possible in as generic a manner as possible.
 .
 This package provides the shared library libtse3, which is required
 in order to run programs linked against tse3.

Package: libtse3-dev
Description-md5: 22acea8608fa12d6ef4ed4e35483d8ac
Description-en: portable MIDI sequencer engine in C++ - development files
 TSE3 is a portable open source MIDI sequencer engine written in C++.
 It provides programmers with rich and powerful sequencing
 capabilities.  Although it does not provide a user interface, it
 provides a lot of assistance to a UI, attempting to provide as much
 functionality as possible in as generic a manner as possible.
 .
 This package provides the headers and static libraries that are
 needed to compile something that uses tse3. It is not required to run
 programs that are linked against tse3.

Package: libtsk-dev
Description-md5: 0b95c9cdb87be6a011ef3c0c84371026
Description-en: library for forensics analysis (development files)
 The Sleuth Kit, also known as TSK, is a collection of UNIX-based command
 line file and volume system forensic analysis tools. The filesystem tools
 allow you to examine filesystems of a suspect computer in a non-intrusive
 fashion. Because the tools do not rely on the operating system to process the
 filesystems, deleted and hidden content is shown.
 .
 The volume system (media management) tools allow you to examine the layout of
 disks and other media. You can also recover deleted files, get information
 stored in slack spaces, examine filesystems journal, see partitions layout on
 disks or images etc. But is very important clarify that the TSK acts over the
 current filesystem only.
 .
 The Sleuth Kit supports DOS partitions, BSD partitions (disk labels), Mac
 partitions, Sun slices (Volume Table of Contents), and GPT disks. With these
 tools, you can identify where partitions are located and extract them so that
 they can be analyzed with filesystem analysis tools.
 .
 Currently, TSK supports several filesystems, as NTFS, FAT, exFAT, HFS+, Ext3,
 Ext4, UFS and YAFFS2.
 .
 This package contains header files and static version of the library.

Package: libtsk13
Description-md5: 1acfd42125f2eadf063f8930abe24829
Description-en: library for forensics analysis on volume and filesystem data
 The Sleuth Kit, also known as TSK, is a collection of UNIX-based command
 line file and volume system forensic analysis tools. The filesystem tools
 allow you to examine filesystems of a suspect computer in a non-intrusive
 fashion. Because the tools do not rely on the operating system to process the
 filesystems, deleted and hidden content is shown.
 .
 The volume system (media management) tools allow you to examine the layout of
 disks and other media. You can also recover deleted files, get information
 stored in slack spaces, examine filesystems journal, see partitions layout on
 disks or images etc. But is very important clarify that the TSK acts over the
 current filesystem only.
 .
 The Sleuth Kit supports DOS partitions, BSD partitions (disk labels), Mac
 partitions, Sun slices (Volume Table of Contents), and GPT disks. With these
 tools, you can identify where partitions are located and extract them so that
 they can be analyzed with filesystem analysis tools.
 .
 Currently, TSK supports several filesystems, as NTFS, FAT, exFAT, HFS+, Ext3,
 Ext4, UFS and YAFFS2.
 .
 This package contains the library which can be used to implement all of the
 functionality of the command line tools into an application that needs to
 analyze data from a disk image.

Package: libtsl-hopscotch-map-dev
Description-md5: 957428b2ba957c78c3bd04ddfcbe6577
Description-en: C++ fast hash map and hash set using hopscotch hashing
 The hopscotch-map library is a C++ implementation of a fast hash map and hash
 set using open-addressing and hopscotch hashing to resolve collisions. It is a
 cache-friendly data structure offering better performances than
 `std::unordered_map` in most cases and is closely similar to
 `google::dense_hash_map` while using less memory and providing more
 functionalities.
 .
 The library provides the following main classes: `tsl::hopscotch_map`,
 `tsl::hopscotch_set`, `tsl::hopscotch_pg_map` and `tsl::hopscotch_pg_set`. The
 first two are faster and use a power of two growth policy, the last two use a
 prime growth policy instead and are able to cope better with a poor hash
 function. Use the prime version if there is a chance of repeating patterns in
 the lower bits of your hash (e.g. you are storing pointers with an identity
 hash function).
 .
 In addition to these classes the library also provides `tsl::bhopscotch_map`,
 `tsl::bhopscotch_set`, `tsl::bhopscotch_pg_map` and `tsl::bhopscotch_pg_set`.
 These classes have an additional requirement for the key, it must be
 `LessThanComparable`, but they provide a better asymptotic upper bound.
 Nonetheless if you don't have specific requirements (risk of hash DoS attacks),
 `tsl::hopscotch_map` and `tsl::hopscotch_set` should be sufficient in most
 cases and should be your default pick as they perform better in general.

Package: libtsm-dev
Description-md5: 7950b5f550578901c20902b9d7ff868a
Description-en: Terminal-emulator State Machine - development
 TSM is a state machine for DEC VT100-VT520 compatible terminal
 emulators. It tries to support all common standards while keeping
 compatibility to existing emulators like xterm, gnome-terminal, konsole, ..
 .
 TSM itself does not provide any rendering nor window management.
 It is a simple plain state machine without any external dependencies.
 It can be used to implement terminal emulators, but also to implement
 other applications that need to interpret terminal escape sequences.
 .
 This library is very similar to libvte of the gnome project.
 However, libvte is highly bound to GTK+, which makes it unsuitable for
 non-graphics projects that need to parse escape sequences. Instead,
 TSM tries to restrict its API to terminal emulation only. Furthermore,
 TSM does not try to establish a new terminal emulation standard, but
 instead keeps compatibility as close to xterm as possible.
 This is why the TERM variable can be set to xterm-color256 with any
 TSM based terminal emulator.
 .
 This package contains the header files and static library needed to
 compile applications that use libtsm.

Package: libtsm3
Description-md5: e9ae64b30d6a75c868993fd1b4cd1353
Description-en: Terminal-emulator State Machine - runtime
 TSM is a state machine for DEC VT100-VT520 compatible terminal
 emulators. It tries to support all common standards while keeping
 compatibility to existing emulators like xterm, gnome-terminal, konsole, ..
 .
 TSM itself does not provide any rendering nor window management.
 It is a simple plain state machine without any external dependencies.
 It can be used to implement terminal emulators, but also to implement
 other applications that need to interpret terminal escape sequences.
 .
 This library is very similar to libvte of the gnome project.
 However, libvte is highly bound to GTK+, which makes it unsuitable for
 non-graphics projects that need to parse escape sequences. Instead,
 TSM tries to restrict its API to terminal emulation only. Furthermore,
 TSM does not try to establish a new terminal emulation standard, but
 instead keeps compatibility as close to xterm as possible.
 This is why the TERM variable can be set to xterm-color256 with any
 TSM based terminal emulator.
 .
 This package contains the libtsm runtime library.

Package: libtspi-dev
Description-md5: 7151dc43aab94706e767892365045c17
Description-en: open-source TCG Software Stack (development)
 TrouSerS is an implementation of the Trusted Computing Group's Software Stack
 (TSS) specification. You can use TrouSerS to write applications that make use
 of your TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 This package contains the development files.

Package: libtspi1
Description-md5: b46c696c49355b278d5160ca11e77907
Description-en: open-source TCG Software Stack (library)
 TrouSerS is an implementation of the Trusted Computing Group's Software Stack
 (TSS) specification. You can use TrouSerS to write applications that make use
 of your TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 This package contains the library.

Package: libtss-dev
Description-md5: bdf3f15ffcf5b91a9da0d4a698bb18ac
Description-en: Development headers for IBM's TSS 2.0
 Development headers for IBM's TSS 2.0.  You will need this in order
 to build TSS 2.0 applications. In addition, it contains documentation
 for TSS 2.0.

Package: libtss0
Description-md5: 59aee3ae66a68ab0ecc6ab3dd7ea4645
Description-en: Development library for IBM's TSS 2.0
 The development library for IBM's TSS 2.0.  You will need this in order
 to build TSS 2.0 applications. In addition, it contains documentation
 for TSS 2.0.

Package: libtss2-tcti-tabrmd-dev
Description-md5: 5e530c746a7be4ce6568c55211bf1676
Description-en: TPM2 Access Broker & Resource Management - static libraries and headers
 It is intended for use with the SAPI library (libsapi) like any other TCTI.
 The initialization function for this library is hard coded to connect to the
 tabrmd on the system bus as this is the most common configuration.
 .
 This package contains the required static libraries, headers.

Package: libtss2-tcti-tabrmd0
Description-md5: e3acac38c75a3ceb3e593075031fb3c9
Description-en: TPM2 Access Broker & Resource Management - shared libraries
 It is intended for use with the SAPI library (libsapi) like any other TCTI.
 The initialization function for this library is hard coded to connect to the
 tabrmd on the system bus as this is the most common configuration.
 .
 This package contains the required shared libraries.

Package: libttfautohint-dev
Description-md5: 3a42636c0ca0d3fc53e479107495848b
Description-en: Automatic font hinter - development files
 This project provides a library which takes a TrueType font as the input,
 removes its bytecode instructions (if any), and returns a new font where all
 glyphs are bytecode hinted using the information given by FreeType's
 autohinting module. The idea is to provide the excellent quality of the
 autohinter on platforms which don't use FreeType.
 .
 This package provides ttfautohint library development files.

Package: libttfautohint1
Description-md5: a18ef3d4060ef8d6c64690eed46ea420
Description-en: Automatic font hinter - library
 This project provides a library which takes a TrueType font as the input,
 removes its bytecode instructions (if any), and returns a new font where all
 glyphs are bytecode hinted using the information given by FreeType's
 autohinting module. The idea is to provide the excellent quality of the
 autohinter on platforms which don't use FreeType.
 .
 This package provides a ttfautohint library.

Package: libtty-dev
Description-md5: c7c7479db09a1dbdd39fda677a485082
Description-en: terminal/ttyrec library -- development
 libtty is a library for handling terminal output.  It is kind of "reverse
 curses" -- it is on the receiving end of tty data, accepting vt100 codes
 and managing a virtual terminal.  It lacks input handling thus is unfit
 for a real terminal emulator, but includes functions for storing a history
 of frames to handle a "video" recording.
 .
 A second part of the library provides ways to read/write/edit ttyrec/-like
 files, including transparent compression or streaming them from network.
 .
 This package contains the library headers.

Package: libtty1
Description-md5: 75f078a31e9fc0de9d014536e7c0bd4d
Description-en: terminal/ttyrec library -- runtime
 libtty is a library for handling terminal output.  It is kind of "reverse
 curses" -- it is on the receiving end of tty data, accepting vt100 codes
 and managing a virtual terminal.  It lacks input handling thus is unfit
 for a real terminal emulator, but includes functions for storing a history
 of frames to handle a "video" recording.
 .
 A second part of the library provides ways to read/write/edit ttyrec/-like
 files, including transparent compression or streaming them from network.
 .
 This package contains the binary library.

Package: libtumbler-1-0
Description-md5: 4323f73e4ec816c00add5357207eae1b
Description-en: library for tumbler, a D-Bus thumbnailing service
 Tumbler is a D-Bus service for applications to request thumbnails for various
 URI schemes and MIME types. It is an implementation of the thumbnail management
 D-Bus specification described on http://live.gnome.org/ThumbnailerSpec.
 .
 This package contains the shared library.

Package: libtumbler-1-dev
Description-md5: d25e72effb48a6edc4d5030260e5074a
Description-en: library for tumbler, a D-Bus thumbnailing service (development)
 Tumbler is a D-Bus service for applications to request thumbnails for various
 URI schemes and MIME types. It is an implementation of the thumbnail management
 D-Bus specification described on http://live.gnome.org/ThumbnailerSpec.
 .
 This package contains the shared library development files.

Package: libturbojpeg
Description-md5: 3073afdfd92a8a7a0a5d37901f26865d
Description-en: IJG JPEG compliant runtime library.
 Runtime library supporting the Independent JPEG Group's standard
 for JPEG files.
 .
 This package contains the libturbojpeg.so library, used by
 turboVNC and other users of the past TurboJPEG library.

Package: libturbojpeg0-dev
Description-md5: 5961e39a83c1657d246018b58cb0e3ab
Description-en: Development files for the TurboJPEG library
 The libjpeg-turbo JPEG library is a library for handling JPEG files.
 .
 libjpeg-turbo is a JPEG image codec that uses SIMD instructions (MMX,
 SSE2, NEON) to accelerate baseline JPEG compression and decompression
 on x86, x86-64, and ARM systems.
 .
 This package contains the static library, headers and documentation for
 the TurboJPEG library.

Package: libtut-dev
Description-md5: c21f793fc467ee9ade60942ce50da3f1
Description-en: elegant C++ unit test framework
 TUT is a unit test framework for C++, written in pure C++. It makes use of
 C++ templates to reduce the responsibilities of the user and provide an
 intuitive and easy-to-use interface. Unlike other test unit frameworks for
 C++, TUT doesn't use macros, since their usage conceals actual implementation
 and (what's worse) can interfere with client application.
 .
 TUT completely fits into a single header file. No library compilation is
 required, thus a lot of portability problems are avoided and integration with
 client code is very simple.

Package: libtuxcap-dev
Description-md5: 96fd9b249db763f0ddf7d2431ae5a98e
Description-en: framework for developing 2D games - development files
 The TuxCap Games Framework is a GNU/Linux port of the PopCap Games Framework,
 used for professional 2D game development. It comes with PyCap bindings for
 Python, a fast 2D physics engine, a particle engine, widgets and many
 documented examples.
 .
 This package contains the library headers and documentation for developers.

Package: libtuxcap4.0
Description-md5: cc5914aa1781ebc740f9b4d58581c66b
Description-en: framework for developing 2D games - runtime libraries
 The TuxCap Games Framework is a GNU/Linux port of the PopCap Games Framework,
 used for professional 2D game development. It comes with PyCap bindings for
 Python, a fast 2D physics engine, a particle engine, widgets and many
 documented examples.

Package: libtuxcap4.0-dbg
Description-md5: 4688af9252bd95d369c8abc2c8c53b1e
Description-en: framework for developing 2D games - debugging symbols
 The TuxCap Games Framework is a GNU/Linux port of the PopCap Games Framework,
 used for professional 2D game development. It comes with PyCap bindings for
 Python, a fast 2D physics engine, a particle engine, widgets and many
 documented examples.
 .
 This package contains the debugging symbols for the library.

Package: libtwatch-perl
Description-md5: 82454b46fd35e5fdb3a129a7a8e6ba18
Description-en: watch torrent trackers and automatically download new torrents
 twatch is a simple and flexible watcher torrent trackers, based on regular
 expressions. It can download new torrent files and information about them by
 customizable filters.
 .
 This package contains libraries for twatch

Package: libtweeny-dev
Description-md5: ff16a115a37e82082e4bf1b132118cb4
Description-en: modern C++ tweening library
 Tweeny is an inbetweening library designed for the creation of complex
 animations for games and other beautiful interactive software. It leverages
 features of modern C++ to empower developers with an intuitive API for
 declaring tweenings of any type of value, as long as they support arithmetic
 operations.
 .
 The goal of Tweeny is to provide means to create fluid interpolations when
 animating position, scale, rotation, frames or other values of screen objects,
 by setting their values as the tween starting point and then, after each tween
 step, plugging back the result.
 .
 It features:
 .
  * A descriptive and (hopefully) intuitive API,
  * 30+ easing functions,
  * Allows custom easing functions,
  * Multi-point tweening,
  * Simultaneous tween of heterogeneous value sets,
  * Timeline-like usage (allows seeking to any point),
  * Header-only
  * Zero external dependencies
  * Steps forwards or backwards :)
  * Accepts lambdas, functors and functions as step and seek callbacks

Package: libtwelvemonkeys-java
Description-md5: 52ebbde6b469818d9d4e2427d4fd8eee
Description-en: collection of plugins and extensions for Java's ImageIO
 These plugins extend the number of image file formats supported in Java, using
 the javax.imageio.* package. The main purpose of this project is to provide
 support for formats not covered by the JRE itself.
 .
 Supported image formats (read and write support may vary):
 BMP, JPEG, JPEG-2000, PNM, PSD, TIFF, HDR, IFF, PCX, PICT, SGI, TGA, ICNS, ICO
 & CUR, Thumbs.db, SVG and WMF.

Package: libtwelvemonkeys-java-doc
Description-md5: 51d208b95b5d3abdf72080bb41b3a3be
Description-en: Documentation for libtwelvemonkeys-java
 Twelvemonkeys is a collection of plugins and extensions for Java's ImageIO.
 The main purpose of this project is to provide support for image file formats
 not covered by the JRE itself.
 .
 Supported image formats (read and write support may vary):
 BMP, JPEG, JPEG-2000, PNM, PSD, TIFF, HDR, IFF, PCX, PICT, SGI, TGA, ICNS, ICO
 & CUR, Thumbs.db, SVG and WMF.
 .
 This package contains the API documentation of libtwelvemonkeys-java.

Package: libtwin-dev
Description-md5: 6a5f19b4886c9c76c22aad4393cda108
Description-en: tiny window system (development files)
 With embedded systems gaining high resolution displays and powerful CPUs, the
 desire for sophisticated graphical user interfaces can be realized in even the
 smallest of systems. While the CPU power available for a given power budget
 has increased dramatically, these tiny systems remain severely memory
 constrained. This unique environment presents interesting challenges in
 graphical system design and implementation. Using ideas from modern window
 systems in larger environments, TWIN offers overlapping translucent windows,
 anti-aliased graphics and scalable fonts in a total memory budget of 100KB.
 .
 This package contains the header files for development.

Package: libtwin0
Description-md5: 60098363ad9ecd9a987cd34d65cf49a0
Description-en: tiny window system (library)
 With embedded systems gaining high resolution displays and powerful CPUs, the
 desire for sophisticated graphical user interfaces can be realized in even the
 smallest of systems. While the CPU power available for a given power budget
 has increased dramatically, these tiny systems remain severely memory
 constrained. This unique environment presents interesting challenges in
 graphical system design and implementation. Using ideas from modern window
 systems in larger environments, TWIN offers overlapping translucent windows,
 anti-aliased graphics and scalable fonts in a total memory budget of 100KB.

Package: libtwitter-api-perl
Description-md5: 9435f54bfbf8d1edbdca21434614f9fe
Description-en: Twitter REST API library for Perl
 Twitter::API provides an interface to the Twitter REST API for perl.
 .
 Features:
 .
  * full support for all Twitter REST API endpoints
  * not dependent on a new distribution for new endpoint support
  * optionally specify access tokens per API call
  * error handling via an exception object that captures the full
    request/response context
  * full support for OAuth handshake and Xauth authentication
 .
 Additional features are available via optional traits:
 .
  * convenient methods for API endpoints with simplified argument
    handling via ApiMethods
  * normalized booleans (Twitter likes 'true' and 'false', except when
    it doesn't) via NormalizeBooleans
  * automatic decoding of HTML entities via DecodeHtmlEntities
  * automatic retry on transient errors via RetryOnError
  * "the whole enchilada" combines all the above traits via Enchilada
  * app-only (OAuth2) support via AppAuth
  * automatic rate limiting via RateLimiting

Package: libtwofish-dev
Description-md5: 83bc8c575f7efef388b8cbf2cd1f3a86
Description-en: Niels Ferguson's Twofish cryptographic algorithm library
 This package contains a header file and static library implementing the
 Twofish cryptographic algorithm, one of the five finalists in the AES
 (Advanced Encryption Standard) competition sponsored by the United States's
 National Institute of Standards and Technology (NIST).
 .
 The main properties of this library are:
  * Free: The library can be freely used for any application.  (For details see
    the licensing terms and disclaimer in the source code file itself.)
  * Fast: The code has been optimised for speed, at the expense of memory use
    and code size.
  * Easy to use: Care has been taken to make the code easy to integrate into a
    larger project.  Extensive comments explain how to perform the integration
    and how to use the library.
  * Portable: The default code is written in fully portable C.  By adjusting
    certain macro definitions the user can provide platform-specific code for
    certain functions, which can improve the speed.
  * Documented: Extensive documentation is available in the comments of the
    source files.  This includes information about integration, optimisation
    for specific platforms, the library API, and detailed explanation of all
    the code.
  * Self-testing: Extensive self-tests are run every time the library is
    initialised.
  * Large: The code has been optimised for speed, which leads to the use of
    large tables.  No attempt has been made to minimise the code or data size.
 .
 This library has not yet been declared stable by its author, Niels Ferguson,
 yet it has not been changed in eight years.

Package: libtwofish0
Description-md5: 63711e3b82e6e59247c0887655cbc9c9
Description-en: Niels Ferguson's Twofish cryptographic library -- runtime package
 This package contains the runtime shared library of the Twofish
 cryptographic algorithm. This shared library might be called experimental,
 since the original author Niels Ferguson has cancelled maintenance, the source
 being in the public domain.
 .
 Runtime library. See also libtwofish-dev.

Package: libtxw2-java
Description-md5: 4ec2a173009deb2634f3c9969c4b5ab9
Description-en: Typed XML Writer for Java
 A Java library that allows client applications to write arbitrary
 well-formed typed XML documents.

Package: libtycho-java
Description-md5: 1985c53e0f790a612d6bf008107ae9fe
Description-en: build Eclipse plugins with Maven
 Tycho is a set of Maven plugins and extensions for building Eclipse plugins
 and OSGI bundles with Maven. Eclipse plugins and OSGI bundles have their own
 metadata for expressing dependencies, source folder locations, etc. that are
 normally found in a Maven POM. Tycho uses native metadata for Eclipse plugins
 and OSGi bundles and uses the POM to configure and drive the build. Tycho
 supports bundles, fragments, features, update site projects and RCP
 applications. Tycho also knows how to run JUnit test plugins using OSGi
 runtime and there is also support for sharing build results using Maven
 artifact repositories.

Package: libtype-conv-camlp4-dev
Description-md5: 65223915d17ea3f03f5369aa61a07201
Description-en: support library for OCaml preprocessor type conversions
 The type-conv library factors out functionality needed by different
 CamlP4 extensions that generate code from type specifications.
 .
 CamlP4 extensions adding support for some trailing "with foo" (e.g.
 "with sexplib" provided by sexplib, and "with bin_io" provided by
 bin-prot) after type declaration can rely on type-conv.

Package: libtype-tie-perl
Description-md5: 01ddec2713c423b36a92fa6847cdb3c1
Description-en: tie a variable to a type constraint
 Type::Tie exports a single function: ttie.
 ttie ties a variable to a type constraint,
 ensuring that whatever values stored in the variable
 will conform to the type constraint.
 If the type constraint has coercions,
 these will be used if necessary
 to ensure values assigned to the variable conform.

Package: libtyperep-ocaml
Description-md5: c7607c758e00f72cd4ffd48789c7896e
Description-en: runtime types for OCaml (runtime)
 Library for creating runtime representation of OCaml types and
 computing functions from these.
 .
 This package contains runtime files.

Package: libtyperep-ocaml-dev
Description-md5: 33b3fac5160ec432d0e28804cf4326f3
Description-en: runtime types for OCaml (development)
 Library for creating runtime representation of OCaml types and
 computing functions from these.
 .
 This package contains development files.

Package: libtypes-datetime-perl
Description-md5: 789fdd237e3102399c6eb5edce597602
Description-en: type constraints and coercions for datetime objects
 Types::DateTime is a type constraint library suitable for use with
 Moo/Moose attributes, Kavorka sub signatures, and so forth.

Package: libtypes-path-tiny-perl
Description-md5: bd97b186c9f4d5bd8e10fa709d5498ee
Description-en: Path::Tiny types and coercions for Moose and Moo
 Types::Path::Tiny provides types and coercions useful for dealing
 with Path::Tiny objects as Moose or Moo attributes.
 .
 It handles two important types of coercion:
 .
  • coercing objects with overloaded stringification;
  • coercing to absolute paths.
 .
 It also can check to ensure that files or directories exist.

Package: libtypes-uri-perl
Description-md5: 13f141abfccd6cafa802674c31f1b921
Description-en: type constraints and coercions for URIs
 Types::URI is a type constraint library suitable for use with Moo/Moose
 attributes, Kavorka sub signatures, and so forth.

Package: libtypes-uuid-perl
Description-md5: c50327848efd417bd235b515b0a75910
Description-en: type constraints for UUIDs
 Types::UUID is a type constraint library suitable for use with
 Moo/Moose attributes, Kavorka sub signatures, and so forth.

Package: libtypes-xsd-lite-perl
Description-md5: 7dbbf763d559435eeef607356e8b5246
Description-en: type constraints based on a subset of XML schema datatypes
 Types::XSD::Lite provides all the type constraints from XML Schema that
 could be implemented without introducing extra runtime dependencies
 (above Type::Tiny). That's basically all of the XSD types, except
 datetime-related ones, and XML-specific ones (QNames, IDRefs, etc).
 .
 If you want the full set of XML Schema types, see Types::XSD.

Package: libtypes-xsd-perl
Description-md5: 2d8024ac5e494b414e57f008b2948bc9
Description-en: type constraints based on XML schema datatypes
 Types::XSD is a type constraint library inspired by XML Schema, and
 built with Type::Library. It can be used as a type constraint library
 for Moo, Mouse or Moose, or used completely independently of any OO
 framework.
 .
 This module is an extension of Types::XSD::Lite which has fewer type
 constraints, but fewer dependencies.

Package: libtypesafe-config-clojure
Description-md5: 6844380dc6966438f76c805c7438c694
Description-en: Java wrapper around libtypesafe-config-java
 A thin Clojure wrapper around Java's typesafe-config library.

Package: libtypesafe-config-java
Description-md5: 11bfebca714f249ad8b95d9eb1f766b9
Description-en: configuration library for JVM languages
 typesafe-config is a library providing configuration file parsing support to
 JVM languages. It is implemented in plain Java with no external dependencies
 and supports three configuration file formats:
 .
  * Java properties
  * JSON files
  * A human-friendly JSON superset
 .
 It can additionally merge multiple files across all formats and load
 configuration data from plain files, URLs or the class path.

Package: libtypesafe-config-java-doc
Description-md5: b331809ae5967699574f13605b32e035
Description-en: configuration library for JVM languages - documentation
 typesafe-config is a library providing configuration file parsing support to
 JVM languages. It is implemented in plain Java with no external dependencies
 and supports three configuration file formats:
 .
  * Java properties
  * JSON files
  * A human-friendly JSON superset
 .
 It can additionally merge multiple files across all formats and load
 configuration data from plain files, URLs or the class path.
 .
 This package contains the API javadoc.

Package: libtyxml-ocaml
Description-md5: f858b6b8a0ddf1d9dfc4a3290ee8940a
Description-en: typed XML in OCaml (plugins)
 TyXML allows one to build XML trees whose validity is ensured by the
 typechecker. It's based on a translation of XML types into polymorphic
 variants, originally written by Thorsten Ohl. Currently, the
 transcription has been done for XHTML 1.0 and 1.1, HTML5 and SVG
 (partial).
 .
 TyXML also provides a generic printer and some low-level (and
 untyped) iterators over XML trees. The printer has options for
 printing XHTML in more browser-friendly way when served as
 "text/html" (instead of "text/xml"). HTML5 is always printed with
 those options.
 .
 All modules provided by TyXML are also provided in functorial
 interface, where every module is parameterised by the underlying XML
 representation.
 .
 A camlp4 extension, named Pa_tyxml, allows one to write HTML pages or
 HTML fragments with the usual syntax.
 .
 This package contains dynamically loadable modules for plugins.

Package: libtyxml-ocaml-dev
Description-md5: 6822bb8b9cfd04082bcafb6ff4f8a5a3
Description-en: typed XML in OCaml (development files)
 TyXML allows one to build XML trees whose validity is ensured by the
 typechecker. It's based on a translation of XML types into polymorphic
 variants, originally written by Thorsten Ohl. Currently, the
 transcription has been done for XHTML 1.0 and 1.1, HTML5 and SVG
 (partial).
 .
 TyXML also provides a generic printer and some low-level (and
 untyped) iterators over XML trees. The printer has options for
 printing XHTML in more browser-friendly way when served as
 "text/html" (instead of "text/xml"). HTML5 is always printed with
 those options.
 .
 All modules provided by TyXML are also provided in functorial
 interface, where every module is parameterised by the underlying XML
 representation.
 .
 A camlp4 extension, named Pa_tyxml, allows one to write HTML pages or
 HTML fragments with the usual syntax.
 .
 This package contains the development files needed to use TyXML.

Package: libtyxml-ocaml-doc
Description-md5: b4fe7c034b9adb6ca67d4c26c8811c5d
Description-en: typed XML in OCaml (documentation)
 TyXML allows one to build XML trees whose validity is ensured by the
 typechecker. It's based on a translation of XML types into polymorphic
 variants, originally written by Thorsten Ohl. Currently, the
 transcription has been done for XHTML 1.0 and 1.1, HTML5 and SVG
 (partial).
 .
 TyXML also provides a generic printer and some low-level (and
 untyped) iterators over XML trees. The printer has options for
 printing XHTML in more browser-friendly way when served as
 "text/html" (instead of "text/xml"). HTML5 is always printed with
 those options.
 .
 All modules provided by TyXML are also provided in functorial
 interface, where every module is parameterised by the underlying XML
 representation.
 .
 A camlp4 extension, named Pa_tyxml, allows one to write HTML pages or
 HTML fragments with the usual syntax.
 .
 This package contains the documentation of TyXML.

Package: libu2f-host-dev
Description-md5: 793f7cedf4ebd59b246f518f65c1d836
Description-en: Development files for the U2F host C library libu2f-host
 Libu2f is a package for doing Universal 2nd Factor (U2F) host communication
 and has functionality for the Registration and Authentication operations.
 The package contains a C library, a command line tool, and documentation.
 .
 This package contains development files for the libu2f-host library.

Package: libu2f-host-doc
Description-md5: fd5242dd888c095bfb2946bd76afc976
Description-en: Documentation for the U2F host C library libu2f-host
 Libu2f is a package for doing Universal 2nd Factor (U2F) host communication
 and has functionality for the Registration and Authentication operations.
 The package contains a C library, a command line tool, and documentation.
 .
 This package contains the documentation for the libu2f-host library.

Package: libu2f-host0
Description-md5: a5099d581781c2875d127cd241685862
Description-en: Universal 2nd Factor (U2F) host communication C Library
 Libu2f is a package for doing Universal 2nd Factor (U2F) host communication
 and has functionality for the Registration and Authentication operations.
 The package contains a C library, a command line tool, and documentation.
 .
 This package contains the run-time shared library, and you normally do not
 need to install this manually.

Package: libu2f-server-dev
Description-md5: 01aae45955445c5074a9397db9962b2f
Description-en: Development files for the U2F server C library libu2f-server
 Libu2f-server is a package for doing Universal 2nd Factor (U2F) server
 communication and has functionality for the Registration and
 Authentication operations.  The package contains a C library, a
 command line tool, and documentation.
 .
 This package contains development files for the libu2f-server0 library.

Package: libu2f-server0
Description-md5: 811dc220313e83f31357d136a32065d6
Description-en: Universal 2nd Factor (U2F) server communication C Library
 Libu2f-server is a package for doing Universal 2nd Factor (U2F) server
 communication and has functionality for the Registration and
 Authentication operations.  The package contains a C library, a
 command line tool, and documentation.
 .
 This package contains the run-time shared library, and you normally do
 not need to install this manually.

Package: libubertooth-dev
Description-md5: f2de1f63a2fe39cc72d4e9b6ea824f97
Description-en: Shared library for Bluetooth experimentation - development files
 Project Ubertooth is an open source wireless development platform suitable for
 Bluetooth experimentation. Ubertooth ships with a capable BLE (Bluetooth Smart)
 sniffer and can sniff some data from Basic Rate (BR) Bluetooth Classic
 connections.
 .
 This package provides the development files for using the ubertooth library.

Package: libubertooth1
Description-md5: 99677795314f1b4aa7882706f30484dc
Description-en: Shared library for Bluetooth experimentation
 Project Ubertooth is an open source wireless development platform suitable for
 Bluetooth experimentation. Ubertooth ships with a capable BLE (Bluetooth Smart)
 sniffer and can sniff some data from Basic Rate (BR) Bluetooth Classic
 connections.
 .
 This package provides the shared library needed by Ubertooth.

Package: libubootenv-dev
Description-md5: e3e2696c99456eb600ea46d60e416713
Description-en: Library to access U-Boot environment - development files
 libubootenv is a library that provides a hardware independent way to access
 to U-Boot environment. U-Boot has its default environment compiled
 board-dependently and this means that tools to access the environment are also
 board specific, too.
 .
 This package contains files that are needed to build applications.

Package: libubootenv-doc
Description-md5: 3d7f067b4d311dc8a141d8887aa4a2f0
Description-en: Library to access U-Boot environment - document
 libubootenv is a library that provides a hardware independent way to access
 to U-Boot environment. U-Boot has its default environment compiled
 board-dependently and this means that tools to access the environment are also
 board specific, too.
 .
 This package contains documentation for the libubootenv library.

Package: libubootenv-tool
Description-md5: ea6458eb937ff87fe1ea9e379b6a3e6c
Description-en: Library to access U-Boot environment - tool
 libubootenv is a library that provides a hardware independent way to access
 to U-Boot environment. U-Boot has its default environment compiled
 board-dependently and this means that tools to access the environment are also
 board specific, too.
 .
 This package contains files that is tool using libubootenv.

Package: libubootenv0.1
Description-md5: 959abe28e5e9ccad9b3730ae73abe3ca
Description-en: Library to access U-Boot environment - runtime
 libubootenv is a library that provides a hardware independent way to access
 to U-Boot environment. U-Boot has its default environment compiled
 board-dependently and this means that tools to access the environment are also
 board specific, too.
 .
 This package includes the shared library.

Package: libubsan0
Description-md5: 0d50b6d1808d18442db720f04627b846
Description-en: UBSan -- undefined behaviour sanitizer (runtime)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libubsan1-amd64-cross
Description-md5: 0d50b6d1808d18442db720f04627b846
Description-en: UBSan -- undefined behaviour sanitizer (runtime)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libubsan1-armel-cross
Description-md5: 0d50b6d1808d18442db720f04627b846
Description-en: UBSan -- undefined behaviour sanitizer (runtime)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libubsan1-i386-cross
Description-md5: 0d50b6d1808d18442db720f04627b846
Description-en: UBSan -- undefined behaviour sanitizer (runtime)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libubsan1-powerpc-cross
Description-md5: 0d50b6d1808d18442db720f04627b846
Description-en: UBSan -- undefined behaviour sanitizer (runtime)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libubsan1-ppc64-cross
Description-md5: 0d50b6d1808d18442db720f04627b846
Description-en: UBSan -- undefined behaviour sanitizer (runtime)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libubsan1-sparc64-cross
Description-md5: 0d50b6d1808d18442db720f04627b846
Description-en: UBSan -- undefined behaviour sanitizer (runtime)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libubsan1-x32-cross
Description-md5: 0d50b6d1808d18442db720f04627b846
Description-en: UBSan -- undefined behaviour sanitizer (runtime)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libubuntu-app-launch3-dev
Description-md5: 2056773c3b190c245198ea8e324a5f4e
Description-en: library for sending requests to the ubuntu app launch
 Upstart Job file and associated utilities that is used to launch
 applications in a standard and confined way.
 .
 This package contains files that are needed to build applications.

Package: libubuntu-app-launch4
Description-md5: fd9cdf76078252eeef2cc3f7915d5071
Description-en: library for sending requests to the ubuntu app launch
 Upstart Job file and associated utilities that is used to launch
 applications in a standard and confined way.
 .
 This package contains shared libraries to be used by applications.

Package: libucimf-dev
Description-md5: 7f0c82ccba63bcd6b633e4ee249cad6e
Description-en: Unicode console input method framework - development files
 Ucimf provides an input method framework for the Linux Unicode frame
 buffer console.
 .
 This package contains the development header files.

Package: libucimf0
Description-md5: 6a97a4c9da9967655a1c34207b9371aa
Description-en: Unicode console input method framework - library
 Ucimf provides an input method framework for the Linux Unicode frame
 buffer console.
 .
 This package contains the runtime library.

Package: libucl-dev
Description-md5: 00a0a2df13701c2349300d0604e792d6
Description-en: Portable compression library - development
 UCL is a portable lossless data compression library written in ANSI C,
 implementing a number of compression algorithms that achieve an
 excellent compression ratio while allowing *very* fast decompression.
 .
 This package contains the development support files.

Package: libucl1
Description-md5: 305d9f4fbeae07df4577e6af524e4d70
Description-en: Portable compression library - runtime
 UCL is a portable lossless data compression library written in ANSI C,
 implementing a number of compression algorithms that achieve an
 excellent compression ratio while allowing *very* fast decompression.
 Decompression requires no additional memory.

Package: libucommon-dev
Description-md5: 63af00b1c01943f007da720666ec31e2
Description-en: lightweight C++ threading and sockets - development files
 GNU uCommon C++ is a lightweight library to facilitate using C++ design
 patterns even for very deeply embedded applications, such as for
 systems using uClibc along with POSIX threading support. For this
 reason, uCommon disables language features that consume memory or
 introduce runtime overhead. uCommon introduces some design patterns
 from Objective-C, such as reference counted objects, memory pools, and
 smart pointers.  uCommon introduces some new concepts for handling of
 thread locking and synchronization.
 .
 This package contains the development headers and static libraries.

Package: libucommon8
Description-md5: 64722b65b91a51d0c92f3e4c85215b16
Description-en: lightweight C++ threading and sockets - shared libraries
 GNU uCommon C++ is a lightweight library to facilitate using C++ design
 patterns even for very deeply embedded applications, such as for
 systems using uClibc along with POSIX threading support. For this
 reason, uCommon disables language features that consume memory or
 introduce runtime overhead. uCommon introduces some design patterns
 from Objective-C, such as reference counted objects, memory pools, and
 smart pointers.  uCommon introduces some new concepts for handling of
 thread locking and synchronization.
 .
 This package contains the shared libraries.

Package: libucto-dev
Description-md5: fca6d0f789ac1dad2239cafc6a27a95c
Description-en: Unicode Tokenizer - development
 Ucto can tokenize UTF-8 encoded text files (i.e. separate words from
 punctuation, split sentences, generate n-grams), and  offers several other
 basic preprocessing steps that make your text suited for further processing
 such as indexing, part-of-speech tagging, or machine translation.
 .
 This package provides C++ headers for the programming library.
 .
 Ucto was written by Maarten van Gompel and Ko van der Sloot.  Work on Ucto
 was funded by NWO, the Netherlands Organisation for Scientific Research,
 under the Implicit Linguistics project, the CLARIN-NL program, and the
 CLARIAH project.
 .
 Ucto is a product of the Centre of Language and Speech Technology (Radboud
 University Nijmegen), and previously the ILK Research Group
 (Tilburg University, The Netherlands).
 .
 If you are interested in machine parsing of UTF-8 encoded text files, e.g. to
 do scientific research in natural language processing, ucto will likely be of
 use to you.

Package: libucto3
Description-md5: 472ec4f4ae23fa172214be299bfb9f09
Description-en: Unicode Tokenizer - runtime
 Ucto can tokenize UTF-8 encoded text files (i.e. separate words from
 punctuation, split sentences, generate n-grams), and  offers several other
 basic preprocessing steps that make your text suited for further processing
 such as indexing, part-of-speech tagging, or machine translation.
 .
 This package provides the programming library only.
 .
 Ucto was written by Maarten van Gompel and Ko van der Sloot.  Work on Ucto
 was funded by NWO, the Netherlands Organisation for Scientific Research,
 under the Implicit Linguistics project, the CLARIN-NL program, and the
 CLARIAH project.
 .
 Ucto is a product of the Centre of Language and Speech Technology (Radboud
 University Nijmegen), and previously the ILK Research Group
 (Tilburg University, The Netherlands).
 .
 If you are interested in machine parsing of UTF-8 encoded text files, e.g. to
 do scientific research in natural language processing, ucto will likely be of
 use to you.

Package: libudns-dev
Description-md5: f7e1718b4ad51c5c3af05484aa32361e
Description-en: async-capable DNS stub resolver library, development files
 This package provides development files needed
 to build programs using udns library

Package: libudns0
Description-md5: fabda7ec510ab1f638d3144800d903e0
Description-en: async-capable DNS stub resolver library
 libudns0 package provides libudns shared library needed
 to run programs using it

Package: libudt-dev
Description-md5: 35e484884975cf669f825b50aa1e7f30
Description-en: UDP-based Data Transfer Protocol - development files
 UDT is a reliable UDP based application level data transport protocol for
 distributed data intensive applications over wide area high-speed networks.
 UDT uses UDP to transfer bulk data with its own reliability control and
 congestion control mechanisms. The new protocol can transfer data at a much
 higher speed than TCP does. UDT is also a highly configurable framework that
 can accommodate various congestion control algorithms.
 .
 Install this package if you wish to develop your own programs using the
 UDP-based data transfer protocol library.

Package: libudt0v5
Description-md5: 7bb76e3a39a5fee2007702a132beb27e
Description-en: UDP-based Data Transfer Protocol
 UDT is a reliable UDP based application level data transport protocol for
 distributed data intensive applications over wide area high-speed networks.
 UDT uses UDP to transfer bulk data with its own reliability control and
 congestion control mechanisms. The new protocol can transfer data at a much
 higher speed than TCP does. UDT is also a highly configurable framework that
 can accommodate various congestion control algorithms.

Package: libudunits2-0
Description-md5: 95528a84707d9e78081ff76a0626c0c5
Description-en: Library for handling of units of physical quantities
 The UDUNITS package supports units of physical quantities (e.g., meters,
 seconds).  Specifically, it supports conversion between string and binary
 representations of units, arithmetic manipulation of units, and conversion of
 numeric values between compatible units. The package is written in the
 C programming language.

Package: libudunits2-data
Description-md5: 46fa47820cdc6693fe05b3d83f0a254e
Description-en: Data for handling of units of physical quantities
 The UDUNITS package supports units of physical quantities (e.g., meters,
 seconds).  Specifically, it supports conversion between string and binary
 representations of units, arithmetic manipulation of units, and conversion of
 numeric values between compatible units. The package is written in the
 C programming language.
 .
 This package provides the data files used by the library.

Package: libudunits2-dev
Description-md5: ec87fe450e6ec532f25e78be740ff789
Description-en: Development files for the libunits physical units package
 The UDUNITS package supports units of physical quantities (e.g., meters,
 seconds).  Specifically, it supports conversion between string and binary
 representations of units, arithmetic manipulation of units, and conversion of
 numeric values between compatible units. The package is written in the
 C programming language.
 .
 This package provides the include files and static libraries for development.

Package: libuecc-dev
Description-md5: 2a46413f9e2f56ee11801b956c0faeee
Description-en: development library and headers for libuecc
 libuecc is a general-purpose ECC library which uses a curve similar to djb's
 Curve25519 which is part of the NaCl library.

Package: libuecc0
Description-md5: 9899c682ef463ba7667d60dda7520c2f
Description-en: very small Elliptic Curve Cryptography library
 libuecc is a general-purpose ECC library. It uses a curve similar
 to djb's Curve25519 which is part of the NaCl library. Because
 of its small footprint, it is often employed on embedded devices
 like routers.

Package: libufo-bin
Description-md5: 94915bdd47d2b01ae2669e48e1185529
Description-en: Library for high-performance, GPU-based computing - tools
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 A gobject-instrospection binding is also provided to write scripts or
 user interfaces.
 .
 This package contains binaries to run JSON descriptions of task graphs.

Package: libufo-data
Description-md5: 8ec730482eb48e846b49bf0791acd24c
Description-en: Library for high-performance, GPU-based computing - data
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 A gobject-instrospection binding is also provided to write scripts or
 user interfaces.
 .
 This package provides the openCL kernel files and templates to write
 ufo filters

Package: libufo-dev
Description-md5: 22eb4372684abc881a1e4c51813d1449
Description-en: Library for high-performance, GPU-based computing - devel
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 A gobject-instrospection binding is also provided to write scripts or
 user interfaces.
 .
 This package contain the development files for libufo.

Package: libufo0
Description-md5: c0cf90469c2cae445ca659e62d007ec7
Description-en: Library for high-performance, GPU-based computing - runtime
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 A gobject-instrospection binding is also provided to write scripts or
 user interfaces.
 .
 This package provides the runtime for libufo.

Package: libufpidentity-dev
Description-md5: 487f4859cd423271467dc3f37e05b66f
Description-en: UFP Identity development library for C applications
 This library allows C applications to interact with the UFP Identity
 service. Use this package if you want to develop applications that
 interact with UFP Identity.

Package: libufpidentity1
Description-md5: 5bc0640a300fead34c82268eb2966e8b
Description-en: UFP Identity library for C applications
 This library allows C applications to interact with the UFP Identity
 service. Use this package if you want to run applications that interact
 with UFP Identity.

Package: libuhd-dev
Description-md5: f8edaf46d0a638913587db84e0747e63
Description-en: universal hardware driver for Ettus Research products - headers
 Host library for the Universal Hardware Driver for Ettus Research products.
 .
 The supported devices provide analog radio receiver and transmitter hardware
 along with digital interfaces for getting signals to and from a software
 defined radio running on the host computer.
 .
 This package contains the header files for developing with libuhd.
 Doxygen generated documentation is in the uhd-host package.

Package: libuhd3.15.0
Description-md5: 2d35e5079b207b2c09a1467241fa97f6
Description-en: universal hardware driver for Ettus Research products - library
 Host library for the Universal Hardware Driver for Ettus Research products.
 .
 The supported devices provide analog radio receiver and transmitter hardware
 along with digital interfaces for getting signals to and from a software
 defined radio running on the host computer.

Package: libuhttpmock-0.0-0
Description-md5: 7c7b8dbbf03a4b5efa87527647981a46
Description-en: HTTP web service mocking - development files
 uhttpmock is a HTTP web service mocking project for projects which use
 libsoup. It is still in its infancy, but is already used by libgdata
 for unit testing.

Package: libuhttpmock-dev
Description-md5: 75fcd131f84331dd75bc616ad13a22c2
Description-en: HTTP web service mocking - development files
 uhttpmock is a HTTP web service mocking project for projects which use
 libsoup. It is still in its infancy, but is already used by libgdata
 for unit testing.
 .
 This package contains the development files used when building and the
 API documentation.

Package: libui-dialog-perl
Description-md5: eb066e25654a0fe21ef5c215bdc65e59
Description-en: UI::Dialog a wrapper for various dialog applications
 UI::Dialog is an OOPerl wrapper for various dialog applications.
 These dialog backends are currently supported: Zenity, XDialog,
 GDialog, KDialog, CDialog, and Whiptail. There is also an ASCII
 backend provided as a last resort interface for console based
 dialog variants. UI::Dialog is a class that provides a strict
 interface to these various backend modules.

Package: libui-gxmlcpp-dev
Description-md5: 6ab732ff60cd6778a899ebdf934e35fe
Description-en: High-level C++ wrapper library for libxml2/libxslt (development)
 "ui-gxmlcpp" is a high-level C++ wrapper around libxml2 and
 libxslt. It might be a choice for if your needs are some subset
 of:
 .
  - XML DOM Tree parsing.
  - Basic read/write support from/to trees via XPath.
  - Serialization.
  - Stylesheets and stylesheet translation support.
  - XMLSchema and RelaxNG validation.
 .
 If your needs are "lower-level" (e.g., proper DOM tree API
 support or SAX parsing), "gdome2" or "xml++" will be the right
 choices.
 .
 This package provides all files needed for development.

Package: libui-gxmlcpp5v5
Description-md5: a06e5e56cdbcc9da6ef72b88e9180107
Description-en: High-level C++ wrapper library for libxml2/libxslt (run time)
 "ui-gxmlcpp" is a high-level C++ wrapper around libxml2 and
 libxslt. It might be a choice for if your needs are some subset
 of:
 .
  - XML DOM Tree parsing.
  - Basic read/write support from/to trees via XPath.
  - Serialization.
  - Stylesheets and stylesheet translation support.
  - XMLSchema and RelaxNG validation.
 .
 If your needs are "lower-level" (e.g., proper DOM tree API
 support or SAX parsing), "gdome2" or "xml++" will be the right
 choices.
 .
 This package provides run time support.

Package: libui-utilcpp-dev
Description-md5: 52033507f5e1f545e0a1aae59a047135
Description-en: UI C++ utility library (development)
 A toolbox-like C++ library, with a diverse set of utilities:
 .
  * Exception: Generic Exception classes and THROW macros.
  * Sys: C++ "exception" wrappers for a wide range of system C functions.
  * Cap: Capabilities C++ wrapper.
  * CharsetMagic: Charset guessing.
  * Recoder: Charset recoding.
  * Time: Misc date and time utilities.
  * File: Misc file utilities.
  * Text: Misc string utilities.
  * http/: Minimal HTTP implementiation.
  * PosixRegex: Simple wrapper for C 'regexec'.
  * QuotaInfo: Wrapper to fs quota information.
  * SMLog[Mono]: Syslog Macro Log.
  * Socket: Simple Socket abstraction (inet+unix).
  * Thread: Process based pseudo thread abstraction.
  * CmdLine: Create CLI-like programs.
  * GetOpt: Abstraction of GNU C getopt_long(3).
 .
 This package provides all files needed for development.

Package: libui-utilcpp9v5
Description-md5: 1af99cc3b21271a2e8495641ceb23749
Description-en: UI C++ utility library (run time)
 A toolbox-like C++ library, with a diverse set of utilities:
 .
  * Exception: Generic Exception classes and THROW macros.
  * Sys: C++ "exception" wrappers for a wide range of system C functions.
  * Cap: Capabilities C++ wrapper.
  * CharsetMagic: Charset guessing.
  * Recoder: Charset recoding.
  * Time: Misc date and time utilities.
  * File: Misc file utilities.
  * Text: Misc string utilities.
  * http/: Minimal HTTP implementiation.
  * PosixRegex: Simple wrapper for C 'regexec'.
  * QuotaInfo: Wrapper to fs quota information.
  * SMLog[Mono]: Syslog Macro Log.
  * Socket: Simple Socket abstraction (inet+unix).
  * Thread: Process based pseudo thread abstraction.
  * CmdLine: Create CLI-like programs.
  * GetOpt: Abstraction of GNU C getopt_long(3).
 .
 This package provides run time support.

Package: libuid-wrapper
Description-md5: d062b518e83065c069a603ac2b2892b6
Description-en: UID wrapper library
 This is a wrapper for the user, group and hosts NSS API that can
 simulate uid switching as a regular user. This is useful for
 testsuites that run as a regular user but need to test
 privileged functions.
 .
 It works as a preload library, which overrides various
 system functions.

Package: libuil4
Description-md5: c8ce82835779a77d3fd951501d3c8592
Description-en: Motif - UIL (User Interface Language) shared library
 Motif is the industry standard GUI component toolkit for *NIX.
 .
 This package contains the UIL (User Interface Language) shared library.

Package: libuim-custom2
Description-md5: 95ecf48b184888c048deac99b4aeb3e8
Description-en: Universal Input Method - uim-custom API library
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains the shared library for uim-custom API.

Package: libuim-data
Description-md5: 068efe7b1014038b3cda788a220539d9
Description-en: transitional package for uim-data
 This is a transitional package. It will be removed next release.

Package: libuim-dev
Description-md5: c93b7ee9698316e85277bf4058c29558
Description-en: Universal Input Method - development files
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains header files and static libraries for uim development.

Package: libuim-scm0
Description-md5: 3b232a735696f1e6e82c20b0998e8f53
Description-en: Universal Input Method - uim-scm API library
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains the shared library for uim-scm API.

Package: libuim8
Description-md5: be939239d1627c4303df37c61d2d92f9
Description-en: Universal Input Method - uim library
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains the shared libraries for uim.

Package: libuima-adapter-soap-java
Description-md5: 6aa85db5c519fc610daa47bf0df0a0e9
Description-en: Library to provide SOAP web services within UIMA
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This library implements in Java an adapter to connect a SOAP web service with
 the UIMA framework.

Package: libuima-adapter-vinci-java
Description-md5: 44c60c3c089fd641963034d061ef3d59
Description-en: Library to provide Vinci web services within UIMA
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This library implements in Java an adapter to connect a Vinci web service with
 the UIMA framework.

Package: libuima-addons-java
Description-md5: f5dc98a4ad1fb7d6a982d5c51a34740a
Description-en: Apache UIMA Addons
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 UIMA Addons is a collection of Annotators extracted for sandbox for
 official distribution. It also provide Simple Server and Pear packaging tools.

Package: libuima-as-java
Description-md5: ec2ab98f823643f0ef8920c5c9c6bddb
Description-en: Apache UIMA Asynch Scaleout framework
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 UIMA Asynch Scaleout (or UIMA AS) is an extension to the Apache UIMA
 framework and SDK, using JMS messaging to support scaleout.

Package: libuima-as-java-doc
Description-md5: b6a13e9fa3c37b8b44bc9f52c6c8e517
Description-en: Documentation for Apache UIMA Asynch Scaleout framework
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 UIMA Asynch Scaleout (or UIMA AS) is an extension to the Apache UIMA
 framework and SDK, using JMS messaging to support scaleout.
 .
 This package contains the API documentation of libuima-as-java.

Package: libuima-core-java
Description-md5: 6fe6c8258a2df8bf262d7ff50daace2b
Description-en: Core library for the UIMA framework
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This library implements in Java the core features of the UIMA specification.

Package: libuima-cpe-java
Description-md5: cbdc345337f5979eb19b3a0ae8f0b85a
Description-en: Library for the UIMA Collection Processing Engine
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This library implements in Java the collection processing engine for the UIMA
 framework.

Package: libuima-document-annotation-java
Description-md5: 254922bc63f5c810248f335e12f20c28
Description-en: Library for the UIMA document annotation
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This library implements in Java the document annotation for the UIMA framework.

Package: libuima-tools-java
Description-md5: 5b2e3a94ec8dfa7aa0896889bb91d90b
Description-en: UIMA library for the UIMA tools
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This library implements in Java the classes for the tools provided with the
 Apache UIMA framework.

Package: libuima-vinci-java
Description-md5: 58b6123281e18b5386a70aac15487384
Description-en: Library to handle Vinci web service protocol
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This library is part of the UIMA framework. It implements in Java the code
 necessary to handle the Vinci web service protocol.

Package: libukui-menu-dev
Description-md5: 86370f44f2ee3e87a04214a9532b7d0d
Description-en: implementation of the freedesktop menu specification for UKUI (dev)
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org:
 .
 http://www.freedesktop.org/Standards/menu-spec
 .
 This package contains the development files.

Package: libukui-menu2
Description-md5: a1b11fc5b82aafc4693a52dfbb7a7b7e
Description-en: implementation of the freedesktop menu specification for UKUI (library)
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org:
 .
 http://www.freedesktop.org/Standards/menu-spec
 .
 This package contains the actual library files.

Package: libukwm-1-0
Description-md5: b08ac63f05af36b7b78c630c30807235
Description-en: window manager library from the Ukwm window manager
 Ukwm is a small window manager, using GTK+ and Clutter to do
 everything.
 .
 Ukwm is the clutter-based evolution of Metacity, which, as the
 author says, is a "Boring window manager for the adult in you. Many
 window managers are like Marshmallow Froot Loops; Metacity is like
 Cheerios."
 .
 This package contains the window manager shared library, used by ukwm
 itself, and gnome-shell.

Package: libukwm-1-dev
Description-md5: 317c675071c45ac284af1ad06bcc4eca
Description-en: Development files for the Ukwm window manager
 Ukwm is a small window manager, using GTK+ and Clutter to do
 everything.
 .
 Ukwm is the clutter-based evolution of Metacity, which, as the
 author says, is a "Boring window manager for the adult in you. Many
 window managers are like Marshmallow Froot Loops; Metacity is like
 Cheerios."
 .
 This package contains the development files.

Package: libulfius-dev
Description-md5: a211182ebfc67e9d494dc96551bd3a7a
Description-en: Web Framework for REST Applications in C - development
 Based on GNU Libmicrohttpd for the backend web server, Jansson for the
 json manipulation library, and Libcurl for the http/smtp client API.
 .
 Used to facilitate creation of web applications in C programs with a
 small memory footprint, as in embedded systems applications.
 .
 One can create webservices in HTTP or HTTPS mode, stream data, or
 implement server websockets.
 .
 This package contains the development files.

Package: libulfius2.5
Description-md5: 0f6f71402b67cc0e5db473ef700a5373
Description-en: Web Framework for REST Applications in C
 Based on GNU Libmicrohttpd for the backend web server, Jansson for the
 json manipulation library, and Libcurl for the http/smtp client API.
 .
 Used to facilitate creation of web applications in C programs with a
 small memory footprint, as in embedded systems applications.
 .
 One can create webservices in HTTP or HTTPS mode, stream data, or
 implement server websockets.

Package: libumad2sim0
Description-md5: f353e396e02cc90660f28f13a719acd4
Description-en: InfiniBand fabric simulator
 ibsim provides a simulation of an InfiniBand fabric,
 which can be used by the opensm subnet manager and
 infiniband diagnostics and management tools.
 .
 This package provides an LD_PRELOADable library which
 will make applications use the simulated fabric.

Package: libumlib-dev
Description-md5: 76efbfcb3838170168817a1a68237cf2
Description-en: View-OS in user space - Development files
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains headers and library needed to write and compile new
 UMView modules. For some simple module examples, you can take a look to the
 existing modules (expecially in the um_testmodule directory of the source
 tree).

Package: libumlib0
Description-md5: 3ec724eed8bc9258cd2277b2f67d8bae
Description-en: View-OS in user space - Support library for modules
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains a library with common functions used by every UMView
 module.

Package: libumockdev-dev
Description-md5: e20e1fd5f7c452d037e06ca09da31d81
Description-en: development files and documentation for libumockdev
 umockdev mocks hardware devices for creating unit tests for libraries and
 programs that handle Linux hardware devices.
 .
 This library provides an UMockdevTestbed class for running a program or test
 suite under a test bed with the previously recorded devices loaded.
 .
 Right now this covers sysfs, uevents, basic support for /dev devices, and
 recording/mocking usbdevfs ioctls (for PtP/MTP devices).
 .
 With this you can simulate particular hardware in virtual environments up to
 some degree, without needing any particular privileges or disturbing the whole
 system.
 .
 The library supports gobject-introspection and builds a VAPI, so that you can
 also use it with Python, JavaScript, and other languages which support GI, and
 Vala.
 .
 This package contains the header, development, and documentation files which
 are needed for building umockdev applications.

Package: libumockdev0
Description-md5: ebb621f9941ee1cde03190e72e506f3c
Description-en: hardware mocking testbed for bug reports and regression tests
 umockdev mocks hardware devices for creating unit tests for libraries and
 programs that handle Linux hardware devices.
 .
 This library provides an UMockdevTestbed class for running a program or test
 suite under a test bed with the previously recorded devices loaded.
 .
 Right now this covers sysfs, uevents, basic support for /dev devices, and
 recording/mocking usbdevfs ioctls (for PtP/MTP devices).
 .
 With this you can simulate particular hardware in virtual environments up to
 some degree, without needing any particular privileges or disturbing the whole
 system.
 .
 The library supports gobject-introspection and builds a VAPI, so that you can
 also use it with Python, JavaScript, and other languages which support GI, and
 Vala.

Package: libunac1
Description-md5: be40c1dae6c807ae5eb00bec195a3579
Description-en: unac programming library - runtime version
 Unac is a C programmer's library that removes accents from a string.
 .
 This package contains only the shared library libunac.so.* and copyright
 information. It is only necessary for programs that use this library. If you
 plan on doing development with Unac, you will need the companion -dev
 package as well.

Package: libunac1-dev
Description-md5: e7c2e36a80abe9014ff2625c15b34b1b
Description-en: C programmer's library that removes accents from a string
 Unac is a C programmer's library that removes accents from a string.
 For instance the string été will become ete.  It provides a command
 line interface that removes accents from a input flow or a string
 given in argument (unaccent command). In the library function and the
 command, the charset of the input is specified as an argument. The
 input is converted to UTF-16 using iconv(3), accents are stripped and
 the result is converted back to the original charset. The iconv --list
 command on GNU/Linux will show all charset supported.

Package: libunarr-dev
Description-md5: 0bf69f0d77ea7348a66911ebcdd3102a
Description-en: Decompression library for RAR, TAR, ZIP and 7z archives (devel)
 unarr originated as a port of the RAR extraction features from
 The Unarchiver project required for extracting images from comic
 book archives (.cbr). It was written as an alternative to
 libarchive which didn't have support for parsing filters or solid
 compression at the time.
 .
 This package contains development tools: headers and unversioned libraries.

Package: libunarr1
Description-md5: 0fa5d1d6a03ac15f1b6be3ec65f3d9b6
Description-en: Decompression library for RAR, TAR, ZIP and 7z archives (runtime)
 unarr originated as a port of the RAR extraction features from
 The Unarchiver project required for extracting images from comic
 book archives (.cbr). It was written as an alternative to
 libarchive which didn't have support for parsing filters or solid
 compression at the time.
 .
 This package holds the runtime library.

Package: libunbescape-java
Description-md5: 7ab5b01ce57340ec47d1eca618b6142e
Description-en: advanced yet easy-to-use escape/unescape library for Java
 unbescape is a Java library aimed at performing fully-featured and
 high-performance escape and unescape operations for:
 .
  * HTML5 and HTML 4
  * XML 1.0 and XML 1.1
  * JavaScript
  * JSON
  * URI / URL (both paths and query parameters)
  * CSS (both identifiers and string literals)
  * CSV (Comma-Separated Values)
  * Java literals
  * Java .properties files (both keys and values)
 .
 Its goals are:
 .
  * To be easy to use. Few lines of code needed. No additional dependencies.
  * To be fast. Faster and lighter than most other options available in Java.
  * To be versatile. Provides different escaping types and levels in order to
    better adapt to different scenarios and contexts.
  * To be feature-complete. Includes full HTML5 support, careful implementation
    of the JavaScript, JSON, Java, etc specifications, streaming support...

Package: libunbescape-java-doc
Description-md5: ade9cefc1b571ae97fd2266e39499104
Description-en: escape/unescape library for Java (documentation)
 This package contains the API documentation of libunbescape-java,
 a Java library aimed at performing fully-featured and
 high-performance escape and unescape operations.

Package: libuncommons-maths-java
Description-md5: bbfc507a583b58254606e864fb382d98
Description-en: Maths library for Java
 The Uncommons Maths library provides easy-to-use APIs for random number
 generators, probability distributions, combinatorics and statistics.

Package: libuncommons-maths-java-doc
Description-md5: 3f9949f4e28cbbef4c7686daadfe43af
Description-en: Maths library for Java - Documentations
 The Uncommons Maths library provides easy-to-use APIs for random number
 generators, probability distributions, combinatorics and statistics.
 .
 This package contains the API Javadoc.

Package: libuncommons-watchmaker-framework-java
Description-md5: 51b2195b3ff7d21fefa3e54243cb8cb4
Description-en: Framework for Evolutionary Computation
 The Watchmaker Framework for Evolutionary Computation is an extensible,
 high-performance, object-oriented framework for implementing
 platform-independent evolutionary algorithms in Java.

Package: libuncommons-watchmaker-swing-java
Description-md5: e23b141d16ac5340bb39f638cdcf706b
Description-en: Framework for Evolutionary Computation - Swing library
 The Watchmaker Framework for Evolutionary Computation is an extensible,
 high-performance, object-oriented framework for implementing
 platform-independent evolutionary algorithms in Java.
 .
 This package provides classes to simplify the development of Swing GUIs for
 evolutionary programs.

Package: libundead-dev
Description-md5: 640e121df7b38c97ad5d0d4f355a857b
Description-en: Obsolete Phobos modules - development files
 Need an obsolete Phobos module? Here they are, back from the dead and
 upgraded to work with the latest D.
 .
 Current modules included:
 .
   std.bitarray
   std.date
   std.datebase
   std.dateparse
   std.regexp
   std.stream and friends
 .
 This package contains development files for D.

Package: libundead0
Description-md5: c02b9788c680a0402ffff21e489618ad
Description-en: Obsolete Phobos modules upgraded to work with the latest D
 Need an obsolete Phobos module? Here they are, back from the dead and
 upgraded to work with the latest D.
 .
 Current modules included:
 .
   std.bitarray
   std.date
   std.datebase
   std.dateparse
   std.regexp
   std.stream and friends

Package: libundertow-java
Description-md5: c26e14650420d4c90adf39e6f7bccf3a
Description-en: flexible performant web server written in Java
 Undertow is a flexible performant web server written in Java, providing both
 blocking and non-blocking APIs based on NIO.
 .
 Undertow has a composition based architecture that allows you to build a web
 server by combining small single purpose handlers. This gives you the
 flexibility to choose between a full Java EE servlet 4.0 container, or a low
 level non-blocking handler, to anything in between.
 .
 Undertow is designed to be fully embeddable, with easy to use fluent builder
 APIs. Undertow’s lifecycle is completely controlled by the embedding
 application.

Package: libundertow-java-doc
Description-md5: c0d82c31e9a55512b28b3c3dd64398ff
Description-en: Documentation for Undertow
 Undertow is a flexible performant web server written in Java, providing both
 blocking and non-blocking APIs based on NIO.
 .
 This package contains the API documentation of libundertow-java.

Package: libunibilium-dev
Description-md5: cad10c85ad0932f80aa57062adfc8027
Description-en: simple, self-contained terminfo library (development files)
 This package contains the header files and documentation needed for developing
 with libunibilium.

Package: libunibilium4
Description-md5: 492d3c40641f4af4eccb7d3feb0b0e81
Description-en: simple, self-contained terminfo library
 Unibilium is a very basic terminfo library. It doesn't depend on curses or any
 other library. It also doesn't use global variables, so it should be
 thread-safe.

Package: libunibreak-dev
Description-md5: 4eed694f39896b42f5ce022c707de3a1
Description-en: line breaking library for Unicode (development files)
 libunibreak is an implementation of the Unicode line breaking algorithm
 described at http://www.unicode.org/reports/tr29/tr29-21.html
 .
 This package contains development header files.

Package: libunibreak-doc
Description-md5: 1ffee49bce61017651620e606fec4466
Description-en: line breaking library for Unicode (documentation)
 libunibreak is an implementation of the Unicode line breaking algorithm
 described at http://www.unicode.org/reports/tr29/tr29-21.html
 .
 This package contains documentation.

Package: libunibreak1
Description-md5: 43ee9c457625dcb10766a371df1dc7b7
Description-en: line breaking library for Unicode (shared library)
 libunibreak is an implementation of the Unicode line breaking algorithm
 described at http://www.unicode.org/reports/tr29/tr29-21.html
 .
 This package contains shared library to dynamically link with.

Package: libunicap-docs
Description-md5: 09c3c1675ce25d9754fcc8ff81280b8a
Description-en: unified interface to video capture devices - documentation
 Unicap provides a uniform interface to video capture devices. It allows
 applications to use any supported video capture device via a single
 API.
 .
 Unicap offers a high level of hardware abstraction while maintaining
 maximum performance. Zero copy capture of video buffers is possible for
 devices supporting it allowing fast video capture with low CPU usage
 even on low-speed architectures.
 .
 This package contains documentation.

Package: libunicap2
Description-md5: ddd4f7e30a6520061a0a2cb197f951b2
Description-en: unified interface to video capture devices - shared libraries
 Unicap provides a uniform interface to video capture devices. It allows
 applications to use any supported video capture device via a single
 API.
 .
 Unicap offers a high level of hardware abstraction while maintaining
 maximum performance. Zero copy capture of video buffers is possible for
 devices supporting it allowing fast video capture with low CPU usage
 even on low-speed architectures.
 .
 This package contains the shared libraries.

Package: libunicap2-dev
Description-md5: b63ef7b87ebd61fb8e1a0f2f88863027
Description-en: unified interface to video capture devices - development files
 Unicap provides a uniform interface to video capture devices. It allows
 applications to use any supported video capture device via a single
 API.
 .
 Unicap offers a high level of hardware abstraction while maintaining
 maximum performance. Zero copy capture of video buffers is possible for
 devices supporting it allowing fast video capture with low CPU usage
 even on low-speed architectures.
 .
 This package contains the development headers and static libraries.

Package: libunicode-casefold-perl
Description-md5: 061ec4b622abe674de0c56a977c9d176
Description-en: module for Unicode case-folding
 Unicode::CaseFold provides Unicode case-folding for Perl. Case-folding is a
 tool that allows a program to make case-insensitive string comparisons or do
 case-insensitive lookups.

Package: libunicode-collate-perl
Description-md5: e0bed90b870a6dd31394b8c1fd3e942d
Description-en: Unicode collation algorithm built with fast XSUB
 Written by SADAHIRO Tomoyuki, this module contains several functions to
 collate (sort) Unicode characters. This package is optimised in XS.
 .
 Unicode::Collate is an implementation of Unicode Technical Standard #10,
 the Unicode Collation Algorithm.

Package: libunicode-escape-perl
Description-md5: 376e8536352c35f6e33873ef7c6a3237
Description-en: escape and unescape Unicode characters
 Unicode::Escape is a Perl library to escape and unescape Unicode
 characters other than ASCII.
 .
 When the server response is javascript code, this is convenient.

Package: libunicode-japanese-perl
Description-md5: cf9c82fa229460efafd93ff49438335c
Description-en: module for converting Japanese encodings
 Unicode::Japanese is a Perl module for converting Japanese encodings. It has
 support for conversion between ZENKAKU and HANKAKU, and safely handles EMOJI
 for mobile devices (DoCoMo i-mode, ASTEL dot-i and J-PHONE J-Sky).

Package: libunicode-linebreak-perl
Description-md5: 064e5d14c788a9625ef41965b9c36e7e
Description-en: UAX #14 Unicode Line Breaking Algorithm
 Unicode::LineBreak performs Line Breaking Algorithm described in Unicode
 Standards Annex #14 [UAX #14]. East_Asian_Width informative properties
 defined by Annex #11 [UAX #11] will be concerned to determine breaking
 positions.

Package: libunicode-map-perl
Description-md5: cbee77d20b7a412a83cbc9de49175b60
Description-en: Perl module for mapping charsets from and to UTF16 Unicode
 This module converts strings from and to 2-byte Unicode UCS2 format.
 All mappings happen via 2 byte UTF16 encodings, not via 1 byte UTF8
 encoding.  To convert between UTF8 and UTF16 use Unicode::String.
 .
 For historical reasons this module coexists with Unicode::Map8.
 Please use Unicode::Map8 unless you need to care for >1 byte
 character sets, e.g. chinese GB2312.  Anyway, if you stick to the
 basic functionality (see documentation) you can use both modules
 equivalently.
 .
 The 'libwww-perl' package is needed to run the example mirrorMappings.

Package: libunicode-map8-perl
Description-md5: 5c749eaf49facf816ecb44c5e841d059
Description-en: module to map 8bit character sets to Unicode
 Unicode::Map8 is a Perl module that implements efficient mapping tables for
 conversion between 8-bit character sets and 16 bit Unicode. There are about
 170 mapping tables between various character sets, based on vendor mapping
 tables provided by Unicode, Inc. and code tables in RFC1345.

Package: libunicode-maputf8-perl
Description-md5: a63b829a9cba7ef1e40b402c9fee483c
Description-en: Perl module for converting between any character sets and UTF8
 Unicode::MapUTF8 provides an adapter layer between core routines for
 converting to and from UTF8 and other encodings.  In essence, a way
 to give multiple existing Unicode modules a single common interface
 so you don't have to know the underlying implementations to do
 simple UTF8 to-from other character set encoding conversions.  As
 such, it wraps the Unicode::String, Unicode::Map8, Unicode::Map and
 Jcode modules in a standardized and simple API.
 .
 This also provides general character set conversion operation based
 on UTF8 - it is possible to convert between any two compatible and
 supported character sets via a simple two step chaining of
 conversions.
 .
 As with most things Perlish - if you give it a few big chunks of text
 to chew on instead of lots of small ones it will handle many more
 characters per second.
 .
 By design, it can be easily extended to encompass any new charset
 encoding conversion modules that arrive on the scene.

Package: libunicode-string-perl
Description-md5: 8a113d24b6f7b932bed6d7b198381cff
Description-en: Older Perl modules for Unicode string manipulation
 This package provides Unicode::String, which manipulates strings of
 characters in various Unicode representations, and Unicode::CharName,
 which maps Unicode characters to official character names.
 .
 These modules predate native Unicode support inside Perl.  Normally, the
 integrated Perl Unicode support and modules such as Encode should be used
 instead of these modules.  They are provided primarily for their
 additional utility functions and to support other Perl code with
 dependencies on these modules.  Be aware that Unicode::CharName only
 includes Unicode characters up to Unicode 4.1 and the character name
 tables included with Perl are more complete.

Package: libunicode-stringprep-perl
Description-md5: ae2c87c9d22abc04804e7b5af5328572
Description-en: Perl module for preparation of Internationalized Strings (RFC 3454)
 Unicode::Stringprep implements the stringprep framework for preparing Unicode
 text strings in order to increase the likelihood that string input and
 string comparison work in ways that make sense for typical users
 throughout the world. The stringprep protocol is useful for protocol
 identifier values, company and personal names, internationalized domain
 names, and other text strings. The stringprep framework does not specify
 how protocols should prepare text strings. Protocols must create profiles
 of stringprep in order to fully specify the processing options.

Package: libuniconf4.6
Description-md5: 8e55435d89b943e72ed8aaab443a407e
Description-en: C++ network libraries for rapid application development
 UniConf is a configuration system that can serve as the centrepiece among
 many other, existing configuration systems, such as:
  * GConf
  * KConfig
  * Windows registry
  * Mutt ;)
 .
 UniConf can also be accessed over the network, with authentication, allowing
 easy replication of configuration data via the UniReplicateGen.

Package: libuninameslist-dev
Description-md5: cced08fee8b0cff895c14321280db1a8
Description-en: library of Unicode annotation data (development files)
 The library contains a large array with one entry for each unicode code
 point (U+0000 - U+10FFFF). Each entry contains two strings, a name and
 an annotation. The library also contains a list of all the Unicode blocks.
 .
 This package contains the runtime library's development files.

Package: libuninameslist1
Description-md5: 7a72092a2f6b5a9c8f83138a239c1f62
Description-en: library of Unicode annotation data
 The library contains a large array with one entry for each unicode code
 point (U+0000 - U+10FFFF). Each entry contains two strings, a name and
 an annotation. The library also contains a list of all the Unicode blocks.
 .
 This package contains the runtime library.

Package: libuninum-dev
Description-md5: 6754fae98acea3485658c5cd129be13f
Description-en: development files for Libuninum library
 Libuninum is a library for converting Unicode strings to numbers and numbers to
 Unicode strings. Standard functions like strtoul, strtod, and sprintf do
 this for numbers written in the usual Western number system using the
 Indo-Arabic numerals, but they do not handle other number systems.
 .
 Libuninum can handle them properly.
 .
 This package contains files needed if you wish to use the libstatgrab
 library in your own programs.

Package: libuninum5
Description-md5: 91b2e69ec53880981cc3ace09563138c
Description-en: library for handling numbers as Unicode strings
 Libuninum is a library for converting Unicode strings to numbers and numbers to
 Unicode strings. Standard functions like strtoul, strtod, and sprintf do
 this for numbers written in the usual Western number system using the
 Indo-Arabic numerals, but they do not handle other number systems.
 .
 Libuninum can handle them properly.

Package: libunique-1.0-0
Description-md5: c9a904ea2ae3ba5f0fdfe69ab466dfaa
Description-en: Library for writing single instance applications - shared libraries
 Unique is a library for writing single instance application.
 If you launch a single instance application twice, the second
 instance will either just quit or will send a message to the
 running instance.
 .
 Unique makes it easy to write this kind of applications, by
 providing a base class, taking care of all the IPC machinery
 needed to send messages to a running instance, and also handling
 the startup notification side.
 .
 This package contains the shared libraries.

Package: libunique-dev
Description-md5: 51e77def53a11cb57b60fdf525eea7ec
Description-en: Library for writing single instance applications - development files
 Unique is a library for writing single instance application.
 If you launch a single instance application twice, the second
 instance will either just quit or will send a message to the
 running instance.
 .
 Unique makes it easy to write this kind of applications, by
 providing a base class, taking care of all the IPC machinery
 needed to send messages to a running instance, and also handling
 the startup notification side.
 .
 This package contains the headers and development libraries needed to
 build applications using the Unique library

Package: libunique-doc
Description-md5: da1ffbd76a5852b1fcc66ca3013bac5c
Description-en: Library for writing single instance applications - documentation
 Unique is a library for writing single instance application.
 If you launch a single instance application twice, the second
 instance will either just quit or will send a message to the
 running instance.
 .
 Unique makes it easy to write this kind of applications, by
 providing a base class, taking care of all the IPC machinery
 needed to send messages to a running instance, and also handling
 the startup notification side.
 .
 This package contains the HTML documentation for the Unique library

Package: libunirest-java-java
Description-md5: 78034e335cc8025eac37359ce1b60c60
Description-en: Simplified, lightweight HTTP client library
 Unirest is a set of lightweight HTTP libraries
 available in multiple languages
 .
 Features:
 .
  * Make GET, POST, PUT, PATCH, DELETE, HEAD, OPTIONS requests
  * Both synchronous and asynchronous (non-blocking) requests
  * It supports form parameters, file uploads and custom body entities
  * Easily add route parameters without ugly string concatenations
  * Supports gzip
  * Supports Basic Authentication natively
  * Customizable timeout, concurrency levels and proxy settings
  * Customizable default headers for every request (DRY)
  * Customizable HttpClient and HttpAsyncClient implementation
  * Automatic JSON parsing into a native object for JSON responses
  * Customizable binding, with mapping from response body to java Object

Package: libunittest++-dev
Description-md5: 2d9ff155ab5dacb122b9e87228aa9dcd
Description-en: unit testing framework for c++, static library and headers
 unittest++ is a unit testing framework designed for simplicity
 and portability. Features include no explicit test registration,
 good crash handling, fixtures, rich condition-checking functionality,
 minimal footprint for embedded environments.

Package: libunittest++2
Description-md5: 3b777e70403137d7cb1251d78d67a8d6
Description-en: unit testing framework for c++, runtime library
 unittest++ is a unit testing framework designed for simplicity
 and portability. Features include no explicit test registration,
 good crash handling, fixtures, rich condition-checking functionality,
 minimal footprint for embedded environments.
 .
 Provides the Unittest library

Package: libunity-api-dev
Description-md5: 4bb02513618bd0168cb34b1162374241
Description-en: Header files for Unity API
 Library to integrate with the Unity shell (dev files)

Package: libunity-api-doc
Description-md5: 7d5e94f7e0e24c9a34633f1093f27777
Description-en: Documentation for Unity API
 Library to integrate with the Unity shell (documentation)

Package: libunity-api0
Description-md5: 015cd0fcdda85de41af6e36db1cbe306
Description-en: API for Unity shell integration
 Library to integrate with the Unity shell

Package: libunity-control-center-dev
Description-md5: d94b8cc23eade4594fdcf9cbed49b9fd
Description-en: utilities to configure the GNOME desktop
 This package contains the files needed to build Control Center panels

Package: libunity-control-center1
Description-md5: d35b85c0b8b73f7bf4cf19c7aa215660
Description-en: utilities to configure the GNOME desktop
 This package contains the library used by Control Center panels

Package: libunity-core-6.0-9
Description-md5: 2ee857fee5d2f8dbac3e4840d118df06
Description-en: core library for the Unity interface
 Unity is a desktop experience that sings. Designed by Canonical and the Ayatana
 community, Unity is all about the combination of familiarity and the future. We
 bring together visual design, analysis of user experience testing, modern
 graphics technologies and a deep understanding of the free software landscape
 to produce what we hope will be the lightest, most elegant and most delightful
 way to use your PC.
 .
 This package contains shared libraries to be used by unity-3d.

Package: libunity-core-6.0-dev
Description-md5: 9d4511f2e1fa9f78a3708127328fd86b
Description-en: Core library for the Unity interface - development files
 Unity is a desktop experience that sings. Designed by Canonical and the Ayatana
 community, Unity is all about the combination of familiarity and the future. We
 bring together visual design, analysis of user experience testing, modern
 graphics technologies and a deep understanding of the free software landscape
 to produce what we hope will be the lightest, most elegant and most delightful
 way to use your PC.
 .
 This package contains development files for libraries to be used by unity.

Package: libunity-gtk-parser-dev-common
Description-md5: 626a0a177d2b39396e5bbe48d64c4064
Description-en: Common files for GtkMenuShell to GMenuModel parser
 This library converts GtkMenuShells into GMenuModels.
 .
 This package contains common headers and documentation.

Package: libunity-gtk2-parser-dev
Description-md5: 0e6949492c772089528476a1a8243018
Description-en: GtkMenuShell to GMenuModel parser
 This library converts GtkMenuShells into GMenuModels.
 .
 This package contains pkg-config files and libraries.

Package: libunity-gtk2-parser0
Description-md5: 204500b413c2c01152d690e2dffea312
Description-en: GtkMenuShell to GMenuModel parser
 This library converts GtkMenuShells into GMenuModels.

Package: libunity-gtk3-parser-dev
Description-md5: 0e6949492c772089528476a1a8243018
Description-en: GtkMenuShell to GMenuModel parser
 This library converts GtkMenuShells into GMenuModels.
 .
 This package contains pkg-config files and libraries.

Package: libunity-gtk3-parser0
Description-md5: 204500b413c2c01152d690e2dffea312
Description-en: GtkMenuShell to GMenuModel parser
 This library converts GtkMenuShells into GMenuModels.

Package: libunity-misc-dev
Description-md5: be205441b197c106735472a240f3781f
Description-en: Miscellaneous functions for Unity - development files
 libunity-misc is a shared library that provides miscellaneous functions for
 Unity.
 .
 This package contains files that are needed to build applications.

Package: libunity-misc-doc
Description-md5: 7c6b11eb68d32f1b14e41a9bb4ed9262
Description-en: Miscellaneous functions for Unity - documentation
 libunity-misc is a shared library that provides miscellaneous functions for
 Unity.
 .
 This package contains the documentation.

Package: libunity-misc4
Description-md5: bb4bad60843732bf6465ea6c2b39f009
Description-en: Miscellaneous functions for Unity - shared library
 libunity-misc is a shared library that provides miscellaneous functions for
 Unity.
 .
 This package contains shared libraries to be used by application.

Package: libunity-scopes-json-def-phone
Description-md5: 059e6753d2fc689a40eb65acb6f2992c
Description-en: binding to get scopes into the launcher - phone def file
 libunity is a shared library to be able to interact with the launcher
 and add places in Unity environment.
 .
 This package contains default scopes definition for the phone.

Package: libunity-settings-daemon-dev
Description-md5: a63b34861cea91102a69170b872eb11f
Description-en: Helper library for accessing settings (development files)
 This package contains a headers for using libunity-settings-daemon

Package: libunity-settings-daemon1
Description-md5: 0ac97c800f21728126b5a4023bdbb541
Description-en: Helper library for accessing settings
 This package contains a helper library for unity-control-center

Package: libunity-tools
Description-md5: edc4fdcfec4a24212443aa9deb14d1f8
Description-en: binding to get places into the launcher - debugging tools
 libunity is a shared library to be able to interact with the launcher
 and add places in Unity environment.
 .
 This package contains debugging tools for introspecting results and issuing
 search on the lenses manually.

Package: libunivalue-dev
Description-md5: 9cafedd9530ef4297d57ba8ef4fb638f
Description-en: C++ universal value object and JSON library - development headers
 A universal value object, with JSON encoding (output) and decoding
 (input).
 .
 Built as a single dynamic RAII C++ object class, and no templates.
 .
 This package provides header files for development.

Package: libunivalue0
Description-md5: 2b811ce7dea43b6920e24a09fc7678c4
Description-en: C++ universal value object and JSON library
 A universal value object, with JSON encoding (output) and decoding
 (input).
 .
 Built as a single dynamic RAII C++ object class, and no templates.

Package: libuniversal-can-perl
Description-md5: 46763af6a14849d13af2a51929626a04
Description-en: safer version of UNIVERSAL::can
 The UNIVERSAL class provides a few default methods so that all objects can
 use them. Object orientation allows programmers to override these methods in
 subclasses to provide more specific and appropriate behavior.
 .
 Some authors call methods in the UNIVERSAL class on potential invocants as
 functions, bypassing any possible overriding. This is wrong and one should
 not do it. Unfortunately, not everyone heeds this warning and their bad code
 can break good code.
 .
 This module replaces UNIVERSAL::can() with a method that checks to see if
 the first argument is a valid invocant (whether an object -- a blessed
 referent -- or the name of a class). If so, and if the invocant's class has
 its own can() method, it calls that as a method. Otherwise, everything works
 as you might expect.
 .
 If someone attempts to call UNIVERSAL::can() as a function, this module will
 emit a lexical warning (see perllexwarn) to that effect. You can disable it
 with no warnings; or no warnings 'UNIVERSAL::isa';, but don't do that; fix
 the code instead.

Package: libuniversal-exports-perl
Description-md5: a940aa771d9bbcc75ea4cabcd6406f28
Description-en: module for lightweight, universal variable exporting
 UNIVERSAL::exports is an alternative to the Perl Exporter module, providing a
 universal, lightweight subset of its functionality. It uses Exporter::Lite
 (see libexporter-lite-perl for details).
 .
 Historically, this module provided UNIVERSAL::require, which has become an
 independent distribution. For reasons of backward compatibility, this package
 depends on libuniversal-require-perl.

Package: libuniversal-isa-perl
Description-md5: 1e2b6a7742ac60a13bdbc6b7326845de
Description-en: safer version of UNIVERSAL::isa
 UNIVERSAL is base class for ALL classes in Object Oriented Perl.
 UNIVERSAL::isa (isa stands for "is a") is method which can tell
 You if object is blessed in package or inherits from package.
 .
 This module overloads UNIVERSAL::isa with a version that makes sure
 that, when called as a function on objects which override isa, isa will
 call the appropriate method on those objects
 .
 In all other cases, the real UNIVERSAL::isa gets called directly.

Package: libuniversal-moniker-perl
Description-md5: 02b608387da15995bd356a763a57af12
Description-en: Methods to output nicer names for your Perl modules/classes
 Class names in Perl often don't sound great when spoken, or look good
 when written in prose. For this reason, the module authors tend to
 say things like "customer" or "basket" when they are referring to
 "My::Site::User::Customer" or "My::Site::Shop::Basket". They thought
 it would be nice if their classes knew what they would prefer to call
 them.
 .
 The UNIVERSAL::moniker module will add a "moniker" (and
 "plural_moniker") method to "UNIVERSAL", and so to every class or
 module.

Package: libuniversal-ref-perl
Description-md5: bc6d9fca03972170640f2bdc0b11186b
Description-en: turns ref() into a multimethod
 UNIVERSAL::ref changes the behavior of the builtin function ref(). If
 ref() is called on an object that has requested an overloaded ref, the
 object's ->ref method will be called and its return value used instead.

Package: libuniversal-require-perl
Description-md5: d4e73be3c5bf46c4ae316573de19679e
Description-en: Load modules from a variable
 This works exactly like the standard require in Perl, except without
 the bareword restriction, and it does not die.  Since require() is
 placed in the UNIVERSAL namespace, it will work on any module.  You
 just have to use UNIVERSAL::require somewhere in your code.
 .
 If you have ever had to do this...
 .
    eval "require $module";
 .
 to get around the bareword caveats on require(), this module is for
 you. It creates a universal require() class method that will work
 with every Perl module and it is secure. So instead of doing some
 arcane eval() work, you can do this:
 .
    $module->require;
 .
 It does not save you much typing, but it will make a lot more sense
 to someone who is not a ninth level Perl acolyte.
 .
 Also provided is a use() method which works in a similar way.

Package: libunivocity-parsers-java
Description-md5: c9b6bfeec11b70dd8d818d583f6e719a
Description-en: Parsers for CSV, TSV and fixed width files
 univocity-parsers is a collection of extremely fast and reliable parsers
 for Java. It provides a consistent interface for handling different file
 formats, and a solid framework for the development of new parsers.

Package: libunix-configfile-perl
Description-md5: dceac0b9d5889f9d54e6a9e578cf692f
Description-en: Perl interface to various Unix configuration files
 The Unix::ConfigFile distribution is a suite of modules that provide simple
 interfaces to various Unix configuration files.  The objective is to free the
 system administrator from dealing with the trivial formatting details of the
 files, and allow him or her to concentrate on the information therein.
 Currently supported files include:
 .
        aliases    Unix::AliasFile
        automount  Unix::AutomountFile
        group      Unix::GroupFile
        passwd     Unix::PasswdFile

Package: libunix-mknod-perl
Description-md5: 3f8208500b191ea7932daabd7102cca3
Description-en: Perl extension for mknod, major, minor, and makedev
 Unix::Mknod allows access to the device routines major()/minor()/makedev()
 that may or may not be macros in .h files.
 .
 It also allows access to the mknod(2) system call.

Package: libunix-processors-perl
Description-md5: 01ce29be3d123f3e3d3968d1c72ed47b
Description-en: interface to processor (CPU) information
 Unix::Processors provides accessors to per-processor (CPU) information. The
 object is obtained with the Unix::Processors::processors call.

Package: libunixsocket-java
Description-md5: d7397886789daa7fada682a6ed9c8b35
Description-en: Unix socket API and bindings for Java
 This library is a collection of classes and native code to
 allow you to read and write Unix sockets from within Java.

Package: libunsafe-fences-java
Description-md5: 3e083c35292e0d5cff750122e0fbd1f0
Description-en: wrapper library around the Java 8 fences API
 unsafe-fences is a very simple Java library that just provides a shim
 around the Java 8 Unsafe methods used for memory fencing.
 .
 In Java 8, three memory-ordering intrinsics were added to the
 sun.misc.Unsafe class: fullFence, storeFence, and loadFence.
 .
 The main goal of unsafe-fences is to allow code in Java 6 and 7
 to compile those calls with a provided boolean guard.
 .
 This is especially useful for projects like JRuby that support
 several JDK versions but it can be reused by other Java projects as
 well.

Package: libunsafe-mock-java
Description-md5: 7747000a8a1a405687763d9e244947fb
Description-en: Java library providing backported sun.misc.Unsafe class from JDK 8
 sun.misc.Unsafe is Java class in Oracle JDKs that provides a collection of
 methods for performing low-level, unsafe operations.
 .
 Although the class and all methods are public, use of this class is limited
 because only trusted code can obtain instances of it.
 .
 unsafe-mock provides a current version of sun.misc.Unsafe that
 supports all APIs up through the new "fences" API in Java 8 EA builds
 b71 and later. This Unsafe is a copy of the one from Java 8 EA build
 b71, and it is expected that if you want to build against it you put
 it in javac's bootclasspath. You are responsible for determining in
 your own code whether you can access Unsafe and which methods are
 available; this library is only to provide an all-inclusive Unsafe
 against which you can compile using JDKs <= 8.0.

Package: libunshield-dev
Description-md5: f53a2ef1fdc7f66e30b0fc65a88111c5
Description-en: development files for libunshield
 This are libraries to create applications extracting CAB files from
 InstallShield installers used to be installed on Windows CE devices or
 Windows desktop machines.
 .
 This package contains the development files needed to link applications
 against libunshield.

Package: libunshield0
Description-md5: ecabf129265c9587ce2fd292ab982c0d
Description-en: library to extract CAB files from InstallShield installers
 This are libraries to create applications extracting CAB files from
 InstallShield installers used to be installed on Windows CE devices or
 Windows desktop machines.

Package: libupnp-dev
Description-md5: 626b41cfe31472af72d70957397cd1a3
Description-en: Portable SDK for UPnP Devices, version 1.8 (development files)
 The Portable SDK for UPnP Devices (libupnp) provides developers with an
 API and open source code for building control points, devices, and
 bridges that are compliant with Version 1.0 of the Universal Plug and
 Play Device Architecture Specification - see http://www.upnp.org/ for
 specifications.
 .
 The libupnp-dev package contains the header files, documentation and
 debug versions of libraries needed for development of programs using
 uPnP.

Package: libupnp-doc
Description-md5: 3e7b6a852277fb75cc993343ae455bfa
Description-en: Documentation for the Portable SDK for UPnP Devices, version 1.8
 The Portable SDK for UPnP Devices (libupnp) provides developers with an
 API and open source code for building control points, devices, and
 bridges that are compliant with Version 1.0 of the Universal Plug and
 Play Device Architecture Specification - see http://www.upnp.org/ for
 specifications.
 .
 This package contains the HTML documentation for the libupnp library
 in /usr/share/doc/libupnp-dev/

Package: libupnp-java
Description-md5: 450d844c0c2cc37340afc9c3b9688263
Description-en: Java library for Universal Plug aNd Play (upnp)
 Pure java library for interacting with upnp devices on a network. Commonly used
 for games, p2pool clients, and video services.
 .
 This package contains the Java library. For documentation, see
 libupnp-java-doc.

Package: libupnp-java-doc
Description-md5: a36cb08ac68064814bdd381ddb6a9048
Description-en: Java library for Universal Plug aNd Play (upnp) - doc
 Pure java library for interacting with upnp devices on a network. Commonly used
 for games, p2pool clients, and video services.
 .
 This package contains the Javadoc API

Package: libupnp13
Description-md5: 49eab7c685d8ffa1604e50da9b5d1436
Description-en: Portable SDK for UPnP Devices, version 1.8 (shared library)
 The Portable SDK for UPnP Devices (libupnp) provides developers with an
 API and open source code for building control points, devices, and
 bridges that are compliant with Version 1.0 of the Universal Plug and
 Play Device Architecture Specification - see http://www.upnp.org/ for
 specifications.
 .
 The libupnp13 package contains the runtime library for uPnP.

Package: libups-nut-perl
Description-md5: 07ba3b0b421755a1e64d9b1ccaecc15f
Description-en: network UPS tools - Perl bindings for NUT server
 Network UPS Tools (NUT) is a client/server monitoring system that
 allows computers to share uninterruptible power supply (UPS) and
 power distribution unit (PDU) hardware. Clients access the hardware
 through the server, and are notified whenever the power status
 changes.
 .
 This package provides Perl bindings to connect to NUT server.

Package: libupse-dev
Description-md5: 44366922cb93a607b6d2656099bd9587
Description-en: unix playstation sound emulator - library development files
 UPSE is an advanced playstation sound emulator which
 concentrates on sound correctness. It plays PSF and
 MiniPSF format files.
 .
 This package contains the development files for the
 libupse emulation core.

Package: libupse2
Description-md5: 26f913cdc0419bd33ca297eed3e5e47f
Description-en: unix playstation sound emulator - library
 UPSE is an advanced playstation sound emulator which
 concentrates on sound correctness. It plays PSF and
 MiniPSF format files.
 .
 This package contains the shared library which contains
 the emulation core.

Package: libur-perl
Description-md5: 1a9871f527594c4c405b60a255c17774
Description-en: object/relation mapper library
 UR is a class framework and object/relational mapper for Perl. It starts with
 the familiar Perl meme of the blessed hash reference as the basis for object
 instances, and extends its capabilities with ORM (object-relational mapping)
 capabilities, object cache, in-memory transactions, more formal class
 definitions, metadata, documentation system, iterators, command line tools,
 etc.
 .
 UR can handle multiple column primary and foreign keys, SQL joins involving
 class inheritance and relationships, and does its best to avoid querying the
 database unless the requested data has not been loaded before. It has support
 for SQLite, Oracle, MySQL and Postgres databases, and the ability to use a
 text file as a table.
 .
 UR uses the same syntax to define non-persistent objects, and supports
 in-memory transactions for both.

Package: liburdf-dev
Description-md5: efe89c9f2d1019da8fbb219fdfd77562
Description-en: Development files for ROS urdf library
 The Unified Robot Description Format (URDF) for the Robot Operating System
 (ROS) is an XML format for representing a robot model.
 This library provides a C++ parser for the URDF.
 .
 This package contains the development files for the library.

Package: liburdf-parser-plugin-dev
Description-md5: 26ec6221f8edc32e2733ba7c154b02d5
Description-en: Development files for ROS urdf_parser_plugin library
 The Unified Robot Description Format (URDF) for the Robot Operating System
 (ROS) is an XML format for representing a robot model.
 .
 This package contains a C++ base class for URDF parsers.

Package: liburdf0d
Description-md5: 2f0cdfed08a806930ff49d4715533732
Description-en: ROS urdf library
 The Unified Robot Description Format (URDF) for the Robot Operating System
 (ROS) is an XML format for representing a robot model.
 This library provides a C++ parser for the URDF.
 .
 This package contains the library.

Package: liburdfdom-dev
Description-md5: 12c2fd546b7a5581f5eb9a69cd4d1ed3
Description-en: URDF DOM - development files
 The URDF (U-Robot Description Format) library provides core data
 structures and a simple XML parsers for populating the class data
 structures from an URDF file.
 .
 This package contains the development files (headers, pkg-config and
 CMake files).

Package: liburdfdom-headers-dev
Description-md5: 9632d95def16d2866a394d6232d41955
Description-en: URDF DOM - header files
 The URDF (U-Robot Description Format) library provides core data
 structures and a simple XML parsers for populating the class data
 structures from an URDF file.
 .
 This package contains the headers files.

Package: liburdfdom-model
Description-md5: 14dff247cb313deeb7d921b30693260f
Description-en: URDF DOM - model library
 The URDF (U-Robot Description Format) library provides core data
 structures and a simple XML parsers for populating the class data
 structures from an URDF file.
 .
 This package contains the URDF DOM model library.

Package: liburdfdom-model-state
Description-md5: 7774dde5b21ae25b35328dd490bc1a96
Description-en: URDF DOM - model state library
 The URDF (U-Robot Description Format) library provides core data
 structures and a simple XML parsers for populating the class data
 structures from an URDF file.
 .
 This package contains the URDF DOM model state library.

Package: liburdfdom-sensor
Description-md5: c8bdf99ea3a514803568b2942ace04ec
Description-en: URDF DOM - sensor library
 The URDF (U-Robot Description Format) library provides core data
 structures and a simple XML parsers for populating the class data
 structures from an URDF file.
 .
 This package contains the URDF DOM sensor library.

Package: liburdfdom-tools
Description-md5: 6773c579f5b9a150cd19bf9704842cbe
Description-en: URDF DOM - tools
 The URDF (U-Robot Description Format) library provides core data
 structures and a simple XML parsers for populating the class data
 structures from an URDF file.
 .
 This package contains additional tools.

Package: liburdfdom-world
Description-md5: a84d2e4a9038c9e72d682f9ea758bdf1
Description-en: URDF DOM - world library
 The URDF (U-Robot Description Format) library provides core data
 structures and a simple XML parsers for populating the class data
 structures from an URDF file.
 .
 This package contains the URDF DOM world library.

Package: liburfkill-glib-dev
Description-md5: 7711a3633975b4c5c3915fdca9583bf4
Description-en: wireless killswitch management library (development files)
 liburfkill provides the basic functions for urfkilld, which handles
 the configuration of the rfkill-related function keys and provides
 the management of the wireless killswitches.
 .
 This package contains development files for the urfkill library.

Package: liburfkill-glib0
Description-md5: f99c4b5f5d93742f95f18434a2b5ef58
Description-en: wireless killswitch management library
 liburfkill provides the basic functions for urfkilld, which handles
 the configuration of the rfkill-related function keys and provides
 the management of the wireless killswitches.

Package: liburi-db-perl
Description-md5: 88fd4b5865d1d1cdfb11f4c3b9888042
Description-en: module to support database URI standard
 URI::db provides a Perl interface for a proposed standard for database
 connection URIs. They're inspired by JDBC URIs and PostgreSQL URIs, though
 they're a bit more formal. The specification for their format is documented
 in <https:/github.com/theory/db-uri/>.
 .
 This library also includes an interface to convert database URIs to DBI DSNs.

Package: liburi-encode-perl
Description-md5: 1c5ba9eee2f45cfb84c7abdccc0a9ef4
Description-en: Perl module to encode and decode strings to URIs
 URI::Encode provides an easy method to encode strings (mainly URLs)
 into a format which can be pasted into a plain text, so that those
 links are 'clickable' by the person reading it.
 .
 If you are looking for speed and want to encode reserved characters, use
 URI::Escape::XS

Package: liburi-escape-xs-perl
Description-md5: c6735595923ce69aa608de57fd3dd005
Description-en: drop-in XS replacement for URI::Escape
 URI::Escape::XS is a drop-in XS replacement for URI::Escape, which provides
 functions to percent-encode and percent-decode URI strings as defined by RFC
 3986. Percent-encoding URI's is informally called "URI escaping".

Package: liburi-fetch-perl
Description-md5: 4107e6b908880f6598afdcf5846bbfba
Description-en: Smart URI fetching/caching
 URI::Fetch is a smart client for fetching HTTP pages, notably syndication
 feeds (RSS, Atom, and others), in an intelligent, bandwidth- and
 time-saving way.

Package: liburi-find-delimited-perl
Description-md5: 1a62e91482653e9f2427e1c1a41c7917
Description-en: Find URIs which may be wrapped in enclosing delimiters
 Works like URI::Find, but is prepared for URIs in your text to be
 wrapped in a pair of delimiters and optionally have a title. This will
 be useful for processing text that already has some minimal markup in
 it, like bulletin board posts or wiki text.

Package: liburi-find-perl
Description-md5: 4a5246e8f2fb3ffbc4564bc9249124f7
Description-en: module to find URIs in arbitrary text
 URI::Find does one thing: Finds URIs and URLs in plain text.  It finds
 them quickly and it finds them all (or what URI::URL considers a URI
 to be.)  It only finds URIs which include a scheme (http:// or the
 like); for something a bit less strict have a look at
 URI::Find::Schemeless.

Package: liburi-find-simple-perl
Description-md5: d4f0064601e921f0071bb1c5418acb2d
Description-en: simple interface to URI::Find
 URI::Find is all very well, but sometimes you just want a list of the links
 in a given piece of text, or you want to change all the urls in some text
 somehow, and don't want to mess with callback interfaces.
 .
 URI::Find::Simple uses URI::Find, but hides the callback interface, providing
 two functions - one to list all the uris, and one to change all the uris.

Package: liburi-fromhash-perl
Description-md5: 4724b9a9b69a12ac47eda8790a2af878
Description-en: module to build a URI from a set of named parameters
 URI::FromHash provides a simple one-subroutine "named parameters" style
 interface for creating URIs. Underneath the hood it uses URI.pm, though
 because of the simplified interface it may not support all possible options
 for all types of URIs.
 .
 It was created for the common case where you simply want to have a simple
 interface for creating syntactically correct URIs from known components (like
 a path and query string). Doing this using the native URI.pm interface is
 rather tedious, requiring a number of method calls, which is particularly
 ugly when done inside a templating system such as Mason or TT2.

Package: liburi-namespacemap-perl
Description-md5: bf995e2809682bc90ecf181d787b2ee7
Description-en: namespace URI management classes for Perl
 URI::NamespaceMap provides classes for autoloading methods to help create and
 manage namespace URIs as well as manage collections of them.

Package: liburi-nested-perl
Description-md5: 6526417eff1bad166bc44290a85d1c41
Description-en: module for handling nested URIs
 The URI::Nested module provides support for nested URIs, where the scheme is
 a prefix, and the remainder of the URI is another URI. Examples include JDBC
 URIs (<http://docs.oracle.com/cd/B14117_01/java.101/b10979/urls.htm#BEIJFHHB>)
 and database URIs (<https://github.com/theory/uri-db>).

Package: liburi-query-perl
Description-md5: 0a73bde484e91bd382272ea067ff0944
Description-en: module for manipulating URI query strings
 URI::Query is a Perl module that provides methods for simple URI query string
 manipulation. It can easily create and manipulate URI query strings from GET
 and POST requests in web applications. This module is primarily useful for
 creating links where you wish to preserve some subset of the parameters to
 the current request, and potentially add or replace others.

Package: liburi-smarturi-perl
Description-md5: 9bb2688ee785593d2fbeb54423c88b21
Description-en: subclass of URI which add an hostless object
 URI::SmartURI is a sort of "subclass" of URI using delegation with some extra
 methods, all the methods that work for URIs will work on these objects as
 well.
 .
 It's similar in spirit to URI::WithBase.
 .
 It's also completely safe to subclass for your own use.

Package: liburi-template-perl
Description-md5: 543961fd4b10de88fa679f6361909287
Description-en: handle URI templates in perl
 This module provides a wrapper around URI templates as described at
 http://bitworking.org/projects/URI-Templates/
 .
 URI Templates are strings that are transformed into URIs after
 embedded variables are expanded.

Package: liburi-title-perl
Description-md5: df04c46cf2658b538ea10e0357cf0267
Description-en: common interface to get the titles of things on the web
 URI::Title allows one to get titles of things on the web in a sensible way,
 it aims to provide a single interface to get the titles of html pages and
 different type of files like mp3, pdf, word document, etc.

Package: liburi-todisk-perl
Description-md5: 16be0ccd95745387fe38fb5c11b79b57
Description-en: module to provide mapping between URIs and on-disk storage
 URI::ToDisk is a Perl module which provides an object that can easily map
 between Uniform Resource Identifiers (URIs) and on-disk storage. In several
 processes relating to working with the web, one may need to keep track of an
 area on disk which maps to a particular URI.
 .
 Using this information, the module can derive both a filesystem path and URI
 for any file or directory in this location that one might need to work with.

Package: liburi-ws-perl
Description-md5: cc2b5c1791ead24c733cae3f4edbbb61
Description-en: WebSocket support for URI package
 After URI::ws is installed, the URI package provides the same set of
 methods for secure WebSocket URIs as it does for insecure WebSocket URIs. For
 insecure (unencrypted) WebSockets.

Package: liburiparser-dev
Description-md5: 7c19dfa07f254b32160e3463004a5798
Description-en: development files for uriparser
 This package provides the development files for uriparser, a library to parse
 Uniform Resource Identifiers (URIs). Install it if you want to compile a
 program that makes use of this library.

Package: liburiparser-doc
Description-md5: ac5ca99dca7e21036ca511a721fac97b
Description-en: documentation files for uriparser
 uriparser is a library that parses Uniform Resource Identifiers (URIs),
 strictly adhering to RFC 3986 where syntax for URIs is defined. URIs
 are used to identify resources, like http://www.debian.org/ or
 urn:isbn:0-395-36341-1.  This package provides the documentation
 files for uriparser.

Package: liburiparser1
Description-md5: 3e5858ee07d918323487df71ddecd78b
Description-en: URI parsing library compliant with RFC 3986
 uriparser is a library that parses Uniform Resource Identifiers (URIs),
 strictly adhering to RFC 3986 where syntax for URIs is defined. URIs
 are used to identify resources, like http://www.debian.org/ or
 urn:isbn:0-395-36341-1.
 .
 uriparser has the following features:
 .
  * strictly compliant to RFC 3986, implementing:
    + parsing
    + reference resolution
    + reference creation
    + recomposition
    + syntax-based normalization
  * fast (linear input length time complexity)
  * unicode support

Package: liburl-dispatcher1
Description-md5: 85121071bb37a2b7f8b23299a0b2c9c8
Description-en: library for sending requests to the url dispatcher
 Allows applications to request a URL to be opened and handled by another
 process without seeing the list of other applications on the system or
 starting them inside its own Application Confinement.
 .
 This package contains shared libraries to be used by applications.

Package: liburl-dispatcher1-dev
Description-md5: c478d05ebab987d1d5bc551ecb674f92
Description-en: developer files for sending requests to the url dispatcher
 Allows applications to request a URL to be opened and handled by another
 process without seeing the list of other applications on the system or
 starting them inside its own Application Confinement.
 .
 This package contains files that are needed to build applications.

Package: liburl-encode-perl
Description-md5: 9a5314340b6faee03a901b4edd06aa88
Description-en: module to encode/decode to/from application/x-www-form-urlencoded encoding
 URL::Encode provides functions to encode and decode strings into and from the
 application/x-www-form-urlencoded encoding.
 .
 The application/x-www-form-urlencoded format encodes an ordered data set of
 pairs consisting of a name and a value, with pairs separated by ampersand or
 semicolon, and names and values separated by the equal sign. Space characters
 are replaced with a plus sign, and any character not in the unreserved
 character set is encoded using the percent-encoding scheme also used for
 resource identifiers. A percent-encoded octet is encoded as a character
 triplet, consisting of the percent character "%" followed by the two
 hexadecimal digits representing that octet's numeric value.
 .
 The unreserved character set includes the uppercase and lowercase letters,
 decimal digits, hyphen, period, underscore, and tilde.

Package: liburl-search-perl
Description-md5: fae8a8d7a34c7ee8926b8257290742e8
Description-en: module to search for URLs in plain text
 URL::Search searches plain text for URLs and extracts them. It exports (on
 request) the following entities:
 .
 $URL_SEARCH_RE: This variable is the core of this module. It contains a regex
 that matches a URL.
 .
 extract_urls: This function takes a string and returns a list of all
 contained URLs.
 .
 partition_urls: This function takes a string and splits it up into text and
 URL segments.

Package: liburweb-cgi0
Description-md5: 27c8368ecada1aa126ccfaabfbece321
Description-en: urweb CGI backend
 Ur is a pure, functional, statically typed, strict programming language in the
 tradition of ML and Haskell; however, it features a significantly richer type
 system.  Ur/Web is Ur plus a special standard library and associated rules for
 parsing and optimization.  Ur/Web supports constructing dynamic web
 applications backed by SQL databases.
 .
 This package provides the CGI backend for executables compiled with urweb.

Package: liburweb-fastcgi0
Description-md5: c5b07c9e9e80cc6f9efac9fedcee1d94
Description-en: urweb FastCGI backend
 Ur is a pure, functional, statically typed, strict programming language in the
 tradition of ML and Haskell; however, it features a significantly richer type
 system.  Ur/Web is Ur plus a special standard library and associated rules for
 parsing and optimization.  Ur/Web supports constructing dynamic web
 applications backed by SQL databases.
 .
 This package provides the FastCGI backend for executables compiled with urweb.

Package: liburweb-http0
Description-md5: f250c0574064c458918b7b3bcba12885
Description-en: urweb standalone backend
 Ur is a pure, functional, statically typed, strict programming language in the
 tradition of ML and Haskell; however, it features a significantly richer type
 system.  Ur/Web is Ur plus a special standard library and associated rules for
 parsing and optimization.  Ur/Web supports constructing dynamic web
 applications backed by SQL databases.
 .
 This package provides the standalone backend for web servers generated with
 urweb.

Package: liburweb-static0
Description-md5: 25884930c74ee69efd8dccbbfe8bb68f
Description-en: urweb static backend
 Ur is a pure, functional, statically typed, strict programming language in the
 tradition of ML and Haskell; however, it features a significantly richer type
 system.  Ur/Web is Ur plus a special standard library and associated rules for
 parsing and optimization.  Ur/Web supports constructing dynamic web
 applications backed by SQL databases.
 .
 This package provides the static-file backend for executables compiled with
 urweb.

Package: liburweb0
Description-md5: dbdfeb81c8e70c4e5dbcc718f83aea1e
Description-en: urweb runtime system
 Ur is a pure, functional, statically typed, strict programming language in the
 tradition of ML and Haskell; however, it features a significantly richer type
 system.  Ur/Web is Ur plus a special standard library and associated rules for
 parsing and optimization.  Ur/Web supports constructing dynamic web
 applications backed by SQL databases.
 .
 This package provides the runtime library for executables compiled with urweb.
 Packages should not depend on this package; instead, they should depend on one
 of the urweb backend packages, each of which depends on this one.

Package: libusageenvironment3
Description-md5: c492f2f9fcdb5d3ab14f04629d4fc1f6
Description-en: multimedia RTSP streaming library (UsageEnvironment classes)
 The live555.com streaming media code is a set of C++ libraries for multimedia
 streaming, using open standard protocols (RTP/RTCP, RTSP, SIP). These
 libraries can be used to build applications to stream, receive and process
 MPEG, H.263+ or JPEG video, several audio codecs, and can easily be extended
 to support additional codecs. They can also be used to build basic RTSP (Real
 Time Streaming Protocol) or SIP (Session Initiation Protocol) clients and
 servers.
 .
 This package contains the UsageEnvironment library. The "UsageEnvironment" and
 "TaskScheduler" classes are used for scheduling deferred events, for assigning
 handlers for asynchronous read events, and for outputting error/warning
 messages. Also, the "HashTable" class defines the interface to a generic hash
 table, used by the rest of the code.
 .
 These are all abstract base classes; they must be subclassed for use in an
 implementation. These subclasses can exploit the particular properties of the
 environment in which the program will run - e.g., its GUI and/or scripting
 environment.

Package: libusb-java
Description-md5: 2ab3c5551b50f7f4dcb4482ca7384562
Description-en: Java wrapper for libusb
 This Java library wraps the C library libusb (0.1). It is designed to
 support user level applications to access USB devices regardless of
 the operating system.

Package: libusb-java-doc
Description-md5: 5ff01b486c43f93f393f69c655bdf1e8
Description-en: Java wrapper for libusb (documentation)
 This Java library wraps the C library libusb (0.1). It is designed to
 support user level applications to access USB devices regardless of
 the operating system.
 .
 The libusb source code provides its own documentation. It
 is transformed to HTML by the javadoc tool.

Package: libusb-java-lib
Description-md5: 6be8c94cf8fda8f5a9b309c5c79afaf0
Description-en: Java wrapper for libusb (native library)
 This Java library wraps the C library libusb (0.1). It is designed to
 support user level applications to access USB devices regardless of
 the operating system.
 .
 This package provides the architecture-dependent component of
 libusb-java.

Package: libusb-libusb-perl
Description-md5: 88a4ff664d37f5b1f0ea3ad791a8df87
Description-en: Perl interface to the libusb-1.0 API
 USB::LibUSB provides a Perl interface to the libusb-1.0 API. It provides
 access to most basic libusb functionality including read-out of device
 descriptors and synchronous device I/O.
 .
 Staying as close as possible to the libusb-1.0 API, this module adds
 convenient error handling and additional high-level functionality (e.g.
 device discovery with vid, pid and serial number). Easy to build more
 functionality without knowing about XS.

Package: libusb-ocaml
Description-md5: 21b44918e271ba69391cf36d8bc77883
Description-en: OCaml bindings to libusb-1.0 (runtime)
 OCaml-USB is a binding to libusb-1.0, a userspace USB programming
 library. It uses Lwt to ease use of asynchronous IO features of
 libusb-1.0.
 .
 This package contains the runtime libraries for OCaml-USB.

Package: libusb-ocaml-dev
Description-md5: 023b491c31d22274d979468eb2a148fc
Description-en: OCaml bindings to libusb-1.0
 OCaml-USB is a binding to libusb-1.0, a userspace USB programming
 library. It uses Lwt to ease use of asynchronous IO features of
 libusb-1.0.
 .
 This package contains development files for OCaml-USB.

Package: libusbauth-configparser-dev
Description-md5: 3843eb3bcbefaea4416ffe6e23c48482
Description-en: Development package of library for USB Firewall including flex/bison parser
 It is a firewall against BadUSB attacks. A config file describes in which way
 USB interfaces would be accepted or denied. To the kernel an interface
 authorization was developed with this firewall. The firewall sets the
 authorization mask according to the rules.
 .
 The library is used to read the usbauth config file into data structures and is
 used by usbauth and YaST
 .
 This package contains the required libraries, headers

Package: libusbauth-configparser1
Description-md5: a3f7181a61a5669a989d21cae82b3d84
Description-en: Library for USB Firewall including flex/bison parser
 It is a firewall against BadUSB attacks. A config file describes in which way
 USB interfaces would be accepted or denied. To the kernel an interface
 authorization was developed with this firewall. The firewall sets the
 authorization mask according to the rules.
 .
 The library is used to read the usbauth config file into data structures and is
 used by usbauth and YaST
 .
 This package contains the shared library

Package: libusbguard0
Description-md5: 2bff5ae0e088d48bdf6421c1659b6aaa
Description-en: USB device authorization policy framework - shared library
 The USBGuard software framework helps to protect your computer against rogue
 USB devices (a.k.a. BadUSB) by implementing basic allow- and blocklisting
 capabilities based on device attributes.
 .
 This package contains the shared library

Package: libusbhid-common
Description-md5: 4b9a00599cfc19e051ec91c6758374a2
Description-en: Common files for the USB HID devices FreeBSD library
 USB Human Interface Devices send and receive data layed out in a device
 dependent way. The usbhid library contains routines to extract the report
 descriptor which contains the data layout information and then use this
 information.
 .
 This package contains the common files which the library need.

Package: libusbmuxd-tools
Description-md5: 7fb3e81611d2578a4c491c5fd6dcde75
Description-en: USB multiplexor daemon for iPhone and iPod Touch devices - tools
 usbmuxd, the USB multiplexor daemon, is in charge of coordinating
 access to iPhone and iPod Touch services over USB. Synchronization and
 management applications for the iPhone and iPod Touch need this daemon
 to communicate with such devices concurrently.
 .
 This package contains the iproxy tool that enables TCP access to iOS devices.

Package: libusbtc08-1
Description-md5: d070d5fadb97f03174b8c5ce24b56c9f
Description-en: Hardware interface library for PicoTech USB TC08 Thermocouple sensor
 This library provides a communication API for the USB TC-08 (8 channel
 thermocouple data logger) provided by Pico Technology.

Package: libusbtc08-dev
Description-md5: 0460103fea691c3ce1c076038f53c813
Description-en: Development files for PicoTech USB TC08
 Hardware interface library for PicoTech USB TC08.
 .
 This package includes the development files needed for C and C++ programs.

Package: libuser
Description-md5: 6f131ac55b2e56087bf8f36a7e8e16ab
Description-en: user and group account administration library - utilities
 The libuser library implements a standardized interface for manipulating
 and administering user and group accounts.  The library uses pluggable
 back-ends to interface to its data sources.
 .
 Sample applications modeled after those included with the shadow password
 suite are included: lchsh, lchfn, lid, lnewusers, lgroupadd, luseradd,
 lgroupdel, luserdel, lusermod, lgroupmod, lchage and lpasswd.

Package: libuser-agent-utils-java
Description-md5: cd80c8ea2320bdae11798cd0aea93eec
Description-en: Utilities for processing user-agent strings
 The user-agent-utils Java library can be used to parse HTTP requests
 in real-time or to analyze log files and gather information about
 the user-agent.
 .
 It doesn't try to identify hundreds of different clients and operating
 systems but instead offers a compromise between performance and usability.

Package: libuser-identity-perl
Description-md5: 5ff46ab82fc3e14d269077a711a266e3
Description-en: module to manage a person's identities/roles
 User::Identity is a Perl module that provides a set of informational classes
 which are related to one user. This module attempts to be smart at providing
 defaults, conversions and often required combinations.
 .
 The identities do not implement any kind of storage, so they can be used by
 any Perl program. As a result, it is more flexible than an XML file to store
 the data. For instance, you can choose to store the data using Data::Dumper,
 Storable, DBI, AddressBook, etc.

Package: libuser-perl
Description-md5: c8238a401810c12891396ed93b9cc5a8
Description-en: Provides user data in an OS independent manner
 Provides an API to retrieve user data, such as login name or home
 directory in an OS independent manner. [Used by WWW::Search]

Package: libuser-simple-perl
Description-md5: 35b0435d7731bf8e85fbc77e426b66f9
Description-en: module for simple user sessions management
 User::Simple provides a very simple framework for validating users,
 managing their sessions and storing a minimal set of information (this
 is, a meaningful user login/password pair and the user's name) via a
 database. The sessions can be used as identifiers for i.e. cookies on
 a Web system. The passwords are stored as MD5 hashes (this means, the
 password is not stored in clear text).
 .
 User::Simple was originally developed with a PostgreSQL database in
 mind, but should work with any DBI handle, be it from a real database
 (i.e. PostgreSQL, Firebird, MySQL) or from a file-based one
 (DBD::CSV, DBD::XBase, DBD::DBM, etc.)

Package: libuser1
Description-md5: d113d9e8ec5fd9669c971f54184757ab
Description-en: user and group account administration library - shared libraries
 The libuser library implements a standardized interface for manipulating
 and administering user and group accounts.  The library uses pluggable
 back-ends to interface to its data sources.
 .
 This package contains the shared library itself.

Package: libuser1-dev
Description-md5: 5961270f0edb19099f7c4bf39e70d0f5
Description-en: user and group account administration library - development files
 The libuser library implements a standardized interface for manipulating
 and administering user and group accounts.  The library uses pluggable
 back-ends to interface to its data sources.
 .
 This package contains the development headers and data.

Package: libusrsctp-dev
Description-md5: 6533a22eb6a57d0d491516d70a50fb1f
Description-en: portable SCTP userland stack - development headers
 This is a userland SCTP stack.
 .
 SCTP is a message oriented, reliable transport protocol
 with direct support for multihoming
 that runs on top of IP or UDP,
 and supports both v4 and v6 versions.
 .
 This package provides the development files for usrsctp.

Package: libusrsctp-examples
Description-md5: d1f37b650ebecf53b5d67b4c95e2fe4d
Description-en: portable SCTP userland stack - example programs
 This is a userland SCTP stack.
 .
 SCTP is a message oriented, reliable transport protocol
 with direct support for multihoming
 that runs on top of IP or UDP,
 and supports both v4 and v6 versions.
 .
 This package provides example programs for usrsctp.

Package: libusrsctp1
Description-md5: d80e4ec9760a3a09bcd4c8d6fd98bd96
Description-en: portable SCTP userland stack - shared library
 This is a userland SCTP stack.
 .
 SCTP is a message oriented, reliable transport protocol
 with direct support for multihoming
 that runs on top of IP or UDP,
 and supports both v4 and v6 versions.
 .
 This package provides the shared library for usrsctp.

Package: libustr-1.0-1
Description-md5: a5ab83c757735f144218e020694abcf4
Description-en: Micro string library: shared library
 ustr (Micro string library) is a string API for C. It has tiny overhead over
 just plain strdup(), is much safer, is easier to use, is faster for many
 operations, can be used with read-only or automatically allocated data. You
 don't even need to link to the library to use it (so there are no
 dependencies).
 .
 This package contains the shared library.

Package: libustr-1.0-1-dbg
Description-md5: 5c96b5882d947f227f7145570f6fff03
Description-en: Micro string library: debugging symbols
 ustr (Micro string library) is a string API for C. It has tiny overhead over
 just plain strdup(), is much safer, is easier to use, is faster for many
 operations, can be used with read-only or automatically allocated data. You
 don't even need to link to the library to use it (so there are no
 dependencies).
 .
 This package contains debugging symbols for shared library.

Package: libustr-dev
Description-md5: 4df0874f272979ba9d1c6816eb08b393
Description-en: Micro string library: development stuff
 ustr (Micro string library) is a string API for C. It has tiny overhead over
 just plain strdup(), is much safer, is easier to use, is faster for many
 operations, can be used with read-only or automatically allocated data. You
 don't even need to link to the library to use it (so there are no
 dependencies).
 .
 This package contains header files and static library needed to compile code
 that uses ustr.

Package: libustr-doc
Description-md5: 1e9d520b88a4a487f055a5a2fae44165
Description-en: Micro string library: documentation
 ustr (Micro string library) is a string API for C. It has tiny overhead over
 just plain strdup(), is much safer, is easier to use, is faster for many
 operations, can be used with read-only or automatically allocated data. You
 don't even need to link to the library to use it (so there are no
 dependencies).
 .
 This package provides HTML documentation and examples.

Package: libutf8-all-perl
Description-md5: e2cab8f9fec91292de531d53404b4614
Description-en: Perl pragma to turn on UTF-8 everywhere
 The utf8 pragma allows you to write Perl source code encoded in UTF-8. That
 means UTF-8 strings, variable names, and regular expressions. utf8::all goes
 further, and makes @ARGV encoded in UTF-8, and filehandles are opened with
 UTF-8 encoding turned on by default (including STDIN, STDOUT, STDERR). If you
 don't want UTF-8 for a particular filehandle, you'll have to set binmode
 $filehandle.

Package: libutf8.h-dev
Description-md5: 0253e9bbf3733797371eb7a14e494dec
Description-en: header-files only UTF8 library
 The library provides a series of functions for string
 handlig in analogy to what the C library provides.
 These then have a utf8 prefix and knows how to handle
 single letters with multi-character representations.

Package: libutf8proc-dev
Description-md5: bcad2ca057c142a780814e856a43ccdc
Description-en: C library for processing UTF-8 Unicode data (development files)
 utf8proc is a small, clean C library that provides Unicode normalization,
 case-folding, and other operations for data in the UTF-8 encoding, supporting
 Unicode version 8.0.
 .
 This package contains the development files needed to compile software using
 utf8proc.

Package: libutf8proc2
Description-md5: 8b1485146d4f88dc7c3570c9aace8190
Description-en: C library for processing UTF-8 Unicode data (shared library)
 utf8proc is a small, clean C library that provides Unicode normalization,
 case-folding, and other operations for data in the UTF-8 encoding, supporting
 Unicode version 8.0.
 .
 This package contains a shared version of the library.

Package: libutfcpp-dev
Description-md5: c8d23dd4aa30054c88b34323ac2b8b95
Description-en: UTF8-CPP: UTF-8 with C++ - dev
 Simple, portable and lightweight generic library for handling UTF-8 encoded
 strings
 .
 Features
  - Iterating through UTF-8 encoded strings
  - Converting between UTF-8 and UTF-16/UTF-32
  - Detecting invalid UTF-8 sequences

Package: libutfcpp-doc
Description-md5: c8d23dd4aa30054c88b34323ac2b8b95
Description-en: UTF8-CPP: UTF-8 with C++ - dev
 Simple, portable and lightweight generic library for handling UTF-8 encoded
 strings
 .
 Features
  - Iterating through UTF-8 encoded strings
  - Converting between UTF-8 and UTF-16/UTF-32
  - Detecting invalid UTF-8 sequences

Package: libutil-freebsd-9
Description-md5: bcaff4dae8ae1808d162d3cff586e265
Description-en: FreeBSD utility library
 Miscellaneous system facilities.

Package: libutil-freebsd-dev
Description-md5: f347f22b540bf4298b05b243428961d6
Description-en: Development files for libutil-freebsd
 This package contains the header files and static library needed to
 compile applications that use libutil-freebsd.

Package: libutop-ocaml
Description-md5: b506409314f69ba92475bf2b23f62c2f
Description-en: improved OCaml toplevel (runtime library)
 utop is an improved toplevel for OCaml. It can run in a terminal or
 in Emacs. It supports line edition, history, real-time and context
 sensitive completion, colors, and more.
 .
 This package contains the runtime libraries for programs using
 the utop library.

Package: libutop-ocaml-dev
Description-md5: 3500b0a4aa59aab2a18e0c4fc25f6838
Description-en: improved OCaml toplevel (development tools)
 utop is an improved toplevel for OCaml. It can run in a terminal or
 in Emacs. It supports line edition, history, real-time and context
 sensitive completion, colors, and more.
 .
 This package contains the development stuff needed to use the
 utop library in your programs.

Package: libuu-dev
Description-md5: b8176280537096db2cd1f4d25c5fab00
Description-en: Library for de/encoding several popular file encodings - development
 Libuu is a library of functions for decoding and encoding files in
 the following formats: uuencode, xxencode, BASE64, yEncoding, quoted
 printable, and BinHex.
 .
 This package contains the development files.

Package: libuu0
Description-md5: 28cfd7c41cdca190d19fad31737a147e
Description-en: Library for de/encoding several popular file encodings - runtime
 Libuu is a library of functions for decoding and encoding files in
 the following formats: uuencode, xxencode, BASE64, yEncoding, quoted
 printable, and BinHex.
 .
 This package contains the shared library.

Package: libuuid-tiny-perl
Description-md5: b8c2a69aca2a235a0577c446cdd7c7c3
Description-en: pure Perl module to generate v1, v3, v4, and v5 UUIDs
 UUID::Tiny provides a simple, non-object-oriented interface for generating
 UUIDs from Perl code. It is not suitable for performance-sensitive UUID
 generation or for applications that require v1 UUIDs generated from a real
 MAC address (this module generates random MAC addresses), but otherwise
 provides a simpler Perl interface for UUID generation than alternatives.

Package: libuuid-urandom-perl
Description-md5: b290282b4f947d7abbb3f880af2e3ad4
Description-en: Perl module to provide UUIDs based on /dev/urandom
 UUID::URandom provides a portable, secure generator of RFC-4122 version 4
 (random) UUIDs. It is a thin wrapper around Crypt::URandom to set the UUID
 version and variant bits required by the RFC.

Package: libuuidm-ocaml-dev
Description-md5: 70445dff26b9433b873d0812f1164777
Description-en: Universally unique identifiers for OCaml
 This library is an OCaml module implementing 128 bits universally unique
 identifiers (uuid) version 3, 5 (name based with MD5, SHA-1 hashing) and 4
 (random based) according to RFC 4122.
 .
 This package contains header and OCaml library.

Package: libuutf-ocaml
Description-md5: 70da7214f0b5603694c6c45c01ee5dd9
Description-en: Non-blocking streaming Unicode codec for OCaml (plugins)
 Uutf is an non-blocking streaming Unicode codec for OCaml to decode
 and encode the UTF-8, UTF-16, UTF-16LE and UTF-16BE encoding
 schemes. It can efficiently work character by character without
 blocking on IO. Decoders perform character position tracking and
 support newline normalization.
 .
 Functions are also provided to fold over the characters of UTF
 encoded OCaml string values and to directly encode characters in
 OCaml Buffer.t values
 .
 This package contains dynamically loadable modules for plugins.

Package: libuutf-ocaml-dev
Description-md5: e43caa8b2f49b36ed1a429a56f7ce42b
Description-en: Non-blocking streaming Unicode codec for OCaml (development files)
 Uutf is an non-blocking streaming Unicode codec for OCaml to decode
 and encode the UTF-8, UTF-16, UTF-16LE and UTF-16BE encoding
 schemes. It can efficiently work character by character without
 blocking on IO. Decoders perform character position tracking and
 support newline normalization.
 .
 Functions are also provided to fold over the characters of UTF
 encoded OCaml string values and to directly encode characters in
 OCaml Buffer.t values
 .
 This package contains the development modules you need to use Uutf
 in your programs and associated documentation.

Package: libuutf-ocaml-doc
Description-md5: 1af82f95b9637675809cabb0d91d73d1
Description-en: Non-blocking streaming Unicode codec for OCaml (documentation)
 Uutf is an non-blocking streaming Unicode codec for OCaml to decode
 and encode the UTF-8, UTF-16, UTF-16LE and UTF-16BE encoding
 schemes. It can efficiently work character by character without
 blocking on IO. Decoders perform character position tracking and
 support newline normalization.
 .
 Functions are also provided to fold over the characters of UTF
 encoded OCaml string values and to directly encode characters in
 OCaml Buffer.t values
 .
 This package contains the documentation of Uutf.

Package: libuvc-dev
Description-md5: 4e573aa89aaee59e4fd3d3d777e71cd0
Description-en: cross-platform library for USB video devices - development files
 libuvc is a cross-platform library for USB video devices, built atop libusb.
 It enables fine-grained control over USB video devices exporting the
 standard USB Video Class (UVC) interface, enabling developers to write
 drivers for previously unsupported devices, or just access UVC devices in a
 generic fashion.
 .
 This package contains the files necessary to compile applications using
 libuvc.

Package: libuvc-doc
Description-md5: ea164a27839aa2bbb267027446245c0c
Description-en: cross-platform library for USB video devices - documentation
 libuvc is a cross-platform library for USB video devices, built atop libusb.
 It enables fine-grained control over USB video devices exporting the
 standard USB Video Class (UVC) interface, enabling developers to write
 drivers for previously unsupported devices, or just access UVC devices in a
 generic fashion.
 .
 This package contains the html documentation for the libuvc API.

Package: libuvc0
Description-md5: f0ada9d7a59ccaf6d7786f8ffe72a049
Description-en: cross-platform library for USB video devices
 libuvc is a cross-platform library for USB video devices, built atop libusb.
 It enables fine-grained control over USB video devices exporting the
 standard USB Video Class (UVC) interface, enabling developers to write
 drivers for previously unsupported devices, or just access UVC devices in a
 generic fashion.
 .
 This package contains the shared objects necessary to run an application using
 libuvc.

Package: libv-perl
Description-md5: b98546d1734cdec5cea521e6ba56244a
Description-en: module for printing the version of specified perl module(s)
 V uses stolen code from Module::Info to find the location and version of the
 specified module(s). It prints them and exit()s.

Package: libva-dev
Description-md5: b05056c35234f58e18a1c20f8515cede
Description-en: Video Acceleration (VA) API for Linux -- development files
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. The specification was originally designed by Intel for its GMA
 (Graphics Media Accelerator) series of GPU hardware, the API is however not
 limited to GPUs or Intel specific hardware, as other hardware and manufacturers
 can also freely use this API for hardware accelerated video decoding.
 .
 This package provides the development environment for libva.

Package: libva-drm2
Description-md5: d5921cff6033884644f622b92b9c0ea8
Description-en: Video Acceleration (VA) API for Linux -- DRM runtime
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. The specification was originally designed by Intel for its GMA
 (Graphics Media Accelerator) series of GPU hardware, the API is however not
 limited to GPUs or Intel specific hardware, as other hardware and manufacturers
 can also freely use this API for hardware accelerated video decoding.
 .
 This package provides the libva-drm library.

Package: libva-glx2
Description-md5: 42dc4ca10bad014e06d32b00b19aa179
Description-en: Video Acceleration (VA) API for Linux -- GLX runtime
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. The specification was originally designed by Intel for its GMA
 (Graphics Media Accelerator) series of GPU hardware, the API is however not
 limited to GPUs or Intel specific hardware, as other hardware and manufacturers
 can also freely use this API for hardware accelerated video decoding.
 .
 This package provides the libva-glx library.

Package: libva-wayland2
Description-md5: 900dc9a0703333e92cb6b892e7a6f293
Description-en: Video Acceleration (VA) API for Linux -- Wayland runtime
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. The specification was originally designed by Intel for its GMA
 (Graphics Media Accelerator) series of GPU hardware, the API is however not
 limited to GPUs or Intel specific hardware, as other hardware and manufacturers
 can also freely use this API for hardware accelerated video decoding.
 .
 This package provides the libva-wayland library.

Package: libva-x11-2
Description-md5: fc57e085827316d46f6af4246a506efa
Description-en: Video Acceleration (VA) API for Linux -- X11 runtime
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. The specification was originally designed by Intel for its GMA
 (Graphics Media Accelerator) series of GPU hardware, the API is however not
 limited to GPUs or Intel specific hardware, as other hardware and manufacturers
 can also freely use this API for hardware accelerated video decoding.
 .
 This package provides the libva-x11 library.

Package: libva2
Description-md5: 20074d33b9248804eb2fa6108a8c34c8
Description-en: Video Acceleration (VA) API for Linux -- runtime
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. The specification was originally designed by Intel for its GMA
 (Graphics Media Accelerator) series of GPU hardware, the API is however not
 limited to GPUs or Intel specific hardware, as other hardware and manufacturers
 can also freely use this API for hardware accelerated video decoding.
 .
 This package provides the main libva library.

Package: libvala-0.48-0
Description-md5: 77cfb8d2a0f3e59618630e2a604740e0
Description-en: C# like language for the GObject system - library
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This library contains the parser used by valac. It can be used for
 building tools around Vala.

Package: libvala-0.48-dev
Description-md5: 9b64d2066da66406573be47d933fd9db
Description-en: C# like language for the GObject system - development headers
 Vala is a new programming language that aims to bring modern
 programming language features to GNOME developers without imposing
 any additional runtime requirements and without using a different ABI
 compared to applications and libraries written in C.
 .
 This package has the development library and headers for valac. These
 headers can be used for building tools around Vala.

Package: libvalacodegen-0.48-0
Description-md5: 4d5d05b91a5426745dcc3aecbabebb0f
Description-en: internal package for C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This package contains the libvalacodegen shared library. It should not normally
 be used directly.

Package: libvaladoc-0.48-0
Description-md5: c967c06f087d4373c9e4b86e6ddde741
Description-en: API documentation generator for vala (library)
 Valadoc is a documentation generator for generating API documentation
 in HTML format from Vala source code. It can be used for *.vala and
 *.vapi files.
 .
 This package contains the library used by valadoc.

Package: libvaladoc-0.48-data
Description-md5: cc914022ba75a87e72560988b920ff87
Description-en: API documentation generator for vala (data)
 Valadoc is a documentation generator for generating API documentation
 in HTML format from Vala source code. It can be used for *.vala and
 *.vapi files.
 .
 This package contains the icons used by valadoc.

Package: libvaladoc-0.48-dev
Description-md5: 99af63669a21ee2f327c38227f2e1357
Description-en: API documentation generator for vala (devel files)
 Valadoc is a documentation generator for generating API documentation
 in HTML format from Vala source code. It can be used for *.vala and
 *.vapi files.
 .
 This package contains the devel files for the valadoc library.

Package: libvalapanel-dev
Description-md5: 8e2860e765e4a4369ebe807c95465712
Description-en: library for Vala Panel - development files
 Vala Panel is a rewrite of SimplePanel. It is a GTK+ 3 desktop panel
 written in Vala and based on ideas from LXPanel.
 .
 This package contains header files for building plugins or window
 managers with vala-panel support.

Package: libvalapanel0
Description-md5: b587b588975f5d50b547fd35876a6092
Description-en: library for Vala Panel
 Vala Panel is a rewrite of SimplePanel. It is a GTK+ 3 desktop panel
 written in Vala and based on ideas from LXPanel.
 .
 This package contains the Vala Panel library.

Package: libvalidate-net-perl
Description-md5: 02c943f9e034db8aa1cb7c9d0fd1cf3d
Description-en: Perl module for validating Net:: related strings
 Validate::Net is a class designed to assist with the validation of internet
 related strings. It can be used to validate CGI forms, internally by modules,
 and in any place where you want to check that an internet related string is
 valid before handing it off to a Net::* modules.

Package: libvalidate-yubikey-perl
Description-md5: eebf0acf75fd01ecd347659d6274f712
Description-en: module to validate Yubikey OTPs
 The Yubikey is a hardware OTP token produced by Yubico
 (http://www.yubico.com).
 .
 Validate::Yubikey provides validation of Yubikey OTPs. It relies on you to
 specify callback functions that handle retrieving token information from
 somewhere and updating the persistent information associated with each token.

Package: libvalidation-class-perl
Description-md5: 5b46c035b57247318cdf96bd8c049758
Description-en: module for centralized, simplified input validation
 Validation::Class is a different approach to data validation. It attempts to
 simplify and centralize data validation rules to ensure DRY (don't repeat
 yourself) code. The primary intent is to provide a simplistic validation
 workflow and promote (validation) code reuse.

Package: libvamp-hostsdk3v5
Description-md5: cdbb041cc6aadf62611f8f7b2f46c490
Description-en: helper library for Vamp hosts written in C++
 Vamp is an audio processing plugin system for plugins that extract
 descriptive information from audio data - typically referred to as
 audio analysis plugins or audio feature extraction plugins.
 .
 This library contains handy classes for using Vamp plugins from
 hosts written in C++.

Package: libvamp-sdk2v5
Description-md5: 7579eaf17e8434ae640d1f93501ae31f
Description-en: helper library for Vamp plugins written in C++
 Vamp is an audio processing plugin system for plugins that extract
 descriptive information from audio data - typically referred to as
 audio analysis plugins or audio feature extraction plugins.
 .
 If you want to develop Vamp plugins in C++, you should use this
 library to expose the official C API to hosts.

Package: libvamsas-client-java
Description-md5: 0c84d76014bff6d083f7520afdb7c458
Description-en: library for phylogenetic tasks
 Vamsas, for Visualisation and Analysis of Molecular Sequences,
 Alignments and Structures is a Java library aiming to facilitate the
 communication between phylogeny and protein structure communities
 via the provision of easy to use tools for complex methods.

Package: libvanessa-adt-dev
Description-md5: de04106ca97467ffe1a6539f5a45b83e
Description-en: Headers and static libraries for vanessa_adt
 Headers and static libraries required to develop against vanessa_adt.
 vanessa_adt is a abstract data types (ADT) library.  Includes queue,
 dynamic array and key value ADT.

Package: libvanessa-adt1
Description-md5: 2d4387d7a1d07681e4c6c57abdd440f6
Description-en: Library of Abstract Data Types
 Abstract Data Types (ADT) Library.  Includes queue, dynamic array and
 key value ADT.

Package: libvanessa-logger-dev
Description-md5: 71644b47086c56b380194e3a4935f4b3
Description-en: Headers and static libraries for libvanessa-logger
 Headers and static libraries required to develop against vanessa-logger.
 Vanessa logger is a generic logging layer that may be used to log to
 one or more of syslog, an open file handle or filename.

Package: libvanessa-logger-sample
Description-md5: d06276d97cadf0edb8c610352aac25c6
Description-en: Sample programme using libvanessa-logger
 Sample programme with source that demonstrates various features of
 vanessa-logger.

Package: libvanessa-logger0
Description-md5: 722b5b5870846e0240ef24b3cad206e9
Description-en: Generic Logging Library
 Generic logging layer that may be used to log to one or more of syslog, an
 open file handle or a file name. Though due to limitations in the
 implementation of syslog opening multiple syslog loggers doesn't makes
 sense. Includes the ability to limit which messages will be logged based on
 priorities.

Package: libvanessa-socket-dev
Description-md5: 9ada541cb08194c7c5dbf10e572a2dfa
Description-en: Headers and static libraries for libvanessa_socket
 Headers and static libraries required to develop against
 libvanessa_socket.

Package: libvanessa-socket-pipe
Description-md5: e29df04092e1ddbc1336d7c5bd44e3da
Description-en: User space TCP/IP pipe
 A TCP/IP pipe is a user space programme that listens for TCP/IP
 connections on port on the local host and when a client connects makes a
 connection to a TCP port, possibly on another host. Once both connections
 are established data sent on one connection is relayed to the other, hence
 forming a bi-directional pipe.
 .
 Uses include enabling connections to specific ports on hosts behind a
 packet filter.
 .
 This code is intended primarily as an example of how many of the features
 of libvanessa_socket work.

Package: libvanessa-socket2
Description-md5: 71cd9e818e58e713353a7efaab9987d4
Description-en: Library to simplify TCP socket operations
 Library to simplify TCP/IP socket operations. Includes code to open a
 socket to a server as a client, to listen on socket for clients as a
 server and to pipe information between sockets.

Package: libvar-pairs-perl
Description-md5: 6bb7a2d9b400e5497efd8539733a9b1c
Description-en: Perl module implementing iterators and pair constructors for variables
 Var::Pairs exports a small number of subroutines that add some Perl 6
 conveniences to Perl 5. Specifically, the module exports several subroutines
 that simplify interactions with key/value pairs in hashes and arrays.
 .
 If the autobox Perl module provided in libautobox-perl package is installed the
 object-oriented methods corresponding to the subroutine-based interfaces can be
 used.

Package: libvarconf-1.0-8v5
Description-md5: 4033ba0fde194544426055e377b9a250
Description-en: WorldForge configuration file handling library
 Varconf is a configuration system designed for the STAGE server. Varconf
 can parse configuration files, command-line arguments and environment
 variables. It supports callbacks and can store its configuration
 information in separate Config objects or in one global configuration
 instance.
 .
 This package contains the shared libraries.

Package: libvarconf-dev
Description-md5: e79a418ab443350560da475331dbf41f
Description-en: WorldForge configuration file handling library - development files
 Varconf is a configuration system designed for the STAGE server. Varconf
 can parse configuration files, command-line arguments and environment
 variables. It supports callbacks and can store its configuration
 information in separate Config objects or in one global configuration
 instance.
 .
 This package contains the development files for compiling applications and
 libraries depending on varconf.

Package: libvariable-disposition-perl
Description-md5: e1a2fa7e335f7dbf9997cad03d00b1f1
Description-en: module to dispose of variables
 Provides some basic helper functions for making sure variables go away when
 you want them to.
 .
 Currently provides /dispose as a default import. To avoid this:
 .
 use Variable::Disposition ();
 .
 In addition, /retain and /retain_future are available as optional imports.
 .
 use Variable::Disposition qw(dispose retain retain_future);
 .
 The :all tag can be used to import every available function:

Package: libvariantslib-ocaml
Description-md5: 8400bb1bb635b31e7d9302b27d61542b
Description-en: OCaml syntax extension for supporting variants as first class values (runtime)
 Variantslib generates code to support variants as first class by
 adding "with variants" suffix to variants definition, e.g.,
 .
 type t =
 | First
 | Second
 | Third
 .
 with variants
 .
 This package contains runtime files.

Package: libvariantslib-ocaml-dev
Description-md5: dae0b6bd15b27fad1f4053fb754f10a6
Description-en: OCaml syntax extension for supporting variants as first class values (dev)
 Variantslib generates code to support variants as first class by
 adding "with variants" suffix to variants definition, e.g.,
 .
 type t =
 | First
 | Second
 | Third
 .
 with variants
 .
 This package contains development files.

Package: libvarnishapi-dev
Description-md5: 9c15761c354c732b35ffb9837d7b1ea0
Description-en: development files for Varnish
 Development files for the Varnish HTTP accelerator.

Package: libvarnishapi2
Description-md5: b62e58460782f2fccf788b20917cd377
Description-en: shared libraries for Varnish
 Shared libraries for the Varnish HTTP accelerator.

Package: libvavr0-java
Description-md5: f586ef5efd6ec1ed483f5a2f041bb366
Description-en: object-functional language extension for Java
 Vavr (formerly called Javaslang) is an object-functional language
 extension for Java 8+.
 .
 Vavr aims to reduce the lines of code and increase code quality.
 It provides persistent collections, functional abstractions for error
 handling, concurrent programming, pattern matching and much more.
 .
 Vavr fuses the power of object-oriented programming with the elegance
 and robustness of functional programming. Its feature-rich, persistent
 collection library smoothly integrates with Java's standard collections.
 .
 Vavr does not depend on any libraries (other than the JVM).

Package: libvbr-dev
Description-md5: c6e90f6b3fa0c5c6f79e8aa511ed496f
Description-en: Headers and development libraries for the OpenDKIM VBR library
 The OpenDKIM Project is a community effort to develop and maintain a C library
 for producing DKIM-aware applications and an open source milter for providing
 DomainKeys Identified Mail (DKIM) service.
 .
 This package provides the required header files and development libraries for
 developing against the OpenDKIM project VBR library.

Package: libvbr2
Description-md5: f262111cc7ad9f8e968e4a1a72b2e839
Description-en: Library for RFC 5518 Vouch By Reference (VBR)
 The OpenDKIM Project is a community effort to develop and maintain a C library
 for producing DKIM-aware applications and an open source milter for providing
 DomainKeys Identified Mail (DKIM) service.
 .
 This package provides library for implementing RFC 5518 Vouch By Reference
 (VBR), a peer-to-peer domain reputation service protocol based on DKIM signed
 domains.
 .
 Vouch By Reference, or VBR, is a protocol for adding third-party
 certification to email.  Specifically, VBR permits independent third parties
 to certify the owner of a domain name that is associated with received mail.
 VBR may be performed anywhere along the email transit path, by any capable
 receiving module, either within the handling service or by end-user software.

Package: libvc-dev
Description-md5: ffd2d4dbdedbdcb132b5aecd2878dade
Description-en: vCard library - development files
 vCard is an Electronic Business Card format, as specified by the
 versit Consortium, useful for storing personal data.  libvc handles
 scanning a vCard file, parsing the vCard file into a data storage
 structure, manipulating the data storage structure, and writing back
 to file.  It was originally written for `rolo', but has been
 split-off as a generic library for general use.
 .
 This package contains static library, headers, and the development
 manpage for libvc.

Package: libvc0
Description-md5: 8308a22fd358495429150e74c6cff140
Description-en: vCard (the Electronic Business Card) library
 vCard is an Electronic Business Card format, as specified by the
 versit Consortium, useful for storing personal data.  libvc handles
 scanning a vCard file, parsing the vCard file into a data storage
 structure, manipulating the data storage structure, and writing back
 to file.  It was originally written for `rolo', but has been
 split-off as a generic library for general use.

Package: libvcdinfo-dev
Description-md5: e14de61878ad73f78c32ae508ca795f7
Description-en: library to extract information from VideoCD (development files)
 This package contains development files (headers and static library)
 for the libvcdino library.
 .
 This library can be used to extract information stored on a
 VideoCD. One use is in media players, such as xine and vlc (where in
 fact it is currently used). Here libvcdinfo assists in VideoCD
 navigation, playback control and showing what is contained on a
 VideoCD. Some standalone programs for this library are vcd-info and
 vcdxrip (part of the VCDImager distribution).

Package: libvcdinfo0
Description-md5: 6206462f2934783ec56d60c88b8c8a27
Description-en: library to extract information from VideoCD
 This library can be used to extract information stored on a
 VideoCD. One use is in media players, such as xine and vlc (where in
 fact it is currently used). Here libvcdinfo assists in VideoCD
 navigation, playback control and showing what is contained on a
 VideoCD. Some standalone programs for this library are vcd-info and
 vcdxrip (part of the VCDImager distribution).

Package: libvcflib-dev
Description-md5: f5f6bad47c03ee262f6c421dbfbcefe7
Description-en: C++ library for parsing and manipulating VCF files (development)
 The Variant Call Format (VCF) is a flat-file, tab-delimited textual format
 intended to concisely describe reference-indexed variations between
 individuals. VCF provides a common interchange format for the description of
 variation in individuals and populations of samples, and has become the defacto
 standard reporting format for a wide array of genomic variant detectors.
 .
 vcflib provides methods to manipulate and interpret sequence variation as it
 can be described by VCF. It is both:
 .
  * an API for parsing and operating on records of genomic variation as it can
    be described by the VCF format,
  * and a collection of command-line utilities for executing complex
    manipulations on VCF files.
 .
 This package contains the static library and the header files.

Package: libvcflib-tools
Description-md5: 3c6aa663d50e327a61a903862665a649
Description-en: C++ library for parsing and manipulating VCF files (tools)
 The Variant Call Format (VCF) is a flat-file, tab-delimited textual format
 intended to concisely describe reference-indexed variations between
 individuals. VCF provides a common interchange format for the description of
 variation in individuals and populations of samples, and has become the defacto
 standard reporting format for a wide array of genomic variant detectors.
 .
 vcflib provides methods to manipulate and interpret sequence variation as it
 can be described by VCF. It is both:
 .
  * an API for parsing and operating on records of genomic variation as it can
    be described by the VCF format,
  * and a collection of command-line utilities for executing complex
    manipulations on VCF files.
 .
 This package contains several tools using the library.

Package: libvcflib1
Description-md5: 4a37be6058b4cb297a5550cbccc89a50
Description-en: C++ library for parsing and manipulating VCF files
 The Variant Call Format (VCF) is a flat-file, tab-delimited textual format
 intended to concisely describe reference-indexed variations between
 individuals. VCF provides a common interchange format for the description of
 variation in individuals and populations of samples, and has become the defacto
 standard reporting format for a wide array of genomic variant detectors.
 .
 vcflib provides methods to manipulate and interpret sequence variation as it
 can be described by VCF. It is both:
 .
  * an API for parsing and operating on records of genomic variation as it can
    be described by the VCF format,
  * and a collection of command-line utilities for executing complex
    manipulations on VCF files.

Package: libvcs-lite-perl
Description-md5: 701ffc21a00b8b2202b8e3030066b3a2
Description-en: Minimal version control system
 This module provides the functions normally associated with a version
 control system, but without needing or implementing a version control
 system. Applications include wikis, document management systems and
 configuration management.
 .
 It makes use of the module Algorithm::Diff. It provides the facility
 for basic diffing, patching and merging.

Package: libvdb-sqlite-dev
Description-md5: 9443e52e7c963865c0b4b5a1df87b3f1
Description-en: sqlite modul of ncbi-vdb library (devel)
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 This library is part of the ncbi-vdb package and provides a sqlite
 interface.
 .
 This is the development package.

Package: libvdb-sqlite2
Description-md5: bc4a3447dd836f200efec1a994dac392
Description-en: sqlite modul of ncbi-vdb library
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 This library is part of the ncbi-vdb package and provides a sqlite
 interface.

Package: libvde-dev
Description-md5: aa2b8ef5bfc1b2448704d9a8c6451369
Description-en: Virtual Distributed Ethernet - support libraries development files
 VDE is a virtual switch that can connect multiple virtual machines together,
 both local and remote.
 .
 Components of the VDE architecture are VDE switches (virtual counterpart of
 ethernet switches) and VDE cables (virtual counterpart of a crossed-cable used
 to connect two switches).
 .
 This package contains the development files for libvde

Package: libvde0
Description-md5: 4e0362a4cfb49a7db124105497059a81
Description-en: Virtual Distributed Ethernet - support libraries
 VDE is a virtual switch that can connect multiple virtual machines together,
 both local and remote.
 .
 Components of the VDE architecture are VDE switches (virtual counterpart of
 ethernet switches) and VDE cables (virtual counterpart of a crossed-cable used
 to connect two switches).
 .
 This package contains a library to interact with vde_switch management console
 using pre-made unattended scripts.

Package: libvdeplug-dev
Description-md5: c53076e7c84fa1ca763d6ae1109cb5f2
Description-en: Virtual Distributed Ethernet - Plug development files
 VDE is a virtual switch that can connect multiple virtual machines together,
 both local and remote.
 .
 Components of the VDE architecture are VDE switches (virtual counterpart of
 ethernet switches) and VDE cables (virtual counterpart of a crossed-cable used
 to connect two switches).
 .
 This package contains the development files for libvdeplug.

Package: libvdeplug2
Description-md5: 8e5a2dc44ba73fe9f81d16c6977cd9e9
Description-en: Virtual Distributed Ethernet - Plug library
 VDE is a virtual switch that can connect multiple virtual machines together,
 both local and remote.
 .
 Components of the VDE architecture are VDE switches (virtual counterpart of
 ethernet switches) and VDE cables (virtual counterpart of a crossed-cable used
 to connect two switches).
 .
 This package contains a library that makes programs able to connect to a local
 VDE switch. The simplest one is vde_plug, contained in the vde2 package.

Package: libvdk2-2c2
Description-md5: 544954aa932b4c1c9e0f008b64394a2f
Description-en: Visual Development Kit C++ library version 2
 The Visual Development Kit (VDK) is a C++ library
 that wraps the GTK+ toolkit.
 .
 The package also includes the VDK Component Library which
 contains some  useful new  components not available in pure
 GTK+.
 .
 Programming in VDK is much like programming in VCL
 and Borland C++ Builder.
 .
 Some basic gnome support is provided.

Package: libvdk2-dbg
Description-md5: 842461faf0be5c55c2469420cce12206
Description-en: debugging static library for the VDK C++ library version 2
 The Visual Development Kit (VDK) is a C++ library
 that wraps the GTK+ toolkit.
 .
 The package also includes the VDK Component Library which
 contains some  useful new  components not available in pure
 GTK+.
 .
 Programming in VDK is much like programming in VCL
 and Borland C++ Builder.
 .
 This package contains the debugging static libraries for the
 VDK C++ library.
 .
 The debugging libraries are installed as /usr/lib/libvdk_g.a
 Link specifically with them if you want to debug.

Package: libvdk2-dev
Description-md5: 43c5634323dc139b4ebb5baa757f8180
Description-en: header files and static libraries for VDK library version 2
 The Visual Development Kit (VDK) is a C++ library
 that wraps the GTK+ toolkit.
 .
 The package also includes the VDK Component Library which
 contains some  useful new  components not available in pure
 GTK+.
 .
 Programming in VDK is much like programming in VCL
 and Borland C++ Builder.
 .
 This package contains the header files and static libraries for
 the VDK C++ and for the VDK Component libraries.
 .
 Install this package if you wish to develop your own X programs
 using the VDK C++ and the VDK Component libraries.

Package: libvdk2-doc
Description-md5: fc90973c58b1bda88b16bca17be5f4fa
Description-en: documentation for VDK library version 2
 The Visual Development Kit (VDK) is a C++ library
 that wraps the GTK+ toolkit.
 .
 The package also includes the VDK Component Library which
 contains some  useful new  components not available in pure
 GTK+.
 .
 Programming in VDK is much like programming in VCL
 and Borland C++ Builder.
 .
 This package contains the header files and static libraries for
 the VDK C++ and for the VDK Component libraries.
 .
 Install this package if you want the html documentation of VDK.

Package: libvdpau-va-gl1
Description-md5: 4c5078cf58e37672fd6ff35511fb6e80
Description-en: VDPAU driver with OpenGL/VAAPI backend
 Many applications can use VDPAU to accelerate portions of the video
 decoding process and video post-processing to the GPU video
 hardware. Unfortunately, there is no such library for many graphic
 chipsets. Some applications also support VA-API but many of them,
 including Adobe Flash Player, don't.
 .
 This library proposes a generic VDPAU library. It uses OpenGL under
 the hood to accelerate drawing and scaling and VA-API (if available)
 to accelerate video decoding.

Package: libvecmath-java
Description-md5: f0e7882edf30b5d577faa3c2a787fbff
Description-en: javax.vecmath vector math package
 This package includes classes for 3-space vector/point, 4-space vector, 4x4,
 3x3 matrix, quaternion, axis-angle combination and etc. which are often
 utilized for computer graphics mathematics. Most of the classes have single
 and double precision versions. Generic matrices' LU and SV decomposition are
 also there.

Package: libvecmath-java-doc
Description-md5: a68ada07bc7f944303766f8fa3885d67
Description-en: javax.vecmath vector math package (documentation)
 This package includes classes for 3-space vector/point, 4-space vector, 4x4,
 3x3 matrix, quaternion, axis-angle combination and etc. which are often
 utilized for computer graphics mathematics. Most of the classes have single
 and double precision versions. Generic matrices' LU and SV decomposition are
 also there.
 .
 This package contains the API documentation for javax.vecmath.

Package: libvectorgraphics2d-java
Description-md5: 2b12c0133a41a419be55a78deba358a5
Description-en: library for adding vector export to Java Graphics2D
 VectorGraphics2D provides implementations of Java's Graphics2D interface and
 exports the graphics in various vector file formats. Currently, there is
 support for the following vector file formats:
 .
  * Encapsulated PostScript (EPS)
  * Scalable Vector Graphics (SVG)
  * Portable Document Format (PDF)
 .
 Additional formats can be easily added.

Package: libvelocity-tools-java
Description-md5: c008c94395cb9a860da97a81901b50f2
Description-en: collection of useful tools for Velocity template engine
 The VelocityTools project is a collection of useful Java classes (aka tools),
 as well as infrastructure to easily, automatically and transparently
 make these tools available to Velocity templates.
 .
 Project include easy integration of Velocity into the view-layer of
 web applications (via the VelocityViewTag and
 VelocityViewServlet) and integration with Struts 1.x applications.

Package: libvelocity-tools-java-doc
Description-md5: 5936e29992f68fc326c3e10a101b0ba5
Description-en: collection of useful tools for Velocity template engine - documentation
 The VelocityTools project is a collection of useful Java classes (aka tools),
 as well as infrastructure to easily, automatically and transparently
 make these tools available to Velocity templates.
 .
 Project include easy integration of Velocity into the view-layer of
 web applications (via the VelocityViewTag and
 VelocityViewServlet) and integration with Struts 1.x applications.
 .
 This package provides the API documentation for Velocity Tools.

Package: libvendorlib-perl
Description-md5: a9f6535e09bc62b6a3d335e489872df0
Description-en: pragma to enforce only core and vendor libraries are used
 In a system distribution such as Debian, it may be advisable for Perl programs
 to ignore the user's CPAN-installed modules and only use the
 distribution-provided modules to avoid possible breakage with newer and
 unpackaged versions of modules.
 .
 To that end, this pragma will replace your @INC with only the core and vendor
 @INC paths, ignoring site_perl and $ENV{PERL5LIB} entirely.
 .
 It is recommended that you put 'use vendorlib;' as the first statement in your
 program, before even 'use strict;' and 'use warnings;'.

Package: libventrilo-dev
Description-md5: 6ca523a1a425c66a017242d427843001
Description-en: library for communicating with Ventrilo servers (development)
 libventrilo is a networking library for communicating with Ventrilo
 servers. It performs audio encoding/decoding, signal processing and network
 communications.
 .
 This package contains the development headers.

Package: libventrilo3-0
Description-md5: f9bb15fa5fd99b188c7cfa4054562a07
Description-en: library for communicating with Ventrilo servers
 libventrilo is a networking library for communicating with Ventrilo
 servers. It performs audio encoding/decoding, signal processing and network
 communications.

Package: libverbiste-0.1-0v5
Description-md5: ef8b0541bd47af9937f37f81e4995178
Description-en: French and Italian conjugator - shared library
 Verbiste is a program that gives the complete conjugation for French and
 Italian verbs. The knowledge base contains over 6800 verbs.
 .
 This package contains the shared library.

Package: libverbiste-dev
Description-md5: 71f172ff99c36c1008b0630ad54e47ea
Description-en: French and Italian conjugator - development files
 Verbiste is a program that gives the complete conjugation for French and
 Italian verbs. The knowledge base contains over 6800 verbs.
 .
 This package contains the development files.

Package: libverilog-perl
Description-md5: 5b40070e8f1b818fbb4d0d6224ed61b4
Description-en: framework providing Verilog support
 Verilog is a Perl framework providing Verilog support in the Perl language.
 It includes:
 .
  * Verilog::Getopt, which parses command line options similar to C++ and VCS
  * Verilog::Language, which knows the language keywords and parses numbers.
  * Verilog::Netlist, which builds netlists out of Verilog files. This allows
    easy scripts to determine things such as the hierarchy of modules.
  * Verilog::Parser, which invokes callbacks for language tokens
  * Verilog::Preproc, preprocesses the language, and allows reading
    post-processed files right from Perl without temporary files.
 .
 It also includes a variety of useful utilities:
 .
  * vpassert inserts PLIish warnings and assertions for any simulator
  * vppreproc preprocesses the complete Verilog 2001 and SystemVerilog
    language
  * vrename renames and cross-references Verilog symbols. It creates Verilog
    cross references and makes it easy to rename signal and module names over
    multiple files.

Package: libversion-compare-perl
Description-md5: 6b25f18bd019f4f37eb01e349ace15fd
Description-en: Perl module for comparing version strings
 Version::Compare can be used to compare version strings; returning the bigger
 of the two numerical values or comparing two unix-style version strings and
 setting a return code indicating which is larger or if they are equal.

Package: libversion-next-perl
Description-md5: 33ba6256c54133bf1937fa0fad7eafbe
Description-en: Perl module for simply incrementing Perl module version numbers
 Version::Next provides a simple, correct way to increment a Perl module
 version number. It does not attempt to guess what the original version number
 author intended, it simply increments in the smallest possible fashion.
 Decimals are incremented like an odometer. Dotted decimals are incremented
 piecewise and presented in a standardized way.
 .
 If more complex version manipulation is necessary, you may wish to consider
 Perl::Version.

Package: libversion-perl
Description-md5: 44a0962212c1709025ede485e9f3bcd5
Description-en: Perl extension for Version Objects
 The version module implements version objects for older version of Perl and
 provides the version object API for all versions of Perl.
 .
 There are two different types of version objects supported by this modules,
 corresponding to the two different styles of versions in use:
  * Decimal Versions - The classic floating-point number $VERSION.
  * Dotted Decimal Versions - The more modern form of version assignment, with
    3 (or potentially more) integers separated by decimal points (e.g. v1.2.3).

Package: libversion-util-perl
Description-md5: 9dfd7786d0458be975ceb127b7dd506e
Description-en: Perl module that provides version-number utilities
 Version::Util provides several convenient functions related to version
 numbers, e.g. for comparing them. Functions like equal, not equal, less than,
 less equal, greater than and greater equal.

Package: libversioneer-clojure
Description-md5: 665d78e7046bea5b6870f8ac8ad0cda6
Description-en: version introspection for Leiningen-generated projects
 versioneer-clojure allows programs to access their own version number. It can
 retrieve the version either from the system properties (set by Leiningen), a
 properties file (in jars built by Leiningen), or a default version passed in.

Package: libveyon-core
Description-md5: 7ceb7747abe82b2992a8c7a95f4f2277
Description-en: Computer Monitoring and Classroom Management Software - libraries
 Veyon is a software for computer monitoring and classroom management
 supporting Windows and Linux. It enables teachers to view and control
 computer labs and interact with students. Veyon is available in many different
 languages and provides numerous features supporting teachers and
 administrators at their daily work:
 .
   - Overview: monitor all computers in one or multiple locations or classrooms
   - Remote access: view or control computers to watch and support users
   - Demo: broadcast the teacher's screen in realtime (fullscreen/window)
   - Screen lock: draw attention to what matters right now
   - Communication: send text messages to students
   - Start and end lessons: log in and log out users all at once
   - Screenshots: record learning progress and document infringements
   - Programs & websites: launch programs and open website URLs remotely
   - Teaching material: distribute and open documents, images and videos easily
   - Administration: power on/off and reboot computers remotely
 .
 Veyon is the continuation of iTALC.
 .
 This package provides the core libraries needed for all Veyon components.

Package: libvhdi-dev
Description-md5: f66e6c0f010ebb393bd9a3af43bc0ea1
Description-en: Virtual Hard Disk image format access library -- development files
 libvhdi is a library to access the Virtual Hard Disk (VHD) image format.
 .
 This package includes the development support files.

Package: libvhdi-utils
Description-md5: 850157a44782c028fc4ec5e1a3dce77a
Description-en: Virtual Hard Disk image format access library -- Utilities
 libvhdi is a library to access the Virtual Hard Disk (VHD) image format.
 .
 This package contains tools to access data stored in VHD files:
 vhdiinfo, vhdimount.

Package: libvhdi1
Description-md5: bf23cbdc8c2736a515e0c3d7fffbd54a
Description-en: Virtual Hard Disk image format access library
 libvhdi is a library to access the Virtual Hard Disk (VHD) image format.
 .
 This package contains the shared library.

Package: libvi-quickfix-perl
Description-md5: 2960d45f238a87422bd5a6724b62ebb1
Description-en: Perl support for vim's QuickFix mode
 If a Perl program or module uses Vi::QuickFix, Perl logs errors and
 warnings to an error file. While editing that program or module with vim
 you can ask Perl to compile it. If there are errors during compilation,
 the error file is picked when you type a special QuickFix command and
 Vim will jump to the location of the first error recorded. Other
 QuickFix commands allow you to jump to other error messages, switching
 files if necessary.
 .
 This module is intended as a debugging tool for Perl programmers using
 Vim.

Package: libvibrant6-dev
Description-md5: 0f2a4bf7ef54869e077d936aaf9dd881
Description-en: NCBI libraries for graphic biology applications (development files)
 Vibrant allows you to develop portable (Motif, MS-Windows, Mac-OS) graphic
 biological applications.

Package: libvibrant6b
Description-md5: ca03cb16a05c25c5f94db31a4fa11f81
Description-en: NCBI libraries for graphic biology applications
 This is the library for those who just want to run Vibrant applications.
 It also includes a wrapper (vibrate) that allows many NCBI applications to
 provide a GUI for selecting options.

Package: libvideo-capture-v4l-perl
Description-md5: c248d887201a4a3c1c3f9156d62f58b4
Description-en: Perl interface to the Video4linux framegrabber interface
 This package contains Perl modules which interface to
 the Video4linux frame grabber.
 .
 The following Perl modules are included in this package:
 .
   * Video::Capture::V4l - Video4linux framegrabber interface
   * Video::Capture::VBI - Functions to manipulate vbi fields & lines
   * Video::RTjpeg - Real time, jpeg-like video compression
   * Video::XawTV - read, create and edit .xawtvrc files

Package: libvideo-fourcc-info-perl
Description-md5: d2e5314f528cf9519ef89c0ab53b36e8
Description-en: Perl module to find information about codecs from its FourCC
 Video::FourCC::Info finds information about a given Four Character Code
 (FourCC).
 .
 This code is a four-byte sequence embedded in video files that tells video
 players what algorithm is required to decode a given video stream. This
 detection scheme ensures that the detected codec format is independent of
 the file extension, which may be incorrect due to human error or for some
 other reason.

Package: libvideo-ivtv-perl
Description-md5: 414f269c67b19289f32a685654336d7b
Description-en: Perl extension for using V4l2 in the ivtv perl scripts
 The Video::ivtv module will provide helper methods for working with
 videodev2.h structures and making ioctl calls that have proven to be
 too difficult to create pack strings for in perl itself.
 .
 This is not supposed to be an equivalent of the Video::Capture::V4l
 module which was created for videodev.h.

Package: libvidstab-dev
Description-md5: 909d1438a11e6ef1550d36d95f6abe54
Description-en: video stabilization library (development files)
 Imagine you captured a nice video with your camcorder, compact camera or
 even cell phone while skiing, cycling or whatever sports and the video is
 basically just jiggled.
 .
 Modern cameras come along with hardware stabilisation, however this does
 not work if you have really strong vibrations - rather the contrary -
 sometimes this mechanism starts to oscillate.
 .
 Vid.Stab is your friend in this matter. It is designed to stabilize even
 strongly jiggled clips.
 .
 This package contains the development files of vid.stab.

Package: libvidstab1.1
Description-md5: ef3c3c93c6f6188ee6028f40c9a20929
Description-en: video stabilization library (shared library)
 Imagine you captured a nice video with your camcorder, compact camera or
 even cell phone while skiing, cycling or whatever sports and the video is
 basically just jiggled.
 .
 Modern cameras come along with hardware stabilisation, however this does
 not work if you have really strong vibrations - rather the contrary -
 sometimes this mechanism starts to oscillate.
 .
 Vid.Stab is your friend in this matter. It is designed to stabilize even
 strongly jiggled clips.
 .
 This package contains the shared library.

Package: libviennacl-dev
Description-md5: a27fa308bc2bf6d90a053f635ae4a276
Description-en: Scientific computing library written in C++ based on OpenCL
 The Vienna Computing Library (ViennaCL) is a scientific computing library
 written in C++ and based on OpenCL. It allows simple, high-level access to the
 vast computing resources available on parallel architectures such as GPUs and
 is primarily focused on common linear algebra operations (BLAS levels 1, 2 and
 3) and the solution of large systems of equations by means of iterative
 methods with optional preconditioner.
 .
 ViennaCL is header-only with no binary library and projects using it only need
 to link against a conforming OpenCL implementation.

Package: libviennacl-doc
Description-md5: 4293ec3da7d14fb3b2a1c3f988fa1e7a
Description-en: ViennaCL API and user documentation
 The Vienna Computing Library (ViennaCL) is a scientific computing library
 written in C++ and based on OpenCL. It allows simple, high-level access to the
 vast computing resources available on parallel architectures such as GPUs and
 is primarily focused on common linear algebra operations (BLAS levels 1, 2 and
 3) and the solution of large systems of equations by means of iterative
 methods with optional preconditioner.
 .
 This package contains the Doxygen-generated source code and the user
 documentation.

Package: libview-dev
Description-md5: c0605d8aa8b0d8a380d6766c38798251
Description-en: VMware's Incredibly Exciting Widgets
 libview is VMware's Incredibly Exciting Widgets, a useful collection of
 GTK+ widgets used within VMware products, free for everybody's use.
 .
 This library consists of several widgets that are used by VMware in
 Workstation and other products and has been given back to the OSS
 community. These widgets are under the MIT license and are largely
 written in C++ for GTKMM.
 .
 This is the development package.

Package: libview2
Description-md5: f90d9f703f449367f2e1f09e940d791d
Description-en: VMware's Incredibly Exciting Widgets
 libview is VMware's Incredibly Exciting Widgets, a useful collection of
 GTK+ widgets used within VMware products, free for everybody's use.
 .
 This library consists of several widgets that are used by VMware in
 Workstation and other products and has been given back to the OSS
 community. These widgets are under the MIT license and are largely
 written in C++ for GTKMM.
 .
 This is the library package.

Package: libview2-dbg
Description-md5: a42e6b131ad6b114554833036166327a
Description-en: VMware's Incredibly Exciting Widgets
 libview is VMware's Incredibly Exciting Widgets, a useful collection of
 GTK+ widgets used within VMware products, free for everybody's use.
 .
 This library consists of several widgets that are used by VMware in
 Workstation and other products and has been given back to the OSS
 community. These widgets are under the MIT license and are largely
 written in C++ for GTKMM.
 .
 This is the debugging package.

Package: libvigraimpex-dev
Description-md5: 06bc1a091777909e5e2ab17ebcd92db0
Description-en: development files for the C++ computer vision library
 Vision with Generic Algorithms (VIGRA) is a computer vision library
 that puts its main emphasis on flexible algorithms, because
 algorithms represent the principle know-how of this field. The
 library was consequently built using generic programming as
 introduced by Stepanov and Musser and exemplified in the C++ Standard
 Template Library. By writing a few adapters (image iterators and
 accessors) you can use VIGRA's algorithms on top of your data
 structures, within your environment.
 .
 This package contains the header and development files needed to
 build programs and packages using VIGRA.

Package: libvigraimpex-doc
Description-md5: 68e91538adca7e7262cb997daf253940
Description-en: Documentation for the C++ computer vision library
 Vision with Generic Algorithms (VIGRA) is a computer vision library
 that puts its main emphasis on flexible algorithms, because
 algorithms represent the principle know-how of this field. The
 library was consequently built using generic programming as
 introduced by Stepanov and Musser and exemplified in the C++ Standard
 Template Library. By writing a few adapters (image iterators and
 accessors) you can use VIGRA's algorithms on top of your data
 structures, within your environment.
 .
 This package contains documentation for the VIGRA library.

Package: libvigraimpex11
Description-md5: 4e10b79b88242227a01907ad6c456723
Description-en: C++ computer vision library
 Vision with Generic Algorithms (VIGRA) is a computer vision library
 that puts its main emphasis on flexible algorithms, because
 algorithms represent the principle know-how of this field. The
 library was consequently built using generic programming as
 introduced by Stepanov and Musser and exemplified in the C++ Standard
 Template Library. By writing a few adapters (image iterators and
 accessors) you can use VIGRA's algorithms on top of your data
 structures, within your environment.

Package: libvinnie-java
Description-md5: 2db3dce9e0eec38cb141b16f7865038b
Description-en: Lightweight Java library with "vobject" data
 vinnie is a lightweight Java library that reads and writes "vobject" data
 (vCard and iCalendar). It is used by the ez-vcard and biweekly projects.

Package: libvips-dev
Description-md5: fdedcdb493e10121cc5a689301c664e1
Description-en: image processing system good for very large ones (dev)
 VIPS is an image processing system designed with efficiency in mind.
 It is good with large images (ones that larger than the amount of RAM in
 your machine), and for working with colour.  It can perform many
 image manipulation tasks much faster than other packages such as
 ImageMagick and the GIMP and includes some special features such as
 creating single "mosaic" images from multiple parts.
 .
 VIPS consists of two main components: an image processing library
 with some command-line tools and a spreadsheet-like graphical user
 interface.  The graphical interface is available in the nip2 package.
 .
 This package includes all development files needed to compile
 applications that use the vips library.

Package: libvips-doc
Description-md5: 66c9c90a8a11f154f79b4a673d2d6c55
Description-en: image processing system good for very large ones (doc)
 VIPS is an image processing system designed with efficiency in mind.
 It is good with large images (ones that larger than the amount of RAM in
 your machine), and for working with colour.  It can perform many
 image manipulation tasks much faster than other packages such as
 ImageMagick and the GIMP and includes some special features such as
 creating single "mosaic" images from multiple parts.
 .
 VIPS consists of two main components: an image processing library
 with some command-line tools and a spreadsheet-like graphical user
 interface.  The graphical interface is available in the nip2 package.
 .
 This package includes complete documentation for the VIPS system.  It
 includes the application programmers' guide, the library programmers'
 guide, the C++ programmers' guide, and the reference guide, as well
 as HTML versions of the program and library manual pages.

Package: libvips-tools
Description-md5: 4b7763be3950065d87f0b48e06a16f7f
Description-en: image processing system good for very large ones (tools)
 VIPS is an image processing system designed with efficiency in mind.
 It is good with large images (ones that larger than the amount of RAM in
 your machine), and for working with colour.  It can perform many
 image manipulation tasks much faster than other packages such as
 ImageMagick and the GIMP and includes some special features such as
 creating single "mosaic" images from multiple parts.
 .
 VIPS consists of two main components: an image processing library
 with some command-line tools and a spreadsheet-like graphical user
 interface.  The graphical interface is available in the nip2 package.
 .
 This package includes several command-line utilities that make it
 possible to use some vips functionality from shell scripts or the
 command line.

Package: libvips42
Description-md5: c4eaae0fa8d986151b8ced75f4b02933
Description-en: image processing system good for very large ones
 VIPS is an image processing system designed with efficiency in mind.
 It is good with large images (ones that larger than the amount of RAM in
 your machine), and for working with colour.  It can perform many
 image manipulation tasks much faster than other packages such as
 ImageMagick and the GIMP and includes some special features such as
 creating single "mosaic" images from multiple parts.
 .
 VIPS consists of two main components: an image processing library
 with some command-line tools and a spreadsheet-like graphical user
 interface.  The graphical interface is available in the nip2 package.
 .
 This package includes runtime libraries needed to run applications
 linked with the vips library.

Package: libvirt-daemon-driver-lxc
Description-md5: 2cc07557cd5de83a9972e42c6de8aaa2
Description-en: Virtualization daemon LXC connection driver
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains the libvirtd connection driver for LXC.

Package: libvirt-daemon-driver-storage-gluster
Description-md5: 7c5efa2eb9f5a55e51f953e2496dc89d
Description-en: Virtualization daemon glusterfs storage driver
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains the libvirtd storage driver for GlusterFS.

Package: libvirt-daemon-driver-storage-zfs
Description-md5: 82733d9170fa45c9e6ed76bcec5869e9
Description-en: Virtualization daemon ZFS storage driver
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains the libvirtd storage driver for ZFS.

Package: libvirt-daemon-driver-vbox
Description-md5: 12b3becd7a3f100f8639457b28573a6a
Description-en: Virtualization daemon VirtualBox connection driver
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains the libvirtd connection driver for VirtualBox.

Package: libvirt-daemon-driver-xen
Description-md5: 4443d0d7dc3e14e6af4fa769a49871a0
Description-en: Virtualization daemon Xen connection driver
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains the libvirtd connection driver for Xen.

Package: libvirt-daemon-system-sysv
Description-md5: e4ade653752f064f0e8f735bf248d504
Description-en: Libvirt daemon configuration files (sysv)
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains dependencies and init scripts to make libvirt work with
 sysv based init systems. Using libvirt-daemon-systemd is preferred since the
 init scripts are incomplete.
 .
 This package is useless without the libvirt-daemon-system package installed.

Package: libvirt-dbus
Description-md5: bbcba239736b5dbc10cad6fd2959d988
Description-en: libvirt D-Bus API bindings
 Libvirt is a C toolkit to interact with the virtualization
 capabilities of recent versions of Linux (and other OSes). The
 library aims at providing a long term stable C API for different
 virtualization mechanisms. It currently supports QEMU, KVM, XEN,
 OpenVZ, LXC, and VirtualBox.
 .
 This package provides access to the libvirt API through D-Bus.

Package: libvirt-dev
Description-md5: 7e0bab852c890b732dbbdd941dc00165
Description-en: development files for the libvirt library
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains the header files and static libraries which are
 needed for developing the applications with libvirt.

Package: libvirt-glib-1.0-0
Description-md5: 4d234b2b7084dff812d470b67c4c109b
Description-en: libvirt GLib and GObject mapping library
 The libvirt-glib library eases integration of libvirt's events and API into
 GLib and GObject based applications.
 .
 The API/ABI of this library are still considered unstable.

Package: libvirt-glib-1.0-dev
Description-md5: 53133ae8c31231c4878b9a00e0291850
Description-en: Development files for the libvirt-glib library
 The libvirt-glib library eases integration of libvirt's events and API into
 GLib and GObject based applications.
 .
 This package contains the header files and static libraries which are needed
 for developing the applications with libvirt as well as the Vala bindings.
 .
 The API/ABI of this library are still considered unstable.

Package: libvirt-ocaml
Description-md5: f043fc0d3c0142c5f4ded5b178b96768
Description-en: OCaml bindings for libvirt (runtime)
 This library provides OCaml bindings for using libvirt.
 .
 Libvirt is a toolkit to interact with the virtualization capabilities of
 recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It
 currently supports QEMU, KVM, and XEN.
 .
 This package contains the shared library.

Package: libvirt-ocaml-dev
Description-md5: 4cbf2a655416969788baed546ad2ec29
Description-en: OCaml bindings for libvirt (development files)
 This library provides OCaml bindings for using libvirt.
 .
 Libvirt is a toolkit to interact with the virtualization capabilities of
 recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It
 currently supports QEMU, KVM, and XEN.
 .
 This package contains the development files.

Package: libvirt-sandbox-1.0-5
Description-md5: 6847cd72888faa81978696e23e5d778c
Description-en: Application sandbox toolkit shared library
 The Libvirt Sandbox project is an effort to facilitate the use of libvirt
 virtualization drivers for the purpose of sandboxing applications. It
 supports LXC and QEMU/KVM.
 .
 It provides a high level API to enable the construction of sandboxes
 and isolation from the need to understand the virtualization technology
 configuration.
 .
 It allows integration with systemd to setup service unit files inside a
 sandbox.
 .
 This package contains the shared libraries.

Package: libvirt-sandbox-1.0-dev
Description-md5: ae0005f6275b4c633c2699283a83201b
Description-en: Development files for libvirt-sandbox library
 The Libvirt Sandbox project is an effort to facilitate the use of libvirt
 virtualization drivers for the purpose of sandboxing applications. It
 supports LXC and QEMU/KVM.
 .
 It provides a high level API to enable the construction of sandboxes
 and isolation from the need to understand the virtualization technology
 configuration.
 .
 It allows integration with systemd to setup service unit files inside a
 sandbox.
 .
 This package contains the header files and static libraries which are needed
 for developing the applications with libvirt-sandbox.

Package: libvirt-sanlock
Description-md5: b7c793f838fe9e323ae4910fd5c1fa9e
Description-en: Sanlock plugin for virtlockd
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains the sanlock plugin for libvirt's locking daemon.

Package: libvirt-wireshark
Description-md5: 0fe09d3e4f6e75cb9e76d08eab9490e4
Description-en: Wireshark dissector for the libvirt protocol
 Libvirt is a C toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, XEN, OpenVZ, LXC, and VirtualBox.
 .
 This package contains the wireshark dissector.

Package: libvirtodbc0
Description-md5: c2d290dc0583240b820f00e5be7c1182
Description-en: high-performance database - ODBC libraries
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso ODBC client libraries.

Package: libvirtualpg-dev
Description-md5: 9aeb7fb9672349c68d9988da9e093cfa
Description-en: VirtualPG development files
 VirtualPG is a loadable dynamic extension to both SQLite and SpatiaLite.
 .
 Its intended scope is supporting direct SQL access to PostgreSQL and
 PostGIS tables, to make any possible kind of data exchange between
 these two popular open source Spatial DBMSes as straightforward and
 simple as possible.
 .
 This package contains the development files.

Package: libvirtualpg0
Description-md5: 58515309f22ceb5e3d4b87798f6b298b
Description-en: VirtualPG shared library
 VirtualPG is a loadable dynamic extension to both SQLite and SpatiaLite.
 .
 Its intended scope is supporting direct SQL access to PostgreSQL and
 PostGIS tables, to make any possible kind of data exchange between
 these two popular open source Spatial DBMSes as straightforward and
 simple as possible.
 .
 This package contains the shared library.

Package: libvirtuoso5.5-cil
Description-md5: 7ef6b8adccf1f7d2b6318e3ca5b2ed7d
Description-en: high-performance database - Mono assemblies
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains Virtuoso's ADO.NET data provider for Mono.

Package: libvisa-dev
Description-md5: 6f73b1cf2f10fcfa02b00a43da5d562e
Description-en: Virtual Instrument Software Architecture (development files)
 The VISA API provides a common interface to test and measurement equipment
 that can be accessed via GPIB, USB or VXI-11 interfaces.
 .
 This package contains the development headers and static libraries.

Package: libvisa0
Description-md5: 7e05d2326b24d699efeea2be5b0e016a
Description-en: Virtual Instrument Software Architecture (runtime files)
 The VISA API provides a common interface to test and measurement equipment
 that can be accessed via GPIB, USB or VXI-11 interfaces.
 .
 This package contains the shared libraries.

Package: libvisio-tools
Description-md5: 18f2c5474dda321d40ff939663be6f7c
Description-en: library for parsing the visio file structure -- tools
 Libvisio is library providing ability to interpret and import visio
 diagrams into various applications.
 .
 This package contains vsd2xhtml and vsd2raw.

Package: libvistaio-dev
Description-md5: fd9983547d06ec7cd6eb76e4536c23ba
Description-en: Development files for the libvistaio library
 Vistaio is a library that handles loading and storing of data in a
 cross-platform manner. Its virtue is that the otherwise binary
 files provide an ascii header that makes it easy to get information
 about the contens of a file. It supports a variety of data types
 like images, vector fields and graphs. This is the development package
 containing the header files, and pkg-config script, and man pages.

Package: libvistaio14
Description-md5: c03c832261b2ff6b92c8fe46af741c25
Description-en: Library for loading and storing various types of binary data
 Vistaio is a library that handles loading and storing of data in a
 cross-platform manner. Its virtue is that the otherwise binary
 files provide an ascii header that makes it easy to get information
 about the contens of a file. It supports a variety of data types
 like images, vector fields and graphs.

Package: libvisual-0.4-plugins
Description-md5: a0f60569b3d284aa2290de44e3fb426a
Description-en: audio visualization framework plugins
 Libvisual is a generic visualization framework that allows applications
 to easily access and manage visualization plugins.
 .
 Audio visualization is the process of making pretty moving images that
 are correlated in some way to the audio currently being played by a media
 player. Most audio visualization is tied to a specific application or media
 player, making it difficult to share code. Libvisual allows applications
 to use existing visualization plugins written for the libvisual framework.
 .
 This package provides plugins for the libvisual audio visualization
 framework.

Package: libvisual-projectm
Description-md5: 5b3871daba66194dd9b564e66e7c8964
Description-en: libvisual module for projectM
 projectM is an iterative music visualization library which uses
 OpenGL for hardware acceleration. It is compatible with Milkdrop
 presets.
 .
 This package contains a module for libvisual enabling support for
 projectM.

Package: libvisualization-msgs-dev
Description-md5: 2faf0d768fe5141ea9c5dd53ee16d503
Description-en: Messages relating to Robot OS visualization, C/C++ interface
 This package is part of Robot OS (ROS), and provides a set of
 messages used by higher level packages, such as rviz, that deal in
 visualization-specific data.
 .
 The main messages in visualization_msgs is
 visualization_msgs/Marker.  The marker message is used to
 send visualization "markers" such as boxes, spheres, arrows, lines,
 etc. to a visualization environment such as
 https:///www.ros.org/wiki/rviz See the rviz tutorial
 https://www.ros.org/wiki/rviz/Tutorials for more information.
 .
 This package contains the generated headers files.

Package: libvisualvm-jni
Description-md5: c86105b40e0d4206c927b80393a1caa6
Description-en: All-in-One Java Troubleshooting Tool (JNI libraries)
 VisualVM is a visual tool integrating several commandline JDK tools
 and lightweight profiling capabilities. Designed for both production
 and development time use, it further enhances the capability of
 monitoring and performance analysis for the Java SE platform.
 .
 VisualVM is designed for
  - Application Developers: Monitor, profile, take thread dumps,
    browse heap dumps.
  - System Administrators: Monitor and control Java applications
    across the entire network.
  - Java Application Users: Create bug reports containing all the
    necessary information.
 .
 This package contains the architecture specific Java native interface part.

Package: libvitacilina-perl
Description-md5: 358eccef4eec2935f250b2e66b7e09b7
Description-en: Perl feed aggregation library
 Vitacilina is a simple feeds engine exporter that uses YAML to get
 list of feeds and  TT as templating system. Some people would call
 it an aggregator.
 .
 It was intended to be a reliable Planet alternative, then some
 development ideas evolved into rFeed.

Package: libvkd3d-dev
Description-md5: aac8fdd409d783f9d96904483447303a
Description-en: Direct3D 12 to Vulkan translation - development files
 3D graphics library that provides an API similar to Direct3D 12 built on top
 of the Vulkan library.
 .
 This package provides development files.

Package: libvkd3d-utils1
Description-md5: 41865bdda1edcb699065510017e31cec
Description-en: Direct3D 12 to Vulkan translation - utilities library
 3D graphics library that provides an API similar to Direct3D 12 built on top
 of the Vulkan library.
 .
 This package provides a utilities library.

Package: libvkd3d1
Description-md5: 876f2b9538ea93e5f351dd359ff7c1cf
Description-en: Direct3D 12 to Vulkan translation - library
 3D graphics library that provides an API similar to Direct3D 12 built on top
 of the Vulkan library.
 .
 This package provides the library itself.

Package: libvlc-bin
Description-md5: 06cd16e155538e2ee49a354477f87189
Description-en: tools for VLC's base library
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains the vlc-cache-gen binary.

Package: libvlc-dev
Description-md5: 12dd7b707396cd811cd0c9fd70dd8122
Description-en: development files for libvlc
 This package contains headers and a static library required to build
 standalone applications that use VLC features.
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.

Package: libvlc5
Description-md5: aa50eb20ebe126a4c5f468a6be6f8f8d
Description-en: multimedia player and streamer library
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains the shared library required by applications using VLC
 features.

Package: libvlccore-dev
Description-md5: 2a56521a556c4ea31988a96ec2901955
Description-en: development files for libvlccore
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains headers and a static library required to build plugins
 for VLC.

Package: libvlccore9
Description-md5: c0a034effd010ff692cde9da58320cb4
Description-en: base library for VLC and its modules
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains the shared library required by VLC modules and libvlc.

Package: libvldocking-java
Description-md5: 57428c22dac02418b941237ef2031e54
Description-en: Java components for building applications with Docking capabilities
 An application can be divided into sub-sets: the "dockables".
  - Each Dockable can be precisely positioned by the user, by a drag and drop
    gesture issued from its title bar.
  - Dockables can be grouped into tabbed panes.
 .
 Every Dockable is given a title bar with a set of common functions (and
 keyboard shortcuts):
  - Maximize / Restore : the Dockable takes all the available space on the
    desktop
  - Detach/Attach : the Dockable is extracted from its parent window
  - Iconify / Restore : the Dockable is changed into a side button : it appears
    only when the mouse is moved over it
  - Contextual pop-up menus : customizable title bars and tabs
  - Close / Closable tab

Package: libvm-ec2-perl
Description-md5: a20a7517e7b015c60dbe98b77ecc7054
Description-en: module providing controls on Amazon EC2 and Eucalyptus
 This is an interface to the 2014-05-01 version of the Amazon AWS API
 (http://aws.amazon.com/ec2). It was written provide access to the new tag and
 metadata interface that is not currently supported by Net::Amazon::EC2, as
 well as to provide developers with an extension mechanism for the API. This
 library will also support the Eucalyptus open source cloud
 (http://open.eucalyptus.com).
 .
 The main interface is the VM::EC2 object, which provides methods for
 interrogating the Amazon EC2, launching instances, and managing instance
 lifecycle.

Package: libvm-ec2-security-credentialcache-perl
Description-md5: 3f020d7c2a262f2c86bcb0831652c3f2
Description-en: EC2 credential cache respecting expiration time for IAM roles
 VM::EC2::Security::CredentialCache provides a cache for an EC2's IAM
 credentials represented by VM::EC2::Security::Credentials. Rather than
 retriving the credentials for every possible call that uses them, cache them
 until they expire and retrieve them again if they have expired.

Package: libvma
Description-md5: 51b9fea113f70da27756f3608ae4d0ca
Description-en: LD_PRELOAD-able library that boosts performance
 libvma is a LD_PRELOAD-able library that boosts performance of TCP and
 UDP traffic. It allows application written over standard socket API to
 handle fast path data traffic from user space over Ethernet and/or
 Infiniband with full network stack bypass and get better throughput,
 latency and packets/sec rate.
 .
 No application binary change is required for that.
 libvma is supported by RDMA capable devices that support "verbs"
 IBV_QPT_RAW_PACKET QP for Ethernet and/or IBV_QPT_UD QP for IPoIB.

Package: libvma-dev
Description-md5: 6ffa3ade591ce018556b260322c4325a
Description-en: Development files for the libvma library
 libvma is a LD_PRELOAD-able library that boosts performance of TCP and
 UDP traffic. It allows application written over standard socket API to
 handle fast path data traffic from user space over Ethernet and/or
 Infiniband with full network stack bypass and get better throughput,
 latency and packets/sec rate.
 .
 No application binary change is required for that.
 libvma is supported by RDMA capable devices that support "verbs"
 IBV_QPT_RAW_PACKET QP for Ethernet and/or IBV_QPT_UD QP for IPoIB.
 .
 This package includes headers for building programs with libvma's interface
 directly, as opposed to loading it dynamically with LD_PRELOAD.

Package: libvma-utils
Description-md5: 3ba923aae96758bf57356744dddbe195
Description-en: Examples and tools for the libvma library
 libvma is a LD_PRELOAD-able library that boosts performance of TCP and
 UDP traffic. It allows application written over standard socket API to
 handle fast path data traffic from user space over Ethernet and/or
 Infiniband with full network stack bypass and get better throughput,
 latency and packets/sec rate.
 .
 No application binary change is required for that.
 libvma is supported by RDMA capable devices that support "verbs"
 IBV_QPT_RAW_PACKET QP for Ethernet and/or IBV_QPT_UD QP for IPoIB.
 .
 This package contains the tool vma_stats for collecting and
 analyzing Libvma statistic.

Package: libvma8
Description-md5: 7c94762c3b34bbd57dd56a7d21b0cfb2
Description-en: LD_PRELOAD-able library that boosts performance - shared library
 libvma is a LD_PRELOAD-able library that boosts performance of TCP and
 UDP traffic. It allows application written over standard socket API to
 handle fast path data traffic from user space over Ethernet and/or
 Infiniband with full network stack bypass and get better throughput,
 latency and packets/sec rate.
 .
 No application binary change is required for that.
 libvma is supported by RDMA capable devices that support "verbs"
 IBV_QPT_RAW_PACKET QP for Ethernet and/or IBV_QPT_UD QP for IPoIB.
 .
 This package includes the dynamic library itself.

Package: libvmatch-dev
Description-md5: df5a3d867ec376216938fc33b5204a9c
Description-en: development headers and static library for Vmatch
 This package contains C development headers and a static library to
 build custom programs and selector functions utilizing Vmatch.
 It also includes example source code for selector functions.

Package: libvmdk-dev
Description-md5: e4299caeab198dde8473a10aa1972b20
Description-en: VMWare Virtual Disk format access library -- development files
 libvmdk is a library to access the VMware Virtual Disk (VMDK) format.
 .
 This package includes the development support files.

Package: libvmdk-utils
Description-md5: 24361189efc5082ad89832a3d10f4727
Description-en: VMWare Virtual Disk format access library -- Utilities
 libvmdk is a library to access the VMware Virtual Disk (VMDK) format.
 .
 This package contains tools to access data stored in VMDK files:
 vmdkinfo, vmdkmount.

Package: libvmdk1
Description-md5: 9515a1d6230845100408642fb273944d
Description-en: VMWare Virtual Disk format access library
 libvmdk is a library to access the VMware Virtual Disk (VMDK) format.
 .
 This package contains the shared library.

Package: libvmem-dev
Description-md5: 536558c64954c42b16dd06cb06ecff41
Description-en: development files for libvmem1
 The libvmem library turns a pool of persistent memory into a volatile memory
 pool, similar to the system heap but kept separate and with its own
 malloc-style API.
 .
 This package contains libraries and header files used for linking programs
 against libvmem.

Package: libvmem1
Description-md5: 629cc3a3b665dcb543e380b3280dd479
Description-en: Persistent Memory volatile memory support library
 The libvmem library turns a pool of persistent memory into a volatile memory
 pool, similar to the system heap but kept separate and with its own
 malloc-style API.
 .
 libvmem supports the traditional malloc/free interfaces on a memory mapped
 file.  This allows the use of persistent memory as volatile memory, for cases
 where the pool of persistent memory is useful to an application, but when the
 application doesn’t need it to be persistent.

Package: libvmemcache-dev
Description-md5: 730699769c914f19ba5b0c8317e7e464
Description-en: buffer-based LRU cache
 Vmemcache is a volatile filesystem based key:value cache.  It works best
 when backed with a DAX-capable persistent memory device, but can work on
 tmpfs or on legacy disks.
 .
 This package contains development headers and documentation.

Package: libvmemcache0
Description-md5: 88c077928ffeb2042b945312315ce695
Description-en: buffer-based LRU cache
 Vmemcache is a volatile filesystem based key:value cache.  It works best
 when backed with a DAX-capable persistent memory device, but can work on
 tmpfs or on legacy disks.
 .
 This package contains the runtime library.

Package: libvmime-dev
Description-md5: 89a09659a8e110d3722b702fc6beb7d3
Description-en: C++ mail library (development files)
 VMime is a powerful C++ class library for parsing, generating, or editing
 Internet RFC-[2]822 and MIME messages. VMime is designed to provide a fast
 and an easy way to manipulate Internet mail messages.
 .
 The recent releases of VMime also include support for using messaging
 protocols (POP3, IMAP, SMTP and maildir) with a lot of features supported:
 listing folders, downloading and adding messages to folders, extracting parts
 from message, getting and setting message flags, and a lot more.
 .
 This package contains the development headers and the static library to
 develop applications using the libvmime.

Package: libvmime1
Description-md5: 44c181525c35210558e1d3085f72e5bb
Description-en: C++ mail library (runtime library)
 VMime is a powerful C++ class library for parsing, generating, or editing
 Internet RFC-[2]822 and MIME messages. VMime is designed to provide a fast
 and an easy way to manipulate Internet mail messages.
 .
 The recent releases of VMime also include support for using messaging
 protocols (POP3, IMAP, SMTP and maildir) with a lot of features supported:
 listing folders, downloading and adding messages to folders, extracting parts
 from message, getting and setting message flags, and a lot more.

Package: libvmime1-doc
Description-md5: b8fe761dd9dcbc7569134d7fe71245fa
Description-en: C++ mail library (API documentation)
 VMime is a powerful C++ class library for parsing, generating, or editing
 Internet RFC-[2]822 and MIME messages. VMime is designed to provide a fast
 and an easy way to manipulate Internet mail messages.
 .
 The recent releases of VMime also include support for using messaging
 protocols (POP3, IMAP, SMTP and maildir) with a lot of features supported:
 listing folders, downloading and adding messages to folders, extracting parts
 from message, getting and setting message flags, and a lot more.
 .
 This package contains the Doxygen generated library API HTML documentation
 for libvmime.
 They can be accessed by open /usr/share/doc/libvmime1-doc/html/index.html.

Package: libvmmalloc-dev
Description-md5: 85c36336979caf14120fceef6f3984db
Description-en: development files for libvmmalloc1
 The libvmmalloc library transparently converts all the dynamic memory
 allocations into volatile persistent memory allocations.
 .
 This package contains libraries and header files used for linking programs
 against libvmalloc.

Package: libvmmalloc1
Description-md5: e9ca7b43a9af810c329a3ddc8f74419d
Description-en: Persistent Memory dynamic allocation support library
 The libvmmalloc library transparently converts all the dynamic memory
 allocations into volatile persistent memory allocations.  This allows the
 use of persistent memory as volatile memory without modifying the target
 application.

Package: libvmmlib-dev
Description-md5: cd9df71653737924e0a00789c7a73078
Description-en: templatized C++ vector and matrix math library
 Vmmlib's basic functionality includes a vector and a matrix class, with
 additional functionality for the often-used 3d and 4d vectors and 3x3 and 4x4
 matrices.
 .
 More advanced functionality include solvers, frustum computations and frustum
 culling classes, and spatial data structures.
 .
 It is implemented using C++ templates, making it versatile, and being a header
 library, it is very easy to integrate into other libraries and programs.

Package: libvnlog-dev
Description-md5: 7b51679404be3d15b844428fe1df0c06
Description-en: Toolkit to read/write/manipulate whitespace-separated ASCII logs
 Vnlog (pronounced "vanillog") is a trivially-simple log format consisting of an
 ASCII table with comments and labelled fields. This package provides a C
 library that simplifies generating such logs. Dev files.

Package: libvnlog-perl
Description-md5: fc57e8ffe3f4674466e7576ad5112f52
Description-en: Toolkit to read/write/manipulate whitespace-separated ASCII logs
 Vnlog (pronounced "vanillog") is a trivially-simple log format consisting of an
 ASCII table with comments and labelled fields. This package provides a simple
 Perl library to parse such logs.

Package: libvnlog0
Description-md5: e2198d4977db0c98087d79d7b6a056f1
Description-en: Toolkit to read/write/manipulate whitespace-separated ASCII logs
 Vnlog (pronounced "vanillog") is a trivially-simple log format consisting of an
 ASCII table with comments and labelled fields. This package provides a C
 library that simplifies generating such logs.

Package: libvo-aacenc-dev
Description-md5: 16af85f8a8bdbb236541355025d5941e
Description-en: VisualOn AAC encoder library (development files)
 This library contains an encoder implementation of the Advanced Audio
 Coding (AAC) audio codec. The library is based on a codec implementation
 by VisualOn, part of the Stagefright framework from the Google
 Android project.
 .
 This package contains development files.

Package: libvo-aacenc0
Description-md5: 026f2170174a130fa6cbf7a25e2a5fa2
Description-en: VisualOn AAC encoder library
 This library contains an encoder implementation of the Advanced Audio
 Coding (AAC) audio codec. The library is based on a codec implementation
 by VisualOn, part of the Stagefright framework from the Google
 Android project.

Package: libvo-amrwbenc-dev
Description-md5: 863a5d4fa17e275e8d1861946c7b1ab8
Description-en: VisualOn AMR-WB encoder library (development files)
 This library contains an encoder implementation of the Adaptive Multi
 Rate Wideband (AMR-WB) audio codec. The library is based on a codec
 implementation by VisualOn, part of the Stagefright framework from
 the Google Android project.

Package: libvo-amrwbenc0
Description-md5: 72e8e4fbe4617ee58cc3d3ca604c5b95
Description-en: VisualOn AMR-WB encoder library
 This library contains an encoder implementation of the Adaptive Multi
 Rate Wideband (AMR-WB) audio codec. The library is based on a codec
 implementation by VisualOn, part of the Stagefright framework from
 the Google Android project.
 .
 This package contains development files.

Package: libvoaacenc-ocaml
Description-md5: b744e351a8f6efbd4d97484c418c45c5
Description-en: OCaml interface to the vo-aacenc library -- runtime files
 This package provides an interface to the vo-aacenc library for
 OCaml programmers.
 .
 Vo-aacenc is an encoder implementation of the Advanced Audio
 Coding (AAC) audio codec. The library is based on a codec implementation
 by VisualOn, part of the Stagefright framework from the Google
 Android project.
 .
 This package contains only the shared runtime stub libraries.

Package: libvoaacenc-ocaml-dev
Description-md5: 80df811fa0ab6c267360b14f949e42f2
Description-en: OCaml interface to the vo-aacenc library -- developpement files
 This package provides an interface to the vo-aacenc library for
 OCaml programmers.
 .
 Vo-aacenc is an encoder implementation of the Advanced Audio
 Coding (AAC) audio codec. The library is based on a codec implementation
 by VisualOn, part of the Stagefright framework from the Google
 Android project.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use ocaml-voaacenc.

Package: libvolk2-bin
Description-md5: a6a5ca0bf4f690aecdc95ef78b661532
Description-en: vector optimized runtime tools
 Vector-Optimized Library of Kernels is designed to help
 applications work with the processor's SIMD instruction sets. These are
 very powerful vector operations that can give signal processing a
 huge boost in performance.
 .
 This package includes the volk_profile tool.

Package: libvolk2-dev
Description-md5: c138cba9dd55b0d359a439f1f2b5d1a1
Description-en: vector optimized function headers
 Vector-Optimized Library of Kernels is designed to help
 applications work with the processor's SIMD instruction sets. These are
 very powerful vector operations that can give signal processing a
 huge boost in performance.
 .
 This package contains the header files.
 For documentation, see libvolk-doc.

Package: libvolk2-doc
Description-md5: 99282e2aebcdd540b7b641fd052c900f
Description-en: vector optimized library documentation
 Vector-Optimized Library of Kernels is designed to help
 applications work with the processor's SIMD instruction sets. These are
 very powerful vector operations that can give signal processing a
 huge boost in performance.
 .
 This package includes the Doxygen generated documentation in
 /usr/share/doc/libvolk2-dev/html/index.html

Package: libvolk2.2
Description-md5: 8de75ae0e0ce57bb68a46690dd8e3b62
Description-en: vector optimized functions
 Vector-Optimized Library of Kernels is designed to help
 applications work with the processor's SIMD instruction sets. These are
 very powerful vector operations that can give signal processing a
 huge boost in performance.

Package: libvolpack1
Description-md5: fe92f6fd8ced6c6348c5db94930a06a0
Description-en: fast volume rendering library
 VolPack is a software library for fast, high-quality volume rendering with
 this features:
  * Renders data sampled on a regular, three-dimensional grid.
  * Supports user-specified transfer functions for both opacity and color.
  * Provides a shading model with directional light sources, multiple material
    types with different reflective properties, depth cueing, and shadows.
  * Produces color (24 bits/pixel) or grayscale (8 bits/pixel) renderings,
    with or without an alpha channel.
  * Supports arbitrary affine view transformations.
  * Supports a flexible data format that allows an arbitrary C structure to be
    associated with each voxel.

Package: libvolpack1-dev
Description-md5: 83dadf1197da620bc3f04a05dfe55f8b
Description-en: fast volume rendering library (development package)
 VolPack is a software library for fast, high-quality volume rendering with
 this features:
  * Renders data sampled on a regular, three-dimensional grid.
  * Supports user-specified transfer functions for both opacity and color.
  * Provides a shading model with directional light sources, multiple material
    types with different reflective properties, depth cueing, and shadows.
  * Produces color (24 bits/pixel) or grayscale (8 bits/pixel) renderings,
    with or without an alpha channel.
  * Supports arbitrary affine view transformations.
  * Supports a flexible data format that allows an arbitrary C structure to be
    associated with each voxel.
 .
 This is the development package.

Package: libvoms-api-java-java
Description-md5: a5d3fae2541438057ddbbfdef53f7224
Description-en: Virtual Organization Membership Service Java API
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package provides a java client API for VOMS.

Package: libvoms-api-java-java-doc
Description-md5: 21abaa789fd9bc93ed1ce70535ab74dd
Description-en: Virtual Organization Membership Service Java API Documentation
 Virtual Organization Membership Service (VOMS) Java API Documentation.

Package: libvomsapi1v5
Description-md5: e980a129319d25490ff68629da8424bc
Description-en: Virtual Organization Membership Service C++ API
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package provides libraries that applications using the VOMS functionality
 will bind to.

Package: libvorbis-java
Description-md5: 8d3d7ba971732039c281b7a6d52698cc
Description-en: Ogg and Vorbis toolkit for Java
 vorbis-java is a pure Java library for working with Ogg, Vorbis, FLAC,
 Opus and Speex files
 .
 Support for the Ogg container is fairly complete, offering the ability
 to read, write, add and change streams within an Ogg file. It should
 be possible to use the Ogg parts as a basis for dealing with any
 multimedia data stored in an Ogg container. There is basic support for
 Skeleton Annodex streams, which provide metadata on top of Ogg files about
 the streams, but it isn't fully integrated.
 .
 Support for the Vorbis audio format so far concentrates on metadata.
 It is possible to retrieve and change metadata (such as sampling rates,
 user comments etc), and tools are provided to query and alter these.
 Encoding/decoding audio data is not supported.
 .
 Opus and Speex support is slightly less than that of Vorbis, covering
 retrieving of metadata (such as sampling rates, user comments etc). However,
 basic Opus or Speex audio frame support is outstanding. Tooling exists
 for querying and changing metadata for Opus only.
 .
 Very limited support is also included for FLAC comments (user metadata),
 which use the same scheme as Vorbis. FLAC-native and FLAC-in-Ogg files
 are both supported for extracting the user metadata.

Package: libvorbis-ocaml
Description-md5: 097f7cff7cf33103de13173786457bd5
Description-en: OCaml bindings for vorbis library
 This OCaml library interfaces the vorbis C library. It can be used to
 decode from or encode to the Ogg Vorbis compressed audio format as well
 as to get information about an Ogg Vorbis file.
 .
 Ogg Vorbis is a fully open, non-proprietary, patent-and-royalty-free,
 general-purpose compressed audio format for audio and music at fixed
 and variable bitrates from 16 to 128 kbps/channel.
 .
 This package contains only the shared runtime stub libraries.

Package: libvorbis-ocaml-dev
Description-md5: 89b04d19d46079a012f9ec3b76d6be2d
Description-en: OCaml bindings for the vorbis library
 This OCaml library interfaces the vorbis C library. It can be used to
 decode from or encode to the Ogg Vorbis compressed audio format as well
 as to get information about an Ogg Vorbis file.
 .
 Ogg Vorbis is a fully open, non-proprietary, patent-and-royalty-free,
 general-purpose compressed audio format for audio and music at fixed
 and variable bitrates from 16 to 128 kbps/channel.
 .
 This package contains all the development stuff you need to use ocaml-vorbis
 in your programs.

Package: libvorbisidec-dev
Description-md5: 1680a5cad977f07783da79c6efd826e5
Description-en: Integer-only Ogg Vorbis decoder, AKA "tremor" (Development Files)
 libvorbisidec is an Ogg Vorbis audio decoder (also known as
 "tremor"), implemented with no floating point arithmetic.  This makes
 it particularly amenable to use on systems which lack floating point
 hardware.
 .
 This package contains the development files.

Package: libvorbisidec1
Description-md5: dfc96cc4fb4d2629ec918df377f94fd0
Description-en: Integer-only Ogg Vorbis decoder, AKA "tremor"
 libvorbisidec is an Ogg Vorbis audio decoder (also known as
 "tremor"), implemented with no floating point arithmetic.  This makes
 it particularly amenable to use on systems which lack floating point
 hardware.

Package: libvorbisspi-java
Description-md5: 84acf0a8f83984303fafafbbe30e70cc
Description-en: Java Service Provider Interface for the OGG Vorbis audio format
 VorbisSPI is a Java Service Provider Interface that adds OGG Vorbis audio
 format support to Java platform. It supports icecast streaming. It is based on
 JOrbis Java libraries.
 .
 Ogg Vorbis is a fully Open, non-proprietary, patent-and-royalty-free,
 general-purpose compressed audio format for high quality (44.1-48.0kHz, 16+
 bit, polyphonic) audio and music at fixed and variable bitrates from 16 to 128
 kbps/channel. This places Vorbis in the same class as audio representations
 including MPEG-1 audio layer 3, MPEG-4 audio (AAC and TwinVQ), and PAC.

Package: libvoro++1
Description-md5: 67df776f5ee286109a8f8fd48a44149c
Description-en: library for the computation of the Voronoi diagram (shared library)
 Voro++ is a software library for carrying out three-dimensional computations
 of the Voronoi tessellation. A distinguishing feature of the Voro++ library is
 that it carries out cell-based calculations, computing the Voronoi cell for
 each particle individually. It is particularly well-suited for applications
 that rely on cell-based statistics, where features of Voronoi cells (eg.
 volume, centroid, number of faces) can be used to analyze a system of
 particles.
 .
 This package provides the shared library.

Package: libvotca-csg-dev
Description-md5: 480beb04dd4dd82a6efdd45172423e76
Description-en: VOTCA's coarse-graining library, development kit
 VOTCA is a software package which focuses on the analysis of molecular
 dynamics data, the development of systematic coarse-graining techniques as
 well as methods used for simulating microscopic charge transport in
 disordered semiconductors.
 .
 libvotca-csg is Votca's coarse-graining library.
 .
 This package contains header files and pkg-config files and templates
 for VOTCA programs.

Package: libvotca-csg6
Description-md5: a7dd63057c3cd2cfaa40c2442fa07a64
Description-en: VOTCA's coarse-graining library
 VOTCA is a software package which focuses on the analysis of molecular
 dynamics data, the development of systematic coarse-graining techniques as
 well as methods used for simulating microscopic charge transport in
 disordered semiconductors.
 .
 libvotca-csg is Votca's coarse-graining library.

Package: libvotca-tools-dev
Description-md5: 140e8dde00527586dc361f6b68d1fad4
Description-en: VOTCA's tools library, development kit
 VOTCA is a software package which focuses on the analysis of molecular
 dynamics data, the development of systematic coarse-graining techniques as
 well as methods used for simulating microscopic charge transport in
 disordered semiconductors.
 .
 VOTCA's tools library provides functions shared between all VOTCA packages.
 .
 This package contains header files and pkg-config files.

Package: libvotca-tools6
Description-md5: d937ed058d9befe0d024acb8c75c61ec
Description-en: VOTCA's tools library
 VOTCA is a software package which focuses on the analysis of molecular
 dynamics data, the development of systematic coarse-graining techniques as
 well as methods used for simulating microscopic charge transport in
 disordered semiconductors.
 .
 VOTCA's tools library provides functions shared between all VOTCA packages.

Package: libvpb-dbg
Description-md5: 9d57b43003bf9a997019db6238291418
Description-en: debugging symbols for libvpb and vpb-utils
 This package provides the detached debug symbols for the vpb-driver userspace
 library and utilities.

Package: libvpb-dev
Description-md5: 0b9c6f26ffc59a3d842688752665a2d4
Description-en: Voicetronix telephony hardware userspace library development files
 This package provides the necessary headers and library support files for
 building applications that use libvpb.

Package: libvpb-doc
Description-md5: 0654cf63a0f955f324bf877cc0049311
Description-en: Voicetronix telephony interface library documentation
 This package provides the developer documentation for the Voicetronix
 telephony library.

Package: libvpb1
Description-md5: b0594e11985502a9d0707780536998c7
Description-en: Voicetronix telephony hardware userspace interface library
 This package provides the userspace support library for Voicetronix telephony
 hardware.

Package: libvshadow-dev
Description-md5: 0e08f2f1d13939f048f8d2b0a5cf94f1
Description-en: Volume Shadow Snapshot format access library -- development files
 libvshadow is a library to access the Volume Shadow Snapshot (VSS)
 format. The VSS format is used by Windows, as of Vista, to maintain
 copies of data on a storage media volume.
 .
 This package includes the development support files.

Package: libvshadow-utils
Description-md5: 4332e69fd9199fa1dcac2be44fa38426
Description-en: Volume Shadow Snapshot format access library -- Utilities
 libvshadow is a library to access the Volume Shadow Snapshot (VSS)
 format. The VSS format is used by Windows, as of Vista, to maintain
 copies of data on a storage media volume.
 .
 This package contains tools to access data stored in a Volume Shadow
 Snapshot: vshadowinfo, vshadowmount.

Package: libvshadow1
Description-md5: 892d66090c89bbe8dc811491c33751b3
Description-en: Volume Shadow Snapshot format access library
 libvshadow is a library to access the Volume Shadow Snapshot (VSS)
 format. The VSS format is used by Windows, as of Vista, to maintain
 copies of data on a storage media volume.
 .
 This package contains the shared library.

Package: libvslvm-dev
Description-md5: 9239b7d592176083efcd95265475a470
Description-en: Linux LVM volume system format access library -- development files
 libvslvm is a library to access the Linux Logical Volume Manager
 (LVM) volume system format.
 .
 This package includes the development support files.

Package: libvslvm-utils
Description-md5: 7b4f9361be594c14ae6d859544e5ac2b
Description-en: Linux LVM volume system format access library -- Utilities
 libvslvm is a library to access the Linux Logical Volume Manager
 (LVM) volume system format.
 .
 This package contains tools to access data ...

Package: libvslvm1
Description-md5: 723321fdaa621e28305116eaff443fc5
Description-en: Linux LVM volume system format access library
 libvslvm is a library to access the Linux Logical Volume Manager
 (LVM) volume system format.
 .
 This package contains the shared library.

Package: libvsqlitepp-dev
Description-md5: e17c99857acd4366646521b65f5aa056
Description-en: SQLite3 Wrapper for C++ -- development files
 VSQLite++ is a welldesigned and portable SQLite3 Wrapper for C++.
 .
 This package provides development files.

Package: libvsqlitepp-doc
Description-md5: 19e2cee8d839e47dda49732d903c21c0
Description-en: SQLite3 Wrapper for C++ -- API documentation
 VSQLite++ is a welldesigned and portable SQLite3 Wrapper for C++.
 .
 This package provides API documentation.

Package: libvsqlitepp3v5
Description-md5: 097b7ab5707d8d905645afde223e39ca
Description-en: SQLite3 Wrapper for C++
 VSQLite++ is a welldesigned and portable SQLite3 Wrapper for C++.

Package: libvt-ldap-java
Description-md5: be61b0dacae410bb3066bfb100395835
Description-en: Virginia Tech LDAP Libraries
 VT Ldap is a Java library for interfacing with version 3 LDAPs. It
 aims to be easy and flexible to configure, and easy to use.

Package: libvt-ldap-java-doc
Description-md5: fac42614a6927916f8f9ddac2d6ef346
Description-en: Documentation for VT LDAP Libraries
 VT Ldap is a Java library for interfacing with version 3 LDAPs. It
 aims to be easy and flexible to configure, and easy to use.
 .
 This package contains the API documentation of libvt-ldap-java.

Package: libvte-common
Description-md5: 6aed355fd259c53d48d4fb26cb875757
Description-en: Terminal emulator widget for GTK+ 2.x - common files
 The VTE library provides a terminal emulator widget VteTerminal for
 applications using the GTK+ toolkit. It also provides the VtePTY object
 containing functions for starting a new process on a new
 pseudo-terminal and for manipulating pseudo-terminals.
 .
 This package contains internationalization files for the VTE library
 and common files for the GTK+ 2.x version.

Package: libvte-dev
Description-md5: d9613635a4d9cd30e018be19d96435a1
Description-en: Terminal emulator widget for GTK+ 2.0 - development files
 The VTE library provides a terminal emulator widget VteTerminal for
 applications using the GTK+ toolkit. It also provides the VtePTY object
 containing functions for starting a new process on a new
 pseudo-terminal and for manipulating pseudo-terminals.
 .
 This package contains development files for the VTE library.
 It is needed to develop and build programs using the
 VTE widget with GTK+ 2.0.

Package: libvte9
Description-md5: a66b0bf9cd96b4ebd5d15c2b64460cde
Description-en: Terminal emulator widget for GTK+ 2.0 - runtime files
 The VTE library provides a terminal emulator widget VteTerminal for
 applications using the GTK+ toolkit. It also provides the VtePTY object
 containing functions for starting a new process on a new
 pseudo-terminal and for manipulating pseudo-terminals.
 .
 This package contains the runtime library, needed by programs using the
 VTE widget with GTK+ 2.0.

Package: libvted-3-0
Description-md5: 29a2e74e9f4b89ac82813be6bca0a6f8
Description-en: Terminal emulator widget for GTK+ - D bindings
 The VTE library provides a terminal emulator widget VteTerminal for
 applications using the GTK+ toolkit.
 It also provides the VtePTY object containing functions for starting a
 new process on a new pseudo-terminal and for manipulating pseudo-terminals.
 .
 This package contains the D language bindings for VTE.

Package: libvted-3-dev
Description-md5: 590b0a38475269ac36e9be17c7ea6e3b
Description-en: Terminal emulator widget for GTK+ - development files for D
 The VTE library provides a terminal emulator widget VteTerminal for
 applications using the GTK+ toolkit.
 .
 This package contains development files needed to write applications in
 the D programming language that use VTE.

Package: libvterm-bin
Description-md5: 8134bf94a84010197f0d3d8dd7acf5a7
Description-en: abstract terminal library (utility programs)
 An abstract C99 library which implements a VT220 or xterm-like terminal
 emulator. It doesn't use any particular graphics toolkit or output
 system, instead it invokes callback function pointers that its embedding
 program should provide it to draw on its behalf. It avoids calling
 malloc() during normal running state, allowing it to be used in embedded
 kernel situations.
 .
 This package contains utility programs that demonstrate libvterm.

Package: libvterm-dev
Description-md5: 7d0b3b3e9cd6e044789186520c19fe10
Description-en: abstract terminal library (development files)
 An abstract C99 library which implements a VT220 or xterm-like terminal
 emulator. It doesn't use any particular graphics toolkit or output
 system, instead it invokes callback function pointers that its embedding
 program should provide it to draw on its behalf. It avoids calling
 malloc() during normal running state, allowing it to be used in embedded
 kernel situations.
 .
 This package contains the header files and libraries needed for developing
 with libvterm.

Package: libvterm0
Description-md5: a8dcab7805f6d77ff4f35929b30f0ee9
Description-en: abstract terminal library
 An abstract C99 library which implements a VT220 or xterm-like terminal
 emulator. It doesn't use any particular graphics toolkit or output
 system, instead it invokes callback function pointers that its embedding
 program should provide it to draw on its behalf. It avoids calling
 malloc() during normal running state, allowing it to be used in embedded
 kernel situations.

Package: libvtk-dicom-dev
Description-md5: 1e65cf009fa665d643cd7eb9e8f3a816
Description-en: DICOM for VTK - dev
 This package contains a set of classes for managing DICOM
 files and metadata from within VTK, and some utility programs
 for interrogating and converting DICOM files.
 .
 Development headers

Package: libvtk6-dev
Description-md5: 7e2bbc8c66e40961c2bc067211a7a6c1
Description-en: VTK header files
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the VTK header files required to compile
 C++ programs that use VTK to do 3D visualisation.

Package: libvtk6-qt-dev
Description-md5: f328fd3b8b64a22139aa0d00e2d89f5a
Description-en: VTK header files, containing Qt files
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the VTK header files required to compile
 C++ programs that use VTK to do 3D visualisation. Qt files

Package: libvtk6.3
Description-md5: 144756a6093ffa63465fde3c11e8a81a
Description-en: VTK libraries
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the shared libraries needed to run C++ programs
 that use VTK.

Package: libvtk6.3-qt
Description-md5: 372a6934e0333f048f30bec2359bcec5
Description-en: VTK libraries, Qt files
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the shared libraries needed to run C++ programs
 that use VTK. Qt files

Package: libvtk7-dev
Description-md5: 7e2bbc8c66e40961c2bc067211a7a6c1
Description-en: VTK header files
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the VTK header files required to compile
 C++ programs that use VTK to do 3D visualisation.

Package: libvtk7-java
Description-md5: 203a12d2391449aa0df0385193cf6e52
Description-en: Visualization Toolkit - A high level 3D visualization library - java
 The Visualization Toolkit (VTK) is an object oriented, high level
 library that allows one to easily write C++ programs, Tcl, Python and
 Java scripts that do 3D visualization.
 .
 This package provides the VTK Java language support.

Package: libvtk7-jni
Description-md5: 203a12d2391449aa0df0385193cf6e52
Description-en: Visualization Toolkit - A high level 3D visualization library - java
 The Visualization Toolkit (VTK) is an object oriented, high level
 library that allows one to easily write C++ programs, Tcl, Python and
 Java scripts that do 3D visualization.
 .
 This package provides the VTK Java language support.

Package: libvtk7-qt-dev
Description-md5: f328fd3b8b64a22139aa0d00e2d89f5a
Description-en: VTK header files, containing Qt files
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the VTK header files required to compile
 C++ programs that use VTK to do 3D visualisation. Qt files

Package: libvtk7.1p
Description-md5: 144756a6093ffa63465fde3c11e8a81a
Description-en: VTK libraries
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the shared libraries needed to run C++ programs
 that use VTK.

Package: libvtk7.1p-qt
Description-md5: 372a6934e0333f048f30bec2359bcec5
Description-en: VTK libraries, Qt files
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the shared libraries needed to run C++ programs
 that use VTK. Qt files

Package: libvtkdicom0.8
Description-md5: 86dc91825799283320e0e23d1ce81fa4
Description-en: DICOM for VTK - lib
 This package contains a set of classes for managing DICOM
 files and metadata from within VTK, and some utility programs
 for interrogating and converting DICOM files.
 .
 Libraries for runtime applications

Package: libvtkgdcm-cil
Description-md5: 8c2817f643a7aabbf6df1469df3ef8f8
Description-en: Grassroots DICOM VTK CLI bindings
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 VTK CLI bindings to the GDCM DICOM library.

Package: libvtkgdcm-dev
Description-md5: c2acb59fd33273d44d4e253f024260bf
Description-en: Grassroots DICOM VTK development libraries and headers
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 Headers and static libraries for libvtkgdcm. These are not needed to
 use GDCM, but are needed to build plugins or programs that link
 against libvtkgdcm.

Package: libvtkgdcm-java
Description-md5: d447438730ed91040143bd750356828f
Description-en: Grassroots DICOM VTK Java bindings
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 VTK Java bindings to the GDCM DICOM library.

Package: libvtkgdcm-tools
Description-md5: 666824291f0fae87cea7f91fa496e83a
Description-en: Grassroots DICOM VTK tools and utilities
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 Libvtkgdcm-tools provides a number of command line debugging and utility
 tool. Install this package for the gdcmviewer, gdcm2pnm and gdcm2vtk
 programs.

Package: libvtkgdcm3.0
Description-md5: cab5f57666c7427ad71ef8912a148fb2
Description-en: Grassroots DICOM VTK runtime libraries
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 Libvtkgdcm is a library that quickly allow programmer to use GDCM
 from a VTK environment.

Package: libvuser-google-api-perl
Description-md5: 151f4f4afb346680479bcc79a179e98d
Description-en: Perl module that implements the Google Apps Provisioning API
 VUser::Google::ProvisioningAPI provides a simple interface to the Google Apps
 for Your Domain Provisioning API.
 .
 The Google Apps for Your Domain Provisioning API enables application
 developers to programmatically enable access to Google Apps. It provides
 functions for creating, retrieving, updating and deleting user accounts with
 one or more domains, nicknames, email aliases, organization units, and
 groups.

Package: libvw-dev
Description-md5: 1b96afbdbc681f5cc419d81963d0f644
Description-en: fast and scalable online machine learning algorithm - development files
 Vowpal Wabbit is a fast online machine learning algorithm. The core
 algorithm is specialist gradient descent (GD) on a loss function
 (several are available). VW features:
  - flexible input data specification
  - speedy learning
  - scalability (bounded memory footprint, suitable for distributed
    computation)
  - feature pairing
 .
 This package contains development files needed to compile and link programs
 which use vowpal-wabbit's libraries.

Package: libvw0
Description-md5: b51eeaf00f85f6bf130761de5a0ecbe2
Description-en: fast and scalable online machine learning algorithm - dynamic library
 Vowpal Wabbit is a fast online machine learning algorithm. The core
 algorithm is specialist gradient descent (GD) on a loss function
 (several are available). VW features:
  - flexible input data specification
  - speedy learning
  - scalability (bounded memory footprint, suitable for distributed
    computation)
  - feature pairing
 .
 This package contains vowpal-wabbit's dynamic libraries.

Package: libwaei-dev
Description-md5: cfb56b0f7a295120d5039d3c35bee69c
Description-en: Japanese-English Dictionary for GNOME
 gWaei is an easy to use and yet powerful full-featured
 dictionary program for Japanese to English translation.
 It organizes results by relevance, supports regex searches,
 tabs, spell checking, kanji handwriting recognition and
 an accompanying console version for searches through
 the terminal.
 .
 This package contains the development files.

Package: libwaei2
Description-md5: 7774d11f33fac2ef18e29651704cb263
Description-en: Japanese-English Dictionary for GNOME
 gWaei is an easy to use and yet powerful full-featured
 dictionary program for Japanese to English translation.
 It organizes results by relevance, supports regex searches,
 tabs, spell checking, kanji handwriting recognition and
 an accompanying console version for searches through
 the terminal.
 .
 This package contains the shared library libwaei.

Package: libwaffle-1-0
Description-md5: 01c6f32754a9f0ca4e8de0fba331c302
Description-en: Waffle library (binaries)
 A library for selecting an OpenGL API and window system at runtime

Package: libwaffle-dev
Description-md5: 62169e843e521150ad0c98d4d5da9150
Description-en: Waffle library (development files)
 A library for selecting an OpenGL API and window system at runtime
 .
 This package provides the development environment for compiling
 programs against the waffle library.

Package: libwaffle-doc
Description-md5: bef1179ea17904716ce2ac6df2c9321d
Description-en: Waffle library (documentation)
 A library for selecting an OpenGL API and window system at runtime
 .
 This package provides the documentation files for the waffle
 library.

Package: libwagon-file-java
Description-md5: 5ba00837566a4c8c4d0b9a9103b888e8
Description-en: Artifact transport abstraction used in Maven (file provider)
 The Wagon project defines a simple API for transferring resources
 (artifacts) to and from repositories. The word repository is used in this
 context as it is commonly used in Maven and means a storage of artifacts.
 It is also used to deploy the Maven generated site to a server.
 .
 Wagon defines a unified API, and it currently has the following providers:
  * File
  * HTTP
  * HTTP lightweight
  * FTP
  * SSH/SCP
  * WebDAV
 .
 This package contains the wagon-file library.

Package: libwagon-ftp-java
Description-md5: 0d4df24eda3a6e7c1142e8414a2ed662
Description-en: Artifact transport abstraction used in Maven (FTP provider)
 The Wagon project defines a simple API for transferring resources
 (artifacts) to and from repositories. The word repository is used in this
 context as it is commonly used in Maven and means a storage of artifacts.
 It is also used to deploy the Maven generated site to a server.
 .
 Wagon defines a unified API, and it currently has the following providers:
  * File
  * HTTP
  * HTTP lightweight
  * FTP
  * SSH/SCP
  * WebDAV
 .
 This package contains the wagon-ftp library.

Package: libwagon-http-java
Description-md5: 179691db9fd9cfa70061c8089afeafc6
Description-en: Artifact transport abstraction used in Maven (HTTP providers)
 The Wagon project defines a simple API for transferring resources
 (artifacts) to and from repositories. The word repository is used in this
 context as it is commonly used in Maven and means a storage of artifacts.
 It is also used to deploy the Maven generated site to a server.
 .
 Wagon defines a unified API, and it currently has the following providers:
  * File
  * HTTP
  * HTTP lightweight
  * FTP
  * SSH/SCP
  * WebDAV
 .
 This package contains the wagon-http-* libraries.

Package: libwagon-http-shaded-java
Description-md5: 48b9d80aa982f75d28730dbbbb7c5fad
Description-en: Artifact transport abstraction used in Maven (HTTP providers with dependencies)
 The Wagon project defines a simple API for transferring resources
 (artifacts) to and from repositories. The word repository is used in this
 context as it is commonly used in Maven and means a storage of artifacts.
 It is also used to deploy the Maven generated site to a server.
 .
 Wagon defines a unified API, and it currently has the following providers:
  * File
  * HTTP
  * HTTP lightweight
  * FTP
  * SSH/SCP
  * WebDAV
 .
 This package contains the wagon-http-shaded artifact.

Package: libwagon-java
Description-md5: 7e16a31fdac341f71edd157beb017dea
Description-en: Artifact transport abstraction used in Maven (transitional package)
 The Wagon project defines a simple API for transferring resources
 (artifacts) to and from repositories. The word repository is used in this
 context as it is commonly used in Maven and means a storage of artifacts.
 It is also used to deploy the Maven generated site to a server.
 .
 Wagon defines a unified API, and it currently has the following providers:
  * File
  * HTTP
  * HTTP lightweight
  * FTP
  * SSH/SCP
  * WebDAV

Package: libwagon-provider-api-java
Description-md5: cc24278e166b870e757d381052337169
Description-en: Artifact transport abstraction used in Maven (Provider API)
 The Wagon project defines a simple API for transferring resources
 (artifacts) to and from repositories. The word repository is used in this
 context as it is commonly used in Maven and means a storage of artifacts.
 It is also used to deploy the Maven generated site to a server.
 .
 Wagon defines a unified API, and it currently has the following providers:
  * File
  * HTTP
  * HTTP lightweight
  * FTP
  * SSH/SCP
  * WebDAV
 .
 This package contains the wagon-provider-api library.

Package: libwagon-ssh-java
Description-md5: b613e06c8387cc42ecf11c7012ecc0d3
Description-en: Artifact transport abstraction used in Maven (SSH providers)
 The Wagon project defines a simple API for transferring resources
 (artifacts) to and from repositories. The word repository is used in this
 context as it is commonly used in Maven and means a storage of artifacts.
 It is also used to deploy the Maven generated site to a server.
 .
 Wagon defines a unified API, and it currently has the following providers:
  * File
  * HTTP
  * HTTP lightweight
  * FTP
  * SSH/SCP
  * WebDAV
 .
 This package contains the wagon-ssh-* libraries.

Package: libwaili-dev
Description-md5: f8835cb39832c8fcea7d072c6cdaee7e
Description-en: WAILI is a wavelet transform library (develop. files)
 from the web page:  http://www.cs.kuleuven.ac.be/~wavelets/
 "WAILI is a wavelet transform library. It includes some basic image
 processing operations based on the use of wavelets and forms the
 backbone of more complex image processing operations. "
 .
 This package contains what is needed for developers:
    static library, shared library, include files
 This package provides also documentation and testing sample programs

Package: libwaili1c2
Description-md5: 7fb77fa94abf765e110a7a2fd782f9d2
Description-en: WAILI is a wavelet transform library
 from the web page:  http://www.cs.kuleuven.ac.be/~wavelets/
 .
 "WAILI is a wavelet transform library. It includes some basic image
 processing operations based on the use of wavelets and forms the
 backbone of more complex image processing operations. "

Package: libwala-java
Description-md5: d1c55a27f19e9029338e6a15464d5945
Description-en: Static analysis on Java bytecode and related languages
 The T. J. Watson Libraries for Analysis (WALA) provide static analysis
 capabilities for Java bytecode and related languages and for JavaScript. WALA
 features include:
 .
   * Java type system and class hierarchy analysis
   * Source language framework supporting Java and JavaScript
   * Interprocedural dataflow analysis (RHS solver)
   * Context-sensitive tabulation-based slicer
   * Pointer analysis and call graph construction
   * SSA-based register-transfer language IR
   * General framework for iterative dataflow
   * General analysis utilities and data structures
   * A bytecode instrumentation library (Shrike) and a dynamic load-time
     instrumentation library for Java (Dila)
 .
 Currently this package provides the following libraries:
 .
   * wala-cast
   * wala-core
   * wala-dalvik
   * wala-shrike
   * wala-util

Package: libwala-java-doc
Description-md5: 117aead902cc4d64d710871043fbbfe4
Description-en: Static analysis on Java bytecode and related languages - Docs
 The T. J. Watson Libraries for Analysis (WALA) provide static analysis
 capabilities for Java bytecode and related languages and for JavaScript. WALA
 features include:
 .
   * Java type system and class hierarchy analysis
   * Source language framework supporting Java and JavaScript
   * Interprocedural dataflow analysis (RHS solver)
   * Context-sensitive tabulation-based slicer
   * Pointer analysis and call graph construction
   * SSA-based register-transfer language IR
   * General framework for iterative dataflow
   * General analysis utilities and data structures
   * A bytecode instrumentation library (Shrike) and a dynamic load-time
     instrumentation library for Java (Dila)
 .
 This package provides the documentations.

Package: libwandio1
Description-md5: 332038e36a3a08c8bb9df8c913df82b2
Description-en: multi-threaded file compression and decompression library
 File I/O library that will read and write both compressed and uncompressed
 files. All compression-related operations are performed in a separate thread
 where possible resulting in significant performance gains for tasks where I/O
 is the limiting factor (most simple trace analysis tasks are I/O-limited).
 .
 libwandio is developed by the WAND Network Research Group at Waikato
 University, New Zealand.

Package: libwandio1-dev
Description-md5: ff0a4cdf4d971d40cdc7c48210898a58
Description-en: development headers for the libwandio library
 This package contains development headers and other ancillary files for
 the libwandio library.
 .
 libwandio is a file I/O library that will read and write both compressed and
 uncompressed files. All compression-related operations are performed in a
 separate thread where possible resulting in significant performance gains for
 tasks where I/O is the limiting factor (most simple trace analysis tasks are
 I/O-limited).
 .
 libwandio is developed by the WAND Network Research Group at Waikato
 University, New Zealand.

Package: libwarnings-illegalproto-perl
Description-md5: 650b149609d604f83b2ebc09198d4cac
Description-en: pragma to disable illegal prototype warnings on old Perls
 warnings::illegalproto was implemented so that people can use strictures and
 use signatures at the same time. Thanks to mst, in Perl 5.12 and greater this
 is trivial, but before that a strange dance had to be done.

Package: libwayland-client++0
Description-md5: 5cab03ca2a30aca348233648c7ee75f0
Description-en: wayland compositor infrastructure - client library C++ bindings
 Wayland is a protocol for a compositor to talk to its clients as well
 as a C library implementation of that protocol. The compositor can be
 a standalone display server running on Linux kernel modesetting and
 evdev input devices, an X application, or a wayland client
 itself. The clients can be traditional applications, X servers
 (rootless or fullscreen) or other display servers.
 .
 This package ships the C++ bindings for the client side library of
 the Wayland protocol.

Package: libwayland-client-extra++0
Description-md5: d0d4481a0aa68b05449b4ef6ed79f317
Description-en: wayland compositor infrastructure - client library extra C++ bindings
 Wayland is a protocol for a compositor to talk to its clients as well
 as a C library implementation of that protocol. The compositor can be
 a standalone display server running on Linux kernel modesetting and
 evdev input devices, an X application, or a wayland client
 itself. The clients can be traditional applications, X servers
 (rootless or fullscreen) or other display servers.
 .
 This package ships the C++ bindings for the client side libraries of
 the extra Wayland protocols.

Package: libwayland-cursor++0
Description-md5: 729d091c9892800465b2fe3df685da28
Description-en: wayland compositor infrastructure - cursor library C++ bindings
 Wayland is a protocol for a compositor to talk to its clients as well
 as a C library implementation of that protocol. The compositor can be
 a standalone display server running on Linux kernel modesetting and
 evdev input devices, an X application, or a wayland client
 itself. The clients can be traditional applications, X servers
 (rootless or fullscreen) or other display servers.
 .
 This package ships the C++ bindings for the library of
 the Wayland protocol to manage cursors.

Package: libwayland-egl++0
Description-md5: 2ebdd495f3690b4c6726cea0b2c08789
Description-en: wayland compositor infrastructure - EGL library C++ bindings
 Wayland is a protocol for a compositor to talk to its clients as well
 as a C library implementation of that protocol. The compositor can be
 a standalone display server running on Linux kernel modesetting and
 evdev input devices, an X application, or a wayland client
 itself. The clients can be traditional applications, X servers
 (rootless or fullscreen) or other display servers.
 .
 This package ships the C++ bindings for the library which implements the
 Wayland EGL platform of the Wayland protocol.

Package: libwayland-egl1-mesa
Description-md5: 635a93bcd1440d16621693fe064c2aa9
Description-en: transitional dummy package
 This is a transitional dummy package, it can be safely removed.

Package: libwbxml2-0
Description-md5: 0cab913264b2249b7debc8ed924ee5a6
Description-en: WBXML parsing and encoding library
 The WBXML Library (aka libwbxml) contains a library and its associated
 tools to Parse, Encode and Handle WBXML documents.  The WBXML format
 is a binary representation of XML, defined by the Wap Forum, and used
 to reduce bandwidth in mobile communications.
 .
 This package contains the dynamic library needed by applications
 using libwbxml2.

Package: libwbxml2-0-dbg
Description-md5: 5320922811d62172b32bf9ede435e346
Description-en: WBXML library development file
 The WBXML Library (aka libwbxml) contains a library and its associated
 tools to Parse, Encode and Handle WBXML documents.  The WBXML format
 is a binary representation of XML, defined by the Wap Forum, and used
 to reduce bandwidth in mobile communications.
 .
 Install this package if you wish to debug wbxml2 using applications.

Package: libwbxml2-dev
Description-md5: b64f0a6d2ebc3b0df0119c297ae74aa6
Description-en: WBXML library development file
 The WBXML Library (aka libwbxml) contains a library and its associated
 tools to Parse, Encode and Handle WBXML documents.  The WBXML format
 is a binary representation of XML, defined by the Wap Forum, and used
 to reduce bandwidth in mobile communications.
 .
 Install this package if you wish to develop your own programs using
 WBXML.

Package: libwbxml2-utils
Description-md5: bf1a4c4eb0bf64400c8de6bf7780537b
Description-en: Binary XML utilities
 The WBXML Library (aka libwbxml) contains a library and its associated
 tools to Parse, Encode and Handle WBXML documents.  The WBXML format
 is a binary representation of XML, defined by the Wap Forum, and used
 to reduce bandwidth in mobile communications.
 .
 This package contains wbxml2xml and xml2wbxml utils to convert xml
 files to wbxml and back.

Package: libwcat1
Description-md5: 277b29345a5007de740ce9bcc8528fa9
Description-en: Process monitoring library
 A bug or malicious attacks to machine can lock up a process, leading to a
 deadlock or an unexpected condition. For example: an Apache httpd with
 mod_(php|perl|lua|your_preferred_script_language) running a bad script. When
 the monitored process locks up, the watchcat helps killing him. It is the best
 thing to do.

Package: libwcat1-dev
Description-md5: 277b29345a5007de740ce9bcc8528fa9
Description-en: Process monitoring library
 A bug or malicious attacks to machine can lock up a process, leading to a
 deadlock or an unexpected condition. For example: an Apache httpd with
 mod_(php|perl|lua|your_preferred_script_language) running a bad script. When
 the monitored process locks up, the watchcat helps killing him. It is the best
 thing to do.

Package: libwcs7
Description-md5: 23ad28edea2af35e49b0bcded8a8ff40
Description-en: Implementation of the FITS WCS standard
 WCSLIB is a C library, supplied with a full set of Fortran wrappers, that
 implements the "World Coordinate System" (WCS) standard in FITS (Flexible
 Image Transport System).
 .
 The FITS data format is widely used within the international astronomical
 community, from the radio to gamma-ray regimes, for data interchange and
 archive, and also increasingly as an online format.
 .
 This package contains what you need to run programs that use this
 library.

Package: libwcstools-dev
Description-md5: f49c924dd903a9060195b751e881d851
Description-en: Handle the WCS of a FITS image (development files)
 WCSTools is a set of software utilities, written in C, which create,
 display and manipulate the world coordinate system of a FITS or IRAF
 image, using specific keywords in the image header which relate pixel
 position within the image to position on the sky.  Auxiliary programs
 search star catalogs and manipulate images.
 .
 This package contains the files needed for development.

Package: libwcstools1
Description-md5: 8ae2b91faabf34befb5234ae69f0ba4c
Description-en: Handle the WCS of a FITS image (shared library)
 WCSTools is a set of software utilities, written in C, which create,
 display and manipulate the world coordinate system of a FITS or IRAF
 image, using specific keywords in the image header which relate pixel
 position within the image to position on the sky.  Auxiliary programs
 search star catalogs and manipulate images.
 .
 This package contains the shared library.

Package: libweasel-perl
Description-md5: 13b23b4b79054fea95876087655e42dc
Description-en: PHP's Mink inspired multi-protocol web-testing library for Perl
 Weasel abstracts away the differences between the various web-driver
 protocols, like the Mink project does for PHP.
 .
 While heavily inspired by Mink, Weasel aims to improve over it by being
 extensible, providing not just access to the underlying browser, yet to
 provide building blocks for further development and abstraction.
 .
 Pherkin::Extension::Weasel provides integration with Test::BDD::Cucumber (aka
 pherkin), for BDD testing.
 .
 For the actual page interaction, this module needs a driver to be installed.
 Currently, that means Weasel::Driver::Selenium2, in Debian packaged as
 libweasel-driver-selenium2-perl.

Package: libweasel-widgets-dojo-perl
Description-md5: 0288a1e19f7e18a787ab0117cd5388c5
Description-en: Dojo Widgets for Weasel
 Weasel::Widgets::Dojo provides Weasel extensions for testing tag matchers and
 widgets in Dojo-based web apps. This includes a 'button_expander' which finds
 button tags or input tags of types submit, reset, button and image. As well as
 an 'option_expander' which finds options for dijit.form.Select, after the drop
 down has been invoked at least once. For widgets; it can be use dto extend the
 Weasel::Element and Weasel::Widgets::HTML::Select modules.

Package: libweather-ion7
Description-md5: b1bc4c131733a1a5f4c157c588f97211
Description-en: Plasma Workspace for KF5 library
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 Weather_ion library.
 .
 This package contains library files.

Package: libweb-api-perl
Description-md5: 0b053a5fa6f4c14131ebd019017c8474
Description-en: simple base module for implementing RESTful APIs
 Web::API is a simple base module to implement almost any RESTful API with just
 a few lines of configuration. Implement the RESTful API of your choice in 10
 minutes, roughly.

Package: libweb-id-perl
Description-md5: 9a342f46d137b456df28297f00ada672
Description-en: implementation of WebID (a.k.a. FOAF+SSL)
 WebID is a simple authentication protocol based on TLS (Transaction
 Layer Security, better known as Secure Socket Layer, SSL) and the
 Semantic Web. This module provides a Perl implementation for
 authenticating clients using WebID.
 .
 For more information see the Web::ID::FAQ document.
 .
 Bundled with this module are Plack::Middleware::Auth::WebID, a plugin
 for Plack to perform WebID authentication on HTTPS connections; and
 Web::ID::Certificate::Generator, a module that allows you to generate
 WebID-enabled certificates that can be installed into web browsers.
 .
 If WWW::Finger (libwww-finger-perl) is installed,
 Web::ID::Certificate::Generator attempts to locate some RDF data about
 the holder of an e-mail address provided as Web::ID::SAN::Email. It is
 probably not especially interoperable with other WebID implementations.
 .
 Depending on webserver setup, libplack-middleware-apache2-modssl-perl
 or libplack-middleware-gepokx-modssl-perl may be required.

Package: libweb-machine-perl
Description-md5: b26c062ddba6c4ddb2bb7381428ee9b3
Description-en: Perl port of Webmachine
 Web::Machine provides a RESTful web framework modeled as a state
 machine. You define one or more resource classes. Each resource
 represents a single RESTful URI end point, such as a user, an email,
 etc. The resource class can also be the target for POST requests to
 create a new user, email, etc.
 .
 Each resource is a state machine, and each request for a resource is
 handled by running the request through that state machine.
 .
 Web::Machine is built on top of Plack, but it handles the full request
 and response cycle.

Package: libweb-mrest-cli-perl
Description-md5: 0ba549bf68691d1cada2457cf239d362
Description-en: CLI components for Web::MEST-based applications
 Web::MREST provides a fully functional REST server that can be started
 with a simple command.
 .
 Web::MREST::CLI exports some "generalized" functions that are used
 internally and might also be useful for writing CLI clients in general.

Package: libweb-mrest-perl
Description-md5: 632f9e2cd6615ca9e5817e51933b118d
Description-en: minimalistic REST server
 MREST stands for "minimalistic" or "mechanical" REST server.
 (Mechanical because it relies on Web::Machine.)
 .
 Web::MREST provides a fully functional REST server that can be started
 with a simple command. Without modification, the server provides a set
 of. generalized resources that can be used to demonstrate how the REST
 server works, or for testing.
 .
 Developers can use Web::MREST as a platform for implementing their own
 REST servers.

Package: libweb-query-perl
Description-md5: 375dd86f48bed52b2f911bbdae48ee33
Description-en: perl web scraping library like jQuery
 Web::Query is a yet another web scraping framework, with a jQuery like
 interface.
 .
 Web::Query built at top of the CPAN modules HTML::TreeBuilder::XPath,
 LWP::UserAgent, and HTML::Selector::XPath.
 .
 Web::Query uses HTML::Selector::XPath and only supports the CSS 3 selector
 supported by that module. It doesn't support jQuery's extended queries
 (yet?). If a selector is passed as a scalar ref, it'll be taken as a straight
 XPath expression.

Package: libweb-scraper-perl
Description-md5: 3867d73c264c896d12ad584fd3af3aa4
Description-en: Web Scraping Toolkit using HTML and CSS Selectors or XPath expressions
 Web::Scraper is a web scraper toolkit, inspired by Ruby's equivalent Scrapi.
 It provides a DSL-ish interface for traversing HTML documents and returning a
 neatly arranged Perl data strcuture.
 .
 The scraper and process blocks provide a method to define what segments of a
 document to extract. It understands HTML and CSS Selectors as well as XPath
 expressions.

Package: libweb-simple-perl
Description-md5: 6bfb2ef45ca49bf77d892ba4584cc42a
Description-en: simple web framework
 Web::Simple provides a bare minimum system for writing web applications that
 don't need a full-fledged web framework.
 .
 The philosophy of Web::Simple is to keep to an absolute bare minimum, for
 everything. It is not designed to be used for large scale applications; the
 Catalyst web framework already works very nicely for that and is a far more
 mature, well supported piece of software.
 .
 However, if you have an application that only does a couple of things, and
 want to not have to think about complexities of deployment, then Web::Simple
 might be just the thing for you.

Package: libwebauth-dev
Description-md5: a4df34125e65d0dcab05040ae10978d6
Description-en: Development files for WebAuth authentication
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains the symlinks, headers, and static library needed to
 compile and link programs that use libwebauth.

Package: libwebauth-perl
Description-md5: 251e15355df45ba35f2e3197a58cbc66
Description-en: Perl library for WebAuth authentication
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains the Perl bindings to the WebAuth library, which
 does token encoding and decoding and other lower-level parts of the
 WebAuth protocol.

Package: libwebauth12
Description-md5: a1d00fa1d8e04c8c4754a8ad27bd0926
Description-en: Shared libraries for WebAuth authentication
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains the shared library used by the WebAuth modules,
 Perl bindings, and command-line utilities.  It does token encoding and
 decoding and other lower-level parts of the WebAuth protocol.

Package: libwebcam0
Description-md5: 0eb5d4e54dfadc47982314e0a9d11e09
Description-en: Webcam Library
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.

Package: libwebcam0-dbg
Description-md5: dfd488bd4bfab07f327b5cceb6d62716
Description-en: Debug Symbols for the Webcam Library
 This package contains debug data of the libwebcam related shared libraries.
 .
 Most people will not need this package. Please install it to produce useful
 stacktraces to help debugging the webcam library.
 .
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.

Package: libwebcam0-dev
Description-md5: 37724eb6d2468678c06abda7f8f51c9e
Description-en: Webcam Library - Development files
 This package provides the files needed to build apps that use libwecam.
 .
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.

Package: libwebinject-perl
Description-md5: e3dfbbbce9d176ab6f5646bf3731f658
Description-en: Perl Module for testing web services
 WebInject is a free tool for automated testing of web applications and web
 services. It can be used to test individual system components that have HTTP
 interfaces (JSP, ASP, CGI, PHP, AJAX, Servlets, HTML Forms, XML/SOAP Web
 Services, REST, etc), and can be used as a test harness to create a suite of
 [HTTP level] automated functional, acceptance, and regression tests. A test
 harness allows you to run many test cases and collect/report your results.
 WebInject offers real-time results display and may also be used for
 monitoring system response times.

Package: libwebjars-locator-core-java
Description-md5: 0b28ee4f419e77f6d1ebe5c00f1876c0
Description-en: WebJars Locator Core
 WebJars are client-side web libraries (e.g. jQuery & Bootstrap) packaged
 into JAR files. They allow one to:
  * Explicitly and easily manage the client-side dependencies in JVM-based
    web applications
  * Use JVM-based build tools (e.g. Maven, Gradle...) to download client-side
    dependencies
  * Know which client-side dependencies are used
  * Resolve transitive dependencies automatically and optionally load them
    via RequireJS
 .
 The WebJars Locator Core library provides a means to locate assets within
 WebJars.

Package: libwebjars-locator-java
Description-md5: 0b8789c4071fff9c11b9ed5a512f848b
Description-en: WebJars Locator
 WebJars are client-side web libraries (e.g. jQuery & Bootstrap) packaged
 into JAR files. They allow one to:
  * Explicitly and easily manage the client-side dependencies in JVM-based
    web applications
  * Use JVM-based build tools (e.g. Maven, Gradle...) to download client-side
    dependencies
  * Know which client-side dependencies are used
  * Resolve transitive dependencies automatically and optionally load them
    via RequireJS
 .
 The WebJars Locator library provides a means to  load WebJars transitive
 dependencies with RequireJS.

Package: libwebkdc-perl
Description-md5: 09a416b52fc4fb6fed80b17225fd3981
Description-en: Perl libraries for WebAuth central login server
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains the WebKDC Perl modules that support the WebLogin
 server, which handles user authentication and the establishment of
 initial authentication credentials.

Package: libwebkit2-sharp-4.0-cil
Description-md5: aa0762f6de84f0fa6da15ff316a709cc
Description-en: CLI bindings for WebKitGTK+ 4.0 using GObject Introspection
 WebKit is a web content engine, derived from KHTML and KJS from KDE, and
 used primarily in Apple's Safari browser.  It is made to be embedded in
 other applications, such as mail readers, or web browsers.
 .
 This package provides the webkit2-sharp assembly that allows CLI programs to
 use the WebKitGTK+ 4.0 library.

Package: libwebkit2-sharp-4.0-cil-dev
Description-md5: 960056737687b02bc3762ace89e75044
Description-en: CLI bindings for WebKitGTK+ 4.0 using GObject Introspection - development
 WebKit is a web content engine, derived from KHTML and KJS from KDE, and
 used primarily in Apple's Safari browser.  It is made to be embedded in
 other applications, such as mail readers, or web browsers.
 .
 This package provides development files for the WebKit# 4.0 assembly,
 and should be used for compilation.

Package: libwebkit2gtk-4.0-37-gtk2
Description-md5: 6f9942fc5c89d6509a6a0d29c52e612b
Description-en: Transitional dummy package
 This is a transitional dummy package, it can be safely removed.
 .
 WebKitGTK no longer supports plugins that depend on GTK 2. If you
 still need to use the Adobe Flash Player then you can install
 browser-plugin-freshplayer-pepperflash.

Package: libwebservice-cia-perl
Description-md5: 4a5c6ca4fe57e304f51585bd63bbf8b3
Description-en: get information from the CIA World Factbook via Perl
 Webservice::CIA fetches and parses information from the CIA World
 Factbook, either based on a locally stored database or the online
 version of the CIA World Factbook.

Package: libwebservice-ils-perl
Description-md5: 0e4b1e919e14aedede620de0c9772358
Description-en: Standardised library discovery/circulation services
 WebService::ILS is an attempt to create a standardised interface for online
 library services providers.
 .
 Supported service providers
 .
  Overdrive
  WebService::ILS::OverDrive::Library
  OverDrive Library API https://developer.overdrive.com/discovery-apis
  WebService::ILS::OverDrive::Patron
  OverDrive Circulation API https://developer.overdrive.com/circulation-apis
 .
  RecordedBooks
  WebService::ILS::RecordedBooks::Partner
  WebService::ILS::RecordedBooks::PartnerBase
  WebService::ILS::RecordedBooks::PartnerPatron
  WebService::ILS::RecordedBooks::Patron

Package: libwebservice-musicbrainz-perl
Description-md5: 7bd12222dd34e93e1d6aba751df88047
Description-en: XML based Web service API to the MusicBrainz database
 This library provides a simple, object oriented access to the XML based
 MusicBrainz web service. This library should be used in favour of
 libmusicbrainz-client-perl which will soon be obsolete.

Package: libwebservice-solr-perl
Description-md5: eb5198b273da915aa383b4b88f010a16
Description-en: Perl interface for the Solr (Lucene) web service
 WebService::Solr provides a convenient way to interact with any Solr instance.
 Solr is an enterprise search server providing faceted navigation and full-text
 search using the Lucene Java library. It provides access via official XML/HTTP
 and JSON programming interfaces.

Package: libwebservice-validator-css-w3c-perl
Description-md5: 7c4e94123cbd2608846666df0be038ba
Description-en: interface to the W3C CSS Validator
 WebService::Validator::CSS::W3C is an interface to the W3C CSS Validation
 online service http://jigsaw.w3.org/css-validator/, based on its SOAP 1.2
 support. It helps to find errors in Cascading Style Sheets.

Package: libwebservice-validator-html-w3c-perl
Description-md5: 4dc8dc116d3fb29a1bf7469d6335a2e7
Description-en: Perl interface to access the W3C's online HTML validator
 WebService::Validator::HTML::W3C provides access to the W3C's online Markup
 validator. As well as reporting on whether a page is valid it also provides
 access to a detailed list of the errors and where in the validated document
 they occur.

Package: libwebservice-youtube-perl
Description-md5: c746cf14e5833f7a906146cca03168d1
Description-en: Perl module that provides an interface to YouTube services
 WebService::YouTube provides a Perl interface to YouTube programming interface
 and corresponding RSS services. This module only supports the Legacy API, not
 the Data API based on the Google data protocol.

Package: libwebsocket-api-java
Description-md5: 679a442ce192deb66c6eedc39d6da5c2
Description-en: Java WebSocket API
 Java API for WebSocket (JSR-356) defines a standard API for the development
 of websocket applications, both on the server side as well as on the Java
 client side.

Package: libwebsocketpp-dev
Description-md5: 9627826d2d93f50ab737667a7007ac8a
Description-en: C++/Boost Asio based websocket client/server library. Dev package
 WebSocket++ is a header only C++ library that implements RFC6455 The WebSocket
 Protocol. It allows integrating WebSocket client and server functionality into
 C++ programs. It uses interchangeable network transport modules including one
 based on C++ iostreams and one based on Boost Asio.
 .
 Major Features:
  * Full support for RFC6455
  * Partial support for Hixie 76 / Hybi 00, 07-17 draft specs (server only)
  * Message/event based interface
  * Supports secure WebSockets (TLS), IPv6, and explicit proxies.
  * Flexible dependency management (C++11 Standard Library or Boost)
  * Interchangeable network transport modules (iostream and Boost Asio)
  * Portable/cross platform (Posix/Windows, 32/64bit, Intel/ARM/PPC)
  * Thread-safe
 .
 This package contains the header files.

Package: libwebsocketpp-doc
Description-md5: dcec84e6b75e029cc04d375190c311f9
Description-en: C++/Boost Asio based websocket client/server library. Doc package
 WebSocket++ is a header only C++ library that implements RFC6455 The WebSocket
 Protocol. It allows integrating WebSocket client and server functionality into
 C++ programs. It uses interchangeable network transport modules including one
 based on C++ iostreams and one based on Boost Asio.
 .
 Major Features:
  * Full support for RFC6455
  * Partial support for Hixie 76 / Hybi 00, 07-17 draft specs (server only)
  * Message/event based interface
  * Supports secure WebSockets (TLS), IPv6, and explicit proxies.
  * Flexible dependency management (C++11 Standard Library or Boost)
  * Interchangeable network transport modules (iostream and Boost Asio)
  * Portable/cross platform (Posix/Windows, 32/64bit, Intel/ARM/PPC)
  * Thread-safe
 .
 This package contains the common documentation.

Package: libwebsockets-dev
Description-md5: 80b560ff7d2e76f17977506ffe8da836
Description-en: lightweight C websockets library - development files
 Libwebsockets is a lightweight pure C library for both websockets
 clients and servers built to use minimal CPU and memory resources
 and provide fast throughput in both directions.
 .
 This package contains the header files needed for developing programs
 using libwebsockets and a static library.

Package: libwebsockets-test-server
Description-md5: d81e085516f57783e1f3b51f6f74bf75
Description-en: lightweight C websockets library - test servers
 Libwebsockets is a lightweight pure C library for both websockets
 clients and servers built to use minimal CPU and memory resources
 and provide fast throughput in both directions.
 .
 This package contains several demonstration test servers.

Package: libwebsockets-test-server-common
Description-md5: 6e8175c1676289105ff3333aac861f59
Description-en: lightweight C websockets library - test servers data
 Libwebsockets is a lightweight pure C library for both websockets
 clients and servers built to use minimal CPU and memory resources
 and provide fast throughput in both directions.
 .
 This package contains data files for the demonstration test servers.

Package: libwebsockets15
Description-md5: 4103dd30dcafa80197ee224619ea5b9d
Description-en: lightweight C websockets library
 Libwebsockets is a lightweight pure C library for both websockets
 clients and servers built to use minimal CPU and memory resources
 and provide fast throughput in both directions.
 .
 This package contains the shared library.

Package: libweed-dev
Description-md5: 2285ee280a8e0d29f45d6b492437ba58
Description-en: Development library for inclusion of plugins into LiVES
 A library that was originally only available as part of LiVES (package
 lives) but is now available separately. Allows for the inclusion of
 plugins into LiVES.
 .
 This package contains development files.

Package: libweed0
Description-md5: 70767a31d8208e285ea0c2cae3981c59
Description-en: Runtime library for inclusion of plugins into LiVES
 A library that was originally only available as part of LiVES (package
 lives) but is now available separately. Allows for the inclusion of
 plugins into LiVES.
 .
 This package contains shared libraries.

Package: libwerken.xpath-java
Description-md5: 314d80a3b601a46b37a6ea87fd251f28
Description-en: JDOM XPath Engine
 A XPath Engine for JDOM, created by the Werken & Sons Company.
 .
 It's not overly useful by itself, but rather needs an (currently in
 development) implementation of XPointer, XSLT, or other XPath-based
 specification.  werken.xpath is merely an engine which can parse XPaths,
 and walk JDOM <http://www.jdom.org/> trees.

Package: libwerken.xpath-java-doc
Description-md5: eb8ce51cb9b62e052360d84d7daea70f
Description-en: JDOM XPath Engine - documentation
 A XPath Engine for JDOM, created by the Werken & Sons Company.
 .
 It's not overly useful by itself, but rather needs an (currently in
 development) implementation of XPointer, XSLT, or other XPath-based
 specification.  werken.xpath is merely an engine which can parse XPaths,
 and walk JDOM <http://www.jdom.org/> trees.
 .
 This package contains Javadoc HTML documentation for
 libwerken.xpath-java.

Package: libweston-8-0
Description-md5: 2facd845ca0d169f8667aeba4083ec4d
Description-en: reference implementation of a wayland compositor (shared libs)
 Part of the Wayland project is also the Weston reference implementation
 of a Wayland compositor. Weston can run as an X client or under Linux
 KMS and ships with a few demo clients. The Weston compositor is a minimal
 and fast compositor and is suitable for many embedded and mobile use
 cases.
 .
 This package includes the libweston shared libraries.

Package: libweston-8-dev
Description-md5: 5aaa3ce80a5fc048e437828a280f5ac9
Description-en: reference implementation of a wayland compositor (shared libs)
 Part of the Wayland project is also the Weston reference implementation
 of a Wayland compositor. Weston can run as an X client or under Linux
 KMS and ships with a few demo clients. The Weston compositor is a minimal
 and fast compositor and is suitable for many embedded and mobile use
 cases.
 .
 This package includes the libweston headers.

Package: libweupnp-java
Description-md5: 27588d90ebc54265eaf53de42cbb25e2
Description-en: Tiny UPnP library written in Java
 Weupnp is a lightweight Java library, released under the LGPL licence,
 designed to implement the UPnP (Universal Plug and Play) protocol to
 handle port mappings on Gateway Devices.

Package: libweupnp-java-doc
Description-md5: 8c1dcf77da9a25c8301c16ebeb2feece
Description-en: Documentation for weupnp
 Weupnp is a lightweight Java library, released under the LGPL licence,
 designed to implement the UPnP protocol to handle port mappings on Gateway
 Devices.
 .
 This package contains the API documentation of libweupnp-java.

Package: libwfmath-1.0-1v5
Description-md5: 9289a4e2d59ac70629606d0c21995d0c
Description-en: WorldForge math library
 The primary focus of WFMath is geometric objects. Thus,
 it includes an several shapes (boxes, balls, lines),
 in addition to the basic math objects that are used to
 build these shapes (points, vectors, matrices).

Package: libwfmath-1.0-dev
Description-md5: 2f52d9800fcf10c62d86c01d9af6c2b3
Description-en: WorldForge math library - development files
 The primary focus of WFMath is geometric objects. Thus,
 it includes an several shapes (boxes, balls, lines),
 in addition to the basic math objects that are used to
 build these shapes (points, vectors, matrices).
 .
 This package contains the development files.

Package: libwfmath-doc
Description-md5: 5c8cdf4f45d61e3a443da3f8a546a860
Description-en: WorldForge math library - API documentation
 The primary focus of WFMath is geometric objects. Thus,
 it includes an several shapes (boxes, balls, lines),
 in addition to the basic math objects that are used to
 build these shapes (points, vectors, matrices).
 .
 This package contains the API documentation files.

Package: libwfut-0.2-1
Description-md5: 1e71ce384ada5319320fe23c7495345b
Description-en: WorldForge Update Tool (libraries)
 WFUT is a content distribution system initially intended to provide media
 updates for WorldForge. It can download and update game data and artwork for
 WorldForge gaming clients.
 .
 This package contains the libwfut library to be used by game clients.

Package: libwfut-0.2-dev
Description-md5: 44c4f483743e5b520df34c21bfac6bd4
Description-en: WorldForge Update Tool (development files)
 WFUT is a content distribution system initially intended to provide media
 updates for WorldForge. It can download and update game data and artwork for
 WorldForge gaming clients.
 .
 This package contains the libwfut development files.

Package: libwgdb-dev
Description-md5: 7d843e66540a881c3fe9bbef02a9cab8
Description-en: lightweight NoSQL database library, development files
 WhiteDB is a lightweight NoSQL database library written in C, operating
 fully in main memory. There is no server process. Data is read and written
 directly from/to shared memory, no sockets are used between WhiteDB and the
 application program.
 .
 This package contains the development files (headers, static libraries).

Package: libwgdb0
Description-md5: 7797085a7e99078b1e0980f2a8cdec9c
Description-en: lightweight NoSQL database library, shared library
 WhiteDB is a lightweight NoSQL database library written in C, operating
 fully in main memory. There is no server process. Data is read and written
 directly from/to shared memory, no sockets are used between WhiteDB and the
 application program.

Package: libwget0
Description-md5: 3cb4ed03cbc78579a7e509e41156a73f
Description-en: Download library for files and recursive websites
 GNU Wget is a network utility to retrieve files from the web
 using HTTP(S) and FTP, the two most widely used internet
 protocols. It works non-interactively, so it will work in
 the background, after having logged off. The program supports
 recursive retrieval of web-authoring pages as well as FTP
 sites -- you can use Wget to make mirrors of archives and
 home pages or to travel the web like a WWW robot.
 .
 This is the library that provides the basic functions needed by
 a webclient.

Package: libwhisker2-perl
Description-md5: 945dbc6beecd5833f56ab3024ec6c8c6
Description-en: Perl module geared for HTTP testing
 This package provides LibWhisker 2 (LW2), a flexible, portable and
 self-contained Perl module implementing fast and small replacements
 to the usual HTTP testing functions, as available in LWP, URI,
 HTML::Parser, MIME::Base64, etc.
 .
 It supports:
    - HTTP 0.9, 1.0, and 1.1;
    - persistent connections (with keep-alives);
    - proxying;
    - anti-IDS features;
    - SSL (through libnet-ssleay-perl);
    - chunked encoding;
    - basic and NTLM authentication.

Package: libwhy3-ocaml-dev
Description-md5: 072a6256bf533fcf1d18fe6a382eb298
Description-en: OCaml librariries for why3 (dev)
 This package contains the libraries of the why3 verification platform
 for developing applications using why3.

Package: libwibble-dev
Description-md5: 1d0e11a615c68d5df696b9445ef69af3
Description-en: Library of various useful C++ code
 libwibble collects the foundation code that has been used over time
 in various C++ projects by Peter Rockai and Enrico Zini, so that it can
 be maintained properly and in a single place.
 .
 The code has minimal dependencies, a test suite, and can be used either as an
 external library or embedded in projects based on either cmake or autotools.
 .
 The library contains:
  * an exception hierarchy;
  * various useful mixin classes;
  * shortcuts for set operations;
  * a featureful self-documenting commandline parser that also supports
    cvs/svn-style subcommands;
  * two different unit-testing system, a tut-based and a custom one;
  * a non-intrusive polymorphic envelope;
  * a logging system;
  * string functions, like splitting, path manipulation, regular
    expressions and word wrapping;
  * system functions, like directory iteration, file manipulation, process
    control, thread functions;
  * gregorian calendar operations.

Package: libwiki-toolkit-formatter-usemod-perl
Description-md5: 052b82328a621738734fa7a2a185789e
Description-en: UseModWiki-style formatting for CGI::Wiki
 A formatter backend for CGI::Wiki that supports UseMod-style formatting.

Package: libwiki-toolkit-perl
Description-md5: 0bdf63fa094fa263ea1af83f55688e39
Description-en: Toolkit for building Wikis
 Helps you develop Wikis quickly by taking care of the boring bits for
 you.  You will still need to write some code - this isn't an instant Wiki.

Package: libwiki-toolkit-plugin-categoriser-perl
Description-md5: 1b558b0a0d6f484db6be6283f2454609
Description-en: Category management for Wiki::Toolkit
 Uses node metadata to build a model of how nodes are related to each
 other in terms of categories.

Package: libwiki-toolkit-plugin-diff-perl
Description-md5: 3165c0e010cd103f96cbf2384ddee87d
Description-en: format differences between two Wiki::Toolkit pages
 A plug-in for Wiki::Toolkit sites, which provides a nice extract of differences
 between two versions of a node.

Package: libwiki-toolkit-plugin-json-perl
Description-md5: b7121b1a9a6486885a2f919a261ddf89
Description-en: Wiki::Toolkit plugin to output RecentChanges JSON
 This is an alternative access to the recent changes of a Wiki::Toolkit
 wiki. It outputs JSON.

Package: libwiki-toolkit-plugin-locator-grid-perl
Description-md5: 74583cd5d112951b40c44325e54e79cc
Description-en: A Wiki::Toolkit plugin to manage co-ordinate data
 Access to and calculations using co-ordinate metadata supplied to a
 Wiki::Toolkit wiki when writing a node.
 .
 Note: This is read-only access. If you want to write to a node's
 metadata, you need to do it using the write_node method of
 Wiki::Toolkit.
 .
 We assume that the points are located on a flat, square grid with unit
 squares of side 1 metre.

Package: libwiki-toolkit-plugin-ping-perl
Description-md5: fc10de8daecd38cd931eeaeefe90b879
Description-en: "ping" various services when nodes are written
 A plug-in for Wiki::Toolkit sites, which will "ping" various external services
 when a node is written. A list of the services to ping, and where in their
 URLs to add the URL of the node, are supplied when the plugin is created.
 .
 You need to tell it how to turn a node into a URL (node_to_url), and what
 services to ping (services).

Package: libwiki-toolkit-plugin-rss-reader-perl
Description-md5: 38edd7f9506fe12916a0e38870194e72
Description-en: module to retrieve RSS feeds for inclusion in Wiki::Toolkit nodes
 Wiki::Toolkit::Plugin::RSS::Reader is a Wiki::Toolkit plugin to retrieve an
 RSS feed from a given URL for inclusion in a wiki node.

Package: libwildfly-client-config-java
Description-md5: 070246c27eb2a8e9aecbe36d97194377
Description-en: Wildfly Client Configuration Library
 Wildfly is a Java application server which implements the Java Platform,
 Enterprise Edition (Java EE) specification.
 .
 This package contains Wildfly's client configuration library.

Package: libwildfly-common-java
Description-md5: 9c9d3dcc13270583153877b8b376b650
Description-en: Wildfly common utilities project
 Wildfly is a Java application server which implements the Java Platform,
 Enterprise Edition (Java EE) specification.
 .
 This package contains common utilities to work with Wildfly applications.

Package: libwildmagic-common
Description-md5: ee9d73a7c9a2a193307b0032549c0cc4
Description-en: libraries for mathematics, physics, numerical methods - data files
 The WildMagic5 libraries are meant to help developers perform
 computing tasks in the following specialties:
 .
  - computer graphics;
  - mathematics;
  - physics;
  - numerical methods;
  - image analysis.
 .
 This package ships data files generally required when either using
 the library or developing with it or developing it.

Package: libwildmagic-dev
Description-md5: 318a4a759e9cdd722b061f48781a96c8
Description-en: libraries for mathematics, physics, numerical methods - dev files
 The WildMagic5 libraries are meant to help developers perform
 computing tasks in the following specialties:
 .
  - computer graphics;
  - mathematics;
  - physics;
  - numerical methods;
  - image analysis.
 .
 The source code is divided in such a manner that is provides these
 libraries:
 .
  - The LibCore library contains some basic support that applications
    need. Some of this support is for convenience during development;
  - The LibMathematics library;
  - The LibGraphics library;
  - The LibPhysics library;
  - The LibApplications library.
 .
 This package ships the library development files.

Package: libwildmagic-examples
Description-md5: c5306ffb4a6c18f2b12c1a596c3521af
Description-en: libraries for mathematics, physics, numerical methods - example files
 The WildMagic5 libraries are meant to help developers perform
 computing tasks in the following specialties:
 .
  - computer graphics;
  - mathematics;
  - physics;
  - numerical methods;
  - image analysis.
 .
 This package ships example files.

Package: libwildmagic5v5
Description-md5: 452891f18135abb2def7ad2a5c378390
Description-en: libraries for mathematics, physics, numerical methods - runtime
 The WildMagic5 libraries are meant to help developers perform
 computing tasks in the following specialties:
 .
  - computer graphics;
  - mathematics;
  - physics;
  - numerical methods;
  - image analysis.
 .
 This package ships these libraries:
 .
  - The LibCore library contains some basic support that applications
    need. Some of this support is for convenience during development;
  - The LibMathematics library;
  - The LibGraphics library;
  - The LibPhysics library;
  - The LibApplications library.

Package: libwildmagic5v5-dbg
Description-md5: 6e39a66bcdbe43a402844ffe570a8d19
Description-en: libraries for mathematics, physics, numerical methods - debugging symbols
 The WildMagic5 libraries are meant to help developers perform
 computing tasks in the following specialties:
 .
  - computer graphics;
  - mathematics;
  - physics;
  - numerical methods;
  - image analysis.
 .
 This package ships these libraries:
 .
  - The LibCore library contains some basic support that applications
    need. Some of this support is for convenience during development;
  - The LibMathematics library;
  - The LibGraphics library;
  - The LibPhysics library;
  - The LibApplications library.
 .
 This package provides the debugging symbols for libwildmagic5.

Package: libwildmidi-config
Description-md5: c81b07d5026557c8b48d4e69d17dc8b4
Description-en: software MIDI player configuration
 MIDI streaming library designed to process a MIDI file using sound patches
 and stream the results as stereo audio data through a buffer which an
 external program can then process further.
 .
 This package contains the configuration files and relevant documentation

Package: libwildmidi-dev
Description-md5: 39e911110df224e71706df783550e4c1
Description-en: software MIDI player library headers
 MIDI streaming library designed to process a MIDI file using sound patches
 and stream the results as stereo audio data through a buffer which an
 external program can then process further.
 .
 This package contains the development headers, static library and symlinks
 to libWildMidi.so.1

Package: libwildmidi2
Description-md5: 534c672dd90e44b0df67b16144744570
Description-en: software MIDI player library
 MIDI streaming library designed to process a MIDI file using sound patches
 and stream the results as stereo audio data through a buffer which an
 external program can then process further.

Package: libwim-dev
Description-md5: f2d7e888089737c8f0887635cde2ed90
Description-en: Library for manipulating Windows Imaging files - development files
 wimlib is a C library for extracting, creating, modifying, and
 mounting WIM (Windows Imaging) files.
 .
 This package contains the static library and header files

Package: libwim-doc
Description-md5: 5eaffacfad4f76126b76cd3e39b7f6af
Description-en: Library for manipulating Windows Imaging files - API documentation
 wimlib is a C library for extracting, creating, modifying, and
 mounting WIM (Windows Imaging) files.
 .
 This package contains the API documentation.

Package: libwim15
Description-md5: 1366c0b12fcc0192b6bd53dea8a153d4
Description-en: Library for manipulating Windows Imaging files
 wimlib is a C library for extracting, creating, modifying, and
 mounting WIM (Windows Imaging) files.
 .
 WIM is an archive format designed primarily for archiving Windows
 filesystems. It features single-instancing and LZ77-based
 compression, and is used by Microsoft to distribute and deploy
 Windows Vista and later.

Package: libwin-hivex-perl
Description-md5: 3e474899bbf5728b3679672f4e5830c6
Description-en: Perl bindings to hivex
 Perl bindings for libhivex, a library for reading and writing
 Windows Registry "hive" binary files.
 .
 This package also contains hivexregedit, a low-level command-line
 tool for manipulating and dumping registry hives.

Package: libwin32-exe-perl
Description-md5: e4062098740be2087c7ceda7f5060b82
Description-en: Manipulate Win32 executable files
 Win32::Exe parses and manipulating Win32 PE/COFF executable headers,
 including version information, icons, manifest and other resources. The
 module Win32::Exe::Manifest can be used for manifest handling.
 .
 A script exe_update is provided for simple file updates.
 .
 Also, please see the test files in the source distributions t/ directory for
 examples of using this module.

Package: libwine
Description-md5: fa6ba9c73f02c30ec6c20a5ad4c5cf58
Description-en: Windows API implementation - library
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides the Wine library.

Package: libwine-dev
Description-md5: dfec46936e532be5b3a2ac9417f545e1
Description-en: Windows API implementation - development files
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides Wine's C header files and development libraries.

Package: libwine-development
Description-md5: 3db6a8ba0d26a391d504eae7a2076cb6
Description-en: Windows API implementation - library
 Wine is a free MS-Windows API implementation.
 .
 This package provides the Wine library.

Package: libwine-development-dev
Description-md5: 7fb6480e82b394015397cc0a91e50ec9
Description-en: Windows API implementation - development files
 Wine is a free MS-Windows API implementation.
 .
 This package provides Wine's C header files and development libraries.

Package: libwings-dev
Description-md5: 736231340646358300009dd9639c0ba1
Description-en: Window Maker's own widget set
 WINGs Is Not GNUstep (WINGs) is a small widget set with the NeXTSTEP
 look and feel. Its API is inspired in OpenSTEP and its
 implementation borrows some ideas from Tk. It has a reasonable set of
 widgets, sufficient for building small applications like a CDPlayer
 or hacking something like rxvt. It is used for basic widgets in
 the WindowMaker window manager.

Package: libwings3
Description-md5: adcf1eeb2340b0e4a1e63a78046452fb
Description-en: Window Maker's own widget set - runtime library
 WINGs Is Not GNUstep (WINGs) is a small widget set with the NeXTSTEP
 look and feel. Its API is inspired in OpenSTEP and its
 implementation borrows some ideas from Tk. It has a reasonable set of
 widgets, sufficient for building small applications like a CDPlayer
 or hacking something like rxvt. It is used for basic widgets in
 the WindowMaker window manager.
 .
 This package contains the libWINGs runtime library.

Package: libwiredtiger-dev
Description-md5: d4b96e31abe1f8521d7a757cb34c5e21
Description-en: high performance, scalable, NoSQL, extensible platform (development)
 Supports row-oriented storage (where all columns of a row are stored
 together), column-oriented storage (where columns are stored in groups,
 allowing for more efficient access and storage of column subsets) and
 log-structured merge trees (LSM), for sustained throughput under random
 insert workloads.
 .
 Includes ACID transactions with standard isolation levels and durability at
 both checkpoint and fine-grained granularity.
 .
 Can be used as a simple key/value store, but also has a complete schema
 layer, including indices and projections.
 .
 This package contains the headers and the static library for libwiredtiger.

Package: libwiredtiger-java
Description-md5: 831216d2ce907253035eb072f4b67340
Description-en: Java language support for WiredTiger
 Supports row-oriented storage (where all columns of a row are stored
 together), column-oriented storage (where columns are stored in groups,
 allowing for more efficient access and storage of column subsets) and
 log-structured merge trees (LSM), for sustained throughput under random
 insert workloads.
 .
 Includes ACID transactions with standard isolation levels and durability at
 both checkpoint and fine-grained granularity.
 .
 Can be used as a simple key/value store, but also has a complete schema
 layer, including indices and projections.
 .
 This package provides the Java language support for WiredTiger.

Package: libwiredtiger0
Description-md5: 7ef98f6a7ab346f322a7ce6b795327b6
Description-en: high performance, scalable, NoSQL, extensible platform (library)
 Supports row-oriented storage (where all columns of a row are stored
 together), column-oriented storage (where columns are stored in groups,
 allowing for more efficient access and storage of column subsets) and
 log-structured merge trees (LSM), for sustained throughput under random
 insert workloads.
 .
 Includes ACID transactions with standard isolation levels and durability at
 both checkpoint and fine-grained granularity.
 .
 Can be used as a simple key/value store, but also has a complete schema
 layer, including indices and projections.

Package: libwireshark-data
Description-md5: c97c84748e457d000a383c101c74e67e
Description-en: network packet dissection library -- data files
 The libwireshark library provides the network packet dissection services
 developed by the Wireshark project.
 .
 This package contains the platform independent files.

Package: libwireshark-dev
Description-md5: 25ccc1e5a9f0de13127d7669433e7838
Description-en: network packet dissection library -- development files
 The "libwireshark" library provides the network packet dissection services
 developed by the Wireshark project.
 .
 This package contains the static library and the C header files that are
 needed for applications to use libwireshark services.

Package: libwireshark13
Description-md5: ab8eca3dff7b66974ed95f1142899d51
Description-en: network packet dissection library -- shared library
 The libwireshark library provides the network packet dissection services
 developed by the Wireshark project.

Package: libwiretap-dev
Description-md5: a4b3626aeba85265e850e80dbf470c9e
Description-en: network packet capture library -- development files
 Wiretap, part of the Wireshark project, is a library that allows one to
 read and write several packet capture file formats.
 .
 Supported formats are:
  * Libpcap
  * Sniffer
  * LANalyzer
  * Network Monitor
  * "snoop"
  * "iptrace"
  * Sniffer Basic (NetXRay)/Windows Sniffer Pro
  * RADCOM WAN/LAN Analyzers
  * Lucent/Ascend access products
  * HP-UX nettl
  * Toshiba ISDN Router
  * ISDN4BSD "i4btrace" utility
  * Cisco Secure Intrusion Detection System iplogging facility
  * pppd logs (pppdump-format files)
  * VMS TCPTRACE
  * DBS Etherwatch (text format)
  * Catapult DCT2000 (.out files)
 .
 Wiretap's shortcomings are: no filter capability and no support for packet
 capture.
 .
 This package contains the static library and the C header files.

Package: libwiretap10
Description-md5: c47b22d9617f547351ca36c045296943
Description-en: network packet capture library -- shared library
 Wiretap, part of the Wireshark project, is a library that allows one to
 read and write several packet capture file formats.
 .
 Supported formats are:
  * Libpcap
  * Sniffer
  * LANalyzer
  * Network Monitor
  * "snoop"
  * "iptrace"
  * Sniffer Basic (NetXRay)/Windows Sniffer Pro
  * RADCOM WAN/LAN Analyzers
  * Lucent/Ascend access products
  * HP-UX nettl
  * Toshiba ISDN Router
  * ISDN4BSD "i4btrace" utility
  * Cisco Secure Intrusion Detection System iplogging facility
  * pppd logs (pppdump-format files)
  * VMS TCPTRACE
  * DBS Etherwatch (text format)
  * Catapult DCT2000 (.out files)
 .
 Wiretap's shortcomings are: no filter capability and no support for packet
 capture.

Package: libwiringpi-dev
Description-md5: 110b0fbb80424301443946223bdbb941
Description-en: Raspberry Pi library for controlling GPIO devices
 A Raspberry Pi specific library for querying and controlling the GPIO pins,
 and a variety of devices that connect to them from C, C++, or BASIC.
 .
 This package contains the development files (headers, etc.) for compiling
 applications against libwiringPi.

Package: libwiringpi2
Description-md5: 3d74c29e74f05cc50e335d9277c01a1d
Description-en: Raspberry Pi library for controlling GPIO devices
 A Raspberry Pi specific library for querying and controlling the GPIO pins,
 and a variety of devices that connect to them from C, C++, or BASIC.
 .
 This package contains the shared libraries libwiringPi and libwiringPiDev.

Package: libwlocate-dev
Description-md5: 6ab08c5eb7a9fefbd9d88c7e5189fec7
Description-en: Library for doing location lookup based on free openwlanmap.org data
 This library accesses the local WLAN-hardware, checks for
 WLAN-networks that are available, accesses the database and returns
 the current geographic position or an error code in case localisation
 failed
 .
 This package contains the development files.

Package: libwlocate0
Description-md5: 6ab08c5eb7a9fefbd9d88c7e5189fec7
Description-en: Library for doing location lookup based on free openwlanmap.org data
 This library accesses the local WLAN-hardware, checks for
 WLAN-networks that are available, accesses the database and returns
 the current geographic position or an error code in case localisation
 failed
 .
 This package contains the development files.

Package: libwlroots-dev
Description-md5: cc8e48b70c13e5835b095aa385150b9e
Description-en: Modular wayland compositor library - development headers
 wlroots is a set of pluggable, composable modules to build a Wayland
 composior.
 .
 This package contains the development headers.

Package: libwlroots-examples
Description-md5: a8dd4812ed805208ca25230f48b8482e
Description-en: Modular wayland compositor library - binaries
 wlroots is a set of pluggable, composable modules to build a Wayland
 composior.
 .
 This package contains the reference compositor rootston and other
 examples.

Package: libwlroots5
Description-md5: 53a24016649a7d3e8f9d190ca330de76
Description-en: Modular wayland compositor library - shared library
 wlroots is a set of pluggable, composable modules to build a Wayland
 composior.
 .
 This package contains the share library.

Package: libwmaker-dev
Description-md5: 5c09f0df0c52a3aff167eefbdc3b2dba
Description-en: Static libraries and headers for Window Maker applications
 Window Maker is a NeXTSTEP-like window manager for X.
 .
 This package contains libWMaker and header files, for building
 Window Maker aware applications.

Package: libwmaker1
Description-md5: 319744d41a084a1b3c223cc43afc8035
Description-en: Runtime library for Window Maker applications
 Window Maker is a NeXTSTEP-like window manager for X.
 .
 This package contains the libWMaker runtime library for Window Maker
 aware applications.

Package: libwmf-bin
Description-md5: d9cc79d027920bad7d0c9dc15b79c060
Description-en: Windows metafile conversion tools
 Windows metafile (WMF) is a picture format used by many Windows
 programs, e.g. Microsoft Word.  libwmf is a library for interpreting
 metafile images and either displaying them using X or converting them
 to standard formats such as PNG, JPEG, PS, EPS and SVG(Z)...
 .
 This package contains several frontends to libwmf (wmf2x, wmf2gd,
 wmf2fig, wmf2eps, wmf2svg).

Package: libwnck-common
Description-md5: 4e14f7a83d1007a5c0d3b72ea8443755
Description-en: Window Navigator Construction Kit - common files
 A library to use for writing pagers and task lists. This version
 of libwnck provides WNCK for GTK-2 based applications.
 .
 This package contains internationalization files.

Package: libwnck-dbg
Description-md5: bbc858991f93cb5973433c61c9ba99cc
Description-en: Window Navigator Construction Kit - debugging symbols
 A library to use for writing pagers and task lists. This variant
 of libwnck provides WNCK for GTK-2 based applications.
 .
 This package contains the debugging symbols for the libwnck22 library.
 They are installed into /usr/lib/debug and will automatically be used by
 gdb.

Package: libwnck-dev
Description-md5: b99c2d00f3c0075c374a0d9bb483b192
Description-en: Window Navigator Construction Kit - development files
 A library to use for writing pagers and task lists. This variant
 of libwnck provides WNCK for GTK-2 based applications.
 .
 This package contains development files (include headers).

Package: libwnck-doc
Description-md5: b302fd6dd99d0be853f8ba6cc601c300
Description-en: Window Navigator Construction Kit - documentation files
 A library to use for writing pagers and task lists. This variant
 of libwnck provides WNCK for GTK-2 based applications.
 .
 This package contains documentation files for libwnck22.

Package: libwnck22
Description-md5: 1689e73e832bf4b7036b6d2fd0aea5d5
Description-en: Window Navigator Construction Kit - runtime files
 A library to use for writing pagers and task lists. This variant
 of libwnck provides WNCK for GTK-2 based applications.
 .
 This package contains runtime files.

Package: libwnn-dev
Description-md5: 27fbc4d254aaa2dae0d544300e61e585
Description-en: Header files and static libraries for Wnn (FreeWnn jserver)
 FreeWnn jserver (Wnn) is a network-extensible Kana-to-Kanji
 conversion system.  It was jointly developed and released by the
 Software Research Group of Kyoto University Research Institute for
 Mathematical Science, OMRON Corporation and Astec, Inc. and now
 maintained by the FreeWnn Project.
 .
 This package contains the header files and static library for
 Wnn. Install this package if you wish to develop your own Wnn client
 programs.

Package: libwnn0
Description-md5: 3c76edcc52cd23198413f82dc742a69f
Description-en: FreeWnn library for Wnn (FreeWnn jserver)
 FreeWnn jserver (Wnn) is a network-extensible Kana-to-Kanji
 conversion system.  It was jointly developed and released by the
 Software Research Group of Kyoto University Research Institute for
 Mathematical Science, OMRON Corporation and Astec, Inc. and now
 maintained by the FreeWnn Project.
 .
 This package contains the dynamic libraries for Wnn.

Package: libwnn6-1
Description-md5: 32ff2b479fe6b3ebd89fc782255660a3
Description-en: Wnn6 client library
 Wnn6 is a network-extensible Kana-to-Kanji conversion system based on
 the original version of Wnn developed by Kyoto University Research
 Institute for Mathematical Sciences (KURIMS), OMRON Corporation and
 ASTEC Inc.
 .
 This package contains the open source Wnn6 client library from OMRON
 SOFTWARE.

Package: libwnn6-dev
Description-md5: 8b50857a8aa69f1f63b5feea782e67a1
Description-en: Header files and static library for Wnn6 client library
 Wnn6 is a network-extensible Kana-to-Kanji conversion system based on
 the original version of Wnn developed by Kyoto University Research
 Institute for Mathematical Sciences (KURIMS), OMRON Corporation and
 ASTEC Inc.
 .
 This package contains the header files and static library for
 Wnn6 client library. Install this package if you wish to develop your
 own Wnn6 client programs.

Package: libwolfssl-dev
Description-md5: 10f421e52072d5cf742c3b048e008156
Description-en: Development files for the wolfSSL encryption library
 wolfSSL is a small, portable, embedded SSL/TLS programming library
 targeted for use by embedded systems developers. It was formerly
 known as CyaSSL.
 .
 It is an open source, dual licensed implementation of SSL/TLS
 (SSL 3.0, TLS 1.0, 1.1, 1.2, 1.3, DTLS 1.0 and 1.2) written in the C
 language.  wolfSSL includes both a client and server implementation,
 progressive cipher support, key and certificate generation, OCSP
 and CRL, access to the underlying cryptography modules, and more.
 .
 wolfSSL also includes an OpenSSL compatibility interface with the
 most commonly used OpenSSL functions.
 .
 This package contains the development files.

Package: libwolfssl24
Description-md5: dbb829b84d1d7432a6d835fb20d7e426
Description-en: wolfSSL encryption library
 wolfSSL is a small, portable, embedded SSL/TLS programming library
 targeted for use by embedded systems developers. It was formerly
 known as CyaSSL.
 .
 It is an open source, dual licensed implementation of SSL/TLS
 (SSL 3.0, TLS 1.0, 1.1, 1.2, 1.3, DTLS 1.0 and 1.2) written in the C
 language.  wolfSSL includes both a client and server implementation,
 progressive cipher support, key and certificate generation, OCSP
 and CRL, access to the underlying cryptography modules, and more.
 .
 wolfSSL also includes an OpenSSL compatibility interface with the
 most commonly used OpenSSL functions.

Package: libwombatcommon0
Description-md5: 542db36190b07c582a4b5bd5ae349e93
Description-en: message oriented middleware - Wombat utility libraries
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides a utility library, libwombatcommon, used by
 OpenMAMA components.

Package: libwombatcommoncpp0
Description-md5: fb8cdc084ab4afd1e777978963cf015c
Description-en: message oriented middleware - Wombat utility libraries (C++)
 OpenMAMA is a high performance Middleware Agnostic Messaging API that
 provides a consistent abstraction layer over a variety of message
 oriented middlewares. OpenMAMA is an open source project hosted at
 The Linux Foundation.
 .
 This package provides a utility library, libwombatcommoncpp, used by
 OpenMAMA components.

Package: libwoodstox-java
Description-md5: 1cf64be6b7e268cb8a064e96ced1f2f9
Description-en: High-performance XML processor
 Woodstox is a high-performance, validating, namespace-aware,
 StAX-compliant (JSR-173), open source XML-processor written in
 Java. XML processor means that it handles both input (parsing) and
 output (writing, serialization), as well as supporting tasks such
 as validation.

Package: libwordnet-querydata-perl
Description-md5: a16cdbe3e1ff05471a99032cad159314
Description-en: Perl interface to WordNet database
 WordNet::QueryData provides a direct interface to the WordNet database files.
 It allows the user direct access to the full WordNet semantic lexicon. All
 parts of speech are supported and access is generally very efficient because
 the index and morphical exclusion tables are loaded at initialization. This
 initialization step is slow (appx. 10-15 seconds), but queries are very fast
 thereafter -- thousands of queries can be completed every second.

Package: libwpd-tools
Description-md5: 8b1159dcb8e0e992cd8fc2ae1a0a0edf
Description-en: Tools from libwpd for converting WordPerfect to HTML/RAW/Text
 libwpd is a library for reading and writing WordPerfect(TM) documents.
 Importing from WordPerfect 4/5/6/7/8/9/10/11 and WordPerfect for Macintosh
 1.x/2.x/3.5e files are supported.  libwpd has complete support for the
 entire set of WordPerfect extended characters, too.
 .
 This package contains some little programs using libwpd to convert
 WordPerfect files into HTML/Raw files and Text.

Package: libwpe-1.0-1
Description-md5: 98133a49c2444524fb53681586a84444
Description-en: Base library for the WPE WebKit port
 libwpe is a small C library designed for the WPE port of the WebKit
 browser engine. This library defines platform-agnostic interfaces
 that WPE WebKit uses to nest Web content presentation between the
 platform-provided graphics system and the application-specific use
 cases.
 .
 This package contains the shared libraries.

Package: libwpe-1.0-dev
Description-md5: 13a6a5742f3475e0c1a6780ce3c4c5bd
Description-en: Base library for the WPE WebKit port - development files
 libwpe is a small C library designed for the WPE port of the WebKit
 browser engine. This library defines platform-agnostic interfaces
 that WPE WebKit uses to nest Web content presentation between the
 platform-provided graphics system and the application-specific use
 cases.
 .
 This package contains the development files.

Package: libwpebackend-fdo-1.0-1
Description-md5: 02b458c588039315663ac14b88a7700f
Description-en: WPE backend for FreeDesktop.org
 WPE WebKit is a port of the WebKit browser engine optimized for
 embedded devices. The code itself is hardware-agnostic, and the
 platform-specific bits are implemented in backends.
 .
 wpebackend-fdo provides a reference WPE backend implementation using
 FreeDesktop.org technologies (Wayland protocol and the Wayland EGL
 platform) to enable integration into the WPE WebKit process model.
 .
 This package contains the shared libraries.

Package: libwpebackend-fdo-1.0-dev
Description-md5: fc434c51c75bf6974731e3e9eebd79d1
Description-en: WPE backend for FreeDesktop.org - Development files
 WPE WebKit is a port of the WebKit browser engine optimized for
 embedded devices. The code itself is hardware-agnostic, and the
 platform-specific bits are implemented in backends.
 .
 wpebackend-fdo provides a reference WPE backend implementation using
 FreeDesktop.org technologies (Wayland protocol and the Wayland EGL
 platform) to enable integration into the WPE WebKit process model.
 .
 This package contains the development files.

Package: libwpewebkit-1.0-3
Description-md5: e16fe9c4a66b1c05331c0668072a7b6e
Description-en: Web content engine for embedded devices
 WebKit is a modern web engine that is able to display content such as
 HTML, SVG, XML, and others. It also supports DOM, XMLHttpRequest,
 XSLT, CSS, JavaScript/ECMAScript and more.
 .
 WPE WebKit is a WebKit port optimized for embedded devices and,
 unlike the GTK port, it does not depend on any particular UI toolkit
 and can be adapted to different use cases. It is designed with
 hardware acceleration in mind, leveraging common 3D graphics APIs for
 best performance.
 .
 This package contains the shared libraries.

Package: libwpewebkit-1.0-dev
Description-md5: 41f2f43067b4b6fdb35c6e61341a2ce0
Description-en: Web content engine for embedded devices - development files
 WebKit is a modern web engine that is able to display content such as
 HTML, SVG, XML, and others. It also supports DOM, XMLHttpRequest,
 XSLT, CSS, JavaScript/ECMAScript and more.
 .
 WPE WebKit is a WebKit port optimized for embedded devices and,
 unlike the GTK port, it does not depend on any particular UI toolkit
 and can be adapted to different use cases. It is designed with
 hardware acceleration in mind, leveraging common 3D graphics APIs for
 best performance.
 .
 This package contains the development files.

Package: libwpewebkit-1.0-doc
Description-md5: e406030a9ab2a2c6d87af0a5c836225a
Description-en: Web content engine for embedded devices - documentation
 WebKit is a modern web engine that is able to display content such as
 HTML, SVG, XML, and others. It also supports DOM, XMLHttpRequest,
 XSLT, CSS, JavaScript/ECMAScript and more.
 .
 WPE WebKit is a WebKit port optimized for embedded devices and,
 unlike the GTK port, it does not depend on any particular UI toolkit
 and can be adapted to different use cases. It is designed with
 hardware acceleration in mind, leveraging common 3D graphics APIs for
 best performance.
 .
 This package contains the documentation.

Package: libwpg-tools
Description-md5: 84698241ee5ccca3e5e20f9db4d1b26e
Description-en: Tools from libwpg for converting WP Graphics to Raw/SVG
 Libwpg is a collection of library and tools to work with graphics in WPG
 (WordPerfect Graphics) format. WPG is the format used among others in Corel
 software, such as WordPerfect(tm) and Presentations(tm).
 .
 This package contains some little programs using libwps to convert
 WordPerfect Graphics into Raw and SVG files.

Package: libwps-tools
Description-md5: 96afef1f356c69a243dd0585bcf6f8c7
Description-en: Tools from libwps for converting Works text documents to HTML/RAW/Text
 libwps is a library (for use by word processors, for example) for importing the
 Microsoft Works word processor file format. As of November 2006, the project
 is new, but it imports Works format versions 2, 3, 4, and 8 with some
 formatting. Support for Works formats version 2000 (aka 5) is coming soon.
 .
 This package contains some little programs using libwps to convert
 Works text document files into HTML/Raw files and Text.

Package: libwraster-dev
Description-md5: 6d54c55b05b23df23c81d4ddd051c771
Description-en: Static libraries and headers of Window Maker rasterizer
 This library is used to manipulate images and convert them to
 a format that can be displayed through the X window system.
 Read the wraster.h header for an idea of what is available
 .
 Contains libwraster and header files, for manipulating and
 rasterizing images.

Package: libwraster6
Description-md5: 86a61769b06ab3c5b32541ad3f59f5e8
Description-en: Shared libraries of Window Maker rasterizer
 This library is used to manipulate images and convert them to
 a format that can be displayed through the X window system.
 Read the wraster.h header for an idea of what is available

Package: libwreport-dev
Description-md5: 8983898b408867e44e2550fd21006dd7
Description-en: library for working with weather reports
 libwreport is a C++ library for working with weather reports.
 .
 The main feature of libwreport is a powerful decoder and encoder for the BUFR
 and CREX formats.
 .
 It also provides a useful abstraction to handle values found in weather
 reports, with awareness of significant digits, measurement units, variable
 descriptions, unit conversion and attributes on variables.
 .
 Features provided:
 .
  * Read and write BUFR version 2, 3, and 4
  * Read and write CREX
  * Unit conversion
  * Handling of physical variables

Package: libwreport-doc
Description-md5: aab24d8299034be55998625e82aa91ee
Description-en: documentation for libwreport
 libwreport is a C++ library to read and write weather reports in BUFR and CREX
 formats.
 .
 This is the documentation for the library.

Package: libwreport3
Description-md5: 3c0cb3ede7ad683dcd189f5565d413d7
Description-en: shared library for working with weather reports
 libwreport is a C++ library to read and write weather reports in BUFR and CREX
 formats.
 .
 This is the shared library for C programs.

Package: libwriter2latex-java
Description-md5: 6ccc68cf7be97fbca02fc9a0f5b76740
Description-en: OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- library
 Writer2LaTeX is a java utility to convert OpenOffice.org/LibreOffice
 documents - in particular documents containing formulas - into other
 formats. It is actually a collection of four converters, i.e.:
  1) Writer2LaTeX converts documents into LaTeX 2e format for high quality
     typesetting.
  2) Writer2BibTeX extracts bibliographic data from a document and stores it in
     BibTeX format (works together with Writer2LaTeX).
  3) Writer2xhtml converts documents into XHTML 1.0 or XHTML 1.1+MathML 2.0 with
     CSS2.
  4) Calc2xhtml is a companion to Writer2xhtml that converts Calc documents
     to XHTML 1.0 with CSS2 to display your spreadsheets on the web.
 .
 This package contains the java library.

Package: libwriter2latex-java-doc
Description-md5: 22570a951559fc83308307185ff161a5
Description-en: OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- javadoc
 Writer2LaTeX is a java utility to convert OpenOffice.org/LibreOffice
 documents – in particular documents containing formulas – into other
 formats. It is actually a collection of four converters, i.e.:
  1) Writer2LaTeX converts documents into LaTeX 2e format for high quality
     typesetting.
  2) Writer2BibTeX extracts bibliographic data from a document and stores it in
     BibTeX format (works together with Writer2LaTeX).
  3) Writer2xhtml converts documents into XHTML 1.0 or XHTML 1.1+MathML 2.0 with
     CSS2.
  4) Calc2xhtml is a companion to Writer2xhtml that converts Calc documents
     to XHTML 1.0 with CSS2 to display your spreadsheets on the web.
 .
 This package contains the javadoc for the writer2latex library.

Package: libws-commons-util-java
Description-md5: 55717ab5c009c7bfcf2f62cf424c6361
Description-en: Common utilities from the Apache Web Services Project
 This is a small collection of utility classes, that allow high
 performance XML processing based on SAX.

Package: libwsclean2
Description-md5: 9d90d732f5b3369433ab4750861882dc
Description-en: Fast generic widefield interferometric imager (shared library)
 WSClean (w-stacking clean) is a fast generic widefield imager. It uses the
 w-stacking algorithm and can make use of the w-snapshot algorithm. As of
 Feb 2014, it is 2-12 times faster than CASA's w-projection, depending on the
 array configuration. It supports full-sky imaging and proper beam correction
 for homogeneous dipole arrays such as the MWA.
 .
 WSClean allows Hogbom and Cotton-Schwab cleaning, and can clean polarizations
 joinedly. All operations are performed on the CPU (it is not specialized for
 GPUs).
 .
 This package contains the shared library.

Package: libwsdl4j-java
Description-md5: c24f32bb1afd56e7ac5d19c467ca695d
Description-en: Webservice description language for Java
 The Web Services Description Language for Java Toolkit (WSDL4J) allows
 the creation, representation, and manipulation of WSDL documents. Is
 the reference implementation for JSR110 'JWSDL' (jcp.org).

Package: libwsdl4j-java-doc
Description-md5: 207bc7d08e5a40aba0d0d18e2528388c
Description-en: Documentation for Java Web Services Library
 The Web Services Description Language for Java Toolkit (WSDL4J) allows
 the creation, representation, and manipulation of WSDL documents. Is
 the reference implementation for JSR110 'JWSDL' (jcp.org).
 .
 This package contains documentation.

Package: libwslay-dev
Description-md5: 23e5684b3b82e1f5a6b00d5437241c71
Description-en: WebSocket library written in C. Development files
 WebSocket library written in C. It implements the protocol version 13
 described in RFC 6455. This library offers 2 levels of API: event-based
 API and frame-based low-level API. For event-based API, it is suitable
 for non-blocking reactor pattern style. You can set callbacks in various
 events. For frame-based API, you can send WebSocket frame directly.
 Wslay only supports data transfer part of WebSocket protocol and does not
 perform opening handshake in HTTP.
 .
 Wslay supports:
 .
  - Text/Binary messages.
  - Automatic ping reply.
  - Callback interface.
  - External event loop.
  - Wslay does not perform any I/O operations for its own. Instead, it
    offers callbacks for them. This makes Wslay independent on any I/O
    frameworks, SSL, sockets, etc. This makes Wslay portable across various
    platforms and the application authors can choose freely I/O frameworks.
 .
 The binary contains development files.

Package: libwslay-doc
Description-md5: 66bf06242f63198d98633d1f4ba10836
Description-en: WebSocket library written in C. Documentation
 WebSocket library written in C. It implements the protocol version 13
 described in RFC 6455. This library offers 2 levels of API: event-based
 API and frame-based low-level API. For event-based API, it is suitable
 for non-blocking reactor pattern style. You can set callbacks in various
 events. For frame-based API, you can send WebSocket frame directly.
 Wslay only supports data transfer part of WebSocket protocol and does not
 perform opening handshake in HTTP.
 .
 Wslay supports:
 .
  - Text/Binary messages.
  - Automatic ping reply.
  - Callback interface.
  - External event loop.
  - Wslay does not perform any I/O operations for its own. Instead, it
    offers callbacks for them. This makes Wslay independent on any I/O
    frameworks, SSL, sockets, etc. This makes Wslay portable across various
    platforms and the application authors can choose freely I/O frameworks.
 .
 The binary contains documentation.

Package: libwslay1
Description-md5: d0b0e2670e5edb3b94835a5e7e6cc62b
Description-en: WebSocket library written in C. Shared library
 WebSocket library written in C. It implements the protocol version 13
 described in RFC 6455. This library offers 2 levels of API: event-based
 API and frame-based low-level API. For event-based API, it is suitable
 for non-blocking reactor pattern style. You can set callbacks in various
 events. For frame-based API, you can send WebSocket frame directly.
 Wslay only supports data transfer part of WebSocket protocol and does not
 perform opening handshake in HTTP.
 .
 Wslay supports:
 .
  - Text/Binary messages.
  - Automatic ping reply.
  - Callback interface.
  - External event loop.
  - Wslay does not perform any I/O operations for its own. Instead, it
    offers callbacks for them. This makes Wslay independent on any I/O
    frameworks, SSL, sockets, etc. This makes Wslay portable across various
    platforms and the application authors can choose freely I/O frameworks.
 .
 The binary contains shared library.

Package: libwsman-client4
Description-md5: fc8db5cb8f3cd03ad3a7776a5e50f2ef
Description-en: Open Web Services Manager run-time libraries
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides the client run-time libraries.

Package: libwsman-clientpp-dev
Description-md5: 7095e830394e758f6ed5a518311f889a
Description-en: Open Web Services Manager C++ bindings development files
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides the development files for the C++ interface to the
 Openwsman client libraries

Package: libwsman-clientpp1
Description-md5: 44a6ac2598616ef8f69e8233bc76cdf2
Description-en: Open Web Services Manager C++ bindings
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides the C++ bindings to the Openwsman client libraries

Package: libwsman-curl-client-transport1
Description-md5: 80837a83d4e6efc1630646e5e869ca4d
Description-en: Open Web Services Manager run-time libraries
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides the curl client transport run-time libraries.

Package: libwsman-server1
Description-md5: e4b64e21867763344be37d2f7752e97c
Description-en: Open Web Services Manager run-time libraries
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides the core run-time libraries.

Package: libwsman1
Description-md5: e4b64e21867763344be37d2f7752e97c
Description-en: Open Web Services Manager run-time libraries
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides the core run-time libraries.

Package: libwss4j-java
Description-md5: 60b400bdfc3e9732c8ef44bb7860c04f
Description-en: Apache WSS4J WS-Security implementation
 Apache WSS4J is an implementation of the OASIS Web Services Security
 framework (WS-Security). It can be used to sign and verify SOAP Messages
 with WS-Security information.

Package: libwsutil-dev
Description-md5: 85f9a1defcb3dd85de93675639edee98
Description-en: network packet dissection utilities library -- development files
 The libwsutil library provides utility functions for libwireshark6.
 .
 This package contains the static library and the C header files that are
 needed for applications to use the libwsutil library.

Package: libwsutil11
Description-md5: e261cb7340e63a9f32a0f06abec40cdc
Description-en: network packet dissection utilities library -- shared library
 The libwsutil library provides utility functions for libwireshark13.

Package: libwulf-dev
Description-md5: 5f597f2e499d05dc64b9cbe3f7f842a0
Description-en: development environment for wulfware
 Libwulf is a library of functions that support configuring a cluster or
 LAN for monitoring (using an XML-based cluster description file), managing
 connections to the cluster nodes or LAN clients automatically, configuring
 the connections to return minimal information for the quantities being
 monitored or displayed, and then polling the hosts and extracting their
 information into a struct for further processing by a user interface (UI)
 program linked to the library.
 .
 This package contains header files and libraries needed to develop and
 compile wulfware programs and is part of the wulfware suite.
 .
  Homepage: http://www.phy.duke.edu/~rgb/Beowulf/wulfware.php

Package: libwulf2
Description-md5: f24929532d2f51a8ba0ef445e04c7da3
Description-en: shared libraries for running wulfware programs
 Wulfware is a suite of LAN or cluster monitoring tools. Packages that
 require this library are wulfstat, wulflogger and xmlsysd.
 .
  Homepage: http://www.phy.duke.edu/~rgb/Beowulf/wulfware.php

Package: libwutil5
Description-md5: 1369d5b03389c4eec7bfd9ac92051d9e
Description-en: Window Maker's own widget set - utility library
 WINGs Is Not GNUstep (WINGs) is a small widget set with the NeXTSTEP
 look and feel. Its API is inspired in OpenSTEP and its
 implementation borrows some ideas from Tk. It has a reasonable set of
 widgets, sufficient for building small applications like a CDPlayer
 or hacking something like rxvt. It is used for basic widgets in
 the WindowMaker window manager.
 .
 This package contains the libWUtils runtime library.

Package: libwv-1.2-4
Description-md5: 6f5ae85042e05ce57c1778e4366f01c3
Description-en: Library for accessing Microsoft Word documents
 wvWare (previously known as mswordview) is a library that allows access
 to Microsoft Word files.  It can load and parse the Word 2000, Word 97,
 Word 95, and Word 6 file formats.  (Work is underway to support reading
 earlier formats as well: Word 2 documents are converted to plain text.)
 .
 This package contains the shared library.

Package: libwv-dev
Description-md5: 11aa48280cf0ad1068373c4e1d2f0c42
Description-en: Development files for the wvWare library
 wvWare (previously known as mswordview) is a library that allows access
 to Microsoft Word files.  It can load and parse the Word 2000, Word 97,
 Word 95, and Word 6 file formats.  (Work is underway to support reading
 earlier formats as well: Word 2 documents are converted to plain text.)
 .
 This package contains the static library and header files.

Package: libwvstreams-dev
Description-md5: f53c7d0d4d2882cf24702de20d911415
Description-en: Development libraries and header files for libwvstreams4.6
 Contains the header files and static libraries for use in rapid
 application development using the WvStreams set of libraries.  It
 includes everything that is needed to compile a program that needs
 WvStreams.
 .
 The WvStreams library suite is a set of C++ network libraries that
 make it fast and simple to develop network applications.

Package: libwvstreams4.6-base
Description-md5: 97ed1e5a42601ef768f8d0fd8c832a3f
Description-en: C++ network libraries for rapid application development
 WvStreams is a library suite that is comprised of several parts.  Included
 in the base package are:
  * WvString: a convenient and efficient C++ string class
  * WvList: an easy-to-use linked list
  * WvHashTable: an efficient and easy-to-use hash table
  * WvFile: a WvStream wrapper for handling files
  * WvStreamClone: a base class which makes writing your own WvStreams easy
  * WvLog: a log files handler
  * UniIniGen: a tiny version of UniConf for simple configuration systems

Package: libwvstreams4.6-doc
Description-md5: 57cf3f60dc9182c7511ba5569a1885cd
Description-en: Documentation for WvStreams
 Contains all the documentation available to program with WvStreams.
 .
 The WvStreams library suite is a set of C++ network libraries that
 make it fast and simple to develop network applications.

Package: libwvstreams4.6-extras
Description-md5: 4a7a7e63117ad4a89ff5ef5cc9dd9560
Description-en: C++ network libraries for rapid application development
 WvStreams is a library suite that is comprised of several parts.  Included
 in the extras package are:
  * WvIPStreams: which includes WvTCPStream and WvUDPStream
  * WvCrypto streams: a REALLY easy way to add SSL support to applications
 .
 These are the base classes used to build programs such as the ever popular
 WvDial, TunnelVision, FastForward, KWvDial, retchmail, and many more yet
 to come.  ;)

Package: libwww-bugzilla-perl
Description-md5: 121df5efa5158292b3cfc20077cb9f26
Description-en: Perl module to manipulate a Bugzilla database
 WWW::Bugzilla is a Perl module that handles submission and updating of bug
 reports submitted to arbitrary Bugzilla installations. It supports many of
 the most common operations, including updating bug properties (severity,
 status, attachments) and creating new reports. It uses WWW::Mechanize to
 do its thing.

Package: libwww-csrf-perl
Description-md5: 671b46fbf6a5f43efef3ccc4bfdc7b84
Description-en: Perl module to generate and check tokens to protect against CSRF attacks
 WWW::CSRF generates tokens to help protect against a website attack known as
 Cross-Site Request Forgery (CSRF, also known as XSRF). WWW::CSRF differs
 from most other CSRF modules on CPAN in that it is not bound to a specific
 framework, and thus can be used from any CGI script, or as a building block
 to write framework-specific CSRF mitigation modules.

Package: libwww-curl-perl
Description-md5: d9504a04d1e1883dc119c35d469694c5
Description-en: Perl bindings to libcurl
 WWW::Curl is a collection of Perl modules which contain Perl bindings to
 libcurl. In Debian, more information on how the curl library can be used in
 your applications is available in the libcurl4-doc Debian package.

Package: libwww-dict-leo-org-perl
Description-md5: 0293675b33397621ac1a38e8f7da0f24
Description-en: interface module to dict.leo.org online dictionary
 WWW::Dict::Leo::Org is a module which connects to the website dict.leo.org
 and translates the given term. It returns an array of hashes. Each hash
 contains a left side and a right side of the result entry.
 .
 The package also provides the `leo' commandline interface to the
 German/English/French dictionary on https://dict.leo.org/. It supports almost
 all features which the website supports.
 .
 Results will be printed to the terminal. By default the search term will be
 highlighted. To get faster results, `leo' is able to cache queries.

Package: libwww-facebook-api-perl
Description-md5: 756c3c49552c131e05b5a0feec1ec915
Description-en: Facebook API implementation
 WWW::Facebook::API is a Perl implementation of the Facebook API, working off
 of the canonical Java and PHP implementations. By default it uses JSON::Any
 to parse the response returned by Facebook's server. There is an option to
 return the raw response in either XML or JSON.

Package: libwww-finger-perl
Description-md5: 6be1669205b433581529ef32e15a1236
Description-en: get useful data from e-mail addresses
 This module is *not* an implementation of the finger protocol (RFC
 1288). Use Net::Finger for that. Instead it is a set of implementations
 of *other* methods for getting information from an e-mail address, or
 e-mail-like identifier. This package includes four such
 implementations, and it's pretty easy to create your own additional
 implementations:
 .
  * WebFinger
  * Fingerpoint
  * cpan.org scraper (for user@cpan.org)
  * Unnamed finger protocol described on bitworking.org
 .
 Only the first two implementations are enabled by default. See
 "IMPLEMENTATIONS" on how to enable others, and for more details.

Package: libwww-form-urlencoded-perl
Description-md5: 3ccbf80ef1dd7194b741ec68d2331110
Description-en: parser and builder for application/x-www-form-urlencoded format
 WWW::Form::UrlEncoded provides an application/x-www-form-urlencoded encoding
 parser and builder. This module aims to be compatible with other CPAN modules
 like HTTP::Body's urlencoded parser.

Package: libwww-form-urlencoded-xs-perl
Description-md5: 5dee9b168d9da64ed7ff0baf57eef7dd
Description-en: XS implementation of application/x-www-form-urlencoded parser/builder
 WWW::Form::UrlEncoded::XS provides an application/x-www-form-urlencoded
 encoding parser and builder that is implemented in XS. This module is used by
 WWW::Form::UrlEncoded (libwww-form-urlencoded-perl) transparently.

Package: libwww-freshmeat-perl
Description-md5: 2428c14ecd51271bd86bc8778e373672
Description-en: module to automate searches on Freshmeat.net
 WWW::Freshmeat is a Perl module that allows you to fetch information about
 projects from Freshmeat, such as name, URL, version and description. Because
 it subclasses LWP, it supports all its options, including timeout, useragent,
 env_proxy, etc.

Package: libwww-google-calculator-perl
Description-md5: d151fcaa5067f0bbe064647eb7166764
Description-en: Perl interface for Google calculator
 WWW::Google::Calculator provides a simple interface for Google calculator.
 For example:
  print $calc->calc('300kbps in KB/s'); # => 300 kbps = 37.5 kilobytes / second

Package: libwww-indexparser-perl
Description-md5: 49dc4d02191e9ad9083a5372fda225fe
Description-en: Fetch and parse the directory index from a web server
 WWW::IndexParser is a module that uses LWP to fetch a URL from a web
 server. It then atempts to parse this page as if it were an auto generated
 index page.  It returns an array of WWW::IndexParser::Entry objects, one
 per entry in the directory index that it has found. Each Entry has a
 set of methods: filename(), time(), size(), and others if supported
 by the autoindex generated: type() and size_units().

Package: libwww-mechanize-autopager-perl
Description-md5: 5ad901d8744e81d573b7fe5b1da5ec03
Description-en: plugin for WWW::Mechanize for automatic pagination
 WWW::Mechanize::AutoPager is a plugin for WWW::Mechanize to do automatic
 pagination using AutoPagerize user script.

Package: libwww-mechanize-formfiller-perl
Description-md5: db9e801b33b408794ae314027068e9aa
Description-en: framework to automate HTML forms
 WWW::Mechanize::FormFiller is intended as a simple way to fill out HTML forms
 from a set of predetermined values. You set up the form filler with value
 elements, retrieve the HTML form, and let the form filler loose on that form.
 .
 There are value classes provided for many tasks - fixed values, values
 to be queried interactively from the user, values taken randomly from
 a list of values and values specified through a callback to some Perl code.

Package: libwww-mechanize-gzip-perl
Description-md5: 4f0afd7b9d622ade8729ed4d0a3b2191
Description-en: Perl module to fetch webpages with gzip-compression
 The WWW::Mechanize::GZip module tries to fetch a URL by requesting
 gzip-compression from the webserver.
 .
 If the response contains a header with 'Content-Encoding: gzip', it
 decompresses the response in order to get the original (uncompressed)
 content.
 .
 This module will help to reduce bandwidth fetching webpages, if supported
 by the webeserver. If the webserver does not support gzip-compression,
 no compression will be used.
 .
 This modules is a direct subclass of WWW::Mechanize and will therefore
 support any methods provided by WWW::Mechanize.
 .
 The decompression is handled by Compress::Zlib::memGunzip.

Package: libwww-mechanize-perl
Description-md5: 71a57fd7cc7f7bf9ee158dde490b4b2a
Description-en: module to automate interaction with websites
 WWW::Mechanize, or Mech for short, helps you automate interaction with
 a website. It supports performing a sequence of page fetches including
 following links and submitting forms. Each fetched page is parsed and
 its links and forms are extracted. A link or a form can be selected, form
 fields can be filled and the next page can be fetched. Mech also stores
 a history of the URLs you've visited, which can be queried and revisited.

Package: libwww-mechanize-shell-perl
Description-md5: 98b3cd38b35752d983f5e85f6f37c0a9
Description-en: interactive shell for WWW::Mechanize
 WWW::Mechanize::Shell implements a www-like shell above WWW::Mechanize and
 also has the capability to output crude Perl code that recreates the recorded
 session. Its main use is as an interactive starting point for automating a
 session through WWW::Mechanize.
 .
 The cookie support is there, but no cookies are read from your existing
 browser sessions. See HTTP::Cookies on how to implement reading/writing
 your current browsers cookies.

Package: libwww-mechanize-treebuilder-perl
Description-md5: 0c42eb4dd21d55b69b8169e340c41d3d
Description-en: Perl module integrating WWW::Mechanize and HTML::TreeBuilder
 WWW::Mechanize::TreeBuilder is a Perl module that combines the functionality
 of WWW::Mechanize and HTML::TreeBuilder in a much more convenient format. It
 improves code quality and provides some better integration of these two
 modules, making it significantly more convenient to work with.

Package: libwww-mediawiki-client-perl
Description-md5: 853fb457619fad69ca1bd8a59184b880
Description-en: simple CVS-like interface for editing MediaWiki websites
 This package includes the MVS client and the WWW::Mediawiki::Client perl
 library implementing the functionality in MVS. WWW::Mediawiki::Client provides
 a very simple CVS-like interface for viewing, staying in sync with, and
 editing pages in websites that run Mediawiki software. In particular, the
 software is extremely useful for editing pages in Wikipedia or other Wikimedia
 websites.
 .
 MVS is a command line client whose purpose is to simplify offline editing of
 Wiki content.  It allows you to get any number of pages from a given Mediawiki
 site, edit the pages with any editor, get and merge any concurrent updates of
 the pages, and then safely commit the users own changes back to the version of
 the page on the server.

Package: libwww-nicovideo-download-perl
Description-md5: 28fcb19585c7060ab7e82c5f852faa08
Description-en: Download FLV/MP4/SWF files from nicovideo.jp
 WWW::NicoVideo::Download is a module to login, request and download video
 files from Nico-Nico Douga.

Package: libwww-oauth-perl
Description-md5: 761475b5319835c3e381ce442c332435
Description-en: portable OAuth 1.0 authentication module
 WWW::OAuth implements OAuth 1.0 request authentication according to RFC 5849
 (sometimes referred to as OAuth 1.0A). It does not implement the user agent
 requests needed for the complete OAuth 1.0 authorization flow; it only
 prepares and signs requests, leaving the rest up to your application. It can
 authenticate requests for LWP::UserAgent, Mojo::UserAgent, HTTP::Tiny, and
 can be extended to operate on other types of requests.
 .
 Some user agents, e.g. LWP::UserAgent, can be configured to automatically
 authenticate each request with a WWW::OAuth object.

Package: libwww-opensearch-perl
Description-md5: 04754a046b1ba3b2410a1c98176f5737
Description-en: search OpenSearch compatible web sites
 WWW::OpenSearch is a perl module to search web sites that provide
 an OpenSearch description and handle responses in Atom or RSS.
 .
 See http://opensearch.org/ for more information on OpenSearch.

Package: libwww-search-perl
Description-md5: 871cff0b401dc3bdd9bea435e468b6f0
Description-en: Perl modules which provide an API to WWW search engines
 WWW::Search is a collection of Perl modules which provide an API to
 various WWW search engines.  The list changes too frequently to keep
 up to date.

Package: libwww-shorten-5gp-perl
Description-md5: ea377d7ea69f63a49464734946d0a3ba
Description-en: Perl library for short URLs using http://5.gp
 WWW::Shorten::5gp provides a Perl interface to the http://5.gp URL-shortening
 web site.
 .
 Short URLs are useful when one wants to send a link using a media that
 imposes size constraints.

Package: libwww-shorten-github-perl
Description-md5: 119a8013e4849e87ab6fd190635def78
Description-en: shorten GitHub URLs using GitHub's URL shortener
 This module provides a perl interface to GitHub's URL shortening
 service, git.io.
 .
 It allows you to shorten any GitHub URL, and also retrieve the original
 URL from a pre-shortened URL

Package: libwww-shorten-perl
Description-md5: 62778d3f61cfd50f923eb7584b6d824a
Description-en: interface to URL shortening sites
 WWW::Shorten contains a variety of modules to interface with many URL
 shortening sites. Each site maintains a database of long URLs, each of which
 has a unique identifier.

Package: libwww-shorten-simple-perl
Description-md5: 89b7f3d5e726dd91bce9fb981467779b
Description-en: factory wrapper around WWW::Shorten to avoid imports
 WWW::Shorten::Simple is a object oriented wrapper around WWW::Shorten
 so that you can create an object representing each URL shortening
 service, instead of importing link shortening functions into the
 namespace of your module.

Package: libwww-wikipedia-perl
Description-md5: 750dbba13fea5aa380feadc75d970ad9
Description-en: perl module that provides an automated interface to Wikipedia
 WWW::Wikipedia provides an automated interface to Wikipedia
 http://www.wikipedia.org, which is a free, collaborative, online
 encyclopedia. WWW::Wikipedia allows you to search for a topic and return the
 resulting entry. It also gives you access to related topics which are also
 available via the Wikipedia for that entry.

Package: libwww-youtube-download-perl
Description-md5: 58571ebea019997fe3d8ea622cff524a
Description-en: module for downloading video from YouTube
 WWW::YouTube::Download is a Perl module that provides a basic interface to
 YouTube's video sharing service. It enables developers to programmatically
 retrieve information (titles and format information), and more importantly,
 to download arbitrary videos.

Package: libwwwbrowser-perl
Description-md5: 134cfa300dead370c90690608067247c
Description-en: Platform independent means to start a WWW browser
 WWWBrowser is a Perl module which starts a web browser, in the background for
 X11, with a specified URL.  Options exist to use a user-specified browser,
 including text browsers, which are started in a terminal window.

Package: libwx-glcanvas-perl
Description-md5: 54cec8d0e6d99e1fe0a69a8fda483d6d
Description-en: Perl interface to wxWidgets' OpenGL canvas
 Wx::GLCanvas is an extension module allowing the integration of an
 OpenGL Canvas in Perl programs using the wxWidgets toolkit. It does so
 by wrapping the wxWidgets wxGLCanvas class.

Package: libwx-perl
Description-md5: af1e5ac95e50361f41b69512789388f3
Description-en: interface to wxWidgets cross-platform GUI toolkit
 wxPerl is an extension module allowing the creation of GUI (Graphical
 User Interface) Perl programs using the wxWidgets toolkit. It serves as a Perl
 wrapper around wxWidgets.

Package: libwx-perl-datawalker-perl
Description-md5: e05dd29118601d223a132090780e99f9
Description-en: Perl data structure browser
 Wx::Perl::DataWalker implements a Wx::Frame subclass that shows a relatively
 simple Perl data structure browser. After opening such a frame and supplying
 it with a reference to an essentially arbitrary data structure, you can
 visually browse it by double-clicking references.
 .
 So far, there is no tree view but only a display of the current level of the
 data structure. You can traverse back up the structure with a back button.
 .
 Optionally, Wx::Perl::DataWalker displays the (approximate!) size of the data
 structure using Devel::Size.

Package: libwx-perl-processstream-perl
Description-md5: 2f5ab71b0b24a06a8788857e30a26773
Description-en: Wx::Perl module to access IO of external processes via events
 Wx::Perl::ProcessStream provides the STDOUT, STDERR and exit codes of
 asynchronously running processes via events. It may be used for long running
 or blocking processes that provide periodic updates on state via STDOUT.
 Simple IPC is possible via STDIN.

Package: libwx-scintilla-perl
Description-md5: b56998077a62e0d9a1694d8bfb6997d5
Description-en: source code editor component for wxWidgets
 Wx::Scintilla Perl module is a Wx wrapper around scintilla editor.
 .
 Wx::Scintilla project goal is to provide fresh Perl 5 and maybe Perl 6
 support in Wx while preserving compatibility with Wx::StyledTextCtrl.
 .
 Note: You cannot load Wx::STC and Wx::Scintilla in the same application. They
 are mutually exclusive. The wxSTC_... events are handled by one library or
 the other.

Package: libwxbase3.0-0v5
Description-md5: 8d14d6805345a163912bd5a462d1916d
Description-en: wxBase library (runtime) - non-GUI support classes of wxWidgets toolkit
 wxBase is a collection of C++ classes providing basic data structures
 (strings, lists, arrays), powerful wxDateTime class for date manipulations,
 portable wrappers around many OS-specific functions allowing the same
 program to work for all supported platforms, wxThread class for writing
 multithreaded programs, and much more.
 .
 wxBase currently supports the following platforms: Generic Unix (Linux,
 FreeBSD, Solaris, HP-UX, ...), Microsoft Windows, and Mac OS X.
 .
 This package is only useful for non-GUI apps.  It offers a subset of the
 classes in libwx_gtk3.0 for use in console apps and daemons.  You do not need
 this package to build or use wxWidgets GUI apps.

Package: libwxbase3.0-dev
Description-md5: dd37b29e987748ac37824bc755a17984
Description-en: wxBase library (development) - non-GUI support classes of wxWidgets toolkit
 wxBase is a collection of C++ classes providing basic data structures
 (strings, lists, arrays), powerful wxDateTime class for date manipulations,
 portable wrappers around many OS-specific functions allowing the same
 program to work for all supported platforms, wxThread class for writing
 multithreaded programs, and much more.
 .
 wxBase currently supports the following platforms: Generic Unix (Linux,
 FreeBSD, Solaris, HP-UX, ...), Microsoft Windows, and Mac OS X.
 .
 This package provides the files needed to compile apps using the wxBase
 library.

Package: libwxgtk-media3.0-gtk3-0v5
Description-md5: ecc1c5fca9ad287c17510c92b811f9f2
Description-en: wxWidgets Cross-platform C++ GUI toolkit (GTK 3 media library runtime)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides the media shared libraries needed to run programs linked
 against libwx_gtk3u_media.

Package: libwxgtk-media3.0-gtk3-dev
Description-md5: 3d962ee1ab7f78a21f653515d062bb7a
Description-en: wxWidgets Cross-platform C++ GUI toolkit (GTK 3 media library development)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides files needed to compile wxWidgets programs using the
 wxMediaCtrl class.

Package: libwxgtk-webview3.0-gtk3-0v5
Description-md5: 4093ef60b236a28c6b4bbca33d58a287
Description-en: wxWidgets Cross-platform C++ GUI toolkit (GTK 3 webview library runtime)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides the media shared libraries needed to run programs linked
 against libwx_gtk3u_webview.

Package: libwxgtk-webview3.0-gtk3-dev
Description-md5: 4e6c8b3a86552dccae05e1bdd676e7cb
Description-en: wxWidgets Cross-platform C++ GUI toolkit (GTK 3 webview library development)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides files needed to compile wxWidgets programs using the
 wxWebView class.

Package: libwxgtk3.0-gtk3-0v5
Description-md5: 64135311844b4037159e36564d99f7b2
Description-en: wxWidgets Cross-platform C++ GUI toolkit (GTK 3 runtime)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides the shared libraries needed to run programs linked
 against wxWidgets.  To use the (optional) glcanvas library you will need
 to have Mesa or OpenGL installed.

Package: libwxgtk3.0-gtk3-dev
Description-md5: d534ededd8815f9352ef62488eb48d1b
Description-en: wxWidgets Cross-platform C++ GUI toolkit (GTK 3 development)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides files needed to compile wxWidgets programs.  If you
 want to compile apps using the (optional) glcanvas library you will need
 to have Mesa or OpenGL installed too.
 .
 This package also provides the wx-config script.

Package: libwxsmithlib-dev
Description-md5: ec5c20a9093f7298058d2e8aceba9af7
Description-en: wxSmith development files (Code::Blocks plugin for RAD GUI editing)
 Code::Blocks is a cross-platform Integrated Development Environment (IDE).
 It is based on a self-developed plugin framework allowing unlimited
 extensibility. Most of its functionality is already provided by plugins.
 Plugins included in the base package are:
    * Compiler frontend to many free compilers
    * Debugger frontend for GDB
    * Source formatter (based on AStyle)
    * Wizard to create new C++ classes
    * Code-completion / symbols-browser (work in progress)
    * Default MIME handler
    * Wizard to create new Code::Blocks plugins
    * To-do list
    * Extensible wizard based on scripts
    * Autosave (saves your work in the unfortunate case of a crash)
 .
 This package contains the wxSmith development libraries and headers.

Package: libwxsmithlib0
Description-md5: 0e189ac9dd10b3edc5bd71118f108eeb
Description-en: wxSmith shared library (Code::Blocks plugin for RAD GUI editing)
 Code::Blocks is a cross-platform Integrated Development Environment (IDE).
 It is based on a self-developed plugin framework allowing unlimited
 extensibility. Most of its functionality is already provided by plugins.
 Plugins included in the base package are:
    * Compiler frontend to many free compilers
    * Debugger frontend for GDB
    * Source formatter (based on AStyle)
    * Wizard to create new C++ classes
    * Code-completion / symbols-browser (work in progress)
    * Default MIME handler
    * Wizard to create new Code::Blocks plugins
    * To-do list
    * Extensible wizard based on scripts
    * Autosave (saves your work in the unfortunate case of a crash)
 .
 This package contains the wxSmith shared library.

Package: libwxsqlite3-3.0-0
Description-md5: d74f6e69705efe9b73b71b6ea1c78fb1
Description-en: SQLite3 C++ wrapper for use in programs based on the wxWidgets
 wxSQLite3 is a C++ wrapper around the public domain SQLite 3.x database
 and is specifically designed for use in programs based on the wxWidgets
 3.0 library.
 .
 wxSQLite3 does not try to hide the underlying database, in contrary
 almost all special features of the current SQLite3 version 3.7.4 are
 supported, like for example the creation of user defined scalar or
 aggregate functions.
 .
 This package provides the shared libraries for use with wxWidgets 3.0 .

Package: libwxsqlite3-3.0-dev
Description-md5: 247356d40b303ec0106a717906b12bee
Description-en: Development files for wxSQLite3
 wxSQLite3 is a C++ wrapper around the public domain SQLite 3.x database
 and is specifically designed for use in programs based on the wxWidgets
 3.0 library.
 .
 This package contains the development files for wxSQLite3.

Package: libwxsvg-dev
Description-md5: bf92691646fd55a30563b32ef48f4555
Description-en: Development files for wxSVG
 wxSVG is a C++ library to create, manipulate and render
 Scalable Vector Graphics (SVG) files with the wxWidgets
 toolkit.
 .
 This package contains the development files.

Package: libwxsvg-tools
Description-md5: 5f6961d2fc6d2d364359938907a29524
Description-en: SVG library for the wxWidgets toolkit (tools)
 wxSVG is a C++ library to create, manipulate and render
 Scalable Vector Graphics (SVG) files with the wxWidgets
 toolkit.
 .
 This package contains the svgviewer tool

Package: libwxsvg3
Description-md5: eb1284effdbbec0d3df224214bb5c395
Description-en: SVG library for the wxWidgets toolkit
 wxSVG is a C++ library to create, manipulate and render
 Scalable Vector Graphics (SVG) files with the wxWidgets
 toolkit.
 .
 This package contains the shared library built using gtk2

Package: libx11-freedesktop-desktopentry-perl
Description-md5: 34115a56bfc8639233a1483144121e05
Description-en: perl interface to Freedesktop.org .desktop files
 X11::FreeDesktop::DesktopEntry provides an object-oriented interface to
 files that comply with the Freedesktop.org desktop entry specification.
 You can query the file for available values and also get locale
 information as well.

Package: libx11-guitest-perl
Description-md5: cfc0ba3b3bcc0dc03a787d6ab94bf7b3
Description-en: collection of functions for X11 GUI testing/interaction
 The X11::GUITest module is intended to facilitate the testing of GUI
 applications by means of user emulation. It can be used to test/interact
 with GUI applications; which have been built upon the X library or
 toolkits (i.e., GTK+, Xt, Qt, Motif, etc.) that "wrap" the X
 library's functionality.

Package: libx11-keyboard-perl
Description-md5: 9710544ff276758f19b73d536a5a1519
Description-en: keyboard support functions for X11
 X11::Keyboard is meant to provide access to the keyboard functions of X11.
 .
 Whilst the functions names are essentially identical to those used in xlib
 (minus the prepended X), the parameter lists and return values are different
 as specified in the shipped with X11::Keyboard man page.

Package: libx11-protocol-other-perl
Description-md5: 0f2bb69ab60808c344d1b0ea572751df
Description-en: miscellaneous X11::Protocol helpers
 X11::Protocol::Other provides some miscellaneous extras and helpers for
 X11::Protocol. Modules provided are:
 .
  - X11::Protocol::Other - misc helpers
  - X11::Protocol::ChooseWindow - user click on window
  - X11::Protocol::GrabServer - oop block scope server grab
  - X11::Protocol::WM - window manager things
  - X11::Protocol::XSetRoot - set root window background
  - X11::AtomConstants - atoms
  - X11::CursorFont - cursor names
  - Encode::X11 - compound text encode/decode
  - X11::Protocol::Ext::Composite - obscured window content
  - X11::Protocol::Ext::DAMAGE - window/pixmap content changes
  - X11::Protocol::Ext::DOUBLE_BUFFER - off-screen swapped drawing
  - X11::Protocol::Ext::MIT_SCREEN_SAVER - external screen saver
  - X11::Protocol::Ext::MIT_SHM - images through shared memory
  - X11::Protocol::Ext::MIT_SUNDRY_NONSTANDARD - old bug mode
  - X11::Protocol::Ext::TOG_CUP - colormap helpers
  - X11::Protocol::Ext::XFree86_DGA - direct video RAM access
  - X11::Protocol::Ext::XFIXES - various regions, cursors, selection events
  - X11::Protocol::Ext::XINERAMA.pm - multiple monitors as one big screen
  - X11::Protocol::Ext::X_Resource - server usage statistics

Package: libx11-windowhierarchy-perl
Description-md5: 20aa56477ed648d4d08a2183fc7812d6
Description-en: Perl module for retrieving the current X11 window hierarchy
 X11::WindowHierarchy provides a couple of helper functions based on
 X11::Protocol for extracting the current window hierarchy. You can easily get
 the whole window tree, or search for specific windows.

Package: libx11-xcb-perl
Description-md5: e5e1553136304c736dcfd92f10399ffe
Description-en: perl bindings for libxcb
 X11::XCB is a Perl module which wraps libxcb, a library to talk the X11
 protocol.
 .
 Please note that its aim is NOT to provide yet another toolkit for creating
 graphical applications. It is a low-level method of communicating with X11.
 Use cases include testcases for all kinds of X11 applications, implementing
 really simple applications which do not require an graphical toolkit (such as
 GTK+, QT, etc.) or command-line utilities which communicate with X11.

Package: libx12-parser-perl
Description-md5: eaa63e179211503a06b690250876eed2
Description-en: Perl module for parsing X12 Transaction files
 The X12::Parser is a token based parser for parsing X12 transaction files.
 The parsing of transaction files requires the presence of configuration files
 for the different transaction types.
 .
 The following methods are available: new, parsefile, parse, closefile,
 get_next_loop, get_loop_segments, get_next_pos_loop,get_next_pos_level_loop,
 print_tree, get_segment_separator, and get_segment_separator.

Package: libx264-155
Description-md5: 26cb82f3b1d51b9e59d296e836c5d5ea
Description-en: x264 video coding library
 libx264 is an advanced encoding library for creating H.264 (MPEG-4 AVC)
 video streams.
 .
 This package contains the libx264 shared library.

Package: libx264-dev
Description-md5: c388be3f239b40b3671f263fd2b72600
Description-en: development files for libx264
 libx264 is an advanced encoding library for creating H.264 (MPEG-4 AVC)
 video streams.
 .
 This package contains the static library and headers used to build programs
 that use libx264.

Package: libx265-179
Description-md5: 9a85cf11805d7cd3e922b7e2ad8ea348
Description-en: H.265/HEVC video stream encoder (shared library)
 libx265 is an encoding library for creating H.265/High Efficiency Video Coding
 (HEVC) video streams.
 .
 This package contains the shared library.

Package: libx265-dev
Description-md5: 8b1b41e7bb4b748be95bef53ac9409e5
Description-en: H.265/HEVC video stream encoder (development files)
 libx265 is an encoding library for creating H.265/High Efficiency Video Coding
 (HEVC) video streams.
 .
 This is the development package which contains headers and libraries for
 libx265.

Package: libx265-doc
Description-md5: f02a5d6ad4a4e858378afb25d09a9290
Description-en: H.265/HEVC video stream encoder (documentation)
 libx265 is an encoding library for creating H.265/High Efficiency Video Coding
 (HEVC) video streams.
 .
 This package contains documentation for the command line encoder and the
 shared library.

Package: libx2go-config-perl
Description-md5: 5187b86fc4048362013602ddc94058fe
Description-en: Perl X2Go::Config package
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 This package contains the X2Go::Config Perl package.

Package: libx2go-log-perl
Description-md5: d6af46b3bd750d3defbc53a3f3fd2687
Description-en: Perl X2Go::Log package
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 This package contains the X2Go::Log Perl package.

Package: libx2go-server-db-perl
Description-md5: 15aed091ed575478328ee385f186cbeb
Description-en: Perl X2Go::Server:DB package
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 This package contains the X2Go::Server::DB Perl package.

Package: libx2go-server-perl
Description-md5: 5da3fde982aa1f1fe59cb59bffdaf5f2
Description-en: Perl X2Go::Server package
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 This package contains the X2Go::Server Perl package.

Package: libx2go-utils-perl
Description-md5: 06dd53055d589bac870a76b897c7790d
Description-en: Perl X2Go::Utils package
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 This package contains the X2Go::Utils Perl package.

Package: libx32asan4
Description-md5: 51a639c3760e456ac48834fb47045c42
Description-en: AddressSanitizer -- a fast memory error detector (x32)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libx32asan5-amd64-cross
Description-md5: 51a639c3760e456ac48834fb47045c42
Description-en: AddressSanitizer -- a fast memory error detector (x32)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libx32asan5-i386-cross
Description-md5: 51a639c3760e456ac48834fb47045c42
Description-en: AddressSanitizer -- a fast memory error detector (x32)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libx32asan6-amd64-cross
Description-md5: 51a639c3760e456ac48834fb47045c42
Description-en: AddressSanitizer -- a fast memory error detector (x32)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libx32asan6-i386-cross
Description-md5: 51a639c3760e456ac48834fb47045c42
Description-en: AddressSanitizer -- a fast memory error detector (x32)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libx32atomic1-amd64-cross
Description-md5: 17429d2d39217f0a95507decf171c10f
Description-en: support library providing __atomic built-in functions (x32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libx32atomic1-i386-cross
Description-md5: 17429d2d39217f0a95507decf171c10f
Description-en: support library providing __atomic built-in functions (x32)
 library providing __atomic built-in functions. When an atomic call cannot
 be turned into lock-free instructions, GCC will make calls into this library.

Package: libx32cilkrts5
Description-md5: be40389da3c3f2332e113477a1f68923
Description-en: Intel Cilk Plus language extensions (x32)
 Intel Cilk Plus is an extension to the C and C++ languages to support
 data and task parallelism.

Package: libx32gcc-10-dev-amd64-cross
Description-md5: df49fd36cacd441ececa361c98eaaa4a
Description-en: GCC support library (x32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libx32gcc-10-dev-i386-cross
Description-md5: df49fd36cacd441ececa361c98eaaa4a
Description-en: GCC support library (x32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libx32gcc-7-dev
Description-md5: df49fd36cacd441ececa361c98eaaa4a
Description-en: GCC support library (x32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libx32gcc-8-dev
Description-md5: df49fd36cacd441ececa361c98eaaa4a
Description-en: GCC support library (x32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libx32gcc-8-dev-amd64-cross
Description-md5: df49fd36cacd441ececa361c98eaaa4a
Description-en: GCC support library (x32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libx32gcc-8-dev-i386-cross
Description-md5: df49fd36cacd441ececa361c98eaaa4a
Description-en: GCC support library (x32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libx32gcc-9-dev-amd64-cross
Description-md5: df49fd36cacd441ececa361c98eaaa4a
Description-en: GCC support library (x32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libx32gcc-9-dev-i386-cross
Description-md5: df49fd36cacd441ececa361c98eaaa4a
Description-en: GCC support library (x32 development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libx32gcc-s1-amd64-cross
Description-md5: 556068bbd72a9d61bacd43322c3826f5
Description-en: GCC support library (amd64) (x32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libx32gcc-s1-i386-cross
Description-md5: 0a83617569fda4f6b4128b3fda8103d8
Description-en: GCC support library (i386) (x32)
 Shared version of the support library, a library of internal subroutines
 that GCC uses to overcome shortcomings of particular machines, or
 special needs for some languages.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libx32gfortran-10-dev
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran-10-dev-amd64-cross
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran-10-dev-i386-cross
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran-7-dev
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran-8-dev
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran-8-dev-amd64-cross
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran-8-dev-i386-cross
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran-9-dev-amd64-cross
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran-9-dev-i386-cross
Description-md5: aae616b6d05c4081844ad0d321e187db
Description-en: Runtime library for GNU Fortran applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libx32gfortran4
Description-md5: 3c011e928f9c344ce5ade56af0ec77b2
Description-en: Runtime library for GNU Fortran applications (x32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libx32gfortran5-amd64-cross
Description-md5: 3c011e928f9c344ce5ade56af0ec77b2
Description-en: Runtime library for GNU Fortran applications (x32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libx32gfortran5-i386-cross
Description-md5: 3c011e928f9c344ce5ade56af0ec77b2
Description-en: Runtime library for GNU Fortran applications (x32)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libx32go-10-dev-amd64-cross
Description-md5: e36fc6f7d9da2b503ade97820475f44a
Description-en: Runtime library for GNU Go applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libx32go-10-dev-i386-cross
Description-md5: e36fc6f7d9da2b503ade97820475f44a
Description-en: Runtime library for GNU Go applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libx32go-9-dev-amd64-cross
Description-md5: e36fc6f7d9da2b503ade97820475f44a
Description-en: Runtime library for GNU Go applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libx32go-9-dev-i386-cross
Description-md5: e36fc6f7d9da2b503ade97820475f44a
Description-en: Runtime library for GNU Go applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU Go applications.

Package: libx32go11
Description-md5: 274d67a4807b2168ae6370f3e1f6a565
Description-en: Runtime library for GNU Go applications (x32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libx32go13
Description-md5: 274d67a4807b2168ae6370f3e1f6a565
Description-en: Runtime library for GNU Go applications (x32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libx32go13-amd64-cross
Description-md5: 274d67a4807b2168ae6370f3e1f6a565
Description-en: Runtime library for GNU Go applications (x32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libx32go13-i386-cross
Description-md5: 274d67a4807b2168ae6370f3e1f6a565
Description-en: Runtime library for GNU Go applications (x32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libx32go14-amd64-cross
Description-md5: 274d67a4807b2168ae6370f3e1f6a565
Description-en: Runtime library for GNU Go applications (x32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libx32go14-i386-cross
Description-md5: 274d67a4807b2168ae6370f3e1f6a565
Description-en: Runtime library for GNU Go applications (x32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libx32go16-amd64-cross
Description-md5: 274d67a4807b2168ae6370f3e1f6a565
Description-en: Runtime library for GNU Go applications (x32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libx32go16-i386-cross
Description-md5: 274d67a4807b2168ae6370f3e1f6a565
Description-en: Runtime library for GNU Go applications (x32)
 Library needed for GNU Go applications linked against the
 shared library.

Package: libx32gomp1-amd64-cross
Description-md5: 1388436f97e9425feb598766343a05b7
Description-en: GCC OpenMP (GOMP) support library (x32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libx32gomp1-i386-cross
Description-md5: 1388436f97e9425feb598766343a05b7
Description-en: GCC OpenMP (GOMP) support library (x32)
 GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers
 in the GNU Compiler Collection.

Package: libx32gphobos-10-dev
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-10-dev-amd64-cross
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-10-dev-i386-cross
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-7-dev
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-8-dev
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-8-dev-amd64-cross
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-8-dev-i386-cross
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-9-dev
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-9-dev-amd64-cross
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos-9-dev-i386-cross
Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca
Description-en: Phobos D standard library (x32 development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos1
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos1-amd64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos1-i386-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos71
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos76
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos76-amd64-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32gphobos76-i386-cross
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libx32itm1-amd64-cross
Description-md5: 60c3549c857ee22163b3a82b265880de
Description-en: GNU Transactional Memory Library (x32)
 This manual documents the usage and internals of libitm. It provides
 transaction support for accesses to the memory of a process, enabling
 easy-to-use synchronization of accesses to shared memory by several threads.

Package: libx32itm1-i386-cross
Description-md5: 60c3549c857ee22163b3a82b265880de
Description-en: GNU Transactional Memory Library (x32)
 This manual documents the usage and internals of libitm. It provides
 transaction support for accesses to the memory of a process, enabling
 easy-to-use synchronization of accesses to shared memory by several threads.

Package: libx32lsan0
Description-md5: e55556fa790743d4c47d82cff1e0e15d
Description-en: LeakSanitizer -- a memory leak detector (x32)
 LeakSanitizer (Lsan) is a memory leak detector which is integrated
 into AddressSanitizer (empty package).

Package: libx32lsan0-amd64-cross
Description-md5: e55556fa790743d4c47d82cff1e0e15d
Description-en: LeakSanitizer -- a memory leak detector (x32)
 LeakSanitizer (Lsan) is a memory leak detector which is integrated
 into AddressSanitizer (empty package).

Package: libx32objc-10-dev
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-10-dev-amd64-cross
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-10-dev-i386-cross
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-7-dev
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-8-dev
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-8-dev-amd64-cross
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-8-dev-i386-cross
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-9-dev
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-9-dev-amd64-cross
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc-9-dev-i386-cross
Description-md5: f7dcb47d6608005e0ecbde60e08685b0
Description-en: Runtime library for GNU Objective-C applications (x32 development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libx32objc4
Description-md5: e253306fc795d9cc9e7c2acac0d2ad1b
Description-en: Runtime library for GNU Objective-C applications (x32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libx32objc4-amd64-cross
Description-md5: e253306fc795d9cc9e7c2acac0d2ad1b
Description-en: Runtime library for GNU Objective-C applications (x32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libx32objc4-i386-cross
Description-md5: e253306fc795d9cc9e7c2acac0d2ad1b
Description-en: Runtime library for GNU Objective-C applications (x32)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libx32quadmath0-amd64-cross
Description-md5: 514c6a5cffd4febce8121f2d08419504
Description-en: GCC Quad-Precision Math Library (x32)
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: libx32quadmath0-i386-cross
Description-md5: 514c6a5cffd4febce8121f2d08419504
Description-en: GCC Quad-Precision Math Library (x32)
 A library, which provides quad-precision mathematical functions on targets
 supporting the __float128 datatype. The library is used to provide on such
 targets the REAL(16) type in the GNU Fortran compiler.

Package: libx32stdc++-10-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libx32stdc++-10-dev-amd64-cross
Description-md5: 6d3f657fb824f1fb9fc979f0e961ef54
Description-en: GNU Standard C++ Library v3 (development files) (amd64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libx32stdc++-10-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libx32stdc++-7-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libx32stdc++-8-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libx32stdc++-8-dev-amd64-cross
Description-md5: 6d3f657fb824f1fb9fc979f0e961ef54
Description-en: GNU Standard C++ Library v3 (development files) (amd64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libx32stdc++-8-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libx32stdc++-9-dev-amd64-cross
Description-md5: 6d3f657fb824f1fb9fc979f0e961ef54
Description-en: GNU Standard C++ Library v3 (development files) (amd64)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libx32stdc++-9-dev-i386-cross
Description-md5: 330be67b9d0f009446721e88125fc019
Description-en: GNU Standard C++ Library v3 (development files) (i386)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libx32stdc++6-10-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libx32stdc++6-10-dbg-amd64-cross
Description-md5: 07c21f492e3f02130fb6a3185fa7511a
Description-en: GNU Standard C++ Library v3 (debug build) (amd64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libx32stdc++6-10-dbg-i386-cross
Description-md5: e54d2e29375497ecd66b27e9274a07a0
Description-en: GNU Standard C++ Library v3 (debug build) (i386)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libx32stdc++6-7-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libx32stdc++6-8-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libx32stdc++6-8-dbg-amd64-cross
Description-md5: 07c21f492e3f02130fb6a3185fa7511a
Description-en: GNU Standard C++ Library v3 (debug build) (amd64)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libx32stdc++6-8-dbg-i386-cross
Description-md5: e54d2e29375497ecd66b27e9274a07a0
Description-en: GNU Standard C++ Library v3 (debug build) (i386)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libx32stdc++6-9-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libx32stdc++6-amd64-cross
Description-md5: 0b4f943ad6f8520cd48bff4cb3ac380c
Description-en: GNU Standard C++ Library v3 (amd64) (x32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for amd64 architecture, for use in cross-compile
 environment.

Package: libx32stdc++6-i386-cross
Description-md5: 3c4511ad1b073e393a184645c27951d1
Description-en: GNU Standard C++ Library v3 (i386) (x32)
 This package contains an additional runtime library for C++ programs
 built with the GNU compiler.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.
 .
 This package contains files for i386 architecture, for use in cross-compile
 environment.

Package: libx32ubsan0
Description-md5: 1e3c22c974d49dfa0d90d25dc725cf74
Description-en: UBSan -- undefined behaviour sanitizer (x32)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libx32ubsan1-amd64-cross
Description-md5: 1e3c22c974d49dfa0d90d25dc725cf74
Description-en: UBSan -- undefined behaviour sanitizer (x32)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libx32ubsan1-i386-cross
Description-md5: 1e3c22c974d49dfa0d90d25dc725cf74
Description-en: UBSan -- undefined behaviour sanitizer (x32)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: libx500-dn-perl
Description-md5: fefee46ccfc26db8a893f6deeadb99ca
Description-en: Perl parser and formatter for RFC 2253 style DN strings
 X500::DN handles X.500 DNs (Distinguished Names). Currently, it parses DN
 strings formatted according to RFC 2253 syntax into an internal format and
 produces RFC 2253 formatted string from it.
 .
 X500::RDN handles X.500 RDNs (Relative Distinguished Names). This is a
 supporting module for X500::DN.

Package: libx52pro-dev
Description-md5: fb54c04a8b59868d284f8d4f149f19c8
Description-en: MFD and LED library for Saitek X52pro joysticks - dev files
 libx52pro is a library designed to support Saitek X52 and X52pro HOTAS
 joystick.
 Library does not deal with the HID part of the joystick since this
 feature is already fully supported by the Linux kernel 2.6.x
 .
 This package contains development files.

Package: libx52pro0
Description-md5: 963a67b001bf36d6f613ca49ff92aedd
Description-en: MFD and LED library for  Saitek x52pro joysticks
 libx52pro is a library designed to support MFD and LED found on
 Saitek X52  and X52pro joystick.
 Library does not deal with the HID part of the joystick since this
 feature is already fully supported by the Linux kernel 2.6.x.
 .
 This package also includes x52output utility, that can be used for
 scripting purposes.

Package: libx86-1
Description-md5: 1f1d01fce5eb3b4aedab0103a3f4bcdc
Description-en: x86 real-mode library
 A library to provide support for making real-mode calls x86 calls. On x86
 hardware, vm86 mode is used. On other platforms, x86 emulation is provided.
 .
 This package contains the shared library.

Package: libx86-dev
Description-md5: 5a03e1cc60bcf7a789f71348ff2b423f
Description-en: x86 real-mode library - development files
 A library to provide support for making real-mode calls x86 calls. On x86
 hardware, vm86 mode is used. On other platforms, x86 emulation is provided.
 .
 This package contains the development files for libx86.

Package: libx86emu-dev
Description-md5: ec2c3ae18ccfaeb5aa86ac8fcb0be6a7
Description-en: x86 emulation library (development files)
 Small x86 emulation library with focus of easy usage and
 extended execution logging functions. The library features
 an API to create emulation objects for x86 architecture.
 .
 This package contains the development files.

Package: libx86emu2
Description-md5: 8d59e1cbebe9ecb31b641ea874fd2a2f
Description-en: x86 emulation library
 Small x86 emulation library with focus of easy usage and
 extended execution logging functions. The library features
 an API to create emulation objects for x86 architecture.
 .
 This package contains the library.

Package: libxalan-c-dev
Description-md5: 41ecad74f9e695a886d5639fe71a22a1
Description-en: XSLT processor library for C++ [development]
 This package provides development headers needed to develop applications
 that use the Xalan XSLT processing library from the Apache Software
 Foundation.

Package: libxalan-c-doc
Description-md5: 95decb9d3da302fd2300c0a9ec052401
Description-en: XSLT processor library for C++ [development docs]
 This package contains the API documentation and programming reference
 .
 Users of applications that rely on Xalan will not need to install this,
 however developers of applications that rely on Xalan may find this
 package helpful.

Package: libxalan-c111
Description-md5: 2a8e4fe6fa1b68a652adee856fdf196e
Description-en: XSLT processor library for C++
 This package provides the runtime library for Xalan, the XSLT processor
 from the Apache Software Foundation.  This library provides support for
 applications to transform XML documents to other formats using XSLT
 templates.

Package: libxalan2-java
Description-md5: 3986b31a891090feaaaccd6e47cab52a
Description-en: XSL Transformations (XSLT) processor in Java
 Xalan-Java is an XSLT processor for transforming XML documents into HTML,
 text, or other XML document types. It implements the W3C Recommendations for
 XSL Transformations (XSLT) and the XML Path Language (XPath). It can be used
 from the command line, in an applet or a servlet, or as a module in other
 programs.

Package: libxalan2-java-doc
Description-md5: 366dcca312dfffbb40422250be7acdaa
Description-en: Documentation and examples for the Xalan-Java XSLT processor
 Xalan-Java is an XSLT processor for transforming XML documents into HTML,
 text, or other XML document types. It implements the W3C Recommendations for
 XSL Transformations (XSLT) and the XML Path Language (XPath). It can be used
 from the command line, in an applet or a servlet, or as a module in other
 programs.
 .
 This package contains documentation in HTML format and some Java source
 code examples.

Package: libxapian-dev
Description-md5: be6758fb868bceeed72f590afe9bb6b4
Description-en: Development files for Xapian search engine library
 This package contains development libraries and headers for the core Xapian
 library.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.

Package: libxapian-java
Description-md5: 3bed1a29c5f18bfc0c1681723bb209cb
Description-en: Xapian search engine interface for Java
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
 .
 The Xapian Java bindings provide an interface to the Xapian library from
 Java, allowing both indexing and retrieval operations.
 .
 This package contains the architecture-independent part of the Xapian Java
 bindings.

Package: libxapian-java-doc
Description-md5: ad1298dbdedd7084902cfa54b49950ea
Description-en: Xapian search engine interface for Java (documentation)
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
 .
 The Xapian Java bindings provide an interface to the Xapian library from
 Java, allowing both indexing and retrieval operations.
 .
 This package contains the documentation for the Xapian Java bindings.

Package: libxapian-jni
Description-md5: 3722ed5c9f5ca7806edd4883f1813d2e
Description-en: Xapian search engine interface for Java (JNI library)
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
 .
 The Xapian Java bindings provide an interface to the Xapian library from
 Java, allowing both indexing and retrieval operations.
 .
 This package contains the architecture-dependent part of the Xapian Java
 bindings.

Package: libxapian30
Description-md5: fe05dace1ac9262883532dcc501c8507
Description-en: Search engine library
 This package contains the core Xapian runtime library.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.

Package: libxapp-dev
Description-md5: 2376479c2445234a433b46a7192bcd8d
Description-en: XApp library - development files
 Xapp provides components which are common to multiple desktop environments
 and required to implement cross-DE solutions.
 .
 This package provides the include files and static library for the Xapp
 library functions.

Package: libxapp1
Description-md5: 825d4543b4fcd99c7608960d2030c05e
Description-en: XApp library
 Xapp provides components which are common to multiple desktop environments
 and required to implement cross-DE solutions.
 .
 This library is used by several Xapp apps.

Package: libxaw3dxft6
Description-md5: 85c65e71c8385e95096b6b8db60ace5a
Description-en: An extended version of Xaw3d with support for UTF8
 Xaw3dxft is an extended version of Xaw3d, developed as part of xpaint
 with support for UTF8 input and UTF8 encoding of text, and rendering
 text with the Freetype library and Truetype fonts.
 .
 It should be mostly compatible with the original Xaw3d library,
 except for font management: everything using the old X11 core
 font routines should be replaced by their freetype equivalents.

Package: libxaw3dxft8
Description-md5: f303bb8321b96810c235a91eef4858bd
Description-en: Extended version of Xaw3d with support for UTF8
 Xaw3dxft is an extended version of Xaw3d, developed as part of xpaint
 with support for UTF8 input and UTF8 encoding of text, and rendering
 text with the Freetype library and Truetype fonts.
 .
 It should be mostly compatible with the original Xaw3d library,
 except for font management: everything using the old X11 core
 font routines should be replaced by their freetype equivalents.

Package: libxaw3dxft8-dev
Description-md5: 9e07d3c190d326dc6213343b0810f131
Description-en: Extended version of Xaw3d with support for UTF8 (Development files)
 Xaw3dxft is an extended version of Xaw3d, developed as part of xpaint
 with support for UTF8 input and UTF8 encoding of text, and rendering
 text with the Freetype library and Truetype fonts.
 .
 It should be mostly compatible with the original Xaw3d library,
 except for font management: everything using the old X11 core
 font routines should be replaced by their freetype equivalents.
 .
 This package contains the development files for libxaw3dxft.

Package: libxbae-dev
Description-md5: c4a69bfcf652ba2f60477e159a06e7a2
Description-en: Xbae Matrix Widget development package
 XbaeMatrix is a free Motif table widget which presents an editable array of
 string data to the user in a scrollable table similar to a spreadsheet. The
 rows and columns of the Matrix may optionally be labelled. A number of
 "fixed" and "trailing fixed" rows or columns may be specified.
 .
 This package provides the development library and headers.

Package: libxbae4m
Description-md5: 94da7034d557c60649aa5f4a7c4e35da
Description-en: Xbae Matrix Widget libraries
 XbaeMatrix is a free Motif table widget which presents an editable array of
 string data to the user in a scrollable table similar to a spreadsheet. The
 rows and columns of the Matrix may optionally be labelled. A number of
 "fixed" and "trailing fixed" rows or columns may be specified.
 .
 This package provides the C run-time form of the library, compiled against
 OpenMotif.

Package: libxbase64-1
Description-md5: f7745201e17a9a6ad6e7976a71958fab
Description-en: xbase compatible C++ class library (shared libraries)
 Xbase (formerly known as xdb, also formerly known as xBase) is a collection
 of specifiations, programs, utilities and a C++ class library for
 manipulating Xbase type datafiles and indices.
 .
 Xbase is useful for accessing data in legacy dBase 3 and 4 database files as
 well as a general light-weight database engine.  It includes support for
 DBF (dBase version 3 and 4) data files, NDX and NTX indexes, and DBT
 (dBase version 3 and 4).  It supports file and record locking under *nix
 OS's.
 .
 This package contains the shared libraries necessary to run programs linked
 against Xbase.  For documentation, please install the libxbase64-dev package.

Package: libxbase64-bin
Description-md5: a29d4ac14683aac2a3638b23721b49c6
Description-en: xbase compatible C++ class library (utilities)
 Xbase (formerly known as xdb, also formerly known as xBase) is a collection
 of specifiations, programs, utilities and a C++ class library for
 manipulating Xbase type datafiles and indices.
 .
 Xbase is useful for accessing data in legacy dBase 3 and 4 database files as
 well as a general light-weight database engine.  It includes support for
 DBF (dBase version 3 and 4) data files, NDX and NTX indexes, and DBT
 (dBase version 3 and 4).  It supports file and record locking under *nix
 OS's.
 .
 This package contains the utility files for Xbase distributed with Xbase.

Package: libxbase64-dev
Description-md5: dbe88b7264c76a3b37c54a410918ca68
Description-en: xbase compatible C++ class library (development files)
 Xbase (formerly known as xdb, also formerly known as xBase) is a collection
 of specifiations, programs, utilities and a C++ class library for
 manipulating Xbase type datafiles and indices.
 .
 Xbase is useful for accessing data in legacy dBase 3 and 4 database files as
 well as a general light-weight database engine.  It includes support for
 DBF (dBase version 3 and 4) data files, NDX and NTX indexes, and DBT
 (dBase version 3 and 4).  It supports file and record locking under *nix
 OS's.
 .
 This package contains development files for Xbase and documentation
 distributed with Xbase.

Package: libxbase64-doc
Description-md5: dba759835f8b8c0729abdfa88eafe534
Description-en: xbase compatible C++ class library (documentation)
 Xbase (formerly known as xdb, also formerly known as xBase) is a collection
 of specifiations, programs, utilities and a C++ class library for
 manipulating Xbase type datafiles and indices.
 .
 Xbase is useful for accessing data in legacy dBase 3 and 4 database files as
 well as a general light-weight database engine.  It includes support for
 DBF (dBase version 3 and 4) data files, NDX and NTX indexes, and DBT
 (dBase version 3 and 4).  It supports file and record locking under *nix
 OS's.
 .
 This package contains the documentation for Xbase distributed with Xbase.

Package: libxbean-java
Description-md5: 4e20fc84d5185eaed9c196b285d1ce59
Description-en: plugin based Java application server
 The goal of XBean project is to created a plugin based server analogous to
 Eclipse being a plugin based IDE. XBean will be able to discover, download and
 install server plugins from an Internet based repository. In addition, it
 include support for multiple IoC systems, support for running with no IoC
 system, JMX without JMX code, lifecycle and class loader management, and a rock
 solid Spring integration.

Package: libxbean-java-doc
Description-md5: 994474fe84ee10a73f0bc495ee8a3b03
Description-en: API documentation for XBean
 The goal of XBean project is to created a plugin based server analogous to
 Eclipse being a plugin based IDE. XBean will be able to discover, download and
 install server plugins from an Internet based repository. In addition, it
 include support for multiple IoC systems, support for running with no IoC
 system, JMX without JMX code, lifecycle and class loader management, and a rock
 solid Spring integration.
 .
 This package contains the API documentation for libxbean-java

Package: libxbean-reflect-java
Description-md5: 0580be61fe672af562a22cef4fcc84d2
Description-en: plugin based Java application server (xbean-reflect)
 The goal of XBean project is to created a plugin based server analogous to
 Eclipse being a plugin based IDE. XBean will be able to discover, download and
 install server plugins from an Internet based repository. In addition, it
 include support for multiple IoC systems, support for running with no IoC
 system, JMX without JMX code, lifecycle and class loader management, and a rock
 solid Spring integration.
 .
 This package contains the xbean-reflect module which provides very flexible
 ways to create objects and graphs of objects for DI frameworks.

Package: libxc-dev
Description-md5: 722cdc3bb5e4561e1598927e69cbf53a
Description-en: Library of Exchange-Correlation Functionals (development files)
 LibXC is a library of exchange-correlation (XC) functionals for
 density-functional theory (DFT). The aim is to provide a portable, well
 tested and reliable set of exchange and correlation functionals that
 can be used by other codes.
 .
 This package contains the static library, the C headers and the Fortran
 modules necessary for developers.

Package: libxc5
Description-md5: 29ed92bb563fd03154b41ed5651f27a0
Description-en: Library of Exchange-Correlation Functionals
 LibXC is a library of exchange-correlation (XC) functionals for
 density-functional theory (DFT). The aim is to provide a portable, well
 tested and reliable set of exchange and correlation functionals that
 can be used by other codes. Supported XC functionals include:
 .
  * Local Density Approximation (LDA) functionals including Slater exchange,
    and VWN, Perdew-Zunger or PADE correlation.
  * Generalized Gradient Approximation (GGA) XC functionals including PBE,
    PW91, BLYP, HCTH93, HCTH120, HCTH147, HCTH407, as well as B88 exchange and
    LYP or P86 correlation.
  * Hybrid XC functionals including B3LYP, PBE0, B97, X3LYP, BHANDH, HSE03
    and HSE06.
  * Meta-GGA XC functionals including TPSS, M05 and M06.

Package: libxcb-cursor-dev
Description-md5: 32406a6c033b130d09d718d205c03792
Description-en: utility libraries for X C Binding -- cursor, development files
 This package contains the header and library files needed to build software
 using libxcb-cursor, providing port of Xlib's libXcursor functions.
 .
 The xcb-util module provides a number of libraries which sit on top of libxcb,
 the core X protocol library, and some of the extension libraries. These
 experimental libraries provide convenience functions and interfaces which make
 the raw X protocol more usable. Some of the libraries also provide client-side
 code which is not strictly part of the X protocol but which have traditionally
 been provided by Xlib.

Package: libxcb-cursor0
Description-md5: 913bb1fef7bb663804d3cd90aa699dab
Description-en: utility libraries for X C Binding -- cursor
 This package contains the library files needed to run software using
 libxcb-cursor, providing port of Xlib's libXcursor functions.
 .
 The xcb-util module provides a number of libraries which sit on top of libxcb,
 the core X protocol library, and some of the extension libraries. These
 experimental libraries provide convenience functions and interfaces which make
 the raw X protocol more usable. Some of the libraries also provide client-side
 code which is not strictly part of the X protocol but which have traditionally
 been provided by Xlib.

Package: libxcb-imdkit-dev
Description-md5: a7de439e776f2934f114a932bf813f77
Description-en: XIM protocol implementation in XCB (development files)
 xcb-imdkit is an implementation of XIM protocol in XCB.
 Comparing with the implementation of IMDkit with Xlib and
 xim inside Xlib, it has less memory foot print, better performance
 and safer on malformed client.
 .
 It doesn't have complete protocol support though since some part of
 the protocol is never used.
 .
 This package provides development files of xcb-imdkit.

Package: libxcb-imdkit0
Description-md5: 34fc702888d3c88c473230cf42e180ce
Description-en: XIM protocol implementation in XCB
 xcb-imdkit is an implementation of XIM protocol in XCB.
 Comparing with the implementation of IMDkit with Xlib and
 xim inside Xlib, it has less memory foot print, better performance
 and safer on malformed client.
 .
 It doesn't have complete protocol support though since some part of
 the protocol is never used.
 .
 This package provides shared library of xcb-imdkit.

Package: libxcb-xrm-dev
Description-md5: 8f5c37c1192f5d0e803a3e7499552c45
Description-en: utility functions for the X resource manager, development files
 This package contains the header and library files needed to build software
 using libxcb-xrm, providing utility functions for the X resource manager.
 .
 The xcb-util module provides a number of libraries which sit on top of libxcb,
 the core X protocol library, and some of the extension libraries. These
 experimental libraries provide convenience functions and interfaces which make
 the raw X protocol more usable. Some of the libraries also provide client-side
 code which is not strictly part of the X protocol but which have traditionally
 been provided by Xlib.

Package: libxcb-xrm0
Description-md5: 2ab3eecf922dd3161429eb265aa85868
Description-en: utility functions for the X resource manager
 This package contains the library files needed to run software using
 libxcb-xrm, providing utility functions for the X resource manager.
 .
 The xcb-util module provides a number of libraries which sit on top of libxcb,
 the core X protocol library, and some of the extension libraries. These
 experimental libraries provide convenience functions and interfaces which make
 the raw X protocol more usable. Some of the libraries also provide client-side
 code which is not strictly part of the X protocol but which have traditionally
 been provided by Xlib.

Package: libxcomp-dev
Description-md5: 43a042e61e714cf0eb43b333d5430db2
Description-en: NX compression library (development headers)
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides the compression library.
 .
 This package contains the development headers for this library.

Package: libxcomp3
Description-md5: 4c74a78bb6e9350290b346de36b2cbff
Description-en: NX compression library
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides the compression library.

Package: libxcompshad-dev
Description-md5: 054ef92929d469c3af75e55fa1ec0494
Description-en: NX shadowing library (development headers)
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides a library for shadow session support.
 .
 This package contains the development headers for this library.

Package: libxcompshad3
Description-md5: 8c553b25dba2dbcfab51648e90c6f00d
Description-en: NX shadowing library
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides a library for shadow session support.

Package: libxcrypt-source
Description-md5: eec969ff9fa519b04e0b91c2a8c8a92b
Description-en: libcrypt shared library: sources
 This package contains the sources and patches which are needed to
 build libxcrypt.

Package: libxdelta2
Description-md5: 0075b546e0ac9c34575120ccb0a42a20
Description-en: Xdelta runtime library
 Xdelta is an application program designed to compute changes between
 files.  These changes (deltas) are similar to the output of the
 "diff" program in that they may be used to store and transmit only the
 changes between files.  However, unlike diff, the output of Xdelta is
 not expressed in a human-readable format--Xdelta can also apply
 these deltas to a copy of the original file.  Xdelta uses a fast,
 linear algorithm and performs well on both binary and text files.
 .
 This is the runtime library.

Package: libxdelta2-dev
Description-md5: 2fb1212a99b86eab177f67119f3eea2f
Description-en: Xdelta development files
 Xdelta is an application program designed to compute changes between
 files.  These changes (deltas) are similar to the output of the
 "diff" program in that they may be used to store and transmit only the
 changes between files.  However, unlike diff, the output of Xdelta is
 not expressed in a human-readable format--Xdelta can also apply
 these deltas to a copy of the original file.  Xdelta uses a fast,
 linear algorithm and performs well on both binary and text files.
 .
 This is are the development files for the runtime library.

Package: libxdf-dev
Description-md5: 6d9f5d7a49c959c652b221b9e097d346
Description-en: C++ library for loading XDF files (headers and static lib)
 Libxdf is a cross-platform C++ library for loading multimodal, multi-
 rate signals stored in XDF files. Libxdf is used in the biosignal
 viewing application SigViewer. It can also be integrated into other C++
 applications.
 .
 This package contains the header files and the static library

Package: libxdf0
Description-md5: 7a664ab760922b821d05a6b9150e3980
Description-en: C++ library for loading XDF files
 Libxdf is a cross-platform C++ library for loading multimodal, multi-
 rate signals stored in XDF files. Libxdf is used in the biosignal
 viewing application SigViewer. It can also be integrated into other C++
 applications.

Package: libxdffileio-dev
Description-md5: 8a1c471f18c8c7f1c06e00827845f516
Description-en: Library to read/write EEG data file formats (development files)
 xdffileio is a library that provides a unified interface for writing and
 reading various biosignal file formats in realtime (i.e. streaming). It has
 been designed to provide a flexible, consistent and generic interface to
 all supported file formats while minimizing the overhead the function
 calls: the heaviest operations (type casting, scaling and formatting) are
 offloaded into a separated thread. This design makes its particularly
 suitable to be directly used in a data acquisition loop (like in
 electrophysiology recording or in Brain-Computer Interfaces (BCI)).
 .
 The genericity of the interface makes trivial various operations like
 transformation of a recorded file or its conversion to another file format.
 xdffileio currently supports EDF, BDF, GDF1 and GDF2 file formats and more
 will be added in future.
 .
 This package contains the files needed to compile and link programs which
 use xdffileio.

Package: libxdffileio0
Description-md5: e0042191c2f7988926fb7d40ab00dd29
Description-en: Library to read/write EEG data file formats
 xdffileio is a library that provides a unified interface for writing and
 reading various biosignal file formats in realtime (i.e. streaming). It has
 been designed to provide a flexible, consistent and generic interface to
 all supported file formats while minimizing the overhead of the function
 calls: the heaviest operations (type casting, scaling and formatting) are
 offloaded into a separated thread. This design makes its particularly
 suitable to be directly used in a data acquisition loop (like in
 electrophysiology recording or in Brain-Computer Interfaces (BCI)).
 .
 The genericity of the interface makes trivial various operations like
 transformation of a recorded file or its conversion to another file format.
 xdffileio currently supports EDF, BDF, GDF1 and GDF2 file formats and more
 will be added in future.

Package: libxdg-basedir-dev
Description-md5: 7ce6806c457e1f0f48ba48652abb9167
Description-en: Implementation of the XDG Base Directory Specifications (development files)
 The XDG Base Directory Specification defines where should user files be
 looked for by defining one or more base directories relative in with they
 should be located.
 .
 This library implements functions to list the directories according to the
 specification and provides a few higher-level functions.
 .
 This package contains the library headers and documentation for developers.

Package: libxdg-basedir1
Description-md5: d4d4a9926a96098bcd26b9715f3641e5
Description-en: Implementation of the XDG Base Directory Specifications
 The XDG Base Directory Specification defines where should user files be
 looked for by defining one or more base directories relative in with they
 should be located.
 .
 This library implements functions to list the directories according to the
 specification and provides a few higher-level functions.

Package: libxdg-basedir1-dbg
Description-md5: 4c86f6ed90d29e42a57a98fc1ffe9610
Description-en: Implementation of the XDG Base Directory Specifications (debugging symbols)
 The XDG Base Directory Specification defines where should user files be
 looked for by defining one or more base directories relative in with they
 should be located.
 .
 This package contains the debugging symbols for the library.

Package: libxdmf-dev
Description-md5: b71cbe04372ed29c372ce47fa72cc1db
Description-en: eXtensible Data Model and Format development tools
 This package contains the headers and development tools needed to build
 against the Xdmf libraries.

Package: libxdmf3
Description-md5: c8c6de8d1a10cb7c1a8ac2e6afb0dbfc
Description-en: eXtensible Data Model and Format library
 The need for a standardized method to exchange scientific data between
 High Performance Computing codes and tools lead to the development of the
 eXtensible Data Model and Format (XDMF) . Uses for XDMF range from a
 standard format used by HPC codes to take advantage of widely used
 visualization programs like ParaView and VisIt,
 to a mechanism for performing coupled calculations using multiple,
 previously stand alone codes.
 .
 XDMF uses XML to store Light data and to describe the data Model.
 HDF5 is used to store Heavy data. The data Format is stored redundantly
 in both XML and HDF5. This allows tools to parse XML to determine the
 resources that will be required to access the Heavy data.
 .
 This package provides a version built on the serial version of HDF5.

Package: libxdo-dev
Description-md5: e94568644c95e77b28b80f09c5c32036
Description-en: library for simulating X11 keyboard/mouse input
 libxdo lets you programmatically (or manually) simulate keyboard
 input and mouse activity, move and resize windows, etc. It does this
 using X11's XTEST extension and other Xlib functions.
 .
 This package contains developer tools (headers, libraries) for libxdo.

Package: libxdo3
Description-md5: c2f2af808d2fe29bcdf8c0f4e4070d5f
Description-en: library for simulating (generating) X11 keyboard/mouse input events
 libxdo lets you programmatically (or manually) simulate keyboard
 input and mouse activity, move and resize windows, etc. It does this
 using X11's XTEST extension and other Xlib functions.

Package: libxdot4
Description-md5: 5bb95dff142ccbf7ab2946dc3a7a4dc1
Description-en: rich set of graph drawing tools - xdot library
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the xdot library.

Package: libxen-dev
Description-md5: d0081287d639409783b21183a7d8fd9d
Description-en: Public headers and libs for Xen
 This package contains the public headers and static libraries for Xen.
 .
 The libxenlight library is intended as a common base for all Xen toolstack
 developers.  The libxlutil library contains additional helpers which may
 be useful to toolstack developers.
 .
 The libxenstore library allows userspace processes to interact with
 the XenStore database.  Command line tools for accessing xenstore are
 provided is via the xenstore-utils package.
 .
 Most of the other included libraries are internal, and intended for
 use by the Xen toolstack, rather than directly.

Package: libxencall1
Description-md5: d1373591e42542257a1d8c9d2a8441b1
Description-en: Xen runtime library - libxencall
 Shared library for Xen utilities.

Package: libxendevicemodel1
Description-md5: 9bc1ff17e423b6546c0591fedefc5bdb
Description-en: Xen runtime libraries - libxendevicemodel
 Shared library for Xen utilities.

Package: libxenevtchn1
Description-md5: 10cc34c727d27fc4482c211091e25f1c
Description-en: Xen runtime libraries - libxenevtchn
 Shared library for Xen utilities.

Package: libxenforeignmemory1
Description-md5: dcbe3ced47522d91b647a027a556a47b
Description-en: Xen runtime libraries - libxenforeignmemory
 Shared library for Xen utilities.

Package: libxengnttab1
Description-md5: 2b706da1d8e5e5824e00a7dd8692a25a
Description-en: Xen runtime libraries - libxengnttab
 Shared library for Xen utilities.

Package: libxenmisc4.11
Description-md5: e17bdea6a4ec9706c9fc225e4b03269d
Description-en: Xen runtime libraries - miscellaneous, versioned ABI
 Shared libraries for Xen utilities.
 This package contains libraries whose ABI changes with each
 new upstream Xen release, which include ones which embed
 knowledge of hypervisor-version-specific hypercall ABIs.

Package: libxenomai-dev
Description-md5: 9ee126658c726a81ed86e26c6a285b14
Description-en: Headers and static libs for Xenomai
 Xenomai is a real-time development framework cooperating with the Linux
 kernel in order to provide a pervasive, interface-agnostic, hard real-time
 support to user-space applications, seamlessly integrated into the GNU/Linux
 environment. Xenomai provides its own API and emulation layers ("skins") to
 make migration from other RTOS easier. Examples are: pSOS+, VxWorks, VRTX,
 uiTRON, RTAI, POSIX.
 .
 This package contains development files (header files), the static libraries
 and scripts used to compile realtime applications.

Package: libxenomai1
Description-md5: 176dfafef0d1c99798426bd47d87cab8
Description-en: Shared libraries for Xenomai
 Xenomai is a real-time development framework cooperating with the Linux
 kernel in order to provide a pervasive, interface-agnostic, hard real-time
 support to user-space applications, seamlessly integrated into the GNU/Linux
 environment. Xenomai provides its own API and emulation layers ("skins") to
 make migration from other RTOS easier. Examples are: pSOS+, VxWorks, VRTX,
 uiTRON, RTAI, POSIX.
 .
 This package contains the shared libraries.

Package: libxenstore3.0
Description-md5: da0c7baa0e5240359f0489e50b89b477
Description-en: Xen runtime libraries - libxenstore
 Shared library for Xen utilities.

Package: libxentoolcore1
Description-md5: 8c11c2b3d3fbc956f701f226d434796a
Description-en: Xen runtime libraries - libxentoolcore
 Shared library for Xen utilities.

Package: libxentoollog1
Description-md5: 79089374ec5ece1ae540dda65e7c681a
Description-en: Xen runtime libraries - libxentoollog
 Shared library for Xen utilities.

Package: libxerces-c-dev
Description-md5: 54e05289a6d996ad3256abcc6103997c
Description-en: validating XML parser library for C++ (development files)
 Xerces-C++ is a validating XML parser written in a portable subset of
 C++.  This package contains the development files for Xerces. It also
 contains sources to various sample files.  The libxerces-c-samples
 package contains compiled versions of the samples.

Package: libxerces-c-doc
Description-md5: 06082002b57d6dbfccba8d32d05603a8
Description-en: validating XML parser library for C++ (documentation)
 Xerces-C++ is a validating XML parser written in a portable subset of
 C++.  This package contains the developer documentation, including
 programming guides and API documentation.

Package: libxerces-c-samples
Description-md5: 616bebc75560a389e9ddab2b6f83cbf8
Description-en: validating XML parser library for C++ (compiled samples)
 Xerces-C++ is a validating XML parser written in a portable subset of
 C++.  This package contains compiled versions of the samples.  You
 probably don't want this package, but it can be useful if you are
 trying to reproduce a problem before reporting a bug that will be
 easy for the xerces developers to reproduce.

Package: libxerces-c3.2
Description-md5: 4832cdf201d3a91b1e292ad7745353a0
Description-en: validating XML parser library for C++
 Xerces-C++ is a validating XML parser written in a portable subset of
 C++.  Xerces-C++ makes it easy to give your application the ability
 to read and write XML data. A shared library is provided for parsing,
 generating, manipulating, and validating XML documents. Xerces-C++ is
 faithful to the XML 1.0 recommendation and associated standards (DOM
 1.0, DOM 2.0, SAX 1.0, SAX 2.0, Namespaces, XML Schema Part 1 and
 Part 2).  It also provides experimental implementations of XML 1.1
 and DOM Level 3.0.  The parser provides high performance, modularity,
 and scalability.

Package: libxerces2-java
Description-md5: 70fc56311b7780cb8c2a99efdde064c7
Description-en: Validating XML parser for Java with DOM level 3 support
 The Xerces2 Java parser is the reference implementation of XNI, the
 Xerces Native Interface, and also a fully conforming XML Schema processor.
 .
 Xerces2-J supports the following standards and APIs:
  * eXtensible Markup Language (XML) 1.0 Second Edition Recommendation
  * Namespaces in XML Recommendation
  * Document Object Model (DOM) Level 2 Core, Events, and Traversal and
    Range Recommendations
  * Simple API for XML (SAX) 2.0.1 Core and Extension
  * Java APIs for XML Processing (JAXP) 1.2.01
  * XML Schema 1.0 Structures and Datatypes Recommendations
  * Experimental implementation of the Document Object Model (DOM) Level 3
    Core and Load/Save Working Drafts
  * Provides a partial implementation of the XML Inclusions (XInclude) W3C
    Candidate Recommendation
 .
 Xerces is now able to parse documents written according to the XML 1.1
 Candidate Recommendation, except that it does not yet provide an option to
 enable normalization checking as described in section 2.13 of this
 specification. It also handles namespaces according to the  XML Namespaces 1.1
 Candidate Recommendation, and will correctly serialize XML 1.1 documents if
 the DOM level 3 load/save API's are in use.

Package: libxerces2-java-doc
Description-md5: d4775a592fb8e72827658cfc1b26bc40
Description-en: Validating XML parser for Java -- Documentation and examples
 The Xerces2 Java parser is the reference implementation of XNI, the
 Xerces Native Interface, and also a fully conforming XML Schema processor.
 .
 This package contains the documentation in HTML format, the Javadoc API
 documentation and some examples.

Package: libxfce4panel-2.0-4
Description-md5: c95df6f623e80733d266da6879368de6
Description-en: Xfce4 panel library (GTK3 variant)
 This package contains the GTK3 variant of the panel library, used by the
 various plugins for the Xfce panel.

Package: libxfce4panel-2.0-dev
Description-md5: 6880f0ca5aa7b31a9271c73e2124b605
Description-en: Xfce4 panel library (development files for GTK3 variant)
 This package contains development files for the library (GTK3 variant) used by
 various plugins for the Xfce panel.

Package: libxfce4ui-1-0
Description-md5: f28e007564d4ab6bc195c2be96b78960
Description-en: widget library for Xfce - Gtk+2 variant
 libxfce4ui is used to share commonly used Xfce widgets among the Xfce
 applications.
 .
 This package provides the Gtk+2 variant of libxfce4ui.

Package: libxfce4ui-1-dev
Description-md5: 872a4890243b5ac9e6e19f9dfcedbd78
Description-en: Development files for libxfce4ui - Gtk+2 variant
 This package contains the headers and the static library
 for libxfce4ui.
 .
 This package provides development files for the Gtk+2 variant of libxfce4ui.

Package: libxfce4ui-2-0
Description-md5: 5827d8edef6adfe4e02e9693072fa796
Description-en: widget library for Xfce - Gtk+3 variant
 libxfce4ui is used to share commonly used Xfce widgets among the Xfce
 applications.
 .
 This package provides the Gtk+3 variant of libxfce4ui.

Package: libxfce4ui-2-dev
Description-md5: 69eada05b32bd7a88fdc4d3b438f480a
Description-en: Development files for libxfce4ui - Gtk+3 variant
 This package contains the headers and the static library
 for libxfce4ui.
 .
 This package provides development files for the Gtk+3 variant of libxfce4ui.

Package: libxfce4ui-common
Description-md5: 85e0ca1a851c9c51ccca18804870c540
Description-en: common files for libxfce4ui
 This package contains the common files for both variants of libxfce4ui.

Package: libxfce4ui-glade
Description-md5: 2ba82abd57d3d0f60c035606fc276c1f
Description-en: glade API for libxfce4ui
 libxfce4ui is used to share commonly used Xfce widgets among the Xfce
 applications.
 .
 This package contains the modules for GTK+3 GUI development with Glade.

Package: libxfce4ui-utils
Description-md5: c600dd65f36200e5b5714e74aee17202
Description-en: Utility files for libxfce4ui
 This package contains the Xfce settings plugin and the utility files for
 libxfce4ui-1-0 which are:
   - xfce4-about

Package: libxfce4util-bin
Description-md5: 5f496a257e598e4e92335a2f12cb9152
Description-en: tools for libxfce4util
 This package contains binaries used by Xfce basic utility library.
 At the moment, the following programs are included:
  - xfce4-kiosk-query: query Xfce kiosk capabilities.

Package: libxfce4util-common
Description-md5: b2232caaf8d766979df2db217b71669b
Description-en: common files for libxfce4util
 This package contains various infrastructure-independant common files for
 libxfce4util, the Xfce utility library.

Package: libxfce4util-dev
Description-md5: db8e6d16a867b50100376bd884e4c01c
Description-en: Development files for libxfce4util7
 This package contains the headers for libxfce4util7. If you intend to code
 applications for the Xfce4 desktop environment, you need to install this
 package.
 .
 The libxfce4util7 Reference Manual is also included and
 it can be consulted using Devhelp or a web browser.

Package: libxfce4util7
Description-md5: 4ea4607cda39a90b4324a6b85bfee9d6
Description-en: Utility functions library for Xfce4
 This package contains libxfce4util7, the basic utility
 function library for Xfce4. If you intend to run Xfce4,
 you need this library.

Package: libxfconf-0-3
Description-md5: 83c14c62e866fd24b1cce1ecbb0cc99d
Description-en: Client library for Xfce4 configure interface
 This package contains a library which can be used by every
 program that aims to be a configuration-manager for Xfce4.
 .
 If you intend to use Xfce4, you definitely want to install
 this package.

Package: libxfconf-0-dev
Description-md5: 4eb280c2fc0b59b9fbb5d66e4b9e2a2d
Description-en: Development files for libxfconf
 This package contains the development files libxfconf which are
 the main part of the Xfce4 configuration system. If you want to
 develop applications that use this library, you want
 to install this package.
 .
 The libxfconf Reference Manual is also included and it can be
 consulted with Devhelp or a web browser.

Package: libxfdashboard-dev
Description-md5: eee9e01e5c56ec50b5637b95adcc8ca6
Description-en: GNOME shell like dashboard for Xfce - development files
 xfdashboard provides an overview of applications currently open enabling the
 user to switch between different applications. The search feature works like
 Xfce's appfinder which makes it convenient to search for and start
 applications.
 .
 This package provides the libxfdashboard development files.

Package: libxfdashboard0
Description-md5: 6b3a852048299aa34b92861cfc51be32
Description-en: GNOME shell like dashboard for Xfce - shared library
 xfdashboard provides an overview of applications currently open enabling the
 user to switch between different applications. The search feature works like
 Xfce's appfinder which makes it convenient to search for and start
 applications.
 .
 This package contains the libxfdashboard shared library.

Package: libxgks-dev
Description-md5: 8c6c2b1e30888b2639c3e3805d5d154c
Description-en: X11 Graphical Kernel Subsystem, development files
 XGKS is a level 2C implementation of the ANSI Graphical Kernel System (GKS)
 for use in a Unix environment with the X Window System. It supports the
 Fortran language binding and a C language binding based on the 1988 draft.
 .
 GKS is a basic graphics system for applications that produce computer
 generated two-dimensional pictures on line graphics or raster graphics output
 devices.  It supports operator input and interaction by supplying basic
 functions for graphical input and picture segmentation and allows storage and
 dynamic modification of pictures.  GKS is no longer under active development,
 but used in several existing graphical toolkits.
 .
 It is not expected that XGKS will gather any _new_ users, but factoring it out
 simplifies the size and maintenance of some other packages.

Package: libxgks2
Description-md5: fd33282444c73ce5047c751129c089fd
Description-en: X11 Graphical Kernel Subsystem, library.
 XGKS is a level 2C implementation of the ANSI Graphical Kernel System (GKS)
 for use in a Unix environment with the X Window System. It supports the
 Fortran language binding and a C language binding based on the 1988 draft.
 .
 GKS is a basic graphics system for applications that produce computer
 generated two-dimensional pictures on line graphics or raster graphics output
 devices.  It supports operator input and interaction by supplying basic
 functions for graphical input and picture segmentation and allows storage and
 dynamic modification of pictures.  GKS is no longer under active development,
 but used in several existing graphical toolkits.
 .
 It is not expected that XGKS will gather any _new_ users, but factoring it out
 simplifies the size and maintenance of some other packages.

Package: libxgks2-data
Description-md5: 4a5e9a075105982290f949b7887fe79e
Description-en: Font files for the X11 Graphical Kernel Subsystem
 XGKS is a level 2C implementation of the ANSI Graphical Kernel System (GKS)
 for use in a Unix environment with the X Window System. It supports the
 Fortran language binding and a C language binding based on the 1988 draft.
 .
 This package contains fonts needed for libxgks2.

Package: libxine2
Description-md5: 65baca50fc8f876624ccc9eb5bc808ca
Description-en: xine media player library – metapackage
 This is the xine media player library (libxine).
 .
 Libxine provides the complete infrastructure for a video/media player. It
 supports MPEG 1/2 and some AVI and Quicktime videos out of the box, so you
 can use it to play DVDs, (S)VCDs and most video files out there. It
 supports network streams, subtitles and even MP3 or Ogg files. It's
 extensible to your heart's content via plugins for audio and video output,
 input media, demuxers (stream types), audio/video and subtitle codecs.
 .
 While this package does not provide a GUI, building a user-interface
 frontend around this should be quite easy. The xine-ui and gxine
 packages each provide one for your convenience.

Package: libxine2-all-plugins
Description-md5: f98488f7f64d3c7a064234e595a5fb10
Description-en: xine video/media player library ‒ metapackage for all plugins
 This is the xine media player library (libxine).
 .
 Libxine provides the complete infrastructure for a video/media player. It
 supports MPEG 1/2 and some AVI and Quicktime videos out of the box, so you
 can use it to play DVDs, (S)VCDs and most video files out there. It
 supports network streams, subtitles and even MP3 or Ogg files. It's
 extensible to your heart's content via plugins for audio and video output,
 input media, demuxers (stream types), audio/video and subtitle codecs.
 .
 This empty package is just for your convenience and depends on all
 available xine plugin packages.

Package: libxine2-bin
Description-md5: 14fa1dd6fe33cc08e7baf21a1c042f4c
Description-en: xine video/media player library – binary files
 This package contains the core library of the xine video/media player
 engine.
 .
 Libxine provides the complete infrastructure for a video/media player. It
 supports MPEG 1/2 and some AVI and Quicktime videos out of the box, so you
 can use it to play DVDs, (S)VCDs and most video files out there. It
 supports network streams, subtitles and even MP3 or Ogg files. It's
 extensible to your heart's content via plugins for audio and video output,
 input media, demuxers (stream types), audio/video and subtitle codecs.

Package: libxine2-console
Description-md5: 1715de2a713ab3f5dc810ed010dc9bbc
Description-en: libaa/libcaca/framebuffer/directfb related plugins for libxine2
 This package contains plugins for the xine video/media player engine,
 which are commonly used on the command line. In detail, this package
 contains the framebuffer (fb), directfb, libaa and libcaca output plugins.
 .
 If you want to view your videos in an xterm, you want to install this
 package.

Package: libxine2-dev
Description-md5: 6afa5faf2489f768a92575c34fb1bd91
Description-en: xine video player library – development packages
 This contains development files (headers, documentation and the like)
 and additional documentation for developers for the xine library
 (libxine).
 .
 Libxine provides the complete infrastructure for a video/media player. It
 supports MPEG 1/2 and some AVI and Quicktime videos out of the box, so you
 can use it to play DVDs, (S)VCDs and most video files out there. It
 supports network streams, subtitles and even MP3 or Ogg files. It's
 extensible to your heart's content via plugins for audio and video output,
 input media, demuxers (stream types), audio/video and subtitle codecs.

Package: libxine2-doc
Description-md5: 302a16d3117f25626605d53a035bfdef
Description-en: xine video player library – documentation files
 This contains documentation for the xine library (libxine). It consists
 of various textfiles and the xine(5) manpage.
 .
 Libxine provides the complete infrastructure for a video/media player. It
 supports MPEG 1/2 and some AVI and Quicktime videos out of the box, so you
 can use it to play DVDs, (S)VCDs and most video files out there. It
 supports network streams, subtitles and even MP3 or Ogg files. It's
 extensible to your heart's content via plugins for audio and video output,
 input media, demuxers (stream types), audio/video and subtitle codecs.

Package: libxine2-ffmpeg
Description-md5: e7ea05e3951778b458f9b89df138a34f
Description-en: MPEG-related plugins for libxine2
 This package contains plugins for the xine video/media player engine,
 which are necessary to decode MPEG-based codecs. Among them, this package
 includes the ffmpeg input plugin for xine, which enables xine-based
 players a large variety of modern audio and video codecs.
 .
 You most probably want to install this package. It is required if you want
 to watch DVDs or digital TV using any xine-based player.

Package: libxine2-gnome
Description-md5: 36e2ed46b8815a836c740df743724963
Description-en: GNOME-related plugins for libxine2
 This package contains plugins for the xine video/media player engine,
 which are commonly used in the GNOME desktop environment. In detail
 this package contains the GDK Pixbuf decoding plugin.
 .
 If you are running GNOME, you most probably want to install this package.

Package: libxine2-misc-plugins
Description-md5: e92eaccc868286824d862751f464eed3
Description-en: Input, audio output and post plugins for libxine2
 This package contains plugins for the xine video/media player engine
 which are used for input, audio output and post-processing.
 .
 You most probably want to install this package. It contains various
 plugins you generally would expect to find on a xine installation.

Package: libxine2-plugins
Description-md5: 0c30d79228c567ae78b048898a975639
Description-en: xine video/media player library ‒ metapackage for commonly-used plugins
 This is the xine media player library (libxine).
 .
 Libxine provides the complete infrastructure for a video/media player. It
 supports MPEG 1/2 and some AVI and Quicktime videos out of the box, so you
 can use it to play DVDs, (S)VCDs and most video files out there. It
 supports network streams, subtitles and even MP3 or Ogg files. It's
 extensible to your heart's content via plugins for audio and video output,
 input media, demuxers (stream types), audio/video and subtitle codecs.
 .
 This empty package is just for your convenience and depends on
 commonly-used xine plugin packages.

Package: libxine2-vdr
Description-md5: b770cc16469a49c37b8e5d48fa5a4468
Description-en: VDR-related plugins for libxine2
 This package contains plugins for the xine video/media player engine,
 which require vdr to be of use.
 .
 If you want to use vdr together with any xine front end, you need this
 package.

Package: libxine2-x
Description-md5: f77db000ccc8902b9a73354c36e4800b
Description-en: X desktop video output plugins for libxine2
 This package contains plugins for the xine video/media player engine
 which are used for video display on the X desktop.
 .
 You most probably want to install this package if you are using a
 graphical front end like gxine, kaffeine or xine-ui. It contains xine
 output plugins necessary for drawing on X11 displays.

Package: libxine2-xvdr
Description-md5: 8285320ce64fa40e19cb147efbf62353
Description-en: Xine input plugin for vdr-plugin-xineliboutput streams
 This plugin adds a new mrl "xvdr://" to Xine, which allows one to play back
 streams provided by vdr-plugin-xineliboutput.

Package: libxineliboutput-fbfe
Description-md5: c9c89af7c88eb635bc1801abbdd50cac
Description-en: Local framebuffer frontend for the xineliboutput plugin
 The xineliboutput vdr plugin requires this library to provide the built-in
 local frontend for framebuffer devices.

Package: libxineliboutput-sxfe
Description-md5: 0e94cb1dd2a20354eafc36e10c8caed4
Description-en: Local X-Server frontend for the xineliboutput plugin
 The xineliboutput vdr plugin requires this library to provide the built-in
 local frontend for X, Xv or XvMC window systems.

Package: libxio-dev
Description-md5: df4bd7d54e6241eed1ba4b5356242341
Description-en: IO, Message, and RPC Acceleration Library (development files)
 Accelio provides an easy-to-use, reliable, scalable, and high performance
 data/message delivery middleware that maximizes the efficiency of modern CPU
 and NIC hardware and that reduces time-to-market of new scale-out
 applications.
 .
 This package contains the Accelio development libraries and header files.

Package: libxio0
Description-md5: 55fb0c8f270cb60900cab4f12efa6d36
Description-en: IO, Message, and RPC Acceleration Library (shared library)
 Accelio provides an easy-to-use, reliable, scalable, and high performance
 data/message delivery middleware that maximizes the efficiency of modern CPU
 and NIC hardware and that reduces time-to-market of new scale-out
 applications.
 .
 This package contains the libxio shared library.

Package: libxio0-dbg
Description-md5: 21edef9936d4ffa057a568ed39186746
Description-en: IO, Message, and RPC Acceleration Library (debugging symbols)
 Accelio provides an easy-to-use, reliable, scalable, and high performance
 data/message delivery middleware that maximizes the efficiency of modern CPU
 and NIC hardware and that reduces time-to-market of new scale-out
 applications.
 .
 This package contains the debugging symbols for the Accelio library.

Package: libxm4
Description-md5: c87371c0880e5f62bd7d32c62b78f01c
Description-en: Motif - X/Motif shared library
 Motif is the industry standard GUI component toolkit for *NIX.
 .
 This package contains the X/Motif shared library.

Package: libxmhtml-dev
Description-md5: 5b01fac7cdfa570e0cbd766fb52db043
Description-en: Motif widget for displaying HTML 3.2 (development files)
 XmHTML is a high performance Motif Widget capable of displaying HTML
 3.2 confirming text.  Graphics support, lesstif compatibility and
 extensive documentation are amongst its many features.
 .
 This is the development kit, containing static libraries and header files
 necessary to build programs that use XmHTML. The runtime library is
 provided by the libxmhtml package.

Package: libxmhtml1.1
Description-md5: 87a7a87c552d2931f16702ddc8bf6c56
Description-en: Motif widget for displaying HTML 3.2 (library)
 XmHTML is a high performance Motif Widget capable of displaying HTML
 3.2 confirming text.  Graphics support, lesstif compatibility and
 extensive documentation are amongst its many features.
 .
 This package provides the runtime shared library. The libxmhtml-dev
 package provides the header files, and the static library.

Package: libxml-atom-fromowl-perl
Description-md5: 261b38f02a759a4471a55de7b8c543b8
Description-en: export RDF data to Atom
 The Web Ontology Language (OWL) is a family of knowledge representation
 languages for authoring ontologies.
 .
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 XML::Atom::FromOWL reads RDF and writes Atom feeds. It does the reverse
 of XML::Atom::OWL.

Package: libxml-atom-microformats-perl
Description-md5: 5f8adcc126abaaa02d6307688e7884a7
Description-en: parse microformats in Atom content
 The XML::Atom::Microformats module brings the functionality of
 HTML::Microformats to Atom 1.0 Syndication feeds. It finds microformats
 embedded in the <content> elements (note: not <summary>) of Atom
 entries.
 .
 The general pattern of usage is to create an XML::Atom::Microformats
 object (which corresponds to an Atom 1.0 feed) using the "new_feed"
 method; then ask for the data, as a Perl hashref, a JSON string, or an
 RDF::Trine model.

Package: libxml-atom-owl-perl
Description-md5: e34f5fdbdfe5ce8bf88907c8e0999c36
Description-en: parse an Atom file into RDF
 The Web Ontology Language (OWL) is a family of knowledge representation
 languages for authoring ontologies.
 .
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 XML::Atom::OWL reads Atom feeds and writes RDF.

Package: libxml-atom-perl
Description-md5: dc9e208d41bec17f6a99068ebedfe149
Description-en: module for manipulating Atom feeds
 XML::Atom is a Perl module that implements the Atom syndication API and
 archiving format for blogs and other data. This module consists of both
 the protocol implementation and a simple client for the API.

Package: libxml-atom-service-perl
Description-md5: d7772e266aee3326ad5c90b1995744e3
Description-en: Atom Service Document object
 XML::Atom::Service is a Service Document implementation. In the Atom
 Publishing Protocol, a client needs to first discover the capabilities
 and locations of Collections. The Service Document is designed to
 support this discovery process. The document describes the location and
 capabilities of Collections.
 .
 The Atom Publishing Protocol introduces some new XML elements, such as
 app:edited and app:draft, which are imported into XML::Atom. See
 XML::Atom::Atompub in detail.

Package: libxml-atom-simplefeed-perl
Description-md5: 7eb4d2623092ce32fd599f018263ceae
Description-en: Perl module for generation of Atom syndication feeds
 XML::Atom::SimpleFeed provides a minimal API for generating Atom
 syndication feeds quickly and easily. It supports all aspects of the
 Atom format, but has no provisions for generating feeds with
 extension elements.
 .
 You can supply strings for most things, and the module will provide
 useful defaults. When you want more control, you can provide data
 structures, as documented, to specify more particulars.
 .
 This module has a much smaller dependency chain than XML::Atom.

Package: libxml-autowriter-perl
Description-md5: 192e2031257ac32919566d350e1403df
Description-en: Perl module to produce DOCTYPE-based XML output
 XML::AutoWriter provides a framework for producing DOCTYPE-based XML output.
 It provides several convenient ways to work with these files. XML::Doctype
 parses Document Type Definitions (DTDs) and allows them to be saved as Perl
 Module (.pm) files and loaded whenever necessary. In this way, you can package
 your DTDs with XML tools so that XML::Parser (libxml-parser-perl) need not be
 installed. XML::ValidWriter uses the XML::Doctype to enable compile- and run-
 time checks of XML output validity. XML::AutoWriter provides similar features
 to XML::ValidWriter, but also provides automatic start and end tag generation.
 Together, all of these modules facilitate a powerful and minimalistic method
 of working with XML files.

Package: libxml-bare-perl
Description-md5: 3ebf0614e3373716bdddc3cf5baa524f
Description-en: Perl module to parse XML into a Perl hash
 XML::Bare provides a simple API to read and write XML files, it also provides
 a function to validate the XML schema. The parser itself is a simple state
 engine that is less than 500 lines of C.
 .
 In comparison to other available Perl XML parsers that create trees, XML::Bare
 is extremely fast; benchmarking shows that it can even be one or two orders of
 magnitude faster than similar modules.

Package: libxml-catalog-perl
Description-md5: c285ac9924315b4bd83d559fcce7bc6a
Description-en: Perl module for resolving public and remapping system identifiers
 XML::Catalog implements draft 0.4 of John Cowan's XML Catalog (formerly
 known as XCatalog) proposal Catalogs may be written in either SOCAT or
 XML syntax; XML::Catalog will assume SOCAT syntax if the catalog is not
 in well-formed XML syntax.
 .
 This module, as of 1.0.0, also supports Oasis XML catalogs.

Package: libxml-checker-perl
Description-md5: 117237e91dcf61b6060ee38a50ea9d17
Description-en: Perl modules for validating XML
 This package contains several modules for validating XML:
 .
 XML::Checker - contains the XML::Checker class that is used by the others
 XML::Checker::Parser - an XML::Parser that validates at parse time
 XML::DOM::ValParser - an XML::DOM::Parser that validates at parse time

Package: libxml-commonns-perl
Description-md5: 9226ccb4a0ee2baeedf8fb7431eb30c9
Description-en: list of commonly used XML namespaces
 XML::CommonNS is a Perl library providing a list of commonly used XML
 namespaces, with XML::NamespaceFactory integration.

Package: libxml-commons-external-java
Description-md5: 4e1b50a9891dd28c555faf706a632ecd
Description-en: XML Commons external code - DOM, SAX, and JAXP, etc
 xml-commons is focused on common code and guidelines for xml
 projects.  The first focus will be to organize and have common
 packaging for the various externally-defined standards code relating
 to XML - things like the DOM, SAX, and JAXP interfaces.

Package: libxml-commons-external-java-doc
Description-md5: ff04559719db4b7095c9032af8c070d7
Description-en: Documentation of XML Commons external API
 xml-commons is focussed on common code and guidelines for xml
 projects.  The first focus will be to organize and have common
 packaging for the various externally-defined standards code relating
 to XML - things like the DOM, SAX, and JAXP interfaces.
 .
 This package contains class API documentation for XML Commons
 external API.

Package: libxml-commons-resolver1.1-java
Description-md5: b02dd46b0597bad6766a676bf9a4f539
Description-en: XML entity and URI resolver library
 Apache XML Commons Resolver simplifies the task of using XML catalog files to
 perform entity resolution. They are used by other Apache XML projects like
 Xerces-J and Xalan-J.

Package: libxml-commons-resolver1.1-java-doc
Description-md5: f3d0f98e5c6424bca86cbbd706dbe09f
Description-en: XML entity and URI resolver library -- documentation
 Apache XML Commons Resolver simplifies the task of using XML catalog files to
 perform entity resolution. They are used by other Apache XML projects like
 Xerces-J and Xalan-J.
 .
 This package includes the HTML and Javadoc API documentation.

Package: libxml-compacttree-perl
Description-md5: 72e2180d9f7061538c6ba93c26a2a043
Description-en: fast parser of XML document into nested arrays
 XML::CompactTree provides functions that use XML::LibXML::Reader to parse an
 XML document into a parse tree formed of nested arrays (and hashes).
 It aims to be fast in doing that and to presreve all relevant information
 from the XML (including namespaces, document order, mixed content, etc.). It
 sacrifices user friendliness for speed.

Package: libxml-compile-cache-perl
Description-md5: 612402bb71798c9e8afa535ec328837c
Description-en: module that keeps the cache of compiled XML schemas
 XML::Compile::Cache is the smart brother of XML::Compile::Schema; it keeps
 track of your compiled readers and writers, and also helps you administer the
 parameters to handle compilation. Besides, it lets you use easy prefixes
 instead of full namespaces.

Package: libxml-compile-dumper-perl
Description-md5: afea7a951daa1dcc595e1127cf9a2545
Description-en: module to help saving and loading the compiled XML processors
 XML::Compile::Dumper simplifies the task of saving and loading pre-compiled
 translators. Schema's can get huge, and when you are not creating a daemon to
 do the XML communication, you may end-up compiling and interpreting these large
 schemas often, just to be able to process simple data-structures

Package: libxml-compile-perl
Description-md5: 1280664e15f452d25da3c6fefa06a638
Description-en: Perl module to translate between XML and Perl based on XML schemas
 Many (professional) applications process XML messages based on a formal
 specification, expressed in XML Schemas. XML::Compile translates between XML
 and Perl with the help of such schemas. Your Perl program only handles a tree
 of nested HASHes and ARRAYs, and does not need to understand namespaces and
 other general XML and schema nastiness.

Package: libxml-compile-tester-perl
Description-md5: aa2729806165ae01e9c20d6ad61412a2
Description-en: Perl module to support regression testing of "XML::Compile" modules
 XML::Compile::Tester provide functions which simplify writing tests for
 XML::Compile related distributions.

Package: libxml-csv-perl
Description-md5: 9d692f220ea0a827d678139b23a99556
Description-en: Perl module for transforming CSV documents into XML
 This module provides functions to easily transform CSV documents into
 XML.  The module uses Text::CSV_XS to parse csv files and put into
 perl data structure.  The perl data structure is then written into
 xml data structure. XML::CSV allows you to create your own Text::CSV_XS
 object with defaults that fit your needs or just use defaults.

Package: libxml-descent-perl
Description-md5: 7ddb76cce92c3166ab292314e2d63a84
Description-en: Perl module for recursive descent XML parsing
 The conventional models for parsing XML are either DOM (a data structure
 representing the entire document tree is created) or SAX (callbacks are
 issued for each element in the XML).
 .
 XML grammar is recursive - so it's nice to be able to write recursive parsers
 for it. XML::Descent allows such parsers to be created.

Package: libxml-dom-perl
Description-md5: b7fb8c0f04b00667305bf4db9a3d2328
Description-en: Perl module for building DOM Level 1 compliant doc structures
 This module parses XML strings or files and builds a data structure
 that conforms to the API of the Document Object Model as described at
 http://www.w3.org/TR/REC-DOM-Level-1.  See the XML::Parser manpage
 for other available features of the XML::DOM::Parser class.

Package: libxml-dom-xpath-perl
Description-md5: 0945ff95ab442c7a3ed06fd77f3c7f76
Description-en: adds XPath support to XML::DOM, using XML::XPathEngine
 XML::DOM::XPath allows you to use XML::XPath methods to query a DOM.
 This is often much easier than relying only on getElementsByTagName.
 It lets you use all of the XML::DOM methods.
 .
 In truth this should only be used if you have existing code using XML::DOM.
 If you start a new project and you can install XML::LibXML (and libxml2 on
 which it is based) then you will get a DOM, XPath support and a lot more
 power and speed.

Package: libxml-dt-perl
Description-md5: e32700bbecc7eef760f538aa8415b254
Description-en: module for down translation of XML files
 XML::DT provides a way to manipulate in various ways an XML
 document. Including: changing the contents, transforming to
 another document, generating statistics, generating info about
 the XML document to generate another document (i.e. creating a
 table of contents for a HTML file).

Package: libxml-dtdparser-perl
Description-md5: 5378a7e90605dab0db8f7893b1ccbe33
Description-en: perl module providing a quick and dirty DTD parser
 XML::DTDParser parses a DTD file and creates a data structure containing info
 about all tags, their allowed parameters, children, parents, optionality etc.
 .
 The module should be able to parse just about anything, but it
 intentionaly looses some information. Eg. if the DTD specifies that a tag
 should contain either CHILD1 or CHILD2 you only get that CHILD1 and CHILD2
 are optional. That is the DTD contains
 .
 <!ELEMENT FOO (BAR|BAZ)> the result will be the same is if it contained
 .
 <!ELEMENT FOO (BAR?,BAZ?)>
 .
 You get the original unparsed parameter list as well so if you need this
 information you may parse it yourself.

Package: libxml-dumper-perl
Description-md5: bcab3900223ffa6ebcfeaa5e8e3be421
Description-en: Perl module for dumping Perl objects from/to XML
 XML::Dumper dumps Perl data to a structured XML format.
 .
 XML::Dumper can also read XML data that was previously dumped
 by the module and convert it back to Perl.
 .
 .
 This might be useful for dumping Perl objects to files
 using an XML format that can be reloaded or accessed by
 other programs. Maybe even other languages.

Package: libxml-easy-perl
Description-md5: 50a74f1e5515b4bddfbc5d91e1380901
Description-en: Perl module for XML processing with a clean interface
 XML::Easy is a collection of modules relating to the processing, parsing,
 and serialisation of XML data.  It is oriented towards the use of XML
 to represent data for interchange purposes, rather than the use of XML
 as markup of principally textual data.  It does not perform any schema
 processing, and does not interpret DTDs or any other kind of schema.
 It adheres strictly to the XML specification, in all its awkward details,
 except for the aforementioned DTDs.

Package: libxml-encoding-perl
Description-md5: 272ccbb69366a4760b1f811303d1d810
Description-en: Perl module for parsing encoding map XML files
 XML::Encoding, built as a subclass of XML::Parser, parses encoding map
 XML files.  Included in the package is the compile_encoding script
 that compiles these to the binary form used by XML::Parser in order
 to parse scripts in the given encoding.  Also included is a script
 that generates encoding map XML files from the type of mapping files
 obtained at ftp://ftp.unicode.org/Public/MAPPINGS.
 .
 XML::Encoding comes with a set of encoding maps installed in the
 /usr/share/libxml-encoding-perl directory. These are used when building
 the libxml-parser-perl package.

Package: libxml-feed-perl
Description-md5: b190c94c785cee86158a9287e4e84c8f
Description-en: syndication feed parser and auto-discovery Perl module
 XML::Feed is a syndication feed parser for both RSS and Atom feeds. It
 also implements feed auto-discovery for finding feeds, given a URI.
 .
 XML::Feed supports the following syndication feed formats:
 .
  * RSS 0.91
  * RSS 1.0
  * RSS 2.0
  * Atom
 .
 The goal of XML::Feed is to provide a unified API for parsing and using
 the various syndication formats.

Package: libxml-feedpp-mediarss-perl
Description-md5: d81728e17e8e1f8855771497112acfe4
Description-en: Perl module providing Media RSS support for XML::FeedPP
 XML::FeedPP::MediaRSS is a pure Perl library extending XML::FeedPP
 to provide support for parsing and consuming RSS feeds using
 Yahoo's Media RSS extensions.

Package: libxml-feedpp-perl
Description-md5: 4d97069c3fafce530914cf8c06749716
Description-en: module to parse/write/merge/edit RSS/RDF/Atom syndication feeds
 XML::FeedPP is an all-purpose syndication utility that parses and publishes
 RSS 2.0, RSS 1.0 (RDF), Atom 0.3 and 1.0 feeds. It allows you to add new
 content, merge feeds, and convert among these various formats.

Package: libxml-filter-buffertext-perl
Description-md5: 2501c67234a8c077d0e624479011af75
Description-en: Perl module for putting all characters into a single event
 The XML::Filter::BufferText module is a very simple filter.  One common
 cause of grief (and programmer error) is that XML parsers aren't required
 to provide character events in one chunk.  They can, but are not forced
 to, and most don't.  This filter does the trivial but oft-repeated task
 of putting all characters into a single event.

Package: libxml-filter-detectws-perl
Description-md5: d5b079357e12f74b6aa1c1ddee134e2d
Description-en: Perl module for detecting ignorable whitespace
 The XML::Filter::DetectWS module is a PerlSAX filter that detects which
 character data contains ignorable whitespace and optionally filters it.
 .
 The XML spec defines ignorable whitespace as the character data found
 in elements that were defined in an <!ELEMENT> declaration with a model
 of 'EMPTY' or 'Children' (Children is the rule that does not contain
 '#PCDATA').
 .
 In addition, XML::Filter::DetectWS allows the user to define other
 whitespace to be ignorable.  The ignorable whitespace is passed to
 the PerlSAX Handler with the ignorable_whitespace handler, provided
 that the Handler implements this method.  Otherwise it is passed to
 the characters handler.  If the SkipIgnorableWS is set, the ignorable
 whitespace is simply discarded.  XML::Filter::DetectWS also takes
 xml:space attributes into account.
 .
 CDATA sections are passed in the standard PerlSAX way (i.e. with
 surrounding start_cdata and end_cdata events), unless the Handler
 does not implement these methods.  In that case, the CDATA section is
 simply passed to the characters method.

Package: libxml-filter-reindent-perl
Description-md5: dd16b17a9b32524e97e8762dc6623aa5
Description-en: Perl module for reformatting whitespace for pretty printing XML
 XML::Filter::Reindent can be used as a PerlSAX filter to reformat an XML
 document before sending it to a PerlSAX handler that prints it (like
 XML::Handler::Composer).
 .
 Like XML::Filter::DetectWS, it detects ignorable whitespace and
 blocks of whitespace characters in certain places.  It uses this
 information and information supplied by the user to determine where
 whitespace may be modified, deleted or inserted.  Based on the indent
 settings, it then modifies, inserts and deletes characters and
 ignorable_whitespace events accordingly.
 .
 This is just a first stab at the implementation.  It may be buggy and
 may change completely!
 .
 The suggested package is only needed for the example 'pretty.pl'

Package: libxml-filter-saxt-perl
Description-md5: e6681f5721fc6b0ff5a120d573fdd33c
Description-en: Perl module for replicating events to several event handlers
 The XML::Filter::SAXT module is like the Unix 'tee' command in that it
 multiplexes the input stream to several output streams.  In this case, the
 input stream is a PerlSAX event producer (like XML::Parser::PerlSAX) and
 the output streams are PerlSAX handlers or filters.
 .
 The SAXT constructor takes a list of hash references.  Each hash
 specifies an output handler.  The hash keys can be: DocumentHandler,
 DTDHandler, EntityResolver or Handler, where Handler is a combination
 of the previous three and acts as the default handler.  E.g. if
 DocumentHandler is not specified, it will try to use Handler.

Package: libxml-filter-sort-perl
Description-md5: 6d98c0bcfd893906a38b23ef07409b66
Description-en: Perl module that implement a SAX filter for sorting XML elements
 Perl SAX filter for sorting 'records' in XML documents (including
 documents larger than available memory).
 .
 Includes also the `xmlsort' utility that can be used to sort an XML
 file from the command line without writing Perl code.

Package: libxml-filter-xslt-perl
Description-md5: 132de43b7987c8cd1016da30001dbfeb
Description-en: Perl module for XSLT as a SAX Filter
 The XML::Filter::XSLT module implements XSLT as a SAX Filter.  At the
 moment it only uses XML::LibXSLT as an XSLT transformer, but hopefully
 others will be supported in the future (it's written with that in mind).
 .
 The beauty is you can stick other things in the transformation chain
 if you want, or you can make the writer use XML::Handler::HTMLWriter
 if you want to write out valid HTML 4.0, or you can make the
 generator something like XML::Generator::DBI.  The possibilities are
 endless.

Package: libxml-generator-perl
Description-md5: 7cb4a89b057e74b313f9f29ab2acc0eb
Description-en: Perl module for generating XML files
 XML::Generator is a simple Perl module to help in the generation of XML. You
 can create a generator object and then call a method for each tag, supplying
 the contents of that tag as parameters.

Package: libxml-generator-perldata-perl
Description-md5: f41352e6bda5e860139fd20f4e0bc73a
Description-en: module for generating SAX2 events from nested Perl data structures
 XML::Generator::PerlData provides a simple way to generate SAX2 events from
 nested Perl data structures, while providing finer-grained control over the
 resulting document streams.
 .
 Processing comes in two flavours: Simple Style and Stream Style:
 .
 In a nutshell, 'simple style' is best used for those cases where you have a
 single Perl data structure that you want to convert to XML as quickly and
 painlessly as possible. 'Stream style' is more useful for cases where you are
 receiving chunks of data (like from a DBI handle) and you want to process
 those chunks as they appear.

Package: libxml-grddl-perl
Description-md5: f2992a5e5ed3f19cc942a39357b7119d
Description-en: transform XML and XHTML to RDF
 GRDDL is a W3C Recommendation for extracting RDF data from arbitrary
 XML and XHTML via a transformation, typically written in XSLT. See
 <http://www.w3.org/TR/grddl/> for more details.
 .
 This module implements GRDDL in Perl. It offers both a low level
 interface, allowing you to generate a list of transformations
 associated with the document being processed, and thus the ability to
 selectively run the transformation; and a high-level interface where a
 single RDF model is returned representing the union of the RDF graphs
 generated by applying all available transformations.

Package: libxml-grove-perl
Description-md5: 865f03c99e610137bcd93eb617e04b81
Description-en: Perl module for accessing parsed *ML instances
 The XML::Grove module provides simple access to the information set of
 parsed XML, HTML, or SGML instances using a tree of Perl hashes.  This
 package also includes several extensions to XML::Grove that provide
 the following:
 .
  * returning element contents as a string
  * returning element contents as XML, HTML, or Canonical XML
  * processing entire groves using the visitor pattern
  * processing entire groves using PerlSAX
  * running a filter over all nodes in the grove
  * substituting values into an XML template grove
  * indexing a grove by ID or other attributes
  * accessing elements and objects via URL-like paths

Package: libxml-handler-composer-perl
Description-md5: f0a1fa884a155ad07527a035643db426
Description-en: Perl module for generating XML output
 XML::Handler::Composer is similar to XML::Writer, XML::Handler::XMLWriter,
 XML::Handler::YAWriter etc. in that it generates XML output.
 .
 This implementation may not be fast and it may not be the best
 solution for your particular problem, but it has some features that
 may be missing in the other implementations, such as:
  * Supports every output encoding that XML::UM supports - XML::UM
    supports every encoding for which there is a mapping file in the
    XML::Encoding distribution.
  * Pretty printing when used with XML::Filter::Reindent.
  * Fine control over which kind of quotes are used.
  * Supports PerlSAX interface.

Package: libxml-handler-printevents-perl
Description-md5: 43e656a95c3e6f505a8e9b54912aa6b4
Description-en: Perl module for printing PerlSAX events (for debugging)
 The XML::Handler::PrintEvents module prints the PerlSAX events it receives
 to STDOUT.  It can be useful when debugging PerlSAX filters.  It supports
 all PerlSAX handler including ignorable_whitespace.

Package: libxml-handler-trees-perl
Description-md5: af5167021988913bb21028cac55d28f2
Description-en: Perl module for building tree structures using PerlSAX handlers
 The XML::Handler::Trees module provides three PerlSAX handler classes for
 building tree structures.  XML::Handler::Tree builds the same type of tree
 as the "Tree" style in XML::Parser.  XML::Handler::EasyTree builds the same
 type of tree as the "EasyTree" style added to XML::Parser by
 XML::Parser::EasyTree.  XML::Handler::TreeBuilder builds the same
 type of tree as Sean M. Burke's XML::TreeBuilder.  These classes make
 it possible to construct these tree structures from sources other
 than XML::Parser.
 .
 All three handlers can be driven by either PerlSAX 1 or PerlSAX 2
 drivers.  In all cases, the end_document() method returns a reference
 to the constructed tree, which normally becomes the return value of
 the PerlSAX driver.

Package: libxml-handler-yawriter-perl
Description-md5: 1169ffda34423e5034c61144c2280648
Description-en: Perl module for writing XML documents
 The XML::Handler::YAWriter implements Yet Another XML::Handler::Writer.  The
 reasons for this one are needing a flexible escaping technique, and wanting
 some kind of pretty printing.

Package: libxml-hash-lx-perl
Description-md5: 8ccfdc957a06db3c43fa9cd43bb06d21
Description-en: module to convert hash to xml and vice versa using LibXML
 XML::Hash::LX is a companion for XML::LibXML. It operates with LibXML
 objects, can return or accept LibXML objects, and may be used for easy data
 transformations.
 .
 It is faster in parsing than XML::Simple, XML::Hash, XML::Twig, and of
 course much slower than XML::Bare.
 .
 It is faster in composing than XML::Hash, but slower than XML::Simple.

Package: libxml-java
Description-md5: bbf9d137f6b69bdc61e80b84842e2874
Description-en: namespace aware SAX-Parser utility library
 LibXML is a namespace aware SAX-Parser utility library. It eases the
 pain of implementing non-trivial SAX input handlers. The original
 code of these classes had been written by Peter Becker for the
 JCommon library.
 .
 LibXML replaces the JCommon-XML classes, which were not namespace aware.

Package: libxml-libxml-debugging-perl
Description-md5: 2bc23ff4d4a4dc20f33d7f210ca2fd3a
Description-en: get debugging information from XML::LibXML
 XML::LibXML::Debugging is a Perl library which adds a couple of
 additional methods to XML::LibXML::Node objects which are mostly aimed
 at helping figure out what's going on with the DOM's namespaces and
 structure. "toClarkML" produces a string of XML-like markup with
 explicit namespaces. The following XML:
 .
      <foo xmlns="http://example.com/1"
           xmlns:bar="http://example.com/2"
           bar:baz="quux" />
 .
 Might be represented as:
 .
      <{http://example.com/1}foo
           {http://www.w3.org/2000/xmlns/}XMLNS="http://example.com/1"
           {http://www.w3.org/2000/xmlns/}bar="http://example.com/2"
           {http://example.com/2}baz="quux" />
 .
 Another method "toDebuggingHash" returns a hashref suitable for dumping
 using Data::Dumper.

Package: libxml-libxml-iterator-perl
Description-md5: 5be863fa7ae57dd4de0f7688da75245e
Description-en: Iterator for XML parse-trees generated by XML::LibXML
 XML::LibXML::Iterator allows one to iterate through a DOM as it is done
 through an ordinary array, i.e. it implements the iterator part of the DOM
 Traversal and Range specification.

Package: libxml-libxml-lazybuilder-perl
Description-md5: 30b2ec40a028ab355435b353eb128e40
Description-en: easy and lazy way to create XML documents for XML::LibXML
 XML::LibXML is a Perl interface to the GNOME libxml2 library.
 .
 XML::LibXML::LazyBuilder This module significantly abridges the
 overhead of working with XML::LibXML by enabling developers to write
 concise, nested structures that evaluate into XML::LibXML objects.

Package: libxml-libxml-simple-perl
Description-md5: 11f5d29c4e09fe2fb51ea258f34f018a
Description-en: Perl module that uses the XML::LibXML parser for XML structures
 XML::LibXML::Simple is a blunt rewrite of XML::Simple (by Grant McLean) to use
 the XML::LibXML parser for XML structures, where the original uses plain Perl
 or SAX parsers.

Package: libxml-libxslt-perl
Description-md5: 5a4ed3da894c2605abd86c174ea7495c
Description-en: Perl interface to the GNOME libxslt library
 XML::LibXSLT is an XSLT Perl module, based on the GNOME libxslt library,
 which is a fast and XSLT 1.0 compliant XSLT engine.
 .
 XSLT is an XML-based language used for XML transformations. For more
 information see http://www.w3.org/TR/xslt.

Package: libxml-light-ocaml
Description-md5: 1f10af7ed10814413d5b286e6ecbef45
Description-en: mininal XML parser and printer for OCaml (runtime package)
 This is a XML parser and printer, designed to help parsing basic XML document
 into OCaml data structures and printing it back to a XML document.
 .
 It also supports DTD.

Package: libxml-light-ocaml-dev
Description-md5: bebbd435614b9cfa50065280ec499e1e
Description-en: mininal XML parser and printer for OCaml (development package)
 This is a XML parser and printer, designed to help parsing basic XML document
 into OCaml data structures and printing it back to a XML document.
 .
 It also supports DTD.

Package: libxml-maven-plugin-java
Description-md5: 9d9ea8e6be29f6982c89f032a857c443
Description-en: Maven XML Plugin
 A plugin for various XML related tasks like validation, transformation,
 and the like.
 The plugin offers the following goals:
  * xml:validate validates XML files against a schema.
    If the files aren't matching a certain schema, one may simply check,
    whether they are well formed.
  * xml:transform transforms XML files by applying XSLT stylesheets.

Package: libxml-mini-perl
Description-md5: 7b5d3e907cb5c9efdf6726f2df925611
Description-en: Perl implementation of the MiniXML XML generator and parser
 XML::Mini is a set of Perl classes that allow you to access
 XML data and create valid XML output with a tree-based hierarchy of
 elements.
 .
 It provides an easy, object-oriented interface for manipulating XML
 documents and their elements. It is currently being used to send
 requests and understand responses from remote servers in Perl
 applications.
 .
 XML::Mini does not require any external libraries or modules and is pure Perl.

Package: libxml-namespace-perl
Description-md5: a81280378b9fd4ac24d34767b9457b26
Description-en: simple support for XML namespaces
 XML::Namespace is a Perl module implementing a simple object for
 representing XML Namespaces.  It provides little more than some
 syntactic sugar for your Perl programs, saving you the bother of typing
 lots of long-winded URIs.

Package: libxml-namespacefactory-perl
Description-md5: 4384a80065ca88b71fd941df6e100c4a
Description-en: simple factory objects for SAX namespaced names
 A number of accessors for namespaces in SAX use the JClark notation,
 {namespace}local-name. Those are a bit painful to type repeatedly, and
 somewhat error-prone as hash keys. XML::NamespaceFactory makes life
 easier.

Package: libxml-node-perl
Description-md5: f641288be3bfe370b807c39c088b2d53
Description-en: Perl module for parsing XML files node based
 The XML::Node module allows you to register callback functions or variables
 for any XML node.  If you register a call back function, it will be
 called when the node of the type you specified are encountered.  If
 you register a variable, the content of an XML node will be appended
 to that variable automatically.

Package: libxml-nodefilter-perl
Description-md5: eca31afbb425875d89552a6630b3654b
Description-en: Perl module for a generic node-filter class for DOM traversal
 The XML::NodeFilter module is a generic node-filter class for DOM traversal
 as specified in the DOM Level 2 Traversal and Range specification.  It
 extends that specification so this class is more easy to use for Perl
 programmers.

Package: libxml-opml-perl
Description-md5: 3a239f773a2480a981d20f1d076071b1
Description-en: Perl module to create and update OPML files
 The XML::OPML module is designed to allow for easy creation and manipulation
 of OPML files. OPML files are most commonly used for the sharing of
 blogrolls or subscriptions - an outlined list of what other blogs an
 Internet blogger reads.

Package: libxml-opml-simplegen-perl
Description-md5: d0d05ce24d64bdb754bf12e67ef7a2ac
Description-en: module for creating OPML using XML::Simple
 XML::OPML::SimpleGen lets you simply generate OPML documents without having
 too much to worry about. It is a drop-in replacement for XML::OPML in regards
 of generation. As this module uses XML::Simple it is rather generous in
 regards of attribute or element names.

Package: libxml-parser-easytree-perl
Description-md5: 69fc73fd783f8f701a3138bcd1bf5e31
Description-en: easier tree style for XML::Parser
 XML::Parser::EasyTree adds a new "built-in" style called "EasyTree" to
 XML::Parser. Like XML::Parser's "Tree" style, setting this style causes the
 parser to build a lightweight tree structure representing the XML document.
 This structure is, at least in this author's opinion, easier to work with
 than the one created by the built-in style.
 .
 When the parser is invoked with the EasyTree style, it returns a reference to
 an array of tree nodes, each of which is a hash reference. All nodes have a
 'type' key whose value is the type of the node: 'e' for element nodes, 't'
 for text nodes, and 'p' for processing instruction nodes. All nodes also have
 a 'content' key whose value is a reference to an array holding the element's
 child nodes for element nodes, the string value for text nodes, and the data
 value for processing instruction nodes. Element nodes also have an 'attrib'
 key whose value is a reference to a hash of attribute names and values.
 Processing instructions also have a 'target' key whose value is the PI's
 target.

Package: libxml-parser-lite-perl
Description-md5: 3549fc9c839fef5244cfb244bee4fd19
Description-en: lightweight regexp-based XML parser
 XML::Parser::Lite implements an XML parser with an interface similar to
 XML::Parser. Though not all callbacks are supported, you should be able to
 use it in the same way you use XML::Parser.
 .
 Note that you cannot use regular expressions or split in callbacks. This is
 due to a limitation of perl's regular expression implementation (which is not
 re-entrant).

Package: libxml-parser-lite-tree-perl
Description-md5: 49e880561dda7c8717911ecdf4105343
Description-en: lightweight XML tree builder
 XML::Parser::Lite::Tree is a singleton class for parsing XML into a tree
 structure. It differs from other XML tree generators because it is based on
 XML::Parser::Lite, which is a Pure Perl XML parser. Using this module you can
 tree-ify simple XML without having to compile any C.

Package: libxml-perl
Description-md5: 090e132f6119a4673ee8d32d2fc6ac2a
Description-en: Perl modules for working with XML
 libxml-perl is a collection of smaller Perl modules, scripts, and
 documents for working with XML in Perl.  libxml-perl software
 works in combination with XML::Parser, PerlSAX, XML::DOM,
 XML::Grove and others.

Package: libxml-quote-perl
Description-md5: 87975fac5f26396aa7c8e908b19837be
Description-en: XML quote/dequote functions
 XML::Quote provides functions to quote/dequote strings in "xml"-way.
 .
 All functions are written in XS and are very fast; they correctly process
 utf8, tied, overloaded variables and all the rest of perl "magic".

Package: libxml-regexp-perl
Description-md5: 10fc2bc96be2a78c9326442a7aad7058
Description-en: Perl module for regular expressions for XML tokens
 The XML::RegExp module provides regular expressions for the following XML
 tokens: BaseChar, Ideographic, Letter, Digit, Extender,
 CombiningChar, NameChar, EntityRef, CharRef, Reference, Name,
 NmToken, and AttValue.
 .
 The definitions of these tokens were taken from the XML spec
 (Extensible Markup Language 1.0) at http://www.w3.org/TR/REC-xml.
 .
 Also contains the regular expressions for the following tokens from
 the XML Namespaces spec at http://www.w3.org/TR/REC-xml-names:
 NCNameChar, NCName, QName, Prefix and LocalPart.

Package: libxml-rpc-fast-perl
Description-md5: 182b29e60814d6222a34d0120d0f0c48
Description-en: fast and modular implementation for an XML-RPC client and server
 XML::RPC::Fast is format-compatible with XML::RPC, but may use different
 encoders to parse/compose xml. The currently included encoder uses
 XML::LibXML, and is 3 times faster than XML::RPC and 75% faster than the
 XML::Parser implementation.

Package: libxml-rss-feed-perl
Description-md5: 629d3c756a4e1b6fc27d15c5e448c467
Description-en: Perl module for Persistent XML RSS (RDF Site Summary) Encapsulation
 This module is a framework for persistent XML RSS (RDF Site Summary)
 Encapsulation. This module provides a framework for watching multiple
 RSS sources. The magic is in the late_breaking_news method that returns
 only headlines it hasn't seen.
 .
 If you want a non-blocking way to watch multiple RSS sources with one
 process use POE::Component::RSSAggregator (libpoe-component-rssaggregator)
 .
 Homepage: http://search.cpan.org/~jbisbee/XML-RSS-Feed/

Package: libxml-rss-libxml-perl
Description-md5: 4ddf841e84e9b417ba3c810408b6df86
Description-en: module for creating, parsing, updating RSS files with XML::LibXML
 XML::RSS::LibXML uses XML::LibXML (libxml2) for parsing RSS instead of
 XML::RSS' XML::Parser (expat), while trying to keep interface compatibility
 with XML::RSS.
 .
 XML::RSS is an extremely handy tool, but it is unfortunately not exactly
 the most lean or efficient RSS parser, especially in a long-running process.
 .
 Use this module when you have severe performance requirements working with RSS
 files.

Package: libxml-rss-perl
Description-md5: efb7a357334b8b34e489dc5a053afc42
Description-en: Perl module for managing RSS (RDF Site Summary) files
 XML::RSS is a Perl module that provides a simple way to work with RDF Site
 Summary (RSS) files. This distribution also contains many examples that allow
 you to generate HTML from an RSS feed, convert between the various versions
 of the standard (0.9, 0.91 and 1.0), and other nifty things.
 .
 This module is helpful if you want to aggregate and display news feeds on your
 web site or if you want to syndicate your own content.

Package: libxml-rss-simplegen-perl
Description-md5: b6173b900eebf82511ac40abd9c27b43
Description-en: Perl module for easily writing RSS files
 XML::RSS::SimpleGen is a module is for writing RSS files, simply. It
 transparently handles all the unpleasant details of RSS, like proper
 XML escaping, and also has a good number of Do-What-I-Mean features,
 like not changing the modtime on a written-out RSS file if the file
 content hasn't changed, and like automatically removing any HTML tags
 from content you might pass in.
 .
 This module isn't meant to have the full expressive power of RSS;
 instead, it provides functions that are most commonly needed by
 RSS-writing programs.

Package: libxml-rsslite-perl
Description-md5: bfa787b9a9f92e2a4a71e80b9df616ff
Description-en: lightweight, "relaxed" RSS (and XML-ish) parser
 XML::RSSLite attempts to extract the maximum amount of content from
 available documents, and is less concerned with XML compliance than
 alternatives. Rather than rely on XML::Parser, it uses heuristics and good
 old-fashioned Perl regular expressions. It stores the data in a simple
 hash structure, and "aliases" certain tags so that when done, you can
 count on having the minimal data necessary for re-constructing a valid
 RSS file. This means you get the basic title, description, and link for a
 channel and its items.
 .
 This module extracts more usable links by parsing "scriptingNews" and
 "weblog" formats in addition to RDF & RSS. It also "sanitizes" the
 output for best results.

Package: libxml-sax-expat-incremental-perl
Description-md5: 8006e8666d9005b5a3ad42b299d4a17c
Description-en: XML::SAX::Expat subclass for non-blocking (incremental) parsing
 Most XML parsers give a callback interface within an encapsulated loop and
 eventually, when the XML source is depleted by the parser, the parse function
 will return.
 .
 Sometimes you don't want the parser to control the loop for you. For example,
 if you need to retrieve your XML in chunks in a funny way, you might need to
 use a kind of iterator to get your XML data.
 .
 This module provides this possibility.

Package: libxml-sax-expatxs-perl
Description-md5: 36a61e43ab6f7961e8f28a46681399d7
Description-en: Perl SAX 2 XS extension to Expat parser
 XML::SAX::ExpatXS is a direct XS extension to Expat XML parser. It implements
 Perl SAX 2.1 interface. See http://perl-xml.sourceforge.net/perl-sax/ for
 Perl SAX API description. Any deviations from the Perl SAX 2.1 specification
 are considered as bugs.

Package: libxml-sax-machines-perl
Description-md5: 4e5318a6853fb2bf897a0ff406e498e2
Description-en: Perl module collection for managing SAX processors
 XML::SAX::Machines provides a collection of APIs that allow developers to
 build complex Simple API for XML (SAX) machines quickly and minimalistically.
 SAX machines are a way to gather and manage SAX processors. Each machine can
 also be used individually as SAX processors; they do not need to parse or
 write anything.

Package: libxml-sax-writer-perl
Description-md5: 9162abd02009c1134cce2671337f9586
Description-en: Perl module for a SAX2 XML writer
 XML::SAX::Writer helps to serialize SAX2 representations of XML documents to
 strings, files, and other flat representations. It handles charset encodings,
 XML escaping conventions, and so forth. It is still considered alpha,
 although it has been put to limited use in settings such as XML::LibXML and
 the AxKit XML Application Server.

Package: libxml-saxon-xslt2-perl
Description-md5: 552061a6a2f7186507d7202040baea53
Description-en: process XSLT 2.0 using Saxon 9.x
 XML::Saxon::XSLT2 is a Perl module implementing XSLT 1.0 and 2.0 using
 Saxon 9.x via Inline::Java.

Package: libxml-security-c-dev
Description-md5: 9e881e3de40c45532f8422e7dad950d2
Description-en: C++ library for XML Digital Signatures (development)
 Apache XML Security for C++ is a library for the XML
 Digital Security specification.  It provides processing and handling of XML
 Key Management Specifications (XKMS) messages.
 .
 This package contains the Apache XML Security for C++ development files.

Package: libxml-security-c20
Description-md5: 54564a43c630291442c368f4aca3f302
Description-en: C++ library for XML Digital Signatures (runtime)
 Apache XML Security for C++ is a library for the XML
 Digital Security specification.  It provides processing and handling of XML
 Key Management Specifications (XKMS) messages.
 .
 This package contains the files necessary for running applications that
 use the Apache XML Security for C++ library.

Package: libxml-security-java
Description-md5: 62a719ba8b2fafc1e5baa589c61092be
Description-en: Apache Santuario -- XML Security for Java
 Apache Santuario supports XML-Signature Syntax and Processing, W3C
 Recommendation 12 February 2002, and XML Encryption Syntax and Processing, W3C
 Recommendation 10 December 2002. As of version 1.4, the Java library supports
 the standard Java API JSR-105: XML Digital Signature APIs.

Package: libxml-security-java-doc
Description-md5: dbabdf1f6ed2af0820534845d30f6eb2
Description-en: Documentation for Apache Santuario
 Apache Santuario supports XML-Signature Syntax and Processing, W3C
 Recommendation 12 February 2002, and XML Encryption Syntax and Processing, W3C
 Recommendation 10 December 2002. As of version 1.4, the Java library supports
 the standard Java API JSR-105: XML Digital Signature APIs.
 .
 This package contains the API documentation of libxml-security-java.

Package: libxml-semanticdiff-perl
Description-md5: 21ef52ab9d73ac8b09f9412f21fb5158
Description-en: Perl extension for comparing XML documents
 This Perl module, XML::SemanticDiff, provides a library
 to semantically compare two XML files for equivalence.
 This is done while ignoring whitespace variations in the text
 as well as several permutations in the order of the tags.

Package: libxml-simpleobject-enhanced-perl
Description-md5: 6c90ff1d0814ee8c6132303018364324
Description-en: Perl module which enhances libxml-simpleobject-perl
 XML::SimpleObject is a short and simple class allowing simple object
 access to a parsed XML::Parser tree, with methods for fetching children
 and attributes in as clean a manner as possible.
 .
 This is a small and quick module, with easy and compact usage. See
 XML::SimpleObject::LibXML for the same interface for XML::LibXML.
 .
 This package enhances the functionality of XML::SimpleObject.

Package: libxml-simpleobject-libxml-perl
Description-md5: df71927b3d00f0cc44e77a33a6cdace3
Description-en: Simple oo representation of an XML::LibXML DOM object
 XML::SimpleObject is a short and simple class allowing simple object
 access to a parsed XML::Parser tree, with methods for fetching children
 and attributes in as clean a manner as possible.
 .
 This is a small and quick module, with easy and compact usage. Some
 will rightfully question placing another interface over the DOM methods
 provided by XML::LibXML, but others appreciate the total simplicity
 provided by this module, despite its limitations.

Package: libxml-simpleobject-perl
Description-md5: 74adaf59586a4957f37c47999e32f5bb
Description-en: Objectoriented Perl interface to a parsed XML::Parser tree
 XML::SimpleObject is a short and simple class allowing simple object
 access to a parsed XML::Parser tree, with methods for fetching children
 and attributes in as clean a manner as possible.
 .
 This is a small and quick module, with easy and compact usage. See
 XML::SimpleObject::LibXML for the same interface for XML::LibXML.

Package: libxml-smart-perl
Description-md5: 0b8550fa7fbde3fc8f7921af6d5b858d
Description-en: Perl module for access to parsed XML trees
 With the XML::Smart module, nodes in an XML tree made with XML::LibXML can
 be accessed equally conveniently with the Perl syntax for hashes and arrays.
 It also provides convenience features such as searching for nodes by
 attribute, selection of an attribute value in each of multiple nodes,
 change the format of returned data, and so forth.
 .
 The module also professes to automatically handle binary data
 (encoding/decoding to/from base64), CDATA sections (used for text
 content with unbalanced <tags> and so forth), and Unicode.  It can be
 used to create XML files and load XML from the Web (just pasting an URL
 as a file path).  It also provides an easy way to send XML data through
 sockets, adding the length of the data in the <?xml?> header.

Package: libxml-stream-perl
Description-md5: db3a059fc8451e2a9620e3b108431ecb
Description-en: module for manipulating streaming XML data
 XML::Stream is a Perl module that provides the user with methods to connect
 to a remote server, send a stream of XML to the server and receive/parse an
 XML stream from the server.
 .
 It is primarily based on work for the Jabber Development Team's Etherx XML
 Router. For details, see <URL:http://xmpp.org/protocols/streams/>

Package: libxml-struct-perl
Description-md5: 45beb439bdb37321af5315add9767f73
Description-en: represent XML as data structure preserving element order
 XML::Struct implements a mapping between XML and Perl data structures.
 By default, the mapping preserves element order,
 so it also suits for "document-oriented" XML.
 In short, an XML element is represented
 as array reference with three parts:
 .
   [ $name => \%attributes, \@children ]
 .
 This data structure corresponds to the abstract data model
 of MicroXML <http://www.w3.org/community/microxml/>,
 a simplified subset of XML.
 .
 If your XML documents don't contain relevant attributes,
 you can also choose to map to this format:
 .
   [ $name => \@children ]
 .
 Both parsing (with XML::Struct::Reader or function readXML)
 and serializing (with XML::Struct::Writer or function writeXML)
 are fully based on XML::LibXML,
 so performance is better than XML::Simple
 and similar to XML::LibXML::Simple.

Package: libxml-structured-perl
Description-md5: 72bdd815b6da13c147bee46ca1938457
Description-en: module to convert XML data into a predefined Perl data structure and back
 The XML::Structured module provides a way to convert XML data into a
 predefined Perl data structure and back to XML. Unlike with modules like
 XML::Simple it is an error if the XML data does not match the provided
 skeleton (the "dtd"). Another advantage is that the order of the attributes
 and elements is taken from the dtd when converting back to XML.

Package: libxml-tidy-perl
Description-md5: 492f023dec5388a426f354763c76678c
Description-en: module for tidy indenting of XML documents
 XML::Tidy creates XML document objects (with inheritance from XML::XPath) to
 tidy mixed-content (ie. non-data) text node indenting. There are also some
 other handy member functions to compress && expand your XML document object
 (into either a compact XML representation or a binary one).

Package: libxml-tmx-perl
Description-md5: 188e360749e84f72a2228b11ad59bb8f
Description-en: Perl extensions for managing TMX files
 XML::TMX is a collection of modules that provide functions to deal with
 TMX (Translation Memory eXchange) files, like creating new files, or
 reading and processing existing files. It also provides tools to create
 TMX files from other sources.

Package: libxml-tokeparser-perl
Description-md5: 47cd1f8e2c524c01f8a3475b04a9e603
Description-en: Simplified interface to XML::Parser
 XML::TokeParser provides a procedural ("pull mode") interface to XML::Parser
 in much the same way that Gisle Aas' HTML::TokeParser provides a procedural
 interface to HTML::Parser. XML::TokeParser splits its XML input up into
 "tokens," each corresponding to an XML::Parser event.
 .
 A token is a bless'd|"XML::TokeParser::Token" reference to an array whose
 first element is an event-type string and whose last element is the literal
 text of the XML input that generated the event, with intermediate elements
 varying according to the event type.
 .
 Each token is an object of type
 XML::TokeParser::Token|"XML::TokeParser::Token". Read
 "XML::TokeParser::Token"|"XML::TokeParser::Token" to learn what methods are
 available for inspecting the token, and retrieving data from it.

Package: libxml-treebuilder-perl
Description-md5: 7a017537cc672eca6f6c3929f4693cfd
Description-en: XML parser providing XML::Elements DOM similar to HTML::Element
 XML::Treebuilder uses XML::Parser to make XML document trees constructed of
 XML::Element objects (and XML::Element is a subclass of HTML::Element adapted
 for XML).
 .
 XML::TreeBuilder is meant particularly for people who are used to
 the HTML::TreeBuilder / HTML::Element interface to document trees, and who
 don't want to learn some other document interface like XML::Twig or XML::DOM.

Package: libxml-treepp-perl
Description-md5: 9df6f888542c94fd8f2fbf359b273a76
Description-en: Pure Perl module for parsing/writing XML files
 XML::TreePP module parses an XML file and expands it into a hash tree. And it
 also generates an XML file from a hash tree. This is a pure Perl
 implementation. You can also download XML from remote web server like
 XMLHttpRequest object in JavaScript.

Package: libxml-treepuller-perl
Description-md5: 081a1491206bb78221a8abda583df96e
Description-en: pull interface to work with XML document fragments
 XML::TreePuller implements a tree-oriented XML pull processor using a
 combination of XML::LibXML::Reader and an object-oriented interface around
 the output of XML::CompactTree. It provides a fast and convenient way to
 access the content of extremely large XML documents serially.

Package: libxml-um-perl
Description-md5: 391d71a2ce348927b9a38cdbe5090095
Description-en: Perl module for converting UTF-8 strings
 The XML::UM module provides methods to convert UTF-8 strings to any XML
 encoding that XML::Encoding supports.  It creates mapping routines
 from the .xml files that can be found in the maps/ directory in the
 XML::Encoding distribution.  Note that the XML::Encoding distribution
 does install the .enc files in your perl directory, but not the.xml
 files they were created from.  That's why you have to specify $ENCDIR
 as in the SYNOPSIS.
 .
 This implementation uses the XML::Encoding class to parse the .xml
 file and creates a hash that maps UTF-8 characters (each consisting
 of up to 4 bytes) to their equivalent byte sequence in the specified
 encoding.  Note that large mappings may consume a lot of memory!
 .
 Future implementations may parse the .enc files directly, or do the
 conversions entirely in XS (i.e. C code).

Package: libxml-validate-perl
Description-md5: 76973e6d96720d5798c999657dfb93a8
Description-en: xml validator factory
 XML::Validate is a generic interface to different XML validation backends.
 For a list of backend included with this distribution see the README.
 .
 If you want to write your own backends, the easiest way is probably to
 subclass XML::Validate::Base. Look at the existing backends for examples.

Package: libxml-validator-schema-perl
Description-md5: 86017a0f87bf492d518030ea8825a6b4
Description-en: Perl module to validate XML against a subset of W3C XML Schema
 XML::Validator::Schema allows you to validate XML documents against a W3C XML
 Schema. This module does not implement the full W3C XML Schema recommendation
 (http://www.w3.org/XML/Schema), but a useful subset.

Package: libxml-writer-simple-perl
Description-md5: c95be297ccb1ac0029df25c5201ce51c
Description-en: simple API to create XML files
 XML::Writer::Simple takes some ideas from CGI to make easier the life for
 those who need to generated XML code.

Package: libxml-writer-string-perl
Description-md5: 23abf97ea22b5fa5f39bc57203434446
Description-en: Capture output from XML::Writer
 XML::Writer::String implements a bare-bones class specifically for the
 purpose of capturing data from the XML::Writer module. XML::Writer expects an
 IO::Handle object and writes XML data to the specified object (or STDOUT) via
 it's print() method. This module simulates such an object for the specific
 purpose of providing the required print() method.
 .
 It is recommended that $writer->end() is called prior to calling $s->value()
 to check for well-formedness.

Package: libxml-xpath-perl
Description-md5: 98291606a14c944deec6caf39cd7a395
Description-en: Perl module for processing XPath
 The XML::XPath module implements the W3C's XPath specification.  It aims to
 be completely correct to the letter of the spec, and yet still allow
 extensions through the use of functions and variables, such as are
 provided by XSLT and XPointer.
 .
 Besides the Perl modules it also provides a command line tool named
 "xpath" to query XPath statements in XML documents.

Package: libxml-xql-perl
Description-md5: 5b1a1ea5186d02f32acfc65a5d687bef
Description-en: Perl module for querying XML tree structures with XQL
 The XML::XQL module implements the XQL (XML Query Language) proposal
 submitted to the XSL Working Group in September 1998.  The spec can
 be found at: http://www.w3.org/TandS/QL/QL98/pp/xql.html.  Most of
 the contents related to the XQL syntax can also be found in the
 XML::XQL::Tutorial that comes with this distribution.  Note that XQL
 is not the same as XML-QL!
 .
 The current implementation only works with the XML::DOM module, but
 once the design is stable and the major bugs are flushed out, other
 extensions might follow, e.g. for XML::Grove.
 .
 XQL was designed to be extensible and this implementation tries to
 stick to that.  Users can add their own functions, methods,
 comparison operators and data types.  Plugging in a new XML tree
 structure (like XML::Grove) should be a piece of cake.

Package: libxml-xslt-perl
Description-md5: 22907c769434059721a21651df640167
Description-en: Perl module for processing XSLT
 The XML::XSLT module parses XSL Transformational sheets.  For a description
 of the XSLT, see http://www.w3.org/TR/xslt.  Currently, it uses XML::Parser
 and XML::DOM, but an effort is being made to use XML::XPath.

Package: libxml-xupdate-libxml-perl
Description-md5: 2d6f69c6660fee790a9a0cb623562fa9
Description-en: Perl module that implements XUpdate modification language
 XUpdate is a language for expressing modifications to be performed
 on an XML document, and XML::XUpdate::LibXML provides the methods
 for applying such updates. The module implements the XUpdate format
 as described in the latest XUpdate Working Draft.

Package: libxmlada-doc
Description-md5: a971803e980f758d6458db88432e6c73
Description-en: XML/Ada, a full XML suite for Ada programmers (documentation)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the documentation in text, PDF and HTML.

Package: libxmlada-dom6
Description-md5: 9bd9bbfa87ec6fa5c21495710aae1901
Description-en: XML/Ada, a full XML suite for Ada programmers (dom runtime)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the dom runtime shared library.

Package: libxmlada-dom9-dev
Description-md5: c3e792418bd30d2fd2274e5f8dbb3206
Description-en: XML/Ada, a full XML suite for Ada programmers (dom)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the development tools for the dom module.

Package: libxmlada-input6
Description-md5: a644612a8d8e04792655e04f87c00da7
Description-en: XML/Ada, a full XML suite for Ada programmers (input sources runtime)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the input sources runtime shared library.

Package: libxmlada-input9-dev
Description-md5: 30715222a1bf654d9b951b7ad78ebed0
Description-en: XML/Ada, a full XML suite for Ada programmers (input sources)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the development tools for the input sources module.

Package: libxmlada-sax6
Description-md5: 7543c14a6a51ea5f5056e02ce3d40c1b
Description-en: XML/Ada, a full XML suite for Ada programmers (sax runtime)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the sax runtime shared library.

Package: libxmlada-sax9-dev
Description-md5: e11a888973742c79d168f5c9ceca6079
Description-en: XML/Ada, a full XML suite for Ada programmers (sax)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the development tools for the sax module.

Package: libxmlada-schema6
Description-md5: 2ad7a27da2180152a950a98d6b3423ee
Description-en: XML/Ada, a full XML suite for Ada programmers (schema runtime)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the schema runtime shared library.

Package: libxmlada-schema9-dev
Description-md5: 85149c6929770758020c060e5577e5ca
Description-en: XML/Ada, a full XML suite for Ada programmers (schema)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the development tools for the schema module,
 and an xmlada.gpr project importing the whole XML/Ada library.

Package: libxmlada-unicode6
Description-md5: 20338fdf91d95dca39805a05a29c1e7e
Description-en: XML/Ada, a full XML suite for Ada programmers (unicode runtime)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the unicode runtime shared library.

Package: libxmlada-unicode9-dev
Description-md5: 7c9f2b21d64e3be7976a7afb0cce9ad7
Description-en: XML/Ada, a full XML suite for Ada programmers (unicode)
 XML/Ada is a set of modules that provide a simple manipulation of XML
 streams. It supports the whole XML 1.0 specifications, and can parse
 any file that follows this standard (including the contents of the
 DTD).  It also provides support for a number of other standard
 associated with XML, like SAX, DOM, and XML Schemas.  In addition, it
 includes a module to manipulate Unicode streams, since this is required
 by the XML standard.
 .
 This package contains the development tools for the unicode module.

Package: libxmlb-tests
Description-md5: 1a0d63999c1c66857ebe0e850eb53a14
Description-en: Installed tests for libxmlb1
 The libxmlb library takes XML source, and
 converts it to a structured binary representation with a deduplicated string
 table -- where the strings have the NULs included.
 .
 This allows an application to mmap the binary XML file, do an XPath query and
 return some strings without actually parsing the entire document. This is all
 done using (almost) zero allocations and no actual copying of the binary data.
 .
 This package contains the installed tests for libxmlb1.

Package: libxmlbeans-java
Description-md5: e2e8a3e123e327293ed0fb099aace377
Description-en: Java library for accessing XML by binding it to Java types
 XMLBeans is a technology for accessing XML by binding it to Java types.
 .
 XMLBeans provides several ways to get at the XML, including:
  * Through XML schema that has been compiled to generate Java types that
    represent schema types. In this way, you can access instances of the
    schema through JavaBeans-style accessors after the fashion of "getFoo"
    and "setFoo". The XMLBeans API also allows you to reflect into the XML
    schema itself through an XML Schema Object model.
  * A cursor model through which you can traverse the full XML infoset.
  * Support for XML DOM.

Package: libxmlbeans-maven-plugin-java
Description-md5: 947d34a247c380225de83c0b2bd147a2
Description-en: Maven XMLBeans Plugin
 Maven plugin which parses xsd files and produces a corresponding
 object model based on the Apache XML Beans parser.
 .
 The plugin produces two sets of output files referred to as
 generated sources and generated classes.

Package: libxmlbird-dev
Description-md5: db5a29fe64b9359cd3e32d95648b2c8b
Description-en: XML parser written in Vala (development files)
 XML Bird is a library for parsing documents written in the Exensible Markup
 Language (XML). This parser is written in Vala and has support for Vala
 iterators. This makes it possible to loop over all tags and attributes in
 the document using the foreach statement.
 .
 This package contains development files.

Package: libxmlbird1
Description-md5: 7a2b7cbeebd64a232525e73053d3e248
Description-en: XML parser written in Vala
 XML Bird is a library for parsing documents written in the Exensible Markup
 Language (XML). This parser is written in Vala and has support for Vala
 iterators. This makes it possible to loop over all tags and attributes in
 the document using the foreach statement.

Package: libxmlenc-java
Description-md5: c4c72ee81cf581aae0536b00c39adbac
Description-en: Fast stream-based XML output library for java
 Lightweight XML encoding library for Java, optimized for performance. It
 fills the gap between a light-weight parser like SAX, and a heavy-weight
 XML output library, like JDOM.

Package: libxmlezout6
Description-md5: 5d6668f857c0e719d1060660427e1b18
Description-en: Easy-to-use library for emitting XML from Ada programs, runtime
 XML EZ Out is a small set of packages intended to aid the creation of
 XML-formatted output from within Ada programs. It basically wraps the tags and
 data provided to it with XML syntax and writes them to a user-supplied medium.
 .
 This medium can be any sort of writable entity, such as a file, a
 memory buffer, or even a communications link, such as a socket. The
 only functionality required of the medium is that it supply a
 meaningful "Put" (for writing a string) and "New_Line" procedure.
 .
 This is the runtime library for XML EZ OUT.

Package: libxmlezout7-dev
Description-md5: a6cd3eef7937b641524668b732209bcf
Description-en: Easy-to-use library for emitting XML from Ada programs, dev files
 XML EZ Out is a small set of packages intended to aid the creation of
 XML-formatted output from within Ada programs. It basically wraps the tags and
 data provided to it with XML syntax and writes them to a user-supplied medium.
 .
 This medium can be any sort of writable entity, such as a file, a
 memory buffer, or even a communications link, such as a socket. The
 only functionality required of the medium is that it supply a
 meaningful "Put" (for writing a string) and "New_Line" procedure.
 .
 This package contains file needed to develop applications with XML EZ OUT.

Package: libxmlgraphics-commons-java
Description-md5: 70f3977c38c425fc4b7d846f700b68bf
Description-en: Reusable components used by Batik and FOP
 Apache XML Graphics Commons is a library that consists of several
 reusable components used by Apache Batik and Apache FOP. Many of these
 components can easily be used separately outside the domains of SVG and
 XSL-FO. You will find components such as a PDF library, an RTF library,
 Graphics2D implementations that let you generate PDF & PostScript
 files, and much more.

Package: libxmlgraphics-commons-java-doc
Description-md5: b0068e0c04986cd51ea594e707592bfb
Description-en: Reusable components used by Batik and FOP (documentation)
 Apache XML Graphics Commons is a library that consists of several
 reusable components used by Apache Batik and Apache FOP. Many of these
 components can easily be used separately outside the domains of SVG and
 XSL-FO. You will find components such as a PDF library, an RTF library,
 Graphics2D implementations that let you generate PDF & PostScript
 files, and much more.
 .
 This package includes the documentation.

Package: libxmlm-ocaml-dev
Description-md5: 26bca4f8eb5299fab2018076125f3d23
Description-en: OCaml xml manipulation module
 Xmlm allows the OCaml programmer to manipulate xml data.
 Its complexity is half-way between the easy xml-light module and
 a full parsing of xml data.
 .
 It is also very simple to upgrade existing code using xml-light
 in order to use xmlm.
 .
 This package contains all the development stuff you need to develop
 OCaml programs which use xmlm.

Package: libxmlplaylist-ocaml-dev
Description-md5: b8e317c40a607e94643f7ea6b9f691e8
Description-en: Playlist parser for various xml formats
 This module implements basic playlist parsing
 for various xml formats.
 .
 Currently supported formats are:
  * podcast (rss)
  * xspf
  * smil
  * asx

Package: libxmlrpc-c++8-dev
Description-md5: d207652345ee247a142005fae8b326c3
Description-en: Lightweight RPC library based on XML and HTTP [C++ development libraries]
 XML-RPC is a quick-and-easy way to make procedure calls over the Internet.
 It converts the procedure call into an XML document, sends it to a remote
 server using HTTP, and gets back the response as XML.
 .
 This library provides a modular implementation of XML-RPC for C++.
 .
 Install this package if you wish to develop your own programs using this
 library.

Package: libxmlrpc-c++8v5
Description-md5: d23b73ec6571b68cd5e8a642f9a893bc
Description-en: Lightweight RPC library based on XML and HTTP [C++ runtime libraries]
 XML-RPC is a quick-and-easy way to make procedure calls over the Internet.
 It converts the procedure call into an XML document, sends it to a remote
 server using HTTP, and gets back the response as XML.
 .
 This library provides a modular implementation of XML-RPC for C++.

Package: libxmlrpc-core-c3
Description-md5: 4f127ef989e16839d1d756b7c28a87c4
Description-en: Lightweight RPC library based on XML and HTTP [C runtime libraries]
 XML-RPC is a quick-and-easy way to make procedure calls over the Internet.
 It converts the procedure call into an XML document, sends it to a remote
 server using HTTP, and gets back the response as XML.
 .
 This library provides a modular implementation of XML-RPC for C.

Package: libxmlrpc-core-c3-dev
Description-md5: 410eeb60d02eb824ca7ab34ef75e2acb
Description-en: Lightweight RPC library based on XML and HTTP [C development libraries]
 XML-RPC is a quick-and-easy way to make procedure calls over the Internet.
 It converts the procedure call into an XML document, sends it to a remote
 server using HTTP, and gets back the response as XML.
 .
 This library provides a modular implementation of XML-RPC for C.
 .
 Install this package if you wish to develop your own programs using this
 library.

Package: libxmlrpc-light-ocaml-dev
Description-md5: 9c3322ecfbf7156723642a419bac59c3
Description-en: XmlRpc-Light is an XmlRpc library written in OCaml
 XmlRpc Light is a minimal XmlRpc library based on Xml Light
 and Ocamlnet.
 .
 It provides a type for values, a client class with a simple
 calling interface, and low-level tools that can be used to
 implement a server.

Package: libxmlrpc-lite-perl
Description-md5: 45abc727f35f1fecf090145a58bf0041
Description-en: client and server implementation of the XML-RPC protocol
 XMLRPC::Lite provides a simple interface to the XML-RPC protocol on both
 client and server side. Based on SOAP::Lite, it gives you access to all
 features and transports available in that module.

Package: libxmlrpcpp-dev
Description-md5: 50b267665f68a8fb66a6489534349c47
Description-en: development files for Robot OS libxmlrpcpp
 This package is part of Robot OS (ROS). It contains the development
 files for the XmlRpc++ library, which is a C++ implementation of the XML-RPC
 protocol. This version is heavily modified from the package available
 on SourceForge in order to support roscpp's threading model. As such,
 it's a maintained fork by OSRF.

Package: libxmlrpcpp2d
Description-md5: 94d537a9740a053f48d936d01ba9b031
Description-en: Robot OS version of xml-rpc protocol library
 This package is part of Robot OS (ROS). It contains the XmlRpc++
 library, which is a C++ implementation of the XML-RPC protocol. This
 version is heavily modified from the package available on SourceForge
 in order to support roscpp's threading model. As such, it's a
 maintained fork by OSRF.

Package: libxmltok1
Description-md5: 55f96af14bce730493419df6c386f436
Description-en: XML Parser Toolkit, runtime libraries
 Libraries for XML parsing in C.  It aims to be fully conforming. It
 is currently not a validating XML processor.
 .
 The library libxmltok contains a low-level library for tokenizing
 XML.  The library libxmlparse contains an XML parser library which is
 built on top of the xmltok library.

Package: libxmltok1-dev
Description-md5: 0d1149b4ca0729bc066709ca4a3c27ee
Description-en: XML Parser Toolkit, developer libraries
 Libraries for XML parsing in C.  It aims to be fully conforming. It
 is currently not a validating XML processor.
 .
 The library libxmltok contains a low-level library for tokenizing
 XML.  The library libxmlparse contains an XML parser library which is
 built on top of the xmltok library.
 .
 This package contains the development headers.

Package: libxmltooling-dev
Description-md5: 1ab33be9dcce918333e0d986f405ba67
Description-en: C++ XML parsing library with encryption support (development)
 The XMLTooling library contains generic XML parsing and
 processing classes based on the Xerces-C DOM.  It adds more powerful facilities
 for declaring element- and type-specific API and implementation classes, as
 well as signing and encryption support.
 .
 This package contains the headers and other necessary files to build
 applications or libraries that use or extend the XMLTooling library.

Package: libxmltooling-doc
Description-md5: 1463171a85e03a6522cda562f36a3828
Description-en: C++ XML parsing library with encryption support (API docs)
 The XMLTooling library contains generic XML parsing and
 processing classes based on the Xerces-C DOM.  It adds more powerful facilities
 for declaring element- and type-specific API and implementation classes, as
 well as signing and encryption support.
 .
 This package contains the XMLTooling library API documentation generated
 by Doxygen.

Package: libxmltooling8
Description-md5: 62d1ffa8e47f0635f1069074efad27aa
Description-en: C++ XML parsing library with encryption support (runtime)
 The XMLTooling library contains generic XML parsing and
 processing classes based on the Xerces-C DOM.  It adds more powerful facilities
 for declaring element- and type-specific API and implementation classes, as
 well as signing and encryption support.
 .
 This package contains the files necessary for running applications that
 use the XMLTooling library.

Package: libxmltv-perl
Description-md5: 1857c48f82b538439dabe4c583c81dc5
Description-en: modules related to the XMLTV file format for TV listings
 Gather television listings, process them and organize your viewing.
 XMLTV is a file format for storing TV listings, defined in xmltv.dtd.
 .
 This package includes the Perl modules that are part of XMLTV, as well
 as the XMLTV DTD.

Package: libxmlunit-java
Description-md5: ae4748d49ea277f240a27b67e8e8022f
Description-en: Unit testing for XML documents
 XMLUnit extends JUnit and NUnit to enable unit testing of XML.
 It compares a control XML document to a test document or the result of a
 transformation, validates documents, and compares the results of XPath
 expressions.

Package: libxmmsclient++-dev
Description-md5: 0f0fdc53bc82144f75ba87b6abec4956
Description-en: XMMS2 - client library for c++ - development files
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains files for developing XMMS2 clients using
 libxmmsclient++4v5.

Package: libxmmsclient++-glib-dev
Description-md5: 25c0ce68e27316633bff33a30e328dd2
Description-en: XMMS2 - glib client library for c++ - development files
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains files for developing XMMS2 clients using
 libxmmsclient++-glib1v5.

Package: libxmmsclient++-glib1v5
Description-md5: 589b569cc4d9c8b165d216631c967c1b
Description-en: XMMS2 - glib client library for c++
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a glib based client library written in c++.

Package: libxmmsclient++4v5
Description-md5: 1ab742b3c2fe3036a606a3f847f6325d
Description-en: XMMS2 - client library for c++
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a client library written in c++.

Package: libxmmsclient-dev
Description-md5: de8d0fa20abef6f948a0aefb29e812c2
Description-en: XMMS2 - client library development files
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains files for developing XMMS2 clients using libxmmsclient6.

Package: libxmmsclient-glib-dev
Description-md5: 933e4b909f6067bc32add8a8c804239d
Description-en: XMMS2 - glib client library - development files
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains files for developing XMMS2 clients using
 libxmmsclient-glib1.

Package: libxmmsclient-glib1
Description-md5: 161c9c06c3f7459673c1ede64ba6c568
Description-en: XMMS2 - glib client library
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a glib based client library written in c.

Package: libxmmsclient6
Description-md5: bf89ab3a94632143ad0f135cd4b8476e
Description-en: XMMS2 - client library
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a client library written in c.

Package: libxmp-dev
Description-md5: 5eec0c2f33c1f86dd9b2864932994f4d
Description-en: module file rendering library -- development files
 libxmp is a library designed to interpret module files and produce
 PCM audio. It supports over 90 formats including Protracker (MOD),
 Scream Tracker 3 (S3M), Fast Tracker II (XM) and Impulse Tracker
 (IT).
 .
 This package contains the development files required to build with
 libxmp.

Package: libxmp4
Description-md5: f7cbbd9adaba46bd78f154b83e2ac480
Description-en: module file rendering library
 libxmp is a library designed to interpret module files and produce
 PCM audio. It supports over 90 formats including Protracker (MOD),
 Scream Tracker 3 (S3M), Fast Tracker II (XM) and Impulse Tracker
 (IT).

Package: libxmpcore-java
Description-md5: f768e383f92b458558591ac4a6a5bf18
Description-en: Adobe XMP Toolkit for Java
 The Extensible Metadata Platform (XMP) provides a standard format
 for the creation, processing, and interchange of metadata, for a wide
 variety of resources.

Package: libxmpi4
Description-md5: 3bf4831527678f6c2954b0d6adcc6ebe
Description-en: Graphical user interface for MPI program development
 MPI is a standard for writing parallel processing programs using
 a well defined Message Passing Interface.
 .
 This package provides the shared library required for any programs
 using the XMPI protocol to graphically analyze MPI programs, most
 notably the xmpi program supplied in a separate package.

Package: libxmpi4-dev
Description-md5: 68a92f9a2bc383a2d2cf1864745ae41e
Description-en: Graphical user interface for MPI program development
 MPI is a standard for writing parallel processing programs using
 a well defined Message Passing Interface.
 .
 This package provides the few development files required to write new
 applications using the XMPI protocol.

Package: libxnee-dev
Description-md5: 3a23dc570d4064ce2a239b96fa27ad46
Description-en: X event recorder/replayer - development files
 GNU Xnee is a suite of programs that can record, replay and
 distribute user actions under the X11 environment. Think of it as a
 robot that can imitate the job you just did.
 .
 Xnee can be used to
  - automate tests
  - demonstrate programs
  - distribute actions
  - record and replay 'macro'
  - retype a file
 .
 This package contains the header files and library for writing applications
 using libxnee.

Package: libxnee0
Description-md5: b01c08622b0ecdbf08e0efcf854d3334
Description-en: X event recorder/replayer - library
 GNU Xnee is a suite of programs that can record, replay and
 distribute user actions under the X11 environment. Think of it as a
 robot that can imitate the job you just did.
 .
 Xnee can be used to
  - automate tests
  - demonstrate programs
  - distribute actions
  - record and replay 'macro'
  - retype a file
 .
 This package contains the library common to cnee and gnee.

Package: libxneur
Description-md5: 333e1ca754a49e6f62fa76a295c74c69
Description-en: xneur library
 This package provides libraries needed for XNeur backend and frontends to work.

Package: libxneur-dev
Description-md5: e30d260098748339541ff7d4e942bea2
Description-en: development files for xneur frontends and plugins.
 This package provides development files for building XNeur frontends and
 its plugins.

Package: libxom-java
Description-md5: a8d1a9a18a1e7ad5b84a130a26b5a216
Description-en: New XML object model for Java
 XOM(tm) is a new XML object model. It is an open source (LGPL),
 tree-based API for processing XML with Java that strives for correctness,
 simplicity, and performance, in that order.
 .
 XOM is designed to be easy to learn and easy to use. It works very
 straight-forwardly, and has a very shallow learning curve. Assuming
 you're already familiar with XML, you should be able to get up and
 running with XOM very quickly.
 .
 XOM is the only XML API that makes no compromises on correctness.
 XOM only accepts namespace well-formed XML documents, and only allows
 you to create namespace well-formed XML documents. (In fact, it's a
 little stricter than that: it actually guarantees that all documents
 are round-trippable and have well-defined XML infosets.) XOM manages
 your XML so you don't have to. With XOM, you can focus on the unique
 value of your application, and trust XOM to get the XML right.

Package: libxom-java-doc
Description-md5: 48c08460dd7d1351556c19a551e7dd9f
Description-en: Documentation for the libxom-java package
 XOM(tm) is a new XML object model. It is an open source (LGPL),
 tree-based API for processing XML with Java that strives for correctness,
 simplicity, and performance, in that order.
 .
 XOM is designed to be easy to learn and easy to use. It works very
 straight-forwardly, and has a very shallow learning curve. Assuming
 you're already familiar with XML, you should be able to get up and
 running with XOM very quickly.
 .
 XOM is the only XML API that makes no compromises on correctness.
 XOM only accepts namespace well-formed XML documents, and only allows
 you to create namespace well-formed XML documents. (In fact, it's a
 little stricter than that: it actually guarantees that all documents
 are round-trippable and have well-defined XML infosets.) XOM manages
 your XML so you don't have to. With XOM, you can focus on the unique
 value of your application, and trust XOM to get the XML right.
 .
 This package includes the API documentation for libxom-java package.

Package: libxorg-gtest-data
Description-md5: 08ecf793ed69edad10e3d0e5409d9bd6
Description-en: X.Org dummy testing environment for Google Test - data
 Provides a Google Test environment for starting and stopping
 a dummy X server for headless testing purposes.
 .
 This package provides data files needed for normal execution.

Package: libxorg-gtest-dev
Description-md5: 6b6abcca5b485476fcdb131ad5ed9b78
Description-en: X.Org dummy testing environment for Google Test - headers
 Provides a Google Test environment for starting and stopping
 a dummy X server for headless testing purposes.
 .
 This package provides the source code and header files for xorg-gtest.

Package: libxorg-gtest-doc
Description-md5: 4f53e8779760dec3c4f1de6fe7160b62
Description-en: X.org dummy testing environment for Google Test - documentation
 Provides a Google Test environment for starting and stopping
 a dummy X server for headless testing purposes.
 .
 API and specification documentation for developing with xorg-gtest.

Package: libxosd-dev
Description-md5: bd6c55707710bab1a3d8eb4320ea7e68
Description-en: X On-Screen Display library - development
 A library for displaying a TV-like on-screen display in X.
 .
 This package contains the development headers needed for compiling
 programs against libxosd.

Package: libxosd2
Description-md5: 22e55163bc8526e00e8f3aae58b47a17
Description-en: X On-Screen Display library - runtime
 A library for displaying a TV-like on-screen display in X.
 It is from the pre-composing-window-manager area and only supports displaying
 simple text and a graphical slider.

Package: libxpa-dev
Description-md5: 7111cfaf20b948011a5b133106e31abb
Description-en: Seamless communication between Unix programs (development files)
 The XPA messaging system provides seamless communication between many kinds
 of Unix programs, including X programs and Tcl/Tk programs. It also provides
 an easy way for users to communicate with these XPA-enabled programs by
 executing XPA client commands in the shell or by utilizing such commands in
 scripts. Because XPA works both at the programming level and the shell level,
 it is a powerful tool for unifying any analysis environment: users and
 programmers have great flexibility in choosing the best level or levels at
 which to access XPA services, and client access can be extended or modified
 easily at any time.
 .
 This package contains the header files and the static library.

Package: libxpa1
Description-md5: ced41d497e96ae2c6579ba64235bac1e
Description-en: Seamless communication between Unix programs (shared library)
 The XPA messaging system provides seamless communication between many kinds
 of Unix programs, including X programs and Tcl/Tk programs. It also provides
 an easy way for users to communicate with these XPA-enabled programs by
 executing XPA client commands in the shell or by utilizing such commands in
 scripts. Because XPA works both at the programming level and the shell level,
 it is a powerful tool for unifying any analysis environment: users and
 programmers have great flexibility in choosing the best level or levels at
 which to access XPA services, and client access can be extended or modified
 easily at any time.
 .
 This package contains the shared library.

Package: libxpathselect-dev
Description-md5: 815e92f3646fa2766193ad18e552cb92
Description-en: Select objects in an object tree using XPath queries - development files
 This library allows you to select arbitrary objects in an object tree using a
 small subset of the XPath specification.
 .
 This package contains development files for xpathselect.

Package: libxpathselect1.4v5
Description-md5: 36ed9422fa4d13560562462392df1033
Description-en: Select objects in an object tree using XPath queries.
 This library allows you to select arbitrary objects in an object tree using a
 small subset of the XPath specification.

Package: libxplc0.3.13
Description-md5: 2f5572bbaa2108ce1214171d6bd7384c
Description-en: Light weight component system
 XPLC ("Cross-Platform Lightweight Components") is a component system that
 will provide extensibility and reusability both inside and between
 applications, while being portable across platforms (and languages) and
 having the lowest possible overhead (both in machine resources and
 programming effort).

Package: libxplc0.3.13-dev
Description-md5: 1bfffea4e918b37b700b85624a4ee9f6
Description-en: Light weight component system (Development libraries and headers)
 XPLC ("Cross-Platform Lightweight Components") is a component system that
 will provide extensibility and reusability both inside and between
 applications, while being portable across platforms (and languages) and
 having the lowest possible overhead (both in machine resources and
 programming effort).
 .
 This package contains header files required for development..

Package: libxpp2-java
Description-md5: 2e14601e7182b06d12172f0fa1c8fc30
Description-en: XML pull parser library for java V2
 Xml Pull Parser (in short XPP) is a streaming pull XML parser for java.
 This version XPP2 is the predecessor of XPP3 (see package libxpp3-java).
 .
 XPP2 consists of two parts: generic API and its implementations. This
 Debian version only provides the default implementation optimized for
 size and speed and is not exposing DTD, entities, comments, or processing
 instructions to the user.
 .
 If you need a xml pull parser implementing the XmlPull API you want to
 have a look at the libxpp3-java package.

Package: libxpp3-java
Description-md5: 801562e3f23d0c7dbf59addfcf3880a8
Description-en: XML pull parser library for java
 Xml Pull Parser (in short XPP) is a streaming pull XML parser for java.
 This version XPP3 is a successor of XPP2 (see package libxpp2-java) that
 implements XmlPull API (see http://www.xmlpull.org).
 .
 However XPP3 currently supports only parsing and does not support
 incremental node tree from XPP2.

Package: libxpresent-dev
Description-md5: f1210f0666133215fe6cf17f6df9f06d
Description-en: X11 Present extension library (development headers)
 libXpresent provides an X Window System client interface to the Present
 extension to the X protocol.
 .
 The Present extension provides a way for applications to update their
 window contents from a pixmap in a well defined fashion, synchronizing
 with the display refresh and potentially using a more efficient
 mechanism than copying the contents of the source pixmap.
 .
 This package contains the development headers for the library found in
 libxpresent1.  Non-developers likely have little use for this package.
 .
 More information about X.Org can be found at:
 <URL:http://www.X.org>

Package: libxpresent1
Description-md5: 46ae76aa36e540627ca63d6bf6ada430
Description-en: X11 Present extension library
 libXpresent provides an X Window System client interface to the Present
 extension to the X protocol.
 .
 The Present extension provides a way for applications to update their
 window contents from a pixmap in a well defined fashion, synchronizing
 with the display refresh and potentially using a more efficient
 mechanism than copying the contents of the source pixmap.
 .
 More information about X.Org can be found at:
 <URL:http://www.X.org>

Package: libxqdbm-dev
Description-md5: 7012eec95bd248d599cdf6a3a444842c
Description-en: QDBM Database Libraries for C++ [development]
 This is the development package which contains headers and static
 libraries for the QDBM database library.  This is only for
 programs which will use the C++ interface.

Package: libxqdbm3c2
Description-md5: 4dd84157c2085870c6759be69c58842c
Description-en: QDBM Database Libraries for C++ [runtime]
 QDBM is an embedded database library compatible with GDBM and NDBM.
 It features hash database and B+ tree database and is developed referring
 to GDBM for the purpose of the following three points: higher processing
 speed, smaller size of a database file, and simpler API.
 This is the runtime package for programs that use the QDBM
 database library.  This is only for programs which use the C++ interface.

Package: libxqilla-dev
Description-md5: b6c0a71f8ec1182a0b20aa30e077f708
Description-en: XQuery and XPath 2.0 library - Development files
 XQilla is a XQuery and XPath 2 library and command line utility
 written in C++, implemented on top of the Xerces-C library. It is
 made available under the terms of the Apache License v2.
 .
 This package contains the xqilla headers

Package: libxqilla6v5
Description-md5: ed58b1f7fcbc61f6e8c2eaf95e868e07
Description-en: XQuery and XPath 2.0 library
 XQilla is a XQuery and XPath 2 library and command line utility
 written in C++, implemented on top of the Xerces-C library. It is
 made available under the terms of the Apache License v2.
 .
 This package contains the xqilla libraries

Package: libxray-absorption-perl
Description-md5: 0ccc9c9fc5a3dc03430b518a0a1df053
Description-en: x-ray absorption data for the elements
 Xray::Absorption supports access to X-ray absorption data.  It is designed
 to be a transparent interface to absorption data from a variety of
 sources.  Currently, the only sources of data are the 1969 McMaster
 tables, the 1999 Elam tables, the 1993 Henke tables, and the 1995
 Chantler tables.  The Brennan-Cowen implementation of the
 Cromer-Liberman tables is available as a drop-on-top addition to this
 package.  More resources can be added easily.

Package: libxray-scattering-perl
Description-md5: b1c9df71dd3c66c7a40b13563c6f7dca
Description-en: x-ray scattering data for the elements
 Xray::Scattering supports access to X-ray scattering data for atoms and ions.
 It is designed to be a transparent interface to scattering data from a
 variety of sources.  Currently, the only sources of data are the Cromer-Mann
 tables from the International Tables of Crystallography and the 1995
 Waasmaier-Kirfel tables.  More resources can be added easily.

Package: libxray-spacegroup-perl
Description-md5: 2a30c6036ffb798f48e6032cce61636d
Description-en: symmetry operations for the crystal space groups
 Xray::SpaceGroup provides an object-oriented interface to a database of
 space group symmetries transcribed from volume A of the International
 Tables of Crystallography.

Package: libxrd-parser-perl
Description-md5: dc493f67c41433d6d5b804d92896a19b
Description-en: parse XRD and host-meta files into RDF::Trine models
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 Extensible Resource Descriptor (XRD) is an XML format for discovery of
 metadata about a resource – in particular discovery of services
 associated with the resource.  It is commonly used in relation to
 OpenID, YADIS and OAuth.
 .
 While XRD has a rather different history, it turns out it can mostly be
 thought of as a serialisation format for a limited subset of RDF.
 .
 This package ignores the order of <Link> elements, as RDF is a graph
 format with no concept of statements coming in an "order". The XRD spec
 says that grokking the order of <Link> elements is only a SHOULD. That
 said, if you're concerned about the order of <Link> elements, the
 callback routines allowed by this package may be of use.
 .
 This package aims to be roughly compatible with RDF::RDFa::Parser's
 interface.

Package: libxs-dev
Description-md5: 388bbc79d8c14f12fc3969f8a4a7d63d
Description-en: Crossroads I/O lightweight messaging layer (development files)
 Crossroads I/O ("libxs") is a library for building scalable and high
 performance distributed applications. It fits between classic BSD sockets,
 JMS/AMQP-style message queues, and enterprise message-oriented middleware.
 .
 Crossroads I/O extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products,
 providing an abstraction of asynchronous message queues, multiple messaging
 patterns, message filtering (subscriptions), seamless access to multiple
 transport protocols, and more.
 .
 This package contains the libxs development library, header file, and man
 pages.

Package: libxs-object-magic-perl
Description-md5: 5f99e4184d040d6e643ba6c947aadbb1
Description-en: module for opaque, extensible XS pointer backed objects using sv_magic
 XS::Object::Magic provides a way of associating structs with Perl space
 objects designed to supercede Perl's builtin "T_PTROBJ" with something that
 is designed to be:
 .
   * extensible: The association of the pointer using "sv_magicext" can be
     done on any data type, so you can associate C structs with any
     representation type. This means that you can add pointers to any object
     (hand coded, Moose or otherwise), while still having instance data in
     regular hashes.
   * opaque: The C pointer is neither visible nor modifiable from Perl space.
     This prevents accidental corruption which could lead to segfaults using
     "T_PTROBJ" (e.g. "$$ptr_obj = 0").

Package: libxs2
Description-md5: e03dba2d8309c1a015548c133845805f
Description-en: Crossroads I/O lightweight messaging layer (shared library)
 Crossroads I/O ("libxs") is a library for building scalable and high
 performance distributed applications. It fits between classic BSD sockets,
 JMS/AMQP-style message queues, and enterprise message-oriented middleware.
 .
 Crossroads I/O extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products,
 providing an abstraction of asynchronous message queues, multiple messaging
 patterns, message filtering (subscriptions), seamless access to multiple
 transport protocols, and more.
 .
 This package contains the libxs shared library.

Package: libxsettings-client-dev
Description-md5: 37f5d2510126dfeb6f190d853f9c9e82
Description-en: utility functions for the Xsettings protocol (Development files)
 This package contains headers and other files required to compile
 software using the GPE scheduling library to use the Xsettings
 configuration setting propagation protocol. Controls setting of
 double click timeout, drag-and-drop threshold, and default foreground and
 background colors for all applications running within a desktop.
 .
 Used by the GPE Palmtop Environment.

Package: libxsettings-client-doc
Description-md5: ba1f83f258eb62c456e8449e3c1f5347
Description-en: utility functions for the Xsettings protocol (Documentation)
 Documentation for the Xsettings protocol that controls setting of
 double click timeout, drag-and-drop threshold, and default foreground and
 background colors for all applications running within a desktop.

Package: libxsettings-client0
Description-md5: b2dd496fd7599deb7cb94440a8c97f04
Description-en: utility functions for the Xsettings protocol (GPE)
 This library is used for applications making use of the Xsettings
 configuration setting propagation protocol. Controls setting of
 double click timeout, drag-and-drop threshold, and default foreground and
 background colors for all applications running within a desktop.
 .
 Used by the GPE Palmtop Environment.

Package: libxsettings-dev
Description-md5: b4fa1490f23adbb89ee86c121c30f4e5
Description-en: Xsettings protocol (development files)
 Provides a mechanism to allow the configuration of settings such as double
 click timeout, drag-and-drop threshold, and default foreground and
 background colors for all applications running within a desktop.
 .
 This package contains the development files for building applications
 using libxsettings.
 .
 Used by the GPE Palmtop Environment.

Package: libxsettings0
Description-md5: 1ecb76381b4c2f83d1d169de5e5ba6c5
Description-en: Xsettings protocol library for GPE
 Provides a mechanism to allow the configuration of settings such as double
 click timeout, drag-and-drop threshold, and default foreground and
 background colors for all applications running within a desktop.
 .
 Used by the GPE Palmtop Environment.

Package: libxsltc-java
Description-md5: 064d079f1d71bc8b36f0c45810ae336a
Description-en: XSL Transformations (XSLT) compiler from Xalan-Java
 XSLTC provides a compiler and a runtime processor. Use the compiler to
 compile an XSL stylesheet into a translet (i.e. a set of Java classes).
 Use the runtime processor to apply the translet to an XML document and
 perform a transformation. XSLTC is part of the Xalan-Java project.

Package: libxslthl-java
Description-md5: e33dedf6a890bcb6b3f40eef7ab25e92
Description-en: XSLT syntax highlighting
 This is an implementation of syntax highlighting as an extension module for
 XSLT processors
 .
 Article about programming written in DocBook, code examples can be
 automatically syntax highlighted during the XSLT processing phase.
 .
 This version of xslthl provides extensions of the Apache Xalan and Saxon
 XSLT processors.

Package: libxsom-java
Description-md5: 9aa289ec6a4e0b8e301de6604b4ee229
Description-en: XML Schema Object Model Java library
 XML Schema Object Model (XSOM) is a Java library that allows applications
 to easily parse XML Schema documents and inspect information in them. It
 is expected to be useful for applications that need to take XML Schema
 as an input.

Package: libxstr-ocaml-dev
Description-md5: cd137f5363f0753950d2857fb3f343c3
Description-en: OCaml library for frequent string operations
 xstr is an OCaml library for string operations.
 .
 This package implements frequent string operations: searching,
 replacing, splitting, matching. It is independent from the Str library,
 and can replace Str in many cases. Unlike Str, xstr is thread-safe.
 xstr does not implement regular expressions in general, but an
 important subset.  Some operations of xstr are performed as quickly as
 by Str; if the string to be processed is small, xstr is often faster
 than Str; if the string is big, xstr is up to half as fast than Str.

Package: libxstream-java
Description-md5: 10c1dedc8716f96d83e51d094ab4032b
Description-en: Java library to serialize objects to XML and back again
 The features of the XStream library are:
 .
  - Ease of use. A high level facade is supplied that simplifies common
    use cases.
  - No mappings required. Most objects can be serialized without need
    for specifying mappings.
  - Performance. Speed and low memory footprint are a crucial part of
    the design, making it suitable for large object graphs or systems
    with high message throughput.
  - Clean XML. No information is duplicated that can be obtained via
    reflection. This results in XML that is easier to read for humans
    and more compact than native Java serialization.
  - Requires no modifications to objects. Serializes internal fields,
    including private and final. Supports non-public and inner classes.
    Classes are not required to have default constructor.
  - Full object graph support. Duplicate references encountered in the
    object-model will be maintained. Supports circular references.
  - Integrates with other XML APIs. By implementing an interface,
    XStream can serialize directly to/from any tree structure (not just
    XML).
  - Customizable conversion strategies. Strategies can be registered
    allowing customization of how particular types are represented as
    XML.
  - Error messages. When an exception occurs due to malformed XML,
    detailed diagnostics are provided to help isolate and fix the
    problem.
  - Alternative output format. The modular design allows other output
    formats. XStream ships currently with JSON support and morphing.

Package: libxstring-perl
Description-md5: 0243712ad949f3e3e7dbaa586fd5c2cc
Description-en: module containing isolated string helpers from B
 The extremely lightweight XString module provides the string helpers from
 the much larger B module (Perl compiler backend) in an isolated package.
 .
 Currently the cstring and perlstring helpers are available.

Package: libxstrp4-camlp4-dev
Description-md5: 0769645c72ef7cd65fc20017b6067c1a
Description-en: camlp4 extension that expands brace expansions in OCaml string
 This camlp4 syntax extension interprets the dollar notation  in
 strings and in included files.
 .
 It can:
  * include whole file in your OCaml code
  * define a format '%x' conversion to display variables
  * interpolate '$x' as well as '
  * take into account record field and module names

Package: libxvidcore-dev
Description-md5: 4334df429fbd041981686e5d8ab2f2b4
Description-en: Open source MPEG-4 video codec (development)
 Xvid (formerly XviD) is an open source MPEG-4 video codec, implementing MPEG-4
 Simple Profile, Advanced Simple Profile, and Advanced Video Coding standards.
 It is written in C with assembler optimizations for quality and speed
 (including MMX, SSE, and 3Dnow! code for i386 and AltiVec for PowerPC), and is
 especially optimized towards offline, multi-pass compression for storage and
 archival purposes.
 .
 This package contains the development files.

Package: libxvidcore4
Description-md5: 58b566ad678c3db3fe24389f5d39143d
Description-en: Open source MPEG-4 video codec (library)
 Xvid (formerly XviD) is an open source MPEG-4 video codec, implementing MPEG-4
 Simple Profile, Advanced Simple Profile, and Advanced Video Coding standards.
 It is written in C with assembler optimizations for quality and speed
 (including MMX, SSE, and 3Dnow! code for i386 and AltiVec for PowerPC), and is
 especially optimized towards offline, multi-pass compression for storage and
 archival purposes.
 .
 This package contains the shared library.

Package: libxwiimote-dev
Description-md5: 8c126cf179cd25cc19a3dac3ad4e0a3a
Description-en: xwiimote library - development
 This package contains the header and development files needed to build
 programs and packages using xwiimote.

Package: libxwiimote2
Description-md5: fdce8f1a84680050741b3d2d6ebc84c3
Description-en: xwiimote library - runtime
 This package contains the runtime library files needed to run software
 using xwiimote.

Package: libxxhash-dev
Description-md5: 45228533bdb4734b654bc55f84bec40f
Description-en: header files and a static library for libxxhash
 xxHash is an Extremely fast Hash algorithm, running at RAM speed limits.
 It successfully completes the SMHasher test suite which evaluates collision,
 dispersion and randomness qualities of hash functions. Code is highly portable,
 and hashes are identical on all platforms (little / big endian).
 .
 This package contains xxHash static library and header files.

Package: libxxhash0
Description-md5: 6bd5146e7d8c018b3155cacf897b1233
Description-en: shared library for xxhash
 xxHash is an Extremely fast Hash algorithm, running at RAM speed limits.
 It successfully completes the SMHasher test suite which evaluates collision,
 dispersion and randomness qualities of hash functions. Code is highly portable,
 and hashes are identical on all platforms (little / big endian).
 .
 This package contains the shared library.

Package: libxxx-perl
Description-md5: 07c3c93b7d8fe954192b9cf2b60bd890
Description-en: debug viewer for Perl data structure
 XXX.pm exports a function called XXX that you can put just about anywhere in
 your Perl code to make it die with a YAML dump of the arguments to its right.
 .
 The charm of XXX-debugging is that it is easy to type, rarely requires parens
 and stands out visually so that you remember to remove it.
 .
 XXX.pm also exports WWW, YYY and ZZZ which do similar debugging things.

Package: libxy-bin
Description-md5: 816eaf5498ab99cae20249169dfdea09
Description-en: xylib - utilities
 xylib is a C++ library for reading files that contain x-y data from powder
 diffraction, spectroscopy and other experimental methods.
 .
 This package contains a small program xyconv that converts files supported
 by the xylib library to TSV (tab-separated values).

Package: libxy-dev
Description-md5: 07f6a1b506b6ca9bbbeadb693071cce2
Description-en: xylib development files
 Development files for xylib.
 .
 xylib is a C++ library for reading files that contain x-y data from powder
 diffraction, spectroscopy and other experimental methods.

Package: libxy3v5
Description-md5: 4e19cc67c34d8c28c72dadba99b9a64f
Description-en: Library for reading x-y data from several file formats
 C++ library for reading files that contain x-y data from powder diffraction,
 spectroscopy and other experimental methods. The supported formats include:
 VAMAS, pdCIF, Bruker UXD and RAW, Philips UDF and RD, Rigaku DAT,
 Sietronics CPI, DBWS/DMPLOT, Koalariet XDD and others.

Package: libxz-java
Description-md5: ede81e573606bf52e463a7f6631c6909
Description-en: Java library with a complete implementation of XZ data compression
 XZ for Java aims to be a complete implementation of XZ data compression
 in pure Java. Single-threaded streamed compression and decompression
 and random access decompression have been fully implemented.

Package: libxz-java-doc
Description-md5: 35f44d443155aa546065cccedb197eca
Description-en: Documentation for libxz-java
 XZ for Java aims to be a complete implementation of XZ data compression
 in pure Java. Single-threaded streamed compression and decompression
 and random access decompression have been fully implemented.
 .
 This package contains the Javadoc files and some examples to illustrate
 how use the xz-java library.

Package: libyade
Description-md5: 094a93abd368dd9c2399f2085503f40c
Description-en: Platform for discrete element modeling. Libraries
 Yet Another Dynamic Engine.
 .
 Extensible open-source framework for discrete numerical models,
 focused on Discrete Element Method.
 The computation parts are written in c++ using flexible object model,
 allowing independent implementation of new algorithms and interfaces.
 Python is used for rapid and concise scene construction,
 simulation control, postprocessing and debugging.
 .
 This package contains yade`s libraries.

Package: libyadifa-dev
Description-md5: 5e8c54a5cc1338d4645f97aea40c5bd4
Description-en: development libraries and header files for YADIFA
 YADIFA is a lightweight authoritative Name Server with DNSSEC capabilities.
 Developed by the passionate people behind the .eu top-level domain, YADIFA
 has been built from scratch to face today's DNS challenges, with no
 compromise on security, speed and stability, to offer a better and safer
 Internet experience. YADIFA has a simple configuration syntax and can handle
 more queries per second while maintaining one of the lowest memory footprints
 in the industry. YADIFA also has one of the fastest zone file load times
 ever recorded on a name server.
 .
 This package contains the header files and static libraries needed for
 developing applications for YADIFA. It is currently only used by YADIFA
 itself and should not be considered stable.

Package: libyahc-perl
Description-md5: 8d9fdd4c3cd1e80947a5035b3f683c1c
Description-en: Yet another HTTP client
 YAHC is fast & minimal low-level asynchronous HTTP client intended to be used
 where you control both the client and the server. Is especially suits cases
 where set of requests need to be executed against group of machines.
 .
 It is NOT a general HTTP user agent, it doesn't support redirects, proxies
 and any number of other advanced HTTP features like (in roughly descending
 order of feature completeness) LWP::UserAgent, WWW::Curl, HTTP::Tiny,
 HTTP::Lite or Furl. YAHC is basically one step above manually talking HTTP
 over sockets.
 .
 YAHC supports SSL and socket reuse (latter is in experimental mode).

Package: libyami-dev
Description-md5: 0f96192ffb5f16d02ae795038b03dbfa
Description-en: high-level abstraction for Video Acceleration (VA) API (development files)
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. libyami builds on top of libva, and provides high level API for
 video processing. It will help with bitstream parsing, reference frame
 management, etc.
 .
 This package provides the development files for libyami.

Package: libyami-utils
Description-md5: fa5a84c7681cb0f6a49b11eb9f98e004
Description-en: high-level abstraction for Video Acceleration (VA) API (utils)
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. libyami builds on top of libva, and provides high level API for
 video processing. It will help with bitstream parsing, reference frame
 management, etc.
 .
 This package provides decoder/encoder/vpp programs using libyami.

Package: libyami1
Description-md5: 2406b00d980b28852a0e0be4895d44c4
Description-en: high-level abstraction for Video Acceleration (VA) API (shared library)
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. libyami builds on top of libva, and provides high level API for
 video processing. It will help with bitstream parsing, reference frame
 management, etc.
 .
 This package provides the shared library for libyami.

Package: libyaml-appconfig-perl
Description-md5: e584b1103d86d16f89e91f5f117b4ce3
Description-en: module to manage configuration files with YAML
 YAML::AppConfig manages configuration files using YAML for storage. It also
 provides variable interpolation, similar to AppConfig.
 .
 Configuration keys can be accessed via dedicated get_foo/set_foo methods or
 through an all-in-one hash.

Package: libyaml-pp-perl
Description-md5: 08e3d363fff9ccbe910de52e9da58a6f
Description-en: pure-perl YAML framework
 YAML::PP is a modern, modular YAML processor.
 .
 It aims to support YAML 1.2 and YAML 1.1.
 .
 YAML is a serialization language. The YAML input is called "YAML Stream". A
 stream consists of one or more "Documents", separated by a line with a
 document start marker '---'. A document optionally ends with the document end
 marker '...'.
 .
 This allows one to process of continuous streams additionally to a fixed
 input file or string.
 .
 The YAML::PP frontend will currently load all documents, and return only the
 last if called with scalar context.
 .
 The YAML backend is implemented in a modular way that allows one to add
 custom handling of YAML tags, perl objects and data types. The inner API is
 not yet stable.

Package: libyaml-shell-perl
Description-md5: 46c930fb6bc593ed89b288cc5be0058f
Description-en: YAML test shell
 This package provides ysh, the YAML test shell, which is meant for
 experimenting with the Perl YAML modules in an interactive fashion.
 Any datastructures given in Perl are returned back in YAML (and vice versa).

Package: libyaml-snake-java
Description-md5: 9634aca8c2a2686a8f55f05be8c763aa
Description-en: YAML parser and emitter for the Java programming language
 SnakeYAML is a YAML parser and emitter for the Java programming language.
 .
 SnakeYAML features
 .
  * a complete YAML 1.1 parser. In particular, SnakeYAML can parse all
    examples from the specification.
  * Unicode support including UTF-8/UTF-16 input/output.
  * high-level API for serializing and deserializing native Java objects.
  * support for all types from the YAML types repository.
  * relatively sensible error messages.

Package: libyaml-snake-java-doc
Description-md5: 61fcacc98c62c684f44ee890fbda865e
Description-en: Documentation for SnakeYAML
 SnakeYAML is a YAML parser and emitter for the Java programming
 language.
 .
 This package contains the API documentation of libyaml-snake-java.

Package: libyaml-syck-perl
Description-md5: b821d2b94ad9f18222b229c55cf2b00f
Description-en: Perl module providing a fast, lightweight YAML loader and dumper
 YAML::Syck provides a Perl interface to the libsyck data
 serialization library.  It exports the Dump and Load functions for
 converting Perl data structures to YAML strings, and the other way
 around.
 .
 This module is considered deprecated. Please consider using
 libyaml-libyaml-perl instead.

Package: libyanfs-java
Description-md5: 01bfef17356d57334d4eaf0ba1668dac
Description-en: Yet Another NFS - a Java NFS library
 This project represents a Java implementation of the XDR, RPC, NFSv2,
 and NFSv3 protocols in client side form.
 .
 WebNFS was the original name for this implementation but the name has
 changed to reflect the expanded scope of the project to include a
 server side implementation.

Package: libyang-cpp-dev
Description-md5: ebccf05b8d1e702e1feda771423f2ea3
Description-en: parser toolkit for IETF YANG data modeling - C++ development files
 Partially SWIG-generated bindings to use libyang with a C++ API.
 The functionality is the same as in libyang, the C++ code links wraps
 and uses libyang C code.
 .
 This is the accompanying development package, containing headers, a
 pkgconfig file, and .so entry point for the libyang C++ bindings.

Package: libyang-cpp0.16
Description-md5: f9cc1bbd55250cd83bbfc85df583982c
Description-en: parser toolkit for IETF YANG data modeling - C++ runtime
 Partially SWIG-generated bindings to use libyang with a C++ API.
 The functionality is the same as in libyang, the C++ code links wraps
 and uses libyang C code.

Package: libyang-dev
Description-md5: d443d090bea76aebeb2fe2bf78ab5f63
Description-en: parser toolkit for IETF YANG data modeling - development files
 Libyang implements functions to process schemas expressed in the
 YANG data modeling language defined by the IETF in RFCs 6020/7950.
 Schemas expressed in this language primarily describe configuration
 used by larger network equipment like routers and switches.
 .
 In addition to handling the schemas itself, the library also provides
 functions to process data described by the schemas.
 .
 This package contains the C headers, a pkgconfig file, and .so entry point
 for libyang.

Package: libyang0.16
Description-md5: 58a17d0ed8e760c608847e929b6ad16c
Description-en: parser toolkit for IETF YANG data modeling - runtime
 Libyang implements functions to process schemas expressed in the
 YANG data modeling language defined by the IETF in RFCs 6020/7950.
 Schemas expressed in this language primarily describe configuration
 used by larger network equipment like routers and switches.
 .
 In addition to handling the schemas itself, the library also provides
 functions to process data described by the schemas.
 .
 The library is implemented in C and provides an API for other software
 to use in processing configurations.

Package: libyangrpc-dev
Description-md5: 3b39d490875b4f1a635e055fe7a01b10
Description-en: NETCONF/YANG simple client applications development files
 The NETCONF protocol and YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yuma123 libyangrpc development files include the library headers, static
 libraries, and documentation needed for development of custom NETCONF/YANG
 applications.

Package: libyangrpc2
Description-md5: c3e9e472dce502c1fbd1b78adb295242
Description-en: NETCONF/YANG library for simple client applications
 The NETCONF protocol and YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yuma123 yangrpc library contains common functions for the construction,
 sending, receiving, decoding, and manipulation of the NETCONF requests
 and responses used on simple manager clients.

Package: libyara-dev
Description-md5: eade2cd18458ccf8711082c7843ee1f4
Description-en: YARA development libraries and headers
 YARA is a tool aimed at helping malware researchers to identify and
 classify malware samples. With YARA, it is possible to create
 descriptions of malware families based on textual or binary patterns
 contained in samples of those families. Each description consists of
 a set of strings and a Boolean expression which determines its logic.
 .
 Complex and powerful rules can be created by using binary strings with
 wild-cards, case-insensitive text strings, special operators, regular
 expressions and many other features.
 .
 This package provides development libraries and headers.

Package: libyara3
Description-md5: c3e039265e1adeb77f9cfe32bffeccf8
Description-en: YARA shared library
 YARA is a tool aimed at helping malware researchers to identify and
 classify malware samples. With YARA, it is possible to create
 descriptions of malware families based on textual or binary patterns
 contained in samples of those families. Each description consists of
 a set of strings and a Boolean expression which determines its logic.
 .
 Complex and powerful rules can be created by using binary strings with
 wild-cards, case-insensitive text strings, special operators, regular
 expressions and many other features.
 .
 This package provides a shared library.

Package: libyaz-dev
Description-md5: 76aaec87e8ebdd26b100f466c59385b0
Description-en: YAZ Z39.50 toolkit (development files)
 YAZ is a toolkit that allows you to develop software using the
 ANSI Z39.50/ISO23950 standard for information retrieval.
 .
 This package includes development libraries and C/C++ header files.

Package: libyaz5
Description-md5: a10a18e88ec7782462d0c17626aa50a5
Description-en: YAZ Z39.50 toolkit (runtime files)
 YAZ is a toolkit that allows you to develop software using the
 ANSI Z39.50/ISO23950 standard for information retrieval.
 .
 This package includes runtime files.

Package: libyazpp-dev
Description-md5: 73f5350bd2c8cb8c2e176862b9c60bff
Description-en: Development libraries for YAZ++ and ZOOM
 YAZ++ is an application programming interface (API) to YAZ which supports the
 development of Z39.50/SRW/SRU client and server applications using C++. Like
 YAZ, it supports Z39.50-2003 (version 3) as well as SRW/SRU version 1.1 in
 both the client and server roles. YAZ++ includes an implementation of the
 ZOOM C++ binding and a generic client/server API based on the
 Observer/Observable design pattern.
 .
 This package includes development libraries and C++ header files.

Package: libyazpp-doc
Description-md5: 7c89b19a601414d7ac795985c672d49c
Description-en: Documentation for YAZ++
 YAZ++ is an application programming interface (API) to YAZ which supports the
 development of Z39.50/SRW/SRU client and server applications using C++. Like
 YAZ, it supports Z39.50-2003 (version 3) as well as SRW/SRU version 1.1 in
 both the client and server roles. YAZ++ includes an implementation of the
 ZOOM C++ binding and a generic client/server API based on the
 Observer/Observable design pattern.
 .
 This package includes the YAZ++ User's Guide and Reference.

Package: libyazpp6
Description-md5: 3cb90adcd5d4653c11d81c8997e88ecc
Description-en: YAZ++ and ZOOM library
 YAZ++ is an application programming interface (API) to YAZ which supports the
 development of Z39.50/SRW/SRU client and server applications using C++. Like
 YAZ, it supports Z39.50-2003 (version 3) as well as SRW/SRU version 1.1 in
 both the client and server roles. YAZ++ includes an implementation of the
 ZOOM C++ binding and a generic client/server API based on the
 Observer/Observable design pattern.
 .
 This package includes the runtime files.

Package: libycadgraywolf-dev
Description-md5: c1da449cc5c3dff91973472859ae028d
Description-en: Library for graywolf - development files
 This library contains functions shared by the graywolf binaries
 found in the /usr/lib/graywolf/bin/ directory.
 .
 This is the development files for the library.

Package: libycadgraywolf1
Description-md5: 27dda5190934a64a9317d086fce519eb
Description-en: Library for common functions used by graywolf
 This library contains functions shared by the graywolf binaries
 found in the /usr/lib/graywolf/bin/ directory. It is actually
 an old library from Yale University which has been released
 with an GPL-2+ compatible license together with graywolf.

Package: libyder-dev
Description-md5: 3f5855c0f77ceef14bea4852505605a0
Description-en: logging library written in C - development
 Simple and easy to use logging library. You can log messages to the
 console, a file or syslog.
 .
 Yder is mono-thread, which mean that you can use only one instance
 of yder log at the same time in your program.
 .
 This package contains the development files.

Package: libyder2.0
Description-md5: d8a4cddb69a8fd7d60efc956345509d3
Description-en: logging library written in C
 Simple and easy to use logging library. You can log messages to the
 console, a file or syslog.
 .
 Yder is mono-thread, which mean that you can use only one instance
 of yder log at the same time in your program.

Package: libyecht-java
Description-md5: c65e8914410293857f59f00c289a5176
Description-en: Syck port, a YAML 1.0 processor for Ruby
 Yecht is a proper port of Syck that matches Syck semantics more or less to the
 letter - including bugs.

Package: libygl4
Description-md5: adf57d088ee0217864cbef71f552bd11
Description-en: A library that emulates SGI's GL routines under X11
 Ygl is an graphics library that emulates the routines of SGI's GL (sometimes
 called IRIS GL). Please note that GL is different from OpenGL.  Ygl is very
 simple to use, and very fast. The 2D routines are built around the standard
 X11 libraries and reported to be faster than GL itself.  This release will
 also work with FORTRAN code and has been built with 3D support.  The library
 therefore requires the use of the OpenGL libraries.
 .
 This package provides the runtime library.

Package: libygl4-dev
Description-md5: 7ac29e346699dd7a0cf8e81232be47a3
Description-en: A library that emulates SGI's GL routines under X11
 Ygl is an graphics library that emulates the routines of SGI's GL (sometimes
 called IRIS GL). Please note that GL is different from OpenGL.  Ygl is very
 simple to use, and very fast. The 2D routines are built around the standard
 X11 libraries and reported to be faster than GL itself.  This release will
 also work with FORTRAN code and has been built with 3D support.  The library
 therefore requires the use of the OpenGL libraries.
 .
 This package provides the development files.

Package: libykclient-dev
Description-md5: 712a0c042724b0675b5994249fa4bbfa
Description-en: Yubikey client library development files
 YubiKeys are USB tokens that act like keyboards and generate one-time
 passwords (OTPs).  The tokens are produced and sold by Yubico.
 .
 This library is used for talking to an online validation server such
 as yubikey-server-c, yubikey-server-php or yubikey-server-j.
 .
 This package contains the necessary files for developers, and a
 command line tool "ykclient" to perform OTP queries from the shell.

Package: libykclient3
Description-md5: d5a161dc2ec6d671e1ad6a8cdd77e67b
Description-en: Yubikey client library runtime
 Yubikeys are USB tokens that act like keyboards and generate one-time
 passwords (OTPs).  The tokens are produced and sold by Yubico.
 .
 This library is used for talking to an online validation server such
 as yubikey-server-c, yubikey-server-php or yubikey-server-j.
 .
 This package contains the runtime library.

Package: libykpers-1-1
Description-md5: d9fcfe448bc8c3eff331b752a822aa21
Description-en: Library for personalization of YubiKey OTP tokens
 YubiKeys are USB tokens that act like keyboards and generate one-time
 or static passwords.
 .
 This package contains the run-time shared library needed for the
 personalization tool.  You normally don't need to install it manually.

Package: libykpers-1-dev
Description-md5: d9135124815a3a6ac4ef02b8723140b0
Description-en: Development files for the YubiKey OTP personalization library
 YubiKeys are USB tokens that act like keyboards and generate one-time
 or static passwords.
 .
 This package contains the development files for the library.

Package: libykpiv-dev
Description-md5: c05d08fe15f0558898f0eb816f712894
Description-en: Development files for the YubiKey PIV Library
 The Yubico PIV tool is used for interacting with the Personal
 Identity Verification Card (PIV) application on a YubiKey.  With it
 you may generate keys on the device, import keys and certificates,
 create certificate requests, and other operations. A shared library,
 a PKCS#11 module a command-line tool are included.
 .
 This package contains the development files for the library.

Package: libykpiv1
Description-md5: b8721f459e3ea91642dfbf58851a40d2
Description-en: Library for communication with the YubiKey PIV smartcard
 The Yubico PIV tool is used for interacting with the Personal
 Identity Verification Card (PIV) application on a YubiKey.  With it
 you may generate keys on the device, import keys and certificates,
 create certificate requests, and other operations. A shared library,
 a PKCS#11 module a command-line tool are included.
 .
 This package contains the run-time shared library.  You normally don't
 need to install it manually.

Package: libyojson-ocaml
Description-md5: 087e81c59e57b7cc511a5d9247ed7aee
Description-en: JSON library for OCaml - runtime package
 Yojson is an optimized parsing and printing library for the JSON format.
 It addresses a few shortcomings of json-wheel including 3x speed
 improvement, polymorphic variants and optional syntax for tuples and
 variants.
 .
 It is a replacement for json-wheel (libjson-wheel-ocaml-dev).
 .
 This package contains the shared runtime libraries.

Package: libyojson-ocaml-dev
Description-md5: 598ab276f5e5020dad0ab1ca52abcc61
Description-en: JSON library for OCaml - development package
 Yojson is an optimized parsing and printing library for the JSON format.
 It addresses a few shortcomings of json-wheel including 3x speed
 improvement, polymorphic variants and optional syntax for tuples and
 variants.
 .
 It is a replacement for json-wheel (libjson-wheel-ocaml-dev).
 .
 This package contain the development files needed for programming
 with the library.

Package: libytnef0
Description-md5: e493c27e25eabe7d4c05612e9ece00e7
Description-en: improved decoder for application/ms-tnef attachments
 Yerase's TNEF Stream Reader allows you to decode application/ms-tnef
 e-mail attachments, which are usually entitled "winmail.dat" and are
 generally a file container format that is only readable by Microsoft
 Outlook. Some TNEF streams also include RTF-formatted data.
 .
 libytnef0 is the support library that exposes these functions to other
 programs. The ytnef program is the frontend for this library, so you should
 probably install that (included in ytnef-tools) if you want to take advantage
 of it.

Package: libytnef0-dev
Description-md5: f33cde9f601bcd14c6c026d1fe8b4c65
Description-en: headers for application/ms-tnef attachments decoder
 Yerase's TNEF Stream Reader allows you to decode application/ms-tnef
 e-mail attachments, which are usually entitled "winmail.dat" and are
 generally a file container format that is only readable by Microsoft
 Outlook. Some TNEF streams also include RTF-formatted data.
 .
 libytnef0 is the support library that exposes these functions to other
 programs. The ytnef program is the frontend for this library, so you should
 probably install that (included in ytnef-tools) if you want to take advantage
 of it.
 .
 These are the development headers for libytnef0.

Package: libyubikey-dev
Description-md5: 0df7e42a5e35ddbbca9a77f179ac2266
Description-en: Yubikey OTP library development files
 Yubikeys are USB tokens that act like keyboards and generate one-time
 passwords.  The tokens are produced and sold by Yubico
 .
 This library is used for decrypting the one-time passwords into their
 various components.
 .
 This package contains the necessary files for developing using libyubikey.

Package: libyubikey-udev
Description-md5: 838dead41765ab19cb1c0a6c22a82ce0
Description-en: udev rules for unprivileged access to YubiKeys
 YubiKeys are USB tokens that act like keyboards and generate one-time
 or static passwords.
 .
 This package contains the udev rules that enable unprivileged users to use
 Yubikey devices.

Package: libyubikey0
Description-md5: 573f649498eb60d855fe61657c26f822
Description-en: Yubikey OTP handling library runtime
 Yubikeys are USB tokens that act like keyboards and generate one-time
 passwords.  The tokens are produced and sold by Yubico
 .
 This library is used for decrypting the one-time passwords into their
 various components.
 .
 This package contains the runtime library for libyubikey.

Package: libyuma-base
Description-md5: 75f59c644805c2d2ba6282e962719a49
Description-en: Configuration script, YANG models and documentation
 The NETCONF protocol and YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 This package includes configuration scripts, documentation and YANG
 models for the NETCONF an YANG libraries, agents and
 applications. YANG models contain a formal description of the data that can be
 managed using NETCONF and applications.

Package: libyuma-dev
Description-md5: 1ad852c7d810e26cf4788d48fc488c86
Description-en: NETCONF/YANG application development files
 The NETCONF protocol and YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yuma123 libyuma development files include the library headers, static
 libraries, and documentation needed for development of custom NETCONF/YANG
 applications.

Package: libyuma2
Description-md5: b51b5eb2d62c3fe4f380b7fb392e804e
Description-en: NETCONF/YANG library
 The NETCONF protocol and YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yuma123 libyuma library contains common functions for the construction,
 sending, receiving, decoding, and manipulation of the NETCONF requests
 and responses.

Package: libz-mingw-w64
Description-md5: 38d87a492ddc32dba5291aed19a5bfe1
Description-en: compression library - Windows runtime
 zlib is a library implementing the deflate compression method found
 in gzip and PKZIP. This package includes the DLLs for 32- and 64-bit
 Windows.

Package: libz-mingw-w64-dev
Description-md5: 6268a3151d65e8fa65f9e06786a449ed
Description-en: compression library - Windows development files
 zlib is a library implementing the deflate compression method found
 in gzip and PKZIP. This package includes the development support
 files for 32- and 64-bit Windows.

Package: libz3-4
Description-md5: 72a1f4bba81e41991158d752c31e8564
Description-en: theorem prover from Microsoft Research - runtime libraries
 Z3 is a state-of-the art theorem prover from Microsoft Research. It can be
 used to check the satisfiability of logical formulas over one or more
 theories. Z3 offers a compelling match for software analysis and verification
 tools, since several common software constructs map directly into supported
 theories.
 .
 This package contains runtime libraries. You shouldn't have to install it
 manually.

Package: libz3-dev
Description-md5: dc81d51d606e62b66bd716ab76d0526a
Description-en: theorem prover from Microsoft Research - development files
 Z3 is a state-of-the art theorem prover from Microsoft Research. It can be
 used to check the satisfiability of logical formulas over one or more
 theories. Z3 offers a compelling match for software analysis and verification
 tools, since several common software constructs map directly into supported
 theories.
 .
 This package can be used to invoke Z3 via its C++ API.

Package: libz3-java
Description-md5: 44bfca0bbae34bef41c3d87f6522222e
Description-en: theorem prover from Microsoft Research - java bindings
 Z3 is a state-of-the art theorem prover from Microsoft Research. See the z3
 package for a detailed description.
 .
 This package can be used to invoke Z3 via its Java API.

Package: libz3-jni
Description-md5: 4a0ebb4141bc5c2e1d6fcd8ca49ed285
Description-en: theorem prover from Microsoft Research - JNI library
 Z3 is a state-of-the art theorem prover from Microsoft Research. See the z3
 package for a detailed description.
 .
 This package provides the JNI library to invoke Z3 via its Java API.

Package: libz80ex-dev
Description-md5: 8592d7c96e7df7cceca7d77eddb4c0f9
Description-en: z80ex emulation library, development files
 libz80ex is a portable ZiLOG Z80 CPU emulator designed as a library.
 Goals include: precise opcode emulation (documented & undocumented),
 exact timings for each opcode (including I/O operations) and multiple
 CPU contexts. A disassembler is included as well. This package
 contains the development files.

Package: libz80ex1
Description-md5: 493b85dd20c9500847e5b112620bbe2f
Description-en: z80ex emulation library, shared files
 libz80ex is a portable ZiLOG Z80 CPU emulator designed as a library.
 Goals include: precise opcode emulation (documented & undocumented),
 exact timings for each opcode (including I/O operations) and multiple
 CPU contexts. A disassembler is included as well.

Package: libzadc-dev
Description-md5: f17d42635224c91e66d835b12e64490e
Description-en: accelerated libz implementation (development headers)
 Accelerated libz implementation uses FPGA based PCIe cards
 (e.g. zEDC) to accelerate compression/decompression.
 .
 This package provides headers and development libraries.

Package: libzadc4
Description-md5: 4899caf4ba1ec5289dd3b0f59c13b577
Description-en: accelerated libz implementation (Accelerated Data Compression/ADC)
 Accelerated libz implementation uses FPGA based PCIe cards
 (e.g. zEDC) to accelerate compression/decompression.
 .
 This package provides public libzADC library, and libz library
 symlinks which are not exposed in the ldconfig paths.

Package: libzapojit-0.0-0
Description-md5: 738f7ac80216936afb58e29b1a5450cc
Description-en: Library for accessing SkyDrive and Hotmail - shared libraries
 libzapojit is a GLib-based library for accessing online service APIs using
 the Microsoft SkyDrive and Hotmail REST protocols.
 .
 This package contains the shared libraries.

Package: libzapojit-dev
Description-md5: f55833666c2b77f552725227bff71e5e
Description-en: Library for accessing SkyDrive and Hotmail - development files
 libzapojit is a GLib-based library for accessing online service APIs using
 the Microsoft SkyDrive and Hotmail REST protocols.
 .
 This package contains the headers and development libraries needed to
 build applications using the libzapojit library.

Package: libzapojit-doc
Description-md5: cbebaf6b821d71163245e08915093968
Description-en: Library for accessing SkyDrive and Hotmail - documentation
 libzapojit is a GLib-based library for accessing online service APIs using
 the Microsoft SkyDrive and Hotmail REST protocols.
 .
 This package contains the HTML documentation for the libzapojit library

Package: libzarith-ocaml
Description-md5: bdc094639f72219fbc1ed091c4a0b137
Description-en: arithmetic and logical operations over arbitrary-precision integers (runtime)
 The Zarith library implements arithmetic and logical operations over
 arbitrary-precision integers. It uses GMP to efficiently implement
 arithmetic over big integers. Small integers are represented as Caml
 unboxed integers, for speed and space economy.
 .
 This package provides the shared library for running applications linked
 against libzarith-ocaml.

Package: libzarith-ocaml-dev
Description-md5: dd165a7177f94e210fdfa91d6d86da49
Description-en: arithmetic and logical operations over arbitrary-precision integers (dev)
 The Zarith library implements arithmetic and logical operations over
 arbitrary-precision integers. It uses GMP to efficiently implement
 arithmetic over big integers. Small integers are represented as Caml
 unboxed integers, for speed and space economy.
 .
 This package provides the static library, OCaml library and interface
 for developing applications to use libzarith-ocaml.

Package: libzarith-ocaml-doc
Description-md5: 78da2ca125de87caf213958f9d110833
Description-en: arithmetic and logical operations over arbitrary-precision integers (doc)
 The Zarith library implements arithmetic and logical operations over
 arbitrary-precision integers. It uses GMP to efficiently implement
 arithmetic over big integers. Small integers are represented as Caml
 unboxed integers, for speed and space economy.
 .
 This package provides the ocamldoc generated html files.

Package: libzbar-dev
Description-md5: cbc904d6567674a270736247dc1af038
Description-en: QR code / bar code scanner and decoder (development)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the development files.

Package: libzbar0
Description-md5: 884ba70891ca72e3a115e297844f0b93
Description-en: QR code / bar code scanner and decoder (library)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the library.

Package: libzbargtk-dev
Description-md5: 291197a42e4cccab6fa51274a99b94de
Description-en: QR code / bar code scanner and decoder (GTK+ bindings development)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the development files for the GTK+ bindings.

Package: libzbargtk0
Description-md5: abe72f8e1fd5755f8adcc6d43da9a812
Description-en: QR code / bar code scanner and decoder (GTK+ bindings)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the GTK+ bindings.

Package: libzbarqt-dev
Description-md5: 9cd0f9fcdf7486b383773b308e0a5b61
Description-en: QR code / bar code scanner and decoder (Qt binding development)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the development files for the Qt binding.

Package: libzbarqt0
Description-md5: bc7fc01bbb87a5e94375470d9484527d
Description-en: QR code / bar code scanner and decoder (Qt binding)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the library for Qt binding.

Package: libzc-dev
Description-md5: 73540c8d24c65154378f07416e297770
Description-en: fast password cracking library for zip archives (dev)
 libzc is a fast, portable and high-quality zip password cracking
 library. It is entirely written in C and uses POSIX threads for faster
 password recovery. A command line utility, yazc, also comes with the
 library as the default client.
 .
 This package provides the development files.

Package: libzc4
Description-md5: 2fb120267a145a448a5ea096a332211b
Description-en: fast password cracking library for zip archives
 libzc is a fast, portable and high-quality zip password cracking
 library. It is entirely written in C and uses POSIX threads for faster
 password recovery. A command line utility, yazc, also comes with the
 library as the default client.

Package: libzdb-dev
Description-md5: 186aecd70db1da6002f93f6a4fcfc807
Description-en: Zild Database Library [devel]
 A small and easy to use database API written in C.
 .
 This library provides thread safe connection pooling and exception
 handling.  Currently supports MySQL, Sqlite3 and PostgreSQL.

Package: libzdb11
Description-md5: 19a7b060d29f78608702fc86091905f8
Description-en: Zild Database Library [runtime]
 A small and easy to use database API written in C.
 .
 This library provides thread safe connection pooling and exception
 handling.  Currently supports MySQL, Sqlite3 and PostgreSQL.

Package: libzed-ocaml
Description-md5: 480d27d9f1506f840d98698f46655729
Description-en: abstract engine for text edition in OCaml (runtime)
 Zed is an abstract engine for text edition. It can be used to
 write text editors, edition widgets, readlines, ...
 .
 Zed uses Camomile to fully support the Unicode specification, and
 implements an UTF-8 encoded string type with validation, and a rope
 datastructure to achieve efficient operations on large Unicode
 buffers. Zed also features a regular expression search on ropes.
 .
 To support efficient text edition capabilities, Zed provides macro
 recording and cursor management facilities.
 .
 This package contains the runtime libraries for programs using
 zed.

Package: libzed-ocaml-dev
Description-md5: 22bdfbabdbea5f29b2c1327227044af8
Description-en: abstract engine for text edition in OCaml (development tools)
 Zed is an abstract engine for text edition. It can be used to
 write text editors, edition widgets, readlines, ...
 .
 Zed uses Camomile to fully support the Unicode specification, and
 implements an UTF-8 encoded string type with validation, and a rope
 datastructure to achieve efficient operations on large Unicode
 buffers. Zed also features a regular expression search on ropes.
 .
 To support efficient text edition capabilities, Zed provides macro
 recording and cursor management facilities.
 .
 This package contains the development stuff needed to use the
 zed library in your programs.

Package: libzeep-dev
Description-md5: 1d6a0b5935373147c167957e1d1ebdf0
Description-en: Development files for libzeep
 Libzeep is a C++ library providing a validating XML parser, XML DOM tree
 implementation, XPath 1.0 support and code to create SOAP/REST servers as
 well as a full web application framework.
 .
 libzeep was originally designed to create SOAP servers in C++ easily. The
 current incarnation provides a full validating XML parser creating a DOM tree
 that can be iterated using STL container like methods. The tree can be searched
 using XPath 1.0 queries.
 .
 There's also code to create SOAP and REST servers based allowing to export
 C++ methods as web services. And there's a full web application framework to
 create dynamic web sites in C++ and XHTML.
 .
 This specific package contains all files needed to develop new
 software using libzeep.

Package: libzeep3.0v5
Description-md5: bd4ae80f949847f962295484572d545a
Description-en: Library files for libzeep
 Libzeep is a C++ library providing a validating XML parser, XML DOM tree
 implementation, XPath 1.0 support and code to create SOAP/REST servers as
 well as a full web application framework.
 .
 libzeep was originally designed to create SOAP servers in C++ easily. The
 current incarnation provides a full validating XML parser creating a DOM tree
 that can be iterated using STL container like methods. The tree can be searched
 using XPath 1.0 queries.
 .
 There's also code to create SOAP and REST servers based allowing to export
 C++ methods as web services. And there's a full web application framework to
 create dynamic web sites in C++ and XHTML.
 .
 This package contains the shared library only.

Package: libzeitgeist-1.0-1
Description-md5: 5f36f890b2fde009f3c657c743aacc4e
Description-en: library to access Zeitgeist - shared library
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 Libzeitgeist is a client library to access and manage the Zeitgeist event
 log from languages such as C and Vala.
 .
 This package contains shared libraries to be used by applications.

Package: libzeitgeist-1.0-1-dbg
Description-md5: fe23cb66d3ff2d9bf1f3dd68bab85e55
Description-en: library to access Zeitgeist - debugging symbols
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 Libzeitgeist is a client library to access and manage the Zeitgeist event
 log from languages such as C and Vala.
 .
 This package contains debugging symbols.

Package: libzeitgeist-2.0-0
Description-md5: 5f36f890b2fde009f3c657c743aacc4e
Description-en: library to access Zeitgeist - shared library
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 Libzeitgeist is a client library to access and manage the Zeitgeist event
 log from languages such as C and Vala.
 .
 This package contains shared libraries to be used by applications.

Package: libzeitgeist-2.0-dev
Description-md5: fd5d7b8d40b9c9f711482b8a95928b06
Description-en: library to access Zeitgeist - development files
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 Libzeitgeist is a client library to access and manage the Zeitgeist event
 log from languages such as C and Vala.
 .
 This package contains files that are needed to build applications.

Package: libzeitgeist-2.0-doc
Description-md5: 6c5611aea56bd3880c45d1ce5940048a
Description-en: library to access Zeitgeist - documentation
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 Libzeitgeist is a client library to access and manage the Zeitgeist event
 log from languages such as C and Vala.
 .
 This package contains the documentation.

Package: libzeitgeist-cil-dev
Description-md5: 54f4983c84498c7c55f98af555f52287
Description-en: CLI bindings for Zeitgeist Project - development files
 zeitgeist-sharp is a managed C# wrapper of the Zeitgeist DBus API.
 It exposes Log (Querying the events) , Blacklist, Monitor and
 DataSources.
 .
 This package contains the development files needed to compile CLI
 applications which use this library.

Package: libzeitgeist-dev
Description-md5: fd5d7b8d40b9c9f711482b8a95928b06
Description-en: library to access Zeitgeist - development files
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 Libzeitgeist is a client library to access and manage the Zeitgeist event
 log from languages such as C and Vala.
 .
 This package contains files that are needed to build applications.

Package: libzeitgeist-doc
Description-md5: 6c5611aea56bd3880c45d1ce5940048a
Description-en: library to access Zeitgeist - documentation
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 Libzeitgeist is a client library to access and manage the Zeitgeist event
 log from languages such as C and Vala.
 .
 This package contains the documentation.

Package: libzeitgeist0.8-cil
Description-md5: 11ededfe7e88f2efcd9b8bd969b594a5
Description-en: CLI bindings for Zeitgeist Project
 zeitgeist-sharp is a managed C# wrapper of the Zeitgeist DBus API.
 It exposes Log (Querying the events) , Blacklist, Monitor and
 DataSources.
 .
 This package contains the managed CLI bindings for zeitgeist-sharp,
 which are needed to run CLI applications which use this library.

Package: libzemberek-java
Description-md5: ffc7865643584da3bbc7c8496cbe2493
Description-en: Spell checker library for Turkic languages
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains the core library.

Package: libzemberek-java-doc
Description-md5: a36566328981a6df3bb15b008c515244
Description-en: Zemberek documentation
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains developer's documentation.

Package: libzemberek-tk-java
Description-md5: 9414ad13814094c1a0895c1941a4d7f1
Description-en: Turkmen spellchecker
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains Turkmen dictionary (under development).

Package: libzemberek-tr-java
Description-md5: 5cbc67208d782cd9635060890c5379bc
Description-en: Turkish spellchecker
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains Turkish dictionary.

Package: libzen-dev
Description-md5: fd715c913b6da32e7465db188780786d
Description-en: ZenLib C++ utility library -- development files
 ZenLib is a C++ utility library. It includes classes for handling strings,
 configuration, bit streams, threading, translation, and cross-platform
 operating system functions.
 .
 This package contains the headers required for compiling applications/libraries
 which use this library.

Package: libzen-doc
Description-md5: ea8634da071a42ce8508d04755b10795
Description-en: ZenLib C++ utility library -- documentation
 ZenLib is a C++ utility library. It includes classes for handling strings,
 configuration, bit streams, threading, translation, and cross-platform
 operating system functions.
 .
 This package contains the Doxygen generated API reference for developing
 applications/libraries using this library.

Package: libzen0v5
Description-md5: 44c91cf8a569a195b1bf36947bdf9416
Description-en: ZenLib C++ utility library -- runtime
 ZenLib is a C++ utility library. It includes classes for handling strings,
 configuration, bit streams, threading, translation, and cross-platform
 operating system functions.
 .
 This package contains the shared library needed for running applications which
 use this library.

Package: libzephyr-dev
Description-md5: f44dfde5a594186c509feb71aa97758a
Description-en: Project Athena's notification service - development files
 Zephyr is derived from the original Project Athena 'Instant Message' system
 and allows users to send messages to other users or to groups of users.
 Users can view incoming Zephyr messages as windowgrams (transient X
 windows) or as text on a terminal.
 .
 This package provides development libraries and files, which are
 needed to compile alternative Zephyr clients.

Package: libzephyr4
Description-md5: 27bb0be9ade76da1a83e09f9867be0a8
Description-en: Project Athena's notification service - non-Kerberos libraries
 Zephyr is derived from the original Project Athena 'Instant Message' system
 and allows users to send messages to other users or to groups of users.
 Users can view incoming Zephyr messages as windowgrams (transient X
 windows) or as text on a terminal.
 .
 This package provides the libraries without Kerberos support.

Package: libzephyr4-krb5
Description-md5: 7ff5021355c1d68e37c5db71da399f6c
Description-en: The original "Instant Message" system libraries with Kerberos V
 This version of the library uses Kerberos V for message authentication.
 .
 This is the Project Athena Zephyr notification system.
 Zephyr allows users to send messages to other users or to groups of
 users.  Users can view incoming Zephyr messages as windowgrams
 (transient X windows) or as text on a terminal.

Package: libzerg-perl
Description-md5: b7427345496a7aa70da60de9f8c9ddeb
Description-en: fast perl module for parsing the output of NCBI BLAST programs
 The Zerg library contains a C/flex lexical scanner for BLAST reports
 and a set of supporting functions. It is centered on a "get_token"
 function that scans the input for specified lexical elements and, when
 one is found, returns its code and value to the user.
 .
 It is intended to be fast: for that the authors used flex, which provides
 simple regular expression matching and input buffering in the
 generated C scanner. And it is intended to be simple in the sense of
 providing just a lexical scanner, with no features whose support could
 slow down its main function.

Package: libzerg0
Description-md5: 6b8213ad68f80f10fea73b8c72357f6f
Description-en: C library for lexically scanning the output of NCBI BLAST programs
 Zerg is a C library for lexing - lexically scanning - the output of NCBI
 BLAST programs.
 .
 Based on a
 GNU Flex-generated lexical scanner, it runs extremely fast, being especially
 useful for processing large volumes of data. Benchmark tests show that Zerg
 is over two orders of magnitude faster than some widely used BLAST parsers.
 .
 If you need a parser and not only a lexer, check out librostlab-blast.
 .
 This package contains the shared library.

Package: libzerg0-dev
Description-md5: c466241013d3d4a2f790cad7addb73d0
Description-en: development libraries and header files for libzerg
 Zerg is a C library for lexing - lexically scanning - the output of NCBI
 BLAST programs.
 .
 Based on a
 GNU Flex-generated lexical scanner, it runs extremely fast, being especially
 useful for processing large volumes of data. Benchmark tests show that Zerg
 is over two orders of magnitude faster than some widely used BLAST parsers.
 .
 If you need a parser and not only a lexer, check out librostlab-blast.
 .
 This package contains the header files and documentation
 needed to develop applications with libzerg.

Package: libzeroc-ice-dev
Description-md5: d208728fd79f878dfb15aa641583f2a6
Description-en: libraries and headers for developing Ice applications in C++
 This package contains the libraries and headers needed for developing
 Ice applications in C++.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: libzeroc-ice-java
Description-md5: f3b6cf250807df20c9bfd364ce6dec9d
Description-en: transitional package libzeroc-ice-java
 This is a transitional package for libzeroc-ice-java. It can safely be removed.

Package: libzeroc-ice3.7
Description-md5: 1d48e84a92738c58a039c8d80a1d1814
Description-en: C++ run-time libraries for the Ice framework
 This package contains C++ run-time libraries for the Ice framework.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: libzeroc-ice3.7-java
Description-md5: ab79aaf893933cbc23e5791b706af0fa
Description-en: Ice for Java run-time libraries.
 This package contains Ice for Java run-time libraries.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: libzeroc-icestorm3.7
Description-md5: 679e72fd55d26f5e4819c159ad20ef97
Description-en: IceStorm publish-subscribe event distribution service
 This package contains the IceStorm publish-subscribe event distribution
 service.
 .
 IceStorm helps you create push applications. Your Ice client (the publisher)
 sends a request to a topic managed by IceStorm, and IceStorm delivers this
 request to all the subscribers (Ice objects) that you registered with this
 topic.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: libzeus-jscl-java
Description-md5: 3b017d524fcce47aef6ca6317d6a28a2
Description-en: Zeus Java Swing Components Library
 Zeus a Java Swing Components Library. Provides useful swing components
 for easier GUI development. Some of the components are: JConsole,
 JMessage, JSplash, SingleAppInstance, WindowManager,
 AutoResizeTableColumns, TableSorter, TypeSafe API.

Package: libzeus-jscl-java-doc
Description-md5: 6c727516a69cef5e583ba82d72bbb6c5
Description-en: API documentation for libzeus-jscl-java
 Zeus a Java Swing Components Library. Provides useful swing components
 for easier GUI development. Some of the components are: JConsole,
 JMessage, JSplash, SingleAppInstance, WindowManager,
 AutoResizeTableColumns, TableSorter, TypeSafe API.
 .
 This package contains the javadoc documentation files.

Package: libzfcphbaapi0
Description-md5: 2bd25be66636e6a88c4f78c53f819d3c
Description-en: FC-HBA implementation for the zfcp device driver (runtime)
 The zfcp device driver is a FCP device driver for the Linux on System
 z. This package implements FC-HBA API for the zfcp device driver.
 .
 This package contains runtime library.

Package: libzia
Description-md5: 77022830fe4e820f7a73d128edda1f5d
Description-en: sharable and platform-dependent library for Tucnak
 libzia contains the platform abstraction layer for the tucnak package.
 Tucnak is a VHF/UHF/SHF logging program for hamradio contests.
 .
 It also contains common usable functions for other projects.

Package: libzia-dev
Description-md5: 1e17943614f7adc18a0ea16d3e3b2d9c
Description-en: sharable and platform-dependent dev library for Tucnak
 libzia-dev contains the development files for a platform abstraction
 layer for the tucnak package.
 Tucnak is a VHF/UHF/SHF logging program for hamradio contests.
 .
 It also contains common usable functions for other projects.

Package: libzim-dev
Description-md5: 8baca2f573358e867741d6abf956387b
Description-en: library implementation of ZIM specifications (development)
 ZIM (Zeno IMproved) is an open file format for storing the contents of
 wiki for offline usage. This file format is primarily focused on
 providing the contents of Wikipedia and Wikimedia projects for offline
 use.
 .
 zimlib is the standard implementation of ZIM specification, which
 implements the read and write method for ZIM files.
 .
 ZIM is a file format created with focus on extracting and encoding data
 from Mediawiki for offline use.
 .
 This package contains development files.

Package: libzim4
Description-md5: ebc1ffc08cea9ff7c53af3a54af9d7cc
Description-en: library implementation of ZIM specifications
 ZIM (Zeno IMproved) is an open file format for storing the contents of
 wiki for offline usage. This file format is primarily focused on
 providing the contents of Wikipedia and Wikimedia projects for offline
 use.
 .
 zimlib is the standard implementation of ZIM specification, which
 implements the read and write method for ZIM files.
 .
 ZIM is a file format created with focus on extracting and encoding data
 from Mediawiki for offline use.
 .
 Features of zimlib are:
  * Native, coded in C++
  * Extremely fast
  * Minimal footprint
  * Minimal dependencies
  * Portable on most OS (Windows, Linux, Mac OS X)

Package: libzinnia-dev
Description-md5: b5b990e98e1be7a710983ccde0e5065a
Description-en: development files for the zinnia library
 Zinnia provides a simple, customizable, and portable dynamic OCR
 system for hand-written input, based on Support Vector Machines.
 .
 Zinnia simply receives user pen strokes as coordinate data and outputs
 the best matching characters sorted by SVM confidence. To maintain
 portability, it has no rendering functionality. In addition to
 recognition, Zinnia provides a training module capable of creating
 highly efficient handwriting recognition models.
 .
 This package is needed to compile programs against libzinnia0,
 as only it includes the header files and static libraries (optionally)
 needed for compiling.

Package: libzinnia-doc
Description-md5: 2ab1ebae7e4243abe55b2e3ddc72c428
Description-en: documentation files for the zinnia library
 Zinnia provides a simple, customizable, and portable dynamic OCR
 system for hand-written input, based on Support Vector Machines.
 .
 Zinnia simply receives user pen strokes as coordinate data and outputs
 the best matching characters sorted by SVM confidence. To maintain
 portability, it has no rendering functionality. In addition to
 recognition, Zinnia provides a training module capable of creating
 highly efficient handwriting recognition models.
 .
 This package provide the documentation files for the zinnia library.

Package: libzinnia0
Description-md5: 022f08051be677d36fcb5ea510579f1f
Description-en: online handwriting recognition system with machine learning
 Zinnia provides a simple, customizable, and portable dynamic OCR
 system for hand-written input, based on Support Vector Machines.
 .
 Zinnia simply receives user pen strokes as coordinate data and outputs
 the best matching characters sorted by SVM confidence. To maintain
 portability, it has no rendering functionality. In addition to
 recognition, Zinnia provides a training module capable of creating
 highly efficient handwriting recognition models.
 .
 This package contains the shared libraries.

Package: libzip-dev
Description-md5: e4cfaaaa641934e570f957640c60c8a6
Description-en: library for reading, creating, and modifying zip archives (development)
 libzip is a C library for reading, creating, and modifying zip archives. Files
 can be added from data buffers, files, or compressed data copied directly from
 other zip archives. Changes made without closing the archive can be reverted.
 .
 This package contains the static libraries and headers for developing
 applications that use the libzip library API.

Package: libzip-ocaml
Description-md5: 93bbca4cf779b63adcc43e93ccab9e39
Description-en: OCaml compression libraries (runtime libraries)
 This Objective Caml library provides easy access to compressed files in ZIP
 and GZIP format, as well as to Java JAR files.  It provides functions
 for reading from and writing to compressed files in these formats.
 .
 This package contains only the shared runtime stub libraries.

Package: libzip-ocaml-dev
Description-md5: ca8fdf66abdad245e9e52c974ec49ee0
Description-en: OCaml compression libraries (development files)
 This Objective Caml library provides easy access to compressed files in ZIP
 and GZIP format, as well as to Java JAR files.  It provides functions
 for reading from and writing to compressed files in these formats.
 .
 This package contains the development part of the camlzip package.

Package: libzip4j-java
Description-md5: ccf29ca4e6673e3f6ee25e6fa313422c
Description-en: java library for zip files
 Features:
  * Create, Add, Extract, Update, Remove files from a Zip file
  * Read/Write password protected Zip files
  * AES 128/256 Encryption/Decryption
  * Standard Zip Encryption/Decryption
  * Zip64 format
  * Store (No Compression) and Deflate compression method
  * Create or extract files from Split Zip files (Ex: z01, z02,...zip)
  * Unicode file names
  * Progress Monitor

Package: libzip5
Description-md5: d9af13380c4a431ca858b410fc8c55c7
Description-en: library for reading, creating, and modifying zip archives (runtime)
 libzip is a C library for reading, creating, and modifying zip archives. Files
 can be added from data buffers, files, or compressed data copied directly from
 other zip archives. Changes made without closing the archive can be reverted.
 .
 This package contains the files necessary for running applications that
 use the libzip library.

Package: libzipios++-dev
Description-md5: 0cb4e645f5609bd8b41d60112a6adaa8
Description-en: small C++ library for reading zip files (development)
 Zipios++ is a java.util.zip-like C++ library for reading and writing Zip
 files. Access to individual entries is provided through standard C++
 iostreams. A simple read-only virtual file system that mounts regular
 directories and zip files is also provided.
 .
 This package contains files needed for development with zipios++.

Package: libzipios++-doc
Description-md5: 306e38011961d8cf0b8158805cebb697
Description-en: small C++ library for reading zip files (documents)
 Zipios++ is a java.util.zip-like C++ library for reading and writing Zip
 files. Access to individual entries is provided through standard C++
 iostreams. A simple read-only virtual file system that mounts regular
 directories and zip files is also provided.
 .
 This package contains documentations for development with zipios++.

Package: libzipios++0v5
Description-md5: 8af6a33d1733f8bf50bfbe8b69a7a72b
Description-en: small C++ library for reading zip files (library)
 Zipios++ is a java.util.zip-like C++ library for reading and writing Zip
 files. Access to individual entries is provided through standard C++
 iostreams. A simple read-only virtual file system that mounts regular
 directories and zip files is also provided.
 .
 This package contains shared library.

Package: libzita-alsa-pcmi-dev
Description-md5: eb895ab04ff3a6265a1291c0ee3d253e
Description-en: Development files (headers) for libzita-alsa-pcmi library
 Zita-alsa-pcmi is a C++ wrapper around the ALSA API
 a successor of clalsadrv library.
 It provides easy access to ALSA PCM devices,
 taking care of the many functions required to open,
 initialise and use a hw: device in mmap mode,
 and providing floating point audio data.
 .
 This package contains the headers used to build applications
 that use libzita-alsa-pcmi.

Package: libzita-alsa-pcmi0
Description-md5: 74fab54c4923aa160a62bc1c0edd0631
Description-en: C++ wrapper around the ALSA API
 Zita-alsa-pcmi is the successor of clalsadrv library.
 It provides easy access to ALSA PCM devices,
 taking care of the many functions required to open,
 initialise and use a hw: device in mmap mode,
 and providing floating point audio data.
 .
 This package contains the shared library for libzita-alsa-pcmi.

Package: libzita-convolver-dev
Description-md5: 09c182f786fea3f0fd1fc963221625d3
Description-en: Development files (headers) for libzita-convolver library
 Zita convolver is a C++ library implementing a real-time
 convolution matrix for up to 64 inputs and outputs. It
 uses multiple partition sizes to provide both low delay
 and efficient CPU use.
 .
 This package contains the headers used to build applications
 that use libzita-convolver.

Package: libzita-convolver3
Description-md5: 77b36c6e84d80ae2cfba882109d4fa7d
Description-en: C++ library implementing a real-time convolution matrix
 Zita convolver is a C++ library implementing a real-time
 convolution matrix for up to 64 inputs and outputs. It
 uses multiple partition sizes to provide both low delay
 and efficient CPU use.
 .
 This package contains the shared library for libzita-convolver.

Package: libzita-resampler-dev
Description-md5: c1f09311f20bd194f17cb65b1f737e22
Description-en: Development files (headers) for libzita-resampler library
 Zita resampler is a C++ library for resampling audio signals. It is
 designed to be used within a real-time processing context, to be
 fast, and to provide high-quality sample rate conversion.
 .
 This package contains the headers used to build applications
 that use libzita-resampler.

Package: libzita-resampler-doc
Description-md5: ea3b36afbc1dc9e2c9fc74697b734152
Description-en: Developers reference for libzita-resampler library
 Zita resampler is a C++ library for resampling audio signals. It is
 designed to be used within a real-time processing context, to be
 fast, and to provide high-quality sample rate conversion.
 .
 This package contains libzita-resampler API reference.

Package: libzita-resampler1
Description-md5: 314309211d3de1f5e109ba92bac3bbdd
Description-en: C++ library for resampling audio signals
 Zita resampler is a C++ library for resampling audio signals. It is
 designed to be used within a real-time processing context, to be
 fast, and to provide high-quality sample rate conversion.
 .
 The library operates on signals represented in single-precision
 floating point format. For multichannel operation both the input and
 output signals are assumed to be stored as interleaved samples.
 .
 The API allows a trade-off between quality and CPU load. For the
 latter a range of approximately 1:6 is available. Even at the
 highest quality setting libzita-resampler will be faster than most
 similar libraries, e.g. libsamplerate.
 .
 This package contains the shared library for libzita-resampler.

Package: libzlcore-data
Description-md5: fb27b6f5af65f7f7c247211a27453685
Description-en: ZLibrary cross-platform development library (support files)
 This package contains the support files for the core of ZLibrary, the library
 that the fbreader e-book reader is based on.
 .
 ZLibrary is a cross-platform library to build applications running on desktop
 Linux, Windows, different Linux-based PDAs using this library.

Package: libzlcore-dev
Description-md5: 754c996908799085964077e836cb3f75
Description-en: ZLibrary cross-platform development library (development files)
 This package contains development files for the ZLibrary core.
 .
 ZLibrary is a cross-platform library to build applications running on desktop
 Linux, Windows, different Linux-based PDAs using this library.

Package: libzlcore0.13
Description-md5: 38960130641d439aeea834f06247be6e
Description-en: ZLibrary cross-platform development library (shared library)
 This is the core of ZLibrary, the library that the fbreader e-book reader
 is based on.
 .
 ZLibrary is a cross-platform library to build applications running on desktop
 Linux, Windows, different Linux-based PDAs using this library.

Package: libzltext-data
Description-md5: a7c8db6f8cfff9c4f644d3dfa7815c1c
Description-en: ZLibrary text model/viewer part (support files)
 This package contains the support files for the text model/viewer part
 of ZLibrary. See also libzltext0.10 package.
 .
 ZLibrary is a cross-platform library to build applications running on desktop
 Linux, Windows, different Linux-based PDAs using this library.

Package: libzltext-dev
Description-md5: bf35278e7781238825f7d61ad83714e9
Description-en: ZLibrary text model/viewer part (development files)
 This package contains development files for the ZLibrary text model/viewer
 library.
 .
 ZLibrary is a cross-platform library to build applications running on desktop
 Linux, Windows, different Linux-based PDAs using this library.

Package: libzltext0.13
Description-md5: ccfca580ea1cf27bb9c9b5296b195fec
Description-en: ZLibrary text model/viewer part (shared library)
 This package provides text model/viewer part of ZLibrary. See also
 libzlcore0.10 package.
 .
 ZLibrary is a cross-platform library to build applications running on desktop
 Linux, Windows, different Linux-based PDAs using this library.

Package: libzlui-gtk
Description-md5: 8600a97e2e3f25e589ca69ade8f86d50
Description-en: GTK+ interface module for ZLibrary
 This package provides a GTK+-based UI for ZLibrary.
 .
 ZLibrary is a cross-platform library to build applications running on desktop
 Linux, Windows, different Linux-based PDAs using this library.

Package: libzmf-0.0-0
Description-md5: 070f88e4d8873427e823afcbd8728b18
Description-en: Zoner Draw/Zebra file reading/converting library
 libzmf is a library and a set of tools for reading and converting
 Zoner Draw and Zebra file formats.

Package: libzmf-dev
Description-md5: 21e557fbff23aab1abb5d66a5b0feaf0
Description-en: Zoner Draw/Zebra file reading/converting library -- development
 libzmf is a library and a set of tools for reading and converting
 Zoner Draw and Zebra file formats.
 .
 This package contains the development files needed for developing files against
 libzmf.

Package: libzmf-doc
Description-md5: fcff642b637aca85716a94b6c63a91f2
Description-en: Zoner Draw/Zebra file reading/converting library -- documentation
 libzmf is a library and a set of tools for reading and converting
 Zoner Draw and Zebra file formats.
 .
 This package contains the API documentation.

Package: libzmf-tools
Description-md5: 7e4f5819a81894d25eaacfe9af782305
Description-en: Zoner Draw/Zebra file reading/converting library -- tools
 libzmf is a library and a set of tools for reading and converting
 Zoner Draw and Zebra file formats.
 .
 This package contains zmf2svg and zmf2raw to convert zmf files to SVG/RAW.

Package: libzmq-ffi-perl
Description-md5: a510858912b06ffaed622d6728d55eb9
Description-en: version agnostic Perl bindings for zeromq using ffi
 ZMQ::FFI exposes a high level, transparent, OO interface to zeromq
 independent of the underlying libzmq version. Where semantics differ, it will
 dispatch to the appropriate backend for you. As it uses ffi, there is no
 dependency on XS or compilation. As of 1.00 ZMQ::FFI is implemented using
 FFI::Platypus.

Package: libzmq-java
Description-md5: 6a69c45c5545689ace4db848108d3f41
Description-en: ZeroMQ Java bindings (jzmq)
 ZeroMQ is a library which extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products.
 .
 ZeroMQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package contains JNI-based Java bindings for ZeroMQ.

Package: libzmq-java-doc
Description-md5: 09656deaaa082afe6a4436737ceab67e
Description-en: Documentation for ZeroMQ Java bindings (jzmq)
 ZeroMQ is a library which extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products.
 .
 ZeroMQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package contains JavaDoc documentation for the Java bindings for ZeroMQ.

Package: libzmq-jni
Description-md5: 5b62ef8e7a9b0cb4a31024fb11bcd6c6
Description-en: ZeroMQ Java bindings (jzmq)
 ZeroMQ is a library which extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products.
 .
 ZeroMQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package contains JNI libraries for libzmq-java.

Package: libzmq3-dev
Description-md5: 7b2c1e47f6d305566aebc0e65e04f5ee
Description-en: lightweight messaging kernel (development files)
 ØMQ is a library which extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products.
 .
 ØMQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package contains the ZeroMQ development libraries and header files.

Package: libzmq5
Description-md5: 8f2756f773da0ff44ace3832dbb30dbb
Description-en: lightweight messaging kernel (shared library)
 ØMQ is a library which extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products.
 .
 ØMQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package contains the libzmq shared library.

Package: libzmqpp-dev
Description-md5: d298ba6e29d2a44a3840857dc94944af
Description-en: High-level C++ bindings for zeromq3 - development files
 This C++ binding is a 'high-level' library that hides most of the c-style
 interface core 0mq provides.
 This package provides development files and headers.

Package: libzmqpp4
Description-md5: ad3915da5ea2acaaacb9e0317f057344
Description-en: High-level C++ bindings for zeromq3
 This C++ binding is a 'high-level' library that hides most of the c-style
 interface core 0mq provides.

Package: libzn-poly-0.9
Description-md5: a38b4793d4fc35129018ddf820cfb6b2
Description-en: Library for polynomial arithmetic in Z/nZ[x]
 zn_poly is a C library for polynomial arithmetic in Z/nZ[x], where n
 is any modulus that fits into an unsigned long.

Package: libzn-poly-dev
Description-md5: 997b5d77ae66234144ff69e9edc830af
Description-en: development files for libzn-poly
 zn_poly is a C library for polynomial arithmetic in Z/nZ[x], where n
 is any modulus that fits into an unsigned long.
 .
 This package contains the development files for the library.

Package: libzonemaster-perl
Description-md5: 27a4bf446113b8a54a8805453c918653
Description-en: tool to check the quality of a DNS zone
 Zonemaster is a utility for DNS validation of the quality of a DNS
 delegation.
 .
 This package contains a Perl library (zonemaster-engine).

Package: libzookeeper-java
Description-md5: 9d8746036f857b6258f6cc81bcc7fbb8
Description-en: Core Java libraries for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains the java .jar files.

Package: libzookeeper-java-doc
Description-md5: fa7efb25704b2929cc2dd670cc5d88c4
Description-en: API Documentation for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.

Package: libzookeeper-mt-dev
Description-md5: e97b8f70fd8f634f667573656ff3b1b8
Description-en: Development files for multi threaded zookeeper C bindings
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains the development files for the multi threaded C bindings.

Package: libzookeeper-mt2
Description-md5: 408293df7a7895abc9fc9f52d0bdcf1a
Description-en: Multi threaded C bindings for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains the multi-threaded libraries for the C bindings.

Package: libzookeeper-st-dev
Description-md5: a7ed7dfb8ba76990f2dfc948ba578d1b
Description-en: Development files for single threaded zookeeper C bindings
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains the development files for the single threaded C bindings.

Package: libzookeeper-st2
Description-md5: dfcadac92fabca64f9de63fa5218992a
Description-en: Single threaded C bindings for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains the single-threaded libraries for the C bindings.

Package: libzopfli-dev
Description-md5: b0c80b4a582e0bcebf9875cbad70a35b
Description-en: Header and static library files for libzopfli1
 Zopfli Compression Algorithm is a new zlib (gzip, deflate) compatible
 compressor. This compressor takes more time (~100x slower), but compresses
 around 5% better than zlib and better than any other zlib-compatible
 compressor we have found.
 .
 This package contains the headers for libzopfli1.

Package: libzopfli1
Description-md5: 255dc44bc4703bc3d8088a26e7c44456
Description-en: zlib (gzip, deflate) compatible compressor - shared library
 Zopfli Compression Algorithm is a new zlib (gzip, deflate) compatible
 compressor. This compressor takes more time (~100x slower), but compresses
 around 5% better than zlib and better than any other zlib-compatible
 compressor we have found.
 .
 This package contains the library for application programming with zopfli.

Package: libzorpll-7.0-1
Description-md5: af31869bb11e96f0cb9877e96afc51b6
Description-en: Zorp low-level functions
 Zorp is an application-layer firewall using a modular architecture of
 strict protocol-analyzing transparent proxies, giving fine-grained
 control over the mediated traffic. Configuration decisions are
 scriptable in the Python-based configuration language.
 .
 This package contains low-level library functions needed by Zorp and
 associated programs.

Package: libzorpll-7.0-1-dev
Description-md5: daeb17678c1c60b233ab34d70b131552
Description-en: Zorp low-level functions - development files for version 6.0-10
 Zorp is an application-layer firewall using a modular architecture of
 strict protocol-analyzing transparent proxies, giving fine-grained
 control over the mediated traffic. Configuration decisions are
 scriptable in the Python-based configuration language.
 .
 This package contains the development files necessary to create programs
 based on libzorpll.

Package: libzorpll-dev
Description-md5: d76df106b42e2a1ee878f9b69261b057
Description-en: Zorp low-level functions - development files
 Zorp is an application-layer firewall using a modular architecture of
 strict protocol-analyzing transparent proxies, giving fine-grained
 control over the mediated traffic. Configuration decisions are
 scriptable in the Python-based configuration language.
 .
 This package is a dependency package, which depends on the latest version.

Package: libzscanner2
Description-md5: b5ef67f601e025d125f07ee1c47733d0
Description-en: DNS zone-parsing library from Knot
 Knot DNS is a fast, authoritative only, high performance, feature
 full and open source name server.
 .
 Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ
 registry and hence is well suited to run anything from the root
 zone, the top-level domain, to many smaller standard domain names.
 .
 This package provides a fast zone parser shared library used by Knot
 DNS and Knot Resolver.

Package: libzt-exec-java
Description-md5: 8798408364bf0ecae02304412bc23813
Description-en: ZT Process Executor
 A lightweight library to execute external processes from Java.

Package: libzthread-2.3-2
Description-md5: 009622213ead70e90080d77639e6dff6
Description-en: Object-oriented synchronization library for C++
 Zthreads is an advanced platform-independent, object-oriented
 threading and synchronization library. Designed and tested under
 POSIX & Win32 systems.
 .
 It provides several structures for concurrent programming like
 PoolExecutor, MonitoredQueue, Barriers and much more. Furthermore,
 structures like Task and Thread are provided for creating threading
 applications in C++ easier.
 .
 This library wraps and interfaces with pthreads, so it is fully
 compliant.

Package: libzthread-dev
Description-md5: 009622213ead70e90080d77639e6dff6
Description-en: Object-oriented synchronization library for C++
 Zthreads is an advanced platform-independent, object-oriented
 threading and synchronization library. Designed and tested under
 POSIX & Win32 systems.
 .
 It provides several structures for concurrent programming like
 PoolExecutor, MonitoredQueue, Barriers and much more. Furthermore,
 structures like Task and Thread are provided for creating threading
 applications in C++ easier.
 .
 This library wraps and interfaces with pthreads, so it is fully
 compliant.

Package: libzulucrypt-dev
Description-md5: 489f15a8bf3c7a8117ed30dd4ad663f3
Description-en: development files for libzulucrypt-1.2.0
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 This package provides the development files for the
 libzulucrypt library.

Package: libzulucrypt-exe-dev
Description-md5: e4746cd71d29a7714f20605a623becbb
Description-en: development files for the libzulucrypt-exe
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 This package provides the development files for the
 libzulucrypt-exe library.

Package: libzulucrypt-exe1.2.0
Description-md5: 446aecbee3df83322562eaf6bfb362bb
Description-en: provide the main functions of zulucrypt
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 This package provides the shared library, that
 has essential functions for some tools in
 zulucrypt suite.

Package: libzulucrypt-plugins
Description-md5: b54fed7f286b674c13cd8e24271f36e3
Description-en: collection of plugins for zulucrypt
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 Collection of plugins that increase the
 functionality of zulucrypt.
 .
 Included plugins are:
  generic_header
  gpg
  hmac
  keydialog-qt
  keykeyfile
  keyring
  luks
  steghide
  tomb
  zuluCrypt-testKey

Package: libzulucrypt1.2.0
Description-md5: 9a9cf6cb314e6f5a1b3c22b0c3c76e00
Description-en: provide the functions of zulumount
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 This package provides the shared library, that
 has main functions to zulumount.

Package: libzulucryptpluginmanager-dev
Description-md5: a946fb464b3ca06fdac0e2ee73f0b840
Description-en: development files for libzulucryptpluginmanager
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 This package provides development files for the
 libzulucryptpluginmanager library.

Package: libzulucryptpluginmanager1.0.0
Description-md5: ae2f9c097adb8b4af8d6d871ccb8ef59
Description-en: provides support for plugins
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 This package provides the shared library, that
 have support for plugins and allows the integration
 of plugins with zulucrypt and zulumount.

Package: libzvbi-common
Description-md5: 45a184b948cd46e1228c58d6416f7280
Description-en: Vertical Blanking Interval decoder (VBI) - common files
 The vertical blanking interval (VBI) is an interval in a television signal
 that temporarily suspends transmission of the signal for the electron gun
 to move back up to the first line of the television screen to trace the
 next screen field.
 .
 The vertical blanking interval can be used to carry data, since anything
 sent during the VBI would naturally not be displayed; various test signals,
 closed captioning, and other digital data can be sent during this time
 period. These include information like closed-caption data, Teletext
 (primarily in Europe), and now Intercast and the ATVEC Internet television
 encodings.
 .
 The Zapping VBI library, in short ZVBI, provides functions to capture and
 decode VBI data. It is written in plain ANSI C with few dependencies on
 other tools and libraries, licensed under GPL. Some features:
   * Captures raw VBI samples from V4L, V4L2 and FreeBSD BKTR devices, sliced
     VBI data from Linux DVB devices;
   * Implements a VBI Proxy to share one VBI device between multiple
     applications;
   * Has a robust and versatile bit slicer which handles a wide range of data
     services;
   * Functions to capture multiple data services at once, such as Closed
     Caption (both NTSC and PAL), Teletext, VPS, WSS, XDS;
   * Level 3.5 Teletext decoder with support for all Teletext character sets,
     graphics, 4096 colors, with built-in page cache including search
     functions;
   * Closed Caption decoder which supports roll-up, pop-up and paint-on style
     caption, color, expanded character set, text attributes and free text
     placement;
   * Renders Teletext and Caption pages, can export to HTML, PNG and other
     formats.
   * Can determine network names;
   * Can find Teletext subtitle and program pages;
   * Functions to extract data transmissions in Teletext streams (currently
     Page Format Clear and Independent Data Line Format A);
   * Can multiplex and demultiplex DVB VBI PES and TS streams.
 .
 This package contains internationalization files.

Package: libzvbi-dev
Description-md5: 1ed5341cec401cff19793f7fc7afc618
Description-en: Vertical Blanking Interval decoder (VBI) - development files
 The vertical blanking interval (VBI) is an interval in a television signal
 that temporarily suspends transmission of the signal for the electron gun
 to move back up to the first line of the television screen to trace the
 next screen field.
 .
 The vertical blanking interval can be used to carry data, since anything
 sent during the VBI would naturally not be displayed; various test signals,
 closed captioning, and other digital data can be sent during this time
 period. These include information like closed-caption data, Teletext
 (primarily in Europe), and now Intercast and the ATVEC Internet television
 encodings.
 .
 The Zapping VBI library, in short ZVBI, provides functions to capture and
 decode VBI data. It is written in plain ANSI C with few dependencies on
 other tools and libraries, licensed under GPL. Some features:
   * Captures raw VBI samples from V4L, V4L2 and FreeBSD BKTR devices, sliced
     VBI data from Linux DVB devices;
   * Implements a VBI Proxy to share one VBI device between multiple
     applications;
   * Has a robust and versatile bit slicer which handles a wide range of data
     services;
   * Functions to capture multiple data services at once, such as Closed
     Caption (both NTSC and PAL), Teletext, VPS, WSS, XDS;
   * Level 3.5 Teletext decoder with support for all Teletext character sets,
     graphics, 4096 colors, with built-in page cache including search
     functions;
   * Closed Caption decoder which supports roll-up, pop-up and paint-on style
     caption, color, expanded character set, text attributes and free text
     placement;
   * Renders Teletext and Caption pages, can export to HTML, PNG and other
     formats.
   * Can determine network names;
   * Can find Teletext subtitle and program pages;
   * Functions to extract data transmissions in Teletext streams (currently
     Page Format Clear and Independent Data Line Format A);
   * Can multiplex and demultiplex DVB VBI PES and TS streams.
 .
 This package contains development files.

Package: libzvbi-doc
Description-md5: 02b22f1be385c8d8a4eaa85cf8dc0afe
Description-en: Vertical Blanking Interval decoder (VBI) - documentation files
 The vertical blanking interval (VBI) is an interval in a television signal
 that temporarily suspends transmission of the signal for the electron gun
 to move back up to the first line of the television screen to trace the
 next screen field.
 .
 The vertical blanking interval can be used to carry data, since anything
 sent during the VBI would naturally not be displayed; various test signals,
 closed captioning, and other digital data can be sent during this time
 period. These include information like closed-caption data, Teletext
 (primarily in Europe), and now Intercast and the ATVEC Internet television
 encodings.
 .
 The Zapping VBI library, in short ZVBI, provides functions to capture and
 decode VBI data. It is written in plain ANSI C with few dependencies on
 other tools and libraries, licensed under GPL. Some features:
   * Captures raw VBI samples from V4L, V4L2 and FreeBSD BKTR devices, sliced
     VBI data from Linux DVB devices;
   * Implements a VBI Proxy to share one VBI device between multiple
     applications;
   * Has a robust and versatile bit slicer which handles a wide range of data
     services;
   * Functions to capture multiple data services at once, such as Closed
     Caption (both NTSC and PAL), Teletext, VPS, WSS, XDS;
   * Level 3.5 Teletext decoder with support for all Teletext character sets,
     graphics, 4096 colors, with built-in page cache including search
     functions;
   * Closed Caption decoder which supports roll-up, pop-up and paint-on style
     caption, color, expanded character set, text attributes and free text
     placement;
   * Renders Teletext and Caption pages, can export to HTML, PNG and other
     formats.
   * Can determine network names;
   * Can find Teletext subtitle and program pages;
   * Functions to extract data transmissions in Teletext streams (currently
     Page Format Clear and Independent Data Line Format A);
   * Can multiplex and demultiplex DVB VBI PES and TS streams.
 .
 This package contains documentation files.

Package: libzvbi0
Description-md5: bc54254176638ca1d4b5841b6e94d703
Description-en: Vertical Blanking Interval decoder (VBI) - runtime files
 The vertical blanking interval (VBI) is an interval in a television signal
 that temporarily suspends transmission of the signal for the electron gun
 to move back up to the first line of the television screen to trace the
 next screen field.
 .
 The vertical blanking interval can be used to carry data, since anything
 sent during the VBI would naturally not be displayed; various test signals,
 closed captioning, and other digital data can be sent during this time
 period. These include information like closed-caption data, Teletext
 (primarily in Europe), and now Intercast and the ATVEC Internet television
 encodings.
 .
 The Zapping VBI library, in short ZVBI, provides functions to capture and
 decode VBI data. It is written in plain ANSI C with few dependencies on
 other tools and libraries, licensed under GPL. Some features:
   * Captures raw VBI samples from V4L, V4L2 and FreeBSD BKTR devices, sliced
     VBI data from Linux DVB devices;
   * Implements a VBI Proxy to share one VBI device between multiple
     applications;
   * Has a robust and versatile bit slicer which handles a wide range of data
     services;
   * Functions to capture multiple data services at once, such as Closed
     Caption (both NTSC and PAL), Teletext, VPS, WSS, XDS;
   * Level 3.5 Teletext decoder with support for all Teletext character sets,
     graphics, 4096 colors, with built-in page cache including search
     functions;
   * Closed Caption decoder which supports roll-up, pop-up and paint-on style
     caption, color, expanded character set, text attributes and free text
     placement;
   * Renders Teletext and Caption pages, can export to HTML, PNG and other
     formats.
   * Can determine network names;
   * Can find Teletext subtitle and program pages;
   * Functions to extract data transmissions in Teletext streams (currently
     Page Format Clear and Independent Data Line Format A);
   * Can multiplex and demultiplex DVB VBI PES and TS streams.

Package: libzxcvbn-dev
Description-md5: e590acf6c9c7e408f2c760d5724aef28
Description-en: password strength estimation library - development files
 This library is a C/C++ implementation of the zxcvbn password
 strength estimator.  It provides functions to rate password strength,
 by comparing the password to several word lists, including English
 first and last names.
 .
 This package includes the development headers.

Package: libzxcvbn0
Description-md5: 05da0f13107ca7dd4bd43745b7ee5401
Description-en: password strength estimation library
 This library is a C/C++ implementation of the zxcvbn password
 strength estimator.  It provides functions to rate password strength,
 by comparing the password to several word lists, including English
 first and last names.

Package: libzxing-core-java
Description-md5: b710b4ed7ba3723da550ab1d0bafbfb7
Description-en: ZXing Core
 Core barcode encoding/decoding library

Package: libzxing-java
Description-md5: 1f53b6dfd2bbeb9ac8c7b375312cbe66
Description-en: ZXing ("Zebra Crossing") barcode scanning library for Java, Android
 ZXing ("zebra crossing") is an open-source, multi-format 1D/2D barcode
 image processing library implemented in Java, with ports to other
 languages.

Package: libzxing-javase-java
Description-md5: 2fe647544d8bef4f802e2f0f7812ccd4
Description-en: ZXing Java SE extensions
 Java SE-specific extensions to core ZXing library

Package: libzxingcore-dev
Description-md5: ac911e68306a69c53519799ae7dbc698
Description-en: C++ port of ZXing library (development files)
 This project is a C++ port of ZXing Library. It is a pure C++14
 implementation with little third-party dependencies. The library
 provides stateless, thread-safe readers and generators to process
 QR Codes.
 .
 This package provides development files for zxing-cpp library.

Package: libzxingcore1
Description-md5: ceca43982ea15a1218be431e64e1d332
Description-en: C++ port of ZXing library (library files)
 This project is a C++ port of ZXing Library. It is a pure C++14
 implementation with little third-party dependencies. The library
 provides stateless, thread-safe readers and generators to process
 QR Codes.
 .
 This package provides library files for zxing-cpp library.

Package: libzypp-bin
Description-md5: cba9a3a5b69f3d425904789bf13fbaf6
Description-en: openSUSE/SLES package management system library (library tools)
 libzypp is the package management library that powers applications like
 YaST, zypper and the openSUSE/SLE implementation of PackageKit.
 .
 libzypp provides all the functionality for a package manager:
 .
   - an API for package repository management, supporting most common
     repository metadata formats and signed repositories
   - an API for solving packages, products, patterns and patches (installation,
     removal, update and distribution upgrade operations) dependencies, with
     additional features like locking
   - an API for comitting the transaction to the system over a rpm target;
     supporting deltarpm calculation, media changing and installation order
     calculation
   - an API for browsing available and installed software, with some facilities
     for programs with an user interface
   - a suite of maintained solving testcases representing common and uncommon
     operations on Linux software management
 .
 This package contains command line tools shipped with the libzypp library.

Package: libzypp-common
Description-md5: dc6aa3ee266a0c78294a76e6bbff34ad
Description-en: openSUSE/SLES package management system library (common files)
 libzypp is the package management library that powers applications like
 YaST, zypper and the openSUSE/SLE implementation of PackageKit.
 .
 libzypp provides all the functionality for a package manager:
 .
   - an API for package repository management, supporting most common
     repository metadata formats and signed repositories
   - an API for solving packages, products, patterns and patches (installation,
     removal, update and distribution upgrade operations) dependencies, with
     additional features like locking
   - an API for comitting the transaction to the system over a rpm target;
     supporting deltarpm calculation, media changing and installation order
     calculation
   - an API for browsing available and installed software, with some facilities
     for programs with an user interface
   - a suite of maintained solving testcases representing common and uncommon
     operations on Linux software management
 .
 This package contains the architecture-independent files for the libzypp
 library.

Package: libzypp-config
Description-md5: b714dfabf3c26047458336b52a19f8e2
Description-en: openSUSE/SLES package management system library (configuration)
 libzypp is the package management library that powers applications like
 YaST, zypper and the openSUSE/SLE implementation of PackageKit.
 .
 libzypp provides all the functionality for a package manager:
 .
   - an API for package repository management, supporting most common
     repository metadata formats and signed repositories
   - an API for solving packages, products, patterns and patches (installation,
     removal, update and distribution upgrade operations) dependencies, with
     additional features like locking
   - an API for comitting the transaction to the system over a rpm target;
     supporting deltarpm calculation, media changing and installation order
     calculation
   - an API for browsing available and installed software, with some facilities
     for programs with an user interface
   - a suite of maintained solving testcases representing common and uncommon
     operations on Linux software management
 .
 This package ships the configuration files for the libzypp library.

Package: libzypp-dev
Description-md5: 0256fb22bd25ab09d9dbfba8c46038a1
Description-en: openSUSE/SLES package management system library (development files)
 libzypp is the package management library that powers applications like
 YaST, zypper and the openSUSE/SLE implementation of PackageKit.
 .
 libzypp provides all the functionality for a package manager:
 .
   - an API for package repository management, supporting most common
     repository metadata formats and signed repositories
   - an API for solving packages, products, patterns and patches (installation,
     removal, update and distribution upgrade operations) dependencies, with
     additional features like locking
   - an API for comitting the transaction to the system over a rpm target;
     supporting deltarpm calculation, media changing and installation order
     calculation
   - an API for browsing available and installed software, with some facilities
     for programs with an user interface
   - a suite of maintained solving testcases representing common and uncommon
     operations on Linux software management
 .
 This package contains the development files for the libzypp library.

Package: libzypp-doc
Description-md5: 4b7a969eb74f8e02c104f647cf1d804f
Description-en: openSUSE/SLES package management system library (documentation)
 libzypp is the package management library that powers applications like
 YaST, zypper and the openSUSE/SLE implementation of PackageKit.
 .
 libzypp provides all the functionality for a package manager:
 .
   - an API for package repository management, supporting most common
     repository metadata formats and signed repositories
   - an API for solving packages, products, patterns and patches (installation,
     removal, update and distribution upgrade operations) dependencies, with
     additional features like locking
   - an API for comitting the transaction to the system over a rpm target;
     supporting deltarpm calculation, media changing and installation order
     calculation
   - an API for browsing available and installed software, with some facilities
     for programs with an user interface
   - a suite of maintained solving testcases representing common and uncommon
     operations on Linux software management
 .
 This package contains the API documentation of the libzypp library.

Package: libzypp1702
Description-md5: 37c186bc5652aa66fa8f13e3b2ae4602
Description-en: openSUSE/SLES package management system (library)
 libzypp is the package management library that powers applications like
 YaST, zypper and the openSUSE/SLE implementation of PackageKit.
 .
 libzypp provides all the functionality for a package manager:
 .
   - an API for package repository management, supporting most common
     repository metadata formats and signed repositories
   - an API for solving packages, products, patterns and patches (installation,
     removal, update and distribution upgrade operations) dependencies, with
     additional features like locking
   - an API for comitting the transaction to the system over a rpm target;
     supporting deltarpm calculation, media changing and installation order
     calculation
   - an API for browsing available and installed software, with some facilities
     for programs with an user interface
   - a suite of maintained solving testcases representing common and uncommon
     operations on Linux software management
 .
 This package contains the main shared library for the zypper package
 management system.

Package: libzzip-0-13
Description-md5: af2b6923fb71473fe9795bf123474a93
Description-en: library providing read access on ZIP-archives - library
 The zziplib library is intentionally lightweight, it offers the ability
 to easily extract data from files archived in a single zip file.
 Applications can bundle files into a single zip archive and access them.
 The implementation is based only on the (free) subset of compression
 with the zlib algorithm which is actually used by the zip/unzip tools.
 .
 This package contains the zziplib runtime library.

Package: libzzip-dev
Description-md5: 9b4d9c54c5e7e6fd09cecb072283372f
Description-en: library providing read access on ZIP-archives - development
 The zziplib library is intentionally lightweight, it offers the ability
 to easily extract data from files archived in a single zip file.
 Applications can bundle files into a single zip archive and access them.
 The implementation is based only on the (free) subset of compression
 with the zlib algorithm which is actually used by the zip/unzip tools.
 .
 This package contains the header files and static library needed to
 compile applications that use zziplib.

Package: licenseutils
Description-md5: ac2c1d158445c7ffeec4fcac0b3aca95
Description-en: Put copyright and license notices at the head of source code files
 licenseutils is for creating copyright and license notices at the beginning of
 source code files. It can be difficult to put copyright and license notices in
 a collection of source code files. This software will help you accomplish this
 in a standard-looking way, for a variety of different programming languages.
 .
 licenseutils consists of a program called `licensing', a bash-like shell
 called `lu-sh', and a wrapper `notice'.

Package: lie
Description-md5: 21ee167fc3ecd5cc7f226c1cfedfaecc
Description-en: Computer algebra package for Lie group computations
 LiE is a computer algebra system that is specialised in computations
 involving (reductive) Lie groups and their representations.

Package: liece
Description-md5: 050c2e5b3e3af5b8d72dfb3ffd100176
Description-en: IRC (Internet Relay Chat) client for Emacs
 Liece is based on Irchat, simple IRC client running under Emacsen,
 nevertheless nothing to do with irchat-2.4jp which has various features added
 by Japanese contributers (e.g. Mule support, channel buffers).
 We are going to full-replace to redesign thoroughly, and to stand up to
 extension against arbitrary protocol backend. The most recent version of this
 program has been almost completely rewritten from Irchat.

Package: liece-dcc
Description-md5: ebd9cdb742901354032a022704fc3c15
Description-en: DCC program for liece
 This program is DCC(Direct Client Connection) program for liece.
 Dcc is implemented not with EmacsLisp, but with C,
 so dcc package has been divided.
 And included "ltcp" which is connection support program, can use IPv6.

Package: lierolibre
Description-md5: 48df2f03485eab6b600e07b3e0fefbfd
Description-en: old-school earthworm action game
 lierolibre is an old-school earthworm action game. It is a direct fork of
 Liero (OpenLiero).
 .
 Features:
  * 2 worms, 40 weapons, great playability, two game modes: Kill'em All and Game
    of Tag, plus AI-players without true intelligence!
  * Dat nostalgia
  * Extensions via a hidden F1 menu
    + Replays
    + Game controller support
    + Powerlevel palettes
  * Ability to write game variables to plain text files
  * Ability to load game variables from both EXE and plain text files
  * New freely licensed audio
  * Scripts to extract and repack graphics, sounds and levels

Package: lierolibre-data
Description-md5: 2f40ce47351d99f3d176678147e31b1f
Description-en: data files for lierolibre
 This package contains the data files (sounds, graphics, game variables) for
 the old-school earthworm action game lierolibre.

Package: lifelines
Description-md5: f2620cad3b7577eeffaee2d6dfbc8335
Description-en: text-based genealogy software
 LifeLines is a genealogy program that runs on UNIX systems in text mode. It
 maintains genealogical records (persons, families, sources, events and
 others) in a database, and generates reports from those records.
 .
 There are no practical limits on the number of records that can be stored in
 a LifeLines database, nor on the amounts or kinds of data that can be
 kept in the records.
 .
 LifeLines does not contain built-in reports. Instead it provides a
 programming subsystem that you use to program your own reports and
 charts. Some standard report files are included in the
 lifelines-reports package.
 .
 The programming subsystem also lets you query your databases and
 process your data in any way. LifeLines uses the terminal independent
 features of UNIX to provide a screen and menu based user interface.
 .
 The program is able to read and write GEDCOM files, the de facto
 standard for genealogical data exchange.

Package: lifelines-doc
Description-md5: af9451a953c722c1f813ad7d7b3ea68d
Description-en: documentation for lifelines, a genealogy software system
 This package contains the full documentation for lifelines genealogy
 software (text, HTML, XML and PDF formats).

Package: lifelines-doc-sv
Description-md5: 0f08658aec25d6887054bd519d893f37
Description-en: swedish documentation for lifelines, a genealogy software system
 This package contains the full documentation for lifelines genealogy
 software (text, HTML, XML and PDF formats).
 .
 Files in this package use the Swedish language.

Package: lifelines-reports
Description-md5: 976933d01466a6fce7067b76c4c8fd60
Description-en: reports for lifelines, a genealogy software system
 Lifelines has a very powerful reporting language. This package
 includes all "standard" reports, which come with the official
 Lifelines distribution.

Package: lifeograph
Description-md5: 17cac9c69b056185a003616bafb764d7
Description-en: Private digital diary
 Lifeograph is an off-line and private journal and note taking application
 for one's self evaluation of his/her own life.

Package: liferea
Description-md5: 57f6fdb83a5fee63a19a055fbe0906ab
Description-en: feed/news/podcast client with plugin support
 Liferea is a feed reader, a news reader, and a podcast client that brings
 together all of the content from your favorite web subscriptions into a simple
 interface with an embedded graphical browser that's easy to organize and
 browse.
 It supports:
    * aggregating feeds in all the major syndication formats (including
      RSS/RDF, Atom, CDF, and more);
    * synchronizing feeds across devices, with TinyTinyRSS and
      TheOldReader support;
    * downloading articles for offline reading;
    * permanently saving headlines in news bins;
    * playing podcasts directly in Liferea's browser interface;
    * social networking / web integration so you can share your favorite news
      articles to Facebook, Google+, Reddit, Twitter, Slashdot, Digg, Yahoo and
      many more.
 .
 Liferea is an abbreviation for Linux Feed Reader.

Package: liferea-data
Description-md5: e9657933ec0faa01b2ba054f9b048830
Description-en: architecture independent data for liferea
 This package contains data files for liferea, a news aggregator for
 online news feeds.
 .
 This package does not depend on liferea, but it is unlikely to be
 of use by itself.

Package: lift
Description-md5: 0681da5164069b8d12d601294d4b0e3c
Description-en: Integration and functional testing framework
 Lift provides an integration/functional test platform which handles executable
 tests easily and generically.
 .
  * Lift only deals with executables and their return code
    - A particular test can be written in the language that fit it the most
  * Test declaration in a simple YAML syntax
    - No need to learn a programming language to integrate a test in a suite
  * Easily declare a test as running locally or on a remote machine
  * Remote tests: upload needed assets automatically and cleanup afterward
  * Naturally organize a test suite in multiple sub-folders/sub-test suites
  * Easily run a sub-test suite or specific tests from the command line
  * Pass environment variables to tests
  * Inheritance of remotes and environment across sub-test suites
    - For example, remotes can be defined in the top folder and used below
  * Only one command to run all or specific tests: lift
  * Export XUnit reports, for easy integration with Jenkins & friends

Package: liggghts
Description-md5: 29da25aabdbe703617ddbc070a019666
Description-en: Open Source DEM Particle Simulation Software.
 LIGGGHTS stands for LAMMPS improved for general granular and granular
 heat transfer simulations.
 .
 LAMMPS is a classical molecular dynamics simulator. It is widely used in
 the field of Molecular Dynamics. Thanks to physical and algorithmic analogies,
 LAMMPS is a very good platform for DEM simulations. LAMMPS offers a GRANULAR
 package to perform these kind of simulations. LIGGGHTS aims to improve those
 capability with the goal to apply it to industrial applications.
 Development version.

Package: liggghts-doc
Description-md5: b1cad1e678d58c41f8ab1b5f94b30d8e
Description-en: Open Source DEM Particle Simulation Software. Documentation and examples
 LIGGGHTS stands for LAMMPS improved for general granular and granular
 heat transfer simulations.
 .
 LAMMPS is a classical molecular dynamics simulator. It is widely used in
 the field of Molecular Dynamics. Thanks to physical and algorithmic analogies,
 LAMMPS is a very good platform for DEM simulations. LAMMPS offers a GRANULAR
 package to perform these kind of simulations. LIGGGHTS aims to improve those
 capability with the goal to apply it to industrial applications.
 Development version.
 .
 The package contains documentation and examples.

Package: light
Description-md5: 94cdbe5b9d4697c2c1b631c904fa86d1
Description-en: control display backlight controllers and LEDs
 Light is a useful tool to control display brightness in lightweight
 desktops or window managers that do not have bundled applications for
 this purpose.
 .
 Most modern laptops have moved away from hardware controlled brightness
 and require software control.  Light works where other software has
 proven to be unreliable, e.g. xbacklight.  It can even be used from the
 console as it does not rely on X.
 .
 Light has features like setting a minimum brightness value, as well as
 saving and restoring the brightness at reboot and startup.

Package: light-locker
Description-md5: bd403d655b33ec24605df083dbb5f540
Description-en: simple screen locker for lightDM display manager
 light-locker is a simple screen locker that aims to have simple, sane, secure
 defaults and be well integrated with the desktop while not carrying any
 desktop-specific dependencies.
 .
 It relies on lightdm for locking and unlocking your session via
 ConsoleKit/UPower or logind/systemd.

Package: light-locker-settings
Description-md5: 28ce1fd2937da6896b2f415c08ed58c9
Description-en: simple configuration tool for light-locker
 light-locker-settings is a simple configuration utility that allows you
 to configure your screensaver and locking settings.

Package: light-themes
Description-md5: 66093fe5a19671849351e8d6fad66909
Description-en: Light Themes (Ambiance and Radiance)
 Includes matching Ambiance and Radiance themes
 .
 * Ambiance is a light-on-dark theme
 * Radiance is a dark-on-light theme
 .
 Introduced as the default themes in Ubuntu 10.04 LTS.

Package: lightdm
Description-md5: a04ec89b09d7becf8ba288295841fad0
Description-en: Display Manager
 LightDM is a X display manager that:
  * Has a lightweight codebase
  * Is standards compliant (PAM, ConsoleKit, etc)
  * Has a well defined interface between the server and user interface
  * Cross-desktop (greeters can be written in any toolkit)

Package: lightdm-autologin-greeter
Description-md5: 686f325f20032cff6f6c652c3af10ab0
Description-en: Autologin greeter for LightDM
 Minimal autologin greeter for LightDM that has the same auto-login
 behaviour as nodm, but being based on LightDM it stays on top of modern
 display manager requirements.
 .
 The difference between LightDM's built-in auto-login and this greeter,
 are the behaviour in case of 0-seconds autologin delay: when LightDM
 autologs in with no delay, upon logout it will show the login window
 again. The intent is that, if the default user logged out, they probably
 intend to log in again as a different user.
 .
 When managing a kiosk-like setups, once the X session quits, the
 desired behaviour is to just start it again.
 .
 LightDM with an auto-login timeout of 1 or more seconds would work as
 needed, but one sees the login dialog window appear and disappear on
 screen at each system startup. While it is functional, on a kiosk setup
 this is aesthetically unprofessional.
 .
 With this greeter, the X session starts right away, and is restarted if
 it quits, without any flicker of a login dialog.
 .
 If one is not setting up a kiosk-like setup, it's very likely that the
 default auto-login behaviour of LightDM is the way to go, and that this
 greeter is not needed.

Package: lightdm-gtk-greeter
Description-md5: c095387d293abe3bd155c995649d9b99
Description-en: simple display manager (GTK+ greeter)
 A LightDM greeter that uses the GTK+ toolkit.

Package: lightdm-gtk-greeter-settings
Description-md5: bedc064f7663ddf6cc44a12cca2fac01
Description-en: settings editor for the LightDM GTK+ Greeter
 A simple configuration utility for the LightDM GTK+ Greeter. All configuration
 options available in the greeter are exposed and easily manipulated using
 this tool.

Package: lightdm-remote-session-freerdp2
Description-md5: b5ecdbf39f4f3cde5be5bfe15ceb405e
Description-en: LightDM Remote Logon Support for RDP sessions
 The configuration files needed and scripts required to login to a
 fullscreen RDP session using LightDM's Arctica Greeter and FreeRDPv2's
 xfreerdp.

Package: lightdm-remote-session-x2go
Description-md5: 39d587e23f3f8df8912b45a5b10c718a
Description-en: LightDM Remote Logon Support for X2Go sessions
 The configuration files needed and scripts required to login to a
 fullscreen X2Go session using LightDM's Arctica Greeter and PyHoca /
 Python X2Go or X2Go Client.

Package: lightdm-settings
Description-md5: d8d551cbcf69c3736c18b6b66fcf827e
Description-en: LightDM Settings Configuration Tool
 This package assists in settings configuration for the LightDM
 display manager.
 .
 This tool currently lets users configure slick-greeter.

Package: lightify-util
Description-md5: a41540393615a0dc51a708ee97767474
Description-en: Commandline utility to control OSRAM Lightify
 Lightify the OSRAM's product line of inteligent light sources, controlled via
 the Lightify gateway, acting as a bridge for ZigBee Light Link protocol.
 .
 This package contains an utility to control lamps via the shell.

Package: lightsoff
Description-md5: 441c444039acb6bbd1edace4ee50ddea
Description-en: Light puzzle game
 Puzzle where all lights have to be switched off.

Package: lightspeed
Description-md5: ca50b80b49ccc31fd5acce0809a583de
Description-en: Shows how objects moving at relativistic speeds look like
 Light Speed! is an OpenGL-based program which illustrates the effects of
 special relativity on the appearance of moving objects. When an object
 accelerates past a few million meters per second, these effects begin to
 grow noticeable, becoming more and more pronounced as the speed of light
 is approached. These relativistic effects are viewpoint-dependent, and
 include shifts in length, object hue, brightness and shape.
 .
 The moving object is, by default, a geometric lattice. 3D Studio and
 LightWave 3D objects may be imported as well. Best of all, the simulator
 is completely interactive, rendering the exotic distortions in real-time!

Package: lightsquid
Description-md5: 0e088b5215832ae8860ffd012b174362
Description-en: Lite and fast log analizer for squid proxy
 If you use SQUID, some time your want see how your user eat traffic.
 Lightsquid analyses SQUID's log file. You could see graphical reports
 through the web CGI. The reports show per user data and per site data.
 It is easy to customize output HTML.

Package: lighttpd
Description-md5: 3cbd5b2dae1802558158d8c2aae494ab
Description-en: fast webserver with minimal memory footprint
 lighttpd is a small webserver and fast webserver developed with
 security in mind and a lot of features.
 It has support for
   * CGI, FastCGI and SSI
   * virtual hosts
   * URL rewriting
   * authentication (plain files, htpasswd, LDAP)
   * transparent content compression
   * conditional configuration
   * HTTP proxying
 and configuration is straight-forward and easy.

Package: lighttpd-dev
Description-md5: f1fc9af82b36575ba112fed026bd55dc
Description-en: Development files for lighttpd
 This package contains the development header-files for lighttpd.

Package: lighttpd-doc
Description-md5: 71123b17b9134699e9fdc68a1dc47ca8
Description-en: documentation for lighttpd
 lighttpd is a small webserver and fast webserver developed with
 security in mind and a lot of features.
 .
 This package contains documentation for lighttpd.

Package: lighttpd-mod-authn-gssapi
Description-md5: 676c06d3b9e9aa2d3cdbb977b90950dc
Description-en: GSSAPI authentication for lighttpd
 This package contains the authn_gssapi module for lighttpd. With
 this module, it is possible to perform GSSAPI authentication.

Package: lighttpd-mod-authn-pam
Description-md5: a778e61b81e42bf32f9801b20de8ae80
Description-en: PAM authentication for lighttpd
 This package contains the authn_pam module for lighttpd. With this module, it
 is possible to perform authentication using PAM.

Package: lighttpd-mod-authn-sasl
Description-md5: 86821ed8ef60f5ef3cf7083af9cb7587
Description-en: SASL authentication for lighttpd
 This package contains the authn_sasl module for lighttpd. With this module, it
 is possible to perform authentication using SASL.

Package: lighttpd-mod-cml
Description-md5: ca397250853424b2968805d5becd1464
Description-en: cache meta language module for lighttpd
 With the cache meta language, it is possible to describe to the
 dependencies of a cached file to its source files/scripts. For the
 cache files, the scripting language Lua is used.
 .
 THIS MODULE IS OBSOLETED, USE mod_magnet INSTEAD.

Package: lighttpd-mod-geoip
Description-md5: ab547b8ad21a623471cbdc43eb5be98f
Description-en: GeoIP restrictions for lighttpd
 This package contains the geoip module for lighttpd. With
 this module, it is possible to distinguish users based on the location
 using a GeoIP database.

Package: lighttpd-mod-magnet
Description-md5: 21507a9a15e97ab84f252703ace80d9d
Description-en: control the request handling module for lighttpd
 mod_magnet can attract a request in several stages in the request-handling.
 either at the same level as mod_rewrite, before any parsing of the URL is done
 or at a later stage, when the doc-root is known and the physical-path is
 already setup

Package: lighttpd-mod-maxminddb
Description-md5: e58596ceaaa6bedb725a1cd8145719ce
Description-en: GeoIP2 restrictions for lighttpd
 This package contains the maxminddb module for lighttpd. With
 this module, it is possible to distinguish users based on the location
 using a GeoIP2 database.

Package: lighttpd-mod-trigger-b4-dl
Description-md5: adbb0418f4a65a954f7d36639cd523e0
Description-en: anti-deep-linking module for lighttpd
 The trigger-b4-dl module for lighttpd can prevent deep linking
 from other sites by requiring users to visit a trigger URL to
 be able to download certain files.

Package: lighttpd-mod-vhostdb-dbi
Description-md5: 3cab0cfe4d635f8c78e97baa2bf110b9
Description-en: DBI-based virtual host configuration for lighttpd
 This package contains the vhostdb_dbi module for lighttpd. With this module,
 it is possible to write the configuration for virtual hosts into a database
 table instead of including it in the lighttpd configuration file.

Package: lighttpd-mod-vhostdb-pgsql
Description-md5: d2bf97aa407a0631f2e6372b718e39af
Description-en: PostgreSQL-based virtual host configuration for lighttpd
 This package contains the vhostdb_pgsql module for lighttpd. With this module,
 it is possible to write the configuration for virtual hosts into a PostgreSQL
 table instead of including it in the lighttpd configuration file.

Package: lighttpd-mod-webdav
Description-md5: 8b407fc645d38800308ef71a9223ad32
Description-en: WebDAV module for lighttpd
 The WebDAV module is a very minimalistic implementation of RFC 2518.
 Minimalistic means that not all operations are implemented yet.
 .
 Currently supports:
  GET
  POST
  HEAD
  PROPFIND
  OPTIONS
  MKCOL
  DELETE
  PUT

Package: lighttpd-modules-ldap
Description-md5: ccab7512c20ac6dd2f055dc6171e541e
Description-en: LDAP-based modules for lighttpd
 This package contains the following modules:
  * mod_authn_ldap: With this module, it is possible to perform
    authentication against an LDAP server.
  * mod_vhostdb_ldap: Database backend module for using LDAP as
    a source for virtual host configuration using mod_vhostdb.
 .
 Do not depend on this package. Depend on the provided lighttpd-mod-*
 packages instead.

Package: lighttpd-modules-mysql
Description-md5: 7002a860fedabd68610e3a67ce44443e
Description-en: MySQL-based modules for lighttpd
 This package contains the following modules:
  * mod_authn_mysql: With this module, it is possible to perform
    authentication using a MySQL table.
  * mod_mysql_vhost: With this module, it is possible to write the
    configuration for virtual hosts into a MySQL table instead of
    including it in the lighttpd configuration file. Deprecated.
  * mod_vhostdb_mysql: Database backend module for using MySQL as
    a source for virtual host configuration using mod_vhostdb.
 .
 Do not depend on this package. Depend on the provided lighttpd-mod-*
 packages instead.

Package: likwid
Description-md5: 62ed7b80aa830588cf7f31fba947d042
Description-en: toolsuite for performance oriented programmers
 Likwid is a simple to install and use toolsuite of command line applications
 for performance oriented programmers. It works for Intel and AMD processors
 on the Linux operating system.
 .
 It consists of:
 .
 likwid-topology       - print thread and cache topology
 likwid-features       - view and toggle feature reagister on Intel processors
 likwid-perfctr        - configure and read out hardware performance counters
                         on Intel and AMD processors
 likwid-powermeter     - read out RAPL Energy information and get info about
                         Turbo Mode steps
 likwid-setFrequencies - read out RAPL Energy information and get info about
                         Turbo Mode steps
 likwid-memsweeper     - cleans up filled NUMA memory domains and evicts dirty
                         cacheline from cache hierarchy
 likwid-pin            - pin your threaded application (pthread, Intel and gcc
                         OpenMP to dedicated processors
 likwid-bench          - Micro benchmarking platform
 likwid-gencfg         - Dumps topology information to a file
 likwid-mpirun         - Wrapper to start MPI and Hybrid MPI/OpenMP
                         applications (Supports Intel MPI and OpenMPI)
 likwid-scope          - Frontend to the timeline mode of likwid-perfctr, plots
                         live graphs of performance metrics

Package: lilv-utils
Description-md5: b83b3f7dee4bdde03d1437529ef60770
Description-en: library for simple use of LV2 plugins (runtime files)
 Lilv (formerly SLV2) is a library for LV2 hosts intended to make using
 LV2 Plugins as simple as possible (without sacrificing capabilities).
 .
 Lilv is the successor to SLV2, rewritten to be significantly faster
 and have minimal dependencies.
 .
 This package provides the following utilities:
  * lv2info - Extract information about an installed LV2 plugin.
  * lv2ls - List all installed LV2 plugins.
  * lv2apply - Apply an LV2 plugin to an audio file.
  * lv2bench - Benchmark all installed and supported LV2 plugins.
  * lilv-bench

Package: lilypond
Description-md5: 815a9b1cee6dda8a4c295b8c6dbc2a26
Description-en: program for typesetting sheet music
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 LilyPond supports many forms of music notation constructs, including
 chord names, drum notation, figured bass, grace notes, guitar tablature,
 modern notation (cluster notation and rhythmic grouping), tremolos,
 (nested) tuplets in arbitrary ratios, and more.
 .
 LilyPond's text-based music input language support can integrate into
 LaTeX, HTML and Texinfo seamlessly, allowing single sheet music
 or musicological treatises to be written from a single source.  Form and
 content are separate, and with LilyPond's expert automated formatting,
 users don't need typographical expertise to produce good notation.
 .
 LilyPond produces PDF, PostScript, SVG, or TeX printed output, as well
 as MIDI for listening pleasures.  LilyPond is exported from the
 RoseGarden and NoteEdit GUIs, and can import ABC, ETF and MIDI.
 .
 LilyPond is part of the GNU Project.
 .
  Authors: Han-Wen Nienhuys <hanwen@cs.uu.nl>
           Jan Nieuwenhuizen <janneke@gnu.org>

Package: lilypond-data
Description-md5: 15b6a8707411fca217f45f5290242bd1
Description-en: LilyPond music typesetter (data files)
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains architecture-independent data files for LilyPond.

Package: lilypond-doc
Description-md5: 9346244cd5896d018d449885b850f64c
Description-en: LilyPond Documentation in info format (and metapackage)
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package includes the info documentation and recommends the html
 and pdf documentation for the LilyPond music typesetting software.

Package: lilypond-doc-html
Description-md5: ce9305bb3e0186f8d51108b36ca7315e
Description-en: LilyPond HTML Documentation
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation for the LilyPond music
 typesetting software.

Package: lilypond-doc-html-ca
Description-md5: 0be13e42f06b9bbeb593ec2fe2075047
Description-en: LilyPond HTML Documentation in Catalan
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in Catalan for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-cs
Description-md5: 2ee692707fa6419b4ab149a3f3435a05
Description-en: LilyPond HTML Documentation in Czech
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in Czech for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-de
Description-md5: eefab7eba3e3147677f7db0340a6fb36
Description-en: LilyPond HTML Documentation in German
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in German for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-es
Description-md5: 26862c06877078c4b0485543006d5282
Description-en: LilyPond HTML Documentation in Spanish
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in Spanish for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-fr
Description-md5: 508d25778e5c5ea0907a9ca4b4690523
Description-en: LilyPond HTML Documentation in French
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in French for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-hu
Description-md5: 035215d337f59965c7d0343cdc2563c1
Description-en: LilyPond HTML Documentation in Hungarian
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in Hungarian for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-it
Description-md5: 975a9c9c34436ced5945fda5dee7c154
Description-en: LilyPond HTML Documentation in Italian
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in Italian for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-ja
Description-md5: dc3df7f4ae4602afeede13618f825dda
Description-en: LilyPond HTML Documentation in Japanese
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in Japanese for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-nl
Description-md5: a9c770cfc0c65cc6809d504a6f091b3b
Description-en: LilyPond HTML Documentation in Dutch
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in Dutch for the
 LilyPond music typesetting software.

Package: lilypond-doc-html-zh
Description-md5: 799a1badc745ba3b428fad6d04b9b11c
Description-en: LilyPond HTML Documentation in Chinese
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the HTML documentation in Chinese for the
 LilyPond music typesetting software.

Package: lilypond-doc-pdf
Description-md5: 6c5792f355319abd1e77bbf9cfa75977
Description-en: LilyPond PDF Documentation
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the PDF documentation for the LilyPond music
 typesetting software.

Package: lilypond-doc-pdf-ca
Description-md5: 6c482d6440b725cd19647f9328e62395
Description-en: LilyPond PDF Documentation in Catalan
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the PDF documentation in Catalan for the
 LilyPond music typesetting software.

Package: lilypond-doc-pdf-de
Description-md5: 80192b1ae0f75cbce60c7f8dcd878282
Description-en: LilyPond PDF Documentation in German
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the PDF documentation in German for the
 LilyPond music typesetting software.

Package: lilypond-doc-pdf-es
Description-md5: 0cd221acd745c1f9b885f7c1cce99ed1
Description-en: LilyPond PDF Documentation in Spanish
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the PDF documentation in Spanish for the
 LilyPond music typesetting software.

Package: lilypond-doc-pdf-fr
Description-md5: 6c8999d1697143faa20ec906abe9fb48
Description-en: LilyPond PDF Documentation in French
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the PDF documentation in French for the
 LilyPond music typesetting software.

Package: lilypond-doc-pdf-hu
Description-md5: c2952134c91516ea25a4a3b97514782b
Description-en: LilyPond PDF Documentation in Hungarian
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the PDF documentation in Hungarian for the
 LilyPond music typesetting software.

Package: lilypond-doc-pdf-it
Description-md5: 5b76cedb4522b85c212660a8f0cf3aa9
Description-en: LilyPond PDF Documentation in Italian
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the PDF documentation in Italian for the
 LilyPond music typesetting software.

Package: lilypond-doc-pdf-nl
Description-md5: a5c506933d9cf7e4c254655f6b9adcce
Description-en: LilyPond PDF Documentation in Dutch
 LilyPond is a music typesetter, an automated engraving system.  It
 produces beautiful sheet music using a high level description file as input.
 .
 This package contains the PDF documentation in Dutch for the
 LilyPond music typesetting software.

Package: lilyterm
Description-md5: 1bf14d3e39047628684c002e72258d86
Description-en: Light and eazy-to-use terminal emulator for X
 LilyTerm is a terminal emulator for the X Window System, based on the libvte
 library, and aims to be fast and lightweight.
 .
 LilyTerm features the ability to use multiple terminals in a single window and
 customize key binding.

Package: lime-forensics-dkms
Description-md5: cb370b8d5216a7ee6a5025cd0a804f1f
Description-en: kernel module to memory dump (DKMS)
 LiME (Linux Memory Extractor, formerly DMD) is a Loadable Kernel
 Module (LKM), which allows the acquisition of volatile memory (RAM)
 from Linux and Linux-based devices, such as those powered by Android.
 In others words, you can use it to get a memory image from a machine.
 .
 The tool supports acquiring memory either to the file system of the
 device or over the network. LiME is unique in that it is the first
 tool that allows full memory captures from Android devices. It also
 minimizes its interaction between user and kernel space processes
 during acquisition. It will produce memory captures that are more
 forensically sound than those of other tools designed for Linux
 memory acquisition. The dump format provided as "lime" is fully
 compatible with volatility framework.
 .
 This package provides the source code for the lime-forensics kernel
 modules to be build with dkms.
 .
 Kernel source or headers are required to compile these modules.

Package: limesuite
Description-md5: a9e45aa7a24724fdb4e283253a3658d3
Description-en: tools to test, control and update LMS7 transceiver based hardware
 Lime Suite is a collection of software supporting Lime Microsystems LMS7 RF
 transceiver based hardware such as the LimeSDR, LMS7002M UNITE board, or the
 Novena with LMS7 RF board.
 .
 This package contains the command line and GUI tools to control and update the
 hardware devices.

Package: limesuite-udev
Description-md5: 8b269206ebd8efbf29e4f44f7417c640
Description-en: Lime Suite - USB rules for udev
 Lime Suite is a collection of software supporting Lime Microsystems LMS7 RF
 transceiver based hardware such as the LimeSDR, LMS7002M UNITE board, or the
 Novena with LMS7 RF board.
 .
 This package contains the udev rules to make LMS7 based hardware accessible to
 users in the plugdev group.

Package: limnoria
Description-md5: 33156b967de31535f327652d89476669
Description-en: robust and user-friendly Python IRC bot
 A robust, full-featured Python IRC bot with a clean and flexible plugin API.
 Equipped with a complete ACL system for specifying user permissions with as
 much as per-command granularity. Batteries are included in the form of
 numerous plugins already written.
 .
 Limnoria is robust (it doesn't crash), user friendly (it's easy to configure)
 and programmer friendly (plugins are *extremely* easy to write).  It aims to
 be an adequate replacement for most existing IRC bots.
 .
 It is the maintained fork of supybot.
 .
 This package provides Python 3-only modules and scripts.

Package: linaro-boot-utils
Description-md5: b88bd2b3d1d59abb6887b1106376e092
Description-en: Utilities for booting development boards
 This package contains utilities useful for booting development boards
 with corrupt or no boot media over a USB or serial connection to a
 development host.

Package: lincity
Description-md5: a5eaaab9d5c2ed8bb26769f3c870ab34
Description-en: build & maintain a city/country
 You are required to build and maintain a city. You must feed, house,
 provide jobs and goods for your residents. You can build a sustainable
 economy with the help of renewable energy and recycling, or you can go for
 broke and build rockets to escape from a pollution ridden and resource
 starved planet, it's up to you. Due to the finite resources available in any
 one place, this is not a game that you can leave for long periods of time.
 This game is similar to the commercial simulation game with a similar
 name.

Package: lincity-ng
Description-md5: f4b47b8691c6ba8798f6b66a19f1e6d3
Description-en: City simulator game with polished graphics
 LinCity-NG is a City Simulation Game. It is a polished and improved
 version of the old LinCity game:
 You are required to build and maintain a city. You must feed, house,
 provide jobs and goods for your residents. You can build a sustainable
 economy with the help of renewable energy and recycling, or you can go for
 broke and build rockets to escape from a pollution ridden and resource
 starved planet, it's up to you. Due to the finite resources available in any
 one place, this is not a game that you can leave for long periods of time.
 This game is similar to the commercial simulation game with a similar
 name.

Package: lincity-ng-data
Description-md5: 1617b8d400aeff6557730435cbdb24a6
Description-en: Media files for the city simulator game LinCity-NG
 LinCity-NG is a City Simulation Game. It is a polished and improved
 version of the old LinCity game:
 You are required to build and maintain a city. You must feed, house,
 provide jobs and goods for your residents. You can build a sustainable
 economy with the help of renewable energy and recycling, or you can go for
 broke and build rockets to escape from a pollution ridden and resource
 starved planet, it's up to you. Due to the finite resources available in any
 one place, this is not a game that you can leave for long periods of time.
 This game is similar to the commercial simulation game with a similar
 name.
 .
 This package contains the architecture independent game media files.

Package: lincredits
Description-md5: 7ca8a7596ccdc6833d7c86f9ffff43d9
Description-en: Generate nicely-formatted versions of the Linux CREDITS file
 This small package allows anyone to create beautified versions of the
 Linux CREDITS file in plain text, LaTeX or HTML formats.

Package: lingot
Description-md5: 0165179d1dc2f17e5bf0e0df031d8e26
Description-en: accurate and easy to use musical instrument tuner
 Lingot is a musical instrument tuner. It's accurate, easy to use, and
 highly configurable. Originally conceived to tune electric guitars,
 it can now be used to tune any instrument.
 .
 It looks like an analogic tuner, with a gauge indicating the relative
 shift to a certain note indicating that note and its frequency.

Package: link-grammar
Description-md5: d9d09a2d58fa8aa2ee5f8695b9b95a71
Description-en: Carnegie Mellon University's link grammar parser
 In Sleator, D. and Temperley, D. "Parsing English with a Link Grammar"
 (1991), the authors defined a new formal grammatical system called a
 "link grammar". A sequence of words is in the language of a link
 grammar if there is a way to draw "links" between words in such a way
 that the local requirements of each word are satisfied, the links do
 not cross, and the words form a connected graph. The authors encoded
 English grammar into such a system, and wrote this program to parse
 English using this grammar.
 .
 link-grammar can be used for linguistic parsing for information
 retrieval or extraction from natural language documents. It can also be
 used as a grammar checker.
 .
 This package contains the user-executable binary.

Package: link-grammar-dictionaries-all
Description-md5: 2e2209748353fd65324aecbacf4e2679
Description-en: Carnegie Mellon University's link grammar parser (all dictionaries)
 In Sleator, D. and Temperley, D. "Parsing English with a Link Grammar"
 (1991), the authors defined a new formal grammatical system called a
 "link grammar". A sequence of words is in the language of a link
 grammar if there is a way to draw "links" between words in such a way
 that the local requirements of each word are satisfied, the links do
 not cross, and the words form a connected graph. The authors encoded
 English grammar into such a system, and wrote this program to parse
 English using this grammar.
 .
 link-grammar can be used for linguistic parsing for information
 retrieval or extraction from natural language documents. It can also be
 used as a grammar checker.
 .
 This package contains all dictionaries bundled with link-grammar.

Package: link-grammar-dictionaries-en
Description-md5: 920c6580f5691c78e6aeeda1b9275a8a
Description-en: Carnegie Mellon University's link grammar parser (English dictionary)
 In Sleator, D. and Temperley, D. "Parsing English with a Link Grammar"
 (1991), the authors defined a new formal grammatical system called a
 "link grammar". A sequence of words is in the language of a link
 grammar if there is a way to draw "links" between words in such a way
 that the local requirements of each word are satisfied, the links do
 not cross, and the words form a connected graph. The authors encoded
 English grammar into such a system, and wrote this program to parse
 English using this grammar.
 .
 link-grammar can be used for linguistic parsing for information
 retrieval or extraction from natural language documents. It can also be
 used as a grammar checker.
 .
 This package contains the English dictionaries.

Package: linklint
Description-md5: 451b416f641eab8f3de8e6b4ceac1ba3
Description-en: A fast link checker and web site maintenance tool
 Linklint is a full featured Perl program for checking HTML links:
     * both local and remote site checking
     * cross referenced and fully hyperlinked output reports
     * the ability to check password protected areas
     * support for all standard server-side image maps
     * reports of orphan files, and files with mismatching case
     * a report of which URLs have changed since last checked
     * support of proxy servers for remote URL checking
     * come with full documentation.
 .
 Please visit http://www.linklint.org/ for more information.

Package: links
Description-md5: 987ad663546c4d4b629b6f5173307e34
Description-en: Web browser running in text mode
 Links is a text mode WWW browser, similar to Lynx. It displays tables,
 frames, downloads on background, uses HTTP/1.1 keepalive connections.
 .
 This version is compiled without graphics mode. See the package
 links2 for a version with graphics support compiled in.

Package: links2
Description-md5: 9a74b5001abe6d9597ea0d0eb9b7e17d
Description-en: Web browser running in both graphics and text mode
 Links is a graphics and text mode WWW browser, similar to Lynx. It displays
 tables, frames, downloads on background, uses HTTP/1.1 keepalive connections.
 In graphics mode it displays PNG, JPEG, GIF, TIFF, and XBM pictures, runs
 external bindings on other types, and features anti-aliased font, smooth
 image zooming, 48-bit dithering, and gamma and aspect ratio correction.

Package: linpac
Description-md5: c2cb7f6fa1343efba4258f9bab53c032
Description-en: terminal for packet radio with mail client
 LinPac is an application for the AX.25 packet radio environment.
 It comes with a mail client.
 .
 Linpac is an attempt to create a packet radio terminal for Linux that
 allows wide configurability and easy addition of new functions and special
 functions needed by the user.

Package: linphone
Description-md5: c8e338427d32f8210b697380bbeadba4
Description-en: SIP softphone - graphical client
 Linphone is an audio and video internet phone using the SIP protocol. It
 has a GTK+ and console interface, includes a large variety of audio and video
 codecs, and provides IM features.
 .
 The main features of linphone are:
   - a nice graphical interface;
   - it includes a large variety of codecs with different quality / bandwidths;
   - it uses the well-known and standardised SIP protocol.

Package: linphone-common
Description-md5: e8f0bd525e910718b4254080d72b90b0
Description-en: Shared components of the linphone SIP softphone
 Linphone is an audio and video internet phone using the SIP protocol. It
 has a GTK+ and console interface, includes a large variety of audio and video
 codecs, and provides IM features.
 .
 This package contains the resource files of linphone (the rings).

Package: linphone-nogtk
Description-md5: 182591eee3e2e23b6f780536fba3050b
Description-en: SIP softphone - console-only client
 Linphone is an audio and video internet phone using the SIP protocol. It
 has a GTK+ and console interface, includes a large variety of audio and video
 codecs, and provides IM features.
 .
 This package contains the console version of linphone.

Package: linpsk
Description-md5: 0ae7bca6656b81e5cda9da854499e9a3
Description-en: program for operating PSK31/RTTY modes with X GUI
 linpsk is a program for operating on amateur radio digital modes.
 linpsk currently supports BPSK, QPSK, and RTTY modes,
 and it provides an X user interface.  linpsk's main features are:
   - simultaneous decoding of up to four channels
   - different digital modes may be mixed
   - trigger text can be defined on each channel
   - each channel can be logged to a file
   - user-defined macros and two files for larger texts
   - spectrum and waterfall displays, both scalable in the frequency domain.
 At the Moment RTTY only supports 45 baud and 1.5 stopbits.

Package: linssid
Description-md5: 8be2d9ad5c3ab626d1af44fb520ccecc
Description-en: graphical wireless scanner
 LinSSID is a graphical program that displays locally receivable 802.11
 wireless attach points and ad hoc networks.
 .
 A table is displayed with various parameters such as MAC address, channel,
 and signal strength. Graphs are also displayed with signal strength by
 channel and signal strength over time.
 .
 LinSSID is graphically and functionally similar to inSSIDer (for Microsoft
 Windows) and Wi-Fi Analyzer (for Android).
 .
 LinSSID can be used to measure the local performance or to search for an
 interference free channel to be set in a wireless router (access point or
 AP). The wireless established link won't be affected by these operations
 because LinSSID needn't set the monitor mode in network interface.
 .
 Some features:
 .
   - Table of locally receivable attach points with many columns of different
     information and sortable and movable columns.
   - Adjustable speed, real-time update.
   - Graphs of signal strength by channel and over time.
   - AP bandwidth displayed.
   - Works on both 2.4 GHz and 5 GHz channels.

Package: lintex
Description-md5: 5e32f0f521ccada89e0e09fbff289794
Description-en: automatic cleanup of old TeX-related files
 Removes unneeded files after a (La)TeX run: .aux, .log, .dvi, etc, if their
 timestamp is more recent than the TeX file's. Also removes the backup files
 created by your text editor. This keeps a directory containing multiple (La)TeX
 documents from becoming unmanageable.

Package: lintian-brush
Description-md5: 35e66a2041fa483546282c5c520032f2
Description-en: automatically fix lintian problems
 This package contains a set of scripts that can automatically fix more than 80
 common lintian issues in Debian packages.
 .
 It comes with a wrapper script that invokes the scripts, updates
 the changelog (if desired) and commits each change to
 version control.

Package: linux-libc-dev-alpha-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-amd64-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-armel-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-hppa-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-i386-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-m68k-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mips-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mips64-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mips64el-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mips64r6-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mips64r6el-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mipsel-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mipsn32-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mipsn32el-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mipsn32r6-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mipsn32r6el-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mipsr6-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-mipsr6el-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-powerpc-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-ppc64-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-riscv64-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-sh4-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-sparc64-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-libc-dev-x32-cross
Description-md5: 1451bbb6883623d253eaf0cf7565213a
Description-en: Linux Kernel Headers for development (for cross-compiling)
 This package provides headers from the Linux kernel.  These headers
 are used by the installed headers for GNU glibc and other system
 libraries. They are NOT meant to be used to build third-party modules for
 your kernel. Use linux-headers-* packages for that.

Package: linux-show-player
Description-md5: 5ab20a94e48679c6445598f64d6e23d3
Description-en: Free cue player designed for sound-playback in stage productions
 Linux Show Player (or LiSP for short) is a free cue player designed for
 sound-playback in stage productions. The goal of the project is to provide a
 complete playback software for musical plays, theater shows and similar.
 Here a list of the main functionality offered by LiSP:
  - Cart layout (buttons matrix) suited for touchscreens
  - List layout suited for keyboards
  - Large media-format support thanks to GStreamer
  - Realtime sound effects: equalization, pitch shift, speed control,
    compression.
  - Peak and ReplayGain normalization
  - Undo/Redo changes
  - Remote control over network, between two or more sessions
  - ArtNet Timecode (via OLA)
  - MIDI support for cue triggering
  - MIDI cues (send MIDI messages)
  - Multi-language support

Package: linux-user-chroot
Description-md5: 8bf58b7c09ce24cc02a43805fd4480d1
Description-en: setuid helper for making bind mounts and chrooting
 This tool allows regular (non-root) users to call chroot(2), create Linux
 bind mounts, and use some Linux container features.  It's primarily intended
 for use by build systems.

Package: linux-user-chroot-dbg
Description-md5: 819a42bafdb0c499724236ec78720821
Description-en: setuid helper for making bind mounts and chrooting (debug files)
 This tool allows regular (non-root) users to call chroot(2), create Linux
 bind mounts, and use some Linux container features.  It's primarily intended
 for use by build systems.
 .
 This package contains the debug files.

Package: linux-wlan-ng
Description-md5: e66774d600b1f0c55dbfd4984d47f146
Description-en: utilities for wireless prism2 cards
 linux-wlan-ng is a set of drivers and utilities that is intended to
 provide the full range of IEEE 802.11 MAC management capabilities for use
 in user-mode utilities and scripts. The package currently supports the
 Intersil 802.11b Prism2, Prism2.5, and Prism3 reference designs for
 PCMCIA, PCI, and USB. Additionally, the package includes support for the
 PLX9052 based PCI to PCMCIA adapter with a few different PCMCIA cards.
 .
 Please note that you probably do not need this package: The prism2_usb
 driver is included in the default kernel packages since 2.6.31.
 If you need firmware loading for your prism2_usb device, please just
 install the prism2-usb-firmware-installer package.
 .
 This package ships legacy utilities and scripts for advanced
 configuration and user-space firmware downloading (support for
 non-USB devices).

Package: linux-wlan-ng-doc
Description-md5: f2abbf016157e781e3c71b3341f972e7
Description-en: documentation for wlan-ng
 linux-wlan-ng is a set of drivers and utilities that is intended to
 provide the full range of IEEE 802.11 MAC management capabilities for use
 in user-mode utilities and scripts. The package currently supports the
 Intersil 802.11b Prism2, Prism2.5, and Prism3 reference designs for
 PCMCIA, PCI, and USB. Additionally, the package includes support for the
 PLX9052 based PCI to PCMCIA adapter with a few different PCMCIA cards.
 .
 This package contains documentation for linux-wlan-ng that is not included
 in the main package.

Package: linux-wlan-ng-firmware
Description-md5: 62d3ec24a8af266ab92673386edebf6f
Description-en: firmware files used by the linux-wlan-ng driver
 linux-wlan-ng is a set of drivers and utilities that is intended to
 provide the full range of IEEE 802.11 MAC management capabilities for use
 in user-mode utilities and scripts. The package currently supports the
 Intersil 802.11b Prism2, Prism2.5, and Prism3 reference designs for
 PCMCIA, PCI, and USB. Additionally, the package includes support for the
 PLX9052 based PCI to PCMCIA adapter with a few different PCMCIA cards.
 .
 This package doesn't contain the firmware files, but a script to download
 the upstream source tree and build a deb that contains them. Note that
 only some adapters really need a firmware file and that firmware files are
 not completely free (in the sense of freely redistributable), that's why
 this package exists.
 .
 This package is only relevant for PCMCIA and PCI cards, if you have a USB
 card, install the prism2-usb-firmware-installer package instead.

Package: linuxdoc-tools
Description-md5: fc0527f5bddaeae78d21fdee93a5f435
Description-en: convert LinuxDoc SGML source into other formats
 LinuxDoc sgml is a highly configurable text format for writing
 documentation, something like html only it's simpler and can be
 converted to various other formats, including html for websites.
 You write a LinuxDoc document using any text editor such as vim.
 Then you use linuxdoc-tools to convert it to html, rtf, plain-text
 (install linuxdoc-tools-text), info (install linuxdoc-tools-info),
 LaTeX, dvi or postscript (install linuxdoc-tools-latex). The
 sgmltools-lite package can convert LinuxDoc to DocBook format.
 .
 LinuxDoc can automatically create a table of contents.  It's easier to
 write and read than DocBook since it allows one to omit most closing
 tags while paragraphs are separated by just blank lines.

Package: linuxdoc-tools-info
Description-md5: adbcbe741b3304f9c83fe5c13bf56e85
Description-en: Info output facility of LinuxDoc-Tools
 LinuxDoc-Tools is a SGML converter for the LinuxDoc DTD only.
 This is a dependency package to provide the required dependencies
 for info conversion facility of LinuxDoc-Tools.
 See the description of linuxdoc-tools package for more detail.

Package: linuxdoc-tools-latex
Description-md5: 2ffa104c88bc0f3d96f645d602bce058
Description-en: LaTeX/PS/PDF output facility of LinuxDoc-Tools
 LinuxDoc-Tools is a SGML converter for the LinuxDoc DTD only.
 This is a dependency package to provide the required dependencies
 for LaTeX/PS/PDF conversion facility of LinuxDoc-Tools.
 See the description of linuxdoc-tools package for more detail.

Package: linuxdoc-tools-text
Description-md5: 7026616b4e2ed3486e9955245937f28d
Description-en: Text output facility of LinuxDoc-Tools
 LinuxDoc-Tools is a SGML converter for the LinuxDoc DTD only.
 This is a dependency package to provide the required dependencies
 for text conversion facility of LinuxDoc-Tools.
 See the description of linuxdoc-tools package for more detail.

Package: linuxinfo
Description-md5: 9b8e0f9d63fd661b2d92f574c9670d77
Description-en: Displays extended system information
 Displays system info, such as kernel revision, glibc version,
 processor type and memory size, in general by parsing /proc/cpuinfo.

Package: linuxlogo
Description-md5: 4ff236e1b90452d1559dc8591bbc187a
Description-en: Color ANSI System Logo
 A Color ANSI Logo with some system information that can be displayed at system
 boot time or, with some local configuration, at the login prompt. Four
 different Logos are available:
 .
  * Debian Swirl(default)
  * Debian Banner
  * Tux Classic and Banner
 .
 The Classic and Banner Logos are based on Larry Ewing's Penguin. Monochrome
 ASCII versions of all the logos are included.

Package: linuxptp
Description-md5: 3678f13807c05861be8c708a652e8e9d
Description-en: Precision Time Protocol (PTP, IEEE1588) implementation for Linux
 Linuxptp is an implementation of the Precision Time Protocol (PTP)
 according to IEEE standard 1588 for Linux. Features include:
  - support for hardware and software time stamping via the Linux
    SO_TIMESTAMPING socket option.
  - support for the Linux PTP Hardware Clock (PHC) subsystem by using the
    clock_gettime family of calls, including the new clock_adjtimex
    system call
  - implementation of Boundary Clock (BC) and Ordinary Clock (OC)
  - transport over UDP/IPv4, UDP/IPv6, and raw Ethernet (Layer 2)
  - support for IEEE 802.1AS-2011 in the role of end station
 .
 PTP provides higher precision and faster synchronization than NTP even
 without hardware support. With hardware support, sub-microsecond
 accuracy can be expected. Whereas NTP is intended for WAN use, PTP is
 designed for LAN environments and makes use of UDP multicast.

Package: linuxvnc
Description-md5: 70318a99b1711bc04800cc6205f80ea9
Description-en: VNC server to allow remote access to a tty
 linuxvnc can export your currently running text sessions to any VNC client.
 It can be useful if you want to move to another computer without having to
 log out or to help a distant colleague solve a problem.

Package: lios
Description-md5: 6a462e32cc7c1cae32b05744de9c1bc4
Description-en: Linux intelligent OCR solution
 Lios provides a graphical interface on top of the Cuneiform and
 Tesseract OCR backends to make OCR processing easier for impaired users,
 with full autorotation, brightness optimization, rectangle selection,
 audio feedback, etc.

Package: liquidprompt
Description-md5: cab0d6bb85a17674159f0d807d05c825
Description-en: adaptative prompt for bash & zsh
 Liquid Prompt gives you a nicely displayed prompt with useful
 information when you need it.
 .
 It shows you what you need when you need it. You will notice what
 changes when it changes, saving time and frustration. You can even use it
 with your favorite shell – bash or zsh.

Package: liquidsoap
Description-md5: 94d9899fe402c70cc9efd6e28fca9a0e
Description-en: audio streaming language
 Liquidsoap is a powerful tool for building complex audio streaming systems,
 typically targeting internet radios (e.g. icecast streams).
 .
 It consists of a simple script language, in which you can create, combine and
 transform audio sources. Its design makes liquidsoap flexible and easily
 extensible.
 .
 Some of the typical uses are:
  * dynamically generating playlists depending on the time or other factors
  * having smooth transitions between songs
  * adding jingles periodically
  * applying effects on the sound like volume normalization
  * reencoding the stream at various qualities
  * remotely controlling the stream

Package: liquidsoap-mode
Description-md5: 2f409ad96550ec4a40fbb458fcaceb00
Description-en: Emacs mode for editing Liquidsoap code
 Liquidsoap is a powerful tool for building complex audio streaming systems,
 typically targeting internet radios (e.g. icecast streams).
 .
 It consists of a simple script language, in which you can create, combine and
 transform audio sources. Its design makes liquidsoap flexible and easily
 extensible.
 .
 This package provides an (X)Emacs mode which makes it easier to edit
 Liquidsoap source code.

Package: liquidwar
Description-md5: 38cc06e2a01081999729ef90e9a8cdc0
Description-en: truly original multiplayer wargame
 Liquid War is an original multiplayer wargame. There are no weapons, the only
 thing you have to do is to move a cursor in a 2-D battlefield. This cursor is
 followed by your army, which is composed by a  great many little fighters,
 represented by small colored squares. When fighters from different teams meet,
 they eat each other, it is as simple as that.
 .
 A single player mode is available, but the game is definitely designed to be
 multiplayer, and has network support.

Package: liquidwar-data
Description-md5: 2faccb4155ec9162a564680786c628b3
Description-en: data files for Liquid War
 Liquid War is an original multiplayer wargame. There are no weapons, the only
 thing you have to do is to move a cursor in a 2-D battlefield. This cursor is
 followed by your army, which is composed by a  great many little fighters,
 represented by small colored squares. When fighters from different teams meet,
 they eat each other, it is as simple as that.
 .
 This package holds the data files necessary to play Liquid War, an original
 multiplayer wargame.

Package: liquidwar-server
Description-md5: ef13dcde9823fa4ca0c4f9a998ce3cf7
Description-en: Liquid War server
 Liquid War is an original multiplayer wargame. There are no weapons, the only
 thing you have to do is to move a cursor in a 2-D battlefield. This cursor is
 followed by your army, which is composed by a  great many little fighters,
 represented by small colored squares. When fighters from different teams meet,
 they eat each other, it is as simple as that.
 .
 Liquid War is an original multiplayer wargame. This package contains the
 server for Liquid War game.

Package: lirc
Description-md5: 1746eac586c652dde3396bfe4ec0c42b
Description-en: Infra-red remote control support - daemons and utils
 LIRC stands for 'Linux Infra-red Remote Control'.
 .
 This package provides the daemons and some utilities to support infra-red
 remote controls under Linux.

Package: lirc-compat-remotes
Description-md5: 7cadcba40ace08eb5d1bc8e74986c52e
Description-en: Compatibility remote definitions for lirc
 LIRC stands for 'Linux Infra-red Remote Control'.
 .
 This package contains the remote definitions which were part of
 lirc  up to 0.9.0. From 0.9.4, these lives at
 http://sf.net/p/lirc-remotes, although this is a superset and
 partly updated.

Package: lirc-drv-irman
Description-md5: f82ec8e4a23290bf6b42f3f25e5f0f36
Description-en: LIRC plugin providing irman compatible devices support
 The IrMan hardware (http://www.intolect.com/irmandetail.htm) is nowadays
 discontinued. However, some modern hardware (notably the irtoy) is able to
 emulate the irman protocol.
 .
 This package provides a plugin for modern LIRC providing support for
 irman compatible devices (http://www.intolect.com/irmandetail.htm).
 Older LIRC versions < 0.9.4 has this support built-in.

Package: lirc-x
Description-md5: 080a70f172eb3d147aae728a5d8e0a32
Description-en: infra-red remote control support - X utilities
 LIRC stands for 'Linux Infra-red Remote Control'.
 .
 This package provides X utilities for LIRC:
  - irxevent: Allows controlling X applications with a remote control.
  - xmode2:   Shows the IR waveform of the remote controller without an
              oscilloscope. Unneeded for TV cards or the Irman.

Package: lisaac
Description-md5: f84fce0ac8da944a6d5059d2490f4957
Description-en: Object-oriented language based on prototype
 Lisaac is a small prototype-based programming language. The ideas in
 Lisaac are mostly inspired by Smalltalk (all values are objects), Self
 (prototype-based) and Eiffel (design by contract). It has the following
 features:
 .
  * pure object language
  * very fast (like C code)
  * dynamic and multiple inheritance
  * dynamic definition slots
  * static typing (invariant)
  * generic types
  * auto-cast type system
  * programming by contract
  * interrupt manager
  * include C code facilities

Package: lisaac-common
Description-md5: b28989cd9104e2ea8b896f55e03f98cc
Description-en: Arch-independent part for lisaac
 Lisaac is a small prototype-based programming language.
 .
 This package contains the libraries needed by the Lisaac compiler.
 They are grouped in four categories, intra, standard, unstable and extra.

Package: lisaac-doc
Description-md5: cc1d8329d78829818d35278c25e7dd0f
Description-en: Documentation for lisaac
 Lisaac is a small prototype-based programming language.
 .
 This package contains the documentation in html format.
 Your are also provided with a pdf smart and complete documentation.

Package: lisaac-mode
Description-md5: dc171244b6421d168728fe905427e54d
Description-en: Emacs mode for editing Lisaac programs
 Lisaac is a small prototype-based programming language.
 .
 This emacs mode provides syntax highlighting and automatic
 indentation for Lisaac. you will need this if you write Lisaac programs
 using Emacs.

Package: listadmin
Description-md5: 9b1604c118c8957b14d52accdda0b52d
Description-en: command line mailman moderator queue manipulation
 listadmin is a command line tool to manipulate the queues of messages
 held for moderator approval by mailman.  It is designed to keep user
 interaction to a minimum, in theory you could run it from cron to
 prune the queue. It can use the score from a header added by
 SpamAssassin to filter, or it can match specific senders, subjects, or
 reasons.

Package: listserialportsc
Description-md5: 183cfbec81405967068f3b42198987ce
Description-en: list serial ports
 listserialportsc is a program to list serial ports
 that the computer has.
 Output contains vid/pid/iserial fields.
 .
 Typical use case is enumeration of plugin serial ports.

Package: literki
Description-md5: 184e6c7a0d7787d94f932b64894fefd5
Description-en: Keyboard with configurable layout and transparency
 Literki is a full qwerty keyboard with configurable layout and
 always-on functionality by usage of transparency. Applications
 do not need to redraw and popping up the keyboard is therefore
 very fast.
 .
 In addition to keyboard, literki comes with touchpad and scroll
 buttons.

Package: litl-doc
Description-md5: bf9c332395443a82cc1786bf5b1800c1
Description-en: Lightweight Trace Library - documentation
 LiTL is a lightweight tool for recording events during the execution of
 scientific high-performance applications.
 .
 This package contains the documentation.

Package: litl-tools
Description-md5: 20d549ed7ff608f146fa95222bd14606
Description-en: Lightweight Trace Library - tools
 LiTL is a lightweight tool for recording events during the execution of
 scientific high-performance applications.
 .
 This package contains tools for manipulating trace files.

Package: litmus
Description-md5: c1a019ac3a1ebcd4bfe20542e4f943f1
Description-en: WebDAV server test suite
 A WebDAV server protocol compliance test suite. Tests include:
 .
  * OPTIONS for DAV: header
  * PUT, GET with byte comparison
  * MKCOL
  * DELETE (collections, non-collections)
  * COPY, MOVE using combinations of:
   - overwrite t/f
   - destination exists/doesn't exist
   - collection/non-collection
  * Property manipulation and querying:
   - set, delete, replace properties
   - persist dead props across COPY
   - namespace handling
  * Locking
   - attempts to modify locked resource (as lock owner, not owner)
   - shared/exclusive locks, lock discovery

Package: littler
Description-md5: 2127b18812b509d1315fdf7ff926dbef
Description-en: GNU R scripting and command-line front-end -- transition package
 The 'r' (aka 'littler') program provides a lightweight binary wrapper around
 the GNU R language and environment for statistical computing and graphics.
 .
 While R can be used in batch mode, the r binary adds full support for
 both 'shebang'-style scripting (i.e. using a  hashmark-exclamation-path
 expression as the first line in scripts) as well as command-line use in
 standard Unix pipelines. In other words, r provides the R language without
 the environment.
 .
 This virtual package ensures that 'r-cran-littler' is installed. Once that
 is done, this 'littler' package can be removed.

Package: littlewizard
Description-md5: d73cd2f949aa5867fee46c47f98b8c50
Description-en: development environment for children
 Little Wizard is created especially for primary school children. It
 allows one to learn using main elements of present computer languages,
 including: variables, expressions, loops, conditions, logical
 blocks. Every element of language is represented by an intuitive
 icon. Little Wizard can be used without using keyboard, only
 mouse.

Package: littlewizard-data
Description-md5: 3ac2eefdee14773eeade90e5611e8c84
Description-en: littlewizard data files
 Little Wizard is a development environment created especially for
 primary school children. It allows one to learn using main elements of
 present computer languages, including: variables, expressions, loops,
 conditions, logical blocks. Every element of language is represented
 by an intuitive icon. Little Wizard can be used without using
 keyboard, only mouse.
 .
 This package has the icons and locale files that littlewizard uses.

Package: live-boot
Description-md5: 07f52a841c24e20b3bcf40e151f7496c
Description-en: Live System Boot Components
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-boot contains the components to configure a live system during the boot
 process (early userspace). Do not install this package on your regular system,
 it is only meant to be used in a live image.
 .
 In addition to live-boot, a backend for the initrd generation is required, such
 as live-boot-initramfs-tools.

Package: live-boot-doc
Description-md5: d388a5940a7de2db5b9feb41beddc6f9
Description-en: Live System Boot Components (documentation)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-boot contains the components to configure a live system during the boot
 process (early userspace).
 .
 This package contains the documentation.

Package: live-boot-initramfs-tools
Description-md5: 349bd2bef91542564a63ecef62227661
Description-en: Live System Boot Components (initramfs-tools backend)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-boot contains the components to configure a live system during the boot
 process (early userspace). Do not install this package on your regular system,
 it is only meant to be used in a live image.
 .
 This package contains the initramfs-tools backend.

Package: live-build-cgi
Description-md5: f4c71dad5330df75c843617cf4d9fe41
Description-en: Debian Live - System build scripts (CGI frontend)
 live-build contains the scripts that build a Debian Live system image from a
 configuration directory.
 .
 This package contains the CGI frontend.

Package: live-clone
Description-md5: 5fbba039746d1b5de93bbc9086a9cf9b
Description-en: GUI to clone and manage Live-Build USB sticks
 This application allows one to make bootable USB sticks from an
 iso-hybrid image issued by Live-Build. It adapts additionally a
 persistence partition to use the free space on the USB stick.
 .
 It features also management tools for live USB sticks: when such
 USB disks are used daily, they happen to have inconsistencies in their
 persistence area which can render them unusable. Tools are provided to
 save persistence data when necessary, and to blank the persistence area,
 so the USB disk can be used again.
 .
 The application detects when it is run from a Debian-Live environment,
 thus featuring seamless auto-cloning.

Package: live-config
Description-md5: 2c3e49a358b1465921fc400c1f16819d
Description-en: Live System Configuration Components
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-config contains the components to configure a live system during the boot
 process (late userspace).
 .
 In addition to live-config, a backend for an init system is required, such as
 live-config-systemd.

Package: live-config-doc
Description-md5: 9339260261922af162a6f8ee78ee0259
Description-en: Live System Configuration Components (documentation)
 live-config contains the components that configure a live system during the
 boot process (late userspace).
 .
 This package contains the documentation.

Package: live-config-systemd
Description-md5: f160e3c4d32d3e56a99bc0dd94d09533
Description-en: Live System Configuration Components (systemd backend)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-config contains the components to configure a live system during the boot
 process (late userspace).
 .
 This package contains the systemd backend.

Package: live-manual
Description-md5: 34e514e3969a035d99163d8983070ee6
Description-en: Live Systems Documentation (metapackage)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-manual contains the documentation for the Live Systems project.
 .
 This package is a metapackage depending on all available output formats.

Package: live-manual-epub
Description-md5: 636c25461149bce486dab513efea85c6
Description-en: Live Systems Documentation (epub)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-manual contains the documentation for the Live Systems project.
 .
 This package contains the epub output.

Package: live-manual-html
Description-md5: 15deaa3772f6c042ea90d0848776a4f6
Description-en: Live Systems Documentation (html)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-manual contains the documentation for the Live Systems project.
 .
 This package contains the html output.

Package: live-manual-odf
Description-md5: c24c536523b35ba39a577816bd9d96ec
Description-en: Live Systems Documentation (odf)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-manual contains the documentation for the Live Systems project.
 .
 This package contains the odf output.

Package: live-manual-pdf
Description-md5: d3f18fc1ec535e43baa8a2f2d85c11aa
Description-en: Live Systems Documentation (pdf)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-manual contains the documentation for the Live Systems project.
 .
 This package contains the pdf output.

Package: live-manual-txt
Description-md5: efede4de4336caf6c418ba6850d24d38
Description-en: Live Systems Documentation (txt)
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-manual contains the documentation for the Live Systems project.
 .
 This package contains the txt output.

Package: live-tools
Description-md5: 57039af6c0e306caa347ec2cf2ceb0c3
Description-en: Live System Extra Components
 The Live Systems project maintains the components to build Debian based Live
 systems and the official Debian Live images themselves.
 .
 live-tools contains extra components for live systems.

Package: livemedia-utils
Description-md5: 9eba2f43815fbab3a862c6630e9b8f94
Description-en: multimedia RTSP streaming tools
 The live555.com streaming media code is a set of C++ libraries for multimedia
 streaming, using open standard protocols (RTP/RTCP, RTSP, SIP). These
 libraries can be used to build applications to stream, receive and process
 MPEG, H.263+ or JPEG video, several audio codecs, and can easily be extended
 to support additional codecs. They can also be used to build basic RTSP (Real
 Time Streaming Protocol) or SIP (Session Initiation Protocol) clients and
 servers.
 .
 This package contains the live555.com streaming servers (live555MediaServer
 and live555ProxyServer), the example programs (openRTSP, playSIP, sapWatch,
 vobStreamer) and a variety of test tools.

Package: lives
Description-md5: 4a637a263d41c264219b65d0cf1afa34
Description-en: Video Editing system allowing users to edit and create video
 LiVES is a Video Editing system allowing both novice and advanced users
 to edit and create video straight away. It can also be used as a VJ tool,
 and can be scripted and controlled remotely.

Package: lives-data
Description-md5: f6743432883899d90c5d427dc560c33c
Description-en: Data files for LiVES
 LiVES is a Video Editing system allowing both novice and advanced users
 to edit and create video straight away. It can also be used as a VJ tool,
 and can be scripted and controlled remotely.
 .
 This package contains the data files for LiVES.

Package: lives-plugins
Description-md5: b12f58f901e65957312c3c7a668cad06
Description-en: LiVES plugins pack
 LiVES is a Video Editing system allowing both novice and advanced users
 to edit and create video straight away. It can also be used as a VJ tool,
 and can be scripted and controlled remotely.
 .
 This package provides a comprehensive collection of LiVES's plugins.

Package: livescript
Description-md5: 99e893292de3bda94c3aeca3ed0376f1
Description-en: language which compiles to JavaScript
 It has a straightforward mapping to JavaScript and allows you to write
 expressive code devoid of repetitive boilerplate. While LiveScript adds many
 features to assist in functional style programming, it also has many
 improvements for object oriented and imperative programming.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: liwc
Description-md5: f6ca0c5669117abc48d99819f51e9a3b
Description-en: Tools for manipulating C source code
 Includes programs for converting C++ comments to C comments,
 removing C comments, print out string literals, and converting
 characters to trigraphs and trigraphs to characters.

Package: lix
Description-md5: 89d516cf5388c9a909373458e02d18a1
Description-en: Puzzle game inspired by Lemmings
 This is an action-puzzle game inspired by Lemmings (DMA Design, 1991), with
 singleplayer puzzles, networked multiplayer, and a level editor. Lix is
 written in the D programming language, uses Allegro 5 for graphics, sound,
 and input, and uses enet for networking.

Package: lix-data
Description-md5: 8cdde144ecdb098881bb4f2ebabd4c78
Description-en: Puzzle game inspired by Lemmings
 This is an action-puzzle game inspired by Lemmings (DMA Design, 1991), with
 singleplayer puzzles, networked multiplayer, and a level editor. Lix is
 written in the D programming language, uses Allegro 5 for graphics, sound,
 and input, and uses enet for networking.
 .
 This package contains the data files needed to play Lix.

Package: lizardfs-adm
Description-md5: 9c08b3c6b5d3b74618a09ea83a1035d0
Description-en: LizardFS - administration tools
 LizardFS administration tool: lizardfs-probe
 .
 LizardFS is a reliable, scalable and efficient distributed file system. It
 spreads data over a number of physical servers, making it visible to an
 end user as a single file system.
 .
 Functions such as data replication, checksums, instant snapshots and easy
 connection of additional servers make LizardFS a perfect solution for
 users who need fault-proof storage ready for future expansion.
 LizardFS features include:
  * High availbility.
  * Quotas.
  * POSIX Access Control Lists and POSIX Extended Attributes.
  * I/O bandwidth limiting.
 .
 LizardFS can be used whenever one needs to store large quantities of data
 in a secure way and process them swiftly.
 LizardFS is a fork of MooseFS.

Package: lizardfs-cgi
Description-md5: c2c0bd47171d030e61c2fe0df64c827a
Description-en: LizardFS - CGI monitor
 CGI application to monitor LizardFS through master/metadata server.
 .
 LizardFS is a reliable, scalable and efficient distributed file system. It
 spreads data over a number of physical servers, making it visible to an
 end user as a single file system.
 .
 Functions such as data replication, checksums, instant snapshots and easy
 connection of additional servers make LizardFS a perfect solution for
 users who need fault-proof storage ready for future expansion.
 LizardFS features include:
  * High availbility.
  * Quotas.
  * POSIX Access Control Lists and POSIX Extended Attributes.
  * I/O bandwidth limiting.
 .
 LizardFS can be used whenever one needs to store large quantities of data
 in a secure way and process them swiftly.
 LizardFS is a fork of MooseFS.

Package: lizardfs-cgiserv
Description-md5: c9c4a837c2ea908f5b24976f862a3b5d
Description-en: simple CGI-capable HTTP server to run LizardFS CGI monitor
 Simple standalone CGI-capable HTTP server to run LizardFS CGI Monitor.
 .
 LizardFS is a reliable, scalable and efficient distributed file system. It
 spreads data over a number of physical servers, making it visible to an
 end user as a single file system.
 .
 Functions such as data replication, checksums, instant snapshots and easy
 connection of additional servers make LizardFS a perfect solution for
 users who need fault-proof storage ready for future expansion.
 LizardFS features include:
  * High availbility.
  * Quotas.
  * POSIX Access Control Lists and POSIX Extended Attributes.
  * I/O bandwidth limiting.
 .
 LizardFS can be used whenever one needs to store large quantities of data
 in a secure way and process them swiftly.
 LizardFS is a fork of MooseFS.

Package: lizardfs-chunkserver
Description-md5: 30863420ef428ce96111d16007f9d50d
Description-en: LizardFS - data server
 The LizardFS data server.
 .
 LizardFS is a reliable, scalable and efficient distributed file system. It
 spreads data over a number of physical servers, making it visible to an
 end user as a single file system.
 .
 Functions such as data replication, checksums, instant snapshots and easy
 connection of additional servers make LizardFS a perfect solution for
 users who need fault-proof storage ready for future expansion.
 LizardFS features include:
  * High availbility.
  * Quotas.
  * POSIX Access Control Lists and POSIX Extended Attributes.
  * I/O bandwidth limiting.
 .
 LizardFS can be used whenever one needs to store large quantities of data
 in a secure way and process them swiftly.
 LizardFS is a fork of MooseFS.

Package: lizardfs-client
Description-md5: 1b130b59ffbfce2af7dabeeb7d31959c
Description-en: LizardFS - client tools and mount utility
 LizardFS FUSE mount utility "mfsmount" and client tool "mfstools".
 .
 LizardFS is a reliable, scalable and efficient distributed file system. It
 spreads data over a number of physical servers, making it visible to an
 end user as a single file system.
 .
 Functions such as data replication, checksums, instant snapshots and easy
 connection of additional servers make LizardFS a perfect solution for
 users who need fault-proof storage ready for future expansion.
 LizardFS features include:
  * High availbility.
  * Quotas.
  * POSIX Access Control Lists and POSIX Extended Attributes.
  * I/O bandwidth limiting.
 .
 LizardFS can be used whenever one needs to store large quantities of data
 in a secure way and process them swiftly.
 LizardFS is a fork of MooseFS.

Package: lizardfs-common
Description-md5: f8d963b2fbb7396741acefd2d06f4a55
Description-en: LizardFS - common files
 Files and services common for all LizardFS daemons.
 .
 LizardFS is a reliable, scalable and efficient distributed file system. It
 spreads data over a number of physical servers, making it visible to an
 end user as a single file system.
 .
 Functions such as data replication, checksums, instant snapshots and easy
 connection of additional servers make LizardFS a perfect solution for
 users who need fault-proof storage ready for future expansion.
 LizardFS features include:
  * High availbility.
  * Quotas.
  * POSIX Access Control Lists and POSIX Extended Attributes.
  * I/O bandwidth limiting.
 .
 LizardFS can be used whenever one needs to store large quantities of data
 in a secure way and process them swiftly.
 LizardFS is a fork of MooseFS.

Package: lizardfs-master
Description-md5: 24a5b21e38a2b3c339628a01e47ec3ed
Description-en: LizardFS - master server
 LizardFS master (metadata) server.
 .
 LizardFS is a reliable, scalable and efficient distributed file system. It
 spreads data over a number of physical servers, making it visible to an
 end user as a single file system.
 .
 Functions such as data replication, checksums, instant snapshots and easy
 connection of additional servers make LizardFS a perfect solution for
 users who need fault-proof storage ready for future expansion.
 LizardFS features include:
  * High availbility.
  * Quotas.
  * POSIX Access Control Lists and POSIX Extended Attributes.
  * I/O bandwidth limiting.
 .
 LizardFS can be used whenever one needs to store large quantities of data
 in a secure way and process them swiftly.
 LizardFS is a fork of MooseFS.

Package: lizardfs-metalogger
Description-md5: 067d5cdbad9e5944785bb587a8d1cf5f
Description-en: LizardFS - metalogger server
 LizardFS metadata replication (backup) server.
 .
 LizardFS is a reliable, scalable and efficient distributed file system. It
 spreads data over a number of physical servers, making it visible to an
 end user as a single file system.
 .
 Functions such as data replication, checksums, instant snapshots and easy
 connection of additional servers make LizardFS a perfect solution for
 users who need fault-proof storage ready for future expansion.
 LizardFS features include:
  * High availbility.
  * Quotas.
  * POSIX Access Control Lists and POSIX Extended Attributes.
  * I/O bandwidth limiting.
 .
 LizardFS can be used whenever one needs to store large quantities of data
 in a secure way and process them swiftly.
 LizardFS is a fork of MooseFS.

Package: lksctp-tools
Description-md5: 461d09027f08c978d8b88395f123484d
Description-en: user-space access to Linux kernel SCTP - commandline tools
 SCTP (Stream Control Transmission Protocol) is a message oriented,
 reliable transport protocol, with congestion control, support for
 transparent multi-homing, and multiple ordered streams of messages.
 RFC 2960 defines the core protocol.
 .
 This package is part of the LKSCTP project, and contains libraries and
 commandline tools to test SCTP functionality:
  * checksctp: determine if kernel supports SCTP
  * withsctp: run existing TCP binaries over SCTP
  * sctp_darn: send and receive messages via SCTP
  * sctp_test: check SCTP functionality from user-space

Package: lld
Description-md5: 39b52f07f105b329dd7852cce89ebb7d
Description-en: LLVM-based linker
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.

Package: lld-10
Description-md5: 39b52f07f105b329dd7852cce89ebb7d
Description-en: LLVM-based linker
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.

Package: lld-6.0
Description-md5: 39b52f07f105b329dd7852cce89ebb7d
Description-en: LLVM-based linker
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.

Package: lld-7
Description-md5: 39b52f07f105b329dd7852cce89ebb7d
Description-en: LLVM-based linker
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.

Package: lld-8
Description-md5: 39b52f07f105b329dd7852cce89ebb7d
Description-en: LLVM-based linker
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.

Package: lld-9
Description-md5: 39b52f07f105b329dd7852cce89ebb7d
Description-en: LLVM-based linker
 LLD is a new, high-performance linker. It is built as a set of reusable
 components which highly leverage existing libraries in the larger LLVM
 Project.

Package: lldb
Description-md5: ead6eb91f74bd6143cb488df627284c1
Description-en: Next generation, high-performance debugger
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This is a dependency package providing the default version of lldb.

Package: lldb-10
Description-md5: 45d52d0209cd7953d2f2bc4d1a99170f
Description-en: Next generation, high-performance debugger
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.

Package: lldb-6.0
Description-md5: 45d52d0209cd7953d2f2bc4d1a99170f
Description-en: Next generation, high-performance debugger
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.

Package: lldb-7
Description-md5: 45d52d0209cd7953d2f2bc4d1a99170f
Description-en: Next generation, high-performance debugger
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.

Package: lldb-8
Description-md5: 45d52d0209cd7953d2f2bc4d1a99170f
Description-en: Next generation, high-performance debugger
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.

Package: lldb-9
Description-md5: 45d52d0209cd7953d2f2bc4d1a99170f
Description-en: Next generation, high-performance debugger
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.

Package: lldpad
Description-md5: c1db8e3b87e10e4533c8cbdb5cb08022
Description-en: Link Layer Discovery Protocol Implementation (Runtime)
 The lldpad package is an implementation of the Link Layer Discovery
 Protocol (LLDP).  It originated from Intel's Data Center Bridging (DCB)
 software - the dcbd package.  The lldpad package adds LLDP support
 for all ports in addition to DCB Exchange protocol (DCBX) support on DCB
 capable ports (as was provided by dcbd).  Also, support for additional
 LLDP TLVs has been added.
 .
 DCB is a collection of emerging standards-based technologies designed to
 allow Ethernet to support multiple types of traffic classes in the Data
 Center.  The DCBX functionality of this package is designed to work with
 the DCB kernel interface (dcbnl in rtnetlink) that is included in the Linux
 kernel 2.6.29 or higher.  The Intel ixgbe driver supports the dcbnl interface.
 .
 This package contains lldpad runtime binaries.

Package: lldpad-dev
Description-md5: ae4b2c10449a49cfb9ce57bf970d749d
Description-en: Link Layer Discovery Protocol Implementation (Development headers)
 The lldpad package is an implementation of the Link Layer Discovery
 Protocol (LLDP).  It originated from Intel's Data Center Bridging (DCB)
 software - the dcbd package.  The lldpad package adds LLDP support
 for all ports in addition to DCB Exchange protocol (DCBX) support on DCB
 capable ports (as was provided by dcbd).  Also, support for additional
 LLDP TLVs has been added.
 .
 DCB is a collection of emerging standards-based technologies designed to
 allow Ethernet to support multiple types of traffic classes in the Data
 Center.  The DCBX functionality of this package is designed to work with
 the DCB kernel interface (dcbnl in rtnetlink) that is included in the Linux
 kernel 2.6.29 or higher.  The Intel ixgbe driver supports the dcbnl interface.
 .
 This package contains lldpad development headers.

Package: lldpd
Description-md5: 4f097f2036684d8c2f91a8dc0e5f8db0
Description-en: implementation of IEEE 802.1ab (LLDP)
 LLDP is an industry standard protocol designed to supplant
 proprietary Link-Layer protocols such as Extreme's EDP (Extreme
 Discovery Protocol) and CDP (Cisco Discovery Protocol). The goal of
 LLDP is to provide an inter-vendor compatible mechanism to deliver
 Link-Layer notifications to adjacent network devices.
 .
 This implementation provides LLDP sending and reception, supports
 VLAN and includes an SNMP subagent that can interface to an SNMP
 agent through AgentX protocol.
 .
 This daemon is also able to deal with CDP, SONMP, FDP and EDP
 protocol. It also handles LLDP-MED extension.

Package: llgal
Description-md5: a8609fa14882267572de370b9e972d7f
Description-en: Command-line online gallery generator
 llgal is a online gallery generator for images, videos, ...
 It is based on igal and adds multiple features including:
  - various types of slides (movies, text, links, downloads and subdirs);
  - addition of headers, footers, links and separators in generated HTML files;
  - automatic generation of captions from JFIF, GIF or EXIF tags;
  - highly configurable layout.
 The llgal interface is command line only. Its purpose is to generates
 nice web-pages quickly, using very simple but standard XHTML/CSS code,
 without requiring anything like php or javascript.

Package: llmnrd
Description-md5: a5f139ac2b30cc8ec81347bc254976bc
Description-en: Link-Local Multicast Resolution (LLMNR) Daemon for Linux
 llmnrd is a daemon implementing the Link-Local Multicast Name Resolution
 (LLMNR) protocol according to RFC 4795. It currently only supports Linux,
 as it uses the netlink kernel interface.
 .
 llmnrd will respond to name resolution queries sent by Windows clients in
 networks where no DNS server is available. It supports both IPv4 and IPv6.

Package: lloconv
Description-md5: 7b1013093edbdb11329c1fd34ba654cb
Description-en: command line document converter using LibreOfficeKit
 A command line document format converter which uses LibreOffice (via
 its LibreOfficeKit API) to do all the hard work.  It should support
 the same formats which LibreOffice does.
 .
 LibreOfficeKit was formerly known as liblibreoffice, hence the name
 "lloconv".

Package: lltag
Description-md5: 7aebf3db0473fb527810aae269296626
Description-en: Automatic command-line mp3/ogg/flac file tagger and renamer
 lltag is a command-line tool manipulating MP3 ID3, OGG or FLAC tags.
 It may be used to tag multiples files at once by comparing their filename
 or pathname against a configurable list of formats, or by getting tags
 from the CDDB database.
 .
 lltag may also rename files according to a configurable filename format.
 The interface has been designed to be as automatic, efficient and smart
 as possible.

Package: lltdscan
Description-md5: 14ca9e56654222a4f4c46e3c88b4cac9
Description-en: Scan for LLTD-enabled hosts on your network
 LLTD is a Link Layer Topology Discovery Protocol.
 protocol specs are available from Microsoft at
 http://www.microsoft.com/whdc/connect/Rally/LLTD-spec.mspx

Package: llvm
Description-md5: ffb2ad1faa8b9702532e67eba7253b5f
Description-en: Low-Level Virtual Machine (LLVM)
 The Low-Level Virtual Machine (LLVM) is a collection of libraries and
 tools that make it easy to build compilers, optimizers, Just-In-Time
 code generators, and many other compiler-related programs.
 .
 This is a dependency package providing the default llvm package.

Package: llvm-10
Description-md5: 07d463911771b9679a5f0131f40992d4
Description-en: Modular compiler and toolchain technologies
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 The strengths of the LLVM infrastructure are its extremely
 simple design (which makes it easy to understand and use),
 source-language independence, powerful mid-level optimizer, automated
 compiler debugging support, extensibility, and its stability and
 reliability. LLVM is currently being used to host a wide variety of
 academic research projects and commercial projects. LLVM includes C
 and C++ front-ends, a front-end for a Forth-like language (Stacker),
 a young scheme front-end, and Java support is in development. LLVM can
 generate code for X96, SparcV10, PowerPC or many other architectures.

Package: llvm-10-dev
Description-md5: 29f654aa391c01f742a2023c872d7a49
Description-en: Modular compiler and toolchain technologies, libraries and headers
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the libraries and headers to develop applications
 using llvm.

Package: llvm-10-doc
Description-md5: 19896d0a63f9911e3a7a7b85ecfac407
Description-en: Modular compiler and toolchain technologies, documentation
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package contains all documentation (extensive).

Package: llvm-10-examples
Description-md5: 61fee537b751bf39ce1ac5bf27ca3806
Description-en: Modular compiler and toolchain technologies, examples
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package contains examples for using LLVM, both in developing
 extensions to LLVM and in using it to compile code.

Package: llvm-10-runtime
Description-md5: a2e425ebfc2fcd56b539f44a28559b4a
Description-en: Modular compiler and toolchain technologies, IR interpreter
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the minimal required to execute programs in LLVM
 format.

Package: llvm-10-tools
Description-md5: 709eba32627c3c079585c05fc5963fe8
Description-en: Modular compiler and toolchain technologies, tools
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides tools for testing.

Package: llvm-6.0
Description-md5: e971ae25bca86cd8f4914ecf62f6301c
Description-en: Modular compiler and toolchain technologies
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 The strengths of the LLVM infrastructure are its extremely
 simple design (which makes it easy to understand and use),
 source-language independence, powerful mid-level optimizer, automated
 compiler debugging support, extensibility, and its stability and
 reliability. LLVM is currently being used to host a wide variety of
 academic research projects and commercial projects. LLVM includes C
 and C++ front-ends, a front-end for a Forth-like language (Stacker),
 a young scheme front-end, and Java support is in development. LLVM can
 generate code for X86, SparcV9, PowerPC or many other architectures.

Package: llvm-6.0-dev
Description-md5: 29f654aa391c01f742a2023c872d7a49
Description-en: Modular compiler and toolchain technologies, libraries and headers
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the libraries and headers to develop applications
 using llvm.

Package: llvm-6.0-examples
Description-md5: 61fee537b751bf39ce1ac5bf27ca3806
Description-en: Modular compiler and toolchain technologies, examples
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package contains examples for using LLVM, both in developing
 extensions to LLVM and in using it to compile code.

Package: llvm-6.0-runtime
Description-md5: a2e425ebfc2fcd56b539f44a28559b4a
Description-en: Modular compiler and toolchain technologies, IR interpreter
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the minimal required to execute programs in LLVM
 format.

Package: llvm-6.0-tools
Description-md5: 709eba32627c3c079585c05fc5963fe8
Description-en: Modular compiler and toolchain technologies, tools
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides tools for testing.

Package: llvm-7
Description-md5: e971ae25bca86cd8f4914ecf62f6301c
Description-en: Modular compiler and toolchain technologies
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 The strengths of the LLVM infrastructure are its extremely
 simple design (which makes it easy to understand and use),
 source-language independence, powerful mid-level optimizer, automated
 compiler debugging support, extensibility, and its stability and
 reliability. LLVM is currently being used to host a wide variety of
 academic research projects and commercial projects. LLVM includes C
 and C++ front-ends, a front-end for a Forth-like language (Stacker),
 a young scheme front-end, and Java support is in development. LLVM can
 generate code for X86, SparcV9, PowerPC or many other architectures.

Package: llvm-7-dev
Description-md5: 29f654aa391c01f742a2023c872d7a49
Description-en: Modular compiler and toolchain technologies, libraries and headers
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the libraries and headers to develop applications
 using llvm.

Package: llvm-7-examples
Description-md5: 61fee537b751bf39ce1ac5bf27ca3806
Description-en: Modular compiler and toolchain technologies, examples
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package contains examples for using LLVM, both in developing
 extensions to LLVM and in using it to compile code.

Package: llvm-7-runtime
Description-md5: a2e425ebfc2fcd56b539f44a28559b4a
Description-en: Modular compiler and toolchain technologies, IR interpreter
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the minimal required to execute programs in LLVM
 format.

Package: llvm-7-tools
Description-md5: 709eba32627c3c079585c05fc5963fe8
Description-en: Modular compiler and toolchain technologies, tools
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides tools for testing.

Package: llvm-8
Description-md5: e971ae25bca86cd8f4914ecf62f6301c
Description-en: Modular compiler and toolchain technologies
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 The strengths of the LLVM infrastructure are its extremely
 simple design (which makes it easy to understand and use),
 source-language independence, powerful mid-level optimizer, automated
 compiler debugging support, extensibility, and its stability and
 reliability. LLVM is currently being used to host a wide variety of
 academic research projects and commercial projects. LLVM includes C
 and C++ front-ends, a front-end for a Forth-like language (Stacker),
 a young scheme front-end, and Java support is in development. LLVM can
 generate code for X86, SparcV9, PowerPC or many other architectures.

Package: llvm-8-dev
Description-md5: 29f654aa391c01f742a2023c872d7a49
Description-en: Modular compiler and toolchain technologies, libraries and headers
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the libraries and headers to develop applications
 using llvm.

Package: llvm-8-doc
Description-md5: 19896d0a63f9911e3a7a7b85ecfac407
Description-en: Modular compiler and toolchain technologies, documentation
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package contains all documentation (extensive).

Package: llvm-8-examples
Description-md5: 61fee537b751bf39ce1ac5bf27ca3806
Description-en: Modular compiler and toolchain technologies, examples
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package contains examples for using LLVM, both in developing
 extensions to LLVM and in using it to compile code.

Package: llvm-8-runtime
Description-md5: a2e425ebfc2fcd56b539f44a28559b4a
Description-en: Modular compiler and toolchain technologies, IR interpreter
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the minimal required to execute programs in LLVM
 format.

Package: llvm-8-tools
Description-md5: 709eba32627c3c079585c05fc5963fe8
Description-en: Modular compiler and toolchain technologies, tools
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides tools for testing.

Package: llvm-9
Description-md5: c0407a823731253111d3bc7df8551893
Description-en: Modular compiler and toolchain technologies
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 The strengths of the LLVM infrastructure are its extremely
 simple design (which makes it easy to understand and use),
 source-language independence, powerful mid-level optimizer, automated
 compiler debugging support, extensibility, and its stability and
 reliability. LLVM is currently being used to host a wide variety of
 academic research projects and commercial projects. LLVM includes C
 and C++ front-ends, a front-end for a Forth-like language (Stacker),
 a young scheme front-end, and Java support is in development. LLVM can
 generate code for X96, SparcV9, PowerPC or many other architectures.

Package: llvm-9-dev
Description-md5: 29f654aa391c01f742a2023c872d7a49
Description-en: Modular compiler and toolchain technologies, libraries and headers
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the libraries and headers to develop applications
 using llvm.

Package: llvm-9-doc
Description-md5: 19896d0a63f9911e3a7a7b85ecfac407
Description-en: Modular compiler and toolchain technologies, documentation
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package contains all documentation (extensive).

Package: llvm-9-examples
Description-md5: 61fee537b751bf39ce1ac5bf27ca3806
Description-en: Modular compiler and toolchain technologies, examples
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package contains examples for using LLVM, both in developing
 extensions to LLVM and in using it to compile code.

Package: llvm-9-runtime
Description-md5: a2e425ebfc2fcd56b539f44a28559b4a
Description-en: Modular compiler and toolchain technologies, IR interpreter
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides the minimal required to execute programs in LLVM
 format.

Package: llvm-9-tools
Description-md5: 709eba32627c3c079585c05fc5963fe8
Description-en: Modular compiler and toolchain technologies, tools
 LLVM is a collection of libraries and tools that make it easy to build
 compilers, optimizers, just-in-time code generators, and many other
 compiler-related programs.
 .
 LLVM uses a single, language-independent virtual instruction set both
 as an offline code representation (to communicate code between
 compiler phases and to run-time systems) and as the compiler internal
 representation (to analyze and transform programs). This persistent
 code representation allows a common set of sophisticated compiler
 techniques to be applied at compile-time, link-time, install-time,
 run-time, or "idle-time" (between program runs).
 .
 This package provides tools for testing.

Package: llvm-dev
Description-md5: 839c8452aa522f4ab279ec24b671361f
Description-en: Low-Level Virtual Machine (LLVM), libraries and headers
 The Low-Level Virtual Machine (LLVM) is a collection of libraries and
 tools that make it easy to build compilers, optimizers, Just-In-Time
 code generators, and many other compiler-related programs.
 .
 This is a dependency package providing the default libraries and headers.

Package: llvm-runtime
Description-md5: 32f47f27b29cdb85b9de3aecb3953a42
Description-en: Low-Level Virtual Machine (LLVM), bytecode interpreter
 The Low-Level Virtual Machine (LLVM) is a collection of libraries and
 tools that make it easy to build compilers, optimizers, Just-In-Time
 code generators, and many other compiler-related programs.
 .
 This is a dependency package providing the default bytecode interpreter.

Package: llvm-spirv
Description-md5: 5a20894fe6713aae43389df78abd833b
Description-en: bi-directional translator for LLVM/SPIRV
 SPIRV-LLVM-translator is a LLVM/SPIRV bi-directional translator. This
 package includes a library and a tool for translation between LLVM IR
 and SPIR-V.
 .
 This package includes the llvm-spirv binary.

Package: llvmlite-doc
Description-md5: 3ce074e242255db89ea14e7d2782e615
Description-en: LLVM Python binding for writing JIT compilers (docs)
 llvmlite uses the LLVM library for JIT (just-in-time) compilation of
 Python code into native machine instructions during runtime. Instead
 of exposing large parts of the LLVM C++ API for direct calls into the
 LLVM library, llvmlite follows a lightweight multi-layered approach.
 .
 This package contains the documentation and example code.

Package: lm-sensors
Description-md5: 491418ae94ae096e9d6b05b0cf47c351
Description-en: utilities to read temperature/voltage/fan sensors
 Lm-sensors is a hardware health monitoring package for Linux. It allows you
 to access information from temperature, voltage, and fan speed sensors. It
 works with most newer systems.
 .
 This package contains programs to help you set up and read data from
 lm-sensors.

Package: lm4flash
Description-md5: 15e2d1b52495f931797a52c9fea3b5ee
Description-en: Command-line firmware flashing tool to communicate with the Stellaris Launchpad
 Command-line firmware flashing tool using libusb-1.0 to communicate with the
 Stellaris Launchpad ICDI
 .
 The Stellaris Launchpad is a low cost development board created by Texas
 Instruments that comes with an ARM Cortex-M4F processor

Package: lmarbles
Description-md5: 665ad0ad7739ee6cabb3a3cea63e7123
Description-en: game where you build figures out of colored marbles
 The goal of this game is to create a more or less complex figure
 out of single marbles within a time limit to reach the next level.
 Sounds easy? Well, there is a problem: If a marble starts to move,
 it will not stop until it hits a wall or another marble.

Package: lmdb-go-tools
Description-md5: 24fbea39311e6ce7a915a0ef4b0d658b
Description-en: utilities for command-line lmdb usage
 This package contains tools like lmdb_cat, lmdb_copy, lmdb_stat and
 testresize.

Package: lmdb-utils
Description-md5: e456d80fa8a524a61833c95d96211e00
Description-en: Lightning Memory-Mapped Database Utilities
 This package provides tools for manipulating LMDB databases:
  * mdb_stat - LMDB environment status tool
  * mdb_copy - LMDB environment copy tool
 .
 Lighting Memory-Mapped Database (LMDB) is an ultra-fast, ultra-compact
 key-value embedded data store developed for the OpenLDAP Project.  It uses
 memory-mapped files, so it has the read performance of a pure in-memory
 database while still offering the persistence of standard disk-based
 databases, and is only limited to the size of the virtual address space, (it
 is not limited to the size of physical RAM).

Package: lmemory
Description-md5: 1f752f8e17c3337df0bf91c67a007a25
Description-en: Children's game based on the "memory" card game
 The Linux Memory Game is an X11 game for children ages 3 and up, based on
 the card game "Memory". It is written using GTK+ library. Although it is a
 children's game, it has five skill levels, the higher ones are challenging
 to adults as well.
 It features the ability to add your own 64x64 pixmap images.

Package: lmicdiusb
Description-md5: 2d4d6c36f21b4c8b7e494572c90d7141
Description-en: Remote GDB socket over USB for Stellaris Launchpad ICDI
 TCP/USB bridge created by TI, letting GDB communicate with the Stellaris
 Launchpad ICDI
 .
 The Stellaris Launchpad is a low cost development board created by Texas
 Instruments that comes with an ARM Cortex-M4F processor

Package: lmms
Description-md5: 816cb06c4ea6fb223d96de245d4d63a3
Description-en: Linux Multimedia Studio
 LMMS aims to be a free alternative to popular (but commercial and closed-
 source) programs like FruityLoops, Cubase and Logic giving you the ability of
 producing music with your computer by creating cool loops, synthesizing and
 mixing sounds, arranging samples, having more fun with your MIDI-keyboard
 and much more...
 .
 LMMS combines the features of a tracker-/sequencer-program (pattern-/channel-/
 sample-/song-/effect-management) and those of powerful synthesizers and
 samplers in a modern, user-friendly and easy to use graphical user-interface.

Package: lmms-common
Description-md5: bb2954ca4155147592c95219e7105a80
Description-en: Linux Multimedia Studio - common files
 LMMS aims to be a free alternative to popular (but commercial and closed-
 source) programs like FruityLoops, Cubase and Logic giving you the ability of
 producing music with your computer by creating cool loops, synthesizing and
 mixing sounds, arranging samples, having more fun with your MIDI-keyboard
 and much more...
 .
 LMMS combines the features of a tracker-/sequencer-program (pattern-/channel-/
 sample-/song-/effect-management) and those of powerful synthesizers and
 samplers in a modern, user-friendly and easy to use graphical user-interface.
 .
 This package contains the platform independent files such as samples, presets
 and some example projects.

Package: lmod
Description-md5: 0764d6ecf3b59bf474ccd6f51a2ea09e
Description-en: Lua based environment modules
 Lmod is a Lua based module system that easily handles the MODULEPATH
 Hierarchical problem. Environment Modules provide a convenient way to
 dynamically change the users' environment through modulefiles. This
 includes easily adding or removing directories to the PATH environment
 variable. Modulefiles for Library packages provide environment variables
 that specify where the library and header files can be found.

Package: lmodern
Description-md5: ec713c966ceae093764ea52f6cc1a541
Description-en: scalable PostScript and OpenType fonts based on Computer Modern
 The Latin Modern fonts, also known as "lm fonts", are a set of
 scalable fonts in PostScript Type 1 and OpenType formats. They are
 based on the PostScript Type 1 version of the Computer Modern fonts
 and contain many additional characters (mostly accented ones).
 .
 This package provides TeX support and Type1 (PostScript) fonts. If only
 the OpenType fonts are needed, please see the package fonts-lmodern.
 .
 See the description for fonts-lmodern package for more information.

Package: lnav
Description-md5: a0cdf69b960c2d470c1fe1074133ce92
Description-en: ncurses-based log file viewer
 The log file navigator, lnav, is an enhanced log file viewer that
 takes advantage of any semantic information that can be gleaned from
 the files being viewed, such as timestamps and log levels.  Using this
 extra semantic information, lnav can do things like interleaving
 messages from different files, generate histograms of messages over
 time, and providing hotkeys for navigating through the file.  It is
 hoped that these features will allow the user to quickly and
 efficiently zero in on problems.

Package: lnpd
Description-md5: afc3ad67b0e96f5d966c5dd8e059616b
Description-en: daemon for LNP communication with BrickOS
 The lnpd package provides a daemon capable of being started at boot time
 that enables communication with a LEGO(r) Mindstorms RCX which is running
 BrickOS. This package also provides a library so that you can build
 client programs which talk via LNP to applications running under brickOS
 on the RCX.  See the examples provided.
 .
 For posts to/from other users: http://lugnet.com/robotics/rcx/legos/
 .
 (LEGO(r) is a trademark of the LEGO Group of companies.)

Package: loadlin
Description-md5: 8658f2e73dfd4c4ff8b1a39e08879435
Description-en: loader (running under DOS) for LINUX kernel images
 LOADLIN-1.6 is highly adaptable to different DOS configurations, and now
 has very few loading restrictions. It makes use of extended memory and
 also can load big kernels (bzImages) and ramdisk images (initrd)
 directly high.
 .
 LOADLIN also can load out of Virtual-86 mode (which is normal when
 using EMS drivers) if a VCPI server is present.
 .
 The loadlin package also includes the freeramdisk program, which can
 return memory to the system when a ramdisk is no longer needed.

Package: loadmeter
Description-md5: 6e4e04163cb35d5459aa368bbccda4df
Description-en: Attractive X11 load meter
 Loadmeter is an attractive X11 based system monitor. It displays
 load average, uptime, disk and memory usages. It uses a coloured
 bar graph display for easy reading and popup menus for other info.
 It uses less memory than xload.

Package: loadwatch
Description-md5: 1dfcb130ca929b18d33f35a15989ca48
Description-en: Run a program using only idle cycles
 loadwatch forks a child process and only allows it to run when the
 system load meets user-defined parameters. It allows you to specify
 that a program should run only if the load is below a specified
 point, and will stop it when that point is reached. When the load
 falls below a second specified point, the program will be
 continued. The user can also specify the system load sampling period.

Package: local-apt-repository
Description-md5: 9c20896096750586157bf872cae8374b
Description-en: Ready to use local apt repository
 With this package installed, every Debian package (i.e. a *.deb file) dropped
 into /srv/local-apt-repository (which you need to create first) will be
 available to apt.
 .
 This package does not provide an apt repository to be used by other hosts. For
 that, look at more serious repository solutions like reprepro and
 apt-ftparchive.

Package: localehelper
Description-md5: 88caa265320987c057bcc8ba53e2566e
Description-en: locale helper tool
 Localehelper is a helper tool for working with locales.
 It can generate locales and (un)set environmental variables
 for internationalization purposes.

Package: localepurge
Description-md5: 475992bb2d7939b240f9cd47d5afdf21
Description-en: reclaim disk space by removing unneeded localizations
 This package provides a script to recover disk space wasted for unneeded
 locales, GNOME/KDE localizations and localized man pages. Depending on
 the installation, it is possible to save hundreds of megabytes of disk
 space taken up by localization you will most probably never have any
 use for. It is run automatically upon completion of any APT installation
 actions.
 .
 This tool is a hack which is *not* integrated with the system's
 package management system and therefore is not for the faint of heart.
 Its interference can provoke strange, but usually harmless, behavior in
 programs related to apt/dpkg, such as dpkg-repack, reportbug, etc.
 Responsibility for its usage and possible breakage of the system
 therefore lies in the system administrator's hands.
 .
 Please do abstain from reporting any such bugs blaming localepurge if you
 break the system by using it. If you don't know what you are doing and
 can't handle any resulting breakage, you should not install this package.

Package: localslackirc
Description-md5: ca96ffa7facef8a1bb24d10585663fcb
Description-en: IRC gateway for slack, running on localhost for one user
 This project is a replacement for slack's IRC gateway that they dropped.
 .
 One instance of localslackirc connects to one slack account and one
 IRC client, passing the messages between the two.
 .
 Experimentally it also supports Rocket.Chat.

Package: locate
Description-md5: bff4cb1129be08196a6ed2311b3d1fbc
Description-en: maintain and query an index of a directory tree
 updatedb generates an index of files and directories. GNU locate can be used
 to quickly query this index.

Package: lockdown
Description-md5: 639ce08f12d3a3f5dd9669a65197e6d3
Description-en: make it harder for attackers to compromise your system
 Does some basic things to reduce system attack surface. Primarily it
 will disable kernel module loading after boot to prevent security
 bugs in unused modules from being used to compromise a system.
 WARNING: This may prevent hardware and other subsystems from working,
 be sure to read the documentation before rebooting.

Package: lockout
Description-md5: de4d0e6e7d24dd91de27f3298472ad77
Description-en: Self-imposed discipline and productivity enforcer
 Lockout is a tool that imposes discipline on you so that you get some work
 done.  For example, lockout can be used to install a firewall that does not
 let you browse the Web.  Lockout changes the root password for a specified
 duration; this prevents you from secretly ripping down the firewall and then
 browsing the Web anyway.  In case of an emergency, you can reboot your
 computer to undo the effects of lockout and to restore the original root
 password.

Package: loganalyzer
Description-md5: ab3ffa7e4a1757523848c5811f5cba81
Description-en: web interface to syslog and event data
 Web interface to syslog and other network event data. It provides easy
 browsing, analysis of realtime network events and reporting services.
 .
 Use of databases, including MongoDB, is possible but not required.

Package: logapp
Description-md5: 8ef8269e446e177f01024a7e1c3c4884
Description-en: supervise execution of applications producing heavy output
 Logapp is a wrapper utility that helps supervise the execution of
 applications that produce heavy console output (e.g. make, CVS and
 Subversion). It does this by logging, trimming, and coloring each
 line of the output before displaying it. It can be called instead of
 the executable that should be monitored; it then starts the
 application and logs all of its console output to a file. The output
 shown in the terminal is preprocessed, e.g. to limit the length of
 printed lines and to show the stderr output in a different color. It
 is also possible to automatically highlight lines that match a
 certain regular expression. The output is therefore reduced to the
 necessary amount, and all important lines are easy to identify.

Package: logaricheck
Description-md5: 073c227a3b4f02f0fbd0a13a461e4160
Description-en: Nagios plugin to check disk, memory and swap usage
 Check disk, memory and swap usage using an intelligent
 threshold function.
 .
 This can be used in place of the existing check_disk and check_swap.

Package: logdata-anomaly-miner
Description-md5: bc17011284fbeb52f3d0dbc778f422d1
Description-en: This tool allows one to create log analysis pipelines
 to analyze log data streams and detect violations or anomalies
 in it. It can be run from console, as daemon with e-mail alerting
 or embedded as library into own programs. It was designed to
 run the analysis with limited resources and lowest possible permissions
 to make it suitable for production server use. Analysis methods
 include:
 .
  * static check patterns similar to logcheck but with extended
    syntax and options.
  * detection of new data elements (IPs, user names, MAC addresses)
  * statistical anomalies in log line values and frequencies
  * correlation rules between log lines as described in th AECID
    approach http://dx.doi.org/10.1016/j.cose.2014.09.006
 .
 The tool is suitable to replace logcheck but also to operate
 as a sensor feeding a SIEM.
 .
 Please report bugs at https://bugs.launchpad.net/logdata-anomaly-miner/+filebug

Package: loggedfs
Description-md5: f5f647ba286fe4cca93d00d97e9a66d5
Description-en: Fuse-filesystem daemon logging every filesystem operations
 LoggedFS is a transparent fuse-filesystem which allows you to log every
 operation that takes place in the backend filesystem. Logs can be written
 to syslog, into a file, or to the standard output.
 .
 You can add filters to an XML file to filter on users, operations (open,
 read, write, chown, chmod, etc.), filenames and return codes.
 Since it is fuse-based, you don't need to change anything in your kernel
 or on your hard disk partition to use it.

Package: loggedfs-dbg
Description-md5: cd7bf61de09a44452564dccd481d58d0
Description-en: Fuse-filesystem daemon logging every filesystem operations
 LoggedFS is a transparent fuse-filesystem which allows you to log every
 operation that takes place in the backend filesystem. Logs can be written
 to syslog, into a file, or to the standard output.
 .
 This package contains the debugging symbols.

Package: loggerhead
Description-md5: 42788b0165128ea74f39e42e44e89d12
Description-en: Web viewer for Breezy
 This is a web viewer for projects in the Breezy version control system.
 It can be used to navigate a branch history, annotate files, view patches and
 perform searches.

Package: loggerhead-doc
Description-md5: ed782269f77488d4e6792641c4fb178f
Description-en: Web viewer for Breezy (documentation)
 This is a web viewer for projects in the Breezy version control system.
 It can be used to navigate a branch history, annotate files, view patches and
 perform searches.
 .
 This package provides the documentation.

Package: logidee-tools
Description-md5: 33cd4c0c34fed7cdb85b989481ca8702
Description-en: Tools to write courses in XML and export them to various formats
 logidee-tools is a set of tools to write courses in XML. You
 can then export those courses in various formats (PS, PDF, HTML)
 using several XSL stylesheets.
 .
 The DTD is quite simple and you can generate files in English, in
 French, in German and in Spanish.
 .
 WARNING: The documentation is only available in French.

Package: login-duo
Description-md5: 28c159f9965c22a38a3e5576f42451ff
Description-en: login wrapper for Duo Security two-factor authentication
 This provides the login wrapper needed to interact with the Duo Security
 API for handling out-of-band two-factor authentication, mostly designed to
 be used along with global OpenSSH server configurations. Also includes
 manpage and configuration.

Package: logisim
Description-md5: 2a120a09165f3d56086cc57d2ad5d510
Description-en: graphical tool for designing and simulating logic circuits
 Logisim is an educational tool for designing and simulating digital logic
 circuits. With its simple toolbar interface and simulation of circuits as
 you build them, it is simple enough to facilitate learning the most basic
 concepts related to logic circuits. With the capacity to build larger circuits
 from smaller subcircuits, and to draw bundles of wires with a single mouse
 drag, Logisim can be used (and is used) to design and simulate entire CPUs
 for educational purposes.

Package: logitech-applet
Description-md5: 7ed779adb9c85b0c8585bef25e0e0bfd
Description-en: Logitech mouse tweaking utility
 logitech_applet is a small command line tool for setting the special
 features that are available on some Logitech mice. It is particularly useful
 to those who want to switch their mouse to 800cpi instead of the default
 400cpi.

Package: logol
Description-md5: 2d359fdb8916d052aa38feb596a6d0fb
Description-en: Pattern matching tool using Logol language
 Logol is a pattern matching tool using the Logol language.
 It searches with a specific grammar for a pattern in small
 or large sequences (DNA, RNA, protein). It provides complete
 result matching with the original grammar in the results.

Package: logol-bin
Description-md5: 609355db4435f581a796c54b0d0cf33e
Description-en: Pattern matching tool using Logol language
 This package contains the Prolog binaries used by Logol to parse
 the sequence and match the grammar.
 .
 Logol is a pattern matching tool using the Logol language.
 It searches with a specific grammar a pattern in small
 or large sequences (DNA, RNA, protein). It provides complete
 result matching with the original grammar in the results.

Package: logstalgia
Description-md5: 40c67174dfdc15da4b028c69ac665d68
Description-en: web server access log visualizer
 Replay or stream web server access logs as a retro game-like simulation.

Package: logswan
Description-md5: b112a05af8a1bf17e25e922353d3bdc6
Description-en: fast Web log analyzer using probabilistic data structures
 This is a fast Web log analyzer using probabilistic data structures. It is
 targeted at very large log files, typically APIs logs. It has constant memory
 usage regardless of the log file size, and takes approximatively 4 MB of RAM.
 .
 Unique visitors counting is performed using two HyperLogLog counters (one for
 IPv4, and another one for IPv6), providing a relative accuracy of 0.10%.

Package: logtool
Description-md5: a8fa21804043fe4c63a3192999d5b193
Description-en: Syslog-style logfile parser with lots of output options
 logtool is a syslog-style logfile parser and report-generator,
 capable of producing ANSI, ASCII, CSV (for spreadsheets), HTML or
 just raw output.
 .
 It's very handy in generating nightly reports and in online
 monitoring of logfile activity.

Package: logtools
Description-md5: 11ba2c95a804270562f689f84722d315
Description-en: Russell's misc tools for managing log files.
 clfmerge - merge common-log-format web logs in order without sorting (good for
 when you have a gig of logs).
 .
 logprn - like "tail -f" but after a specified time period of inactivity will
 run a program (such as lpr) and pipe the new data to it)
 .
 funnel - pipe one stream of data to several files or processes.
 .
 clfsplit - split CLF format web logs by client IP address.
 .
 clfdomainsplit - split CLF logs by server domain.

Package: logtop
Description-md5: 2379dcce3976070637baa3a01ab7c323
Description-en: real time log line rate analyzer
 logtop is a System Administrator tool to analyze line rate taking log
 file as input. It reads on stdin and print a constantly updated result
 using curses, displaying in columns:
 Line number, count, frequency, and the actual line.
 .
  $ tail -f FILE | logtop
 is the friendly version of:
  $ watch 'tail FILE | sort | uniq -c | sort -gr'

Package: lojban-common
Description-md5: 1ddece78914c642d8c615215540ad21d
Description-en: commonly-used wordlists for the Lojban language
 Lojban is a constructed human language, designed to have a logical
 foundation, a regular, logical, and unambigious structure, phonetic
 spelling, and to be culturally neutral.
 .
 This package contains the current versions of the gismu, cmavo,
 rafsi, and lujvo wordlists for the Lojban language, as published by
 the Logical Language Group.

Package: lokalize
Description-md5: fb65e1340bca6ad2b951b8e6a11b17fa
Description-en: computer-aided translation system
 Lokalize is a computer-aided translation system that focuses on productivity
 and quality assurance. It has components usual for CAT tools: translation
 memory, glossary, and also a unique translation merging (synchronization)
 capability. It is primarily targeted at software translation and also
 integrates external conversion tools for office document translation.
 Lokalize is a replacement of KBabel.
 .
 This package is part of the KDE Software Development Kit module.

Package: loki
Description-md5: bf9b5e908e674606bad9899b907430d7
Description-en: MCMC linkage analysis on general pedigrees
 Performs Markov chain Monte Carlo multipoint linkage analysis on large,
 complex pedigrees.  The current package supports analyses on quantitative
 traits only, although this restriction will be lifted in later versions.
 Joint estimation of QTL number, position and effects uses Reversible Jump
 MCMC. It is also possible to perform affected only IBD sharing analyses.

Package: loki-doc
Description-md5: cdb20636f5859ad29987ae202873ef21
Description-en: MCMC linkage analysis on general pedigrees (PS manual)
 Performs Markov chain Monte Carlo multipoint linkage analysis on large,
 complex pedigrees.  The current package supports analyses on quantitative
 traits only, although this restriction will be lifted in later versions.
 Joint estimation of QTL number, position and effects uses Reversible Jump
 MCMC. It is also possible to perform affected only IBD sharing analyses.
 .
 Documentation on how to use Simon Heath's "prep" and "loki" tools
 for MCMC genetic linkage and IBD analysis on general pedigrees.

Package: lola
Description-md5: dae6546fa3005d0ee7cbee47c621915b
Description-en: LL parser generator
 Lola is a general-purpose LL parser generator that converts a grammar
 describing an LL context-free language into either a C or Python program
 to parse the language.

Package: lolcat
Description-md5: 86f992d66ac74197cda39e0bbfcb549d
Description-en: colorful `cat`
 lolcat concatenates files like the UNIX `cat` program, but colors it for the
 lulz in a rainbow animation. Terminals with 256 colors and animations are
 supported.

Package: lollypop
Description-md5: 1e32f13d306445e6045996417fa91507
Description-en: modern music player
 A Music player for GNOME featuring intuitive browsing of your music
 collection. Supports downloading of lyrics and cover art, syncing music
 with MTP devices, and has a kiosk (party) mode view.

Package: lomoco
Description-md5: 4e64cebbe05f073fc2844e0ef4cbfeb1
Description-en: Logitech Mouse Control for USB mice
 lomoco can configure vendor-specific options on Logitech USB mice (or
 dual-personality mice plugged into the USB port). A number of recent
 devices are supported. The program is mostly useful in setting the
 resolution to 800 cpi or higher on mice that boot at 400 cpi (such as
 the MX500, MX510, MX1000 etc.), and disabling SmartScroll or Cruise
 Control for those who would rather use the two extra buttons as ordinary
 mouse buttons. It can also retrieve battery level from wireless mice.

Package: looking-glass-client
Description-md5: 30345d7917221fbfe91d8bec18f2c2d6
Description-en: Low latency KVM FrameRelay implementation for VGA Passthrough
 LookingGlass enables you to use shared memory to pass rendered frames from a
 virtual machine back to the host system.
 .
 A LookingGlass Host implementation is required to run on the guest virtual
 machine. It is recommended that the client and host versions match. See the
 README.Debian for more information.

Package: lookup
Description-md5: ec3c8d2d4cd69b0e7e096442e8341907
Description-en: interactive utility to search text files quickly
 It provides: Romaji-to-Kana Converter, Fuzzy Searching,
 Search with Regular Expressions, Filtering, Automatic Modifications,
 Smart Word-Preference Mode.

Package: lookup-el
Description-md5: d87992dda0c9bc9b2fb296695dca7381
Description-en: emacsen interface to electronic dictionaries
 Lookup provides a simple and unified interface on emacsen to search
 various dictionaries, such as EPWING CD-ROMs, NDTP servers, DICT servers,
 and so on.
 .
 To use EPWING CD-ROMs, install the eblook package or the ndtpd package.
 To use dictd dictionaries, install the dictd package.

Package: loook
Description-md5: e42c70b32523e2597185a01690fadbcd
Description-en: Search strings in ODF and OOXML documents
 You can look for strings in ODF text documents, spreadsheets,
 presentations, drawings and formsm made by OpenOffice.org,
 Libreoffice, Apache OpenOffice or other programs, creating ODF files.
 You can look for strings in OOXML documents, too.
 .
 Loook has a convenient graphical user interface.

Package: looptools
Description-md5: 5c01bd86292d164f54d0c4d4187217f9
Description-en: Integral Evaluator of One-loop Feynman Diagram
 LoopTools is a package for evaluation of scalar and tensor one-loop
 integrals based on the FF package by G.J. van Oldenborgh. It features
 an easy Fortran, C++, and Mathematica interface to the scalar
 one-loop functions of FF and in addition provides the 2-, 3-, and
 4-point tensor coefficient functions.
 .
 This package provides program file, lt, of LoopTools.

Package: loqui
Description-md5: f355709c90c451d9bb2a559952367f2a
Description-en: GTK+ based multipane IRC/Chat Client
 Loqui is a IRC/chat client based on GTK+, supports multiple servers and
 multiple protocols, has and the common buffer (a buffer to show messages
 on the channels that not currently selected).
 .
 It also has a friendly multipane interface, you can easily use it.

Package: lordsawar
Description-md5: 21de8eea81bcb25d18d28c1401622e20
Description-en: Clone of the popular SSG game Warlords II
 A 2d turn-based strategy game where up to 8 players strive for control
 of as many cities as possible. Produce new armies in cities to conquer
 nearby cities. Using the income from those cities, make more armies to
 take more cities. Send a hero to a temple to get a quest, or maybe search
 a nearby ruin instead. Game should run well on modest hardware.
 .
 Play with others or against the computer.

Package: lordsawar-data
Description-md5: 22416e9d5b4971000ce0d56702855c9c
Description-en: Clone of the popular SSG game Warlords II - data files
 A 2d turn-based strategy game where up to 8 players strive for control
 of as many cities as possible. Produce new armies in cities to conquer
 nearby cities. Using the income from those cities, make more armies to
 take more cities. Send a hero to a temple to get a quest, or maybe search
 a nearby ruin instead. Game should run well on modest hardware.
 .
 This package contains the games graphics and data files.

Package: lorene
Description-md5: 84d124996c70185b28125703b92983f1
Description-en: framework for numerical relativity
 LORENE is a set of C++ classes to solve various problems arising in
 numerical relativity, and more generally in computational
 astrophysics. It provides tools to solve partial differential
 equations by means of multi-domain spectral methods.
 .
 This metapackage depends on all of the LORENE software
 components. The reference guide is available in the lorene-doc
 package.

Package: lorene-codes-src
Description-md5: b293d1cd723ac4f0431d8a34db8fff30
Description-en: source files of LORENE-based codes
 LORENE is a set of C++ classes to solve various problems arising in
 numerical relativity, and more generally in computational
 astrophysics. It provides tools to solve partial differential
 equations by means of multi-domain spectral methods.
 .
 This package contains the source files of several LORENE codes that
 need to be recompiled for each use case. The liblorene-dev package is
 necessary to compile those codes.

Package: lorene-doc
Description-md5: da21c3fbc6fb7a4510782afd27ab855d
Description-en: documentation for LORENE
 LORENE is a set of C++ classes to solve various problems arising in
 numerical relativity, and more generally in computational
 astrophysics. It provides tools to solve partial differential
 equations by means of multi-domain spectral methods.
 .
 This package contains the LORENE reference guide

Package: lostirc
Description-md5: 1622bf446185e151c1c925ab2515c120
Description-en: simple gtk-based IRC client
 LostIRC is a simple, yet very useful IRC-client. It has features such as
 tab-autocompletion, multiple server support, automatic joining of
 servers/channels and DCC sending which should cover the needs of most
 people. The client is 100% keyboard-controllable, and uses the gtkmm
 GUI library.

Package: lout
Description-md5: 640a8df8f02c3b108b27143df3b7ae06
Description-en: Typesetting system, an alternative to (La)TeX
 Lout is a document formatting system similar in style to LaTeX, i.e.
 it works with mark-up files - plain text files containing commands to
 control the formatting.
 .
 Lout offers a very full range of features, including
  * PostScript, PDF, and plain text output
  * optimal paragraph and page breaking
  * automatic hyphenation
  * PostScript EPS file inclusion and generation
  * equation formatting, tables, diagrams
  * rotation and scaling
  * sorted indexes, bibliographic databases
  * running headers and odd-even pages, automatic cross referencing
  * multilingual documents including hyphenation (most European languages are
    supported, including Russian),
  * formatting of C/C++ programs.
 .
 Lout may be extended by writing definitions which are much simpler than
 the equivalent troff or TeX macros.
 .
 Lout has several advantages over (La)TeX.  It is much smaller, and it is
 much easier to understand how to do things in Lout (including writing
 definitions) than in TeX or LaTeX.  Lout's PostScript output is very small
 and clean.
 .
 However, it is much less widely used than (La)TeX, so there are
 fewer add-on definition packages for Lout than for (La)TeX and fewer
 local experts around to ask about problems.  You are unlikely to
 find many Lout documents floating around the 'net.

Package: lout-common
Description-md5: 789b53f30e4c36ec0b6d7e4383a818e9
Description-en: Common files for the Lout typesetting system
 This package contains architecture-independent data files needed by the
 "lout" package.

Package: lout-doc
Description-md5: d4eb6da7b6af5354e1e02767b767cf71
Description-en: Documentation on the Lout typesetting system
 Documents describing the Lout document formatting system:
 .
  * A Practical Introduction to the Lout Document Formatting System
    (overhead transparencies)
  * A User's Guide to the Lout Document Formatting System
  * An Expert's Guide to the Lout Document Formatting System
  * `The design and implementation of the Lout document formatting language',
     Software--Practice and Experience, vol. 23, pp. 1001-1041 (September 1993)

Package: love
Description-md5: 4f24e64a361db187391602e346a2e02f
Description-en: 2D game development framework based on Lua and OpenGL
 LÖVE was created to be a user-friendly engine in which simple (or
 complicated) games could be made without having extensive knowledge
 of system or graphics functions and without having to dedicate time
 towards developing the same engine features time and time again.
 .
 Developed with cross-platform implementation in mind, it utilizes the
 latest open source libraries to deliver a similar game experience,
 independent of operating system. By relying on the Lua scripting language
 for game-specific programming, it allows even the novice game creator to
 quickly and efficiently develop an idea into a fully working game.

Package: lpc21isp
Description-md5: 2173e47aa8dcd400875fa7c5865ea3c5
Description-en: In-circuit programming (ISP) of ARM and Cortex microcontrollers
 In-circuit programming (ISP) tool for the NXP(Philips)
 LPC1100/LPC1300/LPC1700/LPC2000 series ARM7/Cortex-M0/
 Cortex-M3 microcontrollers.

Package: lpctools
Description-md5: eda4d8c8887efa472921fd80a840ec38
Description-en: interface to NXP LPC Microcontrollers ISP serial interface
 LPCTools is an interface to NXP LPC Microcontrollers ISP (In-System
 Programming) serial interface.
 .
 It provides two programs:
 .
  * lpcisp: this tool gives access to each of the useful isp commands on LPC
    devices. It does not provide wrappers for flashing a device.
  * lpcprog: this tool does not give access to each isp command, instead it
    provides wrappers for flashing a device. This tool gives access to each of
    the useful isp commands on LPC.

Package: lpe
Description-md5: 8f994a83d900489a32bc3b02db151de4
Description-en: Lightweight Programmer's Editor
 lpe stands for "lightweight programmer's editor", and is exactly that.
 It is designed to provide at the same time all the features that might
 be required in a decent code editor and a light, intuitive feel that
 makes it a pleasure to work with, making all necessary commands
 accessible as easily as possible.

Package: lpr
Description-md5: dda80fd521c8858f31b8d27c8900a20d
Description-en: BSD lpr/lpd line printer spooling system
 This is the BSD printer spooler and associated utilities.
 You can use this for local and remote printers.
 .
 If you install magicfilter or apsfilter (along with ghostscript),
 lpr will be able to automatically handle special file types
 (such as Postscript and PDF files).

Package: lprint
Description-md5: 21d0b30d4056f7ba6a49b512578220df
Description-en: Label Printer
 LPrint implements printing for a variety of common label and receipt printers
 connected via network or USB. Features include:
 .
  * A single executable handles spooling, status, and server functionality
  * Multiple printer support
  * Each printer implements an IPP Everywhere™ print service and is compatible
    with the driverless printing support in iOS, macOS, and Linux clients
  * Each printer can support options such as label modes, tear-off offsets,
    media tracking, media top offset, print darkness, resolution, roll
    selection, and speed
  * Each printer can print “raw”, Apple/PWG Raster, and/or PNG files
  * Each printer automatically recovers from out-of-media, power loss, and
    disconnected/bad cable issues

Package: lprng
Description-md5: 47d742863c1b0d7c17930026e04f3553
Description-en: lpr/lpd printer spooling system
 The  LPRng  software  is an enhanced, extended, and portable  version
 of the Berkeley LPR software (the standards UNIX printer spooler)
 You can use this for local and remote printers.

Package: lprng-doc
Description-md5: 80fc7d0387d35415796276be7f54fc54
Description-en: lpr/lpd printer spooling system (documentation)
 The  LPRng  software  is an enhanced, extended, and portable  version
 of the Berkeley LPR software (the standards UNIX printer spooler)
 You can use this for local and remote printers.
 This is the documentation for LPRng

Package: lptools
Description-md5: 37c0d93de138ffdaf626372c221b2e3b
Description-en: Tools for working with Launchpad
 LP Tools allow you to work with Launchpad without ever having to deal
 with the web interface. This package provides the following tools:
 .
  - lp-attach - attach a file to a Launchpad bug
  - lp-bug-dupe-properties - find duplicate Launchpad bug reports
  - lp-capture-bug-counts - view summary of number of bugs for a Launchpad
    project
  - lp-check-membership - check if a launchpad user is a member of a group
  - lp-force-branch-mirror - force a new import
  - lp-get-branches - check out all the branches of a team
  - lp-grab-attachments - download all attachments for specified bugs
    or from bugs for a particular project
  - lp-list-bugs - list all bugs for a project
  - lp-milestone2ical - convert milestones on a project into the iCal format
  - lp-milestones - list and manipulate milestones for a project
  - lp-project - create and manage projects
  - lp-project-upload - upload release files
  - lp-recipe-status - show the status of the recipes owned by a particular user
  - lp-remove-team-members - remove members from a team
  - lp-review-list - list reviews for a project
  - lp-review-notifier - desktop notifier about reviews that can be done
  - lp-set-dup - mark duplicate bugs
  - lp-shell - convenient way to launch Python interpreter already logged in
    to launchpad

Package: lqa
Description-md5: 6c670fd1b8dfbfbb778df63daf8fa1af
Description-en: lava qa tool
 This package contains a command line tool for LAVA quality assurance tasks.

Package: lr
Description-md5: ecb9fd8d5132cd0a85c22c1fc0ed1e77
Description-en: list files, recursively
 lr is a tool for generating file listings,
 which includes the best features of ls(1), find(1), stat(1) and du(1).
 .
 It has a powerful and user-friendly filter syntax,
 sorting and formatting capabilities.

Package: lrcalc
Description-md5: 5d413984e8a6c6bb2e76c1761de7afd0
Description-en: programs for calculating Littlewood-Richardson coefficients
 The "Littlewood-Richardson Calculator" is a package of C programs for
 computing Littlewood-Richardson coefficients, providing fast calculation of
 single LR coefficients, products of Schur functions, and skew Schur functions.
 Its interface uses the same notation as the SF package of John Stembridge, to
 make it easier to use both packages at the same time.
 .
 This package contains the command-line programs.

Package: lrslib
Description-md5: bc62dafa0a5a501c68508823e5d8f398
Description-en: package to enumerate vertices and extreme rays of a convex polyhedron
 A convex polyhedron is the set of points satisfying a finite family
 of linear inequalities.  The study of the vertices and extreme rays
 of such systems is important and useful in e.g. mathematics and
 optimization.  In a dual interpretation, finding the vertices of a
 (bounded) polyhedron is equivalent to finding the convex hull
 (bounding inequalities) of an (arbitrary dimensional) set of points.
 Lrs (lexicographic reverse search) has two important features that
 can be very important for certain applications: it works in exact
 arithmetic, and it consumes memory proportional to the input, no
 matter how large the output is.

Package: lrzip
Description-md5: 3790656513d7f6048496d52bba0592cf
Description-en: compression program with a very high compression ratio
 A compression program that can achieve very high compression
 ratios and speed when used with large files. It uses the combined
 compression algorithms of zpaq and lzma for maximum compression, lzo
 for maximum speed, and the long range redundancy reduction of rzip.
 It is designed to scale with increases with RAM size, improving
 compression further. A choice of either size or speed optimizations
 allows for either better compression than even lzma can provide, or
 better speed than gzip, but with bzip2 sized compression levels.

Package: lrzsz
Description-md5: 5e27fa83eccb5c12b930946e1d62ebd4
Description-en: Tools for zmodem/xmodem/ymodem file transfer
 Lrzsz is a cosmetically modified zmodem/ymodem/xmodem package built
 from the public-domain version of Chuck Forsberg's rzsz package.
 .
 These programs use error correcting protocols ({z,x,y}modem) to send
 (sz, sx, sb) and receive (rz, rx, rb) files over a dial-in serial port
 from a variety of programs running under various operating systems.

Package: lsb
Description-md5: fb857df789d144a7e4b0368f118ccbfb
Description-en: Linux Standard Base support package
 The Linux Standard Base (http://www.linuxbase.org/) is a standard
 core system that third-party applications written for Linux can
 depend upon.
 .
 This package provides an implementation of only the printing and core
 modules of the Linux Standard Base for Ubuntu.  Recent versions of Ubuntu
 do not implement the full LSB interfaces; this package is provided only for
 compatibility with third-party printer driver packages which depend on the
 lsb package.
 .
 The intent of this package is to provide a best current practice way
 of installing and running LSB packages on Debian GNU/Linux. Its presence
 does not imply that Ubuntu fully complies with the Linux Standard Base,
 and should not be construed as a statement that Ubuntu is LSB-compliant.

Package: lsb-core
Description-md5: 390d8738603a81a9f136aad6064a4b28
Description-en: Linux Standard Base core support package
 The Linux Standard Base (http://www.linuxbase.org/) is a standard
 core system that third-party applications written for Linux can
 depend upon.
 .
 This package provides an implementation of the core of the Linux Standard
 Base for Debian on the Intel x86, Intel ia64 (Itanium), IBM S390, and
 PowerPC 32-bit architectures with the Linux kernel. Future revisions of the
 specification and this package may support the LSB on additional
 architectures and kernels.
 .
 The intent of this package is to provide a best current practice way
 of installing and running LSB packages on Debian GNU/Linux. Its
 presence does not imply that Debian fully complies
 with the Linux Standard Base, and should not be construed as a
 statement that Debian is LSB-compliant.

Package: lsb-printing
Description-md5: afd25f3559d38917ae233c86acecb7bb
Description-en: Linux Standard Base Printing package
 The Linux Standard Base (http://www.linuxbase.org/) is a standard
 core system that third-party applications written for Linux can
 depend upon.
 .
 This package provides an implementation of the Linux Standard Base
 Printing specification for Debian on the Intel x86, Intel ia64 (Itanium),
 IBM S390, and PowerPC 32-bit architectures with the Linux kernel. Future
 revisions of the specification and this package may support the LSB on
 additional architectures and kernels.
 .
 The intent of this package is to provide a best current practice way
 of installing and running LSB packages on Debian GNU/Linux. Its
 presence does not imply that Debian fully complies
 with the Linux Standard Base, and should not be construed as a
 statement that Debian is LSB-compliant.

Package: lsb-security
Description-md5: 12f458e53a9e71efa63d2faf45fb26f4
Description-en: Linux Standard Base Security package
 The Linux Standard Base (http://www.linuxbase.org/) is a standard
 core system that third-party applications written for Linux can
 depend upon.
 .
 This package provides an implementation of the Linux Standard Base Security
 specification for Debian on the Intel x86, Intel ia64 (Itanium), IBM S390,
 and PowerPC 32-bit architectures with the Linux kernel. Future revisions of
 the specification and this package may support the LSB on additional
 architectures and kernels.
 .
 The intent of this package is to provide a best current practice way
 of installing and running LSB packages on Debian GNU/Linux. Its
 presence does not imply that Debian fully complies
 with the Linux Standard Base, and should not be construed as a
 statement that Debian is LSB-compliant.

Package: lscolors
Description-md5: 9bed57bbf1285504ba5ffddf1f54d3fa
Description-en: Colorize paths using the LS_COLORS environment variable
 This package contains the following binaries built from the Rust crate
 "lscolors":
  - lscolors

Package: lsdb
Description-md5: 7ccaa700b4720330e9acdc8b1aa82d1f
Description-en: The Lovely Sister Database (email rolodex) for Emacs
 LSDB (The Lovely Sister Database) is a rolodex-like database program
 for SEMI based MUA.  It's intended to be a lightweight relacement for
 BBDB (The Insidious Big Brother Database).  Unfortunately, it
 currently doesn't support the all features of BBDB.

Package: lsdvd
Description-md5: c9f263736f6ccd41c0223d38bd63a516
Description-en: read the content info of a DVD
 An application for reading the contents of a DVD and printing the contents
 to your terminal or in more machine readable formats.

Package: lsh-client
Description-md5: d42a617f2fa72cb2207d9b87c0e72ef9
Description-en: Secure Shell v2 (SSH2) protocol client
 lsh is a GPLed implementation of the Secure SHell protocol version 2
 (SSH2), a secure replacement for rlogin, rsh, and rcp.
 .
 This package contains the lsh client used to log in to a remote lsh
 or SSH2 server.
 .
 In some countries it may be illegal to use any encryption
 at all without a special permit.

Package: lsh-doc
Description-md5: 32d0e353eb1094791380a19daa02c067
Description-en: Secure Shell v2 (SSH2) documentation
 lsh is a GPLed implementation of the Secure SHell protocol version 2
 (SSH2), a secure replacement for rlogin, rsh, and rcp.
 .
 This package contains the lsh documentation, including info
 pages and manpages about the various protocols which lsh uses,
 as well as various documents about lsh internals, protocols,
 and general lsh development.
 .
 In some countries it may be illegal to use any encryption
 at all without a special permit.

Package: lsh-server
Description-md5: 5faaadfb0bda5603eb1ef0334687adbd
Description-en: Secure Shell v2 (SSH2) protocol server
 lsh is a GPLed implementation of the Secure SHell protocol version 2
 (SSH2), a secure replacement for rlogin, rsh, and rcp.
 .
 This package contains the lsh server, lshd. This package is required
 to allow SSH2 logins to the local machine.
 .
 In some countries it may be illegal to use any encryption
 at all without a special permit.

Package: lsh-utils
Description-md5: b55228f724c2bde97c0aa4d63a3d9857
Description-en: Secure Shell v2 (SSH2) protocol utilities
 lsh is a GPLed implementation of the Secure SHell protocol version 2
 (SSH2), a secure replacement for rlogin, rsh, and rcp.
 .
 This package contains the lsh utilities. This includes programs such
 as lsh-keygen, lsh-writekey (both programs for public/private key
 generation), lsh-authorize (for automatic remote key authentication),
 ssh-conv (utilities for converting between various public and private
 key formats), and a number of other utilities for use with both the
 lsh server and client.
 .
 In some countries it may be illegal to use any encryption at all
 without a special permit.

Package: lshw-gtk
Description-md5: 5db6f64eacd69eb948a83477966cd1db
Description-en: graphical information about hardware configuration
 A small tool to provide detailed information on the hardware
 configuration of the machine. It can report exact memory
 configuration, firmware version, mainboard configuration, CPU version
 and speed, cache configuration, bus speed, etc. on DMI-capable x86
 systems, on some PowerPC machines (PowerMac G4 is known to work) and AMD64.
 .
 This package provides lshw-gtk (the GTK+ version)

Package: lskat
Description-md5: 3ab7f03930c7679d3d693ba3432cfa0b
Description-en: Lieutnant Skat card game
 Lieutenant Skat (from German "Offiziersskat") is a fun and engaging
 card game for two players, where the second player is either live
 opponent, or a built in artificial intelligence.
 .
 This package is part of the KDE games module.

Package: lskat-data
Description-md5: a4cb4c32d6b6727de9c1279752225fc2
Description-en: Lieutnant Skat card game - data files
 Lieutenant Skat (from German "Offiziersskat") is a fun and engaging
 card game for two players, where the second player is either live
 opponent, or a built in artificial intelligence.
 .
 This package contains the data for lskat.

Package: lsm
Description-md5: b0356b839778da0cb38f6b36d99a8c3b
Description-en: Link connectivity monitor tool
 Provide a Link Status Monitor, It can be used to monitor Linux router/firewall
 connectivity and if router/firewall has multiple connections it can change
 routing when an up/down event happens by utilizing external script.
 This package is highly influenced by fping and iputils arping.

Package: lsmbox
Description-md5: 71eab551d2272aec49d3d620fd6f6864
Description-en: List number of total/unread messages for mailboxes
 This program lists the number of total and unread messages in one
 or several mailbox files, and can also be used to continuously monitor
 the mailboxes.

Package: lsmount
Description-md5: 3fd363409bcb2a7dd6ebd0e9a24ff306
Description-en: is a small program for formatting the /proc/mounts output
 With a larger number of mounts the output of /proc/mounts isn't longer
 really comfortable to read. This is where lsmount with options such as
 alignment and colorisation comes into action.

Package: lsp-plugins
Description-md5: 3a5abec884e2f18503a939a4f20a1816
Description-en: LSP (Linux Studio Plugins) audio-plugins (metapackage)
 This package provide 91 plugins.
 .
 Audio effects: limiters, dynamic processors, expanders, gates,
 graphic equalisers, impulse responses, impulse reverbs, compressors,
 multiband compressors, parametric equalisers, phase detector,
 sidechain multiband compressors, sidechain limiters,
 sidechain dynamic processors, sidechain expanders, sidechain gates,
 sidechain compressors, slapback delays, delay compensators, triggers.
 .
 Synths: samplers, and multisamplers.
 .
 Tools: latency meters, oscillators, profiler, spectrum analyzers,
 and MIDI triggers
 .
 Available as LV2, LADSPA and standalone Jack clients.
 .
 Documentation page : https://lsp-plug.in/?page=manuals

Package: lsp-plugins-jack
Description-md5: b9bc93a24ef32a0f4778cbad89279d95
Description-en: LSP (Linux Studio Plugins) audio-plugins (standalone Jack clients)
 This package provide 91 plugins.
 .
 Audio effects: limiters, dynamic processors, expanders, gates,
 graphic equalisers, impulse responses, impulse reverbs, compressors,
 multiband compressors, parametric equalisers, phase detector,
 sidechain multiband compressors, sidechain limiters,
 sidechain dynamic processors, sidechain expanders, sidechain gates,
 sidechain compressors, slapback delays, delay compensators, triggers.
 .
 Synths: samplers, and multisamplers.
 .
 Tools: latency meters, oscillators, profiler, spectrum analyzers,
 and MIDI triggers
 .
 This package provides the standalone Jack clients.
 .
 Documentation page : https://lsp-plug.in/?page=manuals

Package: lsp-plugins-ladspa
Description-md5: e054fab22b4a02538f3a17da0b6dc1ca
Description-en: LSP (Linux Studio Plugins) audio-plugins (ladspa plugins)
 This package provide 91 plugins.
 .
 Audio effects: limiters, dynamic processors, expanders, gates,
 graphic equalisers, impulse responses, impulse reverbs, compressors,
 multiband compressors, parametric equalisers, phase detector,
 sidechain multiband compressors, sidechain limiters,
 sidechain dynamic processors, sidechain expanders, sidechain gates,
 sidechain compressors, slapback delays, delay compensators, triggers.
 .
 Synths: samplers, and multisamplers.
 .
 Tools: latency meters, oscillators, profiler, spectrum analyzers,
 and MIDI triggers
 .
 This package provies the ladspa plugins.
 .
 Documentation page : https://lsp-plug.in/?page=manuals

Package: lsp-plugins-lv2
Description-md5: e04eb2b351a9f2f6f87dc8e36c5852c1
Description-en: LSP (Linux Studio Plugins) audio-plugins (LV2 plugins)
 This package provide 91 plugins.
 .
 Audio effects: limiters, dynamic processors, expanders, gates,
 graphic equalisers, impulse responses, impulse reverbs, compressors,
 multiband compressors, parametric equalisers, phase detector,
 sidechain multiband compressors, sidechain limiters,
 sidechain dynamic processors, sidechain expanders, sidechain gates,
 sidechain compressors, slapback delays, delay compensators, triggers.
 .
 Synths: samplers, and multisamplers.
 .
 Tools: latency meters, oscillators, profiler, spectrum analyzers,
 and MIDI triggers
 .
 This package provides the LV2 plugins.
 .
 Documentation page : https://lsp-plug.in/?page=manuals

Package: lsp-plugins-vst
Description-md5: 29f32e46eb9d8b5a0b2b3bdf1d7c1fb6
Description-en: LSP (Linux Studio Plugins) audio-plugins (VST plugins)
 This package provide 91 plugins.
 .
 Audio effects: limiters, dynamic processors, expanders, gates,
 graphic equalisers, impulse responses, impulse reverbs, compressors,
 multiband compressors, parametric equalisers, phase detector,
 sidechain multiband compressors, sidechain limiters,
 sidechain dynamic processors, sidechain expanders, sidechain gates,
 sidechain compressors, slapback delays, delay compensators, triggers.
 .
 Synths: samplers, and multisamplers.
 .
 Tools: latency meters, oscillators, profiler, spectrum analyzers,
 and MIDI triggers
 .
 This package provides the VST plugins.
 .
 Documentation page : https://lsp-plug.in/?page=manuals

Package: lswm
Description-md5: e8b7b09d4e73830e23a5f013b5160c13
Description-en: wiimote discover utility
 CWiid is a working userspace driver along with various
 applications implementing event drivers, multiple wiimote
 connectivity, gesture recognition, and other Wiimote-based
 functionality.
 .
 This package provides an utility to discover new wiimotes.

Package: lsyncd
Description-md5: b50c48762e5bbefa2bd6a930543472c8
Description-en: daemon to synchronize local directories using rsync
 Lsyncd (Live syncing mirror daemon) uses rsync to synchronize local
 directories with a remote machine running rsyncd. Lsyncd watches
 multiple directories trees through inotify. The first step after
 adding the watches is to rsync all directories with the remote host,
 and then sync single file by collecting the inotify events. So lsyncd
 is a light-weight live mirror solution that should be easy to install
 and use while blending
 well with your system.

Package: lto-blacklist
Description-md5: 25a201bc688ceafdc47fa6c0e7cfbee4
Description-en: list of packages not to build with LTO
 A list of source packages not to build with link time
 optimization (LTO).

Package: ltpanel
Description-md5: 49d718ccb2573d5d0e0fa2380fb96904
Description-en: lightweight tasklist panel for minimalist WMs
 ltpanel is a lightweight window list for the X Window System, similar
 to GNOME's window list applet. It has been tested on IceWM and fvwm.
 It should work with any GNOME-compliant Window Manager and is based
 on Peter Zelezny's fspanel.

Package: ltris
Description-md5: ba5974efd7c79972f5f5422957b41085
Description-en: very polished Tetris clone with CPU opponents
 LTris is a very polished tetris clone, which offers three
 types of games. The classic mode, a figures mode, where
 different figures appear every level, and a multiplayer
 mode. LTris is highly configurable through its menu
 system.

Package: ltrsift
Description-md5: f8bcb0ba51b73813c0218d80b77db274
Description-en: postprocessing and classification of LTR retrotransposons
 LTRsift is a graphical desktop tool for semi-automatic postprocessing of de
 novo predicted LTR retrotransposon annotations, such as the ones generated by
 LTRharvest and LTRdigest. Its user-friendly interface displays LTR
 retrotransposon candidates, their putative families and their internal
 structure in a hierarchical fashion, allowing the user to "sift" through the
 sometimes large results of de novo prediction software. It also offers
 customizable filtering and classification functionality.

Package: ltsp
Description-md5: 2429d6d70179c3f009b438a7aceba7a7
Description-en: Linux Terminal Server Project
 Make an installation able to netboot as an LTSP client.
 For LTSP servers, also install the suggested packages (dnsmasq etc).
 .
 LTSP helps in netbooting LAN clients from a single installation that resides
 in a chroot or a VM on the LTSP server. This way maintaining tens or hundreds
 of clients is as easy as maintaining a single PC.

Package: lttng-modules-dkms
Description-md5: a5bcab8927cca4938e9c6c31dce67928
Description-en: Linux Trace Toolkit (LTTng) kernel modules (DKMS)
 The LTTng project aims at providing highly efficient tracing tools for Linux.
 Its tracers help tracking down performance issues and debugging problems
 involving multiple concurrent processes and threads. Tracing across multiple
 systems is also possible.
 .
 This package contains the LTTng kernel modules. These provide additional
 instrumentation (not found in the mainline kernel), the ring buffer library,
 the tracer itself, and some additional utilities.
 .
 Installing on kernel versions prior to 2.6.38 might be possible, but has not
 been tested.

Package: lttng-tools
Description-md5: 7b1ddadc5c0e006d514c38704904991e
Description-en: LTTng control and utility programs
 The LTTng project aims at providing highly efficient tracing tools for Linux.
 Its tracers help tracking down performance issues and debugging problems
 involving multiple concurrent processes and threads. Tracing across multiple
 systems is also possible.
 .
 This package provides the unified interface to control both the LTTng kernel
 and userspace (UST) tracers.

Package: lttoolbox
Description-md5: 314bf20f5ff1044bb2d3cbd3563f1f24
Description-en: Apertium lexical processing modules and tools
 The lttoolbox contains the augmented letter transducer tools for natural
 language processing used by Apertium, a platform for building rule-based
 and hybrid machine translation systems. The software is also useful
 for making morphological analysers and generators for natural language
 processing applications.

Package: lttoolbox-dev
Description-md5: 8d2d3a3883943ba1ca74534db4456864
Description-en: Development tools and library for lttoolbox
 The lttoolbox contains the augmented letter transducer tools for natural
 language processing used by Apertium, a platform for building rule-based
 and hybrid machine translation systems. The software is also useful
 for making morphological analysers and generators for natural language
 processing applications.
 .
 This package provides development files for lttoolbox.

Package: lttv
Description-md5: 56f6c8024a6ff268b81d1f4b9820d713
Description-en: Linux Trace Toolkit Viewer
 The LTTng project aims at providing highly efficient tracing tools for Linux.
 Its tracers help track down performance issues and debugging problems
 involving multiple concurrent processes and threads. Tracing across multiple
 systems is also possible.
 .
 The Linux Trace Toolkit Viewer (LTTV) is the second generation of the
 visualization tool. It is based on a trace format (the files where the data
 is recorded on disk) written by the LTTng tracer.
 .
 This package contains the trace reading library and trace viewing tools for
 the new Linux Trace Toolkit trace format.

Package: lua-ansicolors
Description-md5: ccb20abfcc87301470e17b57844d94f2
Description-en: simple Lua function for printing to the console in color
 provides the 'ansicolors' function which allows the Lua programmer to
 output colored (both foreground and background) and stylized text.
 .
 One can use standard terminal colors: black, red, green, yellow, blue,
 magenta, cyan, white.
 .
 The following styles are also available: bright, dim, underline, blink,
 reverse, hidden, reset.

Package: lua-any
Description-md5: 460f3734983aa47caee270d230ccd68e
Description-en: helper script for shebang lines in Lua scripts
 Some Lua script do actually work with many, but not all, Lua versions
 in the Debian archive.  This package contains the lua-any interpreter to be
 used in the shebang lines of such scripts. lua-any reads metadata stored in
 comments in the Lua script and selects an appropriate Lua interpreter.

Package: lua-apr
Description-md5: a014dc7b81d5ce9562f944a483b6fea3
Description-en: Apache Portable Runtime library for the Lua language
 This package contains the bindings for the Apache Portable Runtime library.

Package: lua-apr-dev
Description-md5: 75c93ae94bc6d0460bd1007539cd7a89
Description-en: Lua development files for the Apache Portable Runtime library
 This package contains the development files of the Apache Portable Runtime
 library bindings, useful to create a statically linked binary (like a
 C application or a standalone Lua interpreter).

Package: lua-apr-doc
Description-md5: ae8bd513a9c529e3e6ca3411a33942be
Description-en: Lua documentation files for the Apache Portable Runtime library
 Documentation of lua-apr is shipped in this package.

Package: lua-argparse
Description-md5: 120209fdfca6c33aa99022cc7204987c
Description-en: feature-rich command line parser for Lua language
 Argparse supports positional arguments, options, flags, optional arguments,
 subcommands and more. Argparse automatically generates usage, help and error
 messages.

Package: lua-augeas
Description-md5: 6369146c84b84efa4daf8703aea62a3c
Description-en: Lua binding to the Augeas C API
 lua-augeas is a Lua binding to Augeas. Augeas is a configuration
 editing tool. It parses configuration files in their native formats
 and transforms them into a tree. Configuration changes are made by
 manipulating this tree and saving it back into native config files.

Package: lua-axtlsl
Description-md5: 5699bff9a1c248d4ffd7c138404dbb75
Description-en: Highly configurable client/server TLSv1.2 library (Lua binding)
 The axTLS embedded SSL project is a highly configurable client/server TLSv1.2
 library designed for platforms with small memory requirements, and is suited
 to embedded projects. It comes with a small HTTP/HTTPS server and additional
 test tools.
 .
 This package contains the Lua binding.

Package: lua-basexx
Description-md5: 41ea75db4ce7b0e912e54d9d360b30f2
Description-en: baseXX encoding/decoding library for Lua
 Lua library for base2, base16, base32, base64, base85 decoding and
 encoding of data strings.

Package: lua-bit32
Description-md5: 5d7bd0e52b6f7294ac9a46ce024d7359
Description-en: Backport of the Lua 5.2 bit32 library to Lua 5.1
 This package provides the bit32 library for Lua 5.1, a back
 port of the bit32 library of Lua 5.2 and 5.3.  Such library
 implements bitwise operations on 32 bit integers.

Package: lua-bit32-dev
Description-md5: d5d938e4d13eeaee9702899b2817c275
Description-en: Development files for the bit32 library for Lua 5.1
 This package contains the development files of the bit32 library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).

Package: lua-bitop
Description-md5: f334595b41b0fe5141a0457c868d6671
Description-en: fast bit manipulation library for the Lua language
 This package contains the Lua bitop fast bit manipulation library.

Package: lua-bitop-dev
Description-md5: 4ae78b74d17896643753a0d6f9b4978d
Description-en: fast bit manipulation library devel files for the Lua language
 This package contains the development files of the Lua bitop fast bit
 manipulation library, useful to create a statically linked binary (like a C
 application or a standalone Lua interpreter).  Documentation is also shipped
 within this package.

Package: lua-busted
Description-md5: a9c0a5f4f7a1779e49ed6e40aaf8bfde
Description-en: Lua unit testing framework focused on ease of use
 busted test specs read naturally without being too verbose. You can
 even chain asserts and negations, such as assert.not.equals. Nest
 blocks of tests with contextual descriptions using describe, and add
 tags to blocks so you can run arbitrary groups of tests.
 .
 An extensible assert library allows you to extend and craft your own
 assert functions specific to your case with method chaining. A modular
 output library lets you add on your own output format, along with the
 default pretty and plain terminal output, JSON with and without
 streaming, and TAP-compatible output that allows you to run busted
 specs within most CI servers. You can even register phrases for
 internationaliation with custom or built-in language packs.

Package: lua-cgi
Description-md5: 562089ac57f1c001358d3459f66314ea
Description-en: CGI library for the Lua language
 CGILua is a tool for creating dynamic Web pages and manipulating input data
 from Web forms. CGILua allows the separation of logic and data handling from
 the generation of pages, making it easy to develop web applications with Lua.
 .
 CGILua requires a SAPI implementation to work.  The lua-wsapi packages
 provides a few ready to use SAPI implementations.
 .
 This package also contains the documentation for the Lua CGI library.

Package: lua-check
Description-md5: 5cd990f162a8b2eb4ec8a1cc7772d8b8
Description-en: static analyzer and a linter for the Lua language
 Luacheck is a static analyzer and a linter for Lua which
 detects issues such as usage of undefined global variables,
 unused variables and values, etc. It is fairly configurable
 and can be used as a part of automated testing, manually
 from the command line or inside an editor.

Package: lua-cjson
Description-md5: bf5a330d7de5099f6f173e592c725e29
Description-en: JSON parser/encoder for Lua
 This library allows you to easily convert Lua values to JSON strings and back.
 Features:
  * Fast, standards compliant encoding/parsing routines
  * Full support for JSON with UTF-8, including decoding surrogate pairs
  * Optional run-time support for common exceptions to the JSON
    specification (infinity, NaN,..)
  * No dependencies on other libraries

Package: lua-cjson-dev
Description-md5: 54de1dd3be7d804a0405e38a6ef83876
Description-en: JSON parser/encoder for Lua, development files
 This package contains the development files of the lua-cjson library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua-cliargs
Description-md5: 54617d855e7bcb075992045bb54488e3
Description-en: command-line argument parsing module for Lua
 This module adds support for accepting CLI arguments easily using multiple
 notations and argument types.
 .
 cliargs allows you to define required, optional, and flag arguments.

Package: lua-clod
Description-md5: 25341ef91fcfcc034c93c7b615a4b2b7
Description-en: Configuration Language Organised (by) Dots
 Clod is a configuration language written in Lua which implements a simple
 dotted key/value pair system which tries to keep programmatic edits in-line
 with what humans would do in a similar situation.

Package: lua-clod-doc
Description-md5: 5ac81525fb3bc61811a79f10636270a6
Description-en: Documentation for lua-clod
 Clod is a configuration language written in Lua which implements a simple
 dotted key/value pair system which tries to keep programmatic edits in-line
 with what humans would do in a similar situation.
 .
 This package contains the documentation on how to use the Clod library.

Package: lua-compat53
Description-md5: 8f871566fc0ce7af603137b68b4b82bf
Description-en: Lua-5.3-style APIs for Lua 5.2 and 5.1
 This is a small module that aims to make it easier to write code in a
 Lua-5.3-style that is compatible with Lua 5.1, Lua 5.2, and Lua
 5.3. This does not make Lua 5.2 (or even Lua 5.1) entirely compatible
 with Lua 5.3, but it brings the API closer to that of Lua 5.3.

Package: lua-compat53-dev
Description-md5: 926aa51110677d3b3222d598edefea76
Description-en: Lua-5.3-style APIs for Lua 5.2 and 5.1 (development files)
 This is a small module that aims to make it easier to write code in a
 Lua-5.3-style that is compatible with Lua 5.1, Lua 5.2, and Lua
 5.3. This does not make Lua 5.2 (or even Lua 5.1) entirely compatible
 with Lua 5.3, but it brings the API closer to that of Lua 5.3.
 .
 This package provides the static library and header files for lua-compat53

Package: lua-copas
Description-md5: a12751e428de037f7a3edf69f08d20b1
Description-en: Copas is a dispatcher of concurrent TCP/IP requests
 Copas is a dispatcher of concurrent TCP/IP requests based on corutines.
 A server registered with Copas should provide a handler for requests and use
 Copas socket functions to send the response. Copas loops through requests and
 invokes the corresponding handlers.
 .
 This package also contains the documentation of copas.

Package: lua-cosmo
Description-md5: bde6e4328fd41f081949b96243adec9d
Description-en: Template library for the Lua language
 The cosmo library defines a textual template language and provides functions
 to instantiate such templates filling variable with their actual values.
 .
 The package also contains the documentation.

Package: lua-coxpcall
Description-md5: de4d22d66d3ca41f2bad78b9dc1c712e
Description-en: Protected function calls across coroutines for Lua
 This package contains coxpcall, a Lua library that extends the protected
 call mechanism typical of Lua (xpcall and pcall) allowing it to cross
 coroutines boundaries.
 .
 This package also contains the documentation.

Package: lua-cqueues
Description-md5: 40258b528b8a0bd5aef71a8dcf48f56a
Description-en: event loop for Lua
 cqueues is a type of event loop for Lua, except it's not a classic
 event loop. It doesn't use callbacks—neither as part of the API nor
 internally—but instead you communicate with an event controller by
 the yielding and resumption of Lua coroutines using objects that
 adhere to a simple interface.

Package: lua-cqueues-dev
Description-md5: 22f80886268f2c6fe760261363688653
Description-en: event loop for Lua (development package)
 cqueues is a type of event loop for Lua, except it's not a classic
 event loop. It doesn't use callbacks—neither as part of the API nor
 internally—but instead you communicate with an event controller by
 the yielding and resumption of Lua coroutines using objects that
 adhere to a simple interface.

Package: lua-curl
Description-md5: 19ae034f10b9622c019fca2ce7934638
Description-en: libcURL bindings for the Lua language
 This package contains the bindings for the cURL library.
 Currently many different implementations of cURL bindings are available,
 this package includes the one developed for the FreePOPs project
 (see <http://www.freepops.org>).

Package: lua-curl-dev
Description-md5: cbfdf596921a9a54acc3d7a66d8b411b
Description-en: libcURL development files for the Lua language
 This package contains the development files of the libcURL Lua bindings,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-curses
Description-md5: 88a0117af6c8f0547c21c03b6478ae9c
Description-en: curses library bindings for the Lua language
 This package contains bindings for the ncurses library for the Lua language.
 It allows one to build simple interfaces on a text terminal.
 .
 This package used to be part of the lua-posix source package.

Package: lua-curses-dev
Description-md5: d720eb3adf108ecadc61ee0897887b6f
Description-en: Development files for the lua-curses library
 This package contains the development files of the lua-curses library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-cyrussasl
Description-md5: f5b686eef47b0a5a0246bc446cee6028
Description-en: Cyrus SASL library for the Lua language
 This package contains the Lua bindings for the Cyrus SASL APIs.

Package: lua-cyrussasl-dev
Description-md5: f2e4e0dc466dae79ed2452fcfe03b697
Description-en: Cyrus SASL development files for the Lua language
 This package contains the development files of the lua Cyrus SASL library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua-dbi-common
Description-md5: a6a8f582fb11d9a1e9da30806d6fca89
Description-en: DBI library for the Lua language, common files
 Lua DBI is a database interface library for Lua. It is designed to provide a
 RDBMS agnostic API for handling database operations. LuaDBI also provides
 support for prepared statement handles, placeholders and bind parameters for
 all database operations.
 .
 This package contains the files common to all backends.
 .
 Lua DBI is a better alternative to lua-sql since it supports prepared
 statements.

Package: lua-dbi-mysql
Description-md5: 18966a711d55306753ffd6a556b55200
Description-en: DBI library for the Lua language, MySQL backend
 Lua DBI is a database interface library for Lua. It is designed to provide a
 RDBMS agnostic API for handling database operations. LuaDBI also provides
 support for prepared statement handles, placeholders and bind parameters for
 all database operations.
 .
 This package contains the MySQL backend.
 .
 Lua DBI is a better alternative to lua-sql since it supports prepared
 statements.

Package: lua-dbi-mysql-dev
Description-md5: ee173d55b72f8a558cd66acbae087c29
Description-en: DBI library for the Lua language, MySQL development files
 This package contains the development files of the Lua DBI library
 (MySQL backend), useful to create a statically linked binary
 (like a C application or a standalone Lua interpreter).

Package: lua-dbi-postgresql
Description-md5: 9fb07bef29054567fce10ef799a3fbe3
Description-en: DBI library for the Lua language, PostgreSQL backend
 Lua DBI is a database interface library for Lua. It is designed to provide a
 RDBMS agnostic API for handling database operations. LuaDBI also provides
 support for prepared statement handles, placeholders and bind parameters for
 all database operations.
 .
 This package contains the PostgreSQL backend.
 .
 Lua DBI is a better alternative to lua-sql since it supports prepared
 statements.

Package: lua-dbi-postgresql-dev
Description-md5: 6eb54280dd722a9384e806b9307ba1ba
Description-en: DBI library for the Lua language, PostgreSQL development files
 This package contains the development files of the Lua DBI library
 (PostgreSQL backend), useful to create a statically linked binary
 (like a C application or a standalone Lua interpreter).

Package: lua-dbi-sqlite3
Description-md5: f52d2bec3476081e5c35e00ccd3bce5d
Description-en: DBI library for the Lua language, sqlite3 backend
 Lua DBI is a database interface library for Lua. It is designed to provide a
 RDBMS agnostic API for handling database operations. LuaDBI also provides
 support for prepared statement handles, placeholders and bind parameters for
 all database operations.
 .
 This package contains the sqlite3 backend.
 .
 Lua DBI is a better alternative to lua-sql since it supports prepared
 statements.

Package: lua-dbi-sqlite3-dev
Description-md5: 40e81a933f2450764b3924ae0310131d
Description-en: DBI library for the Lua language, sqlite3 development files
 This package contains the development files of the Lua DBI library
 (Sqlite3 backend), useful to create a statically linked binary
 (like a C application or a standalone Lua interpreter).

Package: lua-discount
Description-md5: ff7f934164d13a57f63ba7cbd8bf2dfb
Description-en: Discount library for the Lua language
 A binding to Discount, a fast C implementation of the Markdown text to HTML
 markup system which passes the Markdown testsuite.

Package: lua-discount-dev
Description-md5: fedb95f0b2b4e1fe688ee4f9004b303c
Description-en: discount development files for the Lua language
 This package contains the development files of the discount library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua-dkjson
Description-md5: 30e16bd570bad819ef7fc20e88701480
Description-en: JSON module written in Lua
 dkjson is a JSON (JavaScript Object Notation) encoder / decoder. It supports
 UTF-8.
 .
 It is written in Lua without any dependencies, but when LPeg (provided in
 Debian by lua-lpeg) is installed dkjson uses it to speed up decoding.

Package: lua-doc
Description-md5: 6c412786e264ac54150c55be8c4148ba
Description-en: Documentation generator for lua
 LuaDoc is a documentation generator tool for Lua source code.
 It parses the declarations and documentation comments in a
 set of Lua source files and produces a set of XHTML pages describing the
 commented declarations and functions.
 .
 The output is not limited to XHTML. Other formats can be generated by
 implementing new doclets. The format of the documentation comments
 is also flexible and can be customized by implementing new
 taglets.
 .
 This package also contains the documentation for luadoc, describing the
 supported syntax and how to customize the tool input (taglets) and output
 (doclets).

Package: lua-event
Description-md5: 2a5ed780197eb4b24b8d67b50492af87
Description-en: asynchronous event notification library for Lua
 This package contains the bindings for libevent, a synchronous event
 notification library that provides a mechanish to execute a callback function
 when a specific event occurs on a file descriptor or after a timeout has been
 reached.

Package: lua-event-dev
Description-md5: 060f034815c48219c5e5d9eedf64829e
Description-en: libevent development files for the Lua language
 This package contains the development files of the libevent Lua
 bindings, useful to create a statically linked binary (like a C application or
 a standalone Lua interpreter). Documentation is also shipped within this
 package.

Package: lua-expat
Description-md5: 93c593cbe60468877254f15b1abd0f63
Description-en: libexpat bindings for the Lua language
 This package contains the bindings for the expat library.

Package: lua-expat-dev
Description-md5: fa3c4b51c33dacd59b35f66adb795211
Description-en: libexpat development files for the Lua language
 This package contains the development files of the libexpat Lua bindings,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua-fifo
Description-md5: 6a598911aecfb7e218ef7fc1333cd6e2
Description-en: FIFO implementation for Lua
 A lua library/'class' that implements a FIFO. Objects in the fifo can
 be of any type, including nil.

Package: lua-filesystem
Description-md5: 7c042a7d2ed7e4f5a219e8914155adbd
Description-en: luafilesystem library for the Lua language
 This package contains the luafilesystem library, a set of portable functions
 for directory creation, listing and deletion and for file locking.

Package: lua-filesystem-dev
Description-md5: ae327f8014a0b87b7ce2d8f5d3791e61
Description-en: luafilesystem development files for the Lua language
 This package contains the development files of the luafilesystem library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua-gall
Description-md5: 40df03d5bbad83f49ca34f23d482d660
Description-en: Git Abstraction Layer for Lua
 Gall is a Git abstraction layer for Lua which implements a set of Lua objects
 which can interact with git repositories (via libgit2 where possible).
 .
 Gall allows a Lua program to examine refs and objects, and to fully create
 git commits without needing to create a working tree on disk.

Package: lua-gall-doc
Description-md5: bc7d3511187921d76e4e04f90fea7c60
Description-en: Documentation for lua-gall
 Gall is a Git abstraction layer for Lua which implements a set of Lua objects
 which can interact with git repositories (via libgit2 where possible).
 .
 Gall allows a Lua program to examine refs and objects, and to fully create
 git commits without needing to create a working tree on disk.
 .
 This package contains the documentation on how to use the Gall library.

Package: lua-geoip
Description-md5: 15f754603a846efd48a7fed267808b37
Description-en: GeoIP library bindings for the Lua language
 This package contains bindings for the GeoIP library for the Lua language. It
 allows one to find the country and the city that any IP address or hostname
 originates from. It uses a file based database, not reverse DNS lookups.

Package: lua-geoip-dev
Description-md5: abf9ff6dd31c1edf4a22abdf65685076
Description-en: Development files for lua-geoip library
 This package contains the development files of the lua-geoip library, useful
 to create a statically linked binary (like a C application or a standalone Lua
 interpreter)..
 .
 Documentation is also shipped within this package.

Package: lua-guestfs
Description-md5: 5002198b3678c7401771813a5f89a4fc
Description-en: guest disk image management system - Lua bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the Lua bindings.

Package: lua-hamlib2
Description-md5: 40fba0740af5fb55f64a82ca18f8dccb
Description-en: Run-time Lua library to control radio transceivers and receivers
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the Lua bindings of the library.

Package: lua-http
Description-md5: ba8fe4f7f3eb454bb2f9442f66e88048
Description-en: HTTP library for Lua
 lua-http is an HTTP library for Lua, it supports: both client and
 server operations, both HTTP 1 and HTTP 2.

Package: lua-iconv
Description-md5: 064d6cb493e436b0668fe8e427772b4a
Description-en: iconv bindings for the Lua programming language
 This package provides POSIX 'iconv' bindings for the Lua programming language.
 It converts a sequence of characters from one codeset into a sequence of
 corresponding characters in another codeset.

Package: lua-iconv-dev
Description-md5: 71ed6dd119595fff19e7251ee35dfa4d
Description-en: iconv bindings for Lua - development files
 This package contains the development files of the Lua iconv
 library bindings, useful to create a statically linked binary (like a
 C application or a standalone Lua interpreter).

Package: lua-inifile
Description-md5: 09112a057eaab6d39455d503343ac75a
Description-en: simple, complete ini parser for Lua
 inifile is a simple, complete ini parser for Lua that intends to preserve
 as much information as possible, like the order of the file and the stored
 comments. It is also out-of-the-box compatible with LÖVE.

Package: lua-inotify
Description-md5: 3a8fb85296e3f3fc3a5468a5c465bf28
Description-en: inotify bindings for Lua
 This package contains Lua bindings for the Linux inotify API.
 .
 The inotify API lets you monitor filesystem events (access, update, creation,
 deletion, rename, etc.) on watched paths (both files and directories).

Package: lua-inotify-dev
Description-md5: cb679a865d12e9006c421e269c2e1d26
Description-en: inotify bindings for Lua (development files)
 This package contains the development files of the lua-inotify library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-inspect
Description-md5: 7ab83bc631dd6d2096cb17ae2f002884
Description-en: Lua table visualizer, ideal for debugging
 This library transforms any Lua value into a human-readable
 representation. It is especially useful for debugging errors in tables.
 .
 The objective here is human understanding (i.e. for debugging), not
 serialization or compactness.

Package: lua-json
Description-md5: 162241f968ff409b5d7d3a97a0b55ed2
Description-en: JSON decoder/encoder for Lua
 LuaJSON is a customizable JSON decoder/encoder using LPEG for parsing.

Package: lua-lace
Description-md5: b68195d629c96774fce5465330237696
Description-en: Lua Access Control Engine
 Lace is an access control engine written in Lua which implements a squid-like
 ACL system for Lua programs to use in controlling access to resources.
 .
 Lace provides a parser of rulesets and an engine to execute the parsed
 rulesets.  It relies on the calling application to provide access
 control types and then Lace runs the boolean logic and returns an
 allow/deny result along with the location of the decision and any
 description provided by it.

Package: lua-lace-doc
Description-md5: fc92cffaf9efcb47382e883a54a4fa9b
Description-en: Documentation for lua-lace
 Lace is an access control engine written in Lua which implements a squid-like
 ACL system for Lua programs to use in controlling access to resources.
 .
 Lace provides a parser of rulesets and an engine to execute the parsed
 rulesets.  It relies on the calling application to provide access
 control types and then Lace runs the boolean logic and returns an
 allow/deny result along with the location of the decision and any
 description provided by it.
 .
 This package contains the documentation on how to use the Lace library.

Package: lua-ldap
Description-md5: a7723a05f46b606e49686db915abfe7e
Description-en: LDAP library for the Lua language
 This package contains the Lua LDAP library to:
  * Connect to an LDAP server (OpenLDAP or ADSI/WinLDAP);
  * Execute any operation (search, add, compare, delete, modify
    and rename);
  * Retrieve entries and references of the search result.
 .
 This package contains the runtime library.

Package: lua-ldap-dev
Description-md5: 50f6fef1e0cebb2fe721362f90ec1ebf
Description-en: LDAP development files for the Lua language
 This package contains the development files of the Lua LDAP library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-ldoc
Description-md5: fa0c0f5531d9b0f9865a460f8a19e168
Description-en: LuaDoc-compatible documentation generation system
 LDoc is a LuaDoc-compatible documentation generation system for Lua source
 code. It parses the declaration and documentation comments in a set of Lua
 source files and produces a set of XHTML pages describing the commented
 declarations and functions.

Package: lua-leg
Description-md5: 4a353ac45c19ef78e3e506d06d2636e9
Description-en: Lua 5.1 grammar, with parsing and manipulation facilities
 This package contains leg, a Lua library exporting a complete Lua 5.1 grammar
 and a small API for user manipulation. It can be used to implement a macro
 preprocessor or any other task that needs to parse a .lua file.

Package: lua-leg-dev
Description-md5: f10fca9be11f49ab68ded404b5eac0a7
Description-en: Leg development files for the Lua language
 This package contains the documentation for the leg library.

Package: lua-lemock
Description-md5: 7ca4da063b7cfd15f398235165286a12
Description-en: LeMock (Lua Easy Mock) for unit test
 LeMock is a mock creation module intended for use together
 with a unit test framework such as lua-unit. It is inspired by
 EasyMock (for Java), and strives to be easy to use.

Package: lua-lemock-dev
Description-md5: 2f866562ca7edff2d7be72c27005e78b
Description-en: LeMock (Lua Easy Mock) for unit test
 LeMock is a mock creation module intended for use together
 with a unit test framework such as lua-unit. It is inspired by
 EasyMock (for Java), and strives to be easy to use.
 .
 This package contains docs and test files.

Package: lua-lgi
Description-md5: eaa3a2ec960107bd07135f18828e96ad
Description-en: Lua bridge to GObject based libraries
 LGI is gobject-introspection based dynamic Lua binding to GObject based
 libraries. It allows using GObject-based libraries directly from Lua.
 .
 Notable examples are GTK+, GStreamer and Webkit.

Package: lua-lgi-dev
Description-md5: 1e01093d756b917ca5144e202cd29ae6
Description-en: lgi development files for the Lua language
 This package contains the development files of the Lua lgi library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua-ljsyscall
Description-md5: b86bb0cb687fdd4986bcd8376d4605b5
Description-en: Unix system calls for LuaJIT
 A foreign function interface (FFI) implementation of the Linux, NetBSD,
 FreeBSD and OSX kernel ABIs for LuaJIT. This means you will be able to
 program all the functionality the Unix kernel provides to userspace
 directly in Lua. You can view it as a high level language equivalent of
 the Busybox project in a way, although the functionality it provides is
 somewhat different, and the interface very different.
 .
 This package also contains documentation.

Package: lua-logging
Description-md5: 1d024ee88656d28e4911686e95a1988e
Description-en: Logging library for the Lua language
 This package contains the Lua logging facility library, with backends for
 console, file, SQL, mail, socket. SQL and mail/socket support are available
 only installing respectively lua-sql-* and lua-socket packages.
 .
 This package contains the Lua logging facility library documentation files.

Package: lua-lpeg
Description-md5: 7b03d1547704cdb88762b282cc5bdeea
Description-en: LPeg library for the Lua language
 LPeg is a new pattern-matching library for Lua, based on Parsing Expression
 Grammars (PEGs). PEGs look similar to regular expressions or context-free
 grammars in Backus-Naur form (BNF) notation. PEGs make a good replacement for
 regular expressions, because they are strictly more powerful. For example, a
 regular expression inherently cannot find matched pairs of parentheses, because
 it is not recursive, but a PEG can.

Package: lua-lpeg-dev
Description-md5: 02e27799dfab8f8b8cce85c2bd902e93
Description-en: LPeg development files for the Lua language
 This package contains the development files of the lpeg library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua-lpeg-patterns
Description-md5: 18bfdd254f8a389a2936a5d95bb767c7
Description-en: collection of LPEG patterns
 Collection of LPEG patterns used for:
  * Strict validation of user input
  * Searching free-form input

Package: lua-lpty
Description-md5: 691bfa9c64ebe6c04fc393ff2814d3a6
Description-en: PTY library for the Lua language
 This package gives the Language access to the pseudo terminal
 interface.

Package: lua-lpty-dev
Description-md5: 5cd2552c1862b97f72e6e5746ea0693d
Description-en: PTY library for the Lua language - development files
 This package contains the development files of the lpty library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-luaossl
Description-md5: 204ac4dfc0575bc9c61c4bc4268bb8b2
Description-en: OpenSSL bindings for Lua
 luaossl is a comprehensive binding to OpenSSL for Lua 5.1, 5.2, and
 later.
 .
 It includes support for certificate and key management, key
 generation, signature verification, and deep bindings to the
 distinguished name, alternative name, and X.509v3 extension
 interfaces.
 .
 It also binds OpenSSL's bignum, message digest, HMAC, cipher, and
 CSPRNG interfaces.

Package: lua-luaossl-dev
Description-md5: 0b12a5902211c7fcc55693acc5b91681
Description-en: OpenSSL bindings for Lua (development package)
 luaossl is a comprehensive binding to OpenSSL for Lua 5.1, 5.2, and
 later.
 .
 This package contains development files.

Package: lua-luassert
Description-md5: 0e2d0bbc0db9d29d32d7351af5248236
Description-en: Lua assertions extension
 luassert extends Lua's built-in assertions to provide additional tests and
 the ability to create your own.
 .
 It comes preloaded with argument formatters for common Lua types, but it is
 easy to roll your own.

Package: lua-luv
Description-md5: 995aa7161c4b3a09a0d6ceb9058e91c0
Description-en: libuv bindings for lua
 libuv is a multi-platform support library with a focus on asynchronous I/O.
 .
 This package provides libuv bindings for the Lua programming language.

Package: lua-luv-dev
Description-md5: cdcdd502b4544dfb51925aa3c83f118d
Description-en: libuv bindings for lua
 This package provide the static library for lua-luv

Package: lua-luxio
Description-md5: 1d0a55533142570c9cc08fa852e00582
Description-en: Posix bindings for Lua
 Lightweight UNIX I/O and POSIX binding for Lua
 .
  * Reasonably good coverage of POSIX and BSD Sockets, including IPv6,
    and some GNU extensions.
  * Low-level.  You get the return values and the errno for the bound
    functions where possible.  Others take a table to fill in, or
    may return tables.
  * High-level wrapper library providing nice IO access and to misc.
    utility functions.  Generates useful errors in assert()able form,
    and provides meaningful __tostring metamethods to aid debugging.
  * A high-level poll()-based event dispatch library.
  * Sub-process handling library (read/write io.popen with job control).
  * A prototype POSIX Message Queue-based IPC scheme that can serialise
    most simple Lua values.  (No closures, userdata, etc)

Package: lua-luxio-doc
Description-md5: 14ef8b9e6adf9d871839d3c4b5bbaf28
Description-en: Documentation for lua-luxio
 Lightweight UNIX I/O and POSIX binding for Lua
 .
  * Reasonably good coverage of POSIX and BSD Sockets, including IPv6,
    and some GNU extensions.
  * Low-level.  You get the return values and the errno for the bound
    functions where possible.  Others take a table to fill in, or
    may return tables.
  * High-level wrapper library providing nice IO access and to misc.
    utility functions.  Generates useful errors in assert()able form,
    and provides meaningful __tostring metamethods to aid debugging.
  * A high-level poll()-based event dispatch library.
  * Sub-process handling library (read/write io.popen with job control).
  * A prototype POSIX Message Queue-based IPC scheme that can serialise
    most simple Lua values.  (No closures, userdata, etc)
 .
 This package contains the documentation on how to use the Lua Luxio library.

Package: lua-luxio0
Description-md5: 2340bceeeb90f3b86c09c33974c78816
Description-en: Posix bindings for Lua (transitional package)
 Lightweight UNIX I/O and POSIX binding for Lua
 .
 This is a transitional package as the full content has been moved to the
 lua-luxio package.

Package: lua-lxc
Description-md5: a098f76ef28c4f60928555acc8b6b367
Description-en: Linux Containers userspace tools (Lua bindings)
 Containers are insulated areas inside a system, which have their own namespace
 for filesystem, network, PID, IPC, CPU and memory allocation and which can be
 created using the Control Group and Namespace features included in the Linux
 kernel.
 .
 This package contains the Lua bindings.

Package: lua-markdown
Description-md5: 7526f257a096b2d3844219a4d98f0847
Description-en: Pure Lua 5.1 implementation of the Markdown text-to-html markup
 This package contains markdown, a Lua library to translate the markdown syntax
 to HTML.
 .
 This package also contains the documentation.

Package: lua-md5
Description-md5: f0518f7d2ee8a330ac37a2f57e7e699d
Description-en: MD5 library for the Lua language
 This package contains a small crypto library for Lua. It comprises
 the MD5 and the DES56 algorithms.

Package: lua-md5-dev
Description-md5: f08bb084ba0dfc915e3c19e1b944bc2a
Description-en: MD5 library for the Lua language
 This package contains the development files of the Lua md5 library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-mediator
Description-md5: 82a399ccf3795e3db7cf1008ea6ad42d
Description-en: Mediator pattern implementation in Lua
 mediator_lua is a simple class that allows you to listen to events by
 subscribing to and sending data to channels.
 .
 Its purpose is to help you decouple code where you might otherwise have
 functions calling functions calling functions.

Package: lua-messagepack
Description-md5: c3c3d2d5779faac812392160b5f2bed1
Description-en: pure Lua implementation of MessagePack
 Lua module that provides an API to convert Lua data structures to MessagePack,
 and vice versa.
 .
 MessagePack is an efficient binary serialization format.
 .
 It lets you exchange data among multiple languages like JSON but it's faster,
 and smaller.

Package: lua-mmdb
Description-md5: e37848b113e8bfbbc51373a4d47dab56
Description-en: IP geolocation library for Lua
 Lua library for reading MaxMind's Geolocation database format.

Package: lua-mode
Description-md5: 7c2281c9d12b0fb77c058e7f0eb340bb
Description-en: Emacs mode for editing Lua programs -- transitional package
 This is a transitional package for elpa-lua-mode and can be safely
 removed after installation.

Package: lua-moses
Description-md5: ba728f9eb3144016e0e25e4e62c8e5d6
Description-en: Utility library for functional programming in Lua
 A Lua utility-belt library for functional programming. It complements
 the built-in Lua table library, making easier operations on arrays,
 lists, collections.
 .
 Moses was deeply inspired by Underscore.js.
 .
 Find a complete set of code examples in /usr/share/doc/lua-moses
 or browse it online http://yonaba.github.io/Moses/doc

Package: lua-mpack
Description-md5: 5319f8419e3848a6fff3b5d3444e7aec
Description-en: libmpack binding for the Lua language
 libmpack is a small binary serialization/RPC library that implements both the
 msgpack and msgpack-rpc specifications.
 .
 This package provides the Lua bindings based on libmpack.

Package: lua-nginx-cookie
Description-md5: 2b183064d66999e4dc99d7e4acb15930
Description-en: Pure Lua cookie parser for the nginx embedded Lua language
 This library parses HTTP Cookie header for Nginx and returns each field in
 the cookie.

Package: lua-nginx-dns
Description-md5: 7121b635aed9131fb8b38507a5e90ea5
Description-en: DNS resolver for the nginx embedded Lua language
 Lua DNS resolver for the ngx_lua based on the cosocket API.

Package: lua-nginx-kafka
Description-md5: d59bee9e6279e72ed5df76400a0ca819
Description-en: Pure Lua Kafka producer for the nginx embedded Lua language
 Lua kafka client driver for the ngx_lua based on the cosocket API.

Package: lua-nginx-memcached
Description-md5: 63a01bca6d12bfcd54b9378a7119ddbc
Description-en: Pure Lua memcached client driver for the nginx embedded Lua language
 This modules provides a pure Lua memcached driver implementation for the
 embedded nginx's Lua interpreter.
 .
 Because this module is based on the ngx_lua's cosocket API, it inherits
 the advantage of a real nonblocking behaviour running effectively on the
 underlying nginx server ultra-fast technology design, allowing Web
 developers making use of the Lua programming language to script and
 construct extremely high-performance web applications capable to handle
 10K+ connections.
 .
 Note that this module works for both nginx (provided by nginx-extras)
 and the OpenResty bundle.

Package: lua-nginx-redis
Description-md5: b26ae873b440303f49b0278351b8d2f7
Description-en: Pure Lua redis client driver for the nginx embedded Lua language
 This modules provides a pure Lua redis driver implementation for the
 embedded nginx's Lua interpreter.
 .
 Because this module is based on the ngx_lua's cosocket API, it inherits
 the advantage of a real nonblocking behaviour running effectively on the
 underlying nginx server ultra-fast technology design, allowing Web
 developers making use of the Lua programming language to script and
 construct extremely high-performance web applications capable to handle
 10K+ connections.
 .
 Note that this module works for both nginx (provided by nginx-extras)
 and the OpenResty bundle.

Package: lua-nginx-redis-connector
Description-md5: 8cc6f9716c5d3df45af58f086061e799
Description-en: Connection utilities for lua-nginx-redis
 Connection utilities for lua-resty-redis, making it easy and reliable to
 connect to Redis hosts, either directly or via Redis Sentinel.

Package: lua-nginx-string
Description-md5: 0deebe44c267a509c41ca038ae1f4cd4
Description-en: String utilities for the nginx embedded Lua language
 lua-nginx-string provides the string utilities and common hash functions for
 the nginx embedded Lua language.

Package: lua-nginx-websocket
Description-md5: ef6b854a369e378d5efecb37ceeae086
Description-en: Lua websocket client driver for the nginx embedded Lua language
 This modules provides a pure Lua websocket driver implementation for the
 embedded nginx's Lua interpreter.
 .
 Because this module is based on the ngx_lua's cosocket API, it inherits
 the advantage of a real nonblocking behaviour running effectively on the
 underlying nginx server ultra-fast technology design, allowing Web
 developers making use of the Lua programming language to script and
 construct extremely high-performance web applications capable to handle
 10K+ connections.
 .
 Note that this module works for both nginx (provided by nginx-extras)
 and the OpenResty bundle.

Package: lua-nvim
Description-md5: 39b5fae83281174427274c41cb985d5d
Description-en: Lua client for Neovim
 lua-nvim provices a mean to communicate with neovim sessions
 through Lua.

Package: lua-nvim-dev
Description-md5: 181b10e17588cc6d13f93c3da3d49ac5
Description-en: Lua client for Neovim
 This package provide the static library for lua-nvim

Package: lua-orbit
Description-md5: 3265694a95130fcd21ca1e83e0af5530
Description-en: MVC web framework for Lua
 Orbit is a library for developing web applications according to
 the Model-View-Controller paradigm in Lua.
 .
 Orbit also includes a basic OR mapper that currently only works with
 lua-sql SQLite3 driver.
 .
 This package also contains the documentation and some sample applications for
 the orbit MVC framework.

Package: lua-penlight
Description-md5: 70284d8f21a904e9ca448228f388057b
Description-en: Collection of general purpose libraries for the Lua language
 Penlight is a set of pure Lua libraries focusing on input data handling (such
 as reading configuration files), functional programming (such as map, reduce,
 placeholder expressions,etc), and OS path management. Much of the functionality
 is inspired by the Python standard libraries.

Package: lua-penlight-dev
Description-md5: ba6b28235d772cbbbd06e81a45d7afdb
Description-en: Collection of general purpose libraries for the Lua language
 Penlight is a set of pure Lua libraries focusing on input data handling (such
 as reading configuration files), functional programming (such as map, reduce,
 placeholder expressions,etc), and OS path management. Much of the functionality
 is inspired by the Python standard libraries.
 .
 This package contains the documentation of the penlight library.

Package: lua-posix
Description-md5: c55d799a13c404ad6e239ba6c922ae56
Description-en: posix library for the Lua language
 This package contains the Lua posix library, that includes some posix
 functions like fork, chmod, wait, sleep and kill.

Package: lua-posix-dev
Description-md5: 0093d0f81a653df03c384f11b857c49e
Description-en: posix development files for the Lua language
 This package contains the development files of the Lua posix library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua-redis
Description-md5: 70178e54060fa7212015e11979f3aca5
Description-en: Redis client database library for the Lua language
 This package contains the Redis Lua library, that allows one to
 interact with a Redis database from the Lua language.  This client
 supports Redis 1.2, command pipelining and transactions.

Package: lua-redis-dev
Description-md5: 07f5b5d083937815150bd51b2c470c48
Description-en: Redis client library for the Lua language, examples and tests
 Redis Lua library, that allows one to interact with a Redis database
 from the Lua language.
 .
 This package contains examples and test files for the Lua redis client
 library.

Package: lua-rex-doc
Description-md5: 7fddab6d8cffb75260d182587014d185
Description-en: documentation of the rex library for the Lua language
 Documentation of all lua-rex-* modules is shipped in this package.

Package: lua-rex-gnu
Description-md5: cb98834c81c487bbc519eaafc2c9a80b
Description-en: GNU regular expressions library for the Lua language
 This package provides bindings for GNU regular expressions to the Lua
 language.

Package: lua-rex-gnu-dev
Description-md5: 6469f50b12b6960d807a6f3e18a98cc9
Description-en: GNU development files for the Lua language
 This package contains the development files of the GNU lrexlib Lua
 bindings, useful to create a statically linked binary (like a C application or
 a standalone Lua interpreter).

Package: lua-rex-onig
Description-md5: f429ecc9cba5fabc9c8f17001f590d8b
Description-en: Onig regular expressions library for the Lua language
 This package provides bindings for Oniguruma regular expressions to the Lua
 language.

Package: lua-rex-onig-dev
Description-md5: 29607118cd5378a303ea483bead3a7bb
Description-en: Onig regex development files for the Lua language
 This package contains the development files of the Onig lrexlib Lua
 bindings, useful to create a statically linked binary (like a C application or
 a standalone Lua interpreter).

Package: lua-rex-pcre
Description-md5: 8a5222caa42784792e7bd7950ed63c55
Description-en: Perl regular expressions library for the Lua language
 This package provides bindings for Perl regular expressions to the Lua
 language.

Package: lua-rex-pcre-dev
Description-md5: c0a510c3d7ee8beb43b8f47f289163de
Description-en: PCRE development files for the Lua language
 This package contains the development files of the PCRE lrexlib Lua
 bindings, useful to create a statically linked binary (like a C application or
 a standalone Lua interpreter).

Package: lua-rex-posix
Description-md5: f05db483a2b452fa9c196b1dbfaecfac
Description-en: POSIX regular expressions library for the Lua language
 This package provides bindings for POSIX regular expressions to the Lua
 language.

Package: lua-rex-posix-dev
Description-md5: aa09d465a94c7a399bbcd4422e6aac20
Description-en: POSIX regex development files for the Lua language
 This package contains the development files of the POSIX lrexlib Lua
 bindings, useful to create a statically linked binary (like a C application or
 a standalone Lua interpreter).

Package: lua-rex-tre
Description-md5: ad5a09ac25cdd9f05e6000e5148338ec
Description-en: TRE regular expressions library for the Lua language
 This package provides bindings for TRE regular expressions to the Lua
 language.

Package: lua-rex-tre-dev
Description-md5: 8af7ac64c266d7985aefffc72dae10d7
Description-en: TRE development files for the Lua language
 This package contains the development files of the TRE lrexlib Lua
 bindings, useful to create a statically linked binary (like a C application or
 a standalone Lua interpreter).

Package: lua-rings
Description-md5: e78b3b211cbe5d4188b2e09b869a65f9
Description-en: Lua state creation and control library for the Lua language
 Rings is a library which provides a way to create new Lua states from within
 Lua. It also offers a simple way to communicate between the creator (master)
 and the created (slave) states.
 .
 This mechanism can be used to run chunks of code in an isolated
 Lua state, obtaining what is usually called a sandbox.

Package: lua-rings-dev
Description-md5: f6592423f57867cce9c10c303c9f2aa5
Description-en: Development files for the rings library for the Lua language
 This package contains the development files of the rings Lua library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-say
Description-md5: 72bb8d7a6e449e1fc52153769aa8a94d
Description-en: Lua string hashing/indexing library
 say is a simple string key/value store for i18n or any other case where you
 want namespaced strings.

Package: lua-scrypt
Description-md5: 7bf0fb3f2f2f169c5fa28a7ce5bffeff
Description-en: Lua binding to libscrypt
 Lua binding to the libscrypt implementation of the scrypt password-based key
 derivation function.
 .
 The library binds both the hash and verify operations.

Package: lua-scrypt-doc
Description-md5: 03ad28c8cec85f5500af357029ea0cf5
Description-en: Documentation for lua-scrypt
 Lua binding to the libscrypt implementation of the scrypt password-based key
 derivation function.
 .
 The library binds both the hash and verify operations.
 .
 This package contains the documentation on how to use the lua-scrypt library.

Package: lua-sec
Description-md5: 3648c2861866ca62351ebf260465c479
Description-en: SSL socket library for the Lua language
 This package contains the luasec library, that adds on top of
 the luasocket library SSL support.

Package: lua-sec-dev
Description-md5: 95875dc4dcd62516b6127a4a29ccc1b5
Description-en: SSL socket library devel files for the Lua language
 This package contains the development files of the Lua sec SSL socket library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).  Documentation is also shipped within this
 package.

Package: lua-soap
Description-md5: 7ac4121c149d91b76c0f2d91ddd8e45b
Description-en: SOAP library for the Lua language
 This package contains the Lua SOAP facility library.

Package: lua-socket
Description-md5: 16d98ec2922d284e0842f9c8bd770d31
Description-en: TCP/UDP socket library for the Lua language
 Luasocket provides a TCP/UDP socket library for the Lua language
 .
 Also provided are HTTP and SMTP implementations, a simple
 FTP implementation and some URL parsing functions.
 .
 Luasocket supports blocking and non-blocking sockets
 and also provides a select() interface to the language.

Package: lua-socket-dev
Description-md5: 7f537484cac50cca77df4a324d357f51
Description-en: luasocket development files for the Lua language
 This package provides the development headers and the static
 libraries for libluasocket.

Package: lua-sql-doc
Description-md5: 68f2d3fde19ad44e544002fcb296f404
Description-en: luasql documentation
 This package contains the documentation of the luasql library.

Package: lua-sql-mysql
Description-md5: fe012a44f9a6436b5745030508a70564
Description-en: luasql library for the Lua language
 This package contains the luasql library (MySQL backend).

Package: lua-sql-mysql-dev
Description-md5: ff9f9ae3e6177f7197059810f77ccbc3
Description-en: luasql development files for the Lua language
 This package contains the development files of the luasql library
 (MySQL backend), useful to create a statically linked binary
 (like a C application or a standalone Lua interpreter).

Package: lua-sql-odbc
Description-md5: 3eba3e959eb4a09901190725256b2b6c
Description-en: luasql library for the Lua language
 This package contains the luasql library (ODBC backend).

Package: lua-sql-odbc-dev
Description-md5: 8dd6e48785c1d6f2f07298557a057d19
Description-en: luasql development files for the Lua language
 This package contains the development files of the luasql library
 (ODBC backend), useful to create a statically linked binary
 (like a C application or a standalone Lua interpreter).

Package: lua-sql-postgres
Description-md5: fc45b5660e83a34000c49958be933f0b
Description-en: luasql library for the Lua language
 This package contains the luasql library (PostgreSQL backend).

Package: lua-sql-postgres-dev
Description-md5: 111ed6f985501b655c068e0f9e76968d
Description-en: luasql development files for the Lua language
 This package contains the development files of the luasql library
 (PostgreSQL backend), useful to create a statically linked binary
 (like a C application or a standalone Lua interpreter).

Package: lua-sql-sqlite3
Description-md5: 8c9cbeef5af5216b1c38c0a8cf17ed43
Description-en: luasql library for the Lua language
 This package contains the luasql library (Sqlite3 backend).

Package: lua-sql-sqlite3-dev
Description-md5: 5c3adb14b25097478ea0e6e91973a1a0
Description-en: luasql development files for the Lua language
 This package contains the development files of the luasql library
 (Sqlite3 backend), useful to create a statically linked binary
 (like a C application or a standalone Lua interpreter).

Package: lua-supple
Description-md5: 35891d723519d0f46a24d7f9395f2cf1
Description-en: Lua strict sandbox
 Supple is a very strict sandbox which runs Lua code on behalf of applications
 which cannot trust the code very much.
 .
 Supple relies on a number of techniques to sandbox the user code away from the
 host application, such as chroots, seccomp mode, interpreter sandboxing, and
 rlimits.

Package: lua-supple-doc
Description-md5: fefee76d86fbffe8436f74afcee37a5a
Description-en: Documentation for lua-supple
 Supple is a very strict sandbox which runs Lua code on behalf of applications
 which cannot trust the code very much.
 .
 Supple relies on a number of techniques to sandbox the user code away from the
 host application, such as chroots, seccomp mode, interpreter sandboxing, and
 rlimits.
 .
 This package contains the documentation on how to use the Supple library.

Package: lua-svn
Description-md5: 5c8f2a0dbb7c9c31132a7a0f326eb713
Description-en: Subversion library for the Lua language
 This package contains a Lua module to interact with a Subversion repository

Package: lua-svn-dev
Description-md5: b459920a19a78b93653a03fed2a03c7f
Description-en: Development files for the Subversion library for the Lua language
 This package contains the development files of the Subversion lua bindings,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-system
Description-md5: 76f0729a54e545fa1d170bf857e11cd3
Description-en: platform independent system call library for Lua
 luasystem is a platform independent system call library for Lua.
 .
 Currently the following functions are supported:
 .
  * gettime
  * sleep

Package: lua-system-dev
Description-md5: 284d5777822e74859a64e1190a5fa07c
Description-en: development files for lua-system
 This package provide the static library for lua-system

Package: lua-systemd
Description-md5: f2c5674f3e9c8f37ac6d3d61ee39235f
Description-en: Systemd bindings for Lua
 This package provides a library for working with systemd from scripts and
 daemons written in Lua.
 .
 Where necessary, the low level libsystemd functions have been bound in C.
 Higher level functions with more idiomatic Lua semantics are written in Lua on
 top of these C primitives.

Package: lua-systemd-dev
Description-md5: ef65fa0bec7b2d8e4c15359f45e59caf
Description-en: Development files for lua-systemd library
 This package contains the development files of the lua-systemd library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-term
Description-md5: 5588680131d117582e187f8634449251
Description-en: Lua module for manipulating a terminal
 lua-term provides a library interface to facilitate
 terminal operations and manipulations in Lua.

Package: lua-term-dev
Description-md5: c3b05c60446a7a58e74f6d533ab2220c
Description-en: Lua module for manipulating a terminal
 This package provide the development headers and static
 libraries for lua-term. Terminal operations for Lua.

Package: lua-tongue
Description-md5: c18556a9f8b08cc36159663e7ae85e0c
Description-en: Lua I18N library 'Tongue'
 Tongue is an internationalisation engine written in Lua which implements a
 hierarchical language pack system for Lua programs to use in localising
 messages into and out of themselves.

Package: lua-tongue-doc
Description-md5: 96ab1d49257fb95130c953d25002ae2a
Description-en: Documentation for lua-tongue
 Tongue is an internationalisation engine written in Lua which implements a
 hierarchical language pack system for Lua programs to use in localising
 messages into and out of themselves.
 .
 This package contains the documentation on how to use the Tongue library.

Package: lua-unit
Description-md5: 1b02cb6085dfc66705dec20e27e901dd
Description-en: Lua unit testing framework
 Luaunit is a unit-testing framework for Lua, in the spirit of many
 others unit-testing framework. Luaunit lets you write test functions,
 test classes with test methods and setup/teardown functionality.
 .
 Luaunit can output test failures using the TAP format, for easier
 integration into Continuous Integration platforms like Jenkins.

Package: lua-uri
Description-md5: 2f067e1b299674cfcd5d1337344886c3
Description-en: pure Lua library to normalize and validate URIs/URLs
 Provides methods for manipulating URIs in various ways.
 In particular, you can resolve relative URI references
 to create absolute URIs, and extract parts of URIs.

Package: lua-uri-dev
Description-md5: 398738737913e084de72f81bb9374318
Description-en: examples and test files for lua-uri
 Provides methods for manipulating URIs in various ways.
 In particular, you can resolve relative URI references
 to create absolute URIs, and extract parts of URIs.
 .
 This package contains examples and test files.

Package: lua-wsapi
Description-md5: 833c5e4925feb4c52094997b8641c6be
Description-en: Web server API abstraction layer for the Lua language
 WSAPI is an API that abstracts the web server for Lua web applications,
 allowing the same application to be used in different web servers.
 .
 This package contains the WSAPI utility libraries (for common tasks like url
 encoding/decoding) as well as the following backends (server implementations):
 .
  - CGI (the regular environment-variables based protocol)
  - xavante (for the Xavante web server)
 .
 The fastcgi backends is available in the separate package lua-wsapi-fcgi.

Package: lua-wsapi-doc
Description-md5: fc67ef5d4a8ebe151655b63983e59c9b
Description-en: wsapi documentation files for the Lua language
 This package contains the documentation of the wsapi library.

Package: lua-wsapi-fcgi
Description-md5: ab210bee0d16673c244defe95477ab82
Description-en: Web server API fastcgi backend
 WSAPI is an API that abstracts the web server for Lua web applications,
 allowing the same application to be used in different web servers.
 .
 This package contains the backend for the fastcgi protocol.

Package: lua-wsapi-fcgi-dev
Description-md5: e5754f6f5a2729e6661e040caf3eae3b
Description-en: wsapi fastcgi development files for the Lua language
 This package contains the development files of the wsapi library (extra
 backend), useful to create a statically linked binary (like a C application or
 a standalone Lua interpreter).

Package: lua-xmlrpc
Description-md5: 688ab1bff217adcd6b3e4ba5226cd76b
Description-en: xmlrpc library for the Lua language
 This package contains the Lua xmlrpc facility library, for both client
 and server mode.

Package: lua-yaml
Description-md5: 5f2d549a68a1f6585f279d2dd896c4de
Description-en: LibYAML binding for Lua
 fast C implementation for converting between %YAML 1.1
 and Lua tables, and a low-level YAML event parser for
 implementing more intricate YAML document loading.

Package: lua-yaml-dev
Description-md5: 514c05fb8ecb8b50826bebbe143fef24
Description-en: docs and test files for lua-yaml
 fast C implementation for converting between %YAML 1.1
 and Lua tables, and a low-level YAML event parser for
 implementing more intricate YAML document loading.
 .
 This package contains docs and test files.

Package: lua-zip
Description-md5: 7ce04aaac75a601a846c3b33d5837d55
Description-en: zip library for the Lua language
 This package contains the Lua zip library, that allows one to read
 compressed files from the Lua language.

Package: lua-zip-dev
Description-md5: e167aaf8aae4b78be2fbe903907a6be8
Description-en: zip development files for the Lua language
 This package contains the development files of the Lua zip library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 .
 Documentation is also shipped within this package.

Package: lua-zlib
Description-md5: 4c34610bf223b4fcbe18212a5a117e56
Description-en: zlib library for the Lua language
 This package contains the Lua zlib library, that allows one to read
 compressed files from the Lua language.

Package: lua-zlib-dev
Description-md5: eaf36ae1a10ddcd4490b5f5beb0ffd0a
Description-en: zlib development files for the Lua language
 This package contains the development files of the Lua zlib library,
 useful to create a statically linked binary (like a C application or a
 standalone Lua interpreter).
 Documentation is also shipped within this package.

Package: lua5.1
Description-md5: 130003bb49100d21ad1dd4ef09dee02f
Description-en: Simple, extensible, embeddable programming language
 Lua is a powerful, light-weight programming language designed for extending
 applications.  The language engine is accessible as a library, having a C
 API which allows the application to exchange data with Lua programs and also
 to extend Lua with C functions.  Lua is also used as a general-purpose,
 stand-alone language through the simple command line interpreter provided.
 .
 This package contains the Lua command line interpreter and bytecode compiler.
 Install it if you are developing or using Lua scripts.

Package: lua5.1-doc
Description-md5: b77cd91c50d3e390c2f3bf168f592cab
Description-en: Documentation for the Lua language version 5.1
 Lua is a powerful, light-weight programming language designed for extending
 applications.  The language engine is accessible as a library, having a C
 API which allows the application to exchange data with Lua programs and also
 to extend Lua with C functions.  Lua is also used as a general-purpose,
 stand-alone language through the simple command line interpreter provided.
 .
 This package contains the official manual covering the Lua language and C API,
 examples, etc.

Package: lua5.1-policy
Description-md5: 99f0ac0aad4814cf94f72e8cf51a328b
Description-en: Lua 5.1 Debian policy
 This package contains the Debian policy for the Debian packages relative to
 the lua5.1 scripting language.

Package: lua5.1-policy-dev
Description-md5: 63bfec055b352231cc40f6011a0310fb
Description-en: Lua 5.1 Debian policy - template and scripts
 This package contains some template/support files (like a generic Makefile, a
 generic test application...) that can be used by Lua libraries at compile
 time. It also includes a simple script that sets up an svn directory
 conforming to svn-buildpackage and populates it with the standard files needed
 to use lua5.1-policy-dev at build time. Obviously packages made using
 lua5.1-policy-dev will conform to the policy contained in lua5.1-policy.

Package: lua5.2
Description-md5: 130003bb49100d21ad1dd4ef09dee02f
Description-en: Simple, extensible, embeddable programming language
 Lua is a powerful, light-weight programming language designed for extending
 applications.  The language engine is accessible as a library, having a C
 API which allows the application to exchange data with Lua programs and also
 to extend Lua with C functions.  Lua is also used as a general-purpose,
 stand-alone language through the simple command line interpreter provided.
 .
 This package contains the Lua command line interpreter and bytecode compiler.
 Install it if you are developing or using Lua scripts.

Package: lua5.3
Description-md5: 130003bb49100d21ad1dd4ef09dee02f
Description-en: Simple, extensible, embeddable programming language
 Lua is a powerful, light-weight programming language designed for extending
 applications.  The language engine is accessible as a library, having a C
 API which allows the application to exchange data with Lua programs and also
 to extend Lua with C functions.  Lua is also used as a general-purpose,
 stand-alone language through the simple command line interpreter provided.
 .
 This package contains the Lua command line interpreter and bytecode compiler.
 Install it if you are developing or using Lua scripts.

Package: lua50
Description-md5: 91221d83093a78b8fa4f4fe48b9c397e
Description-en: Small embeddable language with simple procedural syntax
 Lua is a programming language originally designed for extending
 applications, but also frequently used as a general-purpose,
 stand-alone language.
 .
 Lua combines simple procedural syntax (similar to Pascal) with
 powerful data description constructs based on associative arrays and
 extensible semantics. Lua is dynamically typed, interpreted from
 bytecodes, and has automatic memory management, making it ideal for
 configuration, scripting, and rapid prototyping. Lua is implemented
 as a small library of C functions, written in ANSI C, and the
 implementation goals are simplicity, efficiency, portability, and low
 embedding cost.

Package: lua50-doc
Description-md5: 601759191142b6c68b94a8a32003b9bc
Description-en: Documentation for the Lua 5.0 programming language
 This is the documentation for the Lua 5.0 programming language.
 .
 This package includes the HTML versions of the manual pages
 and the language manual itself.

Package: luadoc
Description-md5: 05cce2391e4da3e074e5b09faf768134
Description-en: Documentation generation library for the Lua language
 LuaDoc is a documentation generator tool for Lua source code.
 It parses the declarations and documentation comments in a
 set of Lua source files and produces a set of XHTML pages describing the
 commented declarations and functions.
 .
 This package contains the luadoc library for Lua. For the command line
 utility, install the luadoc package.

Package: luajit
Description-md5: ea2ed48b1a4e9f9a88708b90989b759f
Description-en: Just in time compiler for Lua programming language version 5.1
 LuaJIT implements the full set of language features defined by Lua 5.1. The
 virtual machine (VM) is API- and ABI-compatible to the standard Lua interpreter
 and can be deployed as a drop-in replacement.
 .
 This package contains the standalone interpreter/jitter that can be used
 as a replacement for the standard lua5.1 interpreter.

Package: luakit
Description-md5: a3023cac1f7a01ade50f949db5b94777
Description-en: fast and small web browser extensible by Lua
 Luakit is a highly-configurable browser framework based on WebKitGTK+.
 It is very fast and extensible by Lua.  It is primarily targeted at power
 users, developers and any people with too much time on their hands who
 want to have fine-grained control over their web browser's behaviour and
 interface.

Package: luarocks
Description-md5: b888f425d832481f23d488080ca87698
Description-en: deployment and management system for Lua modules
 This package contains LuaRocks, a tool for managing rocks.  A Lua rock is a
 bundle containing a module and some metadata like compilation instructions and
 copyright. The command line utility luarocks can download, build, install and
 remove rocks, properly handling dependencies among them and allowing multiple
 versions of the same rock to coexist.
 .
 The tool installs system-wide rocks in /usr/local when run by the superuser,
 but a regular user can easily tune it to install rocks in his home directory.
 .
 This package also provides the luarocks-admin tool, needed to create a rocks
 repository, and the documentation for luarocks, describing the command line
 tools as well as the library to manipulate rocks.

Package: lubuntu-artwork
Description-md5: 78fc3d73c79834b9ab4d867905a100ab
Description-en: artwork for Lubuntu
 Artwork for Lubuntu which contains the default wallpaper and default theming.

Package: lubuntu-default-settings
Description-md5: 730943a528b08741e058cfc5a1b5d8f7
Description-en: default settings for Lubuntu
 Various system settings tailored for Lubuntu.

Package: lubuntu-desktop
Description-md5: 79e7324dd021f9bbeaa3b32f3186ebb2
Description-en: Lubuntu Desktop environment
 This metapackage depends on all components which make up the Lubuntu Desktop.
 .
 It is also used to help ensure proper upgrades, but it can be safely removed
 if you want to remove some applications installed by default.

Package: lubuntu-grub-theme
Description-md5: b48d902bfb7161d607f75c6de9a987d8
Description-en: Theme for Lubuntu grub screen
 Custom grub theme for Lubuntu. Inspired from
 breeze theme.

Package: lubuntu-update-notifier
Description-md5: bdc22c2e36d0f71cbd06b47219f3a0dc
Description-en: Package includes a bash daemon (lubuntu-upg-notifier.sh) which
 checks if there are updates available. If there are it opnes notifier.py which
 is a window that notifies about package updates available. If you decide to
 upgrade a full upgrade (not safe-upgrade) with the upgrader binary.
 Upgrader can also be run alone, it can be chosen to run cache update before and
 if a safe or full upgrade will be done. There is also a .desktop to call
 upgrader with cache update and a full upgrade.

Package: luckybackup
Description-md5: fd0f69559bcf16aed1e4eb4f30ad8662
Description-en: rsync-based GUI data backup utility
 luckyBackup is an application that backs-up and/or synchronizes any
 directories with the power of rsync.
 Its main features are: backup, safety, synchronization, exclude/only include
 options, allows custom rsync options, remote connections, restore and dry-run
 operations, scheduling, profiles and command line mode.
 .
 It is simple to use, fast (transfers over only changes made and not all data),
 safe (keeps your data safe by checking all declared directories before
 proceeding in any data manipulation ), reliable and fully customizable.

Package: luckybackup-data
Description-md5: 3465d2647531757f62bab445c711fa6b
Description-en: rsync-based GUI data backup utility (data files)
 luckyBackup is an application that backs-up and/or synchronizes any
 directories with the power of rsync.
 Its main features are: backup, safety, synchronization, exclude/only include
 options, allows custom rsync options, remote connections, restore and dry-run
 operations, scheduling, profiles and command line mode.
 .
 It is simple to use, fast (transfers over only changes made and not all data),
 safe (keeps your data safe by checking all declared directories before
 proceeding in any data manipulation ), reliable and fully customizable.
 .
 This package contains the arch independent data files.

Package: lucy
Description-md5: 52f9053f485f5ef7f40fe8fe9da31713
Description-en: DNA sequence quality and vector trimming tool
 Lucy is a utility that prepares raw DNA sequence fragments for sequence
 assembly, possibly using the TIGR Assembler. The cleanup process includes
 quality assessment, confidence reassurance, vector trimming and vector
 removal. The primary advantage of Lucy over other similar utilities is
 that it is a fully integrated, stand alone program.
 .
 Lucy was designed and written at The Institute for Genomic Research
 (TIGR, now the J. Craig Venter Institute), and it has been used here for
 several years to clean sequence data from automated DNA sequencers prior
 to sequence assembly and other downstream uses. The quality trimming
 portion of lucy makes use of phred quality scores, such as those produced
 by many automated sequencers based on the Sanger sequencing method. As
 such, lucy’s quality trimming may not be appropriate for sequence
 data produced by some of the new “next-generation” sequencers.

Package: ludevit
Description-md5: d9ef2442e5ed334e1d5adb5d315bbe7c
Description-en: converter from standard Slovak into the L. Štúr version
 ludevít is a filter that does a full text translation of plain text
 from modern Slovak into the Ludevít Štúr version.

Package: lugaru
Description-md5: 1916afa4513cae5efd848ed9bfc27b1f
Description-en: third person ninja rabbit fighting game
 Lugaru (pronounced Loo-GAH-roo) is a cross-platform third-person action game.
 The main character, Turner, is an anthropomorphic rebel bunny rabbit with
 impressive combat skills. In his quest to find those responsible for
 slaughtering his village, he uncovers a far-reaching conspiracy involving the
 corrupt leaders of the rabbit republic and the starving wolves from a nearby
 den. Turner takes it upon himself to fight against their plot and save his
 fellow rabbits from slavery.
 .
 Lugaru HD is developed by the OSS Lugaru project and is based on the original
 Lugaru HD by Wolfire Games.

Package: lugaru-data
Description-md5: 10ce75b6d53dbad4e1e251c1aca71b4c
Description-en: data for the third person ninja rabbit fighting game Lugaru HD
 This package contains the data files for Lugaru HD, which includes some of the
 data from the original Lugaru HD by Wolfire Games, and some additional data
 included by/contributed to the OSS Lugaru project.

Package: luksipc
Description-md5: 586c628a04a4b3e8a794cc5284079599
Description-en: LUKS in-place conversion tool
 luksipc is a tool to convert (unencrypted) block devices to
 (encrypted) LUKS devices in-place (therefore it's name LUKS in-place
 conversion). This means the conversion is performed without the need
 of copying all data somewhere, recreating the whole disk (i.e. create
 a LUKS device, create a new filesystem on the mapped LUKS device, copy
 all data back). Instead, the process is reduced to:
 .
  1. Unmounting the filesystem
  2. Resizing the filesystem to shrink about 10 megabytes (2048 kB is
     the current LUKS header size -- but do not trust this value, it
     has changed in the past!)
  3. Performing luksipc
  4. Adding custom keys to the LUKS keyring

Package: luksmeta
Description-md5: db8eaf3f8042f64f2c0f3b7a2d56d725
Description-en: Utility to access metadata in a LUKSv1 header
 This package provides luksmeta program to access metadata in a LUKSv1
 header using libluksmeta.

Package: luminance-hdr
Description-md5: d942bd08d26ad50d79260b51bc57b172
Description-en: graphical user interface providing a workflow for HDR imaging
 Features:
  * Create an HDR file from a set of images (formats: JPEG, TIFF 8bit and
    16bit, RAW) of the same scene taken at different exposure setting.
  * Save and load HDR images.
  * Rotate and resize HDR images.
  * Tonemap HDR images.
  * Copy exif data between sets of images.
 .
 Supported HDR formats:
  * OpenEXR (extension: exr).
  * Radiance RGBE (extension: hdr).
  * Tiff formats: 16bit, 32bit (float) and LogLuv (extension: tiff).
  * Raw image formats (extension: various).
  * PFS native format (extension: pfs).
 .
 Supported LDR formats: JPEG, PNG, PPM, PBM, TIFF (8 bit).

Package: lunar
Description-md5: c7f194fb672c106978cfcb11a14dff50
Description-en: Chinese Lunar Calendar conversion utility
 The program performs date conversion between the Gregorian Solar Calendar
 (SC) and the Chinese Lunar Calendar (LC).  Given a date in either calendar,
 the program also outputs the corresponding "shengxiao" animal of the year)
 and "ganzhi" characters.  The date range currently covered is from about
 1900 A.D. to 2049 A.D.

Package: lunzip
Description-md5: dad1d8486f5fc429767e104dba9c5f90
Description-en: data compressor based on the LZMA algorithm (decompressor)
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 .
 This package contains the decompressor.

Package: luola
Description-md5: 873aa56404c065cba800f5590cb81eb6
Description-en: multiplayer cave-flying game
 Luola is a 2D arcade game where you fly a small V shaped ship in different
 kinds of levels.
 .
 Luola's genre "Luolalentely," or cave-flying, has been
 very popular in Finland.   Although cave-flying games
 are not originally from Finland, most new cave-flying
 games are.

Package: luola-data
Description-md5: d21a6355694f175d100c29196a631d35
Description-en: data files for luola
 Luola is a 2D arcade game where you fly a small V shaped ship in different
 kinds of levels.
 .
 Luola's genre "Luolalentely," or cave-flying, has been
 very popular in Finland.   Although cave-flying games
 are not originally from Finland, most new cave-flying
 games are.
 .
 This package contains sound and image files for luola.

Package: luola-levels
Description-md5: 0995466b1b155ca52b86d00046ce3a69
Description-en: level files for luola
 Luola is a 2D arcade game where you fly a small V shaped ship in different
 kinds of levels.
 .
 Luola's genre "Luolalentely," or cave-flying, has been
 very popular in Finland.   Although cave-flying games
 are not originally from Finland, most new cave-flying
 games are.
 .
 This package contains the level files for luola.

Package: luola-nostalgy
Description-md5: b1a861663320f927caaa21ff354ef545
Description-en: nostalgy level files for luola
 Luola is a 2D arcade game where you fly a small V shaped ship in different
 kinds of levels.
 .
 Luola's genre "Luolalentely," or cave-flying, has been
 very popular in Finland.   Although cave-flying games
 are not originally from Finland, most new cave-flying
 games are.
 .
 This package contains (unofficial) remakes of the old V-Wing levels.

Package: lur-command
Description-md5: 67a7b26750fbb91f1a401fd5a9ea68d2
Description-en: Logitech Unifying Receiver control tool
 This package contains lur-command, which controls Logitech Unifying
 Receivers and attached devices, allowing devices to be enumerated,
 disconnected and paired.

Package: lure-of-the-temptress
Description-md5: e24bdc7ea53bf81676f91ec11b58f930
Description-en: classic 2D point and click fantasy adventure game
 Lure of the Temptress was Revolution's very first adventure game and work
 began on it in 1989, even before Revolution's inception as an actual games
 development company.
 .
 You are Diermot, an unwilling hero who'd prefer a quiet life, and are, to all
 intents and purposes, a good man. After decades of unrest the King has united
 the warring factions in his kingdom and all his lands are at peace, except a
 remote region around a town called Turnvale. A revolt has recently taken place
 in Turnvale, a revolt orchestrated by an apprentice sorceress called Selena,
 the titular temptress.
 The king calls together his finest horsemen and heads off (with you in tow) to
 Turnvale just to witness how hellish mercenary monsters called Skorl are
 invading the town.
 .
 The king's men are defeated, the king is killed and you fall of your horse
 and bang your head heavily on the ground. You have been unconscious for a
 while when you realize that you are in a dingy cell guarded by a not so
 friendly Skorl. Maybe it would be an idea to try and escape...
 .
 Note that this package only contains game-data. The game engine is provided by
 ScummVM.

Package: lurker
Description-md5: 725643b4277da73ee0f0ad95412b83dd
Description-en: archive tool for mailing lists with search engine
 lurker is an archiver which can handle extremely large amounts
 of email. It is fast, intuitive, and customisable.
 .
 lurker archives your mailing lists and imports new mail.
 It includes many features like powerful fast search engine,
 chronological threading, file attachment support,
 multi-lingual support, completely customisable output etc.

Package: lusernet.app
Description-md5: a691ad219cc75a0527475e5e421386d2
Description-en: News reader for GNUstep
 LuserNET is an NNTP based news reader for GNUstep.  Although it's at
 an early version, it's already quite usable.  The following features
 are available:
 .
  * Coloring of messages based on quoting depth.
  * Background read-ahead.
  * Intelligent scrolling.
  * Handles multiple servers.
  * Completely asynchronous.
  * Good MIME conformance and handling.

Package: lutefisk
Description-md5: 67f0a09c91cbd46ee3b7ca67e4a12b4a
Description-en: de novo interpretation of peptide CID spectra
 Lutefisk performs a de novo interpreation of collision-induced decay
 (CID) spectra, providing the user with a file containing all the
 possible sequence candidates corresponding to the CID data.

Package: lutefisk-doc
Description-md5: 39eac65daab9f2d0509fd6689dde0255
Description-en: De novo interpretation of peptide CID spectra - documentation
 Lutefisk performs a de novo interpreation of collision-induced decay
 (CID) spectra, providing the user with a file containing all the
 possible sequence candidates corresponding to the CID data.
 .
 This package contains the manual in HTML format.

Package: lv
Description-md5: 50944e913954e28c6199155395734a63
Description-en: Powerful Multilingual File Viewer
 lv is a powerful file viewer like less.
 lv can decode and encode multilingual streams through
 many coding systems:
 ISO-8859, ISO-2022, EUC, SJIS, Big5, HZ, Unicode.
 .
 It recognizes multi-bytes patterns as regular
 expressions, lv also provides multilingual grep.
 In addition, lv can recognize ANSI escape sequences
 for text decoration.

Package: lv2-c++-tools
Description-md5: f5c0bd09d9c86f56862ac99de0744837
Description-en: library and tools for LV2 plugins
 These are some tools and libraries that may come in handy
 when writing LV2 plugins in C++.
 .
 The following static libraries are provided:
  * liblv2-plugin is a C++ library that you can use to write LV2
    plugins by inheriting from a plugin base class and overriding
    a small number of functions.
  * liblv2-gui is a C++ library that you can use to write LV2
    plugin GUIs.
 .
 This package also contains the binaries lv2peg and lv2soname:
  * lv2peg is a program that generates C header files from
    Turtle files containing LV2 plugin data.
  * lv2soname is a program that generate the RDF triples needed
    to tell hosts that the library with that soname must never be
    unloaded even after your plugin GUI library has been unloaded.
 .
 This package provides runtime objects and development files.

Package: lv2-c++-tools-doc
Description-md5: d6afd963bec9c4c3114d6ee2e73b0345
Description-en: lv2-c++-tools documentation
 Complete HTML documentation for lv2-c++-tools
 .
 These documents describes some C++ classes
 that may be of use if you want to write LV2 plugins in C++.

Package: lv2-dev
Description-md5: 77e846000ae268d88753a93d60ffc505
Description-en: LV2 audio plugin specification
 LV2 is a simple but extensible successor of LADSPA plugins,
 intended to address the limitations of LADSPA which many
 applications have outgrown.
 .
 This package contains the LV2 audio plugin specification,
 with all the official extension packages, as well as example
 plugins, lv2specgen, and additional data.
 .
 Implementations are encouraged to abandon the “copy paste
 headers” practice and depend on this package instead.

Package: lv2-examples
Description-md5: 2cc57017f618c375d1f9611389406a0f
Description-en: LV2 audio plugin specification (example plugins)
 LV2 is a simple but extensible successor of LADSPA plugins,
 intended to address the limitations of LADSPA which many
 applications have outgrown.
 .
 This package contains some LV2 example plugins.

Package: lv2file
Description-md5: 71a9d08f8da858b03cb3a05299e5a2ec
Description-en: Command-line program to apply LV2 effects to audio files
 lv2file is a simple program which you can use to apply LV2 effects to your
 audio files without much hassle.
 .
 Possible use cases of lv2file are:
  * Apply an effect without having to open a GUI or start a project.
  * Apply effects to a large number of files, or in an automated manner.
  * You need a deterministic environment to debug a plugin you are developing.
  * Command-line only audio processing.
 .
 lv2file does not come with any built-in effects, so you must install other
 packages containing LV2 plugins to use with lv2file.

Package: lv2proc
Description-md5: f9d92a3ead90ba84e8c319078c4cd08b
Description-en: command line effect processor
 LV2proc is a simple command line effect processor using LV2 plugins.
 .
 lv2proc generates an output sound file by applying a LV2 effect
 plugin to an input sound file.

Package: lv2vocoder
Description-md5: 3fe6543675586f23424116d94f3e0c50
Description-en: LV2 vocoder plugin
 Perhaps you don't know what a vocoder is, but I'm sure you have heard
 one before. Vocoders are often used to add a robotic effect to vocals
 in music. Happy robots use Linux and LV2!
 .
 This vocoder plugin has 2 AUDIO inputs and 1 OUTPUT. The first INPUT is
 the "Formant" signal which is usually someone's voice. The second INPUT
 is the "Carrier" signal which is some sort of continuous synth sound.
 The "Carrier" signal is modulated to the "Formant".
 .
 There are a number of controls ports. The first one is the "Number of
 bands" which is how many frequency bands to use (current maximum is 16).
 The rest of the controls set the level of each individual band and
 should have a value between 0.0 and 1.0. The lower numbered bands are
 lower in frequency the higher numbered bands are higher in frequency.

Package: lvm2-dbusd
Description-md5: 58ae61149cfbcb7082b5065979973507
Description-en: LVM2 D-Bus daemon
 This is LVM2, the rewrite of The Linux Logical Volume Manager.  LVM
 supports enterprise level volume management of disk and disk subsystems
 by grouping arbitrary disks into volume groups. The total capacity of
 volume groups can be allocated to logical volumes, which are accessed as
 regular block devices.
 .
 This package includes the D-Bus daemon.

Package: lvm2-lockd
Description-md5: 616fa34a9f75aed256bed16729c930bd
Description-en: LVM locking daemon
 This is LVM2, the rewrite of The Linux Logical Volume Manager.  LVM
 supports enterprise level volume management of disk and disk subsystems
 by grouping arbitrary disks into volume groups. The total capacity of
 volume groups can be allocated to logical volumes, which are accessed as
 regular block devices.
 .
 LVM commands use lvmlockd to coordinate access to shared storage.

Package: lwatch
Description-md5: 04890a5f0ce01c39f32138321439ac22
Description-en: Simple log colorizer
 Lwatch is a program to split syslog or syslog-ng messages with regexps
 (PCREs), colorize and display them in a way that important
 information can be seen at a glance. It is a small, useful tool for
 system administrators.

Package: lwatch-dbg
Description-md5: 29f587ff8c98d7dc89a624c11a50ff3c
Description-en: debugging symbols for lwatch
 This package contains the debugging symbols for lwatch, the simple
 log colorizer.

Package: lwm
Description-md5: ccda724ebb2abd18c4bee7a8ff399d7a
Description-en: lightweight window manager
 Low resource Window manager ideal for older PCs. It uses a minimum of
 external libraries, thus very little memory. It doesn't iclude
 icons, icon docs, button bars or root menus.

Package: lx-gdb
Description-md5: 0646190789168933feda7186f5d8476d
Description-en: Dump and load databases from HP 100LX/200LX palmtops
 gdbload/gdbdump converts any standard HP/LX database to and from
 CSV (Comma-Separated-Values) format.  Many formatting
 options are available.  World-Time and Appointment
 databases as well as application specific fields are not
 supported.
 .
 The HP 100LX was introduced in 1993.

Package: lxappearance
Description-md5: 241e2402ebe121f057152a0afd056987
Description-en: LXDE GTK+ theme switcher
 LXAppearance is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 It is a new feature-rich GTK+ theme switcher able to change GTK+ themes, icon
 themes, and fonts used by applications. GNOME/KDE/Xfce user may use build-in
 theme, this works for GTK+ based lightweight desktop Environment.
 .
 Features:
 .
  * Choose GTK+ theme
  * Choose icon theme
  * Install new icon theme
  * Choose their favorite font
  * Choose toolbar style
  * See changes immediately in preview area

Package: lxappearance-dbg
Description-md5: 76a55c4d48c4c905e350497f0bc1d06b
Description-en: LXDE GTK+ theme switcher (debug)
 LXAppearance is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the debugging symbols.

Package: lxappearance-obconf
Description-md5: b74159165f1e0090e76c4a82a99f7fcb
Description-en: LXDE GTK+ theme switcher (plugin)
 LXAppearance is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the ObConf plugin.

Package: lxappearance-obconf-dbg
Description-md5: 74e4b6717575de61c969beb32d5cf9cf
Description-en: LXDE GTK+ theme switcher (plugin - debug)
 LXAppearance is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the debugging symbols for the ObConf plugin.

Package: lxc
Description-md5: 8f5ab7156cfdafe3662f916116c20006
Description-en: Transitional package - lxc -> lxc-utils
 This is a transitional dummy package. It can safely be removed.
 .
 lxc is now replaced by lxc-utils.

Package: lxc-dev
Description-md5: 901ee3caa837071f9dec6c5062db7b76
Description-en: Transitional package - lxc-dev -> liblxc-dev
 This is a transitional dummy package. It can safely be removed.
 .
 lxc-dev is now replaced by liblxc-dev.

Package: lxc-templates
Description-md5: 9a526ab1c6ccc435c574f17de9485e7e
Description-en: Linux Containers userspace tools (templates)
 Containers are insulated areas inside a system, which have their own namespace
 for filesystem, network, PID, IPC, CPU and memory allocation and which can be
 created using the Control Group and Namespace features included in the Linux
 kernel.
 .
 This package contains the templates.

Package: lxc-utils
Description-md5: 4ece0dffd153c29e95ffdb89f8238dfc
Description-en: Linux Containers userspace tools
 Containers are insulated areas inside a system, which have their own namespace
 for filesystem, network, PID, IPC, CPU and memory allocation and which can be
 created using the Control Group and Namespace features included in the Linux
 kernel.
 .
 This package provides the lxc-* tools, which can be used to start a single
 daemon in a container, or to boot an entire "containerized" system, and to
 manage and debug your containers.

Package: lxc1
Description-md5: 273a0579052e484ba19949ef240341d4
Description-en: Transitional package - lxc1 -> lxc-utils
 This is a transitional dummy package. It can safely be removed.
 .
 lxc1 is now replaced by lxc-utils.

Package: lxcfs
Description-md5: f3cabfc6ca3d3433720d9d811af950bd
Description-en: FUSE based filesystem for LXC
 LXCFS provides a FUSE based filesystem to improve the LXC experience
 within the containers.
 .
 This filesystem offers both a cgroupfs-like view for use by
 unprivileged containers which wouldn't otherwise be allowed to mount
 cgroupfs. And a set of files that are meant to be bind-mounted over
 their /proc equivalent to make them cgroup-aware.

Package: lxctl
Description-md5: e6f5c31650e773d11138635be9e325d2
Description-en: Utility to manage LXC
 Utility to manage different aspects of Linux Containers (LXC).

Package: lxd
Description-md5: 6ded2abd7dcb33b84669e2fc0cd65175
Description-en: Transitional package - lxd -> snap (lxd)
 This is a transitional dummy package. It can safely be removed.
 .
 lxd is now replaced by the LXD snap.

Package: lxd-client
Description-md5: 357a78a197a2426d5db5946775c9314d
Description-en: Transitional package - lxd-client -> LXD snap
 This is a transitional dummy package. It can safely be removed.
 .
 lxd-client is now replaced by the LXD snap.

Package: lxd-tools
Description-md5: 285f0e7677295fa7f0179a06011e33e9
Description-en: Transitional package - lxc-tools -> LXD snap
 This is a transitional dummy package. It can safely be removed.
 .
 lxd-tools is no longer available in the Ubuntu archive.

Package: lxde
Description-md5: b4a967d60c12dcf577d59f59afc1cb9b
Description-en: metapackage for LXDE
 The Lightweight X11 Desktop Environment (LXDE) is a project aimed
 to provide a desktop environment which is lightweight and fast.
 .
 This package is a metapackage depends on the core components and
 recommended components of the LXDE. It includes lxde-core, lxappearance,
 lxinput, lxsession-edit, gpicview, lxterminal, lxrandr, galculator,
 leafpad and xarchiver.
 .
 If you just want to pick and choose the LXDE components then feel free
 to remove this package.

Package: lxde-common
Description-md5: 705fa21b3d6847dbbae4790d53194888
Description-en: LXDE common configuration files
 LXDE (the Lightweight X11 Desktop Environment) is a project aimed
 to provide a desktop environment which is lightweight and fast.
 .
 This package provides convenient set of configuration files for core
 LXDE components (pcmanfm and lxpanel). Install all the recommended
 packages as well to run a minimal LXDE session.

Package: lxde-core
Description-md5: 9c57d74e560c1ac5ab7d9304ac26ee04
Description-en: metapackage for the LXDE core
 The Lightweight X11 Desktop Environment (LXDE) is a project aimed
 to provide a desktop environment which is lightweight and fast.
 .
 This package is a metapackage which depends on minimal LXDE components set
 required to get a working desktop - pcmanfm, lxpanel, and lxde-session.
 This package also recommends few the most useful applications such as
 terminal emulator, notification-daemon, and xscreensaver.
 .
 If you just want to pick and choose the core components then feel free
 to remove this package.

Package: lxde-icon-theme
Description-md5: fa7cfb5900c3feac3313fb90d8c09e1c
Description-en: LXDE standard icon theme
 LXDE-Icon-Theme is the standard icon theme for the Lightweight X11 Desktop
 Environment (LXDE).
 .
 It is also known as the nuoveXT2 icon theme from which it is derived from.

Package: lxde-settings-daemon
Description-md5: 3abb5b944b77f34e48248e719f9f65ce
Description-en: xsettings compliant configuration manager for LXDE
 The package contains the LXDE daemon which handles the session settings.
 It conforms to the FreeDesktop.Org XSettings standard.
 .
 LXSession also privides this functionality internally.

Package: lxdm
Description-md5: 94556f15f37b3af5401121759fee0fcd
Description-en: LXDE display manager
 LXDM is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 It's a lightweight login manager which can be used as a replacement for
 GDM or KDM.

Package: lxdm-dbg
Description-md5: 5727925970ffc0c6b12148cdec8701c6
Description-en: LXDE display manager (debug symbols)
 LXDM is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains debugging symbols for the LXDM.

Package: lxhotkey-core
Description-md5: ed5f930e4970f5daf348b4a10c66a97c
Description-en: Lightweight global keyboard shortcuts configurator
 LXHotkey is an universal application for the Lightweight X11 Desktop
 Environment (LXDE) which allows view and change global keyboard shortcuts
 for Window Manager actions, including ones to start applications.
 .
 This package contains no plugins so the most probably will do nothing
 useful unless you also install a plugin supporting the Window Manager you
 are using and probably some GUI plugin.

Package: lxhotkey-data
Description-md5: d2a1d4d8cf6f614e2891e0d2fd1f4b80
Description-en: LXHotkey keyboard shortcuts configurator (data files)
 LXHotkey is an universal application for the Lightweight X11 Desktop
 Environment (LXDE) which allows view and change global keyboard shortcuts
 for Window Manager actions, including ones to start applications.
 .
 This package contains internationalization files.

Package: lxhotkey-dev
Description-md5: 2f7feb033d0621125e3c0ac8b1b8851b
Description-en: LXHotkey keyboard shortcuts configurator (development files)
 LXHotkey is an universal application for the Lightweight X11 Desktop
 Environment (LXDE) which allows view and change global keyboard shortcuts
 for Window Manager actions, including ones to start applications.
 .
 This package contains header file and dependencies required to create a
 plugin for LXHotkey.

Package: lxhotkey-gtk
Description-md5: 0faed464a660a45acf4ad21903c510f8
Description-en: LXHotkey keyboard shortcuts configurator (GTK+ GUI plugin)
 LXHotkey is an universal application for the Lightweight X11 Desktop
 Environment (LXDE) which allows view and change global keyboard shortcuts
 for Window Manager actions, including ones to start applications.
 .
 This package contains a GTK+ 2.0 GUI plugin.

Package: lxhotkey-plugin-openbox
Description-md5: 79dd417aa87841de344d2d0212a31a1c
Description-en: LXHotkey keyboard shortcuts configurator (Openbox support plugin)
 LXHotkey is an universal application for the Lightweight X11 Desktop
 Environment (LXDE) which allows view and change global keyboard shortcuts
 for Window Manager actions, including ones to start applications.
 .
 This package contains a plugin to allow LXHotkey work in Openbox window manager
 environment.

Package: lxi-tools
Description-md5: 09e260df364aa593f49e85785d33c333
Description-en: LAN eXtensions for Instrumentation (LXI) software interface
 lxi-tools is collection of open source software tools that enables control of
 LXI-compatible instruments such as modern oscilloscopes, power supplies,
 spectrum analyzers etc.

Package: lximage-qt
Description-md5: 5e78a02c5800798580578b7d47aecd8a
Description-en: Image viewer for LXQt
 A simple image viewer for LXQt. Additional file formats can be processed if
 one install qt5-image-formats-plugins.
 .
 This package contain the program files.

Package: lximage-qt-l10n
Description-md5: 5ff17fc2ca1ad896cb8bf48e3a7aac4b
Description-en: Language-package for lximage-qt
 A simple image viewer for LXQt. Additional file formats can be processed if
 one install qt5-image-formats-plugins.
 .
 This package contains the l10n files needed by the lximage-qt.

Package: lxinput
Description-md5: 6400383da7dd4495aebe5ce9f78d3efb
Description-en: LXDE keyboard and mouse configuration
 LXInput is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 It configures keyboard and mouse settings:
 .
  * Delay and Interval for character repeat
  * Enable/Disable beeps of keyboard input error
  * Swap left and right mouse buttons
  * Mouse acceleration and sensitivity

Package: lxinput-dbg
Description-md5: 242f9e88635c46210f75b639e74d3bcb
Description-en: LXDE keyboard and mouse configuration (debug)
 LXInput is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the debugging symbols.

Package: lxlauncher
Description-md5: 967182838658a56c83ed9d0aad7c0071
Description-en: LXDE launcher for netbooks
 LXLauncher is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 It is a Easy-Mode launcher for netbooks and subnotebooks like the EeePC.
 It's standard-compliant and desktop-independent. It follows freedesktop.org
 specs, so newly added applications will automatically show up in the launcher,
 and vice versa for the removed ones.

Package: lxlauncher-dbg
Description-md5: afd01687fa00eac9ec4a9c8ab7c136f2
Description-en: LXDE launcher for netbooks (debug)
 LXLauncher is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the debugging symbols.

Package: lxlock
Description-md5: c620dcfc7bafcc2200b56eb982c4eeea
Description-en: simple locking utility for LXDE
 LXLock is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 It's a simple locking utility for LXDE.

Package: lxmenu-data
Description-md5: 2b63ceed5a86315ef2d0b692c8b508c7
Description-en: LXDE freedesktop.org menu specification
 LXMenu-data is a component for the Lightweight X11 Desktop Environment (LXDE).
 .
 It contains files required to build desktop menus for LXDE complying to the
 freedesktop.org menu specification.

Package: lxmms2
Description-md5: 61e3e9de524595614c4425002626ab95
Description-en: control XMMS2 with a LIRC compatible remote control
 lxmms2 is a tiny XMMS2 client to control XMMS2 with a LIRC compatible remote
 control. Following actions are supported:
  - play (starts playback)
  - pause (pauses playback)
  - toggle_play_pause (toggles pause and starts playback if XMMS2 is not playing
    at all)
  - toggle_pause (toggles pause)
  - stop (stops playback)
  - next (advances to the next track)
  - prev (goes back to the previous track)
  - volume_up (increases the volume)
  - volume_down (decreases the volume)

Package: lxmusic
Description-md5: d012fb0eec173326e3f6ec26c6e561b8
Description-en: LXDE music player
 LXMusic is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 It is a minimalist music player based on xmms2 and has server/client design.
 The user interface is quite simple, clean, and intuitive. At first glance, it
 looks similar to one of the famous players on Windows - foobar 2000.

Package: lxmusic-dbg
Description-md5: 1bea6407d0dd810d438779f54d5016b8
Description-en: LXDE music player (debug)
 LXMusic is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the debugging symbols.

Package: lxpanel
Description-md5: 2e53ffa5892fc75758683aa25ec06f3f
Description-en: LXDE panel
 LXPanel is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 It is derived from fbpanel and includes the following features:
 .
  * User-friendly application menu automatically generated from .desktop
    files on the system
  * Launcher bar (small icons clicked to launch apps)
  * Task bar supporting ICCCM/EWMH `urgency' hint (Pidgin IM windows
    can flash on new incoming messages)
  * Run dialog (type a command to run, without opening a terminal)
  * Net status icon plug-in (optional).
  * Volume control plug-in (optional).
  * Notification area (system tray).
  * Digital clock.
  * Keyboard LEDs plug-in (display caps/num locks).
  * lxpanelctl: control lxpanel from other programs.
    For example, "lxpanelctl run" will show the Run dialog in lxpanel,
    and "lxpanelctl menu" will show the application menu. This is
    useful in combination with window manager key bindings.

Package: lxpanel-data
Description-md5: 336b99407bedeeda571fc3766b1c2c41
Description-en: LXDE panel (data files)
 LXPanel is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the data required for panel.

Package: lxpanel-dbg
Description-md5: b16e483c91bd0f9fd4417a286e5488f4
Description-en: LXDE panel (debug)
 LXPanel is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the debugging symbols.

Package: lxpanel-dev
Description-md5: cd04b5d8e1ae716d3ba6a7588e2ac078
Description-en: LXDE panel (plugins development files)
 LXPanel is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains header files required for plugins development and
 depends on other relevant packages for such task.

Package: lxpolkit
Description-md5: 3bec12442556fc0d05b306911eacfa75
Description-en: LXDE PolicyKit authentication agent
 LXPolkit is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 It's a simple PolicyKit authentication agent.

Package: lxqt
Description-md5: 9e9319a563c8abe114bafe408b184c0e
Description-en: Metapackage for LXQt
 LXQt is an advanced, easy-to-use, and fast desktop environment based on Qt
 technologies. It has been tailored for users who value simplicity, speed, and
 an intuitive interface. Unlike most desktop environments, LXQt also works
 fine with less powerful machines.
 .
 This package is a metapackage meant to provide all components of LXQt plus
 some recommended components to provide a nearly feature complete desktop
 environment.

Package: lxqt-about
Description-md5: 5039922c4b9cd20c95e5596d1b29cdff
Description-en: About screen for LXQt
 The about screen for LXQt
 .
 This package contain the LXQt about screen.

Package: lxqt-about-l10n
Description-md5: 815bf5ed971c5f7c476a0322cc035046
Description-en: Language package for lxqt-about
 This package contains the l10n files needed by the lxqt-about.

Package: lxqt-admin
Description-md5: cf20a3685c2744db2cea1445017c5344
Description-en: Admin tools for LXQt
 Admin tools for LXQt, as of now:
  * lxqt-admin-time
  * lxqt-admin-user
 .
 This package contain the LXQt Admin Tools.

Package: lxqt-admin-l10n
Description-md5: 32865f361402381481bdbc2d0e5a04d4
Description-en: Language package for lxqt-admin
 This package contains the l10n files needed by lxqt-admin.

Package: lxqt-branding-debian
Description-md5: 0ee1c0a94697ff22395bdcd2d9800691
Description-en: Debian branding for LXQt
 LXQt is an advanced, easy-to-use, and fast desktop environment based on Qt
 technologies. It has been tailored for users who value simplicity, speed, and
 an intuitive interface. Unlike most desktop environments, LXQt also works
 fine with less powerful machines.
 .
 The branding package contains misc. downstream configurations for LXQt.

Package: lxqt-build-tools
Description-md5: 60afb0a90e0a539c4b1cdac6d2062230
Description-en: Build tools for the LXQt desktop environment
 LXQt is an advanced, easy-to-use, and fast desktop environment based on Qt
 technologies. It has been tailored for users who value simplicity, speed, and
 an intuitive interface. Unlike most desktop environments, LXQt also works
 fine with less powerful machines.
 .
 This package contains the tools that are needed by the LXQt build process.

Package: lxqt-config
Description-md5: 98381480c90008c61cfc182ed64478fd
Description-en: LXQt system settings center
 The LXQt system settings center
 .
 This package contain the LXQt configuration ui.

Package: lxqt-config-l10n
Description-md5: 2c605f545bca4b91f8d4a540317c722c
Description-en: Language package for lxqt-config
 This package contains the l10n files needed by lxqt-config.

Package: lxqt-core
Description-md5: e4e772dfed3b10832b49ff62023d69fa
Description-en: Metapackage for the LXQt core
 LXQt is an advanced, easy-to-use, and fast desktop environment based on Qt
 technologies. It has been tailored for users who value simplicity, speed, and
 an intuitive interface. Unlike most desktop environments, LXQt also works
 fine with less powerful machines.
 .
 This package is a metapackage meant to provide the core components of LXQt.
 These include pcmanfm-qt, lxqt-panel, lxqt-runner, lxqt-session and esp.
 lxqt-qtplugin (needed for communication between session, components and
 applications).
 .
 Recommend for lean and/or parallel installation with other desktop
 environments.

Package: lxqt-globalkeys
Description-md5: 170122a5c8b3fe5b9486c3e88f90ddf4
Description-en: daemon used to register global keyboard shortcuts (appl.)
 Daemon used to register global keyboard shortcuts.
 .
 This package contain the program files for LXQt globalskeys.

Package: lxqt-globalkeys-l10n
Description-md5: 7a43fb3e32e0ccf1e4d606a793d98425
Description-en: Language package for lxqt-globalkeys
 This package contains the l10n files needed by lxqt-globalkeys.

Package: lxqt-notificationd
Description-md5: 0ab940765f0579cd4926b27c24c23810
Description-en: LXQt notification daemon
 The LXQt notification daemon.
 .
 This package contain the LXQt notification daemon.

Package: lxqt-notificationd-l10n
Description-md5: 0b492d7bf40d4602b161d16bbc3a4ba5
Description-en: Language package for lxqt-notificationd
 This package contains the l10n files needed by lxqt-notificationd.

Package: lxqt-openssh-askpass
Description-md5: 0c46a2cba0fb70aea06953f4852d691c
Description-en: OpenSSH user/password GUI dialog for LXQt
 This module handles openssh security password access for LXQt. The openssh
 askpass module, will perform security tast over scale access privilegies.
 .
 The LXQt modules are desktop independent tools, and operate as daemons for the
 local user for desktop specific operations.
 .
 LXQt is an advanced, easy-to-use, and fast desktop environment based on Qt
 technologies, ships several core desktop components, all of which are
 optional:
 .
  * Panel
  * Desktop
  * Application launcher
  * Settings center
  * Session handler
  * Polkit handler
  * SSH password access (this)
  * Display manager handler
 .
 These components perform similar actions to those available in other
 desktop environments, and their names are self-descriptive. They are usually
 not launched by hand but automatically, when choosing a LXQt session in the
 Display Manager.

Package: lxqt-openssh-askpass-l10n
Description-md5: a62b2fd4a3fe4d0ab7fbf4527fd6f2bc
Description-en: Language package for lxqt-openssh-askpass
 This package contains the l10n files needed by lxqt-openssh-askpass.

Package: lxqt-panel
Description-md5: 73914c94b8d38c61c2e1ad1c38c5707f
Description-en: LXQt desktop panel
 The LXQt desktop panel
 .
 This package contains the LXQt panel.

Package: lxqt-panel-l10n
Description-md5: d221e83570975e982818cc61bac9597d
Description-en: Language package for lxqt-panel
 This package contains the l10n files needed by lxqt-panel.

Package: lxqt-policykit
Description-md5: bbf64b2480fda7d3973eda7f598030d8
Description-en: LXQt authentication agent for PolicyKit
 The lxqt-policykit D-Bus session bus service that is used to
 bring up authentication dialogs used for obtaining privileges.
 .
 This package contains the LXQt policy kit authentication agent.

Package: lxqt-policykit-l10n
Description-md5: c624ba39006e48d9cf0bb041ee89019a
Description-en: Language package for lxqt-policykit
 This package contains the l10n files needed by lxqt-policykit.

Package: lxqt-powermanagement
Description-md5: 095a1c9184a7257b381575f8fce75c68
Description-en: power management module for LXQt
 The Power management module for LXQt.
 .
 This package contain power management module for LXQt.

Package: lxqt-powermanagement-l10n
Description-md5: 5641e37d158c0dec5fdb913824de817d
Description-en: Language package for lxqt-powermanagement
 This package contains the l10n files needed by lxqt-powermangement.

Package: lxqt-qtplugin
Description-md5: fbd2e8b820c2965c65e5197cf7ca4fd6
Description-en: LXQt system integration plugin for Qt
 With this plugin, all Qt-based programs can adopt settings of
 LXQt, such as the icon theme.
 .
 This package provides the LXQt qtplugin interface.

Package: lxqt-runner
Description-md5: f8033ca700b3f962cb77274de36ebe28
Description-en: LXQt program launcher
 The LXQt runner provides a GUI that comes up on the desktop and allows for
 launching applications, doing some math or shutting down the system.
 .
 This package contain LXQt runner.

Package: lxqt-runner-l10n
Description-md5: a0e0c11734a2690fa1caba44296edcfe
Description-en: Language package for lxqt-runner
 The LXQt runner provides a GUI that comes up on the desktop and allows for
 launching applications, doing some math or shutting down the system.
 .
 This package contains the l10n files needed by the lxqt-runner.

Package: lxqt-session
Description-md5: 78e6ca5da3608653cf67eabc7d263c9d
Description-en: session manager component for LXQt
 LXQt is an advanced, easy-to-use, and fast desktop environment based on
 Qt technologies.  It has been tailored for users who value simplicity,
 speed, and an intuitive interface.  Unlike most desktop environments,
 LXQt also works fine with less powerful machines.
 .
 This package contain the session manager component.

Package: lxqt-session-l10n
Description-md5: 9af9e4df4a7b5a8450a953cffb0f432b
Description-en: Language package for lxqt-session
 This package contains the l10n files needed by lxqt-session.

Package: lxqt-sudo
Description-md5: e69098714a21cf2d7b31e31d087f543b
Description-en: Graphical Qt frontend for plain sudo
 lxqt-sudo is a graphical Qt frontend for plain sudo(8) (for requesting
 optional password in GUI fashion). When invoked it simply spawns child sudo
 process with requested command (and arguments). If sudo requests user's
 password, the GUI password dialog is shown and (after submit) the password is
 provided to sudo.

Package: lxqt-sudo-l10n
Description-md5: 607c6b59210a6f2fc20bfb6cd642cfd9
Description-en: Language package for lxqt-sudo
 This package contains the l10n files needed by lxqt-sudo.

Package: lxqt-system-theme
Description-md5: e2785079b82a604f39e26231d38e12fb
Description-en: System theme for LXQt
 The System theme for LXQt provide basic styling for LXQt system
 components
 .
 This Package contains LXQt system theme files.

Package: lxqt-theme-debian
Description-md5: d55f355561e769df01a4480101e7a351
Description-en: Debian theme for LXQt
 LXQt is an advanced, easy-to-use, and fast desktop environment based on Qt
 technologies. It has been tailored for users who value simplicity, speed, and
 an intuitive interface. Unlike most desktop environments, LXQt also works
 fine with less powerful machines.
 .
 The Debian LXQt theme is based on the theme LXQt Dark.
 .
 This Package contains LXQt theme files.

Package: lxqt-themes
Description-md5: a1dc85d6146ef562e6ed114a50131dee
Description-en: Themes for LXQt
 Some upstream themes for LXQt
  * Ambiance
  * Dark
  * Frost
  * KDE-Plasma
  * Light
  * System
 .
 This Package contains LXQt theme files.

Package: lxrandr
Description-md5: 5e4bb426b69a874eba43fc70123f74c1
Description-en: LXDE monitor configuration tool
 LXRandR is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This is a very basic monitor config tool utilizing the X extension called
 RandR. It can let you change the screen resolution on the fly. Besides, when
 you run lxrandr with external monitor connected, its GUI will change, and show
 you some quick options to get your projector or external monitor working
 correctly.
 .
 This tool doesn't aim to be a full randr frontend. If you need the full power
 of RandR, get xrandr (console) or grandr (GUI) and read some tutorials.
 .
 LXRandR only gives you some easy and quick options which are intuitive. It's
 very suitable for laptop users who frequently uses projectors or external
 monitor and just want to get their work done without reading a lot of
 "geek-centered" manuals or command line tutorials.

Package: lxrandr-dbg
Description-md5: 79c70b60f85df3ef5cc44cc1a4368478
Description-en: LXDE monitor configuration tool (debug)
 LXRandR is a GUI application for the Lightweight X11 Desktop Environment
 (LXDE).
 .
 This package contains the debugging symbols.

Package: lxsession
Description-md5: b1c7a3a2017d1b5ecb4aee1adb2da870
Description-en: LXDE default session manager
 LXSession is the default session manager for the Lightweight X11 Desktop
 Environment (LXDE).
 .
 It is derived from XSM, has fewer dependencies and more features. It is
 desktop-independent and can be used with any window manager.

Package: lxsession-data
Description-md5: 9935ce0d794cba32507b386192dc6dcd
Description-en: Common files for lxsession
 LXSession is the default session manager for the Lightweight X11 Desktop
 Environment (LXDE).
 .
 This package provides common files for lxsession and supplementary packages.

Package: lxsession-default-apps
Description-md5: 95a4d37510f015e141e803aaebef0642
Description-en: utility to configure lxsession and its default applications
 LXSession is the default session manager for the Lightweight X11 Desktop
 Environment (LXDE).
 .
 LXSession-Default-Apps is an utility to configure lxsession and its default
 applications.

Package: lxsession-edit
Description-md5: 08806652226792b8aee3bf1cf591be51
Description-en: configure what application start up automaticlly in LXDE
 LXSession-Edit is a simple session editor GUI for autostarting applications
 which follows autostart specs from FreeDesktop.Org.
 .
 This package can be used without lxsession package as well.

Package: lxsession-logout
Description-md5: 0487baba3d88fe612cdde2250455703e
Description-en: utility to logout from a LXDE or an Openbox session
 LXSession is the default session manager for the Lightweight X11 Desktop
 Environment (LXDE).
 .
 LXSession-Logout is a simple utility for stopping or logging out from a LXDE or
 an Openbox session.

Package: lxsplit
Description-md5: 947a7e9145ae87886ebbf8fcdedc16ca
Description-en: This program is a simple tool for splitting and joining files
 LXSplit can split and join files without compression.
 It is fully compatible with the HJSplit utility.

Package: lxtask
Description-md5: b8f818ae88f81b783c3a0b3e09caef36
Description-en: LXDE task manager
 LXTask is a GUI application for the Lightweight X11 Desktop Environment (LXDE).
 .
 LXTask - lightweight and desktop-independent task manager derived from
 xfce4-taskmanager with all dependencies on Xfce removed, new features,
 and some improvement of the user interface. LXTask is based on GTK+ toolkit.
 It allows monitoring and controlling of running processes.

Package: lxtask-dbg
Description-md5: 7f9bdf2e6583ad966f9485dac0bf6a69
Description-en: LXDE task manager (debug)
 LXTask is a GUI application for the Lightweight X11 Desktop Environment (LXDE).
 .
 This package contains the debugging symbols.

Package: lxterminal
Description-md5: 6884daf53d9b2a2ab2e0f2b994874dfc
Description-en: LXDE terminal emulator
 LXTerminal is a VTE-based terminal emulator for the Lightweight X11 Desktop
 Environment (LXDE).
 .
 It supports multiple tabs and has only minimal dependencies thus being
 completely desktop-independent. In order to reduce memory usage and increase
 the performance, all instances of the terminal are sharing a single process.

Package: lybniz
Description-md5: 11bbb064f3dd452f1d4ab923d15f71ad
Description-en: mathematical function graph plotter
 Lybniz is a simple desktop graph plotter. It can currently plot three
 functions and allows you to navigate the plot. Functions are entered
 in Python or C-like notation.

Package: lynis
Description-md5: 5d6561d5716457a3540b58d44c18319f
Description-en: security auditing tool for Unix based systems
 Lynis is an auditing tool for hardening GNU/Linux and Unix based systems.
 It scans the system configuration and creates an overview of system information
 and security issues usable by professional auditors.
 It can assist in automated audits.
 .
 Lynis can be used in addition to other software, like security
 scanners, system benchmarking and fine-tuning tools.

Package: lynkeos.app
Description-md5: bca8bc54d12fdc5a672bce40add1b1d3
Description-en: GNUstep app for processing planetary astronomical images
 Lynkeos is an application dedicated to the processing of astronomical
 (mainly planetary) images taken with a webcam through a telescope.
 By stacking the best images, the signal to noise ratio is increased
 and details lost in the noise of individual images become visible in
 the resulting image.

Package: lynkeos.app-common
Description-md5: 2f25331c36f682de98c9d64bb288c862
Description-en: GNUstep app for processing astronomical images (common files)
 Lynkeos is an application dedicated to the processing of astronomical
 (mainly planetary) images taken with a webcam through a telescope.
 .
 This package contains the architecure-independent files.

Package: lynx
Description-md5: eb50e2d67d641779289fe401260cf921
Description-en: classic non-graphical (text-mode) web browser
 In continuous development since 1992, Lynx sets the standard for
 text-mode web clients. It is fast and simple to use, with support for
 browsing via FTP, Gopher, HTTP, HTTPS, NNTP, and the local file system.

Package: lynx-common
Description-md5: c5ec273904f95c86efa7024c56e5ad62
Description-en: shared files for lynx package
 In continuous development since 1992, Lynx sets the standard for
 text-mode web clients.
 .
 This package contains architecture-independent files shared by the
 lynx package over any architecture available in Debian.  Examples of
 such shared files are: manpages, locale and mimetype definitions, and
 configuration files.

Package: lyricue
Description-md5: de7205151a71a3fbaf16eafef408bd83
Description-en: The GNU Lyric Display System
 This application is used to edit/display song lyrics on a second
 screen/projector for use at singing events such as church services.
 Features
    * User access controls
    * Networkable (ie run interface and server on different machines)
    * Multiple Playlists
    * Copyright info for songs
    * Automatic Page advance
    * Re-orderable playlist
    * Playlist entries to change background
    * All songs kept in a database and so screens are dynamically generated,
      allowing you to easily change the backdrop, font etc without having
      to change all the songs
    * Can automatically create screens for bible verses
    * Quick searching for songs

Package: lyskom-elisp-client
Description-md5: 9923af4deac75c14d1c74871af83ab72
Description-en: emacs client for LysKOM
 A LysKOM client implemented in Emacs LISP. This client is the most
 used and developed one.

Package: lyskom-server
Description-md5: 3286ed662bbabf8d33fd08462d8c939b
Description-en: Server for the LysKOM conference system
 LysKOM has a lot in common with netnews, but LysKOM is
 intended for local discussions (instead of worldwide).
 LysKOM consists of a server process and several client
 programs. The server process maintains a data base of
 persons, conferences and articles. The clients connect to
 the server and let the users browse the database for
 unread articles.
 .
 LysKOM is much faster than netnews - almost as fast as irc
 or ICQ! - but like in netnews the articles are saved so
 that you don't have to be logged in to receive the news.

Package: lyx
Description-md5: 8d42dce15e6499d7299de9ed8a95e051
Description-en: document processor
 LyX is an almost WYSIWYG-frontend for LaTeX. It makes the power and
 typesetting quality of LaTeX available for people who are used to
 word processors. Since LyX supports LaTeX's concept of general
 mark-ups, it is even easier and faster to create professional quality
 documents with it than with usual word processors. It is also
 possible to use LaTeX commands within LyX, so nothing of LaTeX's
 power is lost.
 .
 You can extend the functionality of LyX by installing these packages:
  * chktex: check for typographical errors
  * gnuhtml2latex: import HTML documents
  * groff: improved table formatting in plain text exports
  * librsvg2-bin, inkscape: use the SVG image format in LyX documents
  * linuxdoc-tools: export SGML LinuxDoc documents
  * mythes-*: use the OpenOffice.org/LibreOffice Thesaurus
  * noweb: import noweb files
  * rcs: integrated version control
  * sgmltools-lite: export SGML DocBook documents
  * texlive-xetex: use the XeTeX typesetting system
  * wv: import MS Word documents

Package: lyx-common
Description-md5: 05751d4518079e93eb479a02877c43a8
Description-en: architecture-independent files for LyX
 This package contains LyX's common files which are not
 architecture-dependent. See the lyx package for a description of LyX
 itself.

Package: lz4json
Description-md5: cf0a9b387c1ba8e31080eeb1a4cf29ec
Description-en: unpack lz4json files, usually generated by Mozilla programs
 Instead of a standard .json.lz4, Firefox uses its own format to compress
 its bookmarks and session restore files.  This tool lets you read them,
 converting to json.  Going from json to a human-readable format is then
 up to you.

Package: lzd
Description-md5: d139cd1b7cf0e12178b9f17995d9a320
Description-en: Educational, lossless data compressor based on the LZMA algorithm
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 Lzip decompresses almost as fast as gzip and compresses better than bzip2,
 which makes it well suited for software distribution and data archiving.
 .
 Lzd is a very simplified decompressor for lzip files with an educational
 purpose. Studying its source is a good first step to understand how lzip works.

Package: lzip
Description-md5: 73f117c376d6c36fdafbb3d29d615eec
Description-en: lossless data compressor based on the LZMA algorithm
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 Lzip decompresses almost as fast as gzip and compresses better than bzip2,
 which makes it well suited for software distribution and data archiving.

Package: lziprecover
Description-md5: 2887aca0f9e420036b6fe08744ec51db
Description-en: lossless data compressor based on the LZMA algorithm (recovery)
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 Lzip decompresses almost as fast as gzip and compresses better than bzip2,
 which makes it well suited for software distribution and data archiving.
 .
 This package contains the recovery tool.

Package: lzma
Description-md5: 2d94f8c37f21a8d03b49ea85653fef54
Description-en: Compression and decompression in the LZMA format - command line utility
 The Lempel-Ziv-Markov chain Algorithm is a compression method based on
 the famous LZ77 algorithm, and was first introduced by 7-Zip for use in
 7z archives.
 .
 Its main characteristics are a very high compression ratio, with high RAM
 usage, and fast decompression, with low RAM usage. These properties make
 it well suited to embedded uses, such as for ROM (firmware) compression.
 .
 This package provides the lzma command line utility, which has a
 familiar gzip-like interface.

Package: lzma-alone
Description-md5: 648a1b34905535a236d75cdc8b310d02
Description-en: Compression and decompression in the LZMA format - legacy utility
 The Lempel-Ziv-Markov chain Algorithm is a compression method based on
 the famous LZ77 algorithm, and was first introduced by 7-Zip for use in
 7z archives.
 .
 Its main characteristics are a very high compression ratio, with high RAM
 usage, and fast decompression, with low RAM usage. These properties make
 it well suited to embedded uses, such as for ROM (firmware) compression.
 .
 This package provides only the old lzma_alone compression utility, which
 has an interface more like that of zip.

Package: lzma-dev
Description-md5: 46e699f957315e2fcbfcd32cac366c50
Description-en: Compression and decompression in the LZMA format - development files
 The Lempel-Ziv-Markov chain Algorithm is a compression method based on
 the famous LZ77 algorithm, and was first introduced by 7-Zip for use in
 7z archives.
 .
 Its main characteristics are a very high compression ratio, with high RAM
 usage, and fast decompression, with low RAM usage. These properties make
 it well suited to embedded uses, such as for ROM (firmware) compression.
 .
 This package contains the headers and libraries of lzma. It is
 experimental and will be replaced by the library as soon as it is
 available.

Package: lzop
Description-md5: 9b7b7921b2459079911e1b404ae54f4d
Description-en: fast compression program
 lzop is a compressor similar to gzip.  Its main advantages over gzip are
 much higher compression and decompression speed.  lzop was designed with
 the following goals in mind:
  1) reliability
  2) speed (both compression and decompression)
  3) reasonable drop-in compatibility with gzip
  4) portability

Package: m16c-flash
Description-md5: b45db36daeeeb188a0ce1f2ac35d5e77
Description-en: Flash programmer for Renesas M16C and R8C microcontrollers
 m16c-flash uses a serial protocol to program Renesas M16C and R8C
 microcontrollers.

Package: m17n-docs
Description-md5: 2aa19f0d832c1b3f78e7dcd0cf69f17f
Description-en: multilingual text processing library - documents
 The m17n library is a multilingual text processing library for the C
 language.  This library has following features:
  - The m17n library is an open source software.
  - The m17n library for any Linux/Unix applications.
  - The m17n library realizes multilingualization of many aspects of
    applications.
  - The m17n library represents multilingual text as an object named
    M-text.  M-text is a string with attributes called text properties,
    and designed to substitute for string in C.  Text properties carry any
    information required to input, display and edit the text.
  - The m17n library  supports functions to handle M-texts.
 .
 m17n is an abbreviation of Multilingualization.
 .
 This package contains the man pages and documents of m17n-lib.

Package: m17n-im-config
Description-md5: 607825d3e957b36576648ba070545738
Description-en: input method configuration library for m17n-lib - utility
 m17n-im-config is a library to create a GTK+ widget for per-user
 configuration of input methods provided by the m17n library.  m17n is
 an abbreviation of Multilingualization.
 .
 This package contains a standalone GUI program using the
 m17n-im-config library.

Package: m17n-lib-bin
Description-md5: eccf9de613a724fce0f3f0bebdce2e6c
Description-en: multilingual text processing library - utilities
 The m17n library is a multilingual text processing library for the C
 language.  This library has following features:
 .
  - The m17n library is an open source software.
  - The m17n library for any Linux/Unix applications.
  - The m17n library realizes multilingualization of many aspects of
    applications.
  - The m17n library represents multilingual text as an object named
    M-text.  M-text is a string with attributes called text properties,
    and designed to substitute for string in C.  Text properties carry any
    information required to input, display and edit the text.
  - The m17n library  supports functions to handle M-texts.
 .
 m17n is an abbreviation of Multilingualization.
 .
 This package contains the utilities which use m17n-lib.

Package: m17n-lib-mimx
Description-md5: 966ec39a8710e82343da3ccae50c5f66
Description-en: multilingual text processing library - binary modules
 The m17n library is a multilingual text processing library for the C
 language.  This library has following features:
 .
  - The m17n library is an open source software.
  - The m17n library for any Linux/Unix applications.
  - The m17n library realizes multilingualization of many aspects of
    applications.
  - The m17n library represents multilingual text as an object named
    M-text.  M-text is a string with attributes called text properties,
    and designed to substitute for string in C.  Text properties carry any
    information required to input, display and edit the text.
  - The m17n library  supports functions to handle M-texts.
 .
 m17n is an abbreviation of Multilingualization.
 .
 This package contains the binary modules needed by:
 - ja-anthy.mim
 - ispell.mim

Package: m2crypto-doc
Description-md5: 308a7a3baa80f49f1b193f14c4501d4d
Description-en: Python wrapper for the OpenSSL library (docs)
 m2crypto features the following:
   * RSA, DSA, DH, HMACs, message digests, symmetric ciphers (including AES).
   * SSL functionality to implement clients and servers.
   * HTTPS extensions to Python's httplib, urllib, and xmlrpclib.
   * Unforgeable HMAC'ing AuthCookies for web session management.
   * FTP/TLS client and server.
   * S/MIME.
   * ZServerSSL: A HTTPS server for Zope.
   * ZSmime: An S/MIME messenger for Zope.
 .
 This package contains the documentation.

Package: m2r
Description-md5: d2d7ecf2d21c184d973322f2eafd5028
Description-en: Markdown and reStructuredText in a single file - CLI tool
 M2R converts a markdown file including reStructuredText (rst) markups
 to a valid rst format.
 .
 Use case is writing sphinx document in markdown,
 since it's widely used now and easy to write code blocks and lists.
 However, converters using pandoc or recommonmark
 do not support many rst markups and sphinx extensions.
 For example, rst's reference link like ``see `ref`_``
 (this is very convenient in long document
 in which same link appears multiple times)
 will be converted to a code block in HTML
 like `see <code>ref</code>_`,
 which is not expected.
 .
 This package provides the command-line tool m2r.

Package: m2vrequantiser
Description-md5: 8b1539a67438725147f703cc085043b9
Description-en: MPEG-2 streams requantization
 This package provides m2vrequantiser, a tool to requantize
 MPEG-2 streams without recompressing. M2VRequantiser
 accepts raw MPEG2 video data (not VOB) from standard
 input and writes the recompressed frames to standard output.
 .
 m2vrequantiser represents a good replacement for tcrequant,
 an obsolete utility provided by some versions of the transcode
 suite.

Package: maas-enlist
Description-md5: 35ef48de687642aef498e7ed6ce4ec72
Description-en: MAAS enlistment tool
 Tool for enlisting a host with a MAAS server.

Package: maas-rack-controller
Description-md5: 1473513b48acf3da76455974883d9c80
Description-en: Transitional package to the maas snap
 This is a transitional package. It can be safely removed.

Package: maas-region-api
Description-md5: 1473513b48acf3da76455974883d9c80
Description-en: Transitional package to the maas snap
 This is a transitional package. It can be safely removed.

Package: maas-region-controller
Description-md5: 1473513b48acf3da76455974883d9c80
Description-en: Transitional package to the maas snap
 This is a transitional package. It can be safely removed.

Package: mac-robber
Description-md5: a6b5a6400c4d5a7fbaaaa60c532ae6fb
Description-en: collects data about allocated files in mounted filesystems
 mac-robber is a digital investigation tool (digital forensics) that collects
 metadata from allocated files in a mounted filesystem. This is useful during
 incident response when analyzing a live system or when analyzing a dead
 system in a lab. The data can be used by the mactime tool in The Sleuth Kit
 (TSK or SleuthKit only) to make a timeline of file activity. The mac-robber
 tool is based on the grave-robber tool from TCT (The Coroners Toolkit).
 .
 mac-robber requires that the filesystem be mounted by the operating system,
 unlike the tools in The Sleuth Kit that process the filesystem themselves.
 Therefore, mac-robber will not collect data from deleted files or files that
 have been hidden by rootkits. mac-robber will also modify the Access times
 on directories that are mounted with write permissions.
 .
 mac-robber is useful when dealing with a filesystem that is not supported
 by The Sleuth Kit or other filesystem analysis tools. You can run mac-robber
 on an obscure, suspect UNIX filesystem that has been mounted read-only on a
 trusted system.

Package: macchanger
Description-md5: b3958cf2d904ea6ecdbefc5cd46ec519
Description-en: utility for manipulating the MAC address of network interfaces
 GNU MAC Changer is an utility that makes the maniputation of MAC addresses of
 network interfaces easier.  MAC addresses are unique identifiers on networks,
 they only need to be unique, they can be changed on most network hardware.
 MAC addresses have started to be abused by unscrupulous marketing firms,
 government agencies, and others to provide an easy way to track a computer
 across multiple networks.  By changing the MAC address regularly, this kind
 of tracking can be thwarted, or at least made a lot more difficult.
 .
 Features:
 .
   * set specific MAC address of a network interface
   * set the MAC randomly
   * set a MAC of another vendor
   * set another MAC of the same vendor
   * set a MAC of the same kind (eg: wireless card)
   * display a vendor MAC list (today, 6200 items) to choose from

Package: macfanctld
Description-md5: 1f62f682bbefcc8cc79936e38b386b04
Description-en: fan control daemon for Apple MacBook / MacBook Pro computers
 This daemon reads the temperature sensors in an Apple Macbook (or
 Macbook Pro) computer and throttles the fans accordingly to keep the
 temperature within configurable limits.

Package: macopix-gtk2
Description-md5: d139ee2300841addab93edb5032d09ee
Description-en: Mascot Constructive Pilot for X based on GTK+ 2
 MaCoPiX (Mascot Constructive Pilot for X) is a desktop mascot application for
 UNIX / X Window system. You can make window sitters, fixed desktop mascots,
 and, furthermore, desktop clock mascots, using MaCoPiX.

Package: mactelnet-client
Description-md5: c11ff278094a76c65a53d00d2ac33e91
Description-en: Console tools for telneting and pinging via MAC addresses
 Ping, discovery and telnet tools for connecting to Mikrotik RouterOS
 devices, or other MAC-Telnetd powered machines/devices.
 .
 This tool can communicate over the local area network using UDP packets
 with a MAC-address as destination. You can telnet Linux machines that
 have installed mactelnet-server, or a Mikrotik RouterOS device.
 .
 Uses Mikrotik's own proprietary protocol. Included are also MNDP discovery
 application, and macping to ping via the mactelnet protocol.

Package: mactelnet-server
Description-md5: 5140a8d00e6080061c1723dec13dc4fc
Description-en: Telnet daemon for accepting connections via MAC addresses
 This is a telnet daemon that uses Mikrotiks proprietary MAC-Telnet protocol.
 You will be enabled to "mac-telnet" from Linux machines with mactelnet-client
 or Mikrotik RouterOS devices which already has tools for this.

Package: macutils
Description-md5: b98e9f290c7a6b046bef64eea109212d
Description-en: Set of tools to deal with specially encoded Macintosh files
 macutils is a package that contains a number of utilities
 that deal with Macintosh files on a Unix system. This is
 useful for converting BinHex-encoded files to the smaller
 MacBinary format before transferring them to a Mac.

Package: madbomber
Description-md5: 9e5c95bbde9fd51e5f8bc0046adcae46
Description-en: Kaboom! clone
 "Mad Bomber" is a clone of Activision's classic Atari 2600 console
 game, "Kaboom!," by Larry Kaplan, with spruced-up graphics and
 sound effects, and music.
 .
 The Mad Bomber is loose in the city and he's dropping bombs everywhere!
 It's your job to catch them before they hit the ground and explode.
 Luckily, you have a set of trusty buckets to extinguish the bombs with.

Package: madbomber-data
Description-md5: ef9b11ad0c454d88dcefb20907cff6ed
Description-en: Datafiles for madbomber
 "Mad Bomber" is a clone of Activision's classic Atari 2600 console
 game, "Kaboom!," by Larry Kaplan, with spruced-up graphics and
 sound effects, and music.
 .
 The Mad Bomber is loose in the city and he's dropping bombs everywhere!
 It's your job to catch them before they hit the ground and explode.
 Luckily, you have a set of trusty buckets to extinguish the bombs with.
 .
 This package contains sound and image files for madbomber

Package: madison-lite
Description-md5: cfa0352295e300b42d1de11a9a469700
Description-en: display versions of Debian packages in an archive
 This program inspects a local Debian package archive and displays the
 versions of the given packages found in each suite (for example, stable,
 testing, or unstable) in a brief but easily human-readable form. It aims to
 be a drop-in replacement for the madison utility from the da-katie archive
 management suite that runs on the central Debian archive systems, but one
 which can run without access to the archive's SQL database.
 .
 For simple queries, you can use http://packages.debian.org/ instead, which
 does not require you to have access to a system with a local mirror.

Package: madlib-doc
Description-md5: 270844bce506ad31875ae4b183b1f182
Description-en: mesh adaptation library
 This package contains the documentation, Examples and tutorial for
 MAdLib.
 .
 MAdLib is a library that performs global node repositioning and mesh
 adaptation by local mesh modifications on tetrahedral or triangular
 meshes. It is designed to frequently adapt the mesh in transient
 computations. MAdLib is written in C++.
 .
 The adaptation procedure is driven by two objectives:
 .
 - make the edge lengths as close as possible to a (non-homogenous)
   prescribed length,
 .
 - maintain a satisfying element quality everywhere.
 .
 MAdLib can be used in transient computations in order to maintain a
 satisfying element quality (moving boundaries, multiphase flows with
 interface tracking, ...) or/and to apply selective refinements and
 coarsenings (error estimators based, interface capturing: shocks,
 free surfaces, ...).

Package: madplay
Description-md5: bd7e690248fee90240396eafd52390fd
Description-en: MPEG audio player in fixed point
 MAD is an MPEG audio decoder. It currently only supports the MPEG 1
 standard, but fully implements all three audio layers (Layer I, Layer II,
 and Layer III, the latter often colloquially known as MP3.).  There is also
 full support for ID3 tags.
 .
 All work is done in fixed point, so it even works on machines without an FPU.

Package: madwimax
Description-md5: 07c6ff4049906773887bf67a7e5864f5
Description-en: user-space driver for mWiMAX equipment based on Samsung CMC-730
 madwimax is an experimental reverse-engineered Linux driver for
 mobile WiMAX (802.16e) devices based on Samsung CMC-730 chip. These
 devices are currently supported:
  * Samsung SWC-U200
  * Samsung SWC-E100
  * Samsung SWM-S10R (it is built in Samsung NC-10 netbook)
 .
 This package contains the user-space standalone implementation of the
 driver. It requires Universal TUN/TAP support in your kernel.

Package: maffilter
Description-md5: aa50f995e1a6505dce316b43d4d5b358
Description-en: process genome alignment in the Multiple Alignment Format
 MafFilter applies a series of "filters" to a MAF file, in order to
 clean it, extract data and computer statistics while keeping track of
 the associated meta-data such as genome coordinates and quality scores.
 .
  * It can process the alignment to remove low-quality / ambiguous /
    masked regions.
  * It can export data into a single or multiple alignment file in
    format such as Fasta or Clustal.
  * It can read annotation data in GFF or GTF format, and extract the
    corresponding alignment.
  * It can perform sliding windows calculations.
  * It can reconstruct phylogeny/genealogy along the genome alignment.
  * It can compute population genetics statistics, such as site
    frequency spectrum, number of fixed/polymorphic sites, etc.

Package: maffilter-examples
Description-md5: 5efac72cfe50192f17c31d0b810d8fbe
Description-en: process genome alignment in the Multiple Alignment Format (example data)
 MafFilter applies a series of "filters" to a MAF file, in order to
 clean it, extract data and computer statistics while keeping track of
 the associated meta-data such as genome coordinates and quality scores.
 .
  * It can process the alignment to remove low-quality / ambiguous /
    masked regions.
  * It can export data into a single or multiple alignment file in
    format such as Fasta or Clustal.
  * It can read annotation data in GFF or GTF format, and extract the
    corresponding alignment.
  * It can perform sliding windows calculations.
  * It can reconstruct phylogeny/genealogy along the genome alignment.
  * It can compute population genetics statistics, such as site
    frequency spectrum, number of fixed/polymorphic sites, etc.
 .
 This package provides example data for maffilter.

Package: mafft
Description-md5: a65c32f641729a8e070bd5f7c691875a
Description-en: Multiple alignment program for amino acid or nucleotide sequences
 MAFFT is a multiple sequence alignment program which offers three
 accuracy-oriented methods:
  * L-INS-i (probably most accurate; recommended for <200 sequences;
    iterative refinement method incorporating local pairwise alignment
    information),
  * G-INS-i (suitable for sequences of similar lengths; recommended for
    <200 sequences; iterative refinement method incorporating global
    pairwise alignment information),
  * E-INS-i (suitable for sequences containing large unalignable regions;
    recommended for <200 sequences),
 and five speed-oriented methods:
  * FFT-NS-i (iterative refinement method; two cycles only),
  * FFT-NS-i (iterative refinement method; max. 1000 iterations),
  * FFT-NS-2 (fast; progressive method),
  * FFT-NS-1 (very fast; recommended for >2000 sequences; progressive
    method with a rough guide tree),
  * NW-NS-PartTree-1 (recommended for ∼50,000 sequences; progressive
    method with the PartTree algorithm).

Package: magic
Description-md5: 3a4ecd010eeb986a2e9e0316c1bdbfae
Description-en: VLSI layout tool
 Magic is a venerable VLSI layout tool, written in the 1980's at Berkeley by
 John Ousterhout, now famous primarily for writing the scripting interpreter
 language Tcl. Due largely in part to its liberal Berkeley open-source license,
 magic has remained popular with universities and small companies. The
 open-source license has allowed VLSI engineers with a bent toward programming
 to implement clever ideas and help magic stay abreast of fabrication
 technology. However, it is the well thought-out core algorithms which lend to
 magic the greatest part of its popularity. Magic is widely cited as being the
 easiest tool to use for circuit layout, even for people who ultimately rely on
 commercial tools for their product design flow.

Package: magic-wormhole
Description-md5: 0665e3963cc899729df16a80819c5fde
Description-en: Securely and simply transfer data between computers
 Magic Wormhole provides a command-line tool and Python library named
 "wormhole" which makes it possible to very easily transfer short
 pieces of text or arbitrary-sized files or directories from one
 computer to another.
 .
 The two endpoints are identified by using identical "wormhole codes":
 in general, the sending machine generates and displays the code,
 which must then be typed into the receiving machine.  The codes are
 short and human-pronounceable, using a phonetically-distinct
 wordlist.  The receiving side offers tab-completion on the codewords,
 so usually only a few characters must be typed.  Wormhole codes are
 single-use and do not need to be memorized.

Package: magic-wormhole-transit-relay
Description-md5: b8040d085eae53711056cbf35060358c
Description-en: Transit Relay server for Magic-Wormhole
 This repository implements the Magic-Wormhole "Transit Relay", a
 server that helps clients establish bulk-data transit connections
 even when both are behind NAT boxes. Each side makes a TCP connection
 to this server and presents a handshake. Two connections with
 identical handshakes are glued together, allowing them to pretend
 they have a direct connection.
 .
 This server used to be included in the magic-wormhole repository, but
 was split out into a separate repo to aid deployment and development.

Package: magicfilter
Description-md5: 4602f5be0ea998ef88b0a0a804cb9dfb
Description-en: automatic printer filter
 Magicfilter is a customizable, extensible automatic printer filter.
 .
 It translates the files that you send to a printer to a "language" that
 your printer can "understand". To accomplish this goal, it determines
 the type of the file that is to be printed and uses its "knowledge" to
 convert the file to something that is printable.
 .
 This is done by use of magicfilter's own magic database (a la file(1))
 to decide how to print out a given print job.

Package: magicmaze
Description-md5: e1de58b9d4de6336f2fbe536b82887d0
Description-en: rescue the maiden while avoiding the monsters
 This is a simple game where you are a wizard searching the evil demon's
 tower to try and rescue the beautiful maiden.  Inspired by Gauntlet II,
 your goal is to avoid/kill the monsters while trying to find the exit
 and, eventually, the big boss who is holding your girlfriend captive.
 Includes support for playing in full-screen and with a joystick.

Package: magicrescue
Description-md5: ba5c5b0be79133fe8f98d212597f8e35
Description-en: recover files by looking for magic bytes
 Magic Rescue scans a block device for file types it knows how to recover
 and calls an external program to extract them. It looks at "magic bytes"
 (file patterns) in file contents, so it can be used both as an undelete
 utility and for recovering a corrupted drive or partition. As long as
 the file data is there, it will find it.
 .
 Magic Rescue uses files called 'recipes'. These files have strings and
 commands to identify and extract data from devices or forensics images.
 So, you can write your own recipes. Currently, there are the following
 recipes: avi, canon-cr2, elf, flac, gpl, gzip, jpeg-exif, jpeg-jfif,
 mbox, mbox-mozilla-inbox, mbox-mozilla-sent, mp3-id3v1, mp3-id3v2,
 msoffice, nikon-raw, perl, png, ppm, sqlite and zip.
 .
 This package provides magicrescue, dupemap and magicsort commands.
 magicrescue is a carver and is useful in forensics investigations.

Package: magics++
Description-md5: 9b068543ba030016602d8d95ac8a603d
Description-en: Executables for the magics++ library
 Magics++ is the latest generation of the ECMWF's Meteorological plotting
 software MAGICS. Although completely redesigned in C++, it is intended to be
 as backwards-compatible as possible with the Fortran interface.
 Besides its programming interfaces (Fortran and C), Magics++ offers MagML,
 a plot description language based on XML aimed at automatic web production.
 .
 This package contains the MagcML binary that may be used with magics++.

Package: magit
Description-md5: 26512d51736a566a14c24104a2fc7e06
Description-en: transitional dummy package for elpa-magit
 This transitional package is safe to remove.

Package: magnum-api
Description-md5: ae20646c6a12de90fd0830dfefb8e5f3
Description-en: OpenStack containers as a service
 Magnum is an OpenStack project which offers container orchestration engines
 for deploying and managing containers as first class resources in OpenStack.
 It features:
  * Abstractions for bays, containers, nodes, pods, replication controllers,
    and services
  * Integration with Kubernetes and Docker for backend container technology
  * Integration with Keystone for multi-tenant security
  * Integration with Neutron for Kubernetes multi-tenancy network security
 .
 This package contains the API server.

Package: magnum-common
Description-md5: 2932a6cef94cee9c86fe7ba523a94e4e
Description-en: OpenStack containers as a service - API server
 Magnum is an OpenStack project which offers container orchestration engines
 for deploying and managing containers as first class resources in OpenStack.
 It features:
  * Abstractions for bays, containers, nodes, pods, replication controllers,
    and services
  * Integration with Kubernetes and Docker for backend container technology
  * Integration with Keystone for multi-tenant security
  * Integration with Neutron for Kubernetes multi-tenancy network security
 .
 This package contains common files for the engine and the conductor.

Package: magnum-conductor
Description-md5: c1077d8334fcc9029e3acfca19015ef0
Description-en: OpenStack containers as a service - conductor
 Magnum is an OpenStack project which offers container orchestration engines
 for deploying and managing containers as first class resources in OpenStack.
 It features:
  * Abstractions for bays, containers, nodes, pods, replication controllers,
    and services
  * Integration with Kubernetes and Docker for backend container technology
  * Integration with Keystone for multi-tenant security
  * Integration with Neutron for Kubernetes multi-tenancy network security
 .
 This package contains the conductor server.

Package: magnus
Description-md5: 20eb403cf6703039d5eccdad9a5444c8
Description-en: Very simple screen magnifier
 Magnus is a simple screen magnifying glass. It nicely integrates with
 X11 desktops like MATE or Xfce (probably with others not named here,
 too).
 .
 Visually impaired users may find this tool helpful for zooming into
 certain screen areas in order to improve readability/visibilty of fonts,
 icons and other data.

Package: mah-jong
Description-md5: 822830fc091ce9f29cecc60a3fbfa6d1
Description-en: Original Mah-Jong game
 This is a set of programs to play the original Mah-Jong game: one
 server, one client for a human player and one client for an AI
 player. Hence the game can be played by 1 to 4 human players.
 .
 You should keep in mind that the original Mah-Jong game has nothing
 to do with the well-known solitaire game. (It merely uses the same
 set of tiles.)
 .
 If you like the game, please consider making a donation to the
 (upstream) author. Read /usr/share/doc/mah-jong/README.Debian for
 details.

Package: mahimahi
Description-md5: 3839d00ae357636886065910f1826a0d
Description-en: tools for network emulation and analysis
 Mahimahi is a suite of user-space tools for network emulation and analysis.
 .
 Each mahimahi tool spawns a lightweight container, generally connected
 to the outside via a synthetic network device that observes packets in
 transit or emulates a desired behavior.
 .
 The tools are composable so that a series of emulated network effects
 can be chained together, with mahimahi containers nested inside each
 other. Each tool takes an optional command to execute, so it is possible
 to create a series of nested containers with one command line.

Package: mahimahi-traces
Description-md5: 2a3b779c2790dc5bfb2dcf66c7487f1b
Description-en: network traces for the mahimahi toolkit
 These trace files represent the time-varying capacity of U.S. cellular
 networks as experienced by a mobile user. They were recorded using the
 "Saturator" tool described in the research paper:
 .
 K. Winstein, A. Sivaraman, and H. Balakrishnan, "Stochastic Forecasts
 Achieve High Throughput and Low Delay over Cellular Networks", USENIX
 NSDI 2013.
 .
 The traces can be played back by the mm-link network emulator,
 part of the mahimahi toolkit.

Package: mail-expire
Description-md5: 3d62e85d811821d250be4ae86a1e71ce
Description-en: Utility to extract outdated messages from mbox files
 mail-expire is a small and fast script that scans mbox files for messages
 that are older than given maximum age and moves them to another (compressed)
 mailbox file or just deletes them.

Package: mail-stack-delivery
Description-md5: a344ae6c5f24033e88eae160780ba7aa
Description-en: transitional package
 This is a transitional package. It can safely be removed.
 .
 If you had formerly set up dovecot via mail-stack-delivery this package will
 still have the configuration /etc/dovecot/conf.d/99-mail-stack-delivery.conf
 associated to it which will be removed if you purge the package.

Package: mailagent
Description-md5: 72aa1356b5be0989045069d985606928
Description-en: automatic mail-processing tool and filter
 Mailagent is a mail delivery agent, and can be programmed to
 respond to mail in ways more sophisticated than a mail filtering
 program like procmail. It is easy to configure, and very easy to
 extend using Perl. Not only can the base functionality be
 extended, new commands and processing methods can be added in a
 modular fashion.
 .
 Obeying lex-like rulesets, mailagent can file mails to specific
 folders (plain Unix-style folders and also MMDF and MH ones),
 forward messages to third parties, pipe them to commands or post
 them to newsgroups. The filtering commands that are executed on
 the messages can be extended, and may rewrite the message headers
 or body as desired. It can also create and process commands based
 on key words contained in the body of the mail message.
 .
 Mailagent can be used as a vacation program, and can answer mail
 automatically and with more flexibility than the command of that
 name. A template can be provided for the body of the response,
 and the frequency of vacation mails can also be specified. Simple
 macro substitutions allow parts of the mail header to be recycled
 into the vacation messages, for a more personalized reply.
 .
 Mailagent can also be used to set up a generic mail server,
 without the hassle of the lower-level concerns like error
 recovery, logging or command parsing.
 .
 Please note that on Debian systems, mailagent requires a catch-all
 rule saving all mail into the user's home directory. Unlike other
 Mail Delivery Agents such as procmail, mailagent is too extensible
 to be safely made setgid mail, and so cannot lock /var/spool/mail
 mailboxes.

Package: mailavenger
Description-md5: 330d263ea10db5ea7252edb4878c1514
Description-en: Highly configurable, MTA-independent SMTP filter server
 Mail Avenger is a highly configurable, MTA-independent Spam filtering solution
 at SMTP'ing time.
 .
 The criteria Mail Avenger uses to handle filtering is based on a "how is it
 being send?" fashion, instead of the classical "what are you sending?" model,
 in which the body or mail itself is analysed looking for SPAM patterns,
 keywords, bad words or applying Bayesian filters, like SpamAssassin, DSPAM or
 SpamBayes does.
 .
 A distinguishing feature of Mail Avenger is that it allows you to reject spam
 during SMTP time, before even spooling messages in your local mail queue.
 This carries interesting features like identifying most clients OS (using
 TCP SYN fingerprints), acquiring client's network information, embed
 cryptographically secure expiration times in temporary mail addresses
 to validate mail before receiving the message body, between others.
 .
 This is a partial list of features:
  * Mail-bomb protection
  * TCP filtering
  * Network-level traffic analysis
  * SMTP-level traffic analysis
  * SMTP callbacks
  * Per-user and per-user-extension mail scripts
  * Per-user mail relay checks
  * Virtual domain mapping
  * Alias to user mapping
  * RBL support
  * SPF
  * SPF language queries
  * Asynchronous DNS queries
  * "Bodytest" support
  * SMTP STARTTLS support

Package: mailcheck
Description-md5: 5ea21258efc5207555e7fb21205ca053
Description-en: check multiple mailboxes/maildirs for mail
 Mailcheck is a simple, configurable tool that allows multiple mailboxes
 to be checked for the existence of new mail messages.  It supports both
 mbox and maildir-style mailboxes for compatibility with most mail
 transport agents.  It also supports remote POP3 and IMAP mailboxes.

Package: maildir-filter
Description-md5: 5e9511b252deb140dbe9161dc9b52c59
Description-en: Simple program to filter messages into Maildir folders from dot-qmail
 This program was designed to filter messages based on regular expressions with
 perl syntax and perform actions on them (deliver on a Maildir folder, send it
 to oblivion or bounce it).

Package: maildir-utils
Description-md5: 5eee24a1c2f5e40accaf37e9c9ff0b07
Description-en: Set of utilities to deal with Maildirs (upstream name mu)
 mu is a set of utilities to deal with Maildirs, specifically,
 indexing and searching.
  - mu index - recursively scans a collection of email messages, and
    stores information found in a database.
  - mu find - searches for messages based on some search criteria.
  - mu mkmdir - creates a new Maildir.
 .
 mu uses libgmime to parse the message, and Xapian to store the message data.

Package: maildirsync
Description-md5: c580e55f172ea03ecabf7a462710ac8c
Description-en: simple and efficient Maildir synchronisation utility
 maildirsync is a utility for synchronising Maildir folders between
 computers. It uses its own custom protocol for efficient
 communication, and uses ssh as its transport.

Package: maildrop
Description-md5: eda875822ffffaedfbf2564b0e457648
Description-en: mail delivery agent with filtering abilities (set-GID=mail)
 maildrop is a mail delivery agent (MDA), a program which reads a mail
 message from standard input and then delivers the message to your mailbox.
 .
 maildrop can deliver mail both in mbox and maildir storing formats.
 It can read instructions from a file, directing it how to log deliveries,
 and how to filter incoming mail, for example to deliver mail to alternate
 mailboxes, or forward it somewhere else, or pipe it through external
 programs.
 .
 It performs all the same functions as procmail, but unlike procmail,
 maildrop uses a structured filtering language which is a bit easier
 on the eyes. Other differences from procmail include not skipping syntax
 errors in filter files (instead deferring the mails for later processing)
 and being more resource-efficient when processing mails (not loading
 large messages right into memory).
 .
 maildrop in this package sets its permission to "rwxr-sr-x" (set-GID)
 and is owned by "root:mail".
 .
 maildrop also comes with the following additional programs:
 .
  * reformail, an e-mail reformatting tool, which can detect duplicate
               messages, manipulate message headers, split mailboxes into
               individual messages, and generate autoreply messages
  * maildirmake, which creates maildirs, and maildir folders
  * deliverquota, which delivers mail to maildirs while taking account
                   software-imposed quotas
  * reformime, a utility for reformatting MIME messages
  * makemime, which creates MIME-formatted messages of arbitrary complexity
  * lockmail, which creates dot-locks, file locks, and C-Client folder locks
  * mailbot, a MIME-aware autoresponder utility

Package: mailfilter
Description-md5: 815db8bc7035b81472fb1cdd387d453a
Description-en: Program that filters your incoming e-mail to help remove spam
 Mailfilter is very flexible utility for UNIX (-like) operating systems
 to get rid of unwanted e-mail messages, before having to go through the
 trouble of downloading them to the local computer. It offers support for
 one or many POP3 accounts and is especially useful for dialup connections
 via modem, ISDN, etc. Install Mailfilter if you'd like to remove spam from
 your POP3 mail accounts.
 .
 With Mailfilter you can define your own filters (rules) to determine
 which e-mails should be delivered and which are considered waste. Rules
 are Regular Expressions, so you can make use of familiar options from
 other mail delivery programs such as e.g. procmail.
 .
 If you do not get your mail from a POP3-Server you don't need Mailfilter.

Package: mailfront
Description-md5: df32c5e85614568b7e938b41fb60bfb4
Description-en: mail server network protocol front-ends
 mailfront is a set of customizable network front-ends for mail servers.
 It contains complete SMTP, QMQP, QMTP, and POP3 front-ends as well as an
 authentication module for IMAP.  The mail delivery front-ends also contain
 internal address filtering features.
 .
 Two SMTP back-ends are provided.  One delivers mail to qmail-queue,
 mimicking most of the behavior of qmail-smtpd, with the addition of
 support for SMTP AUTH.  The other rejects all SMTP commands if $SMTPREJECT
 is set, and execs its command line otherwise (in order to run the above
 program).

Package: mailgraph
Description-md5: ce49fad41b7ea59a431312e0d92c9eab
Description-en: RRDtool frontend for Mail statistics
 Mailgraph is a very simple mail statistics RRDtool frontend for
 Postfix, Sendmail or Exim that produces daily, weekly, monthly and
 yearly graphs of received/sent and bounced/rejected mail.

Package: mailman
Description-md5: c0704b09355713376b05bc252b17bf2c
Description-en: Web-based mailing list manager (legacy branch)
 The GNU Mailing List Manager, which manages email discussion lists.
 Mailman gives each mailing list a web page, and allows users to
 subscribe, unsubscribe, etc. over the web. The list manager can
 administer his or her list entirely from the web.
 .
 Mailman also integrates most things people want to do with mailing
 lists, including archiving, mail <-> news gateways, and so on. It
 has all of the features you expect from such a product, plus
 integrated support for the web (including web based archiving),
 automated bounce handling and integrated spam prevention.
 .
 Note that this package contains the legacy (2.x) branch of Mailman.
 All new development happens in the Mailman 3 suite, available in
 Debian via the mailman3 metapackage.

Package: mailman3
Description-md5: 95b97bca3cff0fc59636c61614f81160
Description-en: Mailing list management system
 This is GNU Mailman version 3, a mailing list management system. This package
 provides the core delivery engine of the system, which handles the mailing
 lists data, receives messages, handles the moderation and processing of these
 messages and delivers them to the mailing lists subscribers. It communicates
 with the other components through a private administrative REST API.
 .
 Default database backend is SQLite3 in order to not break automated
 installations. For productive setups, PostgreSQL or MySQL are much better
 options though. See README.Debian for further information.
 .
 In order to get the full Mailman3 system, the metapackage 'mailman3-full'
 should be installed.

Package: mailman3-doc
Description-md5: 2ec2502debbb643a821ba613ba29d78a
Description-en: Mailing list management system documentation
 This is GNU Mailman version 3, a mailing list management system. This package
 contains the full compiled documentation of Mailman3 server. It is built using
 sphinx and voluntarily separated from mailman3 lib/binaries as it is not
 required to have a properly working server.

Package: mailman3-full
Description-md5: 4c90c102a604fba2df5ac95f91111a69
Description-en: Full Mailman3 mailing list management suite (metapackage)
 This is GNU Mailman, a mailing list management system. This metapackage
 depends on all components of the full Mailman3 suite:
 .
  * mailman3: The core Mailman3 delivery engine
  * mailman3-web: Django project integrating Mailman3 postorius and hyperkitty
  * python3-mailman-hyperkitty: Mailman3 server plugin for Hyperkitty archiver

Package: mailman3-web
Description-md5: 2c9dd59652cc6eb67d540ac788c9a9fe
Description-en: Django project integrating Mailman3 Postorius and HyperKitty
 This django web application provides the Mailman3 Postorius web interface
 and the HyperKitty mailinglist archiver integrated into one project.
 .
 The package automates most of the necessary configuration for the Django
 project (database setup, webserver configuration, secret and API key
 generation, Django project configuration) to get a ready-to-use Mailman3
 web suite.
 .
 The Mailman3 Django project runs as uWSGI daemon and optionally a webserver
 is configured as reverse proxy. In this case, the service can be accessed
 at '/mailman3'.
 .
 Default database backend is SQLite3 in order to not break automated
 installations. For productive setups, PostgreSQL or MySQL are much better
 options though. See README.Debian for further information.

Package: mailnag
Description-md5: 89ad4cbfa0421f17012a6b890ecbc591
Description-en: extensible mail notification daemon
 Mailnag is a daemon program that checks POP3 and IMAP servers for new mail.
 On mail arrival it performs various actions provided by plugins. Mailnag
 comes with a set of desktop-independent default plugins for visual/sound
 notifications, script execution etc. and can be extended with additional
 plugins easily.

Package: mailscripts
Description-md5: 248f3ef0a8c218adeff2b0dbcdd33701
Description-en: collection of scripts for manipulating e-mail on Debian
 This package provides a collection of scripts for manipulating e-mail
 stored on Debian systems.
 .
 mdmv -- safely move messages between maildirs
 .
 mbox2maildir -- convert an mbox to a maildir using Python's libraries
 .
 notmuch-slurp-debbug -- add messages from a Debian bug to notmuch
 .
 notmuch-extract-patch -- extract a git patch series from notmuch
 .
 mbox-extract-patch -- extract a git patch series from an mbox
 .
 maildir-import-patch -- import a git patch series into a maildir
 .
 notmuch-import-patch -- import a git patch series into notmuch
 .
 email-print-mime-structure -- tree view of a message's MIME structure
 .
 email-extract-openpgp-certs -- extract OpenPGP certificates from a message
 .
 imap-dl -- download messages from an IMAP mailbox to a maildir

Package: mailsync
Description-md5: f01c470376249d5397329a8465ecc27f
Description-en: Synchronize IMAP mailboxes
 Mailsync is a way of keeping a collection of mailboxes synchronized. The
 mailboxes may be on the local filesystem or on an IMAP server.

Package: mailtextbody
Description-md5: 42bf81eca318c9c9073f5d9622ed1c7c
Description-en: tool to return the body of an email message
 Mailtextbody reads a complete email message on stdin and returns the body
 on stdout.  Technically speaking, it returns the first decoded text/plain
 MIME part of any (however nested) MIME message or just the body of a
 non-MIME message otherwise.
 .
 Mailtextbody can easily be included in other tools using pipes.

Package: mailto
Description-md5: 06ad4a2a040e61ebb41f90be6ba01cd7
Description-en: WWW Forms to Mail Gateway
 This package provides a CGI program that converts data submitted
 via a <forms> web formular to simple mail which is sent to a given
 address.

Package: mailutils
Description-md5: a595d5ff03fec0a2a54bff03d8ba2d76
Description-en: GNU mailutils utilities for handling mail
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 This package contains the GNU mailutils versions of dotlock, frm, from,
 maidag, mail, messages, mimeview, movemail, readmsg and sieve. They are
 capable of speaking POP3, IMAP, mbox, MH and Maildir.
 .
  dotlock -- lock mail spool files.
  frm -- display From: lines.
  from -- display from and subject.
  maidag -- the mail delivery agent.
  mail -- the standard /bin/mail interface, mail sender and reader.
  messages -- count the number of messages in a mailbox.
  mimeview -- display files, using mailcap mechanism.
  movemail -- move messages across mailboxes.
  readmsg -- extract selected messages from a mailbox.
  sieve -- a mail filtering tool.

Package: mailutils-common
Description-md5: 32f3c1102382b478ae851a124c7c0abe
Description-en: common files for GNU mailutils
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 These are common files for all mailutils packages, including locale
 files.

Package: mailutils-comsatd
Description-md5: a86205e706e5340d2e25716e4750e692
Description-en: GNU mailutils-based comsatd daemon
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 The GNU mailutils comsat daemon receives reports of incoming mail and
 notifies users who wish to get this service.

Package: mailutils-doc
Description-md5: c74d40fbcdb688ff4d12e2b234403ebb
Description-en: documentation files for GNU mailutils
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 This package contains the documentation for the mailutils utilities and
 development API.

Package: mailutils-guile
Description-md5: f532ab9c9ef3692825aa37e42f652304
Description-en: GNU mailutils Guile interpreter and modules
 These are the GNU mailutils Guile utilities and modules. In particular,
 the "guimb" mail processor and the sieve.scm sieve to Scheme translator
 are included, along with several .scm modules for use with Guile.

Package: mailutils-imap4d
Description-md5: b9bfa035d51988ce7aed6928a8e03546
Description-en: GNU mailutils-based IMAP4 Daemon
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 The GNU mailutils IMAP4 daemon implements the Internet Message Access
 Protocol, version 4rev1 as per RFC 2060. It supports the "Namespace"
 capability and GSSAPI authentication mechanism. It is able to get the
 authentication information from the system user database as well as from
 a SQL database of arbitrary structure. The PAM framework can also be
 used for authentication purposes. Additionally, mailutils imap4d supports
 virtual mail domains and has TLS support via GNUtls. GNU mailutils supports
 the mbox, MH and Maildir mailbox formats.

Package: mailutils-mh
Description-md5: f647b30b070f6ed4a21196e52e51deee
Description-en: GNU mailutils-based MH utilities
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 These are the GNU mailutils MH utilities. It is an implementation of MH, a
 collection of small shell programs to read and handle mail in a very flexible
 way.

Package: mailutils-pop3d
Description-md5: ec3da8165eabed2bfc5e9c955e09ea68
Description-en: GNU mailutils-based POP3 Daemon
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 The GNU mailutils POP3 daemon supports the basic POP3 protocol with the
 CAPA, RESP-CODES, PIPELINING and UIDL extensions. It also supports APOP
 authentication. The user credentials for APOP can be stored in a variety
 of DBM formats. It is able to get the authentication information from
 the system user database as well as from a SQL database of arbitrary
 structure. The PAM framework can also be used for authentication
 purposes. Additionally, mailutils pop3d supports virtual mail domains and
 has TLS support via GNUtls. GNU mailutils supports the mbox, MH and
 Maildir mailbox formats.

Package: maim
Description-md5: 049e8a369bfafde48a01e8519a662ac2
Description-en: takes screenshots of your desktop
 maim (make image) takes screenshots of your desktop. It has options
 to take only a region, and relies on another program called slop to
 query the user for regions using the graphical interface.
 .
 maim is command line tool that is supposed to be an improved scrot.

Package: maint-guide
Description-md5: d912076f90a5491df2706513d649099f
Description-en: Debian New Maintainers' Guide
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package

Package: maint-guide-ca
Description-md5: d4ec40fe2693a12f0ea59b62c701c7c7
Description-en: Debian New Maintainers' Guide (Catalan)
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is Catalan translation.

Package: maint-guide-de
Description-md5: 1bcba82c20c724c53fcfb96730aca16d
Description-en: Debian New Maintainers' Guide (German)
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is German translation.

Package: maint-guide-es
Description-md5: ca7551694a01b5c8c18e384e419d1d2d
Description-en: Debian New Maintainers' Guide (Spanish)
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is Spanish translation.

Package: maint-guide-fr
Description-md5: 9bf396a02564a3bd3f9fc24352e241dc
Description-en: Debian New Maintainers' Guide (French)
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is French translation.

Package: maint-guide-it
Description-md5: 2107e6d15c300ff25c23c30da0942479
Description-en: Debian New Maintainers' Guide (Italian)
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is Italian translation.

Package: maint-guide-ja
Description-md5: 256b515270daba9c2929a815b5a10f14
Description-en: Debian New Maintainers' Guide (Japanese)
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is Japanese translation.

Package: maint-guide-ru
Description-md5: 9800d870439ca14ea2a4e8e68cbfd427
Description-en: Debian New Maintainers' Guide (Russian)
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is Russian translation.

Package: maint-guide-vi
Description-md5: eef4db1a2ca7a24cbd19451302384db2
Description-en: Debian New Maintainers' Guide (Vietnamese)
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is Vietnamese translation.

Package: maint-guide-zh-cn
Description-md5: 00483c4b0093b071094c6b2d3b1bf042
Description-en: Debian New Maintainers' Guide (Chinese(cn))
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is Chinese(cn) translation.

Package: maint-guide-zh-tw
Description-md5: 452af1c64670e8c22a0593a23f7b3fdd
Description-en: Debian New Maintainers' Guide (Chinese(tw))
 This package contains the Debian New Maintainers' Guide.
 .
 This document tries to describe the building of a Debian package to ordinary
 Debian users and prospective developers. It uses fairly non-technical
 language, and it's well covered with working examples.
 .
 The document contains these chapters:
     * 1 Getting started The Right Way
     * 2 First steps
     * 3 Modifying the source
     * 4 Required files under debian directory
     * 5 Other files under debian directory
     * 6 Building the package
     * 7 Checking the package for errors
     * 8 Uploading the package
     * 9 Updating the package
 .
 This is Chinese(tw) translation.

Package: mairix
Description-md5: 29e3a29f8b58f49286bc01f0c530bcd6
Description-en: indexes and searches locally-stored email
 mairix is a program for indexing and searching email messages stored
 offline on your computer.  mairix supports the Maildir, MH folders,
 and mbox formats.
 .
 Some features:
 .
  * Indexing is fast. It runs incrementally on new messages - any
    particular message only gets scanned once in the lifetime of the
    index file.
 .
  * Searching is fast.
 .
  * The search mode populates a "virtual" maildir folder with symlinks
    which point to the real messages. This folder can be opened as usual
    in your mail program.
 .
  * Indexing and searching is based on words. The index file tabulates
    which words occur in which parts (particular headers + body) of which
    messages.

Package: make-guile
Description-md5: 0ed531b8440dd05ef95149eed3050b34
Description-en: utility for directing compilation with guile support
 GNU Make is a utility which controls the generation of executables
 and other target files of a program from the program's source
 files. It determines automatically which pieces of a large program
 need to be (re)created, and issues the commands to (re)create
 them. Make can be used to organize any task in which targets (files)
 are to be automatically updated based on input files whenever the
 corresponding input is newer --- it is not limited to building
 computer programs. Indeed, Make is a general purpose dependency
 solver. This variant has built in guile support

Package: makebootfat
Description-md5: 30f02e000684ffe5669efe30543ce9dd
Description-en: Utility to create a bootable FAT filesystem
 Makebootfat is a command line utility able to create bootable USB disks
 using the FAT filesystem and syslinux.
 .
 Makebootfat is the most advanced tool available able to make bootable
 USB disks. It is able to autodetect/partition/format/populate the USB
 disk in a single step without any user interaction. It's also able to
 create disk images which are compatible with all the three standards
 USB-FDD, USB-HDD and USB-ZIP at the same time.

Package: makedepf90
Description-md5: 3931f01089f14ed62f2be0f5da403f5c
Description-en: Fortran-90 dependency processor for Makefiles
 Makedepf90 is a program for automatic creation of Makefile dependency
 lists for Fortran source code. Makedepf90 supports MODULE:s, INCLUDE:s,
 cpp #include:s, f90ppr $include:s and coco ??include:s and set-files.
 .
 The original idea was to provide the same functionality for Fortran as
 .
    gcc -MM *.c
 .
 does for C.

Package: makedev
Description-md5: 0d90ffc36746b1d25c5b125ef2221357
Description-en: creates device files in /dev
 The MAKEDEV executable is used to create device files, often in /dev.
 .
 Device files are special files through which applications can interact
 with hardware.
 .
 This package is not necessary for most modern Linux systems, where the udev
 subsystem provides a more dynamic mechanism for device file management.

Package: makedic
Description-md5: 0d80c55e7259017f7a5adde558dd1477
Description-en: dictionary compiler for KDrill
 This package provides a program to create custom dictionary files for
 KDrill. It can also generate kana dictionary drill files.

Package: makefs
Description-md5: ea4b16ae72572d02335128ea70fc4b63
Description-en: create a cd9660 or ffs filesystem image from a directory tree
 NetBSD® makefs(8) creates a filesystem image from a directory tree
 without the need for superuser privileges. The MirBSD version fixes
 ECMA 119, SUSP and RRIP (Rock Ridge) compliance and adds features.
 .
 Supported target filesystem types are:
 .
    cd9660   ISO 9660 (ECMA 119) compatible filesystem images, with
             Rock Ridge, El Torito, and other features
    ffs      4.2FFS, the BSD Fast Filesystem, also known as UFS1;
             UFS2 (with "-o version=2")
 .
 The images created can be of a fixed (predefined) size, given on the
 command line, or sized automatically. Permission bits are taken from
 the source directory tree but may be overridden using an mtree file.
 .
 This utility does not currently support Large Files (2 GiB or more).

Package: makehrtf
Description-md5: aac8bfca1d716ee2f2ed51686ca7aed6
Description-en: HRTF Processing and Composition Utility
 OpenAL Soft is a branch of OpenAL which has filtering implemented in software,
 and it also adds support for head-related transfer functions (HRTFs).
 HRTFs permit 3D auralization through stereo earphones.
 .
 This package installs the makehrtf utility.

Package: makeparallel
Description-md5: 20d2485c1dca6d5d13c102a55efa599a
Description-en: Command line tool for communication with the GNU make jobserver
 makeparallel communicates with the GNU make jobserver
 in order to claim all available jobs, and then passes the number of jobs
 claimed to a subprocess with -j<jobs>.

Package: makepasswd
Description-md5: db9b7bbb21321e183aa4f60b01bb1376
Description-en: Generate and encrypt passwords
 Generates true random passwords using /dev/urandom, with the emphasis on
 security over pronounceability.  It can also encrypt plaintext passwords
 given in a temporary file.

Package: makepatch
Description-md5: b4caf25577e62a959089ee2261ad5afe
Description-en: generate/apply patch files with more functionality than plain diff
 This package contains a pair of programs, makepatch and applypatch, to
 assist in the generation and application of patch kits to synchronise
 source trees.
 .
 makepatch knows about common conventions for patch kits (it generates
 Index: and Prereq: lines, it patches patchlevel.h first, it can use
 manifest files), plus it prepends some shell code which if run will
 take care adding directories, removing files, setting execute mode on
 scripts, and the like.
 .
 applypatch uses some extra data supplied by makepatch to verify both the
 patch and the source directory before applying the patch.  Afterwards it
 will clean up the directory tree plus fix up the permissions and even
 the timestamps on the patched files.

Package: makepp
Description-md5: 2fe888cff15d6a251b5b464a492df56b
Description-en: GNU make compatible but reliable and simpler build tool
 Makepp, a build program which has a number of features that allow for reliable
 builds and simpler build files, is a drop-in replacement for GNU make. It
 supports almost all of the syntax that GNU make supports, and can be used with
 makefiles produced by utilities such as automake. It is called makepp (or
 make++) because it was designed with special support for C++, which has since
 been extended to other languages like Swig or embedded SQL.
 .
 Some features that makepp adds to make are: greatly improved handling of
 builds that involve multiple makefiles (recursive make is no longer necessary);
 automatic scanning for include files; rebuilds triggered if build command
 changes; checksum-based signature methods for reliable builds, smart enough to
 ignore whitespace or comment changes; extensibility through perl (within your
 makefile); repositories (automatically importing files from another tree);
 build caches (not recompiling identically what a user of the same cache already
 did).

Package: makeself
Description-md5: dc6bdc2e87bbb20dc88592a9cb738d99
Description-en: utility to generate self-extractable archives
 makeself is a small shell script that generates a self-extractable
 archive from a directory. The resulting file appears as a shell script
 (many of those have a .run suffix), and can be launched as is. The
 archive will then uncompress itself to a temporary directory and an
 optional arbitrary command will be executed (for example an installation
 script). This is pretty similar to archives generated with WinZip
 Self-Extractor in the Windows world. Makeself archives also include
 checksums for integrity self-validation (CRC and/or MD5 checksums).
 .
 The makeself script itself is used only to create the archives from a
 directory of files. The resultant archive is actually a compressed
 (using gzip, bzip2, or compress) TAR archive, with a small shell script
 stub at the beginning. This small stub performs all the steps of
 extracting the files, running the embedded command, and removing the
 temporary files when it's all over. All what the user has to do to
 install the software contained in such an archive is to "run" the
 archive, i.e. sh nice-software.run. It is recommended to use the "run" (which
 was introduced by some Makeself archives released by Loki Software) or
 "sh" suffix for such archives not to confuse the users, since they
 actually are shell scripts (with quite a lot of binary data attached
 to it though!).

Package: makexvpics
Description-md5: f9e75fa216c1f487c575bc715fe31b57
Description-en: updates .xvpics thumbnails from the command line
 This package includes a shell script and a C helper program to update
 XV/zgv/xzgv thumbnails from the command line.
 .
 Note that the thumbnails used by GNOME and KDE are in a different,
 incompatible format; you will need to use a tool designed for those
 desktops to create thumbnails for Nautilus or Konqueror.

Package: mako-notifier
Description-md5: 64917dbcfc2811359c7319ff7d3abd43
Description-en: lightweight notification daemon for Wayland compositors
 mako is a lightweight notification daemon for Wayland compositors that support
 the layer-shell protocol.

Package: malaga-bin
Description-md5: bb7e4b48fb06c00b7e3e3eee66d269fd
Description-en: System for automatic language analysis
 Malaga is a system for implementing natural language analysers:
 both grammars and morphologies can be created.
 You will not be needing this package if you do not intend
 to do research on computer linguistics or develop computer
 programs that need to do advanced processing of natural
 languages.
 .
 This package contains a set of standalone programs that can be
 used in developing and playing with grammars and morphologies.
 One of the programs uses a Tk/TCL module for displaying
 graphical analysis trees.

Package: malaga-doc
Description-md5: 2cba8d0e6bde2b512cf46967189c0d64
Description-en: Documentation for an automatic language analysis system
 Malaga is a system for implementing natural language analysers:
 both grammars and morphologies can be created.
 You will not be needing this package if you do not intend
 to do research on computer linguistics or develop computer
 programs that need to do advanced processing of natural
 languages.
 .
 This package contains the Malaga documentation in HTML and
 PostScript, DVI, and PDF.  To read it you will need appropriate
 viewers.  The malaga-bin package contains documentation in
 info format.

Package: malaga-mode
Description-md5: 44eadb5cecc773b67449cad56374d819
Description-en: System for automatic language analysis - emacs mode
 Malaga is a system for implementing natural language analysers:
 both grammars and morphologies can be created.
 You will not be needing this package if you do not intend
 to do research on computer linguistics or develop computer
 programs that need to do advanced processing of natural
 languages.
 .
 This package contains the emacs malaga-mode.

Package: maliit-dbus-activation
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: maliit-framework
Description-md5: 9dded4821f0efcc99e26f74ca71599f2
Description-en: Maliit Input Method Framework
 Maliit provides a flexible and cross-platform input method framework. It has a
 plugin-based client-server architecture where applications act as clients and
 communicate with the Maliit server via input context plugins. The communication
 link currently uses D-Bus. Maliit is an open source framework (LGPL 2) with
 open source plugins (BSD).

Package: maliit-framework-dbg
Description-md5: 07dfda039488251ec1ceff7aaa1c456b
Description-en: Maliit Input Method Framework - Debug symbols
 Maliit provides a flexible and cross-platform input method framework. It has a
 plugin-based client-server architecture where applications act as clients and
 communicate with the Maliit server via input context plugins. The communication
 link currently uses D-Bus. Maliit is an open source framework (LGPL 2) with
 open source plugins (BSD).
 .
 This package contains debugging symbols.

Package: maliit-framework-dev
Description-md5: 8116cc2d146631cf9338359e43e388ce
Description-en: Maliit Input Method Framework - Development Files
 Maliit provides a flexible and cross-platform input method framework. It has a
 plugin-based client-server architecture where applications act as clients and
 communicate with the Maliit server via input context plugins. The communication
 link currently uses D-Bus. Maliit is an open source framework (LGPL 2) with
 open source plugins (BSD).
 .
 This package is needed to compile plugins for the Maliit framework

Package: maliit-inputcontext-gtk2
Description-md5: 90e3576f3b9d17221dc7499b7ef0b448
Description-en: Maliit GTK+ 2 input method module
 Maliit provides a flexible and cross-platform input method framework. It has a
 plugin-based client-server architecture where applications act as clients and
 communicate with the Maliit server via input context plugins. The communication
 link currently uses D-Bus. Maliit is an open source framework (LGPL 2) with
 open source plugins (BSD).
 .
 This package provides the module needed for GTK+ 2 applications to communicate
 with the Maliit server.

Package: maliit-inputcontext-gtk3
Description-md5: 75463c6c3c1b8dfed6374b7096cbe1f7
Description-en: Maliit GTK+ 3 input method module
 Maliit provides a flexible and cross-platform input method framework. It has a
 plugin-based client-server architecture where applications act as clients and
 communicate with the Maliit server via input context plugins. The communication
 link currently uses D-Bus. Maliit is an open source framework (LGPL 2) with
 open source plugins (BSD).
 .
 This package provides the module needed for GTK+ 3 applications to communicate
 with the Maliit server.

Package: maliit-inputcontext-qt4
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: mame
Description-md5: c1a979c3e6a14cb9a8592744c96896b9
Description-en: Multiple Arcade Machine Emulator (MAME)
 MAME is a hardware emulator: it faithfully reproduces the behavior of many
 arcade machines (it is not a simulation). This program is not a game but can
 directly, through ROM images, run the complete system of these old arcade
 machines. These ROMs are subject to copyright and it is in most of the cases
 illegal to use them if you do not own the arcade machine.
 .
 This package provides the MAME binary and configuration files.

Package: mame-data
Description-md5: 27aa8eeb29427781c6e9e72d51d2690d
Description-en: Multiple Arcade Machine Emulator (MAME) -- data files
 MAME is a hardware emulator: it faithfully reproduces the behavior of many
 arcade machines (it is not a simulation). This program is not a game but can
 directly, through ROM images, run the complete system of these old arcade
 machines. These ROMs are subject to copyright and it is in most of the cases
 illegal to use them if you do not own the arcade machine.
 .
 This package provides MAME hash files, keymaps, artwork, language files,
 shaders, plugins and audio samples.

Package: mame-doc
Description-md5: fc684dc2c19a0b271a0a379506e6e4d2
Description-en: Documentation for MAME
 MAME is a hardware emulator: it faithfully reproduces the behavior of many
 arcade machines (it is not a simulation).
 .
 This is sphinx-generated full documentation for the MAME system.

Package: mame-tools
Description-md5: dee534e2169e1d0d3ba8c37d79f13158
Description-en: Tools for MAME
 MAME is a hardware emulator: it faithfully reproduces the behavior of many
 arcade machines (it is not a simulation).
 .
 This package provides tools to be used with MAME. It currently contains:
  castool -- generic cassette manipulation tool for MAME
  chdman -- MAME Compressed Hunks of Data CHD manager
  floptool -- Generic floppy image manipulation tool for MESS
  imgtool -- generic image manipulation tool for MAME
  jedutil -- binary to/from JEDEC file converter
  ldresample -- Laserdisc audio synchronizer and resampler
  ldverify -- Laserdisc AVI/CHD verifier
  romcmp -- ROM comparison utility program

Package: man2html
Description-md5: 028a882fa2da64722fb0f673a80f8b68
Description-en: browse man pages in your web browser
 Point your web browser at http://localhost/cgi-bin/man/man2html to read and
 search your man pages in the browser.
 .
 This program needs a CGI-capable HTTP server.  After installation it might
 be required to manually enable CGI support in the HTTP server (CGI may be
 disabled by default for the security consideration).  For apache2, this can
 be done with the following:
 .
  $ sudo a2enmod cgid
  $ sudo systemctl restart apache2
 .
 Features:
  * Fast C CGI program for man/BSD-mandoc to HTML conversion.
  * Works from the unformatted nroff/troff source.
  * Source may be compressed.
  * Does tbl tables (but not eqn equations).
  * Generates hypertext links to foobar(1), abc@host, and xyzzy.h files
  * CGI script for whatis-based alpha-indexes by section.
  * CGI script for name-only alpha-indexes by section.
  * CGI script for full text search (requires swish++)
  * Front-end script to talk to a pre-launched netscape.

Package: man2html-base
Description-md5: bc43f7e0e6c0b67277f5e881daf264e5
Description-en: convert man pages into HTML format
 The package contains a command-line tool for converting man pages into
 HTML format.
 .
 man2html-base is a stripped-down package containing only a man to HTML
 converter,  useful for users who do not need any CGI interface, provided
 in the man2html package.

Package: manaplus
Description-md5: 5d06e6efd6c544b9560d549817573939
Description-en: Extended client for Evol Online and The Mana World
 ManaPlus is an extended client for Evol Online, The Mana World, and similar
 servers based on a fork of eAthena. Evol Online is a 2D fantasy-style game
 which aims to create a friendly environment for interacting with others where
 people can escape reality and enjoy themselves. The Mana World (TMW) is a
 serious effort to create an innovative free and open source MMORPG.
 TMW uses 2D graphics and aims to create a large and diverse interactive world.

Package: manaplus-data
Description-md5: 84561c2e0bad9f1d7f04d0b41a6863e9
Description-en: Extended client for Evol Online and The Mana World (data files)
 ManaPlus is an extended client for Evol Online, The Mana World, and similar
 servers based on a fork of eAthena. Evol Online is a 2D fantasy-style game
 which aims to create a friendly environment for interacting with others where
 people can escape reality and enjoy themselves. The Mana World (TMW) is a
 serious effort to create an innovative free and open source MMORPG.
 TMW uses 2D graphics and aims to create a large and diverse interactive world.
 .
 This package contains the data files.

Package: mancala
Description-md5: 7f72f6fc09bb917e38c4bd556eabe2ff
Description-en: Implementation of the simple board game called Mancala
 This is an implementation of the simple, but fun board game known as
 Mancala.  Contains both a user-unfriendly character-based interface, and a
 nice, user-friendly X11-interface.  Be warned: If you just bother to read the
 rules, you may get hooked on this! For more information about the Mancala
 family of games, please read http://en.wikipedia.org/wiki/Mancala

Package: mandelbulber2
Description-md5: 4afd545818fa443c65d9af989162c6b9
Description-en: 3D fractal renderer and animator
 Mandelbulber2 is a ray-tracing application for drawing three-dimensional
 fractals, like Mandelbulb, Mandelbox, Julia, trigonometric, hypercomplex
 or IFS fractals. It is highly customizable and features complex shading
 algorithms (among the others there are shadows, depth of field, ambient
 occlusion).
 .
 Mandelbulber2 is also able to produce animations and has a simple
 built-in 3D navigator for exploring the fractals.

Package: mandelbulber2-data
Description-md5: a43185214544d346c31481efe088da90
Description-en: 3D fractal renderer and animator - data files
 Mandelbulber2 is a ray-tracing application for drawing three-dimensional
 fractals, like Mandelbulb, Mandelbox, Julia, trigonometric, hypercomplex
 or IFS fractals. It is highly customizable and features complex shading
 algorithms (among the others there are shadows, depth of field, ambient
 occlusion).
 .
 Mandelbulber2 is also able to produce animations and has a simple
 built-in 3D navigator for exploring the fractals.
 .
 This package contains architecture-independent data files for
 Mandelbulber2.

Package: manderlbot
Description-md5: d847c0863ade31be6116eb3b35464d0d
Description-en: IRC bot, written in Erlang
 Manderlbot is an IRC bot with XML configuration file, allowing you to launch
 it on several servers and channels at once, each channel will have its own
 set of behaviours.
 .
 Behaviours are generics, and you can easily make your own set. If you want
 some not-already-implemented generic behaviour, you'll have to code some
 Erlang.

Package: mandoc
Description-md5: 405ef95cd2687a2f4746c9cb7981626d
Description-en: BSD manpage compiler toolset
 mandoc is a suite of tools compiling mdoc, the roff macro language of choice
 for BSD manual pages, and man, the predominant historical language for UNIX
 manuals. It is small, ISO C, ISC-licensed, and quite fast. The main component
 of the toolset is the mandoc utility program, based on the libmandoc
 validating compiler, to format output for UNIX terminals (with support for
 wide-character locales), XHTML, HTML, PostScript, and PDF.

Package: mandos
Description-md5: 12c1d4f46db8b810b63bfd013b6bc8b5
Description-en: server giving encrypted passwords to Mandos clients
 This is the server part of the Mandos system, which allows
 computers to have encrypted root file systems and at the
 same time be capable of remote and/or unattended reboots.
 .
 The computers run a small client program in the initial RAM
 disk environment which will communicate with a server over a
 network.  All network communication is encrypted using TLS.
 The clients are identified by the server using a TLS public
 key; each client has one unique to it.  The server sends the
 clients an encrypted password.  The encrypted password is
 decrypted by the clients using an OpenPGP key, and the
 password is then used to unlock the root file system,
 whereupon the computers can continue booting normally.

Package: mandos-client
Description-md5: 1f5f0395f024e9a2960dd14e0a205616
Description-en: do unattended reboots with an encrypted root file system
 This is the client part of the Mandos system, which allows
 computers to have encrypted root file systems and at the
 same time be capable of remote and/or unattended reboots.
 .
 The computers run a small client program in the initial RAM
 disk environment which will communicate with a server over a
 network.  All network communication is encrypted using TLS.
 The clients are identified by the server using a TLS public
 key; each client has one unique to it.  The server sends the
 clients an encrypted password.  The encrypted password is
 decrypted by the clients using an OpenPGP key, and the
 password is then used to unlock the root file system,
 whereupon the computers can continue booting normally.

Package: mangler
Description-md5: d1bb6d400edcf92ba52142bac3e0c9d5
Description-en: Ventrilo compatible client for Linux
 Mangler is an open source VOIP client capable of connecting to Ventrilo 3.x
 servers. It is capable of performing almost all standard user functionality
 found in a Windows Ventrilo client.

Package: manila-api
Description-md5: e019ff74f222e5a806576572dc17f259
Description-en: OpenStack shared file system as a service - API server
 Manila is an OpenStack project to provide Shared Filesystems as a service.
 It provides coordinated access to shared or distributed file systems. While
 the primary consumption of file shares would be across OpenStack Compute
 instances, the service is also intended to be accessible as an independent
 capability in line with the modular design established by other OpenStack
 services. Manila is extensible for multiple backends (to support vendor or
 file system specific nuances / capabilities) and accommodates any of a
 variety of shared or distributed file system types.
 .
 This package contains the Manila API server.

Package: manila-common
Description-md5: 0e77db467cebc7f7f047bc3559b071e8
Description-en: OpenStack shared file system as a service - common files
 Manila is an OpenStack project to provide Shared Filesystems as a service.
 It provides coordinated access to shared or distributed file systems. While
 the primary consumption of file shares would be across OpenStack Compute
 instances, the service is also intended to be accessible as an independent
 capability in line with the modular design established by other OpenStack
 services. Manila is extensible for multiple backends (to support vendor or
 file system specific nuances / capabilities) and accommodates any of a
 variety of shared or distributed file system types.
 .
 This package contains commons components for Manila.

Package: manila-data
Description-md5: 8b3773488d3a534a16bca01851e57487
Description-en: Manila storage service - Data service
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 Manila is the OpenStack shared filesystem service.
 .
 This package contains the Manila Data service.

Package: manila-doc
Description-md5: 4d147df8dfa9e761161b0fd3ac138385
Description-en: OpenStack shared file system as a service - Doc
 Manila is an OpenStack project to provide Shared Filesystems as a service.
 It provides coordinated access to shared or distributed file systems. While
 the primary consumption of file shares would be across OpenStack Compute
 instances, the service is also intended to be accessible as an independent
 capability in line with the modular design established by other OpenStack
 services. Manila is extensible for multiple backends (to support vendor or
 file system specific nuances / capabilities) and accommodates any of a
 variety of shared or distributed file system types.
 .
 This package contains the documentation.

Package: manila-scheduler
Description-md5: 3d5e80e2df18870bf302457915e178f1
Description-en: OpenStack shared file system as a service - Scheduler server
 Manila is an OpenStack project to provide Shared Filesystems as a service.
 It provides coordinated access to shared or distributed file systems. While
 the primary consumption of file shares would be across OpenStack Compute
 instances, the service is also intended to be accessible as an independent
 capability in line with the modular design established by other OpenStack
 services. Manila is extensible for multiple backends (to support vendor or
 file system specific nuances / capabilities) and accommodates any of a
 variety of shared or distributed file system types.
 .
 This package contains the Manila Scheduler server.

Package: manila-share
Description-md5: 53598b9980cb21643d8d022115bf9638
Description-en: OpenStack shared file system as a service - Share server
 Manila is an OpenStack project to provide Shared Filesystems as a service.
 It provides coordinated access to shared or distributed file systems. While
 the primary consumption of file shares would be across OpenStack Compute
 instances, the service is also intended to be accessible as an independent
 capability in line with the modular design established by other OpenStack
 services. Manila is extensible for multiple backends (to support vendor or
 file system specific nuances / capabilities) and accommodates any of a
 variety of shared or distributed file system types.
 .
 This package contains the Manila Share daemon.

Package: manpages-de
Description-md5: 72e406583c1ccec68691460040027a22
Description-en: German manpages
 This package contains the Linux manual pages translated into German.
 The following sections are included:
  * 1 = User programs (e.g. ls, ln)
  * 4 = Devices (e.g. hd, sd).
  * 5 = File formats and protocols, syntaxes of several system
        files (e.g. wtmp, /etc/passwd, nfs).
  * 6 = Games etc.
  * 7 = Conventions and standards, macro packages, etc.
        (e.g. nroff, ascii).
  * 8 = System administration commands.
 .
 The English package manpages contains additional manual pages
 which have not been translated yet.

Package: manpages-de-dev
Description-md5: e5172dc1116f73d1f4cc28bcbeef4d17
Description-en: German development manpages
 This package contains the Linux manual pages translated into German.
 The following sections are provided:
  * 2 = Linux system calls.
  * 3 = Libc calls (note that a more comprehensive source of
        information may be found in one of the libc-doc packages).
 .
 The English package manpages-dev contains additional manual pages
 which have not been translated yet.

Package: manpages-es-extra
Description-md5: 7c572fec03b7fb3cc266dc011178db41
Description-en: Spanish extra manpages
 This package contains the GNU/Linux extra man pages, that is,
 manpages not included in the manpages package but provided by
 other software.
 .
 NOTE: Manpages included in this package are not up to date and
 there is no expectation for them to be updated in the future.

Package: manpages-fr
Description-md5: b4ba68a5afe306f1b611f4b11f4d0fd7
Description-en: French version of the manual pages about using GNU/Linux
 This package contains the French translation of GNU/Linux manual pages
 for these sections:
  4 = Devices (e.g. hd, sd).
  5 = File formats and protocols, syntaxes of several system
      files (e.g. wtmp, /etc/passwd, nfs).
  7 = Conventions and standards, macro packages, etc.
      (e.g. nroff, ascii).
 .
 Sections 1, 6 and 8 are provided by the respective applications. This
 package only includes the intro man page describing the section.
 .
 The man pages describe syntaxes of several system files.

Package: manpages-fr-dev
Description-md5: a085f5c39fd608f5a7b07f739cb30b5d
Description-en: French version of the development manual pages
 These man pages are translations of the man pages which describe the
 Linux programming interface, including these two sections:
  2 = Linux system calls.
  3 = Library calls (note that a more comprehensive source of information
      may be found in the glibc-doc package).

Package: manpages-fr-extra
Description-md5: 4e705bda7e757f0bcaae69b2bf379b59
Description-en: French version of the manual pages
 French translation of manual pages found in manpages and manpages-dev
 packages are shipped respectively by manpages-fr and manpages-fr-dev.
 This package contains translations which are neither shipped by these
 two packages nor along with original manual pages.

Package: manpages-hu
Description-md5: f575b8d1bc334d55275844f1a86e44e4
Description-en: Hungarian manpages
 This package contains the Linux manual pages translated into Hungarian.
 Following sections are included:
  * 1 = User programs (e.g. ls, ln)
  * 3 = Library calls (e.g. clock ).
  * 5 = File formats and protocols, syntaxes of several system
        files (e.g. fstab, /etc/passwd ).
  * 7 = Conventions and standards, macro packages, etc.
    (e.g. nroff, ascii).
  * 8 = System administration commands (e.g. mount, umount )

Package: manpages-it
Description-md5: a67e6158b2b0fa96f070b36da86e63c0
Description-en: Italian version of the manual pages
 This package contains the manual pages (all sections) translated into
 Italian. Translation is (continuously) in progress, and we try to
 keep in sync English and Italian versions as much as possible. Anyway
 sometimes Italian pages happen to be outdated; in these cases you need
 to refer to the original English ones.

Package: manpages-ja
Description-md5: 903d42e9bf0807452540604e087766fa
Description-en: Japanese version of the manual pages (for users)
 This package contains the Linux manual pages translated into
 Japanese.
 .
 For more information, see JM project's web page:
 .
     https://linuxjm.osdn.jp/

Package: manpages-ja-dev
Description-md5: 0b11f8310db8658e61aff2913e273e6f
Description-en: Japanese version of the manual pages (for developers)
 This package contains the Linux manual pages translated into
 Japanese. Following sections are provided:
   * 2 = Linux system calls.
   * 3 = Libc calls (note that a more comprehensive source of
 information may be found in the libc6-doc package).
 .
 For more information, see JM project's web page:
 .
     https://linuxjm.osdn.jp/

Package: manpages-pl
Description-md5: 68daae06a6227aa92f2140b10fc33d28
Description-en: Polish man pages
 This package contains the GNU/Linux manual pages translated into Polish.
 Following sections are provided:
 .
  * 1 = User programs (e.g. ls, ln).
  * 4 = Devices (e.g. hd, sd).
  * 5 = File formats and protocols, syntaxes of several system
        files (e.g. wtmp, /etc/passwd, nfs).
  * 6 = Games etc.
  * 7 = Conventions and standards, macro packages, etc.
        (e.g. nroff, ascii).
  * 8 = Administration and privileged commands.

Package: manpages-pl-dev
Description-md5: 87389b55c7841672aae88652898d7bd1
Description-en: Polish man pages for developers
 This package contains the GNU/Linux manual pages translated into Polish.
 Following sections are provided:
 .
  * 2 = Linux system calls.
  * 3 = Libc calls (note that a more comprehensive source of
        information may be found in the glibc-doc package).

Package: manpages-pt
Description-md5: a30c8a2ddd769d58c041f20f5a128c25
Description-en: Portuguese Versions of the Manual Pages
 These are the manpages available in Portuguese
 This package contains the following sections:
  * 1 = User programs (e.g. ls, ln)
  * 4 = Devices (e.g. hd, sd).
  * 5 = File formats and protocols, syntaxes of several system
    files (e.g. wtmp, /etc/passwd, nfs).
  * 6 = Games etc.
  * 7 = Conventions and standards, macro packages, etc.
    (e.g. nroff, ascii).

Package: manpages-pt-dev
Description-md5: 57c0b803f1b330ea5fc0762a2567425d
Description-en: Portuguese Versions of the Manual Pages (dev sections)
 These are the manpages available in Portuguese
 This package contains the following sections:
  * 2 = Linux system calls.
  * 3 = Libc calls (note that a more comprehensive source of
    information may be found in the libc6-doc package).
  * 8 = Kernel functions

Package: manpages-tr
Description-md5: ada07752ef1fd01aa0ba5c3f8e12acc9
Description-en: Turkish version of the manual pages
 This package contains the Linux manual pages translated into Turkish.
 The following sections are included:
  * 1 = User programs (e.g. ls, ln)
  * 2 = Linux system calls (e.g. exit, fork, open)
  * 3 = Library functions (e.g. malloc, printf)
  * 4 = Devices (e.g. hd, sd).
  * 5 = File formats and protocols, syntaxes of several system
        files (e.g. wtmp, /etc/passwd, nfs).
  * 6 = Games etc.
  * 7 = Conventions and standards, macro packages, etc.
        (e.g. nroff, ascii).
  * 8 = System administration commands.

Package: manpages-zh
Description-md5: f82c6563e01b4f535c4f8221dff686ba
Description-en: Chinese manual pages
 This package contains the Chinese manual pages translated by
 the Chinese Man Pages Project (CMPP).  Both zh_CN and zh_TW
 (Simplified and Traditional Chinese) versions are provided.

Package: manuskript
Description-md5: 177b304704cc81726f0d5235c28d8deb
Description-en: open-source tool for writers
 Manuskript is an open source tool for writers. It provides a rich environment
 to help writers create their first draft and then further refine and edit
 their masterpiece.
 .
 Features:
  - Writing modes: Manukskript offers two writing modes: one “fiction” mode
    with all the tools for fiction writers (summary, characters, plot,
    world/context, etc.), and one “simple” mode, with only the writing tab.
  - Outliner: You can organize your thoughts and snippets in a hierarchical
    manner. Organize them as you wish, reorganize them on the go.
  - Customizable distraction-free mode: Get rid of all distraction while
    writing or lock yourself until you’ve reached a certain goal.
  - Novel assistant: Use the snowflake method to grow your single genius idea
    in a coherent whole, with complex characters, intricate plots and detailed
    universe.
  - Open and plain-text file format: Depending on your configuration,
    everything is either stored zipped in one single file or as several small
    text files in one folder (to allow versioning and collaborative editing).
  - Index Cards: Use index cards to organise your thoughts: notes, scenes,
    chapters, etc.

Package: mapcache-cgi
Description-md5: 5a4135ea381b9f8dc7bb9cc226c9a02b
Description-en: tile caching server - CGI binary
 This package contains the mapcache FastCGI program, as alternative to the
 Apache module available in libapache2-mod-mapcache.
 .
 MapCache is a server that implements tile caching to speed up access to WMS
 layers. The primary objectives are to be fast and easily deployable, while
 offering the essential features (and more!) expected from a tile caching
 solution.

Package: mapcache-tools
Description-md5: 83749244370fa4f677b094864dd6722c
Description-en: tile caching server - tools
 This package provides command-line utilities for MapCache.
 .
 MapCache is a server that implements tile caching to speed up access to WMS
 layers. The primary objectives are to be fast and easily deployable, while
 offering the essential features (and more!) expected from a tile caching
 solution.

Package: mapcode
Description-md5: ef1f8cda89d4aca457fd8c71f8222e05
Description-en: Convert geo coordinates to/from mapcodes
 A mapcode represents a location. Every location on Earth can be
 represented by a mapcode. Mapcodes were designed to be short, easy to
 recognise, remember and communicate. They are precise to a few meters,
 which is good enough for every-day use. Locations in densely populated
 areas often get shorter mapcodes. See http://www.mapcode.com/
 .
 This package contains a command line utility that can convert to and
 from mapcodes.

Package: mapdamage
Description-md5: 12a591215e4baf8b82a558bce253c33a
Description-en: tracking and quantifying damage patterns in ancient DNA sequences
 MapDamage is a computational framework written in Python and R, which
 tracks and quantifies DNA damage patterns among ancient DNA sequencing
 reads generated by Next-Generation Sequencing platforms.
 .
 MapDamage is developed at the Centre for GeoGenetics by the
 Orlando Group.

Package: mapivi
Description-md5: e7625be429599e9ab3a62a9d250b0008
Description-en: Photo viewer and organizer with emphasis on IPTC fields
 Cross-platform (UNIX, Mac OS X, and Windows) picture manager and organizer,
 Mapivi is a stand alone tool, there is no need for a web server, online
 access or a database.
 .
 Deals with EXIF data (like timestamp, camera model, focal length, exposure
 time, aperture, etc.), and is able to rename the pictures according to their
 internal date/time, to display and modify JPEG comments and to do lossless
 rotation.
 .
 The idea of using Mapivi as an picture organizer is to keep user, EXIF, and
 IPTC information where it belongs -- in the pictures -- as well in a
 searchable Mapivi database.
 .
 Mapivi is also able to do image processing. Mapivi serves here as a
 frontend (GUI) for many proven command line tools, like the Image Magick
 tools.
 .
 Home page: <http://mapivi.de.vu/> or <http://herrmanns-stern.de/> or
 <http://sourceforge.net/projects/mapivi>

Package: mapnik-doc
Description-md5: d06247ada0040cba76336a7ee57adeb6
Description-en: C++ toolkit for developing GIS applications (doc)
 Mapnik is an OpenSource C++ toolkit for developing GIS
 (Geographic Information Systems) applications. At the core is a C++
 shared library providing algorithms/patterns for spatial data access and
 visualization.
 .
 Essentially a collection of geographic objects (map, layer, datasource,
 feature, geometry), the library doesn't rely on "windowing systems" and
 is intended to work in multi-threaded environments
 .
 This package contains developer documentation.

Package: mapnik-reference
Description-md5: 3744b286120f952943bd997edaaf808f
Description-en: Parseable specifications of mapnik - Node.js module
 mapnik-reference specifies mapnik structures, styles, symbolizers,
 and the list of their properties, in JSON format.
 It is useful for building parsers, tests, compilers, and syntax
 highlighting or syntax checking.
 .
 Mapnik is a C++/Python toolkit for developing GIS applications.
 .
 Node.js is an event-based server-side javascript engine.

Package: mapnik-utils
Description-md5: 27e63d7a1e67e83793688f05c93c0cdc
Description-en: C++ toolkit for developing GIS applications (utilities)
 Mapnik is an OpenSource C++ toolkit for developing GIS
 (Geographic Information Systems) applications. At the core is a C++
 shared library providing algorithms/patterns for spatial data access and
 visualization.
 .
 Essentially a collection of geographic objects (map, layer, datasource,
 feature, geometry), the library doesn't rely on "windowing systems" and
 is intended to work in multi-threaded environments
 .
 This package contains miscellaneous utilities distributed with mapnik:
 .
 shapeindex: program to creates file system based index for ESRI shape-files

Package: mapproxy
Description-md5: e582f7fa43e86d3848e8ff17f17cc1cb
Description-en: open source proxy for geospatial data
 MapProxy is an open source proxy for geospatial data. It caches, accelerates
 and transforms data from existing map services and serves any desktop or web
 GIS client.
 .
 MapProxy is a tile server (WMS-C, TMS, WMTS, KML SuperOverlays), and also a
 fully compliant WMS server supporting any WMS client (desktop and web).
 .
 This package provides the mapproxy utilities.

Package: mapproxy-doc
Description-md5: 7eedf56fdba65fadcb888e90122469de
Description-en: open source proxy for geospatial data - documentation
 MapProxy is an open source proxy for geospatial data. It caches, accelerates
 and transforms data from existing map services and serves any desktop or web
 GIS client.
 .
 MapProxy is a tile server (WMS-C, TMS, WMTS, KML SuperOverlays), and also a
 fully compliant WMS server supporting any WMS client (desktop and web).
 .
 This package provides the MapProxy documentation.

Package: mapsembler2
Description-md5: a2484fb65a6be17eb178830b19618b72
Description-en: bioinformatics targeted assembly software
 Mapsembler2 is a targeted assembly software.
 It takes as input a set of NGS raw reads (fasta or fastq, gzipped or not)
 and a set of input sequences (starters).
 .
 It first determines if each starter is read-coherent, e.g. whether reads
 confirm the presence of each starter in the original sequence.
 Then for each read-coherent starter, Mapsembler2 outputs its sequence
 neighborhood as a linear sequence or as a graph, depending on the user choice.
 .
 Mapsembler2 may be used for (not limited to):
  - Validate an assembled sequence (input as starter), e.g. from a de
    Bruijn graph assembly where read-coherence was not enforced.
  - Checks if a gene (input as starter) has an homolog in a set of reads
  - Checks if a known enzyme is present in a metagenomic NGS read set.
  - Enrich unmappable reads by extending them, possibly making them mappable
  - Checks what happens at the extremities of a contig
  - Remove contaminants or symbiont reads from a read set

Package: mapserver-bin
Description-md5: bf4ecb739dece0bece0844d415b0e77a
Description-en: MapServer utilities
 This package provides command-line utilities for MapServer.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards. Scripting
 functionality in MapScript is provided by the suggested mapscript
 library packages.

Package: mapserver-doc
Description-md5: c1eb586d0c9b03f7e3cc0dea2d9d92ff
Description-en: documentation for MapServer
 This package provides some brief documentation for MapServer.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards. Scripting
 functionality in MapScript is provided by the suggested mapscript
 library packages.

Package: maptool
Description-md5: 6b531df465cbc6764b843616f8e98f86
Description-en: Converts OpenStreetMap maps to Navit
 Navit is a car navigation system with routing engine.
 .
 Its modular design is capable of using vector maps of various formats for
 routing and rendering of the displayed map. It's even possible to use multiple
 maps at a time.
 .
 This package contains maptool, a tool to convert OpenStreetMap maps to the
 Navit format.
 .
 Note that maptool is only built on 64bit architectures.

Package: maq
Description-md5: a53ece25af8b0564674b82b8ba4c34e7
Description-en: maps short fixed-length polymorphic DNA sequence reads to reference sequences
 Maq (short for Mapping and Assembly with Quality) builds mapping assemblies
 from short reads generated by the next-generation sequencing machines. It was
 particularly designed for Illumina-Solexa 1G Genetic Analyzer, and has a
 preliminary functionality to handle ABI SOLiD data. Maq is previously known as
 mapass2.
 .
 Developmemt of Maq stopped in 2008. Its successors are BWA and SAMtools.

Package: maqview
Description-md5: b67a08902647452b367b5b63bddf0779
Description-en: graphical read alignment viewer for short gene sequences
 Maqview is graphical read alignment viewer. It is specifically designed
 for the Maq alignment file and allows you to see the mismatches, base
 qualities and mapping qualities. Maqview is nothing fancy as Consed or
 GAP, but just a simple viewer for you to see what happens in a
 particular region.
 .
 In comparison to tgap-maq, the text-based read alignment viewer written
 by James Bonfield, Maqview is faster and takes up much less memory and
 disk space in indexing. This is possibly because tgap aims to be a
 general-purpose viewer but Maqview fully makes use of the fact that a
 Maq alignment file has already been sorted. Maqview is also efficient in
 viewing and provides a command-line tool to quickly retrieve any region
 in an Maq alignment file.

Package: maradns
Description-md5: a523bb953b34b6ed9fc3ca0475c912a2
Description-en: simple security-focused authoritative Domain Name Service server
 MaraDNS is an easy to configure DNS server that functions as an
 authoritative domain name server. MaraDNS is
 optimised for serving a small number of domains quickly and
 efficiently. Well suited to host your own private DNS server
 for making up subdomains with minimal fuss. Maradns is
 security-aware by utilising a special string library which
 is resistant to buffer overflows and mandating to run as an
 unprivileged user.
 .
 For the recursive name server component and IPv6 support see
 maradns-deadwood. For TCP and domain transfer support see maradns-zoneserver.

Package: maradns-deadwood
Description-md5: cca274de24547a67199a76898043252f
Description-en: simple security-focused recursive Domain Name Service server
 This is an experimental build of the deadwood binary, that is MaraDNS'
 recursive domain name server. It will contain support for IPv6. However
 the necessary integration of init scripts and config files will not be
 done.

Package: maradns-docs
Description-md5: a7b3a562f88325f5f8475d9d2d62ee6a
Description-en: upstream documentation for the MaraDNS Domain Name Service server
 MaraDNS is easy to configure DNS server that functions as a recursive and/or
 authoritative name server. This package provides the upstream documentation
 for the MaraDNS suite. This includes more information than is available in the
 man pages including background information on DNS, how to obtain the source
 code, compile and install it. As a result the documentation includes none of
 the packaging tweaks intended to fit MaraDNS into Debian.

Package: maradns-zoneserver
Description-md5: 9e21b9ef82eb2e786065ffde995f81fa
Description-en: complementary server process to TCP functions for MaraDNS
 The MaraDNS zoneserver listens on port 53/tcp and handles DNS zone transfers
 and any DNS query done over TCP instead of UDP. It uses the same configuration
 file as the MaraDNS process itself.
 .
 This package also includes askmara-tcp, a version of askmara that uses TCP
 rather than UDP.

Package: marble
Description-md5: 1f126a4752fd8384e6c2471b34c0cba7
Description-en: globe and map widget
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package is part of the KDE education module.

Package: marble-data
Description-md5: 7ed75411766d39c37afa00e8c22391ab
Description-en: data files for Marble
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package contains the architecture-independent data files for the Marble
 desktop globe.
 .
 This package is part of the KDE education module.

Package: marble-maps
Description-md5: 5ffb66de74b67fa9ac2b8427c33f3045
Description-en: globe and map widget for mobile form factors
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package is part of the KDE education module.

Package: marble-plugins
Description-md5: 94cc6f96b770964058cd35411cc0d773
Description-en: plugins for Marble
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package contains various plugins for the Marble desktop globe. The Marble
 globe widget library may not be of much use if this package is not installed.
 .
 This package is part of the KDE education module.

Package: marble-qt
Description-md5: 3723681799383a47085e649e82c35bed
Description-en: globe and map widget (no KDE dependencies)
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package is part of the KDE education module.

Package: marble-qt-data
Description-md5: 9606a6cd2b8e7884fffb1cc5f9277491
Description-en: Qt-only data files for Marble
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package contains the architecture-independent data files for the Marble
 desktop globe.
 .
 This package is part of the KDE education module.

Package: marco
Description-md5: 95519840e2380540cca6bbdf96f6395e
Description-en: lightweight GTK+ window manager for MATE
 Marco is a small window manager, using GTK+ to do everything. It is
 developed mainly for the MATE Desktop.
 .
 This package contains the marco window manager itself.

Package: marco-common
Description-md5: da780534a11dab2e3dfc2b3db4eace95
Description-en: lightweight GTK+ window manager for MATE (common files)
 Marco is a small window manager, using GTK+ to do everything. It is
 developed mainly for the MATE Desktop.
 .
 This package contains the architecture independent files.

Package: maria
Description-md5: ca252a3bfe57ff6749d5bd4344755a7e
Description-en: reachability analyzer for Algebraic System Nets
 Maria is a powerful tool designed to aid engineers in modelling and
 solving concurrency related problems in parallel and distributed
 computing systems.
 .
 Maria finds deadlocks and violations against safety or liveness
 requirements by exploring all states that can be reached from the
 initial state of a system.  The tool manages tens or hundreds of
 millions of reachable states and enabled actions.
 .
 The expressive power of Maria's formalism is close to high-level
 programming languages, thanks to its rich data type system and
 powerful algebraic operations.

Package: maria-doc
Description-md5: 84b28f8694b5388994b9e4c3b09f447e
Description-en: documentation of Maria
 This package contains the documentation of Maria, a reachability
 analyzer for algebraic system nets, both in the formats info and html.

Package: mariadb-backup
Description-md5: 5e80c3dd009aded10c0cfe25bd682155
Description-en: Backup tool for MariaDB server
 This backup tool is guaranteed to be compatible with MariaDB.
 Based on Xtrabackup, but improved to work with MariaDB.
 .
 Please refer to the MariaDB Knowledge Base on more information on
 how to use this tool.

Package: mariadb-client
Description-md5: 153b542e1c336af8b6347c4e1ae9e0b6
Description-en: MariaDB database client (metapackage depending on the latest version)
 This is an empty package that depends on the current "best" version of
 mariadb-client (currently mariadb-client-10.3), as determined by the MariaDB
 maintainers.  Install this package if in doubt about which MariaDB version
 you want, as this is the one considered to be in the best shape.

Package: mariadb-client-10.3
Description-md5: 7b5610b71c2642b63e6ffcb5b15bba8e
Description-en: MariaDB database client binaries
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes the client binaries and the additional tools
 innotop and mysqlreport.

Package: mariadb-client-core-10.3
Description-md5: 2862a38a5ead2e1df7366bf661e03278
Description-en: MariaDB database core client binaries
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes the core client files, as used by Akonadi.

Package: mariadb-common
Description-md5: a8bf2c1aebcb16934e54a7cb04adbfb4
Description-en: MariaDB common metapackage
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.

Package: mariadb-plugin-connect
Description-md5: a80bd9647c2961ca648b4365a4644855
Description-en: Connect storage engine for MariaDB
 Connect engine supports a number of file formats (dbf, xml, txt, bin, etc),
 connections to ODBC tables and remote MySQL tables, as well as a number of
 other interesting features.
 This package contains the Connect plugin for MariaDB.

Package: mariadb-plugin-cracklib-password-check
Description-md5: 0a2fe8a4ba8c29f625cec2b60dca2e5d
Description-en: CrackLib Password Validation Plugin for MariaDB
 This password validation plugin uses cracklib to allow only
 sufficiently secure (as defined by cracklib) user passwords in MariaDB.

Package: mariadb-plugin-gssapi-client
Description-md5: ece7660a1e2293e316a2fa35c35f8b25
Description-en: GSSAPI authentication plugin for MariaDB client
 This plugin includes support for Kerberos on Unix, but can also be used for
 Windows authentication with or without domain environment.
 .
 This package contains the client parts.

Package: mariadb-plugin-gssapi-server
Description-md5: 15f0c8ec89caa84121f658521f1d6501
Description-en: GSSAPI authentication plugin for MariaDB server
 This plugin includes support for Kerberos on Unix, but can also be used for
 Windows authentication with or without domain environment.
 .
 This package contains the server parts.

Package: mariadb-plugin-mroonga
Description-md5: 38f273fa8679ace3c47de32b8f1ad726
Description-en: Mroonga storage engine for MariaDB
 Mroonga (formerly named Groonga Storage Engine) is a storage engine that
 provides fast CJK-ready full text searching using column store.
 This package contains the Mroonga plugin for MariaDB.

Package: mariadb-plugin-oqgraph
Description-md5: 1fb0e876d57cfdc1cff69872c8dc754b
Description-en: OQGraph storage engine for MariaDB
 The OQGraph engine is a computation engine plugin for handling hierarchies
 (trees) and graphs (friend-of-a-friend, etc) cleanly through standard SQL.
 This package contains the OQGraph plugin for MariaDB.

Package: mariadb-plugin-rocksdb
Description-md5: ca86ea61af685fe611c31b1ad7926b58
Description-en: RocksDB storage engine for MariaDB
 The RocksDB storage engine is a high performance storage engine, aimed
 at maximising storage efficiency while maintaining InnoDB-like performance.
 This package contains the RocksDB plugin for MariaDB.

Package: mariadb-plugin-spider
Description-md5: 0834409e7a3afca41f173143a638f5ab
Description-en: Spider storage engine for MariaDB
 The Spider storage engine with built-in sharding features. It supports
 partitioning and xa transactions, and allows tables of different MariaDB
 instances to be handled as if they were on the same instance. It refers to one
 possible implementation of ISO/IEC 9075-9:2008 SQL/MED.

Package: mariadb-plugin-tokudb
Description-md5: 31667cb988ed1d50b7ef032158e16474
Description-en: TokuDB storage engine for MariaDB
 The TokuDB storage engine is for use in high-performance and write-intensive
 environments, offering increased compression and better performance based
 on fractal indexes.
 This package contains the TokuDB plugin for MariaDB.

Package: mariadb-server
Description-md5: 47753d361ef73aaa0d808a49d4717d3f
Description-en: MariaDB database server (metapackage depending on the latest version)
 This is an empty package that depends on the current "best" version of
 mariadb-server (currently mariadb-server-10.3), as determined by the MariaDB
 maintainers. Install this package if in doubt about which MariaDB
 version you need. That will install the version recommended by the
 package maintainers.
 .
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.

Package: mariadb-server-10.3
Description-md5: ae76dbcde4bf3e79b8228e6dad967834
Description-en: MariaDB database server binaries
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes the server binaries.

Package: mariadb-server-core-10.3
Description-md5: a01f76260dfbedfdfbfcd8ff440b8a4c
Description-en: MariaDB database core server files
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes the core server files, as used by Akonadi.

Package: mariadb-test
Description-md5: 88232ad1a9463948e9a1f1d33923b547
Description-en: MariaDB database regression test suite
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package includes the regression test suite.

Package: mariadb-test-data
Description-md5: 4e2584329df41bfe2241de957d88c44a
Description-en: MariaDB database regression test suite - data files
 MariaDB is a fast, stable and true multi-user, multi-threaded SQL database
 server. SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MariaDB are speed, robustness and
 ease of use.
 .
 This package has the architecture independent data files for the test suite.

Package: marionnet
Description-md5: f57fe7bbd569da77d72a965863ec10f2
Description-en: Virtual network laboratory
 Marionnet is a virtual network laboratory: it allows users to define,
 configure and run complex computer networks without any need for physical
 setup. Only a single, possibly even non-networked GNU/Linux host machine
 is required to simulate a whole Ethernet network complete with computers,
 routers, hubs, switches, cables, and more. Support is also provided for
 integrating the virtual network with the physical host network.
 .
 As Marionnet is meant to be used also by inexperienced people, it features
 a very intuitive graphical user interface. Marionnet depends on User Mode
 Linux and VDE Virtual Distributed Ethernet for the simulation part.

Package: marisa
Description-md5: d93b84a24d3e4ba003fb52bd879d4222
Description-en: command line tools for libmarisa
 Matching Algorithm with Recursively Implemented StorAge (MARISA) is a static
 and space-efficient trie data structure.
 .
 This package contains command line tools for libmarisa.

Package: markdent
Description-md5: 91374f63c673df3d627a3b08402f64db
Description-en: event-based Markdown parser toolkit - command-line tool
 Markdent provides a toolkit for parsing Markdown
 (and Markdown variants, aka dialects).
 Unlike the other Markdown Perl tools,
 this module can be used for more than just generating HTML.
 The core parser generates events (like XML's SAX),
 making it easy to analyze a Markdown document in any number of ways.
 .
 If you're only interested in converting Markdown to HTML,
 you can use the Markdent::Simple::Document class to do this,
 although you can just as well use better battle-tested tools
 like Text::Markdown.
 .
 Markdown is a lightweight markup language
 with plain text formatting syntax.
 Its design allows it to be converted to many output formats,
 but the original tool by the same name only supports HTML.
 .
 This package provides the command-line tool markdent-html,
 including Perl module Markdent::CLI.

Package: markdown
Description-md5: 91cb49067bbada95ec2e46f260953f3a
Description-en: Text-to-HTML conversion tool
 Markdown is a text-to-HTML conversion tool for web writers.  It
 allows you to write using an easy-to-read, easy-to-write plain text
 format, then convert it to structurally valid XHTML (or HTML).

Package: markdown-unlit
Description-md5: caed43e0fd0d5b0cd44c8de2d2cace2b
Description-en: Literate Haskell support for Markdown
 This contains a parser for an alternate form of Literate Haskell
 which allows you to extract embedded Haskell from Markdown text.

Package: marsshooter
Description-md5: b2db3203887ee093295402d2826de21d
Description-en: ridiculous space shooter governed by the laws of gravity
 M.A.R.S is a two-dimensional space shooter game with excellent 2D-graphics, a
 stunning amount of particles and shader support. It offers single- and
 multiplayer gameplay, many impressive weapons and specials and customizable
 ships.
 .
 In the year 3547 civilizations across the galaxy have settled their own
 planets, living in peace and harmony with its environment. But outside the
 placid habitats, the GREAT WAR is raging. As a famous fighter on your way to
 never ending honor and prosperity, you have to protect your planet from the
 imminent doom of your jealous neighbours!

Package: marsshooter-data
Description-md5: afdb508e61692d0b40ac42289e9f977d
Description-en: ridiculous space shooter governed by the laws of gravity -- data
 M.A.R.S is a two-dimensional space shooter game with excellent 2D-graphics, a
 stunning amount of particles and shader support. It offers single- and
 multiplayer gameplay, many impressive weapons and specials and customizable
 ships.
 .
 This package contains the data files for M.A.R.S and includes all graphics
 and sounds.

Package: masakari-instance-monitor
Description-md5: e1047fdb4f7b119ce91dc9fa9749cb99
Description-en: Virtual Machine High Availability (VMHA) for OpenStack - Instance Monitor
 Monitors for Masakari provides Virtual Machine High Availability (VMHA) service
 for OpenStack clouds by automatically detecting the failure events
 such as VM process down, provisioning process down, and nova-compute host failure.
 If it detect the events, it sends notifications to the masakari-api.
 .
 This package contains the Masakari Instance Monitor.

Package: masakari-introspective-instance-monitor
Description-md5: edfb6419561c44b8d0addd0d1b63ae95
Description-en: Virtual Machine High Availability (VMHA) for OpenStack - Introspective Instance Monitor
 Monitors for Masakari provides Virtual Machine High Availability (VMHA) service
 for OpenStack clouds by automatically detecting the failure events
 such as VM process down, provisioning process down, and nova-compute host failure.
 If it detect the events, it sends notifications to the masakari-api.
 .
 This package contains the Masakari Introspective Instance Monitor.

Package: masakari-process-monitor
Description-md5: 1df2557f17b6ded122d59173792d6266
Description-en: Virtual Machine High Availability (VMHA) for OpenStack - Process Monitor
 Monitors for Masakari provides Virtual Machine High Availability (VMHA) service
 for OpenStack clouds by automatically detecting the failure events
 such as VM process down, provisioning process down, and nova-compute host failure.
 If it detect the events, it sends notifications to the masakari-api.
 .
 This package contains the Masakari Process Monitor.

Package: mash
Description-md5: 1aa2780b40b68437f05176f45423aa5a
Description-en: fast genome and metagenome distance estimation using MinHash
 Mash uses MinHash locality-sensitive hashing to reduce large biosequences to
 a representative sketch and rapidly estimate pairwise distances between
 genomes or metagenomes. Mash sketch databases effectively delineate known
 species boundaries, allow construction of approximate phylogenies, and can be
 searched in seconds using assembled genomes or raw sequencing runs from
 Illumina, Pacific Biosciences, and Oxford Nanopore.
 For metagenomics, Mash scales to thousands of samples and can replicate Human
 Microbiome Project and Global Ocean Survey results in a fraction of the time.

Package: mash-doc
Description-md5: 3493ae563ff9d2001c44b959c992a234
Description-en: documentation for Mash
 This package contains further documentation (tutorials, explanations,
 etc.) for Mash, a MinHash based genome distance estimator. The documentation
 is provided in HTML format.

Package: maskprocessor
Description-md5: a1a2f1fc5dbbdb13dbb4edf96d995534
Description-en: high-performance word generator with a per-position configurable charset
 Maskprocessor is a fast word list generator. It enumerates all combinations
 from a given user-defined keyspace and outputs the results. Since it supports
 different alphabets (which also can be combined) at different positions in the
 generation template ('mask'), this approach allows a more fine-tunable
 generation of candidates than using 'naive' brute force enumeration of words.
 Masks are defined using the description also used in the Hashcat password
 recovery utility.

Package: mason
Description-md5: 1973ae39fdab5ebfd4b1f367af1b5af1
Description-en: Interactively creates a Linux packet filtering firewall
 Mason creates a firewall that exactly matches the types of
 TCP/IP traffic flowing in, out and through a Linux computer.
 It can be used to create a full firewall or add rules to an
 existing firewall.

Package: masqmail
Description-md5: 05e346bfd02e3ef5b342d9c419f50910
Description-en: mail transport agent for intermittently connected hosts
 Masqmail is an MTA (mail transport agent) for hosts with no
 permanent network connection, such as home network servers or
 notebook computers. It has special support for connections to
 different Internet Service Providers.
 .
 In these cases, Masqmail is a slim replacement for full-blown MTAs
 such as Sendmail, Exim, Qmail or Postfix.

Package: masscan
Description-md5: 19ed2292003b28d6de8b06930d245438
Description-en: TCP port scanner
 MASSCAN is TCP port scanner which transmits SYN packets
 asynchronously and produces results similar to nmap,
 the most famous port scanner. Internally, it operates
 more like scanrand, unicornscan, and ZMap, using
 asynchronous transmission.
 It's a flexible utility that allows arbitrary address and
 port ranges.

Package: massif-visualizer
Description-md5: 6492b621e487cff7ae61f65201eeabe4
Description-en: Tool for visualizing memory usage recorded by Valgrind Massif
 Massif Visualizer is a graphical interface to visualize memory usage recorded
 by Valgrind Massif tool.
 .
 Run your application in Valgrind with --tool=massif and then open the
 generated massif.out.%pid in the visualizer. Gzip or Bzip2 compressed Massif
 files can also be opened transparently.

Package: mat
Description-md5: 3f8714e575d1aedab6a4e33f4b58add8
Description-en: Transitional package to migrate to mat2
 This is a transitional package. It can safely be removed.

Package: mat2
Description-md5: 1eae040e01f14755e528a434f513ce63
Description-en: Metadata anonymisation toolkit v2
 Metadata consist of information that characterizes data. Metadata are
 used to provide documentation for data products. In essence, metadata
 answer who, what, when, where, why, and how about every facet of the
 data that are being documented.
 .
 Metadata within a file can tell a lot about you. Cameras record data
 about when a picture was taken and what camera was used. Office
 documents like PDF or Office automatically adds author and company
 information to documents and spreadsheets.
 .
 Maybe you don't want to disclose those information.
 .
 mat2 only removes metadata from your files, it does not anonymise their
 content, nor can it handle watermarking, steganography, or any too
 custom metadata field/system.
 .
 If you really want to be anonymous, use file formats that do not contain
 any metadata, or better: use plain-text.
 .
 Formats supported to some extent are:
    - Audio Video Interleave (.avi)
    - Electronic Publication (.epub)
    - Free Lossless Audio Codec (.flac)
    - Graphics Interchange Format (.gif)
    - Hypertext Markup Language (.html, .xhtml)
    - Portable Network Graphics (PNG)
    - JPEG (.jpeg, .jpg, ...)
    - MPEG Audio (.mp3, .mp2, .mp1, .mpa)
    - MPEG-4 (.mp4)
    - Office Openxml (.docx, .pptx, .xlsx, ...)
    - Ogg Vorbis (.ogg)
    - Open Document (.odt, .odx, .ods, ...)
    - Portable Document Fileformat (.pdf)
    - Portable Pixmap Format (.ppm)
    - Scalable Vector Graphics (.svg)
    - Tape ARchive (.tar, .tar.bz2, .tar.gz, .tar.zx)
    - Torrent (.torrent)
    - Waveform Audio (.wav)
    - Windows Media Video (.wmv)
    - ZIP (.zip)
 .
 mat2 provides a command line tool, and graphical user interfaces
 via a service menu for Dolphin, the default file manager of KDE, and
 an extension for Nautilus, the default file manager of GNOME.

Package: matanza
Description-md5: 8f1d1a8573b27ec44aa60e72358d6f81
Description-en: Space ascii war game
 Matanza is a multiplayer game.  In it, every player controls a ship cruising
 in space, aiming to destroy the other players (and, eventually, ships
 controlled by the computer).

Package: matchbox
Description-md5: 67e51e72694fd308dc4b634ece6a6fde
Description-en: base X environment for resource-limited systems
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as phones, handhelds, set-top boxes, kiosks, and
 anything else for which screen space, input mechanisms or system resources are
 limited.
 .
 This is a metapackage which depends on the component packages for Matchbox
 version 1.

Package: matchbox-common
Description-md5: 64d37d4a4c16636243d93d49d02be542
Description-en: common files for Matchbox Project applications
 This package contains files used by several Matchbox Project applications.
 .
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.

Package: matchbox-desktop
Description-md5: 4a1fc3c7dd1e2658c673568d2acfe4b8
Description-en: desktop application launcher for resource-limited systems
 matchbox-desktop uses the desktop background to provide an application
 launcher. It reads the standard desktop entry specification files also used
 by GNOME and KDE to discover the applications available on the system.
 .
 matchbox-desktop allows modules to be loaded for additional functionality.
 This package includes modules for a task switcher and simple file browser.
 .
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.

Package: matchbox-keyboard
Description-md5: edcc71ae6e4e88ffe597589c133f0b69
Description-en: on-screen keyboard
 Matchbox-keyboard is an on-screen 'virtual' or 'software' keyboard, designed
 for touch-screen devices running X.
 .
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.

Package: matchbox-keyboard-im
Description-md5: 94f8f1856f4cb05fe918b268cb196632
Description-en: GTK+ input module for on-screen keyboard
 Matchbox-keyboard is an on-screen 'virtual' or 'software' keyboard, designed
 for touch-screen devices running X.
 .
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.
 .
 This package provides a GTK+ input module for use with Matchbox-keyboard or
 other on-screen keyboards.

Package: matchbox-panel
Description-md5: f4963bc9814aa371d21e6f1e29438b33
Description-en: desktop panel for resource-limited systems
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.
 .
 This package contains the Matchbox desktop panel.

Package: matchbox-panel-manager
Description-md5: e1d93f7d4cf7437f5801342e8e5bbcc2
Description-en: panel manager for matchbox-panel
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.
 .
 This package contains a utility to manage the Matchbox panel.

Package: matchbox-themes-extra
Description-md5: 10b0ef9849e5867c71aba28da23f5576
Description-en: extra themes for matchbox-window-manager
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.
 .
 This package contains some extra themes for the Matchbox window manager.

Package: matchbox-window-manager
Description-md5: f87b15fbd6f82a3cce31fa8cabd171c5
Description-en: window manager for resource-limited systems
 Matchbox is a base environment for the X Window System running on non-desktop
 embedded platforms such as handhelds, set-top boxes, kiosks and anything else
 for which screen space, input mechanisms or system resources are limited.
 .
 This package contains the Matchbox window manager.

Package: mate-accessibility-profiles
Description-md5: 396b6cbf86f1f3ff6994dd4696777dc6
Description-en: Accessibility Profile Manager - Mate profile data
 This package contains accessibility profiles for use with Mate

Package: mate-applet-appmenu
Description-md5: 5c2efceefc5ece04f061607c41d211cc
Description-en: Application Menu plugin for mate-panel
 This is an Application Menu (Global Menu) plugin. It is built using
 the Unity protocol and libraries and provides all features found in
 the Unity implementation.
 .
 This package provides the plugin for MATE.

Package: mate-applet-brisk-menu
Description-md5: c8bf2c1cb553e00b673600fc68d48695
Description-en: Solus Project's Brisk Menu MATE Panel Applet
 The MATE Desktop Environment is the continuation of GNOME 2. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 Brisk Menu is a modern desktop menu for the MATE Desktop Environment
 providing the MATE Desktop with a first-class application and system
 menu applet.

Package: mate-applets
Description-md5: 0bca6de8fdc46909a2d6f02203294b40
Description-en: Various applets for the MATE panel
 accessx-status: indicates keyboard accessibility settings, including
 the current state of the keyboard, if those features are in use.
 .
 Battstat: monitors the power subsystem on a laptop.
 .
 Character palette: provides a convenient way to access non-standard
 characters, such as accented characters, mathematical symbols, special
 symbols, and punctuation marks.
 .
 MATE CPUFreq Applet: CPU frequency scaling monitor
 .
 Drivemount: lets you mount and unmount drives and file systems.
 .
 Geyes: pair of eyes which follow the mouse pointer around the screen.
 .
 Keyboard layout switcher: lets you assign different keyboard
 layouts for different locales.
 .
 Netspeed: network traffic monitor applet.
 .
 System monitor: CPU, memory, network, swap file and resource.
 .
 Trash: lets you drag items to the trash folder.
 .
 Weather report: downloads weather information from the U.S National Weather
 Service (NWS) servers, including the Interactive Weather Information
 Network (IWIN).

Package: mate-applets-common
Description-md5: 724c9035376c2f74eed25cdf2d46c372
Description-en: Various applets for the MATE panel (common files)
 accessx-status: indicates keyboard accessibility settings, including
 the current state of the keyboard, if those features are in use.
 .
 Battstat: monitors the power subsystem on a laptop.
 .
 Character palette: provides a convenient way to access non-standard
 characters, such as accented characters, mathematical symbols, special
 symbols, and punctuation marks.
 .
 MATE CPUFreq Applet: CPU frequency scaling monitor
 .
 Drivemount: lets you mount and unmount drives and file systems.
 .
 Geyes: pair of eyes which follow the mouse pointer around the screen.
 .
 Keyboard layout switcher: lets you assign different keyboard
 layouts for different locales.
 .
 Netspeed: network traffic monitor applet.
 .
 System monitor: CPU, memory, network, swap file and resource.
 .
 Trash: lets you drag items to the trash folder.
 .
 Weather report: downloads weather information from the U.S National Weather
 Service (NWS) servers, including the Interactive Weather Information
 Network (IWIN).
 .
 This package contains the architecture independent files.

Package: mate-backgrounds
Description-md5: 6f92a76d1836e26ab6e46e637b922c08
Description-en: Set of backgrounds packaged with the MATE Desktop Environment
 This package provides a collection of desktop wallpapers created with MATE
 users in mind.
 .
 The package ships the default MATE backgrounds from various Linux
 distributions as well as a set of nature photographs suitable as comforting
 desktop backgrounds.

Package: mate-calc
Description-md5: abb486e5c4d16133d1e7999551ef7e99
Description-en: MATE desktop calculator
 mate-calc is a powerful graphical calculator with financial, logical and
 scientific modes. It uses a multiple precision package to do its arithmetic
 to give a high degree of accuracy.

Package: mate-calc-common
Description-md5: 8d90c04726a34ba8d3ae5997bafd1f5a
Description-en: MATE desktop calculator (common files)
 mate-calc is a powerful graphical calculator with financial, logical and
 scientific modes. It uses a multiple precision package to do its arithmetic
 to give a high degree of accuracy.
 .
 This package contains the architecture independent files.

Package: mate-common
Description-md5: 70c3b333d1d5dd3adf775751578861ba
Description-en: common scripts and macros to develop with MATE
 mate-common is an extension to autoconf, automake and libtool for the MATE
 environment and MATE using applications. Included are mate-autogen.sh and
 several macros to help in MATE source trees.

Package: mate-control-center
Description-md5: b6e1462868a9e2b12d2c192f4c5d8319
Description-en: utilities to configure the MATE desktop
 The MATE control center contains configuration applets for the MATE desktop,
 allowing to set accessibility configuration, desktop fonts, keyboard
 and mouse properties, sound setup, desktop theme and background, user
 interface properties, screen resolution, and other MATE parameters.
 .
 It also contains a front end to these applets, which can also be
 accessed with the MATE panel or the Caja file manager.

Package: mate-control-center-common
Description-md5: 28b61991ad992c4d5625e4d60201c687
Description-en: utilities to configure the MATE desktop (common files)
 The MATE control center contains configuration applets for the MATE desktop,
 allowing to set accessibility configuration, desktop fonts, keyboard
 and mouse properties, sound setup, desktop theme and background, user
 interface properties, screen resolution, and other MATE parameters.
 .
 This package contains the architecture independent files of MATE
 control center.

Package: mate-core
Description-md5: 9ff0776ded39cfed763cbf21cfce7add
Description-en: MATE Desktop Environment (essential components, dummy package)
 The MATE Desktop Environment is the continuation of GNOME 2. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 MATE is under active development to add support for new technologies while
 preserving a traditional desktop experience.
 .
 This package (mate-core) is a dummy package and can be safely removed.

Package: mate-desktop
Description-md5: 6d7d3150b8e89c4689a4a7170fbe0634
Description-en: Library with common API for various MATE modules
 The MATE component mate-desktop contains the libmate-desktop library, the
 mate-about program, as well as some desktop-wide documents.
 .
 The libmate-desktop library provides an API shared by several applications
 on the desktop, but that cannot live in the platform for various
 reasons.
 .
 The mate-about program helps with finding which version of MATE is installed.
 .
 NOTE: This package does not install the complete MATE desktop environment
 for you. The full desktop environment can be installed via the metapackage
 mate-desktop-environment.

Package: mate-desktop-common
Description-md5: 3c4dd3089c4f97cce3d7794d690fd498
Description-en: Library with common API for various MATE modules (common files)
 The MATE component mate-desktop contains the libmate-desktop library, the
 mate-about program, as well as some desktop-wide documents.
 .
 The libmate-desktop library provides an API shared by several applications
 on the desktop, but that cannot live in the platform for various
 reasons.
 .
 This package contains the architecture-independent files.

Package: mate-desktop-environment
Description-md5: 8105273afc4042ae9495ec264fc266e6
Description-en: MATE Desktop Environment (metapackage)
 The MATE Desktop Environment is the continuation of GNOME 2. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 MATE is under active development to add support for new technologies while
 preserving a traditional desktop experience.
 .
 This package installs the standard set of applications that are
 part of the official MATE release.
 .
 It also suggests a few non-MATE standard desktop applications like an
 internet browser, a mail reader and a network management applet.

Package: mate-desktop-environment-core
Description-md5: ebb6705e80f1c013244ed398a63c82a2
Description-en: MATE Desktop Environment (essential components, metapackage)
 The MATE Desktop Environment is the continuation of GNOME 2. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 MATE is under active development to add support for new technologies while
 preserving a traditional desktop experience.
 .
 This package depends on a very basic set of programs that are necessary to
 start a MATE desktop environment session. The set of programs includes the
 MATE window manager (Marco), the MATE file manager (Caja), the MATE
 control center and a limited set of other obligatory MATE desktop components.

Package: mate-desktop-environment-extra
Description-md5: 1cd719415caf9d70e70b55f52a9576f5
Description-en: MATE Desktop Environment (extra components, dummy package)
 The MATE Desktop Environment is the continuation of GNOME 2. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 MATE is under active development to add support for new technologies while
 preserving a traditional desktop experience.
 .
 This package (mate-desktop-environment-extra) is a dummy package and can be
 safely removed.

Package: mate-desktop-environment-extras
Description-md5: 4b5c85e307f109f83c4c7baad3417a66
Description-en: MATE Desktop Environment (extra components, metapackage)
 The MATE Desktop Environment is the continuation of GNOME 2. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 MATE is under active development to add support for new technologies while
 preserving a traditional desktop experience.
 .
 This package installs an extra set of MATE components that are
 also part of the official MATE release.

Package: mate-dock-applet
Description-md5: d5bf4982d2ed7b1c1b18d47e6b26ba28
Description-en: MATE Panel dock applet
 An application dock applet for the MATE panel. The applet allows you to:
 .
  - place a dock on any MATE panel, of any size, on any side of
    the desktop you desire.
  - pin and unpin apps to the dock
  - rearrange application icons on the dock
  - launch apps by clicking on their icons in the dock
  - minimize/unminimize running app windows by clicking the
    app's dock icon
  - detect changes in the current icon theme and update the dock
    accordingly
  - use an indicator by each app to show when it is running
  - optionally, use multiple indicators for each window an app
    has open
  - use either a light or dark indicator that it can always be
    seen no matter what colour the panel is, or turn indicators
    off altogether
  - change the colour of MATE panels to the dominant colour (i.e.
    the most common colour) of the desktop wallpaper. The colour
    can be applied to all panels or just the panel containing the
    dock.

Package: mate-equake-applet
Description-md5: eeb5b76900d2d12004c6e85bdc9617e2
Description-en: Mate panel applet which monitors earthquakes
 mate-equake-plugin is a panel applet for the mate panel which monitors
 earthquakes and displays an update each time a new earthquake occurs.
 In addition it can show alerts when heavy earthquakes have happened,
 display maps and webpages with more information, produce lists
 of hourly and daily earthquakes and update a handy signature file you
 can use in your emails. Many features are user configurable.

Package: mate-hud
Description-md5: 0c035d976589dbf0c025a6f0b73c0e3b
Description-en: Run menubar commands, much like the Unity 7 HUD
 A Heads-Up Display (HUD) allows you to search through an application's
 appmenu. So if you're trying to find that single filter in Gimp but
 can't remember which filter category it fits into or if you can't
 recall if preferences sits under File, Edit or Tools on your favourite
 browser, you can just search for it rather than hunting through the
 menus.

Package: mate-icon-theme
Description-md5: 9f5f81013b9cf1ae49ae9420cadad27e
Description-en: MATE Desktop icon theme
 This package contains the default icon theme used by the MATE desktop.
 The icons are used in the panel menu, in Caja and other
 applications shipped with MATE.
 .
 This icon theme provides icon artwork for representing the different
 applications, files, directories, and devices found on the MATE desktop.

Package: mate-indicator-applet
Description-md5: 2c014e4894a825c41d36aaeaecaea876
Description-en: MATE panel indicator applet
 A small applet to display information from various applications
 consistently in the panel.
 .
 The indicator applet exposes Ayatana Indicators in the MATE Panel.
 Ayatana Indicators are an initiative by Canonical to provide crisp and
 clean system and application status indication. They take the form of an
 icon and associated menu, displayed (usually) in the desktop panel.
 Existing indicators include the Message Menu, Battery Menu and Sound
 menu.

Package: mate-indicator-applet-common
Description-md5: 954fc958fafab75b0fdf79c4b81e2379
Description-en: MATE panel indicator applet (common files)
 A small applet to display information from various applications
 consistently in the panel.
 .
 The indicator applet exposes Ayatana Indicators in the MATE Panel.
 Ayatana Indicators are an initiative by Canonical to provide crisp and
 clean system and application status indication. They take the form of an
 icon and associated menu, displayed (usually) in the desktop panel.
 Existing indicators include the Message Menu, Battery Menu and Sound
 menu.
 .
 This package contains the architecture independent files.

Package: mate-media
Description-md5: 641725f9be913faed723c6131926ab87
Description-en: MATE media utilities
 MATE media utilities are the audio mixer and the volume
 control applet.
 .
 This package utilizes the libmatemixer library which provides
 support for ALSA and Pulseaudio as audio backends.

Package: mate-media-common
Description-md5: 199afd5d28de60c689480fe1d82e08fa
Description-en: MATE media utilities (common files)
 MATE media utilities are the audio mixer and the volume
 control applet.
 .
 This package contains the common files.

Package: mate-menu
Description-md5: d67b341a436b80495f01109176e7650d
Description-en: Advanced MATE menu
 An advanced menu for MATE. Supports filtering, favorites,
 easy-uninstallation, autosession, and many other features.
 .
 This menu originated in the Linux Mint distribution and has
 been ported to other distros that ship the MATE Desktop
 Environment.

Package: mate-menus
Description-md5: 7ba588f4bc62f57bde172fbd4cbb98a6
Description-en: implementation of the freedesktop menu specification for MATE
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org:
 .
 http://www.freedesktop.org/Standards/menu-spec
 .
 Also contained here are the MATE menu layout configuration files, .directory
 files and assorted menu related utility programs.

Package: mate-netbook
Description-md5: 6ebcdf54ebba3bad09241f5e85ee5c91
Description-en: MATE utilities for netbooks
 MATE utilities for netbooks are an applet and a daemon to maximize
 windows and move their titles on the panel.
 .
 Installing these utilities is recommended for netbooks and similar
 devices with low resolution displays.

Package: mate-netbook-common
Description-md5: 6f1bca578b639d0389f31b16c247b70c
Description-en: MATE utilities for netbooks (common files)
 MATE utilities for netbooks are an applet and a daemon to maximize
 windows and move their titles on the panel.
 .
 Installing these utilities is recommended for netbooks and similar
 devices with low resolution displays.
 .
 This package contains the architecture independent files.

Package: mate-notification-daemon
Description-md5: 00be3310683cbd506f53e6b288f82405
Description-en: daemon to display passive popup notifications
 mate-notification-daemon displays passive popup notifications, as per
 the Desktop Notifications Specification.
 .
 The Desktop Notifications Specification provides a standard way of
 doing passive popup notifications on the Linux desktop. These are
 designed to notify the user of something without interrupting their
 work with a dialog box that they must close.  Passive popups can
 automatically disappear after a short period of time, as per the
 Desktop Notifications spec.

Package: mate-notification-daemon-common
Description-md5: 2a8dd81dd552468e296c7f5e9a92ed2f
Description-en: daemon to display passive popup notifications (common files)
 mate-notification-daemon displays passive popup notifications, as per
 the Desktop Notifications Specification.
 .
 The Desktop Notifications Specification provides a standard way of
 doing passive popup notifications on the Linux desktop. These are
 designed to notify the user of something without interrupting their
 work with a dialog box that they must close.  Passive popups can
 automatically disappear after a short period of time, as per the
 Desktop Notifications spec.
 .
 This package contains the architecture independent files.

Package: mate-optimus
Description-md5: d55e232e68bcbedbe12876f993f51934
Description-en: MATE Desktop applet for controlling NVIDIA Optimus graphics cards
 NVIDIA© Optimus(TM) technology intelligently optimizes your notebook PC,
 providing the outstanding graphics performance you need, when you need
 it, all the while extending battery life for longer enjoyment.
 .
 This applet provides means to display the active GPU and lets you switch
 between the Intel and Nvidia GPUs on NVIDIA Optimus equipped computers.

Package: mate-panel
Description-md5: 9334d503600c11dc5d1bebf83b94366d
Description-en: launcher and docking facility for MATE
 The MATE Panel is an essential part of the MATE Desktop, providing
 toolbar-like “panels” which can be attached to the sides of your desktop.
 They are used to launch applications and embed a number of other
 functions, such as quick launch icons, the clock, the notification area,
 volume controls and the battery charge indicator, and utilities ranging
 from weather forecast to system monitoring.

Package: mate-panel-common
Description-md5: ac092d00f5038537486fea12cd254f89
Description-en: launcher and docking facility for MATE (common files)
 The MATE Panel is an essential part of the MATE Desktop, providing
 toolbar-like “panels” which can be attached to the sides of your desktop.
 They are used to launch applications and embed a number of other
 functions, such as quick launch icons, the clock, the notification area,
 volume controls and the battery charge indicator, and utilities ranging
 from weather forecast to system monitoring.
 .
 This package contains the architecture independent files.

Package: mate-polkit
Description-md5: 9da547a2d009861d581b2f4aa498550a
Description-en: MATE authentication agent for PolicyKit-1
 The mate-polkit package provides a D-Bus session bus service that is used to
 bring up authentication dialogs used for obtaining privileges.
 .
 This package contains the MATE policy kit authentication agent.

Package: mate-polkit-bin
Description-md5: 109c99acd6df905f416405ddf44fe518
Description-en: MATE authentication agent for PolicyKit-1 (executable wrapper script)
 The mate-polkit package provides a D-Bus session bus service that is used to
 bring up authentication dialogs used for obtaining privileges.
 .
 This package contains an executable wrapper script for the MATE policy kit
 authentication agent.
 .
 This package eases using mate-polkit as PolicyKit-1 authentication agent on
 non-MATE desktop environments that don't auto-launch their own authentication
 agent (e.g., i3, awesome, ratpoison and alike).

Package: mate-polkit-common
Description-md5: 33d1dff417aebdf2191249736a548769
Description-en: MATE authentication agent for PolicyKit-1 (common files)
 The mate-polkit package provides a D-Bus session bus service that is used to
 bring up authentication dialogs used for obtaining privileges.
 .
 This package contains common files for the MATE policy kit authentication
 agent.

Package: mate-power-manager
Description-md5: f246ba641ea3cccc901d1bef08b0b160
Description-en: power management tool for the MATE desktop
 MATE Power Manager is a session daemon for the MATE desktop
 that takes care of system or desktop events related to power, and
 triggers actions accordingly. Its philosophy is to completely hide
 these complex tasks and only show some settings important to the user.
 .
 The MATE power manager displays and manages battery status, power plug
 events, display brightness, CPU, graphics card and hard disk drive
 power saving, and can trigger suspend-to-RAM, hibernate or shutdown
 events, all integrated to other components of the MATE desktop.

Package: mate-power-manager-common
Description-md5: 27f2d2c53b0b50720396f6b33b4a90ba
Description-en: power management tool for the MATE desktop (common files)
 MATE Power Manager is a session daemon for the MATE desktop
 that takes care of system or desktop events related to power, and
 triggers actions accordingly. Its philosophy is to completely hide
 these complex tasks and only show some settings important to the user.
 .
 The MATE power manager displays and manages battery status, power plug
 events, display brightness, CPU, graphics card and hard disk drive
 power saving, and can trigger suspend-to-RAM, hibernate or shutdown
 events, all integrated to other components of the MATE desktop.
 .
 This package contains the architecture independent files.

Package: mate-screensaver
Description-md5: 1402626f94a67f725c4c962e3ed354c3
Description-en: MATE screen saver and locker
 mate-screensaver is a screen saver and locker that aims to have simple,
 sane and secure defaults, and be well integrated with the MATE desktop.
 .
 It is designed to support, among other things:
 .
  * the ability to lock down configuration settings
  * translation into other languages
  * user switching

Package: mate-screensaver-common
Description-md5: d44b2330b9b1c87e5203c9cdb17d5a0e
Description-en: MATE screen saver and locker (common files)
 mate-screensaver is a screen saver and locker that aims to have simple,
 sane and secure defaults, and be well integrated with the MATE desktop.
 .
 This package contains the architecture independent files.

Package: mate-sensors-applet
Description-md5: 44ec5ab47e2886a14ac1337c9d459b1f
Description-en: Display readings from hardware sensors in your MATE panel
 MATE Sensors Applet is an applet for the MATE panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 It can gather data from the following sources:
  * ACPI thermal zones, via the Linux kernel ACPI modules
  * Linux kernel i2c modules
  * lm-sensors (libsensors)
  * Linux kernel i8k module (for Dell Inspiron Laptops)
  * Linux kernel ibm-acpi module
  * Linux kernel PowerPC modules therm_adt746x and therm_windtunnel
  * Linux kernel iMac G5 Windfarm module
  * hddtemp daemon for reading temperatures from S.M.A.R.T. equipped hard disks
  * Linux kernel Omnibook module
  * NVIDIA graphics cards (supplied with mate-sensors-applet-nvidia package)
  * Linux kernel sonypi module (for Sony Vaio laptops)
 .
 Alarms can be set for each sensor to notify the user once a certain high or
 low value has been reached, and can be configured to execute a given command
 at given repeated intervals.

Package: mate-sensors-applet-common
Description-md5: e4c76cc2aa83bdb03da567c8b9533dda
Description-en: Display readings from hardware sensors in your MATE panel (common files)
 MATE Sensors Applet is an applet for the MATE panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 This package contains the architecture independent files.

Package: mate-sensors-applet-nvidia
Description-md5: badf03a7973b489aeeafb9ed15f74ec0
Description-en: Display readings from hardware sensors in your MATE panel (NVIDIA sensors)
 MATE Sensors Applet is an applet for the MATE panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 This package contains plugin for NVIDIA graphics cards.

Package: mate-session-manager
Description-md5: 9341aca8be09efd8bc6238fccac20add
Description-en: Session manager of the MATE desktop environment
 This package contains a session that can be started from a display
 manager such as MDM. It will load all necessary applications for a
 full-featured user session.
 .
 The session manager also features the ability to save a running session
 and restore it later.
 .
 This version is configured to start the MATE desktop, based on the
 MATE panel.

Package: mate-settings-daemon
Description-md5: 54d8bcdfcf73d60915e10324af034539
Description-en: daemon handling the MATE session settings
 This package contains the daemon which is responsible for setting the
 various parameters of a MATE session and the applications that run
 under it. It handles the following kinds of settings:
 .
  * Keyboard: layout, accessibility options, shortcuts, media keys
  * Clipboard management
  * Theming: background, icons, GTK+ applications
  * Cleanup of unused files
  * Mouse: cursors, speed, accessibility options
  * Startup of other daemons: screensaver, sound daemon
  * Typing break
 .
 It also sets various application settings through X resources and
 freedesktop.org XSETTINGS.

Package: mate-settings-daemon-common
Description-md5: 45308d8cd59887f565e9e87163d3bc72
Description-en: daemon handling the MATE session settings (common files)
 This package contains the daemon which is responsible for setting the
 various parameters of a MATE session and the applications that run
 under it. It handles the following kinds of settings:
 .
  * Keyboard: layout, accessibility options, shortcuts, media keys
  * Clipboard management
  * Theming: background, icons, GTK+ applications
  * Cleanup of unused files
  * Mouse: cursors, speed, accessibility options
  * Startup of other daemons: screensaver, sound daemon
  * Typing break
 .
 It also sets various application settings through X resources and
 freedesktop.org XSETTINGS.
 .
 This package contains the architecture independent files.

Package: mate-settings-daemon-dev
Description-md5: 2cb4f36b3b10bdd9d0f15b15bf114d16
Description-en: daemon handling the MATE session settings (development files)
 This package contains the daemon which is responsible for setting the
 various parameters of a MATE session and the applications that run
 under it. It handles the following kinds of settings:
 .
  * Keyboard: layout, accessibility options, shortcuts, media keys
  * Clipboard management
  * Theming: background, icons, GTK+ applications
  * Cleanup of unused files
  * Mouse: cursors, speed, accessibility options
  * Startup of other daemons: screensaver, sound daemon
  * Typing break
 .
 It also sets various application settings through X resources and
 freedesktop.org XSETTINGS.
 .
 This package contains the development files for building
 mate-settings-daemon plugins.

Package: mate-sntray-plugin
Description-md5: b9b92c873ef7b8b4d252d6d5c9ee3dec
Description-en: plugin for xfce4-panel to show StatusNotifierItems - MATE
 Plugin for xfce4-panel to show StatusNotifierItems
 (also known as AppIndicators). Based on ValaPanel`s
 StatusNotifier and xfce4-snw-plugin by equiem.
 .
 MATE panel applet version of the plugin.

Package: mate-system-monitor
Description-md5: 56c6a33b9a0ec5aae56c46fd1a5d0424
Description-en: Process viewer and system resource monitor for MATE
 MATE system monitor allows you to graphically view and manipulate the running
 processes on your system.  It also provides an overview of available
 resources such as CPU and memory.

Package: mate-system-monitor-common
Description-md5: c19cddf128aaa2627a6f9d9fb0b9d28f
Description-en: Process viewer and system resource monitor for MATE (common files)
 MATE system monitor allows you to graphically view and manipulate the running
 processes on your system.  It also provides an overview of available
 resources such as CPU and memory.
 .
 This package contains the architecture independent files.

Package: mate-terminal
Description-md5: a931d445b3af8a787a437f514a35a623
Description-en: MATE terminal emulator application
 MATE Terminal is a terminal emulation application that you can use to
 perform the following actions:
  - Access a UNIX shell in the MATE environment.
  - Run any application that is designed to run on VT102, VT220, and xterm
 terminals.
 .
 MATE Terminal features the ability to use multiple terminals in a single
 window (tabs) and profiles support.

Package: mate-terminal-common
Description-md5: 2ee8873670dcb4240b2f2c969aa2a68b
Description-en: MATE terminal emulator application (common files)
 MATE Terminal is a terminal emulation application that you can use to
 perform the following actions:
  - Access a UNIX shell in the MATE environment.
  - Run any application that is designed to run on VT102, VT220, and xterm
 terminals.
 .
 MATE Terminal features the ability to use multiple terminals in a single
 window (tabs) and profiles support.
 .
 This package contains the architecture independent files.

Package: mate-themes
Description-md5: 3ac03d61efe53eb75553ad1bcaa3b9e8
Description-en: Official themes for the MATE desktop
 This package contains the official desktop themes of the MATE desktop
 environment.
 .
 The themes provided for the MATE desktop partially resemble themes that
 are well known from GNOMEv2 desktops. However, the MATE team also prepared
 some new themes esp. for MATE (Menta, GreenLaguna, TraditionalGreen,
 TraditionalOk, and BlackMate).

Package: mate-tweak
Description-md5: 95bbba63d340607764fc10a542e364a2
Description-en: MATE desktop tweak tool
 Configures some aspects of the MATE desktop not exposed via the
 MATE Control Center applets.
 .
 Settings that can be handled via MATE Tweak:
 .
   - Show/hide standard desktop icons
   - Panel fine-tuning (icon visibility, in menus and on buttons,
     icon size, button labelling, contex menus, etc.)
   - Window manager fine-tuning

Package: mate-user-guide
Description-md5: 5e0fe58543872734166dd0ca69e57975
Description-en: User documentation for MATE Desktop Environment
 The MATE Desktop Environment is the continuation of GNOME 2. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 This package contains the user guide for MATE Desktop Environment and
 its main applications.

Package: mate-user-share
Description-md5: ae229f06bc1f4192ae8292b0a86270cf
Description-en: User level public file sharing via WebDAV
 MATE user share is a small tool that binds together various free
 software projects to bring easy to use user-level file sharing to the
 masses.
 .
 The program is meant to run in the background when the user is logged
 in, and when file sharing is enabled a webdav server is started that
 shares the $HOME/Public folder. The share is then published to all
 computers on the local network using mDNS/rendezvous, so that it shows
 up in the Network location in GNOME.
 .
 The dav server used is Apache, so you need that installed. Avahi or
 Howl is used for mDNS support, so you need to have that installed and
 mDNSResolver running.

Package: mate-user-share-common
Description-md5: e55474a17e6a4865e1cd35bad922bd10
Description-en: User level public file sharing via WebDAV (common files)
 MATE user share is a small tool that binds together various free
 software projects to bring easy to use user-level file sharing to the
 masses.
 .
 The program is meant to run in the background when the user is logged
 in, and when file sharing is enabled a webdav server is started that
 shares the $HOME/Public folder. The share is then published to all
 computers on the local network using mDNS/rendezvous, so that it shows
 up in the Network location in GNOME.
 .
 The dav server used is Apache, so you need that installed. Avahi or
 Howl is used for mDNS support, so you need to have that installed and
 mDNSResolver running.
 .
 This package contains the architecture independent files.

Package: mate-utils
Description-md5: e21b7ecaf20dd781e664f63d59e2d717
Description-en: MATE desktop utilities
 This package contains all the tools bundled as MATE utilities:
  - mate-disk-usage-analyzer, a disk usage analyser
  - mate-dictionary, a program which can look up the definition of words
    over the internet (including a panel applet to do the same)
  - mate-search-tool, with which one can find files by name or content
  - mate-system-log, a log viewing application
  - mate-screenshot, a tool to take desktop screenshots and save them into
    a file

Package: mate-utils-common
Description-md5: 1fc47aa11d89c0b7e77b924a022b7093
Description-en: MATE desktop utilities (common files)
 This package contains all the tools bundled as MATE utilities:
  - mate-disk-usage-analyzer, a disk usage analyser
  - mate-dictionary, a program which can look up the definition of words
    over the internet (including a panel applet to do the same)
  - mate-search-tool, with which one can find files by name or content
  - mate-system-log, a log viewing application
  - mate-screenshot, a tool to take desktop screenshots and save them into
    a file
 .
 This package contains the architecture independent files.

Package: mate-window-applets-common
Description-md5: 0ea101c49ecaf58c32cee7748167624e
Description-en: MATE Window Applets (common files)
 The MATE Window Applets collection provides various applets to show
 window control elements in the MATE Panel.
 .
 This package contains the arch-independent files of the MATE Windows
 Applets.

Package: mate-window-buttons-applet
Description-md5: 9423271f87e322b0f3a8b6c179c11aba
Description-en: MATE Window Applets (WindowButtons Applet)
 The MATE Window Applets collection provides various applets to show
 window control elements in the MATE Panel.
 .
 This WindowButtons applet shows you the close, minimize and actions
 buttons.

Package: mate-window-menu-applet
Description-md5: 0d3ace4e58e5d65af889b8f59d31111f
Description-en: MATE Window Applets (WindowMenu Applet)
 The MATE Window Applets collection provides various applets to show
 window control elements in the MATE Panel.
 .
 This WindowMenu applet shows you the window menu of the active window.

Package: mate-window-title-applet
Description-md5: b0f4531eb8bfbb5da1d12d4a3f5f23cc
Description-en: MATE Window Applets (WindowTitle Applet)
 The MATE Window Applets collection provides various applets to show
 window control elements in the MATE Panel.
 .
 This WindowTitle applet shows you the class, title, role, xid and pid of
 the active window.

Package: materia-gtk-theme
Description-md5: de9a84349eb6da09dd7d37be5c228ed5
Description-en: Material Design theme for GNOME/GTK+ based desktop environments
 Materia (formerly Flat-Plat) is a Material Design theme for GNOME/GTK+ based
 desktop environments.It supports GTK+ 3, GTK+ 2, GNOME Shell, Budgie, MATE,
 Unity, LightDM, GDM, Chrome theme, etc.
 .
 Also Materia has compatibility with oomox theme designer.

Package: mathgl
Description-md5: a73ee7dac8ccf25e51029594c659f96f
Description-en: library for scientific graphs (utilities and examples)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains MathGL utilities and examples.

Package: mathomatic
Description-md5: a30609609270c510bc17e844762b2aaa
Description-en: portable Computer Algebra System (CAS)
 Mathomatic implements most of the rules of algebra for the
 mathematical operators +, -, *, /, % (modulus), and power (including
 roots).
 .
 Mathomatic can symbolically:
 .
  * combine and solve equations,
  * completely simplify and compare expressions and equations,
  * do simple calculus transformations and series,
  * do standard, complex number, modular, and polynomial arithmetic,
  * generate optimized C, Java, and Python language code from
    simplified equations,
  * plot expressions with gnuplot in two or three dimensions,
  * do quick calculations, colorize expressions, etc.

Package: mathomatic-primes
Description-md5: 8b61ad5701036bccc076ea6177ca21bc
Description-en: prime number tools for mathomatic
 This package contains the integer math tools from Mathomatic to:
 .
  * quickly generate any amount of consecutive prime numbers
  * calculate large primorials
  * sum and multiply large integers
  * calculate and display Pascal's triangle
  * find the minimum number of positive integers that when squared and
    added together, equal the given number

Package: mathpartir
Description-md5: fc4743cf4304f34343f05b270ee27192
Description-en: LaTeX macros for typesetting inference rules - transitional package
 This is a transitional package to texlive-science, it can be safely removed.

Package: mathpiper
Description-md5: fdca92f4f14da96dc392c5ceb1e6102d
Description-en: Java Computer Algebra System
 Mathpiper is a CAS (Computer Algebra System), written in Java. It is part
 of the mathrider suite, a mathematics computing environment for
 performing numeric and symbolic computations.

Package: mathtex
Description-md5: 0d47a9b7aa9346678d46975a91ac6f47
Description-en: Generate image from LaTeX command
 MathTeX is a cgi program that lets you easily embed LaTeX math
 in your own html pages, blogs, wikis, etc.  It parses a LaTeX
 math expression and immediately emits the corresponding gif
 (or png) image, rather than the usual TeX dvi.

Package: matlab-support-dev
Description-md5: ee06902b371af79e377adbcb11778505
Description-en: helpers for packages building MATLAB toolboxes
 This package provides a Makefile snippet (analogous to the one used for
 Octave) that configures the locations for architecture independent M-files,
 binary MEX-extensions, and their corresponding sources. This package can be
 used as a build-dependency by other packages shipping MATLAB toolboxes.

Package: matlab2tikz
Description-md5: 89a13d09a83be78edf5bbc974b88cd62
Description-en: converter of Octave plots to TikZ figures (for integration into LaTeX)
 matlab2tikz is an Octave script for converting Octave figures into native
 TikZ/Pgfplots figures, which can then easily be incorporated into a LaTeX
 document. It supports the conversion of most Octave figures, including 2D and
 3D plots.
 .
 This package is also compatible with the (nonfree) MATLAB software.

Package: matrix-synapse
Description-md5: 723156d5171151f424efdaaa23158f63
Description-en: Matrix reference homeserver
 Synapse is the reference Python/Twisted Matrix homeserver implementation.
 .
 Synapse is intended to showcase the concept of Matrix, and to let users run
 their own homeserver and generally help bootstrap the ecosystem.
 .
 Matrix is an open standard for interoperable, decentralised, real-time
 communication over IP. It can be used to power Instant Messaging, VoIP/WebRTC
 signalling, Internet of Things communication or anywhere where's a need for
 a standard HTTP API for publishing and subscribing to data whilst tracking the
 conversation history.
 .
 In Matrix, every user runs one or more Matrix clients, which connect through
 to a Matrix homeserver. The homeserver stores all their personal chat history
 and user account information, much as a mail client connects through to an
 IMAP/SMTP server.

Package: matrix-synapse-ldap3
Description-md5: 99919f3c9d874a507e9e13c617b64f7f
Description-en: LDAP auth provider for the Matrix homeserver
 Synapse is the reference Python/Twisted Matrix homeserver implementation.
 .
 Synapse is intended to showcase the concept of Matrix, and to let users run
 their own homeserver and generally help bootstrap the ecosystem.
 .
 Matrix is an open standard for interoperable, decentralised, real-time
 communication over IP. It can be used to power Instant Messaging, VoIP/WebRTC
 signalling, Internet of Things communication or anywhere where's a need for
 a standard HTTP API for publishing and subscribing to data whilst tracking the
 conversation history.
 .
 In Matrix, every user runs one or more Matrix clients, which connect through
 to a Matrix homeserver. The homeserver stores all their personal chat history
 and user account information, much as a mail client connects through to an
 IMAP/SMTP server.
 .
 This package allows synapse to use LDAP for authentication as opposed
 to usual authentication via registering using a matrix client.

Package: matroxset
Description-md5: ffc32dc1fdde638f21497ca9f9c64fd1
Description-en: switch output modes, including TV out, of Matrox video cards
 This utility can be used to map heads to outputs, change the output
 mode to monitor, TV, or digital flat panel, display information about
 horizontal and vertical blanking, and view or modify a number of card
 specific controls.

Package: maude
Description-md5: ccc32fb1bab14fc17d812e62b5294862
Description-en: high-performance logical framework
 Maude is a high-performance reflective language and system supporting
 both equational and rewriting logic specification and programming for
 a wide range of applications. Maude has been influenced in important
 ways by the OBJ3 language, which can be regarded as an equational
 logic sublanguage. Besides supporting equational specification and
 programming, Maude also supports rewriting logic computation.
 .
 Rewriting logic is a logic of concurrent change that can naturally
 deal with state and with concurrent computations. It has good
 properties as a general semantic framework for giving executable
 semantics to a wide range of languages and models of concurrency. In
 particular, it supports very well concurrent object-oriented
 computation. The same reasons making rewriting logic a good semantic
 framework make it also a good logical framework, that is, a metalogic
 in which many other logics can be naturally represented and executed.
 .
 Maude supports in a systematic and efficient way logical
 reflection. This makes Maude remarkably extensible and powerful,
 supports an extensible algebra of module composition operations, and
 allows many advanced metaprogramming and metalanguage
 applications. Indeed, some of the most interesting applications of
 Maude are metalanguage applications, in which Maude is used to create
 executable environments for different logics, theorem provers,
 languages, and models of computation.
 .
 Maude is of interest to the biomedical community for modeling and
 analysis of biological systems.

Package: mauve-aligner
Description-md5: 3a6b6e20614d8331f48ecec8afbd02a9
Description-en: multiple genome alignment
 Mauve is a system for efficiently constructing multiple genome alignments
 in the presence of large-scale evolutionary events such as rearrangement
 and inversion. Multiple genome alignment provides a basis for research
 into comparative genomics and the study of evolutionary dynamics.  Aligning
 whole genomes is a fundamentally different problem than aligning short
 sequences.
 .
 Mauve has been developed with the idea that a multiple genome aligner
 should require only modest computational resources. It employs algorithmic
 techniques that scale well in the amount of sequence being aligned. For
 example, a pair of Y. pestis genomes can be aligned in under a minute,
 while a group of 9 divergent Enterobacterial genomes can be aligned in
 a few hours.
 .
 Mauve computes and interactively visualizes genome sequence comparisons.
 Using FastA or GenBank sequence data, Mauve constructs multiple genome
 alignments that identify large-scale rearrangement, gene gain, gene loss,
 indels, and nucleotide substutition.
 .
 Mauve is developed at the University of Wisconsin.

Package: maven
Description-md5: 344f87767e3119fe58a5149874e743c9
Description-en: Java software project management and comprehension tool
 Maven is a software project management and comprehension tool. Based on the
 concept of a project object model (POM), Maven can manage a project's build,
 reporting and documentation from a central piece of information.
 .
 Maven's primary goal is to allow a developer to comprehend the complete
 state of a development effort in the shortest period of time. In order to
 attain this goal there are several areas of concern that Maven attempts
 to deal with:
 .
    * Making the build process easy
    * Providing a uniform build system
    * Providing quality project information
    * Providing guidelines for best practices development
    * Allowing transparent migration to new features

Package: maven-ant-helper
Description-md5: f2c490cf8d85b5e86cb5ef4121bb7088
Description-en: helper scripts for building Maven components with ant
 An environment that can be used to simplify the creation of Debian packages
 to support the Maven system. A "modello" ant task is also provided.
 .
 maven-build.xml attempts to reproduce the Maven build life-cycle.
 You can use it to build your jar or javadoc. If you have libmaven2-core-java
 installed, you can even use it to launch some Maven plugins to generate
 some code.

Package: maven-cache-cleanup
Description-md5: f5c5f8588751ecaca4ed05e16d26da31
Description-en: Utility to purge timestamped snapshots from Maven repositories
 Maven 3 dropped support for non-unique snapshot versions, which had the
 side effect of filling up Maven caches on developer machines and on CI
 build hosts. The Maven Cache Cleanup utility scans a specified Maven cache
 directory for snapshot versions and deletes all but the latest version of
 the timestamped artifacts.

Package: maven-debian-helper
Description-md5: 1339aa9bab67b5b17d46e9f968b15eef
Description-en: Helper tools for building Debian packages with Maven
 maven-debian-helper is a set of tools used to generate Debian packages from
 Maven projects and build them in a manner that complies with the Debian
 policies.

Package: maven-repo-helper
Description-md5: eb08bec908759f1bd4f918393d802196
Description-en: Helper tools for including Maven metadata in Debian packages
 This package enables Debian packages which are not using Maven in their
 build process to provide and install Maven POMs and libraries in the
 repository located in /usr/share/maven-repo.
 .
 Packages built with Maven (using maven-debian-helper) will benefit as
 many of their dependencies are already packaged in Debian but they are
 missing the necessary metadata (Maven POM) which is required by Maven
 when it is using dependencies.
 .
 A tutorial is available at /usr/share/doc/maven-repo-helper/tutorial.html

Package: maxima
Description-md5: a893a8f17245868a395fc5e31ea49aa5
Description-en: Computer algebra system -- base system
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 This package contains the main executables and base system files.

Package: maxima-doc
Description-md5: 8c9a5773f96e544e207d2a5e77bbe247
Description-en: Computer algebra system -- documentation
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 This package contains most of the documentation.

Package: maxima-emacs
Description-md5: 97f2cd7a6f54bf76ae929a9db126bb3f
Description-en: Computer algebra system -- emacs interface
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 This package contains a tradition emacs interface, together with a
 newer imaxima interface supporting inline imaging and HTML export
 under a specialized imath mode.

Package: maxima-sage
Description-md5: 411afdf04caf454d6233a9b8540e02f0
Description-en: Computer algebra system -- base system
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 The maxima-sage packages are meant to be used together with SageMath.
 They contain the version of Maxima that works together with the
 SageMath version in Debian and use ECL instead of GCL as Lisp compiler.
 To use Maxima by itself, the more complete and up-to-date maxima package
 is recommended.
 .
 This package contains the main executables and base system files.

Package: maxima-sage-doc
Description-md5: a5d54037720d376b5f6faf4164488d0d
Description-en: Computer algebra system -- documentation
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 The maxima-sage packages are meant to be used together with SageMath.
 They contain the version of Maxima that works together with the
 SageMath version in Debian and use ECL instead of GCL as Lisp compiler.
 To use Maxima by itself, the more complete and up-to-date maxima package
 is recommended.
 .
 This package contains most of the documentation.

Package: maxima-sage-share
Description-md5: 6533621696d4de5a6d9dfe94f11b9b56
Description-en: Computer algebra system -- extra code
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 The maxima-sage packages are meant to be used together with SageMath.
 They contain the version of Maxima that works together with the
 SageMath version in Debian and use ECL instead of GCL as Lisp compiler.
 To use Maxima by itself, the more complete and up-to-date maxima package
 is recommended.
 .
 This package contains a set of contributed routines and add-on
 packages.

Package: maxima-share
Description-md5: 10025b2a7fd84792c7aa21066f9874b0
Description-en: Computer algebra system -- extra code
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 This package contains a set of contributed routines and add-on
 packages.

Package: maxima-src
Description-md5: 70f88d0896858f9e362ad270cbbfc8c6
Description-en: Computer algebra system -- source code
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 This package contains the lisp source code.

Package: maxima-test
Description-md5: 4eff62415cb0cab4865c1d9a27afcbb2
Description-en: Computer algebra system -- test suite
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 This package contains a build integrity test suite.

Package: mayavi2
Description-md5: 3e1412fa7c45ad6d8094e81ca78b296b
Description-en: scientific visualization package for 2-D and 3-D data
 MayaVi2 is a cross-platform tool for 2-D and 3-D scientific data
 visualization.  Its features include:
   * Visualization of scalar, vector and tensor data in 2 and 3 dimensions
   * Easy scriptability using Python
   * Easy extendability via custom sources, modules, and data filters
   * Reading several file formats: VTK (legacy and XML), PLOT3D, etc.
   * Saving of visualizations
   * Saving rendered visualization in a variety of image formats.
 .
 MayaVi2 has been designed with scriptability and extensibility in
 mind.  While the mayavi2 application is usable by itself, it may be
 used as an Envisage plugin which allows it to be embedded in user
 applications natively.  Alternatively, it may be used as a
 visualization engine for any application.
 .
 This package also provides TVTK, which wraps VTK objects to provide a
 convenient, Pythonic API, while supporting Traits attributes and
 NumPy/SciPy arrays. TVTK is implemented mostly in pure Python, except
 for a small extension module.

Package: maybe
Description-md5: 8e04f27eb3fda0958cfbf2b276ff567b
Description-en: control filesystem modifications before they occur
 maybe runs processes under the control of ptrace. When it intercepts
 a system call that is about to make changes to the file system, it
 logs that call, ignores the system call and indicates success to the
 calling process.
 .
 After execution, maybe produces a report of all attempted changes to
 the filesystem, and offers to re-run the process (without filtering
 this time).

Package: mazeofgalious
Description-md5: 1b19fa32b997787ba111848b43d533d6
Description-en: The Maze of Galious
 This is a very addictive game where you have to kill thousands of enemies,
 collect items in order to obtain new powers, and defeat some really great
 guys at the end of each level. You are free to go everywhere you want from
 the beginning of the game. You have to choose very carefully the order in
 which you visit all the rooms in the huge map if you want to keep your
 character alive. The map is structured in a main map (called the castle),
 and 10 submaps (called the worlds). Initially you are in the castle,
 and you have to find the keys that open the doors that go to each of the
 worlds. To complete the game, you have to defeat the boss at the end of
 each one of the 10 worlds. You are free to revisit each world as often as
 you want, in order to see if you have missed something. To defeat all 10
 beasts, you control two characters: Popolon and Aphrodite, and each one
 has special abilities, i.e. Popolon has a greater ability to jump and
 Aphrodite is able to dive.

Package: mazeofgalious-data
Description-md5: 40e92f843552340aeb706896f2bf8947
Description-en: The Maze of Galious
 This package contains graphics, leveldata, sounds, and music needed
 for the game.

Package: mb2md
Description-md5: 3bd0c7556a5578fc39e75101c0ef3739
Description-en: Convert Mbox mailboxes to Maildir format
 mb2md (mbox to maildir) takes one or more Mbox format mailbox files
 in a directory and convert them to Maildir format mailboxes.
 .
 As the Mbox format has some drawbacks, D. J. Bernstein created the
 Maildir format when he wrote Qmail. With the Mbox format all mail of
 a specific folder is stored as one large text file. The Maildir
 format stores each mail as a separate file. It is a faster and more
 efficient way to store mail. It works particularly well over NFS,
 which has a long history of locking-related woes.
 .
 The Mbox format is used by many POP3/IMAP servers, most mail servers
 (MTAs) and mail readers (MUAs). The Maildir format is used by Qmail,
 Courier-MTA and can be also used as a alternative mail storage format
 by Postfix and Exim or any MTA which can use procmail as
 MDA. POP3/IMAP servers which support Maildirs are e.g. Courier IMAP
 and Dovecot.
 .
 mb2md does not only convert Mbox mailbox files into a Maildir but can
 also convert the /var/spool/mail/$USER mailspool file. It is smart
 enough to not transfer a dummy message such as the UW IMAPD puts at
 the start of Mbox mailboxes - and you could add your own search terms
 into the script to make it ignore other forms of dummy first message.

Package: mbed-test-wrapper
Description-md5: 08b31812d26b7e5206561b78ea070f43
Description-en: utility to wrap the mbed test loader for use by yotta targets
 mbed-test-wrapper is used to wrap the mbed test loader, so that it can
 be used by yotta targets to run tests on target embedded hardware platforms
 (e.g. K64F).
 .
 The executable file to be tested on the desired target hardware is first
 converted to a .bin file if necessary (via arm-none-eabi-objcopy), and then
 executed via mbedhtrun using the configured mount point, serial port and
 yotta target name.

Package: mblaze
Description-md5: 39dc00f4134fd99f4e93bbf441dc4ed7
Description-en: UNIX utilities to deal with Maildir
 The mblaze message system is a set of Unix utilities to deal with mail kept in
 Maildir folders. It is a classic command line MUA and has no features for
 receiving or transferring mail; you are expected to fetch your mail using
 fdm(1), getmail(1) offlineimap(1), procmail(1), or similar , and send it using
 dma(8), msmtp(1), sendmail(8), as provided by OpenSMTPD, Postfix, or similar.
 mblaze expects your mail to reside in Maildir folders.
 .
 Its design is roughly inspired by MH, the RAND Message Handling System, but it
 is a complete implementation from scratch. mblaze operates directly on Maildir
 folders and doesn't use its own caches or databases. There is no setup needed
 for many uses.
 .
 mblaze consists of these Unix tools that each do one job:
  * maddr(1)     extract addresses from mail
  * magrep(1)    find mails matching a pattern
  * mcom(1)      compose and send mail
  * mdeliver(1)  deliver messages or import mailboxes
  * mdirs(1)     find Maildir folders
  * mexport(1)   export Maildir folders as mailboxes
  * mflag(1)     change flags (marks) of mail
  * mflow(1)     reflow format=flowed plain text mails
  * mfwd(1)      forward mail
  * mgenmid(1)   generate Message-IDs
  * mhdr(1)      extract mail headers
  * minc(1)      incorporate new mail
  * mless(1)     conveniently read mail in less(1)
  * mlist(1)     list and filter mail messages
  * mmime(1)     create MIME messages
  * mmkdir(1)    create new Maildir
  * mpick(1)     advanced mail filter
  * mrep(1)      reply to mail
  * mscan(1)     generate one-line summaries of mail
  * msed(1)      manipulate mail headers
  * mseq(1)      manipulate mail sequences
  * mshow(1)     render mail and extract attachments
  * msort(1)     sort mail
  * mthread(1)   arrange mail into discussions

Package: mbmon
Description-md5: ebe1c0968691216eacbbdebb50367025
Description-en: Hardware monitoring without kernel dependencies (text client)
 (x)mbmon allows you to monitor hardware status using your motherboard's
 sensors. This information can be: temperatures, voltages and/or fan speeds.
 .
 This package contains the console client.

Package: mbox-importer
Description-md5: fd0cea1835addf922fc480dca5ab2f11
Description-en: MBox email archive importer
 mbox-importer is a wizard to assist with importing MBox email archives into
 Akonadi

Package: mboxgrep
Description-md5: 2ec63bc43e4fd5386e80fef6d9970998
Description-en: Grep through mailboxes
 mboxgrep is a small utility that scans either standard Unix
 mailboxes, Gnus nnml or nnmh mailboxes, MH mailboxes or Maildirs,
 and displays messages matching a basic, extended, or
 Perl-compatible regular expression.

Package: mbpfan
Description-md5: 16411a533aa8c57f1388510dc575a1b1
Description-en: fan control daemon for Apple Macbooks
 mbpfan is a daemon that uses input from coretemp module and sets the fan speed
 using the applesmc module. This enhanced version assumes any number of
 processors and fans (max. 10).
 .
  * It only uses the temperatures from the processors as input.
  * It requires coretemp and applesmc kernel modules to be loaded.
  * It requires root use
  * It daemonizes or stays in foreground
  * Verbose mode for both syslog and stdout
  * Users can configure it using the file /etc/mbpfan.conf

Package: mbr
Description-md5: cecda37cd56484d39b2cd2be673afd78
Description-en: Master Boot Record for IBM-PC compatible computers.
 The MBR is used in booting operating systems from the hard disk. It
 runs first, then transfers control to the operating system's loader
 which transfers control to the kernel.

Package: mbt
Description-md5: dd419b13f3a8492b16f936bad56bbcb5
Description-en: memory-based tagger-generator and tagger
 MBT is a memory-based tagger-generator and tagger in one. The tagger-generator
 part can generate a sequence tagger on the basis of a training set of tagged
 sequences; the tagger part can tag new sequences. MBT can, for instance, be
 used to generate part-of-speech taggers or chunkers for natural language
 processing.  Features:
  * Tagger generation: tagged text in, tagger out,
  * Optional feedback loop: feed previous tag decision back to input of next
    decision,
  * Easily customizable feature representation; can incorporate user-provided
    features,
  * Automatic generation of separate sub-taggers for known words and unknown
    words,
  * Can make use of full algorithmic parameters of TiMBL.
 .
 MBT is a product of the Centre of Language and Speech Technology (Radboud
 University Nijmegen, The Netherlands), the ILK Research Group (Tilburg
 University, The Netherlands) and the CLiPS Research Centre (University
 of Antwerp, Belgium).
 .
 If you do scientific research in natural language processing, MBT will
 likely be of use to you.

Package: mbtserver
Description-md5: bd9bee76e3a3597b5ef77d04ac025546
Description-en: Server extensions for the MBT tagger
 MbtServer extends Mbt with a server layer, running as a TCP server.  Mbt is a
 memory-based tagger-generator and tagger for natural language processing.
 MbtServer provides the possibility to access a trained tagger from multiple
 sessions. It also allows one to run and access different taggers in parallel.
 .
 MbtServer is a product of the Centre for Language and Speech Technology
 (Radboud University, Nijmegen, The Netherlands), the ILK Research Group
 (Tilburg University, The Netherlands) and the CLiPS Research Centre
 (University of Antwerp, Belgium).
 .
 If you do scientific research in natural language processing, MbtServer will
 likely be of use to you.

Package: mbuffer
Description-md5: edaadf3b5d829e99b201dd18eb5a3211
Description-en: tool for buffering data streams
 The mbuffer tool is used to buffer data streams and show the I/O rate and
 summary to the user.  It is especially useful for writing backups to
 fast tape drives or streaming them over the network.  If used correctly,
 it can prevent buffer underruns and speed up the whole backup or
 transfer process.

Package: mbw
Description-md5: 010a3a6e8e90c50a2349d07f6994ac79
Description-en: memory bandwidth benchmark program
 MBW determines the "copy" memory bandwidth available to userspace programs.
 Its simplistic approach models that of real applications.
 It is not tuned to extremes and it is not aware of hardware architecture,
 just like your average software package.

Package: mc
Description-md5: 252a5c5aeeb7425db45357d4ab8aa55f
Description-en: Midnight Commander - a powerful file manager
 GNU Midnight Commander is a text-mode full-screen file manager. It
 uses a two panel interface and a subshell for command execution. It
 includes an internal editor with syntax highlighting and an internal
 viewer with support for binary files. Also included is Virtual
 Filesystem (VFS), that allows files on remote systems (e.g. FTP, SSH
 servers) and files inside archives to be manipulated like real files.

Package: mc-data
Description-md5: a1815081eaa82c881147140e5d7479f3
Description-en: Midnight Commander - a powerful file manager -- data files
 GNU Midnight Commander is a text-mode full-screen file manager. It
 uses a two panel interface and a subshell for command execution. It
 includes an internal editor with syntax highlighting and an internal
 viewer with support for binary files. Also included is Virtual
 Filesystem (VFS), that allows files on remote systems (e.g. FTP, SSH
 servers) and files inside archives to be manipulated like real files.
 .
 This package provides architecture independent files

Package: mcabber
Description-md5: 2a62a635ba00a7ba2dbd19484047004a
Description-en: small Jabber (XMPP) console client
 MCabber is a Jabber (XMPP) text-mode client, which includes features such as
 SASL support, history logging, commands completion, dynamic modules and
 external actions triggers.

Package: mccs
Description-md5: 3700676e85ce0f8c9c5d6abaed02f828
Description-en: multi-critera CUDF solver
 mccs is a solver for package dependencies expressed in the CUDF
 format.  It takes as input a CUDF problem and computes the best
 solution according to a combination of optimization criteria chosen
 by the user. Basic criteria to be maximized or minimized may be
 selected from a list of pre-defined criteria, and these can be
 combined using various aggregation operators. It relies on an Integer
 Programming solver or a Pseudo Boolean solver to achieve its
 task. The version of mccs distributed with this package can be used
 together with two different solving engines available in Debian, that
 is lpsolve or cbc. Furthermore, mccs may also be used together with
 other solvers like Cplex, Gurobi, Glpk, SCIP or WBO.

Package: mce-dev
Description-md5: a729fdff01a0377e398be3774e48ce9a
Description-en: development files for mce
 This package contains headers defining the D-Bus method calls
 provided by the Mode Control Entity, and the signals emitted by it.

Package: mce-doc
Description-md5: 1ebad588ac605fd5c1cc27559cb2d906
Description-en: Documentation files for mce D-Bus API
 This package contains documentation describing the D-Bus method calls
 provided by the Mode Control Entity, and the signals emitted by it.

Package: mcl
Description-md5: 5ade6456c0a34cadb40315ab8fedf0bb
Description-en: Markov Cluster algorithm
 The MCL package is an implementation of the MCL algorithm, and offers
 utilities for manipulating sparse matrices (the essential data
 structure in the MCL algorithm) and conducting cluster experiments.
 .
 MCL is currently being used in sciences like biology (protein family
 detection, genomics), computer science (node clustering in
 Peer-to-Peer networks), and linguistics (text analysis).

Package: mcl-doc
Description-md5: ece7f161b28adefed3b99effb6a3ac64
Description-en: documentation for mcl
 The MCL package is an implementation of the Markov Cluster algorithm,
 for manipulating sparse matricess and conducting cluster experiments.
 .
 This is documentation for mcl: the MCL FAQ, some examples as well as manpages
 in HTML and PostScript format.

Package: mcollective
Description-md5: fb29a0a9811c66bf56fd9d31a4e30093
Description-en: Marionette Collective clustering framework - server
 The Marionette Collective is a framework for building server
 orchestration and parallel job execution systems.
 .
 This package contains the MCollective daemon.

Package: mcollective-client
Description-md5: b075891f73708c3cc896d079b73e6da4
Description-en: Marionette Collective clustering framework - clients
 The Marionette Collective is a framework for building server
 orchestration and parallel job execution systems.
 .
 This package provides the MCollective client utility:
  * mco

Package: mcollective-common
Description-md5: efa75e47064750e6f930eda11138ea21
Description-en: Marionette Collective clustering framework - common files
 The Marionette Collective is a framework for building server
 orchestration and parallel job execution systems.
 .
 This package provides common files for MCollective.

Package: mcollective-doc
Description-md5: 61c0ad4f8c1054b9d5f1ddd451fbe4bc
Description-en: Marionette Collective clustering framework - documentation
 The Marionette Collective is a framework for building server
 orchestration and parallel job execution systems.
 .
 This package provides documentation for MCollective.

Package: mcollective-plugins-centralrpclog
Description-md5: 42e67ae0a85e545d98a10d758ed16806
Description-en: mcollective plugin for central rpc log management
 This is a SimpleRPC Audit Plugin and Agent that sends all
 SimpleRPC audit events to a central point for logging.

Package: mcollective-plugins-facts-facter
Description-md5: 7bfc85f58539ed5246b044039348e3ff
Description-en: mcollective plugin for collecting facts via facter
 The facter plugin enables mcollective to use facter as a source
 for facts about your system.

Package: mcollective-plugins-filemgr
Description-md5: 789ec2204872763f2cf52b2f78dcba4e
Description-en: mcollective plugin for file management
 This agent let you touch files, delete files or retrieve a
 bunch of stats about a file.

Package: mcollective-plugins-iptables
Description-md5: d6817eb110dd151a9f22e966720fbb2b
Description-en: mcollective plugin for iptables
 This agent will add, query and remove iptables rules to a specific
 iptables chain called junk_filter.

Package: mcollective-plugins-logstash
Description-md5: 9d8a31abd3a8e7dc7effe5c72b2fb812
Description-en: mcollective plugin for log stashing
 This is a SimpleRPC Audit Plugin sends all SimpleRPC audit
 events to a central point for logging and reporting.

Package: mcollective-plugins-nettest
Description-md5: 7210abe0ae38f9068f9a2f62aabf3b49
Description-en: mcollective plugin for nettest
 This is a simple agent that will execute a ping or remote
 connection test on mcollective hosts

Package: mcollective-plugins-nrpe
Description-md5: 616bd70f34cf09fe18e8fec40ebfa933
Description-en: mcollective plugin for nagios nrpe
 The mcollective plugin for NRPE enables mcollective to communicate with
 the NRPE addons running on deployed nodes. It Runs NRPE commands using
 MCollective as transport.

Package: mcollective-plugins-package
Description-md5: 3f43edc23e2ff70670e1047c17d9ecb6
Description-en: mcollective plugin for package management using puppet
 The package agent that lets you do updates, installs, uninstalls
 and status actions on a package.

Package: mcollective-plugins-process
Description-md5: c557335b5caf5f4e59c9cad8d71396d6
Description-en: mcollective plugin for process management
 An agent that can be used for process management like the Unix
 pgrep, kill and pkill

Package: mcollective-plugins-provisioner
Description-md5: f8a4084c6ae9c8f31cd1db1adc2545d9
Description-en: mcollective Server Provisioner plugin
 Plugin necessary for the server provisioner to perform actions on the
 mcollective client

Package: mcollective-plugins-puppetca
Description-md5: adde3d2c2b64fad996a6c5f4d5953021
Description-en: mcollective plugin for puppet CA management
 This agent lets you sign, list, revoke and clean certificates on your
 Puppet Certificate Authorities

Package: mcollective-plugins-puppetd
Description-md5: 40fd763ca6d4d90370dcaa0b5b1bb325
Description-en: mcollective plugin for puppet clients
 Simple agent to enable, disable or kick off a runonce of your puppet
 client daemons.

Package: mcollective-plugins-puppetral
Description-md5: 08790fb7c543c9625a85069d8881079e
Description-en: mcollective plugin for puppet ralsh
 An agent that lets you use any of the Puppet providers over SimpleRPC.
 This agent is essentially at prototype/experiment stage,
 the theory is that there might be a ralsh equivalent that use
 mcollective as transport.

Package: mcollective-plugins-registration-monitor
Description-md5: 10ee2a359ff4620b0559865755868963
Description-en: mcollective plugin for registration monitoring
 MCollective supports sending registration messages at set
 intervals, this is an agent to receive those messages and
 simply write the content to a file per sender.

Package: mcollective-plugins-service
Description-md5: 916f6f04f52b7496da71142acca18f7d
Description-en: mcollective plugin for service management using puppet
 The service agent that lets you stop, start, restart, enable,
 disable and query the statuses of services on your Operating System

Package: mcollective-plugins-stomputil
Description-md5: 3839a502bc8adad005ebc61373e93527
Description-en: mcollective plugin for the stomp protocol
 Helpers and utilities for the MCollective STOMP connector

Package: mcollective-provisioner-common
Description-md5: be37d507ad606437acc4818c568c8c21
Description-en: mcollective Server Provisioner common files
 Common files necessary for the provisioner operation

Package: mcollective-server-provisioner
Description-md5: 551b7893e1ccce007d302b63b7bcdde1
Description-en: mcollective Server Provisioner
 The Server provisioner will provision machines that have the provisioner
 plugin and don't have a puppet certificate yet

Package: mcomix
Description-md5: d8bf09459469c25e707c5fae0e1c626e
Description-en: GTK+ image viewer for comic books
 MComix is an user-friendly, customizable image viewer. It is specifically
 designed to handle comic books, but also serves as a generic viewer.
 It reads images in ZIP, RAR, 7Zip or tar archives as well as plain image
 files. It is written in Python and uses GTK+ through the PyGTK bindings,
 and runs on both Linux and Windows.
 .
 MComix is a fork of the Comix project, and aims to add bug fixes
 and stability improvements after Comix development came to a halt in late
 2009.
 .
 MComix3 is a fork of the MComix project (of gtk3 branch), which port MComix
 to python3.

Package: mcp-plugins
Description-md5: e075a275c91685397449f21c8834714c
Description-en: LADSPA plugins designed for Alsa Modular Synth
 MCP plugins implement a set of LADSPA plugins that vastly improve
 the sound of AlsaModularSynth.
 .
 Currently they consist of these plugins:
  * Moog VCF (1-3): Moog lowpass filters that quite successfully
    emulate the properties of the analogue circuit. Version 3 of
    these filters is recommended.
  * Phaser1: A phaser with up to 30 all-pass filters in series.
  * Phaser1+LFO: The same as above, but with built-in LFO. LFO
    waveform can be continuosly changed from saw down to triangle
    and saw up.
  * Chorus (1,2): Two chorus plugins.

Package: mcpp
Description-md5: e38c26d61f373761177b9d1d2964a759
Description-en: Alternative C/C++ preprocessor
 C/C++ preprocessor defines and expands macros and processes '#if',
 '#include' and some other directives.
 .
 mcpp is an alternative C/C++ preprocessor with the highest conformance.
 It supports multiple standards: K&R, ISO C90, ISO C99, and ISO C++98.
 mcpp is especially useful for debugging a source program which uses
 complicated macros and also useful for checking portability of a source.
 .
 Though mcpp could be built as a replacement of GCC's resident
 preprocessor or as a stand-alone program without using library build of
 mcpp, this package installs only a program named 'mcpp' which links
 shared library of mcpp and behaves independent from GCC.

Package: mcpp-doc
Description-md5: c3bb10a3f1d3a5502ce7d7bdb2f86139
Description-en: Alternative C/C++ preprocessor (manual)
 This package contains the manual.

Package: mcron
Description-md5: fcff66b87f087ab5975f4c2d7bfeace3
Description-en: Guile-based program for running jobs at regular times
 The GNU package mcron (Mellor's cron) can be a 100% compatible replacement for
 Vixie cron (though the Debian package is not configured this way). It is
 written in pure Guile, and allows configuration files to be written in scheme
 (as well as Vixie's original format) for infinite flexibility in specifying
 when jobs should be run.

Package: mcrypt
Description-md5: 3cca2251ad50c3dbe94701b6d6a36972
Description-en: Replacement for old unix crypt(1)
 mcrypt is a crypting program, intended to be replacement for the old
 unix crypt(1).  But it can do a lot more, since with its libmcrypt
 library it can encrypt and decrypt with the following algorithms:
 BLOWFISH, TWOFISH, DES, TripleDES, 3-WAY, SAFER-sk64, SAFER-sk128,
 SAFER+, OKI97, GOST, RC2, RC6, MARS, IDEA, RIJNDAEL-128,
 RIJNDAEL-192, SERPENT, RIJNDAEL-256, CAST-128 (known as CAST5),
 CAST-256, ARCFOUR, ENIGMA, PANAMA, XTEA and WAKE.
 .
 Please note that this package is buggy and unmaintained by
 the upstream authors.  If you can, please look for other
 implementations (openssl, gnutls, gnupg).

Package: mcstrans
Description-md5: 541c06c259030195b77188d8595e2aee
Description-en: SELinux core policy utilities (mcstrans utilities)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains mcstransd to map a machine readable sensitivity label to
 a human readable form.  The sensitivity label is comprised of a sensitivity
 level (always s0 for MCS and anything from s0 to s15 for MLS) and a set of
 categories.  A ranged sensitivity label will have a low level and a high level
 where the high level will dominate the low level.  Categories are numbered from
 c0 to c1023.  Names such as s0 and c1023 and not easily readable by humans, so
 mcstransd translated them to human readable labels such as SystemLow and
 SystemHigh.

Package: mcu8051ide
Description-md5: 3d071f7ab898c84f1cc29b83583507a5
Description-en: Graphical Integrated Development Environment for 8051
 MCU 8051 IDE is an integrated development environment for microcontrollers
 based on 8051. Supported programming languages are C and assembly. It has
 its own assembler and it supports two other external assemblers. For C
 language it uses the SDCC compiler.

Package: mda-lv2
Description-md5: 8166ea9b6b9d8b385baac63e803d7816
Description-en: Paul Kellett's MDA plugins ported to LV2
 This package provides Paul Kellett's MDA plugins ported to the
 LV2 specification.
 .
 LV2 (LADSPA version 2) is an open standard for plugins and matching
 host applications, mainly targeted at audio processing and generation.
 .
 This package provides the following synths and effects:
  * Ambience - Reverb effect
  * Bandisto - Multi-band distortion
  * BeatBox - Drum replacer
  * Combo - Amp & speaker simulation
  * De-ess - High frequency dynamics processor
  * Degrade - Sample quality reduction
  * Delay - Simple stereo delay with feedback tone control
  * Detune - Simple up/down pitch shifting thickener
  * Dither - Range of dither types including noise shaping
  * DubDelay - Delay with feedback saturation and time/pitch modulation
  * Dynamics - Compressor / Limiter / Gate
  * DX10 - FM Synthesizer
  * EPiano - Acoustic piano
  * Image - Stereo image adjustment and M-S matrix
  * JX10 - 2-Oscillator analog synthesizer
  * Leslie - Rotary speaker simulator
  * Limiter - Opto-electronic style limiter
  * Loudness - Equal loudness contours for bass EQ and mix correction
  * Multiband - Multi-band compressor with M-S processing modes
  * Overdrive - Soft distortion
  * RePsycho - Drum loop pitch changer
  * RezFilter - Resonant filter with LFO and envelope follower
  * RingMod - Ring modulator with sine-wave oscillator
  * Round Panner - 3D panner
  * Shepard - Continuously rising/falling tone generator
  * Splitter - Frequency / level crossover for setting up dynamic
    processing
  * Stereo Simulator - Haas delay and comb filtering
  * Sub-Bass Synthesizer - Several low frequency enhancement methods
  * Talkbox - High resolution vocoder
  * TestTone - Signal generator with pink and white noise, impulses
    and sweeps
  * Thru-Zero Flanger - Classic tape-flanging simulation
  * Tracker - Pitch tracking oscillator, or pitch tracking EQ
  * Transient - Transient shaper
  * Vocoder - Switchable 8 or 16 band vocoder
  * VocInput - Pitch tracking oscillator for generating vocoder
    carrier input
 .
 These plugins make no claim of compatibility, or any other relation,
 to VST. This code does not require the VST SDK, or any other non-free
 software, and it has never come into contact with any part of the VST
 SDK.

Package: mdbtools
Description-md5: 394b15518fd4ecc4667f403c8cd8e38d
Description-en: JET / MS Access database (MDB) tools
 These are various tools for manipulating JET / MS Access database (MDB) files:
  * utils     - provides command line utilities to list tables, export schema,
                and data, show file versions, and other useful stuff.
  * mdb-sql   - a command line SQL tool that allows one to type SQL queries and
                get results.

Package: mdbtools-dev
Description-md5: 7c77c836252f81a62b229ae2db41a06c
Description-en: mdbtools development files
 Utilities for reading MS Access database (MDB) files
 .
 These are development files for mdbtools, needed to build applications
 with it.

Package: mdbtools-doc
Description-md5: cb08c33f01d292b43d5c41399d15144e
Description-en: Documentation for MDB tools
 MDB tools are used to manipulate JET / MS Access database (MDB) files.
 .
 This package contains a description of the .mdb format, some FAQ and a guide
 describing common problems such as charset configuration and ODBC usage.

Package: mdetect
Description-md5: afc175d11efde40a5bc0f530a6de68ef
Description-en: mouse device autodetection tool
 mdetect is a tool for autoconfiguring mice; it is typically used as the
 backend to some user-friendly frontend code.  mdetect writes the autodetected
 mouse device and protocol (as used by gpm) to standard output.  It can be
 invoked so as to produce output appropriate for XFree86 X server
 configuration files.

Package: mdevctl
Description-md5: 0fb9bffbdd19e2db98b5338bc7bb5a16
Description-en: Mediated device management utility for Linux
 mdevctl is a utility for managing and persisting devices in the mediated
 device framework of the Linux kernel. Mediated devices are sub-devices
 of a parent device (ex. a vGPU) which can be dynamically created and
 potentially used by drivers like vfio-mdev for assignment to virtual machines.

Package: mdf2iso
Description-md5: 0cf2729140f5019f13e1e6a5505ea174
Description-en: simple utility to convert mdf to iso / cue / toc images
 mdf2iso is a very simple utility to convert an Alcohol 120% mdf image
 to an iso, toc / dat or cue / bin image.

Package: mdfinder.app
Description-md5: ea814f966da2708dc1c6c5e96b821468
Description-en: GNUstep Finder and system-wide search system
 MDFinder is a an application to search items already indexed by the
 GWMetadata indexing system, a GNUstep implementation of MacOS X's
 proprietary Spotlight.  Searches can be saved and are automatically
 updated if the corresponding files change.  Indexing is done in the
 background and is switched off by default.  To enable it and
 configure the search path, use the dedicated module in
 the SystemPreferences application.

Package: mdk
Description-md5: 72577aa40766d13388d45f87f62d52bc
Description-en: MIX Development Kit
 MDK stands for MIX Development Kit, and provides tools for developing
 and executing, in a MIX virtual machine, MIXAL programs.
 .
 The MIX is Donald Knuth's mythical computer, described in the first
 volume of The Art of Computer Programming, which is programmed using
 MIXAL, the MIX assembly language.
 .
 MDK includes a MIXAL assembler (mixasm) and a MIX virtual machine
 (mixvm) with a command line interface.  In addition, a GTK+ GUI to
 mixvm, called gmixvm, and a Guile interpreter with an embedded MIX
 virtual machine called mixguile, are provided.
 .
 Using these interfaces, you can debug your MIXAL programs at source
 code level, and read/modify the contents of all the components of the
 MIX computer (including block devices, which are simulated using the
 file system).

Package: mdk3
Description-md5: d08a720284b795586c810167cfaf5fe3
Description-en: Wireless attack tool for IEEE 802.11 networks
 MDK is a proof-of-concept tool to exploit common
 IEEE 802.11 (wifi) protocol weaknesses.
 Features:
   * Bruteforce MAC Filters.
   * Bruteforce hidden SSIDs (some small SSID wordlists included).
   * Probe networks to check if they can hear you.
   * Intelligent Authentication-DoS to freeze APs (with success checks).
   * FakeAP - Beacon Flooding with channel hopping (can crash NetStumbler and
     some buggy drivers)
   * Disconnect everything (aka AMOK-MODE) with Deauthentication and
     Disassociation packets.
   * WPA TKIP Denial-of-Service.
   * WDS Confusion - Shuts down large scale multi-AP installations.

Package: mdk4
Description-md5: f5e092be6fac6d75f264fb148222edd7
Description-en: Wireless attack tool for IEEE 802.11 networks
 This package contains a proof-of-concept tool to exploit common IEEE 802.11
 protocol weaknesses.
 .
 MDK4 is a new version of MDK3. MDK4 is a Wi-Fi testing tool from E7mer of
 360PegasusTeam, ASPj of k2wrlz, it uses the osdep library from the aircrack-ng
 project to inject frames on several operating systems.
 .
 IMPORTANT: It is your responsibility to make sure you have permission from the
 network owner before running MDK against it.

Package: mdm
Description-md5: 63ed360344004b3417a58fc52cd19eb1
Description-en: Utilities for single-host parallel shell scripting
 The Middleman System (mdm) is a set of utilities that help you
 parallelize your shell scripts.  Simply label the commands to run in
 parallel, and the System automatically exploits every parallelization
 opportunity that arises at runtime.  You can also specify dependency
 between commands so that they run in an appropriate order.
 .
 Comes with an ncurses-based monitoring console.  Compatible with xargs,
 find, make, any shell, together, in a script or interactively.

Package: mdns-scan
Description-md5: 724eeaa2ae2b9134573a86be37ee5532
Description-en: Scan for mDNS/DNS-SD services published on the local network
 mdns-scan is a tool for scanning for mDNS/DNS-SD services published
 on the local network.
 .
 It works by issuing a mDNS PTR query to the special RR
 _services._dns-sd._udp.local for retrieving a list of all currently
 registered services on the local link.
 .
 mDNS/DNS-SD is part of Apple's Zeroconf strategy (a.k.a. Bonjour).
 The avahi-daemon package is an implementation of mDNS/DNS-SD.

Package: mdp
Description-md5: d83b8e5d0cceb12266844d45e963e700
Description-en: command-line based Markdown presentation tool
 mdp is a command-line program that allows you to make elegant presentations
 from Markdown formatted files.
 .
 It is as easy as write your presentation content in the text editor of your
 preference and launch the presentation from the command-line.

Package: mecab
Description-md5: e4eab18e2611f99ded7dca3f78c46fe9
Description-en: Japanese morphological analysis system
 Mecab is a morphological analysis system.  It reads Japanese
 sentences from the standard input, segments them into morpheme
 sequences, and outputs them to the standard output with many
 additional pieces of information (pronunciation, semantic
 information, etc).

Package: mecab-jumandic
Description-md5: b764fac8e1286c683fbedcff2ceddc47
Description-en: Juman dictionary compiled for Mecab (deprecated)
 This package provides Juman dictionary converted for Mecab, that is a
 Japanese morphological analysis system.  This dictionary is written
 in Masuoka-Takubo grammar system.
 .
 This is a transitional package. You can safely remove it.

Package: mecab-jumandic-utf8
Description-md5: eef601e9e49dc5f8dc807862cfb822d4
Description-en: Juman dictionary encoded in UTF-8 compiled for Mecab
 This package provides Juman dictionary converted for Mecab, that is a
 Japanese morphological analysis system.  This dictionary is written
 in Masuoka-Takubo grammar system.

Package: mecab-naist-jdic
Description-md5: 1b71c3e4fbd42d5da705798b6278835f
Description-en: free Japanese Dictionaries for mecab (replacement of mecab-ipadic)
 NAIST Japanese Dictionary is a Dictionary for MeCab, Japanese morphological
 analysis implementation.
 .
 This is based on mecab-ipadic, however it is released under BSD style license
 now by NAIST (Nara Institute of Science and Technology, Japan), so it is free
 and can replace mecab-ipadic-utf8.
 .
 This is empty package and generates UTF-8 data from mecab-naist-jdic-eucjp
 with its installation.

Package: mecab-naist-jdic-eucjp
Description-md5: f3a843365445c06d8c2ece1ab24938fe
Description-en: free Japanese Dictionaries for mecab (replacement of mecab-ipadic) in EUC-JP
 NAIST Japanese Dictionary is a Dictionary for MeCab, Japanese morphological
 analysis implementation.
 .
 This is based on mecab-ipadic, however it is released under BSD style license
 now by NAIST (Nara Institute of Science and Technology, Japan), so it is free
 and can replace mecab-ipadic.
 .
 This package provides EUC-JP data, not UTF-8.

Package: med-all
Description-md5: a76b99b113b87aeb36fdd823ba207597
Description-en: Default selection of tasks for Debian Med
 This package is part of the Debian Med Pure Blend and installs all
 tasks for a default installation of this blend.

Package: med-bio
Description-md5: 472a50c6504872b123b928f5c13e0f5e
Description-en: Debian Med bioinformatics packages
 This metapackage will install Debian packages for use in molecular biology,
 structural biology and other biological sciences.

Package: med-bio-dev
Description-md5: 37702cc0a3fdb81629adc8f40d5c7d23
Description-en: Debian Med packages for development of bioinformatics applications
 This metapackage will install Debian packages which might be helpful
 for development of applications for biological research.

Package: med-cloud
Description-md5: 8580600ffc7b786c93529ecf82882c1a
Description-en: Debian Med bioinformatics applications usable in cloud computing
 This metapackage will install Debian packages related to molecular biology,
 structural biology and bioinformatics for use in life sciences, that do not
 depend on graphical toolkits and therefore can fit on system images for use
 in cloud computing clusters, where space can be limited.

Package: med-config
Description-md5: 44e4dd81ae506a56bc3c4f354c251dfb
Description-en: Debian Med general config package
 This package provides the basic infrastructure of all metapackages assembled
 by the Debian Med team.
 .
 This metapackage provides common features shared between the different
 metapackages of the project. Moreover it introduces a method to handle a
 'med' system users group using debconf.
 .
 The Debian Med Project include metapackages for different tasks, which are:
  * applications for microbiological research and support for development of
    biological applications
  * cloud computing in microbiological research
  * drug databases
  * dental surgery practice
  * epidemiology and medical statistics
  * image processing and support for imaging application development
  * pharmacological research
  * radiation oncology, diagnostic imaging and related fields
  * medical practice
  * psychological research and practice
  * rehabilitation
  * typesetting and publishing
  * miscellaneous tools
 .
 Each task is represented by a metapackage. Metapackages do not contain
 executable programs, but rather dependencies from other packages. This way
 you will conveniently get all free medical software which is available for
 Debian by simply installing the relevant metapackage

Package: med-data
Description-md5: f2586eac64a8f64d673b27e0520d06c9
Description-en: Debian Med drug databases
 This metapackage will install free drug databases and related
 applications. The database can be accessed by any EMR using
 the application.

Package: med-dental
Description-md5: df1f332b62169d628cc95b68bc4cfbf9
Description-en: Debian Med packages related to dental practice
 This metapackage contains dependencies for a collection of software
 which might be helpful for dentists to manage their practice.

Package: med-epi
Description-md5: 04ed45f07fd126092f83ff15d3053f77
Description-en: Debian Med epidemiology related packages
 This metapackage will install tools that are useful in epidemiological
 research.  Several packages making use of the GNU R data language for
 statistical investigation.  It might be a good idea to read the paper
 "A short introduction to R for Epidemiology" at
 http://staff.pubhealth.ku.dk/%7Ebxc/Epi/R-intro.pdf

Package: med-his
Description-md5: 4dce2e9e372c4155807bff3bba390147
Description-en: Debian Med suggestions for Hospital Information Systems
 This metapackage contains dependencies for software and that could
 be useful ro run a Hospital Information System.  While there is
 continuous work going on to package a ready to install system currently
 only preconditions are finished but hopefully helpful in hospitals
 anyway.

Package: med-imaging
Description-md5: 90e31c95513232eac9ac073ddc3786b4
Description-en: Debian Med image processing and visualization packages
 This metapackage will install Debian packages which might be useful in
 medical image processing and visualization.
 .
 On one hand, it installs several packages supporting various image file
 formats and image management, like DICOM (Digital Imaging and
 Communications in Medicine) which is the de-facto standard for medical
 image management, and NIFTI. On the other hand, it provides a variety of
 software packages that can be used for visualization and for image processing
 - either from a graphical user interface, the command  line, or implemented in
 workflows.

Package: med-imaging-dev
Description-md5: 4c70539dcdbdef4e0c5d0c637c2b348a
Description-en: Debian Med image processing and visualization packages development
 This metapackage will install Debian packages which might be useful
 for developing applications for medical image processing and
 visualization.

Package: med-laboratory
Description-md5: eca5b6e95ffafa19c92e5945f4ca5525
Description-en: Debian Med suggestions for medical laboratories
 This metapackage contains dependencies for software and that could
 be useful ro run a medical laboratory.

Package: med-oncology
Description-md5: 6c8a124c0246b60c3933b8a1f9063505
Description-en: Debian Med packages for oncology
 This metapackage will install tools that are useful for radiation
 oncology.

Package: med-pharmacy
Description-md5: a6a251a43e16bad5eb9cee0b86a6c125
Description-en: Debian Med packages for pharmaceutical research
 This metapackage contains dependencies for a
 collection of software and documentation which is useful for
 pharmaceutical research.

Package: med-physics
Description-md5: 003114348b0e7da001da82d84afb76b7
Description-en: Debian Med packages for medical physicists
 This metapackage contains dependencies for a
 collection of software and documentation which is useful for
 medical physicists in radiation oncology, diagnostics imaging
 and related fields.

Package: med-practice
Description-md5: ddc99a2bce2bc9d29d1774a5b0436adc
Description-en: Debian Med packages for practice management
 This metapackage contains dependencies for a collection of software
 which might be helpful for practitioners to manage their practice.

Package: med-psychology
Description-md5: be99a17bdfda635353b69de2c7e13cd8
Description-en: Debian Med packages for psychology
 This metapackage contains dependencies for a collection of software
 which might be helpful for psychological research.

Package: med-research
Description-md5: db262201514488ab8e097ddfb9389d27
Description-en: Debian Med packages for medical research
 This metapackage will install tools that are useful for
 medical research.

Package: med-statistics
Description-md5: f9065e016421cc663f80b4aeb77dbcf7
Description-en: Debian Med statistics
 This metapackage will install packages which are helpful to do statistics
 with a special focus on tasks in medical care.

Package: med-tasks
Description-md5: cbcc69e311ccd0574c73d4ca9d13f246
Description-en: Debian Med tasks for tasksel
 This package provides Debian Med tasks in tasksel.
 .
 These tasks are described in detail at
 https://blends.debian.org/med/tasks/

Package: med-tools
Description-md5: f30928e9237becbd0c3bc9a1dabb5b76
Description-en: Debian Med several tools
 This metapackage will install tools for several purposes in health care.
 Currently it contains some simple programs for Personal Health.

Package: med-typesetting
Description-md5: 2aef8c59b2e865e75aa988e6f97fb7d7
Description-en: Debian Med support for typesetting and publishing
 This metapackage will install Debian packages that might be helpful
 for typesetting and publishing in medical care and structural
 biology.

Package: medcon
Description-md5: 06576e7dcc31c6ed74b250627939542b
Description-en: Medical Image (DICOM, ECAT, ...) conversion tool
 This project stands for Medical Image Conversion. Released under the
 (L)GPL, it comes with the full C-source code of the library, a
 flexible command line utility and a neat graphical front-end using
 the GTK+ toolkit. The currently supported formats are: Acr/Nema 2.0,
 Analyze (SPM), DICOM 3.0, InterFile 3.3 and PNG.
 .
 The program also allows one to read unsupported files without
 compression, to print pixel values or to extract/reorder specified
 images. It is possible to retrieve the raw binary/ascii image arrays
 or to write PNG for desktop applications.
 .
 This is the command line tool for batch processing.

Package: mediaconch
Description-md5: 02dde1eaf9f8a0168611b4c2e9ab363c
Description-en: implementation and policy checker, reporter and fixer for media files
 MediaConch is an extensible, open source software project consisting of an
 implementation checker, policy checker, reporter, and fixer that targets
 preservation-level audiovisual files (specifically Matroska, Linear Pulse Code
 Modulation (LPCM) and FF Video Codec 1 (FFV1)) for use in memory institutions,
 providing detailed and batch-level conformance checking via an adaptable and
 flexible application program interface accessible by the command line, a
 graphical user interface, or a web-based shell.
 .
 This package contains the command-line utiltiy.

Package: mediaconch-gui
Description-md5: 5dcf3746c799a717b8a9e9e5c1bf9e93
Description-en: implementation and policy checker, reporter and fixer for media files
 MediaConch is an extensible, open source software project consisting of an
 implementation checker, policy checker, reporter, and fixer that targets
 preservation-level audiovisual files (specifically Matroska, Linear Pulse Code
 Modulation (LPCM) and FF Video Codec 1 (FFV1)) for use in memory institutions,
 providing detailed and batch-level conformance checking via an adaptable and
 flexible application program interface accessible by the command line, a
 graphical user interface, or a web-based shell.
 .
 This package contains the GUI application.

Package: mediainfo
Description-md5: 666681f070ab1bb8ebbedb37426737f4
Description-en: command-line utility for reading information from audio/video files
 MediaInfo is a utility used for retrieving technical information and other
 metadata about audio or video files.
 .
 A non-exhaustive list of the information MediaInfo can retrieve from media
 files include:
  - General: title, author, director, album, track number, date, duration...
  - Video: codec, aspect, fps, bitrate...
  - Audio: codec, sample rate, channels, language, bitrate...
  - Text: language of subtitle
  - Chapters: number of chapters, list of chapters
 .
 MediaInfo supports the following formats:
  - Video: MKV, OGM, AVI, DivX, WMV, QuickTime, Real, MPEG-1, MPEG-2,
           MPEG-4, DVD (VOB)...
  - Video Codecs: DivX, XviD, MSMPEG4, ASP, H.264, AVC...)
  - Audio: OGG, MP3, WAV, RA, AC3, DTS, AAC, M4A, AU, AIFF...
  - Subtitles: SRT, SSA, ASS, SAMI...
 MediaInfo supplies technical and tag information about a video or audio file
 .
 This package includes the command line interface.

Package: mediainfo-gui
Description-md5: ad7df2c5586cbcf55af18383b9b5c092
Description-en: graphical utility for reading information from audio/video files
 MediaInfo is a utility used for retrieving technical information and other
 metadata about audio or video files.
 .
 A non-exhaustive list of the information MediaInfo can retrieve from media
 files include:
  - General: title, author, director, album, track number, date, duration...
  - Video: codec, aspect, fps, bitrate...
  - Audio: codec, sample rate, channels, language, bitrate...
  - Text: language of subtitle
  - Chapters: number of chapters, list of chapters
 .
 MediaInfo supports the following formats:
  - Video: MKV, OGM, AVI, DivX, WMV, QuickTime, Real, MPEG-1, MPEG-2,
           MPEG-4, DVD (VOB)...
  - Video Codecs: DivX, XviD, MSMPEG4, ASP, H.264, AVC...)
  - Audio: OGG, MP3, WAV, RA, AC3, DTS, AAC, M4A, AU, AIFF...
  - Subtitles: SRT, SSA, ASS, SAMI...
 .
 This package contains the graphical user interface.

Package: mediathekview
Description-md5: 9a8934c0155e368af01a5c78e9d60aed
Description-en: view streams from German public television stations
 This application searches for various media center video content of the German
 television program (ARD, ZDF, Arte, 3Sat, MDR, ORF, SRF and many more).
 You can watch, download and even subscribe to an offered show.

Package: mediawiki
Description-md5: ddcc60dd7124e88ede95f0010ec0906b
Description-en: website engine for collaborative work
 MediaWiki is a wiki engine (a program for creating a collaboratively
 edited website). It is designed to handle heavy websites containing
 library-like document collections, and supports user uploads of
 images/sounds, multilingual content, TOC autogeneration, ISBN links,
 etc.
 .
 Moreover, it keeps track of changes, so users can receive
 notifications, view diffs and revert edits. This system has many
 other features and can easily be extended.

Package: mediawiki-classes
Description-md5: 598afe21c349d558f4455d3a400f8166
Description-en: website engine for collaborative work - standalone classes
 This package provides standalone classes from the remainder of the
 MediaWiki codebase. They do not call on any other portions of MediaWiki
 code, and can be used in portions of MediaWiki code, and can be used in
 other projects without dependency issues.
 .
 MediaWiki is a wiki engine (a program for creating a collaboratively
 edited website). It is designed to handle heavy websites containing
 library-like document collections, and supports user uploads of
 images/sounds, multilingual content, TOC autogeneration, ISBN links,
 etc.
 .
 Moreover, it keeps track of changes, so users can receive
 notifications, view diffs and revert edits. This system has many
 other features and can easily be extended.

Package: mediawiki2latex
Description-md5: 4f685e1544b6dd8a6820e930e2bc0d46
Description-en: Loads pages from MediaWiki and converts to LaTeX and PDF
 This project provides a simple way of turning a HTML page hosted on a
 server into a high quality PDF version as well an its LaTeX source
 file respectively.
 .
 Particular care has been to generate esthetically pleasing results
 for pages hosted on servers running MediaWiki.
 .
 This project provides a simple default mode which just requires the
 URL to the page and creates a PDF file, by processing the HTML
 generated by the (MediaWiki-) server. It also provides extended
 possibilities giving a fine grained control over the conversion
 process to users familiar with LaTeX and MediaWiki.
 .
 Much effort was put into providing reasonable defaults for this way
 of processing for the needs of the English and German Wikibooks
 projects. Images are also downloaded, and if necessary modified for
 use in a LaTeX document. The latest test run on more than 2000
 featured articles on the English Wikipedia completed without
 failures.

Package: mediawiki2latexguipyqt
Description-md5: 22c868d68dc958e7456bc1d1ee6bbd93
Description-en: lightweight GUI for mediawiki2latex
 This Graphic User Interface allows you to begin using mediawiki2latex most
 easily. Mediawiki2latex provides the user with a simple way of
 turning a page hosted on a server running MediaWiki into a high quality
 PDF output. The GUI runs mediawikitolatex and displays its standard and error
 outputs in separate graphic tabs, while maintaining a reliable progress bar.

Package: mednafen
Description-md5: 05d49b3a8a674ee93272f94476d74a30
Description-en: multi-platform emulator, including NES, GB/A, Lynx, PC Engine
 Mednafen is a command-line driven emulator for many different systems. It
 has full support for OpenGL and SDL graphics, network play, remappable input
 configuration, joystick and keyboard support, save states, game rewinding,
 GSF playback, and screenshots.
 .
 The systems supported by Mednafen are:
    * Apple II/II+
    * Atari Lynx
    * GameBoy
    * GameBoy Color
    * GameBoy Advance
    * NES
    * SNES
    * Virtual Boy
    * PC Engine (TurboGrafx 16)
    * PC-FX
    * SuperGrafx
    * NeoGeo Pocket, NeoGeo Pocket Color
    * WonderSwan
    * Sega Genesis / Mega Drive
    * Sega Saturn
    * Sony PlayStation
 .
 Hardware emulated by Mednafen includes:
    * NES gamepad, Zapper, PowerPad
    * Four-Score, Famicom multiplayer adapter
    * Arkanoid, HyperShot, Space Shadow, Mahjong controllers
    * Oeka Kids tablet, Quiz King buzzers, Family Trainer, Barcode World
    * Game Genie

Package: mednaffe
Description-md5: a7ac3990815e37fd9c8c7e036bdbe1cc
Description-en: front-end for the Mednafen multi-system emulator
 Mednaffe is a graphical front-end for the Mednafen multi-system
 emulator. It allows all of Mednafen's options to be configured, and
 provides simple game-management features.

Package: medusa
Description-md5: d47588eeafec66c7e406b29cb04ba1e2
Description-en: fast, parallel, modular, login brute-forcer for network services
 Medusa is intended to be a speedy, massively parallel, modular, login
 brute-forcer. The goal is to support as many services which allow remote
 authentication as possible. The author considers following items as some of
 the key features of this application:
      * Thread-based parallel testing. Brute-force testing can be
        performed against multiple hosts, users or passwords
        concurrently.
      * Flexible user input. Target information (host/user/password) can
        be specified in a variety of ways. For example, each item can be
        either a single entry or a file containing multiple entries.
        Additionally, a combination file format allows the user to
        refine their target listing.
      * Modular design. Each service module exists as an
        independent .mod file. This means that no modifications are
        necessary to the core application in order to extend the
        supported list of services for brute-forcing.

Package: meep
Description-md5: 684bc85e134e63461d18af5de507f4fa
Description-en: software package for FDTD simulation
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the software.

Package: meep-mpi-default
Description-md5: ed9af9806c7c51b8737861a73f9913dc
Description-en: software package for FDTD simulation, parallel (OpenMPI) version
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the MPICH2 version of the software.

Package: meep-openmpi
Description-md5: b9f338543ad45f528421531d5b8ba680
Description-en: software package for FDTD simulation, parallel (OpenMPI) version
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the MPI version of the software.
 As opposed to meep-mpi this package also contains the OpenMPI version of
 libhdf5.

Package: megadown
Description-md5: 2236e145784c018342ff0c38d4523e5d
Description-en: Script for downloading files from mega.nz and megacrypter
 This package contains a Bash script, and a Python helper, which download
 files from mega.nz and megacrypter. Downloaded files are by default placed
 inside the current directory. It supports speed limiting and can only print
 file metadata before exiting. There is also a multi-URL mode, where an
 input file is processed, which acts as a URL list.

Package: megaglest
Description-md5: 16516318e0cd5b30d9275cd66be92432
Description-en: 3D multi-player real time strategy game
 MegaGlest is a free 3D real-time customizable strategy game
 which takes place in a context which could be compared to that of the
 pre-renaissance Europe, with the licence that magic forces exist in the
 environment and can be controlled.
 .
 The current version is fully playable and supports both single player
 games against CPU controlled players and multiplayer matches over the
 Internet or a LAN.

Package: megaglest-data
Description-md5: 870f2c083dcae824ce9e4eb4d6f0d6be
Description-en: data files for MegaGlest
 This package contains the data, docs and translations for MegaGlest.
 .
 It contains many factions, models, textures, maps, tilesets, scenarios,
 tutorials and language files.

Package: megatools
Description-md5: 818427577095b586892ca95ce24270aa
Description-en: Command-line client for the Mega cloud storage service
 Megatools is a collection of programs for accessing the Mega.co.nz
 service from the command line.
 .
 Megatools allow you to copy individual files as well as entire
 directory trees to and from the cloud. You can also perform streaming
 downloads for example to preview videos and audio files, without
 needing to download the entire file first.
 .
 Megatools are robust and optimized for fast operation - as fast as
 Mega servers allow. Memory requirements and CPU utilization are kept
 at minimum.

Package: meld
Description-md5: a4f31a7bbb6825ffc38b4c9867a20df4
Description-en: graphical tool to diff and merge files
 Meld is a graphical diff viewer and merge application for the GNOME
 desktop. It supports 2 and 3-file diffs, recursive  directory diffs,
 diffing of directories under version control (Bazaar, Codeville, CVS,
 Darcs, Fossil SCM, Git, Mercurial, Monotone, Subversion), as well as
 the ability to manually and automatically merge file differences.

Package: melt
Description-md5: fd84ce6cabff711518e3c43433757e94
Description-en: command line media player and video editor
 melt was meant as a test tool for the MLT framework, but it is also a powerful
 multitrack command line oriented video editor. It could also used as an
 minimalistic media player for audio and video files.

Package: melting
Description-md5: 7883fe01ce1b335bd4f0a43733eb7663
Description-en: compute the melting temperature of nucleic acid duplex
 This program computes, for a nucleic acid duplex, the enthalpy, the
 entropy and the melting temperature of the helix-coil
 transitions. Three types of hybridisation are possible: DNA/DNA,
 DNA/RNA, and RNA/RNA. The program first computes the hybridisation
 enthalpy and entropy from the elementary parameters of each Crick's
 pair by the nearest-neighbor method. Then the melting temperature is
 computed. The set of thermodynamic parameters can be easily changed,
 for instance following an experimental breakthrough.

Package: membernator
Description-md5: 0c123291900ce53cf51cd1238b02eef0
Description-en: Tool to scan membership cards to establish if they are valid
 membernator is a tool that can be used to scan membership cards and establish
 if they're valid or not against a CSV database. It relies on a graphical user
 interface and can be used by typing IDs manually or using a barcode scanner.

Package: members
Description-md5: 4f72df52c4a8c69707fb92158a7d8536
Description-en: Shows the members of a group; by default, all members
 members is the complement of groups: whereas groups shows the groups a
 specified user belongs to, members shows users belonging to a specified
 group.
 .
 Given the name of a group, members will send a space-separated list of
 member names to stdout.
 .
 Full option parsing has been added as of this version. You can ask for
 primary members, secondary members, both on one line, each on separate
 lines. If no option is selected, prints all members on one line by default.

Package: memdump
Description-md5: f55ca06ba385903ccb2274088165fc0a
Description-en: utility to dump memory contents to standard output
 Program which dumps system memory to the standard output stream, skipping over
 holes in memory maps. By default, the program dumps the contents of physical
 memory.
 .
 This program will not work if CONFIG_STRICT_DEVMEM is enabled in kernel. Since
 2.6 version, several kernels are enabling this option by default.
 .
 memdump is useful in security tests and forensics investigations.

Package: memlockd
Description-md5: bf4c223ba5cd1bf18b71091e8cb5dc57
Description-en: daemon to lock files into RAM
 When a system starts paging excessively it may be impossible for the sysadmin
 to login for the purpose of killing the runaway processes (sometimes the
 login program times out due to thrashing).  Memlockd allows important system
 files (such as /bin/login, /bin/getty, and the admin shell) to be locked in
 memory so that there will be no delay in accessing executable pages.  In my
 tests this can decrease the time required for the administrator to login on a
 thrashing system by a factor of more than 3.

Package: memstat
Description-md5: 9d09d69a80d71802d857028417947648
Description-en: Identify what's using up virtual memory
 Lists all the processes, executables, and shared libraries
 that are using up virtual memory. It's helpful to see how the
 shared memory is used and which 'old' libs are loaded.

Package: memtester
Description-md5: 0d80355e93a9c5d7be1e35f42f6b1e1d
Description-en: Utility for testing the memory subsystem
 This is a userspace utility for testing the memory subsystem for faults.
 In comparison to memtest86 you do not need to reboot the computer to test
 for memory faults.
 .
 Memtester can also be told to test memory starting at a particular
 physical address.

Package: memtool
Description-md5: 0b36cca17a5125d222f764398818ee8a
Description-en: Development tool to modify memory mapped registers
 memtool allows one to read and write memory mapped registers via /dev/mem. The
 commands are inspired by the respective commands of the barebox bootloader.
 This is handy during driver development to inspect and modify register
 settings. It can also be used to modify regular files and character devices
 (e.g. to paint to /dev/fb0).

Package: mencal
Description-md5: c00767c2d6946aae1a04bb29e8a2a339
Description-en: menstruation calendar
 Mencal is a menstruation calendar written in Perl.
 .
 It is a simple variation of the well-known Unix command cal. The main
 difference is that you can have some periodically repeating days highlighted
 in color. This can be used to track menstruation (or other) cycles
 conveniently.

Package: mencoder
Description-md5: 3e0b1c03e45777592769ce1aa7a30c28
Description-en: MPlayer's Movie Encoder
 MPlayer plays most MPEG, VOB, AVI, Ogg/OGM, VIVO,
 ASF/WMA/WMV, QT/MOV/MP4, FLI, RM, NuppelVideo, yuv4mpeg, FILM, RoQ, PVA files,
 supported by many native, XAnim, RealPlayer, and Win32 DLL codecs. It can
 also play VideoCD, SVCD, DVD, 3ivx, RealMedia, and DivX movies.
 .
 This package contains mencoder, a simple movie encoder, designed to
 encode MPlayer-playable movies
 (AVI/ASF/OGG/DVD/VCD/VOB/MPG/MOV/VIV/FLI/RM/NUV/NET) to other
 MPlayer-playable formats. It can encode with various codecs, like DivX4
 (1 or 2 passes), libavcodec, PCM/MP3/VBRMP3 audio. Also has stream
 copying and video resizing capabilities.

Package: mender-artifact
Description-md5: bac1d6358362e4e04db229a5ab5f9f32
Description-en: utility to generate .mender artifacts
 Mender Artifacts Library Mender is an open source over-the-air (OTA)
 software updater for embedded Linux devices. Mender comprises a client
 running at the embedded device, as well as a server that manages
 deployments across many devices.
 .
 This package contains the mender-artifact program which is used to generate
 the artifacts needed for mender OTA updates. The artifacts are generated
 from your existing ext4 rootfs image that you built for direct device
 deployments.

Package: mender-cli
Description-md5: 03e9d8b5b19c8d9cb68133a8b8af350a
Description-en: general-purpose CLI for the Mender backend
 Mender is an open source over-the-air (OTA) software updater
 for embedded Linux devices. Mender comprises a client running at the
 embedded device, as well as a server that manages deployments across
 many devices.
 .
 This package contains a standalone tool that makes it
 much easier to work with the Mender server management APIs
 (https://docs.mender.io/apis/management-apis).
 .
 The goal with mender-cli is to simplify integration between the Mender
 server and cloud services like continuous integration (CI)/build
 automation.

Package: mender-client
Description-md5: f63cb2510c63afcdfdc6f0f55a578cad
Description-en: Mender over-the-air software updater client.
 Mender: over-the-air updater for embedded Linux devices
 .
 Mender is an open source over-the-air (OTA) software updater for embedded
 Linux devices. Mender comprises a client running at the embedded device, as
 well as a server that manages deployments across many devices.
 .
 Embedded product teams often end up creating homegrown updaters
 at the last minute due to the need to fix bugs in field-deployed
 devices. However, the most important requirement for an embedded update
 process is robustness, for example loss of power at any time should not
 brick a device. This creates a challenge given the time constraints to
 develop and maintain a homegrown updater.
 .
 Mender aims to address this challenge with a robust and easy to use
 updater for embedded Linux devices, which is open source and available
 to anyone.
 .
 Robustness is ensured with atomic image-based deployments using a dual
 A/B rootfs partition layout. This makes it always possible to roll
 back to a working state, even when losing power at any time during the
 update process.
 .
 Ease of use is addressed with an intuitive UI, comprehensive documentation
 (https://docs.mender.io/), a meta layer for the Yocto Project
 (https://github.com/mendersoftware/meta-mender) for easy integration
 into existing environments, and high quality software (see the test
 coverage badge).
 .
 This repository contains the Mender client updater, which can be run in
 standalone mode (manually triggered through its command line interface)
 or managed mode (connected to the Mender server).
 .
 Mender not only provides the client-side updater, but also the backend and
 UI for managing deployments as open source. The Mender server is designed
 as a microservices architecture and comprises several repositories.
 .
 To start using Mender, we recommend that you begin with the Getting
 started section in the Mender documentation (https://docs.mender.io/).
 .
 In order to support rollback, the Mender client depends on integration
 with U-Boot and the partition layout. It is therefore most easily
 built as part of your Yocto Project image by using the meta layer for
 the Yocto Project (https://github.com/mendersoftware/meta-mender).

Package: menhir
Description-md5: eb72870474ecd3a5b03e7e1f8f3c8a41
Description-en: Parser generator for OCaml
 Menhir is a LR(1) parser generator for the OCaml programming language.
 It is mostly compatible with the ocamlyacc parser generator provided with
 the OCaml system, and has the following enhancements over ocamlyacc:
  * it accepts LR(1) grammars,
  * it offers parameterized nonterminal symbols as well as a library of
    standard definitions,
  * it explains conflicts in terms of the grammar,
  * it allows grammar specifications to be split over multiple files and
    parametrized by OCaml modules,
  * it produces reentrant parsers.

Package: menhir-doc
Description-md5: 91dbeecec9865908a9e2366645d8e7b8
Description-en: Documentation of the Menhir parser generator for OCaml
 Menhir is a LR(1) parser generator for the OCaml programming language.
 It is mostly compatible with the ocamlyacc parser generator provided with
 OCaml, and has a number of enhancements over ocamlyacc.
 .
 This package contains the Menhir manual as a pdf file, and the collection
 of Menhir examples.

Package: menu
Description-md5: 0145fb4173b2e75d0c60cc61c6089403
Description-en: generates programs menu for all menu-aware applications
 Debian menu keeps transparently the menus in the different
 window-managers in sync with the list of installed programs.
 .
 Debian menu relies on a list of menu entries provided by programs
 and a list of menu-methods provided by window-managers and other
 menu-aware applications.
 .
 Menu provides system-level and user-level configuration and overrides
 for both menu entries and menu-methods.

Package: menu-l10n
Description-md5: cbc0425b7a4253f5af6852a0e4d4ee60
Description-en: localized menu entries for Debian menu.
 Debian menu keeps transparently the menus in the different
 window-managers in sync with the list of installed programs.
 .
 Debian menu relies on a list of menu entries provided by programs
 and a list of menu-methods provided by window-managers and other
 menu-aware applications.
 .
 This package provides localized menu entries.

Package: menu-xdg
Description-md5: 78fb8eab88b96ef83ada62da826be856
Description-en: freedesktop.org menu compliant window manager scripts
 menu-xdg contains menu-methods to convert the Debian menu structure to
 the freedesktop.org xdg menu structure.
 .
  * Base Directory Specification Version 0.6
  * Menu Specification Version 0.8
  * Desktop Entry Specification Version 0.9.4

Package: menulibre
Description-md5: eacf3c867abb92994fdf61fd751325fa
Description-en: advanced FreeDesktop.org compliant menu editor
 An advanced menu editor that provides modern features in a clean, easy-to-use
 interface. All without GNOME dependencies, so even lightweight systems can
 benefit from the sanity that MenuLibre offers. MenuLibre is your one-stop shop
 for menus in Linux, whether you use GNOME, LXDE, Xfce, or Unity.

Package: mercurial
Description-md5: 01f62ef3bd1477c40a17577e8dcc5e14
Description-en: easy-to-use, scalable distributed version control system
 Mercurial is a fast, lightweight Source Control Management system designed
 for efficient handling of very large distributed projects.
 .
 Its features include:
  * O(1) delta-compressed file storage and retrieval scheme
  * Complete cross-indexing of files and changesets for efficient exploration
    of project history
  * Robust SHA1-based integrity checking and append-only storage model
  * Decentralized development model with arbitrary merging between trees
  * High-speed HTTP-based network merge protocol
  * Easy-to-use command-line interface
  * Integrated stand-alone web interface
  * Small Python codebase
 .
 This package contains the architecture dependent files.

Package: mercurial-buildpackage
Description-md5: 1f921379944157feb2c2461ff2f137c9
Description-en: Suite to maintain Debian packages in Mercurial repository
 This package helps to automate the task of maintaining Debian
 packages by using the distributed version-control system Mercurial.
 The programs included are:
 .
 mercurial-importdsc: Import an existing Debian source package into a
 Mercurial repository.
 .
 mercurial-importorig: Import new upstream tarballs.
 .
 mercurial-pristinetar: Recreate source tarballs from the Mercurial
 repository so they are identical to original upstream tarballs.
 .
 mercurial-buildpackage: Build a Debian package from the Mercurial
 repository, either in-place or using pbuilder.
 .
 mercurial-tagversion: Mark an uploaded Debian package for future
 reference.

Package: mercurial-common
Description-md5: 715b60ef17ae723625b571846d6d05da
Description-en: easy-to-use, scalable distributed version control system (common files)
 Mercurial is a fast, lightweight Source Control Management system designed
 for efficient handling of very large distributed projects.
 .
 This package contains the architecture independent components of Mercurial,
 and is generally useless without the mercurial package.

Package: mercurial-crecord
Description-md5: f0f047000fb3a8cc0eca02e086dc6199
Description-en: Mercurial crecord extension (transitional package)
 The selective commit functionality of Mercuial allows you to interactively
 choose among the changes you have made (with line-level granularity),
 and commit only those changes you select.  After committing the selected
 changes, the unselected changes are still present in your working copy,
 so you can use hg commit -i multiple times to split large changes into
 several smaller changesets.
 .
 This package shipped an extension which provided the following hg commands:
 .
  * crecord,
  * qcrecord,
  * qcrefresh.
 .
 This functionality has been incorporated into Mercurial since version 3.8.1.
 .
 This package is only provided for the convenience of the users of crecord and
 can be safely removed.

Package: mercurial-extension-utils
Description-md5: 5cfb128374c2c723d97e6e0cd7753aef
Description-en: Contains functions for writing Mercurial extensions
 Contains functions used by Mercurial extension mercurial-keyring. They are
 mostly tiny utilities related to configuration processing or location
 matching. They either extend Mercurial APIs a bit or support tasks which
 aren't strictly Mercurial related, but happen repeatedly during extension
 writing.

Package: mercurial-keyring
Description-md5: 68d451bf236eeac76d8a89df8f15f247
Description-en: Mercurial Keyring Extension
 mercurial_keyring is a Mercurial extension used to securely save HTTP
 and SMTP authentication passwords in password databases (GNOME Keyring,
 KDE KWallet, OSXKeyChain, specific solutions for Win32 and command line).
 This extension uses and wraps services of the keyring library.

Package: mergelog
Description-md5: 495689dcd09b65682f33ae3907ddce25
Description-en: utility that merges httpd log files by date
 A small and fast C program which merges and sorts http log files in
 'Common Log Format' from web servers behind round-robin DNS.  It has
 been designed to easily manage huge log files from highly stressed
 servers.

Package: mergerfs
Description-md5: 408de9ea655425d71db51f41613d76be
Description-en: another FUSE union filesystem
 mergerfs is a union filesystem geared towards simplifying storage and
 management of files across numerous commodity storage devices. It is
 similar to mhddfs, unionfs, and aufs.
 .
 Some salient features include
  * Runs in userspace (FUSE)
  * Configurable behaviors
  * Support for extended attributes (xattrs)
  * Support for file attributes (chattr)
  * Runtime configurable (via xattrs)
  * Safe to run as root
  * Opportunistic credential caching
  * Works with heterogeneous filesystem types
  * Handling of writes to full drives
  * Handles pool of readonly and read/write drives

Package: meritous
Description-md5: b12c88060dd241cd0587d04053047e3c
Description-en: action-adventure dungeon crawl game
 Far below the surface of the planet is a secret.  A place of limitless
 power. Those that seek to control such a utopia will soon bring an end
 to themselves. Seeking an end to the troubles that plague him, PSI
 user MERIT journeys into the hallowed Orcus Dome in search of answers.
 .
 Meritous is a action-adventure game with simple controls but a
 challenge to find a balance of power verses recovery time during
 real-time battles. Set in a procedurally generated world, the player
 can explore thousands of rooms in search of powerful artifacts, tools
 to help them, and to eventually free the Orcus Dome from evil.

Package: meritous-data
Description-md5: 8e243d3b8d950597134186d304540a50
Description-en: action-adventure dungeon crawl game (datafiles)
 Meritous is a action-adventure game with simple controls but a
 challenge to find a balance of power verses recovery time during
 greal-time battles. Set in a procedurally generated world, the player
 can explore thousands of rooms in search of powerful artifacts, tools
 to help them, and to eventually free the Orcus Dome from evil.
 .
 This package contains the architecture-independent data files used by
 meritous.

Package: merkleeyes
Description-md5: 58310a60729c702070f2c84bcad32f3f
Description-en: ABCI application serving a merkle-tree data store
 Simple ABCI application serving a merkle-tree key-value store.
 Merkleeyes allows inserts and removes by key, and queries by key
 or index. Inserts and removes happen through the DeliverTx message,
 while queries happen through the Query message. CheckTx simply
 mirrors DeliverTx.
 .
 ABCI is Tendermint's Serverside Blockchain API, whilst Tendermint
 Core is Byzantine Fault Tolerant (BFT) middleware that takes a
 state transition machine, written in any programming language, and
 replicates it on manymachines.

Package: mes
Description-md5: 30bf4243e8c40e614ccccb69813cf1db
Description-en: Maxwell Equations of Software
 GNU Mes aims to help create a full source bootstrap as part of the
 bootstrappable builds effort. It consists of a mutual self-hosting
 Scheme interpreter written in C and a Nyacc-based C compiler written
 in Scheme.
 .
 Mes and MesCC can compile a lightly patched TinyCC that is
 self-hosting.

Package: mesa-opencl-icd
Description-md5: 10fe1749fa432fa001ccca2f3b268b84
Description-en: free implementation of the OpenCL API -- ICD runtime
 This package contains the mesa implementation of the OpenCL (Open Compute
 Language) library, which is intended for use with an ICD loader. OpenCL
 provides a standardized interface for computational analysis on graphical
 processing units.

Package: mesa-utils
Description-md5: 7ba8c06516518df0cd025192c4a64cd4
Description-en: Miscellaneous Mesa GL utilities
 This package provides several basic GL utilities built by Mesa, including
 glxinfo and glxgears.

Package: mesa-utils-extra
Description-md5: 19393962d5551fe1b472af51936e948d
Description-en: Miscellaneous Mesa utilies (opengles, egl)
 This package provides several basic utilities built from Mesa, including
 es2gears, es2_info and es2tri

Package: mesa-va-drivers
Description-md5: c89bbf6e4f80a47acafd5858be814f3c
Description-en: Mesa VA-API video acceleration drivers
 These libraries provide the Video Acceleration API (VA-API) for Unix.
 They provide accelerated video playback (incl. H.264) and video
 post-processing for the supported graphics cards.
 .
 This package enables support for VA-API for some gallium drivers.

Package: mescc-tools
Description-md5: 5599eddce8d16e17d2e8b796c63f8dd6
Description-en: tools for binary bootstrapping
 The tools included provide very minimal functionality tools used in
 very early bootstrap of a toolchain.
 .
 blood-elf: generate ELF debug tables in M1-macro format from M1-macro
 assembly files
 .
 exec_enable: mark files as executable
 .
 get_machine: identify running hardware architecture
 .
 kaem: run shell scripts without a shell
 .
 hex2_linker: The trivially bootstrappable linker that is designed to
 be introspectable by humans and should you so desire assemble hex
 programs that you write.
 .
 M1-macro: The universal Macro assembler that can target any
 reasonable hardware architecture.

Package: meshio-tools
Description-md5: 69042fd3ca4e970921fbed20864783e3
Description-en: command-line tools for meshio
 Meshio can read from and write to various data formats representing
 unstructured meshes, such as DOLFIN, Gmsh, H5M or VTK.
 .
 This package provides the command-line tools, and a plugin for
 paraview.

Package: meshlab
Description-md5: 95ccde5bf094296bd5f1ba72cd396516
Description-en: System for processing and editing triangular meshes
 This is an open source, portable, and extendible system for the
 processing and editing of unstructured 3D triangular meshes.
 The system is aimed to help the processing of the typical not-so-small
 unstructured models arising in 3D scanning, providing a set of tools for
 editing, cleaning, healing, inspecting, rendering and converting this kind
 of meshes.
 .
 Meshlab can read files in these formats: PLY, STL, OFF, OBJ, 3DS, COLLADA
 and PTX. It can write PLY, STL, OFF, OBJ, 3DS, COLLADA, VRML, and DXF.

Package: meshs3d
Description-md5: c32b3cbd0c380c018d10e3ae5b582e0c
Description-en: OLSR/B.A.T.M.A.N. topology visualizer for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a OLSR/B.A.T.M.A.N. topology visualizer for s3d.

Package: meson
Description-md5: 05e8ad52532257641a3b106a0836e789
Description-en: high-productivity build system
 Meson is a build system designed to increase programmer
 productivity. It does this by providing a fast, simple and easy to
 use interface for modern software development tools and practices.

Package: mess-desktop-entries
Description-md5: 74cd0cee2ecd9ac3fcdfe7225be1c169
Description-en: Desktop entries for MESS ROMs
 This is a collection of desktop entries to handle ROM files for certain game
 consoles. They use the Multiple Emulator Super System (MESS) to start a
 game by simply double-clicking the ROM file from a file manager.

Package: metacam
Description-md5: 1415344d68dd93d75d91009fe57a50c5
Description-en: extract EXIF information from digital camera files
 EXIF (Exchangeable Image File Format) is a standard for storing interchange
 information in image files, especially those using JPEG compression. Most
 digital cameras, including mobile phones, now use the EXIF format.
 .
 The format is part of the DCF standard created by JEIDA to encourage the
 interoperability between imaging devices. In addition to the standard EXIF
 fields, MetaCam also supports vendor-specific extensions from Nikon, Olympus,
 Canon and Casio.
 .
 This program is useful in forensics investigations.

Package: metacity
Description-md5: 06e64374861a42f6f96cc5a654ad56b1
Description-en: lightweight GTK+ window manager
 Metacity is a small window manager, using GTK+ to do everything.
 .
 As the author says, metacity is a "Boring window manager for the adult in
 you. Many window managers are like Marshmallow Froot Loops; Metacity is
 like Cheerios."
 .
 This package contains the core binaries.

Package: metacity-common
Description-md5: 386fc245b801260f4b7c75b1915cb1ee
Description-en: shared files for the Metacity window manager
 Metacity is a small window manager, using GTK+ to do everything.
 .
 As the author says, metacity is a "Boring window manager for the adult in
 you. Many window managers are like Marshmallow Froot Loops; Metacity is
 like Cheerios."
 .
 This package contains the shared files.

Package: metacity-themes
Description-md5: 47024892dcd85d1c744195d0df3d877f
Description-en: Themes for the Gtk2 metacity window manager
 This collection of themes for the metacity window manager has been carefully
 compiled from a number of sources. Each one is publically available under a
 license which complies with the Debian free software guidelines, most commonly
 the GPL license. The themes have been individually checked to ensure that they
 are all of high quality: they have a consistent design; include high quality
 graphics; handle all types of window.
 .
 Included Themes:
     * Urbicande
     * OutlineHot
     * OutlineAsh
     * OutlineBeach
     * OutlineCoal
     * OutlineCold
     * OutlineFruity
     * OutlineWinter
     * keramik-Gyellow
     * mcblue
     * quiet-purple
     * BrushedMetal
     * Alloy
     * HeliX-Sweetpill-Crowberry
     * Watercolor
     * Redmond
     * Nodoka
 .
 The themes have primarity been gathered from http://themes.freshmeat.net and
 http://sunshineinabag.co.uk. I would like to hear suggestion for other high
 quality themes to be included, however please be sure to read the
 /usr/share/doc/metacity-themes/README.Debian file first, as it documents
 reasons why a number of themes were not included.

Package: metainit
Description-md5: d6808d06ab6cc941e3daa1c5452bb12b
Description-en: Generates init scripts
 Metainit solves the problem of writing good init scripts.
 Instead of manually creating these important files, they are
 derived from a declaritive description in the metainit files
 in /etc/metainit. These files can be shipped with packages
 or created by the local administrator.

Package: metamath
Description-md5: 4e59e766d3a9f9187c1c0bd8ff09b56b
Description-en: Language for mathematical proofs
 Metamath is a tiny language for expressing theorems in abstract
 mathematics, accompanied by proofs that can be verified by a computer
 program.
 .
 This program is the reference implementation of the language. It
 provides a proof checker, a proof editor and tools to automatically
 render theorems and proofs to HTML and LaTeX files.
 .
 This package does not contain proof databases, but the tools to deal
 with them. The user can create their own databases, use those
 available on the Internet or provided by the metamath-databases
 package.

Package: metamonger
Description-md5: bcbf7535e550953a3787466ee7a9218a
Description-en: Save, diff, and restore filesystem metadata
 metamonger allows you to save, diff, and restore filesystem metadata like
 mtime, atime, uid, gid, etc. The storage backend is line-wise JSON; this makes
 it easy to commit, track, and merge the data with a VCS like Git as well as
 edit the stored data by hand.

Package: metaphlan2
Description-md5: 3b2f7f0abf7727c8176639b50ed2f548
Description-en: Metagenomic Phylogenetic Analysis
 MetaPhlAn is a computational tool for profiling the composition of
 microbial communities (Bacteria, Archaea, Eukaryotes and Viruses) from
 metagenomic shotgun sequencing data with species level resolution. From
 version 2.0, MetaPhlAn is also able to identify specific strains (in the
 not-so-frequent cases in which the sample contains a previously
 sequenced strains) and to track strains across samples for all species.
 .
 MetaPhlAn 2.0 relies on ~1M unique clade-specific marker genes (the
 marker information file can be found at
 usr/share/metaphlan2/utils/markers_info.txt.bz2) identified from
 ~17,000 reference genomes (~13,500 bacterial and archaeal, ~3,500 viral,
 and ~110 eukaryotic), allowing:
 .
  * unambiguous taxonomic assignments;
  * accurate estimation of organismal relative abundance;
  * species-level resolution for bacteria, archaea, eukaryotes and
    viruses;
  * strain identification and tracking
  * orders of magnitude speedups compared to existing methods.
  * metagenomic strain-level population genomics

Package: metaphlan2-data
Description-md5: f0775409e41de9fba49cda4590c73ce4
Description-en: data package for Metagenomic Phylogenetic Analysis
 MetaPhlAn is a computational tool for profiling the composition of
 microbial communities (Bacteria, Archaea, Eukaryotes and Viruses) from
 metagenomic shotgun sequencing data with species level resolution. From
 version 2.0, MetaPhlAn is also able to identify specific strains (in the
 not-so-frequent cases in which the sample contains a previously
 sequenced strains) and to track strains across samples for all species.
 .
 MetaPhlAn 2.0 relies on ~1M unique clade-specific marker genes (the
 marker information file can be found at src/utils/markers_info.txt.bz2
 or here) identified from ~17,000 reference genomes (~13,500 bacterial
 and archaeal, ~3,500 viral, and ~110 eukaryotic), allowing:
 .
  * unambiguous taxonomic assignments;
  * accurate estimation of organismal relative abundance;
  * species-level resolution for bacteria, archaea, eukaryotes and
    viruses;
  * strain identification and tracking
  * orders of magnitude speedups compared to existing methods.
  * metagenomic strain-level population genomics
 .
 This package contains the data for metaphlan2.

Package: metapixel
Description-md5: e21789994eab123d697c18d19185099c
Description-en: generator for photomosaics
 Metapixel is a program for generating photomosaics. It can generate
 classical photomosaics, in which the source image is viewed as a matrix
 of equally sized rectangles for each of which a matching image is
 substitued, as well as collage-style photomosaics, in which rectangular
 parts of the source image at arbitrary positions (i.e. not aligned to a
 matrix) are substituted by matching images.

Package: metar
Description-md5: 2ab9798a427af08be1bb013341eea609
Description-en: utility to download/decode METAR reports
 Metar is a small utility that will download meteorological reports for
 aviation from the NOAA HTTP server at weather.noaa.gov and optionally decodes
 them into a human readable form.
 .
 This package is particularly useful is you want to quickly find out what the
 weather is like in places all over the world and you do not need fancy GUIs.

Package: metastore
Description-md5: c4000f7a16559f1debc3090c46bb68f2
Description-en: Store and restore metadata from a filesystem
 metastore is a tool to store the metadata of files/directories/links in
 a file tree to a separate file and to later compare and apply the
 stored metadata to said file tree.
 .
 The tool was written as a supplement to git which does not store all
 metadata, making it unsuitable for e.g. storing /etc in a repo.
 .
 metastore can also be helpful in a backup script if you want to create
 a tarball of a file tree and make sure that "everything" (e.g. xattrs,
 mtime, owner, group) is stored along with the files.

Package: metastudent
Description-md5: c418c012aef97c340d997d3607bd43a0
Description-en: predictor of Gene Ontology terms from protein sequence
 Often, only the sequence of a protein is known, but
 not its functions. Metastudent will try to predict
 missing functional annotations through homology searches (BLAST).
 .
 All predicted functions correspond to Gene Ontology (GO)
 terms from the Molecular Function (MFO), the Biological Process
 (BPO) and the Cellular Component Ontology (CCO) and are associated
 with a reliability score.

Package: metastudent-data
Description-md5: 3d66ac64bfbee617e168d06659791de9
Description-en: predictor of Gene Ontology terms from protein sequence - data files
 Often, only the sequence of a protein is known, but
 not its functions. Metastudent will try to predict
 missing functional annotations through homology searches (BLAST).
 .
 All predicted functions correspond to Gene Ontology (GO)
 terms from the Molecular Function Ontology (MFO) and the Biological Process
 Ontology (BPO) and are associated with a reliability score.
 .
 This package contains data files for metastudent.

Package: metastudent-data-2
Description-md5: 41ad429b3753a788e57250cb2b66ae48
Description-en: predictor of Gene Ontology terms from protein sequence - data #2
 Often, only the sequence of a protein is known, but
 not its functions. Metastudent will try to predict
 missing functional annotations through homology searches (BLAST).
 .
 All predicted functions correspond to Gene Ontology (GO)
 terms from the Molecular Function Ontology (MFO) and the Biological Process
 Ontology (BPO) and are associated with a reliability score.
 .
 This package contains additional data files for metastudent.

Package: metche
Description-md5: f0d977a821538432b697a37e38d95007
Description-en: configuration monitor to ease collective administration
 metche monitors changes made to a "system state" composed of:
    - a "watched" directory (typically: /etc)
    - one or more user maintained changelog files
      (e.g. /root/Changelog)
    - the state of Debian packages and versions (using apt-show-versions)
 by periodically:
    - saving backups of the corresponding files
    - emailing the changes in the system state to your administrators'
      mailing list

Package: meteo-qt
Description-md5: 4c4b20dbcb333e77894da3e8fcbbe605
Description-en: Application to display weather information
 meteo-qt is an application to display weather information in desktop panels,
 desktop notifications and it's own window.
 .
 Weather data is taken from OpenWeatherMap (http://openweathermap.org/). The
 application is based on Python 3 and Qt 5.

Package: meteo-qt-l10n
Description-md5: dfd9d130011cd2e59b915173375bc604
Description-en: Application to display weather information (translations)
 meteo-qt is an application to display weather information in desktop panels,
 desktop notifications and it's own window.
 .
 This package provides the meteo-qt translations.

Package: meterbridge
Description-md5: f5c5fa68a3f6bfe3e80688bb9f67884e
Description-en: Collection of Audio meters for the JACK audio server
 Meterbridge implements various meters for the JACK Audio Connection Kit.
 Examples of the available types are:
   * PPM Peak Program level Meter
   * VU Volume Unit Meter
   * DPM Digital Peak Meter
   * JF Jellyfish Meter
   * SCO Scope

Package: meterec
Description-md5: 0ea97d6a0534a5aacc22fd0369fdd7ec
Description-en: minimalistic multi track recorder
 meterec works as a basic multi track tape recorder. The aim of meterec
 is to minimise the interactions of the users with the computer and allow
 them to focus on their instrumental performance. For this reason meterec
 features are minimal. One of the main "limitations" is that meterec can
 only restart from time 0:00:00.00: if you fail one take, start it over
 again. Rather than learning how to use a specific software to correct
 what you lost, meterec forces to learn and master your instrument.

Package: metis
Description-md5: c116c34a069d539638774c5d41da7e6d
Description-en: Serial Graph Partitioning and Fill-reducing Matrix Ordering. Binaries
 METIS is a set of serial programs for partitioning graphs, partitioning finite
 element meshes, and producing fill reducing orderings for sparse matrices.
 The algorithms implemented in METIS are based on the multilevel
 recursive-bisection, multilevel k-way, and multi-constraint partitioning
 schemes. The package contains some binaries for graph analyzing.

Package: metview
Description-md5: 4ce04e442ecad0f65501d065fffe6dd1
Description-en: Interactive data visualization and analysis environment,
 Metview has been designed as a flexible, modular and extendible system
 able to accommodate the evolving needs of the user.
 The system is based on the ECMWF standards for graphics (Magics) and
 data access (MARS) but can also access locally stored data.
 The user interface is based on Motif and Qt. Metview is a
 fully distributed system where modules can run on different workstations
 and servers.
 .
 Metview is a cooperative project between ECMWF and INPE/CPTEC, Brazil.
 ECMWF has also been assisted by a staff member of Météo-France.

Package: metview-data
Description-md5: de58bbb93806f5fb6268e18d9b80dcb7
Description-en: Data needed for the Metview data analysis environment
 Metview has been designed as a flexible, modular and extendible system
 able to accommodate the evolving needs of the user.
 The system is based on the ECMWF standards for graphics (Magics) and
 data access (MARS) but can also access locally stored data.
 The user interface is based on Motif and Qt. Metview is a
 fully distributed system where modules can run on different workstations
 and servers.
 .
 This package provides data files needed for metvieww.

Package: mew
Description-md5: 8fc697b142090d8f7879bd7c8688fd0f
Description-en: mail reader supporting PGP/MIME for Emacs
 Mew (Messaging in the Emacs World) is a user interface for text messages,
 multimedia messages (MIME), news articles and security functionality
 including PGP, S/MIME, SSH and SSL.
 .
 The features of Mew are as follows:
 .
  - POP, SMTP, NNTP and IMAP are supported.
  - You can easily display a very complicated structured message.
  - You can start to read messages before they are all fully listed.
  - For refiling, default folders are neatly suggested.
  - You can complete field names, e-mail addresses, receiver's names,
    domain names and folder names.
  - You can easily search messages with keywords etc.
  - Thread, a mechanism to display the flow of messages, is supported.

Package: mew-beta
Description-md5: f48890aae299cff682d86159bfa20fa3
Description-en: mail reader supporting PGP/MIME for Emacs (development version)
 Mew (Messaging in the Emacs World) is a user interface for text messages,
 multimedia messages (MIME), news articles and security functionality
 including PGP, S/MIME, SSH and SSL.
 .
 The features of Mew are as follows:
 .
  - POP, SMTP, NNTP and IMAP are supported.
  - You can easily display a very complicated structured message.
  - You can start to read messages before they are all fully listed.
  - For refiling, default folders are neatly suggested.
  - You can complete field names, e-mail addresses, receiver's names,
    domain names and folder names.
  - You can easily search messages with keywords etc.
  - Thread, a mechanism to display the flow of messages, is supported.
 .
 This package provides a development snapshot version of Mew.  To use a
 stable version of Mew, install the mew package instead of this package.

Package: mew-beta-bin
Description-md5: 2a8e0c6e55ff34bd8c6ba7e95c3c2c07
Description-en: external commands for Mew (development version)
 The mew-beta-bin package contains external commands for the mew-beta package.
 .
  - mewencode: encode/decode MIME objects
  - mewl: extract necessary fields from messages stored in folders
  - incm: incorporate new mails from maildir or mbox to Mew's inbox folder
  - mewest: update indexes of Hyper Estraier
  - mew-pinentry: front end of gpg-agent to ask a passphrase to a user
  - mewstunnel: wrapper script for using stunnel
  - cmew: create Mew's database file
  - smew: search related messages from Mew's database file

Package: mew-bin
Description-md5: 882dfcaf0c13611876946b7e24109c7f
Description-en: external commands for Mew
 The mew-bin package contains external commands for the mew package.
 .
  - mewencode: encode/decode MIME objects
  - mewl: extract necessary fields from messages stored in folders
  - incm: incorporate new mails from maildir or mbox to Mew's inbox folder
  - mewest: update indexes of Hyper Estraier
  - mew-pinentry: front end of gpg-agent to ask a passphrase to a user
  - mewstunnel: wrapper script for using stunnel
  - cmew: create Mew's database file
  - smew: search related messages from Mew's database file

Package: mfcuk
Description-md5: 8ee7baed1de25ef87738efb7434e95bd
Description-en: MiFare Classic Universal toolKit
 Toolkit containing samples and various tools based on and around libnfc
 and crapto1, with emphasis on Mifare Classic NXP/Philips RFID cards.
 Special emphasis of the toolkit is on the following:
 1) mifare classic weakness demonstration/exploitation
 2) demonstrate use of libnfc (and ACR122 readers)
 3) demonstrate use of Crapto1 implementation to confirm internal workings
 and to verify theoretical/practical weaknesses/attacks.

Package: mffm-fftw-dev
Description-md5: df172243f06762f46238082665ff792a
Description-en: C++ wrapper for the fftw.org C library (version 3)
 Developer files for the mffm.fftw library
 mffm.fftw provides a simple C++ application base which handles
 FFT data and application. Operations such as power spectrum
 derivation and so on.

Package: mffm-fftw1
Description-md5: bbe08a634ccd3f33c0aedb2cc0385735
Description-en: C++ wrapper for the fftw.org C library (version 3)
 mffm.fftw provides a simple C++ application base which handles
 FFT data and application. Operations such as power spectrum
 derivation and so on.

Package: mfoc
Description-md5: 9a9def5bbb3ba307f326233b2cbc11a9
Description-en: MIFARE Classic offline cracker
 MFOC is an open source implementation of "offline nested" attack by Nethemba.
 .
 This program allow to recover authentication keys from MIFARE Classic card.
 .
 Please note that MFOC is able to recover keys from target only if it have
 a known key: default one (hardcoded in MFOC) or custom one (user provided
 using command line).

Package: mftrace
Description-md5: 04c81a18140c5ad35737c542ce67c331
Description-en: Converts Metafont fonts into Type1 fonts
 mftrace is a small Python program that lets you trace a TeX bitmap
 font (a METAFONT font) into a PFA or PFB font (A PostScript Type1
 Scalable Font).
 .
 Type1 fonts offer many advantages over bitmaps, as they allow
 PostScript files to render correctly on printers with many resolutions.
 Moreover, Ghostscript can generate much better PDF, if given scalable
 fonts.

Package: mg
Description-md5: 782b5369f4f7cf6ecd11dbfc7a175c86
Description-en: microscopic GNU Emacs-style editor
 This program is intended to be a small, fast, and portable
 editor for people who can't (or don't want to) run real
 Emacs for one reason or another.  It is compatible with GNU
 because there shouldn't be any reason to learn more than
 one Emacs flavor.
 .
 Packages which provide editors with broadly similar goals include
 .
   * e3;
   * joe;
   * jove and xjove;
   * ng-cjk, ng-cjk-canna and ng-latin;
   * qemacs and qemacs-nox; and
   * zile

Package: mgba-common
Description-md5: 7d6695d42bfe66f5b1a843233106659a
Description-en: Game Boy Advance emulator (common files for mGBA)
 mGBA is a new emulator for running Game Boy Advance games. It aims to be faster
 and more accurate than many existing Game Boy Advance emulators, as well as
 adding features that other emulators lack.
 .
 This package provides the common files for mGBA, such as shaders and DAT files.
 .
 Game Boy Advance is a registered trademark of Nintendo of America Inc. mGBA is
 not affiliated with or endorsed by any of the companies mentioned.

Package: mgba-qt
Description-md5: 73ac0627f6ed212a9f7acf62947e7192
Description-en: Game Boy Advance emulator (Qt frontend for mGBA)
 mGBA is a new emulator for running Game Boy Advance games. It aims to be faster
 and more accurate than many existing Game Boy Advance emulators, as well as
 adding features that other emulators lack.
 .
 This package provides the Qt GUI frontend for mGBA.
 .
 Game Boy Advance is a registered trademark of Nintendo of America Inc. mGBA is
 not affiliated with or endorsed by any of the companies mentioned.

Package: mgba-sdl
Description-md5: 6962d0c4514f7dcb76774a2a19cad0af
Description-en: Game Boy Advance emulator (SDL frontend for mGBA)
 mGBA is a new emulator for running Game Boy Advance games. It aims to be faster
 and more accurate than many existing Game Boy Advance emulators, as well as
 adding features that other emulators lack.
 .
 This package provides the SDL UI console for mGBA.
 .
 Game Boy Advance is a registered trademark of Nintendo of America Inc. mGBA is
 not affiliated with or endorsed by any of the companies mentioned.

Package: mgdiff
Description-md5: f78746dc01c69d02ac475640e8922303
Description-en: xdiff clone
 mgdiff is modeled after xdiff and provides a nice graphical interface
 for comparing the contents of two text files.  rmgdiff recurses down
 two directories collating difference information and invoking mgdiff
 whenever two text files differ.

Package: mgen
Description-md5: 4be1ed8c48694c25c164f8a53cd09595
Description-en: packet generator for IP network performance tests
 MGEN provides the ability to perform IP network performance tests
 and measurements using TCP and UDP/IP traffic. Test messages
 can be generated, received and logged. MGEN offers control over
 all network parameters and timing of these messages. All this can be
 done either via the command line or defined in a file format for
 greater reproducibility.

Package: mgen-doc
Description-md5: 851c19ad739a6d474cdb7f81a3c679dd
Description-en: mgen user and reference guide
 This package contains the HTML documentation for MGEN, a packet generator
 for IP network performance tests. It can also be found online at
 http://cs.itd.nrl.navy.mil/work/mgen/ .

Package: mgetty
Description-md5: c471e309a8d6f0abb1365c20109ee425
Description-en: Smart Modem getty replacement
 Mgetty is a versatile program to handle all aspects of a modem under Unix.
 .
 The program 'mgetty' allows you to use a modem for handling external
 logins, receiving faxes and using the modem as a answering machine without
 interfering with outgoing calls.
 .
 This package includes basic modem data capabilities. Install mgetty-fax to
 get the additional functionality for fax. Install mgetty-voice to get the
 functionality to operate voice modems.
 .
 Mgetty is also configurable to select programs other than login for special
 connections (eg: uucico, fido or other programs) depending on the login
 userid. It also supports caller-id if the modem and phone line supply it,
 and can deny connections based on originating telephone number.

Package: mgetty-docs
Description-md5: 80492847bae7e22d384214d1bad1ff11
Description-en: Documentation Package for mgetty
 Sample files and lots of documentation for mgetty. Additional source code
 for programs included that allow to set up a user interface for mgetty.

Package: mgetty-fax
Description-md5: 9d149b8c3ab687e4d875ba8146ecf3ca
Description-en: Faxing tools for mgetty
 The fax subsystem of the mgetty package.
 .
 This subsystems has the enhancements that are needed to send and receive
 faxes with mgetty and a Class 2 faxmodem.
 .
 The program 'mgetty' allows you to use a fax modem for receiving faxes
 and handling external logins without interfering with outgoing calls.

Package: mgetty-pvftools
Description-md5: 01c7adaa3fbac52167e0d5035da0ca82
Description-en: Programs for listening and manipulating pvf and rmd files
 This package contains different utilities from the vgetty package which
 permit to create, listen and manipulate rmd or pvf files. These files are
 the recorded "message files" for using a voice modem as an answering
 machine.
 .
 You will want to install this package to create your greeting messages.

Package: mgetty-viewfax
Description-md5: 28c99cdd9c6b521a2df32e0f53a63034
Description-en: Program for displaying Group-3 Fax files under X
 The program 'viewfax' allows you to view Group-3 fax files in an X window.
 Group-3 is the "standard" format for faxes.

Package: mgetty-voice
Description-md5: 5240e80a89de6c65cd5eb2f5b5cb3cbd
Description-en: Voicemail handler for mgetty
 Vgetty allows you to add answering machine / voicemail capability to all
 the other normal mgetty functions.
 .
 The program 'mgetty' allows you to use a voice modem as an answering
 machine and handling external logins without interfering with outgoing
 calls.
 .
 To create and manipulate voice messages, you need the mgetty-pvftools
 package.

Package: mgp
Description-md5: f85067d19d0f3adcf28731801478ab3d
Description-en: MagicPoint — an X11-based presentation tool
 MagicPoint is an X11-based presentation tool. It is designed to make
 simple presentations easy while to make complicated presentations
 possible. Its presentation file (whose suffix is typically .mgp) is
 just text so that you can create presentation files quickly with your
 favorite editor (e.g. Emacs or jupp).

Package: mgt
Description-md5: 472a50a9a7614a1c35bb05bbdf7fa6ca
Description-en: game record display/editor for the oriental game of go
 Mgt allows the user to examine Go game tree files in the SmartGo format.
 Mgt also has basic Go game tree editing capabilities and may be used
 to create or edit game tree files.
 .
 Mailgo is a utility which manages E-mail Go games using mgt as the Go
 board editor.  It is included in the mgt package.

Package: mh-book
Description-md5: 6e462e05e1eebe395765c3a4e693ede2
Description-en: MH & nmh: Email for Users & Programmers online book
 This is the book written by Jerry Peek and published by O'Reilly &
 Associates, Inc.  This book covers MH, nmh, and several interfaces
 to MH including xmh and exmh.  MH-E is now documented separately.
 .
 This manual is also visible at http://rand-mh.sourceforge.net/book/

Package: mha4mysql-manager
Description-md5: 3b096bd9e03c8d87fa1e86cae51c85cd
Description-en: Master High Availability Manager and Tools for MySQL, Manager Package
 MHA performs automating master failover and slave promotion with minimal
 downtime, usually within 10-30 seconds. MHA prevents replication consistency
 problems and saves on expenses of having to acquire additional servers.
 .
 All this with zero performance degradation, no complexity (easy-to-install)
 and requiring no change to existing deployments.

Package: mha4mysql-node
Description-md5: c1f94a24be48cf42b60f8d41877fd410
Description-en: Master High Availability Manager and Tools for MySQL, Node Package
 MHA performs automating master failover and slave promotion with minimal
 downtime, usually within 10-30 seconds. MHA prevents replication consistency
 problems and saves on expenses of having to acquire additional servers.
 .
 All this with zero performance degradation, no complexity (easy-to-install)
 and requiring no change to existing deployments.

Package: mhap
Description-md5: 7ad1b8e54ae72f2e55f2430af3d15d19
Description-en: locality-sensitive hashing to detect long-read overlaps
 The MinHash Alignment Process (MHAP--pronounced MAP) is a
 reference implementation of a probabilistic sequence overlapping
 algorithm. Designed to efficiently detect all overlaps between noisy
 long-read sequence data. It efficiently estimates Jaccard similarity
 by compressing sequences to their representative fingerprints
 composed on min-mers (minimum k-mer).

Package: mhc
Description-md5: eb302bf5c36102ea5a1e678c515c51d1
Description-en: schedule management tool for Emacs
 MHC (Message Harmonized Calendaring system) is a personal schedule
 management system, that is designed to help those who receive most
 appointments via email.
 .
 MHC has the following features:
  - You can easily import schedule articles from existing buffers.
  - Simple data structure; schedule articles are stored in the same form
    of MH that allows you to manipulate stored data in many ways.
  - Powerful but simple expression of appointments.
 .
 Non Elisp utilities are provided by the mhc-utils package.

Package: mhc-utils
Description-md5: a541b9c2b369d1384cb031cf37af5fe1
Description-en: utilities for the MHC schedule management system
 MHC (Message Harmonized Calendaring system) is a personal schedule
 management system, that is designed to help those who receive most
 appointments via email.
 .
 MHC has the following features:
  - You can easily import schedule articles from existing buffers.
  - Simple data structure; schedule articles are stored in the same form
    of MH that allows you to manipulate stored data in many ways.
  - Powerful but simple expression of appointments.
 .
 This package provides the mhc command and Ruby libraries.  To use MHC
 on Emacs, install the mhc package.

Package: mhddfs
Description-md5: 5173a140c60439c79480665a321bf081
Description-en: file system for unifying several mount points into one
 This FUSE-based file system allows mount points (or directories) to be
 combined, simulating a single big volume which can merge several hard
 drives or remote file systems. It is like unionfs, but can choose the
 drive with the most free space to create new files on, and can move
 data transparently between drives.

Package: mhonarc
Description-md5: db4e4aed44f9287bf9fc66e7db5782ce
Description-en: Mail to HTML converter
 MHonArc is a Perl mail-to-HTML converter. MHonArc provides HTML mail
 archiving with index, mail thread linking, etc; plus other capabilities
 including support for MIME and powerful user customization features.

Package: mhwaveedit
Description-md5: b7cdcd79f3648192bea18f2b80812e4d
Description-en: Simple and fast GTK2 sound editor
 mhWaveEdit is a graphical program for editing sound files. It is
 intended to be user-friendly and robust. It does not require
 a fast computer.
 .
 Supports JACK, ALSA, OSS and PulseAudio.

Package: mi2svg
Description-md5: 5529560e084911777eac56d06ca55252
Description-en: tool for creating svg presentations of Mapinfo mif/mid maps
 mi2svg is a free tool written in perl for creating svg (Scalable Vector
 Graphics) presentations of maps stored in MapInfo Interchange Format. Generated
 svg output uses same coordinates as the original mif input. Database entries of
 objects visible on the map are saved as class names, allowing accurate css
 styling of elements according to data from their database entry.

Package: mia-doctools
Description-md5: e2a2932f29b4ce483a1e1f3e15c0bb73
Description-en: Helper scripts for run-time document creation
 A set of scripts that can be used to create man-pages and on-line
 documentation for programs that make use of the mia command line
 parser and plug-in infrastructure

Package: mia-tools
Description-md5: ecb7e93f1d5730fd67bd6af1f058c031
Description-en: Command line tools for gray scale image processing
 Command lines tools to run general purpose image processing tasks on 2D
 and 3D gray scale images, and basic operations on triangular meshes.
 Supported image processing algorithms are image filtering, combining, image
 registration, motion compensation for image series, and the estimation of
 various statistics over images.
 This package also contains the nipype interfaces for these command line tools.

Package: mia-tools-doc
Description-md5: 41ee3f0a6472fd4babd8d572797e6f46
Description-en: Cross-referenced documentation of the MIA command line tools
 Cross referenced documentation of the command line tools and plug-ins that
 are provided by the MIA gray scale image processing tool chain.
 These lines tools to provide the means to run general purpose image
 processing tasks on 2D and 3D gray scale images, and basic operations on
 triangular meshes interactively from the command line. Supported image
 processing algorithms are image filtering, combining, image registration,
 motion compensation for image series, and the estimation of various
 statistics over images.

Package: mia-viewit
Description-md5: ea4da15745e5646165188b1fe3ba12c8
Description-en: Viewer program for 3D data sets created by using MIA
 MIA viewer program for the visualization of 3D data sets.
 The interfaces and supported data types focus on the results that
 can be obtained by running programs from the mia-tools package.

Package: mialmpick
Description-md5: d26281ef13924aa63d38940eb2820ca2
Description-en: Tools for landmark picking in 3D volume data sets
 This tool provides a simple 3D renderer that can visualize surfaces
 directly from 3D volumes and can be used to set 3D landmarks.
 It is best suited for CT data sets.

Package: miceamaze
Description-md5: 035443adad91df9dfe4aba880a892c9c
Description-en: video game with mice in a maze
 MiceAmaze is a video game that features a maze with mice and snakes.
 The goal is to bring the most mice to your house by placing arrows on the
 maze floor. But beware the snakes that want to eat them!

Package: micro
Description-md5: 1e5016b81d0f5aedf7555bfa090aacc1
Description-en: modern and intuitive terminal-based text editor
 micro is a terminal-based text editor that aims to be easy to use and
 intuitive, while also taking advantage of the full capabilities of
 modern terminals.
 .
 As the name indicates, micro aims to be somewhat of a successor to the
 nano editor by being easy to install and use.

Package: micro-httpd
Description-md5: 99c5bca2bdac366fb2a39cb9669e8fb8
Description-en: really small HTTP server
 A very small HTTP server implemented in 150 lines of code.
 Program can be used to serve HTTPS by wrapping it with stunnel.
 It implements all the basic features of an HTTP server, including:
 .
   * Security against ".." filename snooping
   * The common MIME types
   * Trailing-slash redirection
   * index.html
   * Directory listings

Package: microbegps
Description-md5: 61d3f91093066766c58dc6ddf8b29111
Description-en: explorative taxonomic profiling tool for metagenomic data
 MicrobeGPS is a bioinformatics tool for the analysis of metagenomic
 sequencing data. The goal is to profile the composition of metagenomic
 communities as accurately as possible and present the results to the
 user in a convenient manner. One main focus is reliability: the tool
 calculates quality metrics for the estimated candidates and allows the
 user to identify false candidates easily.

Package: microbiomeutil
Description-md5: fa14e20047c019ca343f60942f3bab98
Description-en: Microbiome Analysis Utilities
 The microbiomeutil package comes with the following utilities:
  * ChimeraSlayer:  ChimeraSlayer for chimera detection.
  * NAST-iEr:       NAST-based alignment tool.
  * WigeoN:         A reimplementation of the Pintail 16S anomaly
                    detection utility
  * RESOURCES:      Reference 16S sequences and NAST-alignments that
                    the tools above leverage.

Package: microbiomeutil-data
Description-md5: 1328df76a37eefd9bc03e4ea11a50a95
Description-en: Reference 16S sequences and NAST-alignments used by microbiomeutil tools
 Reference data for tools in the microbiomeutil package.  The algorithms
 used by the tools chimeraslayer, nast-ier and wigeon are based on
 reference data which are provided by this package.

Package: microcom
Description-md5: 758d7ccafadf72b5ede7b15d4894282a
Description-en: minimalistic terminal program
 microcom is a minimalistic terminal program for accessing devices (e.g.
 switches) via a serial connection.  It features connection via RS232
 serial interfaces (including setting of transferrates) as well as in
 "telnet mode" as specified in rfc2217.

Package: microdc2
Description-md5: 454b3f84a166af636585c3bbf95f4a30
Description-en: command-line based Direct Connect client
 microdc2 is a command-line based Direct Connect client that uses
 the GNU Readline library for user interaction. It was developed from
 ground up and does not depend on any other program. Despite the
 command-line user interface, it was designed to be user friendly and
 simple to use. It can work on headless host (under `screen`, for
 instance) ant thus, doesn't require you to run an expensive X11
 session, as other clients do.
 .
 microdc2 is currently in beta state - there may be many bugs not yet
 discovered. It also lacks some features that other clients support,
 such as file hashing, multiple hub connections, and hub list support.
 .
 Direct Connect protocol is used for P2P file sharing. See it's
 description on
 http://en.wikipedia.org/wiki/Direct_Connect_(file_sharing).

Package: microhope
Description-md5: 3494eaa0f2ce8103d86038cacfc63e1e
Description-en: hardware & software framework to learn microcontrollers
 This package provides a set of example programs to drive an ATmega32
 microcontroller, together with a tutorqial explaining how to compile
 them, upload them to the microcontroller, and how to make simple and
 more complicated modifications. It is meant to be used with the
 "microhope" board which makes it easy to upload code to the microcontroller
 through a USB link.
 .
 In addition to examples there is a library to drive all of the peripherals
 available on the "microhope" board: LED, LCD display, ADC connected to
 sensors.
 .
 A Graphic User Interface is provided too.

Package: micropolis
Description-md5: 87fc62c1fb5475dcc4392f5c459a388c
Description-en: real-time city management simulator
 This game simulates building and managing a whole city. The goal of the
 game is to build and design a city. The player can mark land as being
 zoned as commercial, industrial, or residential, add buildings, change
 the tax rate, build a power grid, build transportation systems and many
 other actions, in order to enhance the city.
 .
 Micropolis is the GPL-licensed version of SimCity.

Package: micropolis-data
Description-md5: 2279b5c1a01ec0cae6282375c045c28e
Description-en: real-time city management simulator - data
 This game simulates building and managing a whole city. The goal of the
 game is to build and design a city. The player can mark land as being
 zoned as commercial, industrial, or residential, add buildings, change
 the tax rate, build a power grid, build transportation systems and many
 other actions, in order to enhance the city.
 .
 This package installs the data, like graphics and sounds, for the game.

Package: micropython
Description-md5: 43b2c39b79b2ff078e777ad9e502f92e
Description-en: Unix/Linux port of MicroPython for board-independent use on the host
 The Unix port of MicroPython allows testing of programs intended for
 microcontrollers on the local Debian host machine (except for hardware-specific
 parts). It can also be used as another, tiny but mostly compatible, Python 3
 runtime for small scripts (but it comes with FFI and JNI support). This port
 uses optimised assembly code on ARM/Thumb, MIPS, i386 and amd64, and
 setjmp/longjmp-based fallback code on all other architectures.

Package: micropython-doc
Description-md5: 39d644cd3a3be0274b58f0ae045e5c03
Description-en: Documentation and examples for MicroPython - HTML format
 The Unix port of MicroPython allows testing of programs intended for
 microcontrollers on the local Debian host machine (except for hardware-specific
 parts). It can also be used as another, tiny but mostly compatible, Python 3
 runtime for small scripts (but it comes with FFI and JNI support). This port
 uses optimised assembly code on ARM/Thumb, MIPS, i386 and amd64, and
 setjmp/longjmp-based fallback code on all other architectures.
 .
 This contains the documentation in HTML format.

Package: midge
Description-md5: fb9884fae75ee01b669993690559a47e
Description-en: A text to MIDI program
 Midge generates MIDI files from text input. Most General MIDI
 features are supported, and there are some basic methods of
 randomly generating sequences. Also included is a decompiler
 and an emacs mode.

Package: midicsv
Description-md5: 9c6b7aa6461b7babd7ee46cf2d6f10ce
Description-en: translate MIDI file to CSV
 Midicsv reads a standard MIDI file and decodes it into a CSV
 (Comma-Separated Value) file which preserves all the information in the
 MIDI file.  The ASCII CSV file may be loaded into a spreadsheet or database
 application, or processed by a program to transform the MIDI data (for
 example, to key transpose a composition or extract a track from a
 multi-track sequence).  A CSV file in the format created by midicsv may be
 converted back into a standard MIDI file with the csvmidi program.

Package: midish
Description-md5: 8290fb16e238e99faeb7db4a246ae1b4
Description-en: shell-like MIDI sequencer/filter
 midish is a MIDI sequencer/filter implemented as a shell-like interpreter.
 It's intended to be lightweight, fast and reliable for real-time performance.
 Important features are: multiple MIDI devices handling, synchronisation to
 external MIDI devices, real-time MIDI filtering/routing (controller mapping,
 keyboard splitting, ...), track recording and editing (insert, copy, delete,
 quantize...), import and export of standard MIDI files, system exclusive
 messages handling.

Package: midisnoop
Description-md5: ab408c0f86b7c8e403beb7268f16e32c
Description-en: MIDI monitor and prober
 Can be used to monitor a MIDI device and/or software,
 and to send MIDI messages to a MIDI port
 to see how the device/software responds.
 .
 Support both ALSA and JACK MIDI ports via the RtMidi library.

Package: midori
Description-md5: c444d7b49669139f61e9d95bf5672c09
Description-en: fast, lightweight graphical web browser
 Midori is a lightweight web browser based on WebKit.
 .
 Its features include:
 .
  * Full integration with GTK+2.
  * Fast rendering with WebKit.
  * Tabs, windows and session management.
  * Flexibly configurable Web Search.
  * User scripts and user styles support.
  * Straightforward bookmark management.
  * Customizable and extensible interface.
  * Support for extensions (written in C).
  * Custom context menu actions.

Package: migemo-el
Description-md5: 34f924df54d6ae2703144c7d8a99f49d
Description-en: transitional dummy package: elpa-migemo
 This package is a dummy transitional package(elpa-migemo).
 It can be safely removed.

Package: mighttpd2
Description-md5: e91ee51669be709c50bb570818f597f3
Description-en: classical web server on WAI/warp
 Mighttpd2 (pronounced as "mighty") is a simple but practical HTTP server
 written in Haskell. It handles static files and CGI scripts. It also
 provides reverse proxy functionality.
 .
 Mighttpd2 is now implemented as a WAI application using the high-performance
 HTTP engine, "warp". To httperf Ping-Pong benchmark, Mighttpd2 is faster than
 nginx.

Package: migrationtools
Description-md5: 52ab4aa53012d242b26992954349483b
Description-en: Migration scripts for LDAP
 The MigrationTools are a set of Perl scripts for migrating users, groups,
 aliases, hosts, netgroups, networks, protocols, RPCs, and services from
 existing nameservices (flat files, NIS, and NetInfo) to LDAP.

Package: mikmod
Description-md5: 4390b2a96206a4d8c512adfc5860a9bf
Description-en: Portable tracked music player
 Mikmod is a very portable tracked music player which supports a wide
 variety of module formats including compressed sample Impulse Tracker
 modules. It also supports many archive formats, as well as on the fly
 decompression.

Package: mikutter
Description-md5: 5ef5a928cb327f72bd994efcf22ca547
Description-en: plugin-extensible Twitter client
 Mikutter is a multi-pane Twitter client with several advanced
 features:
  * different tweet views (flat list, threaded list, searches);
  * user profile and activity views;
  * lists of followers and followings (friends);
  * plugin extensibility.

Package: milkytracker
Description-md5: 7bb311f88f8a6b4b9afee2ffe0596e3d
Description-en: music creation tool inspired by Fast Tracker 2
 This is an editor for "tracked" music, i.e. audio samples of instruments
 pitch shifted according to the note data.
 .
 It can load the following module formats: 669, AMS, AMF, DBM, CBA, DIGI,
 DSM, FAR, GMC, GDM, IMF, IT, MOD, MDL, MTM, MXM, OKT, PLM, PSM, PTM, S3M,
 STM, ULT, UNI, and X.
 .
 Export is possible into the formats: XM, MOD, and WAV.
 .
 Supported sample and instrument formats: WAV, IFF/XI, PAT

Package: miller
Description-md5: 7d4af4f0e32d6bca0337ea22abe4ca93
Description-en: name-indexed data processing tool
 Miller (mlr) allows name-indexed data such as CSV files to be
 processed with functions equivalent to sed, awk, cut, join, sort
 etc. It can convert between formats, preserves headers when sorting
 or reversing, and streams data where possible so its memory
 requirements stay small. It works well with pipes and can feed
 "tail -f".

Package: milou
Description-md5: 59d39a86e2671ca8f1561bc6c25fd6e3
Description-en: Dedicated search plasmoid.
 Milou can also be used as an alternative to KRunner, and
 does provide application launching. The main difference
 is that it concentrates more on searching.

Package: milter-greylist
Description-md5: e2bcfcf12d0c831c8d6900b5c70a65f7
Description-en: Greylist milter for sendmail
 milter-greylist is a stand-alone milter written in C that implements the
 greylist filtering method, as proposed by Evan Harris.
 .
 Greylisting works by assuming that unlike legitimate MTA, spam engines will
 not retry sending their junk mail on a temporary error. The filter will
 always temporarily reject mail on a first attempt, and to accept it after
 some time has elapsed.
 .
 If spammers ever try to resend rejected messages, we can assume they will not
 stay idle between the two sends (if they do, the spam problem would just be
 solved). Odds are good that the spammer will send a mail to an honey pot
 address and get blacklisted in several real-time distributed black list
 before the second attempt.

Package: mimedefang
Description-md5: e22a57a2cca6b9b051158a39372e2f84
Description-en: e-mail filter program for sendmail
 MIMEDefang is an e-mail filter program which processes all messages sent
 via Sendmail. It splits multi-part MIME messages into their components
 and can delete or modify those parts before reassembling the message and
 sending it on its way.

Package: mimefilter
Description-md5: 24036113052eed59f4cb90944ab34053
Description-en: Strips some unwanted MIME parts out of a MIME message.
 This program may be useful as a filter on a mailing list.
 .
 It strips every unwanted MIME part from a MIME compliant message, warning by
 email the original author about this, and outputs a MIME compliant cleaned
 message, to be further processed by a mailing list software.
 .
 You may find it useful if you don't want certain attachments on your mailing
 lists, or if you want to allow just the text part from multipart/alternative
 messages, and so on.  You can easily fine tune the list of allowed MIME types
 to suit your particular needs, using normal Perl regexps.

Package: mimetex
Description-md5: c0904eca2683f2e822c853f4b54e8ae2
Description-en: LaTeX math expressions to anti-aliased GIF images converter
 mimeTex parses LaTeX math expressions emitting directly GIF images
 without intermediate dvi-to-gif conversion.
 .
 mimeTex is a standalone program that doesn't use TeX in any way, a kind
 of lightweight alternative to MathML

Package: mina
Description-md5: 74932a7f67db9bde44e86ece2e5a3eb3
Description-en: deployer and server automation tool
 Mina is a fast deploy Bash script generator. It generates an entire
 deployment procedure as a Bash script and runs it remotely on a server,
 creating a single SSH session per deploy, minimizing the SSH connection
 overhead. Its syntax is similar to Capistrano.

Package: minbif
Description-md5: 090360b8be6f409b679546f50348c64d
Description-en: IRC-to-other-IM-networks gateway using Pidgin library
 Minbif is an IRC gateway to IM networks which provides the following features:
  * Minbif uses a library which abstracts all IM calls, and has several
    plugins to support more than 15 IM protocols (IRC included!);
  * Two modes: inetd and daemon fork;
  * Only IRC commands are used to control Minbif;
  * Certificates check;
  * Buddies are IRC users;
  * Each account has a status channel. You see in all connected
    buddies, and their status on it;
  * Add and remove buddies from list with /INVITE and /KICK commands;
  * Blocked users are bans on the account's status channel;
  * Display when a buddy is typing a message;
  * Can chat with someone who is not in your buddy list;
  * You can see buddies' icons (with libcaca) or download them;
  * DCC SEND an image to set your icon on IM networks;
  * Display extended information about buddies with /WII command;
  * Support away messages;
  * Can send and receive files, which are sent or received to/from your
    IRC client with DCC SEND;
  * Conversation channels are supported;
  * Auto\-rejoin conversation channels at connection;
  * Display list of channels on an IM account with /LIST;
  * irssi scripts to increase your user experience of minbif;
  * CoinCoin plugin for libpurple.

Package: minbif-common
Description-md5: f6b800e58862708a5554f3a5ec3fc2d4
Description-en: IRC-to-other-IM-networks gateway using Pidgin library (common files)
 Minbif is an IRC gateway to IM networks which provides the following features:
  * Minbif uses a library which abstracts all IM calls, and has several
    plugins to support more than 15 IM protocols (IRC included!);
  * Two modes: inetd and daemon fork;
  * Only IRC commands are used to control Minbif;
  * Certificates check;
  * Buddies are IRC users;
  * Each account has a status channel. You see in all connected
    buddies, and their status on it;
  * Add and remove buddies from list with /INVITE and /KICK commands;
  * Blocked users are bans on the account's status channel;
  * Display when a buddy is typing a message;
  * Can chat with someone who is not in your buddy list;
  * You can see buddies' icons (with libcaca) or download them;
  * DCC SEND an image to set your icon on IM networks;
  * Display extended information about buddies with /WII command;
  * Support away messages;
  * Can send and receive files, which are sent or received to/from your
    IRC client with DCC SEND;
  * Conversation channels are supported;
  * Auto\-rejoin conversation channels at connection;
  * Display list of channels on an IM account with /LIST;
  * irssi scripts to increase your user experience of minbif;
  * CoinCoin plugin for libpurple.
 .
 This package contains the documentation and common plugins.

Package: minc-tools
Description-md5: bbd6eb8bd554e81904fc50647e0dacca
Description-en: MNI medical image format tools
 This package contains tools to manipulate MINC files.
 .
 The Minc file format is a highly flexible medical image file format
 built on top of the NetCDF generalized data format. The format is
 simple, self-describing, extensible, portable and N-dimensional, with
 programming interfaces for both low-level data access and high-level
 volume manipulation. On top of the libraries is a suite of generic
 image-file manipulation tools. The format, libraries and tools are
 designed for use in a medical-imaging research environment: they are
 simple and powerful and make no attempt to provide a pretty interface
 to users.

Package: minder
Description-md5: b9bafde7c6ef36ef8247855daaca3ffd
Description-en: Mind-mapping application
 Minder is a mind-mapping application, featuring
 .
  * Quickly create visual mind-maps using the keyboard and automatic layout.
  * Gorgeous themes.
  * Export to PDF, PNG, JPEG, BMP, SVG, OPML, CSV, Markdown and PlainText
    formats.
  * Printer support.
  * Add notes, tasks and images to your nodes.
  * Colorized node branches.

Package: minetest
Description-md5: ac90cc10401495e1dd0afd0f8a2cff72
Description-en: Multiplayer infinite-world block sandbox
 Minetest is a minecraft-inspired game written from scratch and licensed
 under the LGPL (version 2.1 or later). It supports both survival and creative
 modes along with multiplayer support, dynamic lighting, and an "infinite" map
 generator.

Package: minetest-data
Description-md5: 229f372456731dacae7f7ec3aeecb983
Description-en: Multiplayer infinite-world block sandbox (data files)
 Minetest is a minecraft-inspired game written from scratch and licensed
 under the LGPL (version 2.1 or later). It supports both survival and creative
 modes along with multiplayer support, dynamic lighting, and an "infinite" map
 generator.
 .
 These are the files used by both client and server packages, such as
 the textures and other graphical files and the standard mods.

Package: minetest-mod-basic-materials
Description-md5: e53aab7118209b2771ce1b8a3b2cb223
Description-en: Minetest mod providing basic materials and items
 This game extension provides various materials (different metals,
 plastic) and items (wires, strips, ingots, chainlinks, gears, padlocks,
 etc).

Package: minetest-mod-character-creator
Description-md5: 8c5ca9b0efbc3880cd6b8d727222875a
Description-en: Minetest module to customize your skin
 This Minetest module provides an editor to make it possible to modify your
 skin in a few different ways: gender, size, build, skin color, hair (color,
 length), eye color, t-shirt color, pants color, shoes.

Package: minetest-mod-craftguide
Description-md5: 95b966355eb511cff934bcb3482e2fc3
Description-en: Minetest mod providing a crafting guide
 This minetest extension adds a crafting guide to the game, usable with
 a blue book named "Crafting guide" and two modes: standard and progressive.
 .
 It is the most comprehensive with the cleanest code of its category.

Package: minetest-mod-currency
Description-md5: 0e8720d471ecc1def0d98c385fe2018c
Description-en: Minetest mod providing shops and currency
 This minetest extension adds:
  - shops,
  - barter tables,
  - safes
  - and multiple denominations of currency.

Package: minetest-mod-ethereal
Description-md5: e68941b199071814a985de3d54dab1b0
Description-en: Minetest module to add a map generator enhancer
 This module provides the ethereal map generator enhancer, adding many
 biomes as well as many items and features.
 .
 Will enhance any map generator except v6.

Package: minetest-mod-homedecor
Description-md5: d72819e764af7c25589d9b08f45effda
Description-en: Minetest mod pack providing home decor elements
 This minetest extension adds a great number of home decor elements like
 window shutters, flower pots, home electronics, tables, kitchen and
 bathroom fixtures, etc.

Package: minetest-mod-infinite-chest
Description-md5: 1ae7a882ba3f6ea23678a50b12caaded
Description-en: Minetest module to get chests with an infinite number of slots
 This minetest module provide chests where you can't run out of slots. The
 last accessed slot appears at the top of the list, and you can name your
 slots to easily find your items.

Package: minetest-mod-intllib
Description-md5: 551000f67e33e09b33486b9ac880bdfc
Description-en: Minetest module for internationalization of modules
 This Minetest module provides a framework to internationalize
 other minetest modules.
 .
 It doesn't provide translations for other modules, but it makes it
 possible for them to use their own translations.

Package: minetest-mod-lucky-block
Description-md5: 5d0c750f59459d6a74bfbba1e6182dd7
Description-en: Minetest module to add a Lucky Block to the game
 This minetest module provides a new block, which when broken can give
 the player something good, surprising, bad, hurtful or simply a troll.
 .
 The exact number of Lucky Blocks depends on the enabled mods.

Package: minetest-mod-maidroid
Description-md5: 78e389ce9f8f0a72dddd6d3d3f4ea649
Description-en: Minetest mod - Maidroid for maid robots
 This minetest mod provides maid robots that can perform common tasks such
 as farming and cutting down trees.

Package: minetest-mod-mesecons
Description-md5: 97c0737a761abc336d9669874f24eca2
Description-en: Digital circuitry blocks for minetest
 This module for minetest adds digital circuitry items, like different
 kinds of sensors, switches, solar panels, detectors, pistons, lamps,
 sound blocks and advanced things like logic gates and programmable
 blocks.

Package: minetest-mod-mobs-redo
Description-md5: 2297f2119b30dc3ec68625c193a3cf99
Description-en: Minetest module to add mobs programming interface
 This minetest module provides an advanced programming interface to add
 mobs into the world.
 .
 It was built from PilzAdam's original Simple Mobs with additional mobs
 by KrupnoPavel, Zeg9, ExeterDad and AspireMint.
 .
 As it contains only the programming interface, you need to install
 other mobs to get actual gamer-visible creatures.

Package: minetest-mod-moreblocks
Description-md5: bc28b955a17a1a4bdc98fe436a4b9936
Description-en: Minetest mod - More Blocks
 This mod adds some new blocks, most of them don't have a use other than
 decoration.
 .
 The blocks include several kinds of stone that can be used to build floors
 and stairs. The mod also includes stairsplus, which is helpful for building
 staircases.

Package: minetest-mod-moreores
Description-md5: 368d726ccc3d4325d9642a27bb1422d6
Description-en: Minetest mod - More Ores
 This mod adds copper, tin, silver and gold in Minetest. This mod also
 adds three new sets of tools/swords.
 .
 All these five ores give lumps upon mining - those lumps are smeltable
 into ingots, then you can do tools, blocks, and locked chests out of
 them.

Package: minetest-mod-nether
Description-md5: 5bee50e2b0e3a200a03e3c7c789eb3fd
Description-en: Minetest mod - Nether portals and materials
 This minetest extension adds a deep underground realm with different map
 generators.
 .
 You can reach it with obsidian portals -- so called nether portals.

Package: minetest-mod-pipeworks
Description-md5: fe9d7ee6bc2fc9e40232a7d48a465d7f
Description-en: Pipes and tubes for Minetest
 This package provides an extension for minetest allowing crafting
 and usage of pipes to transport fluids and tubes to transport items.
 It also contains a few devices to work with them.

Package: minetest-mod-player-3d-armor
Description-md5: 447ef9314fdfdd913dfe968daaeb4c26
Description-en: Modpack to add armor and wielded weapons for Minetest
 This Minetest modpack provides the following features:
  - visible player armors ;
  - visible wielded items ;
  - shields ;
  - 3d armor stands.

Package: minetest-mod-protector
Description-md5: a3e20ab35f9ee4409312b00e1cdc53a5
Description-en: Minetest module to add protector blocks
 This minetest module provides protector blocks, that is blocks who stop
 other player from digging or placing block in their surrounding area.
 .
 It is based on glomie's block, remade by Zeg9 and rewritten by TenPlus1,
 so you might have heard of it under the name "Protector Redo".

Package: minetest-mod-pycraft
Description-md5: f0a73b49751630318d8433c67bc31c6d
Description-en: Minetest mod - (most of) Raspberry PI Minecraft API
 Provide API server in Minetest to allow Python and Sonic Pi to manipulate
 the world in the server.

Package: minetest-mod-quartz
Description-md5: b7ca0e4c8f0c6de05bf09436f712ca97
Description-en: Minetest module to add quartz
 This minetest module provides the quartz ore and other decorative
 blocks.

Package: minetest-mod-skyblock
Description-md5: d0b310285ff4e91151bb177b2d5a5694
Description-en: Minetest module to add a Crusoe-like minigame
 This minetest module provide game mechanics to start from a small
 island in the sky with minimal capacities and build everything from
 there.

Package: minetest-mod-throwing
Description-md5: 0ae4724fe2332dbad5ec12c574e21974
Description-en: Minetest mod - Throwing and throwables
 This minetest extension provides two parts:
 - the first is an abstract programming interface to declare
 throwing and throwables;
 - the second uses the first to enable basic bows and arrows.

Package: minetest-mod-unified-inventory
Description-md5: aa69751ffa0405d2f65bad4ef1ee033f
Description-en: Minetest module to enhance the inventory
 This minetest module provides an inventory with a nicer interface and
 an included crafting guide as well as various types of bags and
 waypoints.

Package: minetest-mod-unifieddyes
Description-md5: 710362407cd690666e66e862ab9a6d7c
Description-en: Minetest mod providing a unified dye specification
 This minetest extension adds a whole lot of colors, crafting recipes
 and details on how colors should work in the game.
 .
 It is an extension of the standard dye set of the game from 15 to 90 colors.

Package: minetest-mod-worldedit
Description-md5: a70bf08141546c1b9b2038a2f3d6ca9e
Description-en: Minetest mod - ingame world editor
 WorldEdit is the ultimate ingame world editor for Minetest.
 It includes functionality for building, fixing and more. It is primarily
 controlled through chat commands.
 .
 WorldEdit exposes all significant functionality in a simple Lua interface.
 The API is useful for tasks such as high-performance node manipulation,
 alternative interfaces, and map creation.

Package: minetest-server
Description-md5: 1049acc473f1c4745bdb2f27115efe56
Description-en: Multiplayer infinite-world block sandbox (server)
 Minetest is a minecraft-inspired game written from scratch and licensed
 under the LGPL (version 2.1 or later). It supports both survival and creative
 modes along with multiplayer support, dynamic lighting, and an "infinite" map
 generator.
 .
 This is the minetest server package.

Package: minetestmapper
Description-md5: 889cf185bc3f6002344f53ddf5b041f6
Description-en: map generator from minetest worlds
 This command-line tool will get a minetest world directory
 and generate an image map, to be used either as a better
 guide than the internal minimap, or to advertise a server.

Package: mingetty
Description-md5: 0ab16e61804b3e3dd3d05fa683c9ef0b
Description-en: Console-only getty
 Mingetty is a small, efficient, console-only getty for Linux.
 .
 "getty opens a tty port, prompts for a login name and invokes the
 /bin/login command. It is normally invoked by init(8)."
 .
 mingetty is a minimal getty for use on virtual consoles. Unlike
 the getty in the util-linux or mgetty packages, mingetty is not
 suitable for serial lines, which is why it's smaller.

Package: mingw-w64
Description-md5: 170497b193e24afc77f204c221f0e3f8
Description-en: Development environment targeting 32- and 64-bit Windows
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This metapackage provides the MinGW-w64 development environment,
 including C and C++ compilers. Ada, Fortran, Objective-C and
 Objective-C++ compilers are available respectively in the
 gnat-mingw-w64, gfortran-mingw-w64, gobjc-mingw-w64 and
 gojbc++-mingw-w64 packages.

Package: mingw-w64-common
Description-md5: 18eccaadae99ddf9dab704782cc8edaf
Description-en: Common files for Mingw-w64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains files common to both 32- and 64-bit targets.

Package: mingw-w64-i686-dev
Description-md5: c8c37b8041ae083173a5f84791374229
Description-en: Development files for MinGW-w64 targeting Win32
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the headers and libraries required to build
 32-bit software using MinGW-w64.
 .
 The wine package can be used to test software built using MinGW-w64
 without using Windows.

Package: mingw-w64-tools
Description-md5: e51ae65009b54dc317e276561f73ef47
Description-en: Development tools for 32- and 64-bit Windows
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains:
  * gendef, which extracts DLL exports from Windows executables;
  * genidl, which extracts IDL information from Windows executables;
  * genpeimg, which manipulates PE flags in Windows executables;
  * widl, which generates build files from IDL descriptions;
  * pkg-config symlinks to allow .pc-based libraries to be used.

Package: mingw-w64-x86-64-dev
Description-md5: 7b19c5c4a72a02cd58ba6def67e7bcba
Description-en: Development files for MinGW-w64 targeting Win64
 MinGW-w64 provides a development and runtime environment for 32- and
 64-bit (x86 and x64) Windows applications using the Windows API and
 the GNU Compiler Collection (gcc).
 .
 This package contains the headers and libraries required to build
 64-bit software using MinGW-w64.
 .
 The wine64 package can be used to test software built using MinGW-w64
 without using Windows.

Package: mini-buildd
Description-md5: 7cac11e68073884d1eeb34486a23c2fe
Description-en: minimal build daemon - daemon
 Mini-buildd is an easy-to-configure autobuilder and
 repository for deb packages.
 .
 This package provides the main daemon.

Package: mini-buildd-doc
Description-md5: 00350207982834c553ce16421b90f56a
Description-en: minimal build daemon - manual
 Mini-buildd is an easy-to-configure autobuilder and
 repository for deb packages.
 .
 This package contains the HTML documentation.

Package: mini-buildd-utils
Description-md5: a6dbad7b5502957126f0a4ea89d526a2
Description-en: minimal build daemon - utilities
 Mini-buildd is an easy-to-configure autobuilder and
 repository for deb packages.
 .
 This package provides (optional) utilities, notably mini-buildd-tool,
 a command line to access the web API.

Package: mini-dinstall
Description-md5: 8c3fe68bae3a3f064eb74e765082170f
Description-en: daemon for updating Debian packages in a repository
 This program implements a miniature version of the "dinstall" program
 which installs packages in the Debian archive.  It doesn't require a
 PostgreSQL database, and is very easy to set up, maintain, and use.
 mini-dinstall can be run via cron, or as a daemon.
 .
 This package is expressly designed for personal apt repositories, and
 the like.  In this vein, it contains fewer sanity checks; for
 example, it will happily install a lower version of a package.  You
 can also generally just 'rm' files from the repository, and
 mini-dinstall won't care.  In fact, (when run as a daemon) it will
 automatically detect that the directory changed, and update the
 Packages file.

Package: mini-httpd
Description-md5: cde209078834de0384dbd9b92617a9e0
Description-en: Small HTTP server
 mini-httpd implements all basic features of a HTTPD, including: GET,HEAD,POST
 methods, common MIME types, basic authentication, virtual hosting, CGI,
 directory listing, trailing-slash redirection, standard logging, custom error
 pages etc. It also can be configured to do SSL and IPv6.

Package: mini-httpd-run
Description-md5: 6b7979f75ec58f631fdd1e3b50f3e6ea
Description-en: Small HTTP server (Runit integration)
 mini-httpd implements all basic features of a HTTPD, including: GET,HEAD,POST
 methods, common MIME types, basic authentication, virtual hosting, CGI,
 directory listing, trailing-slash redirection, standard logging, custom error
 pages etc. It also can be configured to do SSL and IPv6.
 .
 This package contains scripts to run mini-httpd under Runit
 supervision system.

Package: minia
Description-md5: b7d6d186a1b4cefb77e233e3d698c992
Description-en: short-read biological sequence assembler
 Short-read DNA sequence assembler based on a de Bruijn graph,
 capable of assembling a human genome on a desktop computer in a day.
 .
 The output of Minia is a set of contigs.
 Minia produces results of similar contiguity and accuracy to other
 de Bruijn assemblers (e.g. Velvet).

Package: miniasm
Description-md5: d44d7ac1bcd50721e3666cbc9c312439
Description-en: ultrafast de novo assembler for long noisy DNA sequencing reads
 Miniasm is an experimental very fast OLC-based de novo assembler for noisy
 long reads. It takes all-vs-all read self-mappings (typically by minimap)
 as input and outputs an assembly graph in the GFA format. Different from
 mainstream assemblers, miniasm does not have a consensus step. It simply
 concatenates pieces of read sequences to generate the final unitig sequences.
 Thus the per-base error rate is similar to the raw input reads.

Package: minica
Description-md5: 43403a3d993625d80387f70e58081103
Description-en: super micro binary to issue new CAs
 This is a super micro binary to issue new CAs. This contains nearly nothing
 else, and does not support revocation, or anything really important. This is
 just for when you need keys and don't intend to use them for anything big and
 important. Otherwise, issue things for real.

Package: minicom
Description-md5: 3ce1c5251a28c8f9b5a9d1d702f7501e
Description-en: Friendly menu driven serial communication program
 Minicom is a clone of the MS-DOS "Telix" communication program. It emulates
 ANSI and VT102 terminals, has a dialing directory and auto zmodem download.

Package: minicoredumper
Description-md5: e7875521c1f5f373988e742b62c585b5
Description-en: generate minimal and customized core dump files on Linux
 minicoredumper is a program that handles the creation of core dump files on
 Linux. It can produce much smaller core dump files by making use of sparse
 files, compression, and allowing the user to configure what parts of the
 process memory image should be dumped.

Package: minicoredumper-utils
Description-md5: 4465dd7fdde8f461b2babd95a35395b7
Description-en: minicoredumper utilities
 The minicoredumper project provides tools for working with applications
 based on libminicoredumper. These include a tool to inject data into core
 files and a tool to trigger dumps for running applications.

Package: minidisc-utils
Description-md5: 3fb77002ba20468b3633aec656b3b4a5
Description-en: Command line utilities for MiniDisc access
 This package contains several utilities which allow one
 to access NetMD and HiMD devices through a command line
 interface. The utilities allow one to transfer tracks to
 and from NetMD and HiMD devices as well as erase
 tracks, display tracks and renaming tracks among other
 functions supported by libnetmd and libhimd libraries.

Package: minidjvu
Description-md5: b178a6b8ee615174b067ff494008bcd7
Description-en: Monochrome DjVu multipage encoder, single page encoder/decoder
 Minidjvu is a multipage DjVu encoder and single page encoder/decoder.
 No colors or grayscale, just black and white.
 In addition to the DjVu format, minidjvu reads and writes
 PBM, Windows BMP, and TIFF.

Package: minidlna
Description-md5: 5b2d396f86d25a79b5ab8a1c34181623
Description-en: lightweight DLNA/UPnP-AV server targeted at embedded systems
 MiniDLNA (aka ReadyDLNA) is server software with the aim of being
 fully compliant with DLNA/UPnP-AV clients.
 .
 The minidlna daemon serves media files (music, pictures, and video)
 to clients on your network.  Example clients include applications
 such as totem and xbmc, and devices such as portable media players,
 smartphones, and televisions.
 .
 MiniDLNA is a simple, lightweight alternative to mediatomb, but has
 fewer features. It does not have a web interface for administration
 and must be configured by editing a text file.

Package: minify
Description-md5: fd4d806fa5b8a6335792a2ef03c278f2
Description-en: CLI implementation of the Go minify library package
 /usr/bin/minify is a CLI implementation of the github.com/tdewolff/minify
 Go library package.
 .
 It provides HTML5, CSS3, JS, JSON, SVG and XML minifiers.
 .
 Minification is the process of removing bytes from a file (such as
 whitespace) without changing its output and therefore shrinking
 its size and speeding up transmission over the Internet and possibly
 parsing.  The implemented minifiers are high performance and streaming,
 which implies O(n).

Package: minilzip
Description-md5: 67f66df083ee6cdb46b9ff726a874655
Description-en: minified, lossless data compressor based on the LZMA algorithm
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 Lzip decompresses almost as fast as gzip and compresses better than bzip2,
 which makes it well suited for software distribution and data archiving.
 .
 Minilzip is a minimal version of lzip using the lzip file format; the files
 produced by Minilzip are fully compatible with lzip.
 .
 Minilzip is intended as a test program for the lzlib library.

Package: minimac4
Description-md5: d57aef9620099bbdbfd44355d369ff4d
Description-en: Fast Imputation Based on State Space Reduction HMM
 Minimac4 is a lower memory and more computationally efficient implementation
 of "minimac2/3". It is an algorithm for genotypic imputation that works on
 phased genotypes (say from MaCH).
 .
 Minimac4 is designed to handle very large reference panels in a more
 computationally efficient way with no loss of accuracy. This algorithm
 analyzes only the unique sets of haplotypes in small genomic segments, thereby
 saving on time\-complexity, computational memory but no loss in degree of
 accuracy.

Package: minimap
Description-md5: 31578520bb87ccfae197ec449e13eb73
Description-en: tool for approximate mapping of long biosequences such as DNA reads
 Minimap is an experimental tool to efficiently find multiple approximate
 mapping positions between two sets of long biological sequences, such as
 between DNA reads and reference genomes, between genomes and between long
 noisy reads.
 Minimap does not generate alignments as of now and because of this, it is
 usually tens of times faster than mainstream aligners.
 It does not replace mainstream aligners, but it can be useful when you
 want to quickly identify long approximate matches at moderate divergence among
 a huge collection of sequences. For this task, it is much faster than most
 existing tools.

Package: minimap2
Description-md5: f1022cbd2c265b138702b6677abf3e99
Description-en: versatile pairwise aligner for genomic and spliced nucleotide sequences
 Minimap2 is a versatile sequence alignment program that aligns DNA or
 mRNA sequences against a large reference database. Typical use cases
 include: (1) mapping PacBio or Oxford Nanopore genomic reads to the
 human genome; (2) finding overlaps between long reads with error rate up
 to ~15%; (3) splice-aware alignment of PacBio Iso-Seq or Nanopore cDNA
 or Direct RNA reads against a reference genome; (4) aligning Illumina
 single- or paired-end reads; (5) assembly-to-assembly alignment; (6) full-
 genome alignment between two closely related species with divergence
 below ~15%.
 .
 For ~10kb noisy reads sequences, minimap2 is tens of times faster than
 mainstream long-read mappers such as BLASR, BWA-MEM, NGMLR and GMAP. It
 is more accurate on simulated long reads and produces biologically
 meaningful alignment ready for downstream analyses. For >100bp Illumina
 short reads, minimap2 is three times as fast as BWA-MEM and Bowtie2, and
 as accurate on simulated data. Detailed evaluations are available from
 the minimap2 paper or the preprint.

Package: minimodem
Description-md5: df7bfcd96a70c73b01163ff216966732
Description-en: general-purpose software audio FSK modem
 Minimodem is a command-line program which decodes (or generates) audio
 modem tones at any specified baud rate, using various framing protocols.
 It acts a general-purpose software FSK modem, and includes support for
 various standard FSK protocols such as Bell103, Bell202, RTTY, TTY/TDD,
 NOAA SAME, and Caller-ID.
 .
 Minimodem can play and capture audio modem tones in real-time via the
 system audio device, or in batched mode via audio files.
 .
 Minimodem can be used to transfer data between nearby computers using an
 audio cable (or just via sound waves), or between remote computers using
 radio, telephone, or another audio communications medium.

Package: mininet
Description-md5: b4060ada229a4da1dee98ade409bfc20
Description-en: process-based network emulator
 Mininet is a network emulator which uses lightweight virtualization
 to create virtual networks for rapid prototyping of Software-Defined
 Network (SDN) designs using OpenFlow.

Package: minisapserver
Description-md5: e72a566b30301a07a7c440f63b1bbcf0
Description-en: Mini SAP Server
 Mini SAP Server from VideoLan project is a small standalone application that
 can produce Session Announcement Protocol (SAP) announcements in Session
 Description Protocol (SDP) format. SAP is  described in RFC2974. SDP in
 RFC4566.
 .
 This is a mini-sap-server from VideoOnLan project.

Package: minisat
Description-md5: 638e185d46cca4091c1f6ba3a13b4c28
Description-en: Fast and lightweight SAT solver
 Despite the NP completeness of the satisfiability problem of Boolean formulas
 (SAT), SAT solvers are often able to decide this problem in a reasonable time
 frame. As all other NP complete problems are reducible to SAT, the solvers
 have become a general purpose tool for this class of problems.
 .
 MiniSat is a minimalistic, open-source SAT solver, developed to help
 researchers and developers alike to get started on SAT. Winning all the
 industrial categories of the SAT 2005 competition, MiniSat is a good starting
 point both for future research in SAT, and for applications using SAT.

Package: minisat+
Description-md5: 5abc23f5b2ba8e7a876d2a8e3bf6dfdb
Description-en: solver for pseudo-Boolean constraints
 MinSat+ is a solver for Pseudo-Boolean Optimization (AKA 0-1
 integer programming) that is based on the MiniSat SAT-solver. It
 supports optimizing a linear objective function, subject to a set
 of linear constraints. The variables of the objective function
 and constraints are boolean, i.e. required to be 0 or
 1. Pseudo-Boolean optimization can be used to solve many kinds of
 combinatorial optimization problems. This version of Minisat+ is
 compiled with bignum support for constraint coefficients.

Package: minisat2
Description-md5: 8385de90ce10778a1ebab6a56629355e
Description-en: Transitional package for minisat
 This is a transitional package for minisat, and can be safely removed after
 the installation is complete.

Package: minissdpd
Description-md5: 3dd0e4ad410068e63a26f5f00889c896
Description-en: keep memory of all UPnP devices that announced themselves
 MiniSSDPd is a small daemon used by MiniUPnPc (a UPnP control point for IGD
 devices) to speed up device discoveries. MiniSSDPd keeps memory of all UPnP
 devices that announced themselves on the network through SSDP NOTIFY packets.
 MiniSSDPd also has the ability to handle all SSDP traffic received on a
 computer via the multicast group 239.255.255.250:1900.
 .
 MiniSSDPd receives NOTIFY packets and stores information contained for later
 use by UPnP Control Points on the machine. MiniSSDPd receives M-SEARCH packets
 and answers on behalf of the UPnP devices running on the machine. MiniUPnPd
 and MiniUPnPc are designed to take automatically advantage of MiniSSDPd
 running on the same computer. Just make sure that MiniSSDPd is started before
 any other UPnP program on the computer.

Package: ministat
Description-md5: a7aaaa407a2bd1cb19f5a51024b70157
Description-en: simple tool for statistical comparison of data sets
 The ministat command from FreeBSD.  It calculates fundamental
 statistical properties of one or two numeric data sets, and
 whether a difference can be found between two data sets at a
 given confidence level.

Package: ministocks
Description-md5: 14feb8ecac6e9213764ed31569b7f65d
Description-en: minimalistic QT stock ticker for Linux
 This is a Qt widget focussing on a minimalistic display of real-time stock
 data.

Package: minitube
Description-md5: 67b323f7cbbea921a639bc57d81077e9
Description-en: Native YouTube client
 Minitube is a native YouTube client. With it you can watch YouTube videos in
 a new way: you type a keyword, Minitube gives you an endless video stream.
 .
 Minitube does not require the Flash Player.
 .
 Minitube is not about cloning the original YouTube web interface, it aims to
 create a new TV-like experience.

Package: miniupnpc
Description-md5: 3d9ea3e08c317f931e9646ec11c73197
Description-en: UPnP IGD client lightweight library client
 The UPnP protocol is supported by most home adsl/cable routers and Microsoft
 Windows 2K/XP. The aim of the MiniUPnP project is to bring a free software
 solution to support the "Internet Gateway Device" part of the protocol. The
 MediaServer/MediaRenderer UPnP protocol is also becoming very popular.
 .
 Miniupnpc aims at the simplest library possible, with the smallest footprint
 and no dependencies to other libraries such as XML parsers or HTTP
 implementations. All the code is pure ANSI C. Compiled on a x86 PC, the
 miniupnp client library have less than 15KB code size. For instance, the upnpc
 sample program is around 20KB. The miniupnp daemon is much smaller than any
 other IGD daemon and is ideal for using on low memory device for this reason.
 .
 This package is an example client for the library.

Package: miniupnpd
Description-md5: 3709c8e120b9a8238ee5630df1ecc8c7
Description-en: UPnP and NAT-PMP daemon for gateway routers
 MiniUPnPd is a small daemon which can be installed on a NAT router to
 provide UPnP Internet Gateway Device and Port Mapping Protocol services,
 enabling clients on the LAN to ask for port redirections. It is
 compatible with peer-to-peer software, messaging applications, and games
 consoles that connect to online services (including Xbox LIVE and the
 PlayStation Network).

Package: minizinc
Description-md5: 2e28409cc66f9a9140d34ec21324d233
Description-en: constraint modelling language and tool chain
 MiniZinc is a medium-level constraint modelling language. It is
 high-level enough to express most constraint problems easily, but
 low-level enough that it can be mapped onto existing solvers easily
 and consistently. It is a subset of the higher-level language Zinc.
 .
 MiniZinc is designed to interface easily to different backend
 solvers.  It does this by transforming an input MiniZinc model and
 data file into a FlatZinc model. FlatZinc models consist of variable
 declaration and constraint definitions as well as a definition of the
 objective function if the problem is an optimization problem. The
 translation from MiniZinc to FlatZinc is specializable to individual
 backend solvers, so they can control what form constraints end up
 in. In particular, MiniZinc allows the specification of global
 constraints by decomposition.

Package: minizinc-ide
Description-md5: 6c1da2389080c6ea2a47ebc0cb4a43cc
Description-en: MiniZinc constraint modelling language IDE
 The MiniZinc IDE is a simple Integrated Development Environment for
 writing and running MiniZinc models. It provides a tabbed editor with
 MiniZinc syntax highlighting, configuration dialogs for solver
 options and model parameters, and an integrated environment for
 compiling models and running solvers.

Package: minizip
Description-md5: a47222226ed7a7812e087b45e36092bc
Description-en: compression library - minizip tools
 minizip is a minimalistic library that supports compressing, extracting,
 viewing, and manipulating zip files.
 .
 This package includes the minizip and miniunzip tools.

Package: minlog
Description-md5: 5282cef3106afd8fdee9635a8a3692bd
Description-en: Proof assistant based on first order natural deduction calculus
 intended to reason about computable functionals, using minimal
 rather than classical or intuitionistic logic. The main motivation
 behind MINLOG is to exploit the proofs-as-programs paradigm for
 program development and program verification. Proofs are in fact
 treated as first class objects which can be normalized. If a formula
 is existential then its proof can be used for reading off an instance
 of it, or changed appropriately for program development by proof
 transformation. To this end MINLOG is equipped with tools to extract
 functional programs directly from proof terms. This also applies to
 non-constructive proofs, using a refined A-translation. The system
 is supported by automatic proof search and normalization by
 evaluation as an efficient term rewriting device.
 .
 Minlog can be used with ProofGeneral, which allows proofs to be
 edited using emacs and xemacs. This requires the proofgeneral-minlog
 package to be installed.

Package: minpack-dev
Description-md5: 2b80b9bc0aa037ead9044ecfd34e19fb
Description-en: nonlinear equations and nonlinear least squares static library
 Minpack includes software for solving nonlinear equations and
 nonlinear least squares problems.  Five algorithmic paths each include
 a core subroutine and an easy-to-use driver.  The algorithms proceed
 either from an analytic specification of the Jacobian matrix or
 directly from the problem functions.  The paths include facilities for
 systems of equations with a banded Jacobian matrix, for least squares
 problems with a large amount of data, and for checking the consistency
 of the Jacobian matrix with the functions.
 .
 This package provides the headers, man pages, examples, and static library.

Package: minuet
Description-md5: 29dc982332cf3174fa9329251ab0c028
Description-en: KDE Software for Music Education
 Music education Free Software, thoughtfully designed to push your musical
 skills to the next level. Enhance your ability to recognize intervals, chords,
 rhythm, and scales while enjoying all the power of Free Software.

Package: minuet-data
Description-md5: 1afee3fa354462af2c971f000ee2241a
Description-en: data files for Minuet
 Music education Free Software, thoughtfully designed to push your musical
 skills to the next level. Enhance your ability to recognize intervals, chords,
 rhythm, and scales while enjoying all the power of Free Software.
 .
 This package contains the data files for Minuet.

Package: mipe
Description-md5: 6847835b93b62243d086501dc2b27160
Description-en: Tools to store PCR-derived data
 MIPE provides a standard format to exchange and/or storage of all
 information associated with PCR experiments using a flat text file. This will:
  * allow for exchange of PCR data between researchers/laboratories
  * enable traceability of the data
  * prevent problems when submitting data to dbSTS or dbSNP
  * enable the writing of standard scripts to extract data (e.g. a
    list of PCR primers, SNP positions or haplotypes for different animals)
 .
 Although this tool can be used for data storage, it's primary focus
 should be data exchange. For larger repositories, relational databases
 are more appropriate for storage of these data. The MIPE format could
 then be used as a standard format to import into and/or export from
 these databases.

Package: mir-client-platform-mesa5
Description-md5: 7f708e9b58896cab3f8376eb75eb95dc
Description-en: Display server for Ubuntu - client platform library for Mesa
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains the shared libraries required for the Mir clients to interact with
 the underlying hardware platform using the Mesa drivers.

Package: mir-demos
Description-md5: c4f4005c11f249395621a8d975f1738d
Description-en: Display server for Ubuntu - demonstration programs
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains demo applications that use the Mir display server

Package: mir-graphics-drivers-desktop
Description-md5: 04979bc51e394b6fa5ee0a475530ff0b
Description-en: Display server for Ubuntu - desktop driver metapackage
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 This package depends on a full set of graphics drivers for traditional desktop
 systems.

Package: mir-graphics-drivers-nvidia
Description-md5: 9dfe2d0f4eef6b62e40554adc15df9a5
Description-en: Display server for Ubuntu - Nvidia driver metapackage
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 This package depends on a full set of graphics drivers for Nvidia systems.

Package: mir-platform-graphics-eglstream-kms16
Description-md5: ea6c6a157b9f53f8d236d4e4092ca96f
Description-en: Display server for Ubuntu - platform library for NVIDIA
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains the shared libraries required for the Mir server to interact with
 the hardware platform using the EGLStream EGL extensions, such as the
 NVIDIA binary driver.

Package: mir-platform-graphics-mesa-kms16
Description-md5: dd1ec2100aef919442a475fe460f17a4
Description-en: Display server for Ubuntu - platform library for KMS Mesa
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains the shared libraries required for the Mir server to interact with
 the hardware platform using the Mesa drivers.

Package: mir-platform-graphics-mesa-x16
Description-md5: da25c2211c598c3ef51f61b351cb04a4
Description-en: Display server for Ubuntu - platform library for X11 Mesa
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains the shared libraries required for the Mir server to interact with
 the X11 platform using the Mesa drivers.

Package: mir-platform-graphics-wayland16
Description-md5: c8b40c17557fc8c01313d5e32359093c
Description-en: Display server for Ubuntu - platform library for Wayland
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains the shared libraries required for the Mir server to interact with
 a "host" Wayland display server.

Package: mir-platform-input-evdev7
Description-md5: 76093ccfa063b3cef15b59e53e84590f
Description-en: Display server for Ubuntu - input platform library
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains the shared libraries required for the Mir server to interact with
 the input hardware using the evdev interface.

Package: mir-test-tools
Description-md5: 42fdcf71453ed4c8e6f4c09dba656cc0
Description-en: Display Server for Ubuntu - stress tests and other test tools
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains a tool for stress testing the Mir display server

Package: mir-utils
Description-md5: 2a1eedcee3a066d4bf05672b2b018dfd
Description-en: Display server for Ubuntu - utility programs
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 Contains utility programs for probing and controling a Mir server.

Package: mira-assembler
Description-md5: a8c6d1905e05378eb5c622bc2c3fc577
Description-en: Whole Genome Shotgun and EST Sequence Assembler
 The mira genome fragment assembler is a specialised assembler for
 sequencing projects classified as 'hard' due to high number of similar
 repeats. For expressed sequence tags (ESTs) transcripts, miraEST is
 specialised on reconstructing pristine mRNA transcripts while
 detecting and classifying single nucleotide polymorphisms (SNP)
 occurring in different variations thereof.
 .
 The assembler is routinely used for such various tasks as mutation
 detection in different cell types, similarity analysis of transcripts
 between organisms, and pristine assembly of sequences from various
 sources for oligo design in clinical microarray experiments.
 .
 The package provides the following executables:
 Binaries provided:
  * mira: for assembly of genome sequences
  * miramem: estimating memory needed to assemble projects.
  * mirabait: a "grep" like tool to select reads with kmers up to 256 bases.
  * miraconvert: is a tool to convert, extract and sometimes recalculate all
    kinds of data related to sequence assembly files.

Package: mira-doc
Description-md5: 626aa0778f9de0527a3bcb22321ca7b3
Description-en: documentation for the mira assembler
 The mira genome fragment assembler is a specialised assembler for
 sequencing projects classified as 'hard' due to high number of similar
 repeats.
 .
 This package contains an HTML book introducing to mira.

Package: mira-rfam-12s-rrna
Description-md5: 1d5d45c9c5969cd72a705752af36daed
Description-en: extract of RFAM 12 rRNA database
 The mira genome fragment assembler is a specialised assembler for
 sequencing projects classified as 'hard' due to high number of similar
 repeats.
 .
 This package contains data to enable MIRA and MIRABAIT to search for rRNA and
 rDNA. This data set contains an excerpt of the RFAM database.

Package: miredo
Description-md5: d7cc95ff4950e05ed1b41e6607fe2698
Description-en: Teredo IPv6 tunneling through NATs
 The Teredo IPv6 tunneling protocol encapsulates IPv6 packets into UDP/IPv4
 datagrams, to allow hosts behind NAT devices to access the IPv6 Internet.
 .
 Miredo is a Teredo client (as per RFC 4380): it can provide IPv6
 connectivity to a dual-stack IPv6/IPv4 host even if it is located behind a
 NAT. It can also operate as a Teredo relay which forwards IPv6 packets
 between the IPv6 Internet and remote Teredo clients.

Package: miredo-server
Description-md5: 3ddcba4c15378c2864c2a2c88b8dbd69
Description-en: Teredo IPv6 tunneling server
 The Teredo IPv6 tunneling protocol encapsulates IPv6 packets into UDP/IPv4
 datagrams, to allow hosts behind NAT devices to access the IPv6 Internet.
 .
 Miredo-server provides a Teredo server. Teredo servers help Teredo clients
 determine their NAT configuration, maintain their NAT binding, and perform
 hole punching when transmitting IPv6 packets to other Teredo clients and/or
 Teredo relays.

Package: miri-sdr
Description-md5: 627b8f95b9cb934d43a352920834e050
Description-en: Software defined radio support for Mirics hardware (tools)
 Software for the Mirics MSi2500 + MSi001 SDR platform
 .
 The hardware part of MiriSDR brings information from an antenna connector
 to a USB plug.
 .
 This package is the software that provides control of the USB hardware
 and an API to pass data to software defined radio applications on the host.
 .
 This package contains a set of command line utilities:

Package: mirmon
Description-md5: 11fd30dc8f5873cc6fea361d5e8eba98
Description-en: monitor the state of mirrors
 Mirmon helps administrators in keeping an eye on the mirror sites.
 In a concise graphic format, mirmon shows each site's status history of
 the last two weeks. It is easy to spot stale or dead mirrors.

Package: mirrorkit
Description-md5: a41d8292ffef4629b190166fd47ad3ed
Description-en: Python frontend to debmirror
 MirrorKit is a Python frontend to debmirror that uses a xml configuration file
 to generate a Ubuntu mirror. It also generates an html report page to monitor
 the mirror status from a template file.

Package: mirrormagic
Description-md5: 680310b4fc4c148c1de5270db5be3318
Description-en: Shoot around obstacles to collect energy using your beam.
 A game like "Deflektor" (C 64) or "Mindbender" (Amiga).
 The goal is to work out how to get around obstacles to shoot
 energy containers with your beam, enabling the path to the
 next level to be opened. Included are many levels known from
 the games "Deflektor" and "Mindbender".
 .
 Some features:
        - stereo sound effects and music
        - music module support for SDL version (Unix/Win32)
        - fullscreen support for SDL version (Unix/Win32)
        - complete source code included under GNU GPL

Package: mirrormagic-data
Description-md5: f3c0b6210584b99ca7036b84783ab4af
Description-en: Data files for mirrormagic
 This package provides the architecture-independent data files (images,
 levels, sound samples) for mirrormagic.

Package: mirtop
Description-md5: 84ca0e553994f8bd8ea4d86e532e4e28
Description-en: annotate miRNAs with a standard mirna/isomir naming
 The main goal of this project is to create a reflection group on metazoan
 microRNAs (miRNAs), open to all interested researchers, to identify blockages
 and develop standards and guidelines to improve miRNA research, resources and
 communication. This can go through the use of standardized file formats, gene
 and variants nomenclature guidelines, and advancements in miRNA biology
 understanding. The group will eventually also aim at expanding its breadth to
 the development of novel tools, data resources, and best-practices guidelines
 to benefit the scientific community by providing high confidence validated
 research and analysis strategies, regardless the expertise in this field.
 This package provides the command line interface to mirtop.

Package: misery
Description-md5: 41330843ed8fe2ba3c1941f27827a322
Description-en: Simple accounting package for groups, clubs, holidays
 Misery is a simple way to do accounting for things like clubs, and
 group holidays. Anything where you have things like shared food,
 transport, drinks/dinners out, group gear and so on. Data is entered
 as text files and it produces finished accounts as a set of HTML
 files which can be uploaded so participants can easily find out how
 much they owe/are owed. It is not a double-entry bookkeeping tool and
 it doesn't do invoicing.
 .
 It has a number of useful features to make it easy to sort out bar
 and restaurant bills, deal with multiple currencies, recurring costs,
 beer tallies, bank accounts, and to check that categories sum to zero
 when they should.

Package: missfits
Description-md5: f44371b1b93e61eb1232539e251d562d
Description-en: Basic maintenance and packaging tasks on FITS files
 MissFITS is a program that performs basic maintenance and packaging tasks on
 FITS files using an optimised FITS library. MissFITS can:
 .
  * add/edit/remove FITS header keywords
  * split/join Multi-Extension-FITS (MEF) files
  * unpile/pile FITS data-cubes
  * create/check/update FITS checksums, using R. Seaman’s protocol.

Package: missidentify
Description-md5: 40b25acee9c8b98c9cb49dfbdbf9a5f8
Description-en: find win32 applications
 Miss Identify (missidentify) is a program to find MS Windows type win32
 applications. By default, it displays the filename of any executable that
 does not have an extension, as exe, dll, com, sys, cpl, hxs, hxi, olb, rll
 or tlb. It can also display all the executables regardless the extension.
 .
 Miss Identify is useful in forensics investigations.

Package: misspell-fixer
Description-md5: b0a0f3f36a6f77cc94b21257219b18ea
Description-en: Tool for fixing common misspellings, typos in source code
 Utility to fix common misspellings, typos in source code.
 There are lots of typical misspellings in program code.
 Typically they are more eye-catching in the living code but they can easily
 hide in comments, examples, samples, notes and documentation.
 With this utility you can fix a large number of them very quickly.

Package: mistral-api
Description-md5: 1155adf2bcac68d6e6dabb88e031254a
Description-en: OpenStack Workflow service - API
 Mistral is a workflow service. Most business processes consist of multiple
 distinct interconnected steps that need to be executed in a particular order
 in a distributed environment. One can describe such process as a set of tasks
 and task relations and upload such description to Mistral so that it takes
 care of state management, correct execution order, parallelism,
 synchronization and high availability. Mistral also provides flexible task
 scheduling so that it can run a process according to a specified schedule
 (i.e. every Sunday at 4.00pm) instead of running it immediately. Such set of
 tasks and relations between them is called a workflow.
 .
 This package contains the API server.

Package: mistral-common
Description-md5: 425d3cc770e8e278b2e94a8bc66dcc1e
Description-en: OpenStack Workflow service - common files
 Mistral is a workflow service. Most business processes consist of multiple
 distinct interconnected steps that need to be executed in a particular order
 in a distributed environment. One can describe such process as a set of tasks
 and task relations and upload such description to Mistral so that it takes
 care of state management, correct execution order, parallelism,
 synchronization and high availability. Mistral also provides flexible task
 scheduling so that it can run a process according to a specified schedule
 (i.e. every Sunday at 4.00pm) instead of running it immediately. Such set of
 tasks and relations between them is called a workflow.
 .
 This package contains common files and configuration.

Package: mistral-engine
Description-md5: 9e0064a0aeecd2d60ccc677ceed53651
Description-en: OpenStack Workflow service - Engine
 Mistral is a workflow service. Most business processes consist of multiple
 distinct interconnected steps that need to be executed in a particular order
 in a distributed environment. One can describe such process as a set of tasks
 and task relations and upload such description to Mistral so that it takes
 care of state management, correct execution order, parallelism,
 synchronization and high availability. Mistral also provides flexible task
 scheduling so that it can run a process according to a specified schedule
 (i.e. every Sunday at 4.00pm) instead of running it immediately. Such set of
 tasks and relations between them is called a workflow.
 .
 This package contains the Engine.

Package: mistral-event-engine
Description-md5: cec66962c1a7a469cc6db43bd6a92874
Description-en: OpenStack Workflow service - Event Engine
 Mistral is a workflow service. Most business processes consist of multiple
 distinct interconnected steps that need to be executed in a particular order
 in a distributed environment. One can describe such process as a set of tasks
 and task relations and upload such description to Mistral so that it takes
 care of state management, correct execution order, parallelism,
 synchronization and high availability. Mistral also provides flexible task
 scheduling so that it can run a process according to a specified schedule
 (i.e. every Sunday at 4.00pm) instead of running it immediately. Such set of
 tasks and relations between them is called a workflow.
 .
 This package contains the Event Engine.

Package: mistral-executor
Description-md5: c4132be56beb4823d6aabf5d45be74d5
Description-en: OpenStack Workflow service - Executor
 Mistral is a workflow service. Most business processes consist of multiple
 distinct interconnected steps that need to be executed in a particular order
 in a distributed environment. One can describe such process as a set of tasks
 and task relations and upload such description to Mistral so that it takes
 care of state management, correct execution order, parallelism,
 synchronization and high availability. Mistral also provides flexible task
 scheduling so that it can run a process according to a specified schedule
 (i.e. every Sunday at 4.00pm) instead of running it immediately. Such set of
 tasks and relations between them is called a workflow.
 .
 This package contains the Executor.

Package: mit-scheme
Description-md5: 092cd7e0ce27e329e2f76b047e32adda
Description-en: MIT/GNU Scheme development environment
 MIT/GNU Scheme is an implementation of the Scheme programming language,
 providing an interpreter, compiler, source-code debugger, integrated
 Emacs-like editor, and a large runtime library.  MIT/GNU Scheme is best
 suited to programming large applications with a rapid development
 cycle.
 .
 This package contains the development environment.

Package: mit-scheme-dbg
Description-md5: f69399081858f7019238aba5e2c10bb2
Description-en: MIT/GNU Scheme debugging files
 MIT/GNU Scheme is an implementation of the Scheme programming language,
 providing an interpreter, compiler, source-code debugger, integrated
 Emacs-like editor, and a large runtime library.  MIT/GNU Scheme is best
 suited to programming large applications with a rapid development
 cycle.
 .
 This package contains debugging files for the development
 environment.  These files provide support for debugging the MIT/GNU
 Scheme system, and are useful only if you need to see the system
 internals while you are debugging.

Package: mit-scheme-doc
Description-md5: b082521dde213f32de1268662e272017
Description-en: MIT/GNU Scheme documentation
 MIT/GNU Scheme is an implementation of the Scheme programming language,
 providing an interpreter, compiler, source-code debugger, integrated
 Emacs-like editor, and a large runtime library.  MIT/GNU Scheme is best
 suited to programming large applications with a rapid development
 cycle.
 .
 This package contains documentation in Info, HTML, and PDF formats.

Package: mitmproxy
Description-md5: 6fcd19b696ed79e2ba8b30a6711c9d5d
Description-en: SSL-capable man-in-the-middle HTTP proxy
 mitmproxy is an SSL-capable man-in-the-middle HTTP proxy. It provides
 a console interface that allows traffic flows to be inspected and
 edited on the fly.
 .
 Also shipped is mitmdump, the command-line version of mitmproxy, with
 the same functionality but without the frills. Think tcpdump for
 HTTP.
 .
 Features:
  - intercept and modify HTTP traffic on the fly
  - save HTTP conversations for later replay and analysis
  - replay both HTTP clients and servers
  - make scripted changes to HTTP traffic using Python
  - SSL interception certs generated on the fly

Package: mitools
Description-md5: 5de3dffde1430531550d391b48f2e2d4
Description-en: view, convert and perform basic maths with medical image datasets
 The three contained tools micalc, miconv and miview are handy command-line
 utilities for converting, manipulating and viewing medical image data in
 various formats (DICOM, NIfTI, PNG, binary data, ...).

Package: miwm
Description-md5: 5903cb6df2f9faa541b0427cd368ba10
Description-en: minimalist window manager with virtual workspaces
 MIWM is a minimalist window manager with virtual workspaces. It is
 designed to be used for low end PCs where low resource usage and
 minimal memory footprint is desired. MIWM is written in C++.

Package: mixer.app
Description-md5: 48605f5c531d539ee6128866d33dbd9c
Description-en: Another mixer application designed for WindowMaker
 There's nothing in the program that makes it *require* WindowMaker,
 except maybe the look. Mixer.app is a mixer utility for Linux
 systems. Requires /dev/mixer to work. Provides three customizable
 controls on a tiny 64x64 app.

Package: mixxx
Description-md5: d491a99ebd0dc954a099371a444cc98a
Description-en: Digital Disc Jockey Interface
 Mixxx is a digital DJ system, where Wave, Ogg, FLAC and MP3 files can be
 mixed on a computer for use in live performances. Filters, crossfader, and
 speed control are provided. Mixxx can sync the 2 streams automatically, using
 an algorithm to detect the beat.
 .
 Mixxx works with Jack or OSS, can be controlled from the GUI or from external
 controllers, including MIDI devices and joysticks, and supports skins.

Package: mixxx-data
Description-md5: cc2934c524bc4f3bee30372e77eef3aa
Description-en: Digital Disc Jockey Interface -- data files
 Mixxx is a digital DJ system, where Wave, Ogg, FLAC and MP3 files can be
 mixed on a computer for use in live performances. Filters, crossfader, and
 speed control are provided. Mixxx can sync the 2 streams automatically, using
 an algorithm to detect the beat.
 .
 Mixxx works with Jack or OSS, can be controlled from the GUI or from external
 controllers, including MIDI devices and joysticks, and supports skins.
 .
 This package provides images and skin configuration files required for mixxx.

Package: mjpegtools
Description-md5: 6ee27bd58b0da6ff3526166b768c5570
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.

Package: mjpegtools-gtk
Description-md5: 588874558baa2eb5095d2ad2f58c0190
Description-en: MJPEG capture/editing/replay and MPEG encoding toolset (GTK+ frontend)
 The mjpeg programs are a set of tools that can do recording of videos and
 playback, simple cut-and-paste editing and the MPEG compression of audio and
 video under Linux.
 .
 This package contains the GTK+ frontend.

Package: mk-configure
Description-md5: c516fbe9c4400446144252fef6c2dff2
Description-en: lightweight replacement for GNU autotools
 mk-configure is a lightweight easy-to-use replacement for GNU autotools,
 written in bmake (portable version of NetBSD make) and POSIX tools (shell,
 awk etc).

Package: mkalias
Description-md5: 1b3f6d201df0e5c9c55c6724b1304f79
Description-en: Bash alias management to facilitate alias creation
 Mkalias helps users to create alias, list alias and remove then. All alias are
 saved on ~/.bashrc, creating an alias and a function to alias, all functions
 name have 'mkalias' prefixed, and the alias are added on end of ~/.bashrc file

Package: mkbootimg
Description-md5: 0d10e2b9aac44ee2f6beda66718048b2
Description-en: Creates Android boot images
 Creates Android boot images that includes kernel image and ramdisk, in a
 special format which can be used with fastboot.

Package: mkchromecast
Description-md5: bc6fabb09e44c5076358bc80a896a0de
Description-en: Cast your Linux audio or video to your Google Cast devices
 It is written in Python, and it streams via node.js, ffmpeg, or avconv.
 mkchromecast is capable of using lossy and lossless audio formats provided
 that ffmpeg is installed. It also supports Multi-room group playback, and
 24-bits/96kHz high audio resolution. Additionally, a system tray menu is also
 available.
 .
 By default, mkchromecast streams with node.js (or parec in Linux) together
 with mp3 audio coding format at a sample rate of 44100Hz and average bitrate
 of 192k. These defaults can be changed using the --sample-rate and -b flags.
 It is useful to modify these parameters when your wireless router is not very
 powerful, or in the case you don't want to degrade the sound quality. You can
 also cast videos using the --video flag.
 .
 mkchromecast can cast using either pulseaudio or ALSA. The respective
 dependencies can be pulled by mkchromecast-pulseaudio and mkchromecast-alsa
 dependency packages respectively. For more information, please read the
 README.Debian file shipped in this package.

Package: mkchromecast-alsa
Description-md5: ecc966d1a45a068c4222911277c803fb
Description-en: ALSA dependencies to cast with mkchromecast
 This dependency package contains an informational list of packages which are
 considered essential for using mkchromecast together with ALSA software
 framework. This package also depends on the packages on that list.
 .
 Information about needed configurations are contained in the README.Debian
 file shipped in this package.

Package: mkchromecast-gstreamer
Description-md5: cc9db69c779109cd5252e80bacf7ae10
Description-en: GStreamer dependencies to cast with mkchromecast
 This dependency package contains an informational list of packages which are
 considered essential for using mkchromecast together with GStreamer software
 framework. This package also depends on the packages on that list.
 .
 Information about needed configurations are contained in the README.Debian
 file shipped in this package.

Package: mkchromecast-pulseaudio
Description-md5: dbfbda7e41eb12c44bd44b441ae76073
Description-en: Pulseaudio dependencies to cast with mkchromecast
 This dependency package contains an informational list of packages which are
 considered essential for using mkchromecast together with pulseaudio sound
 server. This package also depends on the packages on that list.

Package: mkcue
Description-md5: 41de6a809c9e81798b902efe2cde7011
Description-en: Generates a CUE sheet from a CD
 mkcue generates CUE sheets from a CD TOC (Table Of Contents).
 .
 It is a perfect companion for abcde to generate backups of your audio CDs
 using the FLAC codec and the single track option.

Package: mkdocs
Description-md5: 2c96e6fa5a5a7f79d37b7c2a2caa9d9a
Description-en: Static site generator geared towards building project documentation
 MkDocs is a fast, simple and downright gorgeous static site generator
 that's geared towards building project documentation. Documentation
 source files are written in Markdown, and configured with a single YAML
 configuration file.

Package: mkdocs-bootstrap
Description-md5: 80d0e1068242c06f308b15d79597094c
Description-en: bootstrap themes for MkDocs
 MkDocs is a fast, simple and downright gorgeous static site generator
 that's geared towards building project documentation. Documentation
 source files are written in Markdown, and configured with a single YAML
 configuration file.
 .
 This package contains the bootstrap theme.

Package: mkdocs-doc
Description-md5: 70522a720dc77ecde9d1d2a25aaf9027
Description-en: Static site generator (documentation)
 MkDocs is a fast, simple and downright gorgeous static site generator
 that's geared towards building project documentation. Documentation
 source files are written in Markdown, and configured with a single YAML
 configuration file.
 .
 This package contains the HTML documentation.

Package: mkdocs-nature
Description-md5: 3495bbb8510a576a4754862757a1c3cb
Description-en: Nature theme for MkDocs
 MkDocs is a fast, simple and downright gorgeous static site generator
 that's geared towards building project documentation. Documentation
 source files are written in Markdown, and configured with a single YAML
 configuration file.
 .
 This package contains the Nature theme, adapted from Sphinx.

Package: mkelfimage
Description-md5: 7cc8bb7e7bfabd9e0a481db876a0dc7c
Description-en: utility to create ELF boot images from Linux kernel images
 mkelfImage is a program that makes an ELF boot image from a Linux
 kernel image.
 .
 The image should work with any i386 multiboot compliant ELF boot loader
 that passes no option. The image is compliant with the coreboot (previously
 LinuxBIOS) ELF booting spec and compatible with the Linux kexec kernel patch.
 .
 A key feature of mkelfImage is that nothing relies upon BIOS calls, but they
 can be made when necessary. This is useful for systems running on coreboot.

Package: mkgmap
Description-md5: f175d0c31f1558d3ebfafd6e3e6b30a4
Description-en: Generate Garmin maps from OpenStreetMap data
 This program converts OpenStreetMap data into a map that
 can be loaded onto compatible Garmin GPS units.
 .
 Main features:
  - Full control which OSM data is integrated into the map (style system)
  - Full control how POIs, streets and all other elements are displayed
    (TYP file compiler)
  - Full address search support
  - Routing support
  - Many options for fine control over the map creation process

Package: mkgmap-splitter
Description-md5: 42399c75c8fa3767d4a3ae634e59999b
Description-en: Tile splitter for mkgmap
 This program splits OpenStreetMap data into smaller tiles to fit within the
 Garmin map format limits as created by mkmap.
 .
 Main features:
  - Variable sized tiles so that you don't get a large number of tiny files.
  - Tiles join exactly with no overlap or gaps.

Package: mkgmapgui
Description-md5: 93c1ef4b290969f02f4643e94e7c5820
Description-en: Graphical User Interface for mkgmap
 mkgmapgui is a GUI front end to mkgmap, a command-line utility for
 converting OpenStreetMap map files to files that can be uploaded to a
 Garmin GPS device.
 .
 While not all the advanced features of mkgmap are implemented in
 mkgmapgui, the most used are. mkgmapgui implements the following
 features:
 .
  * Converting an .osm file into a Garmin .img file
  * Combining multiple .img files into one .img file.

Package: mklibs
Description-md5: 566a24327f5b3aff340afc352308942e
Description-en: Shared library reduction script
 mklibs produces cut-down shared libraries that contain only the
 routines required by a particular set of executables.  This is
 useful when building closed systems where disk space is at a premium,
 such as the Debian installer.

Package: mklibs-copy
Description-md5: 27bc2ab99a6d95d524085d903621e749
Description-en: Shared library reduction script
 mklibs-copy produces a minimal set of shared libraries that contain
 only the libs which are required by a particular set of executables.

Package: mknfonts.tool
Description-md5: 7417c41c86539876e3f3c35cad0f0ef7
Description-en: Create nfont packages for GNUstep
 This doesn't completely automate the process of creating nfont-packages,
 but it can do most of the bulk work for you.
 .
 This works with .otf, .ttf, .pfa, .pfb, .afm, most formats that freetype can
 handle and provide postscript names for.

Package: mkosi
Description-md5: 8132e263a8a7e1a9c8c71c977c2ead34
Description-en: create legacy-free OS images
 A fancy wrapper around "dnf --installroot", "debootstrap" and
 "pacstrap", that may generate disk images with a number of
 bells and whistles.
 .
 Generated images are "legacy-free". This means only GPT disk
 labels (and no MBR disk labels) are supported, and only
 systemd based images may be generated. Moreover, for bootable
 images only EFI systems are supported (not plain MBR/BIOS).

Package: mksh
Description-md5: 4931f730b48566d2590b67efc22e6b63
Description-en: MirBSD Korn Shell
 mksh is the successor of the Public Domain Korn shell (pdksh),
 a Bourne/POSIX compatible shell which is largely similar to the
 original AT&T Korn Shell (ksh88/ksh93).
 It includes bug fixes and feature improvements, in order to produce a
 modern, robust shell good for interactive and especially script use.
 mksh has UTF-8 support (in string operations and the Emacs editing
 mode). The code has been cleaned up and simplified, bugs fixed,
 standards compliance added, and several enhancements (for extended
 compatibility to other modern shells, as well as a couple of its
 own) are available.
 This shell is Debian Policy 10.4 compliant and works as /bin/sh on
 Debian systems (use the /bin/lksh executable) and is a good rescue
 and initrd shell (consider the /bin/mksh-static executable).
 .
 The mksh binary is a complete, full-featured shell. It provides a
 “consistent across all platforms” guarantee, using 32-bit integers
 for arithmetics, possibly deviating from POSIX.
 .
 The mksh-static binary is a version of mksh, linked against klibc,
 musl, or dietlibc (if they exist for that Debian architecture and
 are usable) and optimised for small code size, for example for use
 on initrd or initramfs images, installation or rescue systems.
 Except for omitting some features to be smaller, it is similar to
 the mksh binary otherwise. Note the exact feature set may differ
 depending on which C library was used to compile it.
 .
 The lksh binary is a script shell based on mksh intended to run old
 ksh88 and pdksh scripts, but not for interactive use. When used as
 /bin/sh it follows POSIX most closely, including use of the host’s
 “long” C data type for arithmetics. It also contains kludges so it
 can run as /bin/sh on Debian beyond what Policy dictates, to work
 around bugs in maintainer scripts and LSB init scripts shipped by
 many packages, such as including a rudimentary printf(1) builtin,
 permitting a shell function to be named stop overriding the default
 alias, more loose interpretation of shell extglobs, etc.
 .
 A sample ~/.mkshrc is included in /usr/share/doc/mksh/examples and
 provided as /etc/mkshrc conffile, which is sourced by another file
 /etc/skel/.mkshrc users are recommended to copy into their home.

Package: mktorrent
Description-md5: 8906f1230752e0b96f64cabf15e2573a
Description-en: simple command line utility to create BitTorrent metainfo files
 mktorrent is a text-based utility to create BitTorrent metainfo files
 used by trackers and torrent clients. It can create metainfo files for
 single files or complete directories in a fast way.
 .
 It supports:
  - multiple trackers,
  - embedding custom comments into torrent files,
  - multi-threaded hashing.
 .
 It also supports setting the "private" flag, which advises the BitTorrent
 agents to refrain from using alternative peer discovery mechanisms,
 such as Distributed Hash Table (DHT), Local Peer Discovery (LPD),
 or Peer Exchange.

Package: mkvtoolnix
Description-md5: 0a49dc700e61a811ff94909c13977f82
Description-en: Set of command-line tools to work with Matroska files
 Matroska is a new multimedia container format, based on EBML (Extensible
 Binary Meta Language), which is a kind of binary XML.
 These tools allow one to manipulate Matroska files.
  * mkvmerge is a tool to create Matroska files from other formats
  * mkvinfo allow one to get information about the tracks in a Matroska file
  * mkvextract can extract tracks from Matroska files to other formats
 .
 This package only provides command-line tools. For graphical tools, you
 should install the mkvtoolnix-gui package.

Package: mkvtoolnix-gui
Description-md5: 1951bc306d2e05c9c5f61916cc9d3e2b
Description-en: Set of tools to work with Matroska files - GUI frontend
 Matroska is a new multimedia container format, based on EBML (Extensible
 Binary Meta Language), which is a kind of binary XML.
 .
 The mmg tool is a graphical user interface for the mkvmerge program, which
 allow one to create Matroska files from other formats.
 .
 This package also contains a graphical version of the mkvinfo tool, which
 allow one to get information about a Matroska file.

Package: ml-burg
Description-md5: 9342eacca7f9e27adb803b52a0163be4
Description-en: Code generator for Standard ML
 ml-burg generates a Standard ML program to perform bottom-up
 rewriting of an input tree.  Cost information associated with each
 rewrite rule is used to derive the minimum rewrite cost for the
 entire tree.  A successful reduction corresponds to rewriting the
 input tree to a special non-terminal symbol called the "start"
 non-terminal.  Upon successful reduction, facilities are provided to
 walk the tree emitting semantic actions corresponding to the rules
 that matched.
 .
 Install this package if you want to write a compiler in SML using a
 burg specification.

Package: ml-lex
Description-md5: 98134e84a7da60dbf96244c99576e8f7
Description-en: Lexical analyzer generator for Standard ML
 Lexical analysis is the problem of segmenting input into words and
 recognizing different classes of words.  ml-lex uses regular
 expressions to describe classes of words.  It transforms a simple
 declarative language specification into an efficient SML program for
 analyzing that language.
 .
 Install this package if you want to produce a lexer in Standard ML.

Package: ml-lpt
Description-md5: fd3fb6838f77138cfc00f29b84af2a1c
Description-en: SML/NJ language processing tools
 Tradition has it that when a new programming language is introduced,
 new scanner and parser generators are written in that language, and
 generate code for that language.  Traditional also has it that the
 new tools are modeled after the old lex and yacc tools, both in terms
 of the algorithms used, and often the syntax as well.  The language
 Standard ML is no exception: ml-lex and ml-yacc are the SML
 incarnations of the old Unix tools.
 .
 This package has two new tools, ml-ulex and ml-antlr, that follow
 tradition in separating scanning from parsing, but break from
 tradition in their implementation: ml-ulex is based on regular
 expression derivatives rather than subset-construction, and ml-antlr
 is based on LL(k) parsing rather than LALR(1) parsing.

Package: ml-nlffigen
Description-md5: 4b70720f723f8eba487cb0b0b3397620
Description-en: ML generator for C glue code
 This tool reads in C source code and outputs ML code and a CM
 description file for use with the ML "No Longer Foreign Function
 Interface" library. This tool works by separating each top-level C
 declaration into a new ML source file. For large programs, this
 puts some strain on the SML Compilation Manager, but this should only
 marginally increase the program run-time.

Package: ml-yacc
Description-md5: ee31b18727f86a6ca391ffc198cf65de
Description-en: Parser generator for Standard ML
 SML version of the yacc program, generates a parser for a LALR language.
 This package is useful for SML developers requiring a parser in their
 work.

Package: mlbstreamer
Description-md5: 9d78a161e7ccac32efc3c458b4f934b6
Description-en: Interface to the MLB.TV media offering
 A collection of tools to stream and record baseball games from
 MLB.TV. While the main streaming content is mostly for paid MLB.TV
 subscribers only, there are a significant number of features and
 views available to non-subscribers as well including one free game
 each day.

Package: mldemos
Description-md5: cabf63ed9a9c9743f1bd3ad56db12044
Description-en: Machine Learning Demos (MLDemos) with Visualization
 A User-Friendly visualization toolkit for machine learning: MLDemos.
 Extensible architecture, contains modules for classification
 (gaussian mixture models, kernel methods such as support vector
 machines, nearest neighbor, kernel PCA, boosting, multilayer
 perceptrons, linear classifiers), clustering (gaussian mixtures,
 kernel methods, k-means), regression, etc.

Package: mldonkey-gui
Description-md5: b97620d30fa0f8da91eba34b4b257249
Description-en: Graphical frontend for mldonkey based on GTK+
 The GTK+ interface for mldonkey provides a convenient way of managing
 all mldonkey operations. It gives details about connected servers,
 downloaded files, friends and lets one search for files in a pleasing
 way.

Package: mldonkey-server
Description-md5: 1cfdfb88c5b48e2c8e98f40cbac9eaa1
Description-en: Door to the 'donkey' network
 MLDonkey is a door to the 'donkey' network, a decentralized network used to
 exchange big files on the Internet. It is written in a wonderful language,
 called Objective-Caml, and present most features of the basic Windows donkey
 client, plus some more:
  - works on UNIX-compatible platforms.
  - remote control by telnet, WEB browser or GTK+ interface.
  - access to EDonkey (edonkey2000, overnet, emule)
  - access to Gnutella1/2
  - access to Bittorrent

Package: mle
Description-md5: 443fa579dbc8088f415efcd093afd28b
Description-en: flexible terminal-based editor
 mle is a small, flexible, terminal-based text editor
 written in C. Notable features include: full Unicode
 support, syntax highlighting, scriptable rc file, macros,
 search and replace (PCRE), window splitting, multiple
 cursors, and integration with various shell commands.

Package: mlmmj
Description-md5: 7464917fe4021e603835c1edb8441ae2
Description-en: mail server independent mailing list manager
 A mailing list manager with roughly the same functionality as ezmlm.
 .
  mlmmj features:
  * Archive
  * Custom headers / footer
  * Fully automated bounce handling (similar to ezmlm)
  * Complete requeueing functionality
  * Moderation functionality
  * Subject prefix
  * Subscribers only posting
  * Regular expression access control
  * Functionality to retrieve old posts
  * Web-interface
  * Digests
  * No mail delivery subscription
  * VERP support
  * Delivery Status Notification (RFC1891) support

Package: mlmmj-php-web
Description-md5: 3488f99919352151e2a2c8c7d5c8be0f
Description-en: web interface for mlmmj, written in php
 A web based tool for mlmmj written in php, so that your users can subscribe
 or unsubscribe through some HTML forms, which sometimes, might be more
 convenient than just using the list commands.

Package: mlmmj-php-web-admin
Description-md5: 3bc9d42e981c0bcdedbcaa347d22b0cb
Description-en: administrative web interface for mlmmj, written in php
 This web based administrative tool for mlmmj written in php will help you
 to configure each mailing list individualy, through the web. You will be
 able with mlmmj-php-web-admin to set each individual tunable of the list.

Package: mlock
Description-md5: afe8a4d97e103642cba447a9323f0878
Description-en: mailbox locking program
 IMAP (Internet Message Access Protocol) is a method of accessing
 electronic messages kept on a (possibly shared) mail server.
 .
 The UW (University of Washington) IMAP toolkit provides the c-client
 mail-handling library supporting various network transport methods,
 file system storage formats, and authentication and authorization
 methods.
 .
 This package contains a program to lock mailbox files to avoid
 corruption.

Package: mlpack-bin
Description-md5: 54c45d2f157fd17953e0a031a445c75f
Description-en: intuitive, fast, scalable C++ machine learning library (binaries)
 This package contains example binaries using the mlpack Library.
 .
 Machine Learning Pack (mlpack) is an intuitive, fast, scalable C++
 machine learning library, meant to be a machine learning analog to
 LAPACK.  It aims to implement a wide array of machine learning
 methods and function as a "swiss army knife" for machine learning
 researchers.

Package: mlpack-doc
Description-md5: 1ef04a10b442fc88aa1189b714e1d27d
Description-en: intuitive, fast, scalable C++ machine learning library (documentation)
 This package contains documentation for the mlpack Library.
 .
 Machine Learning Pack (mlpack) is an intuitive, fast, scalable C++
 machine learning library, meant to be a machine learning analog to
 LAPACK.  It aims to implement a wide array of machine learning
 methods and function as a "swiss army knife" for machine learning
 researchers.

Package: mlpost
Description-md5: 268df89c4cbe44815c5dd452f77f2a23
Description-en: OCaml interface to Metapost (command-line tool)
 Mlpost is an OCaml library for scientific drawing. It relies on
 Metapost, giving the possibility to include (La)TeX fragments.
 Mlpost users can use the full power of OCaml to generate their
 diagrams, including static typing and the possibility to use any
 OCaml library. Moreover, Mlpost promotes a declarative style quite
 different from existing tools.
 .
 This package contains a command-line tool to ease the generation of
 PostScript or PDF figures directly from .ml files.

Package: mlterm
Description-md5: 344a7672990ee06ff67716bffa92a42a
Description-en: MultiLingual TERMinal
 This is a terminal emulator for X Window System, which supports
 various encodings including ISO-8859-[1-11,13-16], TCVN5712, VISCII,
 TIS-620 (same as ISO-8859-11), KOI8-{R,U,T}, CP{1251,1255}, GEORGEAN-PS,
 EUC-JP, EUC-JISX0213, ISO-2022-JP{,1,2,3}, Shift_JIS, Shift_JISX0213,
 ISO-2022-KR, EUC-KR, UHC, JOHAB, EUC-CN (aka GB2312), GBK, ISO-2022-CN,
 Big5, EUC-TW, HZ, UTF-8, and GB18030.
 .
 Doublewidth characters for east Asian, combining characters for
 Thai, Vietnamese, and other diacritics, BiDi (bi-directionality)
 for Arabic and Hebrew as well as Arabic shaping are all supported.
 Though mlterm supports Indic complex languages such as Hindi, this
 Debian package is not compiled with Indic support.
 .
 Since mlterm checks the current locale and selects appropriate encoding,
 you don't need to configure mlterm to display your language and
 encoding.
 .
 mlterm also supports unique features such as scrollbar API,
 multiple windows, multiple XIM, anti-alias using FreeType and Xft,
 and so on.

Package: mlterm-common
Description-md5: 6c4111c276af77faa0c71a1d79d48752
Description-en: MultiLingual TERMinal, common files
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains necessary files which are common for mlterm
 and mlterm-tiny packages.

Package: mlterm-im-canna
Description-md5: 7b1d3197b482903c544d42f94577ea50
Description-en: MultiLingual TERMinal, Canna input method plugin
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains Canna Input Method plugin for mlterm.

Package: mlterm-im-fcitx
Description-md5: fd8b6e6063a381871e4e7f80ae72e664
Description-en: MultiLingual TERMinal, Flexible Input Method plugin
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains Flexible Input Method plugin for mlterm.

Package: mlterm-im-ibus
Description-md5: fd69d0e7b658456abd4c05fa0ae33cd5
Description-en: MultiLingual TERMinal, IBus input method plugin
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains IBus input method plugin for mlterm.

Package: mlterm-im-m17nlib
Description-md5: ba68b671a62fdda3868ba330617c9e58
Description-en: MultiLingual TERMinal, m17nlib input method plugin
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains m17nlib input method plugin for mlterm.

Package: mlterm-im-scim
Description-md5: d8c0fe658587f2bf052b1751c8a6756d
Description-en: MultiLingual TERMinal, scim input method plugin
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains scim input method plugin for mlterm.

Package: mlterm-im-skk
Description-md5: 0d6e069592c2c808a4f0e02b033e7556
Description-en: MultiLingual TERMinal, SKK input method plugin
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains Simple Kana Kanji (SKK) Input Method plugin for mlterm.

Package: mlterm-im-uim
Description-md5: f9ecfa6349ce5f511d1009f7efba56e6
Description-en: MultiLingual TERMinal, uim input method plugin
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains uim input method plugin for mlterm.

Package: mlterm-im-wnn
Description-md5: 2bd05e480cd8bab1c74d3c93e865d66b
Description-en: MultiLingual TERMinal, FreeWnn input method plugin
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains FreeWnn Input Method plugin for mlterm.

Package: mlterm-tiny
Description-md5: 66b7b5eb8dc1342743d0e39203115140
Description-en: MultiLingual TERMinal, tiny version
 This is a terminal emulator for X Window System, which supports
 various encodings including ISO-8859-[1-11,13-16], TCVN5712, VISCII,
 TIS-620 (same as ISO-8859-11), KOI8-{R,U,T}, CP{1251,1255}, GEORGEAN-PS,
 EUC-JP, EUC-JISX0213, ISO-2022-JP{,1,2,3}, Shift_JIS, Shift_JISX0213,
 ISO-2022-KR, EUC-KR, UHC, JOHAB, EUC-CN (aka GB2312), GBK, ISO-2022-CN,
 Big5, EUC-TW, HZ, UTF-8, and GB18030.
 .
 Doublewidth characters for east Asian, combining characters for
 Thai, Vietnamese, and other diacritics, BiDi (bi-directionality)
 for Arabic and Hebrew as well as Arabic shaping are all supported.
 Though mlterm supports Indic complex languages such as Hindi, this
 Debian package is not compiled with Indic support.
 .
 Since mlterm checks the current locale and selects appropriate encoding,
 you don't need to configure mlterm to display your language and
 encoding.
 .
 mlterm also supports unique features such as scrollbar API,
 multiple windows, multiple XIM, anti-alias using FreeType and Xft,
 and so on.
 .
 This tiny version does not support fancy features such as background
 image and so on, except for i18n-related features.

Package: mlterm-tools
Description-md5: 910b0b310112a693b82e13230a41c984
Description-en: MultiLingual TERMinal, additional tools
 mlterm is a terminal emulator for X Window System, which supports
 various encodings, doublewidth characters, BiDi, Arabic shaping,
 and so on.
 .
 This package contains configuration tools and so on for mlterm.

Package: mlton
Description-md5: 4e2daa565b6d8482cb735a0b71ed0182
Description-en: Optimizing compiler for Standard ML
 MLton is a whole-program optimizing compiler
 for Standard ML.  MLton generates standalone
 executables with excellent runtime performance,
 is SML 97 compliant, and has a complete basis
 library.  MLton has source-level profiling,
 a fast C FFI, an interface to the GNU
 multiprecision library, and lots of useful
 libraries.
 .
 This metapackage depends on all MLton packages.

Package: mlton-basis
Description-md5: 4f7a8e7097b613db697a8fbf6d186e1b
Description-en: Optimizing compiler for Standard ML - basis library
 MLton is a whole-program optimizing compiler
 for Standard ML.  MLton generates standalone
 executables with excellent runtime performance,
 is SML 97 compliant, and has a complete basis
 library.  MLton has source-level profiling,
 a fast C FFI, an interface to the GNU
 multiprecision library, and lots of useful
 libraries.
 .
 This package includes the cross-platform basis.

Package: mlton-compiler
Description-md5: 4d2747f6a7ae5685bdb914296a9ee48a
Description-en: Optimizing compiler for Standard ML - compiler
 MLton is a whole-program optimizing compiler
 for Standard ML.  MLton generates standalone
 executables with excellent runtime performance,
 is SML 97 compliant, and has a complete basis
 library.  MLton has source-level profiling,
 a fast C FFI, an interface to the GNU
 multiprecision library, and lots of useful
 libraries.
 .
 This package includes the compiler itself.

Package: mlton-doc
Description-md5: b90195158d96a4d83e477013502d3e3d
Description-en: Optimizing compiler for Standard ML - documentation
 MLton is a whole-program optimizing compiler
 for Standard ML.  MLton generates standalone
 executables with excellent runtime performance,
 is SML 97 compliant, and has a complete basis
 library.  MLton has source-level profiling,
 a fast C FFI, an interface to the GNU
 multiprecision library, and lots of useful
 libraries.
 .
 This package includes non-man-page documentation.

Package: mlton-runtime-native
Description-md5: aaa1242a14bcc5b72e574200bbb7f6da
Description-en: Optimizing compiler for Standard ML - native runtime libraries
 MLton is a whole-program optimizing compiler
 for Standard ML.  MLton generates standalone
 executables with excellent runtime performance,
 is SML 97 compliant, and has a complete basis
 library.  MLton has source-level profiling,
 a fast C FFI, an interface to the GNU
 multiprecision library, and lots of useful
 libraries.
 .
 This metapackage depends on native target libraries.

Package: mlton-runtime-x86-64-linux-gnu
Description-md5: bb51438da038a96488f10b3f1a54dc2e
Description-en: Optimizing compiler for Standard ML - amd64 runtime libraries
 MLton is a whole-program optimizing compiler
 for Standard ML.  MLton generates standalone
 executables with excellent runtime performance,
 is SML 97 compliant, and has a complete basis
 library.  MLton has source-level profiling,
 a fast C FFI, an interface to the GNU
 multiprecision library, and lots of useful
 libraries.
 .
 This package provides x86-64 target libraries.

Package: mlton-tools
Description-md5: a59e92666abcf7288f4e38dd042239e1
Description-en: Optimizing compiler for Standard ML - tools
 MLton is a whole-program optimizing compiler
 for Standard ML.  MLton generates standalone
 executables with excellent runtime performance,
 is SML 97 compliant, and has a complete basis
 library.  MLton has source-level profiling,
 a fast C FFI, an interface to the GNU
 multiprecision library, and lots of useful
 libraries.
 .
 This package includes tools which autogenerate SML.

Package: mlucas
Description-md5: 51541a14bea7c38325b8575c6f8ead30
Description-en: program to perform Lucas-Lehmer test on a Mersenne number
 mlucas is an open-source (and free/libre) program for performing Lucas-Lehmer
 test on prime-exponent Mersenne numbers, that is, integers of the form
 2 ^ p - 1, with prime exponent p. In short, everything you need to search for
 world-record Mersenne primes! It has been used in the verification of various
 Mersenne primes, including the 45th, 46th, 48th, 49th and 50th found Mersenne
 prime.
 .
 You may use it to test any suitable number as you wish, but it is preferable
 that you do so in a coordinated fashion, as part of the Great Internet
 Mersenne Prime Search (GIMPS) <https://www.mersenne.org/primes/>.

Package: mlv-smile
Description-md5: f78d9a9ee207030dad5639121a2e76e9
Description-en: Find statistically significant patterns in sequences
 Smile determines sequence motifs on the basis of a set of DNA, RNA or
 protein sequences.
  * No hard limit on the number of combinations of motifs to describe
    subsets of sequences.
  * The sequence alphabet may be specified.
  * The use of wildcards is supported.
  * Better determination of significance of motifs by simulation.
  * Introduction of a set of sequences with negative controls
    that should not match automatically determined motifs.

Package: mm-common
Description-md5: d346825f7d0b94a5feb3b3cceb14012a
Description-en: Common build files of the GNOME C++ bindings
 The mm-common module provides the build infrastructure and utilities
 shared among the GNOME C++ binding libraries.  It is only a required
 dependency for building the C++ bindings from the gnome.org version
 control repository.  An installation of mm-common is not required for
 building tarball releases, unless configured to use maintainer-mode.
 .
 The mm-common package also includes a snapshot of the Doxygen tag file
 for the GNU C++ Library reference documentation.

Package: mm3d
Description-md5: 3a94b2fa98027b873e9b85d9547978ba
Description-en: OpenGL based 3D model editor
 This is an OpenGL based 3D model editor that works with triangle-based
 models. It supports multi-level undo, skeletal animations, simple texturing,
 scripting, command-line batch processing, and a plugin system for adding new
 model and image filters. Complete online help is included. It is designed to
 be easy to use and easy to extend with plugins and scripts.
 .
 It supports the following 3d files: MilkShape (ms3d), Wavefront (obj),
 LightWave 3d Object (lwo), Quake 2 model (md2), Quake 3 model (md3),
 Caligari trueSpace (cob), and AutoCAD (dxf).

Package: mma
Description-md5: f93ecf048d32f7c5248f953a42babc33
Description-en: Musical MIDI Accompaniment Generator
 “MMA—Musical MIDI Accompaniment” is an accompaniment generator.
 It creates MIDI tracks for a soloist to perform over from
 a user-supplied file containing chords and MMA directives.
 .
 MMA is very versatile and generates excellent tracks. It comes
 with an extensive user-extendable library with a variety of patterns
 for various popular rhythms, detailed user manuals, and several demo songs.
 .
 MMA is a command-line driven program. It creates MIDI files which are then
 played by a sequencer or MIDI file play program.

Package: mmake
Description-md5: d3b43fe924d8d2af5b151a166a5b70ce
Description-en: Makefile generator for Java programs
 mmake will generate a master Makefile for your Java programs.
 This generated Makefile fully understands the subdirectories
 that are required if your program consists of multiple packages.
 mmake also automatically generates dependencies, and can use
 the C preprocessor for Java programs and generate javadoc documentation.
 mmake defaults to using Sun's javac from the jdk but can easily use
 guavac or a different GPL alternative as well.

Package: mmc-utils
Description-md5: b80176b534bc6f9de236034d1ac748b4
Description-en: Userspace tools for MMC/SD devices
 mmc-utils is a tool that supports the MMC device development of linux kernel.
 .
 The mmc-utils tools can do the following:
  - Print and parse extcsd data.
  - Determine the eMMC writeprotect status.
  - Set the eMMC writeprotect status.
  - Set the eMMC data sector size to 4KB by disabling emulation.
  - Create general purpose partition.
  - Enable the enhanced user area.
  - Enable write reliability per partition.
  - Print the response to STATUS_SEND (CMD13).
  - Enable the boot partition.
  - Set Boot Bus Conditions.
  - Enable the eMMC BKOPS feature.
  - Permanently enable the eMMC H/W Reset feature.
  - Permanently disable the eMMC H/W Reset feature.
  - Send Sanitize command.
  - Program authentication key for the device.
  - Counter value for the rpmb device will be read to stdout.
  - Read from rpmb device to output.
  - Write to rpmb device from data file.
  - Enable the eMMC cache feature.
  - Disable the eMMC cache feature.
  - Print and parse CID data.
  - Print and parse CSD data.
  - Print and parse SCR data.

Package: mmdb-bin
Description-md5: ccac67bacde8d3e0250479517acfb0b6
Description-en: IP geolocation lookup command-line tool
 The libmaxminddb library provides a C library for reading MaxMind DB files,
 including the GeoIP2 databases from MaxMind. This is a custom binary format
 designed to facilitate fast lookups of IP addresses while allowing for great
 flexibility in the type of data associated with an address.
 .
 The MaxMind DB format is an open format. The spec is available at
 http://maxmind.github.io/MaxMind-DB/. This spec is licensed under the Creative
 Commons Attribution-ShareAlike 3.0 Unported License.
 .
 This package contains the command line utilities to resolve IPs using the
 libmaxminddb library.

Package: mmdebstrap
Description-md5: 643413781c1060066942de442f07c07a
Description-en: create a Debian chroot
 Downloads, unpacks and installs Debian packages to either directly create a
 directory which can be chrooted into, or a tarball of it. In contrast to
 debootstrap it uses apt, supports more than one mirror, automatically uses
 security and updates mirrors for Debian stable chroots, is 3-6 times faster,
 produces smaller output by removing unnecessary cruft, is bit-by-bit
 reproducible if $SOURCE_DATE_EPOCH is set, allows unprivileged operation using
 Linux user namespaces, fakechroot or proot and can setup foreign architecture
 chroots using qemu-user.

Package: mmh
Description-md5: 6648b3380bdaf69b4d20d0c94a81191f
Description-en: set of electronic mail handling programs
 This is the mmh mail user agent (reader/sender), a command-line based mail
 reader that is powerful and extensible.  mmh is an excellent choice for
 people who receive and process a lot of mail.
 .
 Unlike most mail user agents, mmh is not a single program, rather it is a
 set of programs that are run from the shell.  This allows the user to
 utilize the full power of the Unix shell in coordination with mmh.
 .
 Mmh is a modified version of the electronic mail handling system nmh.
 Nmh (new MH) itself was originally based on the package MH-6.8.3, and
 was intended to be a (mostly) compatible drop-in replacement for MH.
 In contrast, mmh is not intended to be a drop-in replacement for nmh,
 rather mmh breaks compatibility to nmh in order to modernize and
 simplify it.

Package: mmllib-tools
Description-md5: 9b6bbad61ac86eb0e72828a8e2937072
Description-en: library for handling Music Macro Language (tools)
 MMLlib is a pure Python implementation of functionality related to the
 Music Macro Language as implemented by Microsoft® GW-BASIC® and
 compatibles, which is its most common form, also implemented by the PC
 speaker driver in Linux and BSD, with a number of extensions and
 changes.
 .
 This package contains command-line tools for handling MML. These
 currently are:
 .
  mml2musicxml - convert MML to MusicXML (cf. musescore)
  mmllint - check various aspects of MML files for correctness

Package: mmm-mode
Description-md5: 1ed4f674f652dfcbbc09b644efee7900
Description-en: Multiple Major Mode for Emacs
 MMM Mode is a minor mode for Emacs that allows Multiple Major Modes
 (hence the name) to coexist in one buffer.  It is particularly
 well-suited to editing embedded code, such as Mason server-side Perl,
 or HTML output in CGI scripts.

Package: mmorph
Description-md5: 08276480c91c7973778e55492fc44f5d
Description-en: two-level morphology tool for natural language processing
 The MULTEXT morphology tool, mmorph, is a free implementation of the
 two-level formalism for natural language morphology.
 .
 mmorph is a tool for morphology analysis or any other purposes. mmorph
 provides the means by which lexicons can be constructed and modified,
 and texts annotated with lexical information.

Package: mmseqs2
Description-md5: 822197ebd72a66105eb34f923c612ad8
Description-en: ultra fast and sensitive protein search and clustering
 MMseqs2 (Many-against-Many sequence searching) is a software suite to
 search and cluster huge proteins/nucleotide sequence sets. MMseqs2 is
 open source GPL-licensed software implemented in C++ for Linux, MacOS,
 and (as beta version, via cygwin) Windows. The software is designed to
 run on multiple cores and servers and exhibits very good scalability.
 MMseqs2 can run 10000 times faster than BLAST. At 100 times its speed it
 achieves almost the same sensitivity. It can perform profile searches
 with the same sensitivity as PSI-BLAST at over 400 times its speed.

Package: mmseqs2-examples
Description-md5: 441124a1b8ba2c54446cab134b2893cf
Description-en: optional resources for the mmseqs2 package
 MMseqs2 (Many-against-Many sequence searching) is a software suite to
 search and cluster huge proteins/nucleotide sequence sets. MMseqs2 is
 open source GPL-licensed software implemented in C++ for Linux, MacOS,
 and (as beta version, via cygwim) Windows. The software is designed to
 run on multiple cores and servers and exhibits very good scalability.
 MMseqs2 can run 10000 times faster than BLAST. At 100 times its speed it
 achieves almost the same sensitivity. It can perform profile searches
 with the same sensitivity as PSI-BLAST at over 400 times its speed.

Package: mmv
Description-md5: 861731672cde28d86130733c5f97a7a3
Description-en: Move/Copy/Append/Link multiple files
 mmv is a program to move/copy/append/link multiple files
 according to a set of wildcard patterns. This multiple action is
 performed safely, i.e. without any unexpected deletion of files due to
 collisions of target names with existing filenames or with other
 target names.

Package: mnemosyne
Description-md5: f8dc4f1b5caa9054661148320e158a74
Description-en: spaced repetition flash-card program
 The Mnemosyne software resembles a traditional flash-card program to help you
 memorise question/answer pairs, but with an important twist: it uses a
 sophisticated algorithm to schedule the best time for an item to come up for
 review. Difficult items that you tend to forget quickly will be scheduled more
 often, while Mnemosyne won't waste your time on things you remember well.

Package: moarvm
Description-md5: e5a88342f3ad3da027777b894fbfd981
Description-en: virtual machine for Rakudo Perl 6 and NQP
 Short for “Metamodel On A Runtime”, MoarVM is a runtime built for the
 6model object system. It is primarily aimed at running NQP and Rakudo
 Perl 6, but should be able to serve as a backend for any compilers
 built using the NQP compiler toolchain.

Package: moarvm-dev
Description-md5: e4f08da0fe2a8edb18b90ece94f9ae22
Description-en: development files for moarvm
 Short for “Metamodel On A Runtime”, MoarVM is a runtime built for the
 6model object system. It is primarily aimed at running NQP and Rakudo
 Perl 6, but should be able to serve as a backend for any compilers
 built using the NQP compiler toolchain.
 .
 This package contains the developments files required to
 compile rakudo

Package: mobile-atlas-creator
Description-md5: 2b0ced3f602a2b6c1203ba87b14665ec
Description-en: program to create offline atlases for GPS/cell phone applications
 Formerly known as "TrekBuddy Atlas Creator". This application creates
 off-line atlases for the J2ME application TrekBuddy and various other mobile
 applications on Android and WindowsCE. The abbreviation of ths app is mobac.

Package: moblin-cursor-theme
Description-md5: 57f33dc338213e776f1efb9ace771e7c
Description-en: moblin cursor theme
 This package contains the moblin cursor themes, which are developed for
 moblin.

Package: moblin-icon-theme
Description-md5: 08023ae26fe553bb226377c1ebefe458
Description-en: icon theme for moblin
 This package contains the moblin icons theme, which are developed for
 moblin.

Package: moblin-sound-theme
Description-md5: bbf67466ae3747f4db8681513c4b1706
Description-en: moblin sound theme
 This package contains the moblin sound theme, which are developed for moblin.

Package: moc
Description-md5: a7ebfc6625444b03b15690a956d2deeb
Description-en: ncurses based console audio player
 moc (music on console) is a full-screen player designed to be powerful
 and easy to use.
 .
 Supported file formats are: MP3, OGG Vorbis, FLAC, OPUS, WAVE, SPEEX, Musepack
 (MPC), AIFF, AU (and other less popular formats supported by libsndfile).
 New formats support is under development.
 .
 Other features: simple mixer, colour themes, searching the menu (the playlist
 or a directory) like M-s in Midnight Commander, the way MOC creates titles
 from tags is configurable, optional character set conversion for file tags
 using iconv(), OSS or ALSA output.

Package: moc-ffmpeg-plugin
Description-md5: db9bdd8ec2f5f2e982d5f61a29664fab
Description-en: ncurses based console audio player - ffmpeg plugin
 moc (music on console) is a full-screen player designed to be powerful
 and easy to use.
 .
 moc-ffmpeg-plugin is an additional plugin to play soundfiles out of the ffmpeg
 libs like WMA, RealAudio, MP4 and AAC.

Package: mocassin
Description-md5: 8eff30b55e35a6cedaf01664028ad44e
Description-en: MOnte CArlo SimulationS of Ionised Nebulae
 mocassin is a fully 3D or 2D photoionisation and dust radiative transfer code
 which employs a Monte Carlo approach to the transfer of radiation through
 media of arbitrary geometry and density distribution. It was originally
 developed for the modelling of photoionised regions like HII regions and
 planetary nebulae and has since expanded and been applied to a variety of
 astrophysical problems, including modelling clumpy dusty supernova envelopes,
 star forming galaxies, protoplanetary disks and inner shell fluorence emission
 in the photospheres of stars and disk atmospheres. The code can deal with
 arbitrary Cartesian grids of variable resolution, it has successfully been
 used to model complex density fields from SPH calculations and can deal with
 ionising radiation extending from Lyman edge to the X-ray. The dust and gas
 microphysics is fully coupled both in the radiation transfer and in the
 thermal balance.

Package: mocassin-benchmarks
Description-md5: f6368a37021488247e04a775413b0cad
Description-en: benchmarks for the photoionisation code MOCASSIN
 mocassin is a fully 3D or 2D photoionisation and dust radiative transfer code
 which employs a Monte Carlo approach to the transfer of radiation through
 media of arbitrary geometry and density distribution. This package contains
 benchmark problems which can be run and compared with the outputs of other
 photoionisation codes.

Package: mocassin-data
Description-md5: 0c11ce187567315083ec981b8288ed48
Description-en: atomic and optical data for the photoionisation code MOCASSIN
 mocassin is a fully 3D or 2D photoionisation and dust radiative transfer code
 which employs a Monte Carlo approach to the transfer of radiation through
 media of arbitrary geometry and density distribution. This package contains
 the atomic and optical data necessary to run simulations.

Package: mocassin-examples
Description-md5: ea82cd1d46658219b96c5c82a741deeb
Description-en: Examples for the photoionisation code MOCASSIN
 mocassin is a fully 3D or 2D photoionisation and dust radiative transfer code
 which employs a Monte Carlo approach to the transfer of radiation through
 media of arbitrary geometry and density distribution. This package contains
 example input for files for some of the complex simulations that MOCASSIN is
 capable of.

Package: mocha
Description-md5: 70d1e5130e31bf8c972ec24635fac387
Description-en: simple, flexible, fun test framework - Node.js module
 Mocha is a feature-rich JavaScript test framework running
 on Node.js and browser, making asynchronous testing
 simple and fun.
 .
 Mocha tests run serially, allowing for flexible and accurate
 reporting, while mapping uncaught exceptions to the correct
 test cases.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: mockery
Description-md5: d7e904d1a7150ca29bbc0cfedd4b43fc
Description-en: mock code autogenerator for Golang
 Mockery provides the ability to easily generate mocks for golang
 interfaces. It removes the boilerplate coding required to use mocks.

Package: mockgen
Description-md5: 08aab40ea6e9ecbc214767942f93f3ad
Description-en: mocking framework for the Go programming language - mockgen
 GoMock is a mocking framework for the Go programming language.
 It integrates well with Go's built-in testing package, but can be used in
 other contexts too.
 .
 This package provides the "mockgen" utility.

Package: mod-gearman-doc
Description-md5: e70dfc4586e2d1322ca7c1210887dcc1
Description-en: Documentation and examples for Mod-Gearman
 Mod-Gearman is an easy way of distributing active Icinga/Nagios checks across
 your network and increasing nagios scalability. Mod-Gearman can even help to
 reduce the load on a single nagios host, because its much smaller and more
 efficient in executing checks.
 .
 This package contains documentation and examples common to the
 mod-gearman-module and mod-gearman-worker packages.

Package: mod-gearman-module
Description-md5: f3a35646701f90efde676725ced70e3b
Description-en: Nagios/Icinga event broker module for Mod-Gearman
 Event broker module for Icinga/Nagios. This module adds servicechecks,
 hostchecks and eventhandlers to a queue on a Gearman job server.
 .
 There can be multiple equal Gearman job servers.

Package: mod-gearman-tools
Description-md5: 6e48352e04847213a39a68321632d62b
Description-en: Tools for mod-gearman
 This package also includes a set of extra tools to send check results to
 Icinga/Nagios via a Gearman job server, and a plugin to monitor the Gearman
 job server itself:
 .
  - check_gearman - Icinga/Nagios service check to monitor the Gearman job
    server
  - gearman_top - Monitor the Gearman job server from the console
  - send_gearman - Submit active and passive check results to a
    Gearman job server
  - send_multi - Submit check results from the check_multi to a Gearman
    job server

Package: mod-gearman-worker
Description-md5: ad51917f03d7e2267c67aed4c0a2f39e
Description-en: Worker agent for Mod-Gearman
 The worker agent for Mod-Gearman connects to a Gearman job server,
 runs active Icinga/Nagios service checks, and return the results.
 .
 The worker can ask for any available check, or it can be bound to
 specific hostgroups or servicegroups.

Package: mod-musicindex-common
Description-md5: c8484f0e688f4640a2ba04828fef8f9b
Description-en: Common files for mod-musicindex
 mod_musicindex is aimed at being a C alternative to the Perl module
 Apache::MP3 (http://search.cpan.org/dist/Apache-MP3/).
 It allows nice displaying of directories containing MP3 or Ogg Vorbis and
 FLAC files, including sorting them on various fields, streaming/downloading
 them, constructing playlists and searching.
 .
 This package includes platform independent data for libapache2-mod-musicindex
 and is useless without it.

Package: modem-cmd
Description-md5: ae1ef5394f9d9a13be978201f46931a7
Description-en: send arbitrary AT commands to your modem
 modem-cmd can be used to send arbitrary AT commands to a modem device over
 a serial line.
 .
 This means it can be used as a phone dialer:
 .
 $ modem-cmd /dev/ttyUSB0 ATDT123456

Package: modem-manager-gui
Description-md5: 314225dccd2e8b945d86b7f542fc2542
Description-en: GUI front-end for ModemManager / Wader / oFono
 This program is a simple graphical interface for ModemManager, Wader and oFono
 daemon D-Bus interfaces. It can send, receive and store SMS messages,
 send USSD requests and read answers in GSM7 and UCS2 formats,
 and scan for available mobile networks.

Package: modem-manager-gui-help
Description-md5: 5f879eed9f510c9e12903bb146d91d81
Description-en: GUI front-end for ModemManager / Wader / oFono - documentation
 This package contains the documentation.

Package: modemmanager-qt-dev
Description-md5: a0faf1088b83744b57f33b5670b26a4d
Description-en: Qt wrapper for ModemManager - devel files
 Qt wrapper for ModemManager DBus API.
 .
 This package is part of KDE Frameworks.
 .
 This package contains the development files

Package: modernize
Description-md5: a9be81b560b71df96951113b5b917422
Description-en: Modernizes Python code for eventual Python 3 migration
 This library is a very thin wrapper around lib2to3 to utilize it to make
 Python 2 code more modern with the intention of eventually porting it over
 to Python 3.
 .
 This package installs the python-modernize command line tool. It works like
 2to3. It does not guarantee, but it attempts to spit out a codebase compatible
 with Python 2.6+ or Python 3. The code that it generates has a runtime
 dependency on six, unless the --no-six option is used. Version 1.9.0 or later
 of six is recommended. Some of the fixers output code that is not compatible
 with Python 2.5 or lower.

Package: modplug-tools
Description-md5: 11aa7fe8642afbb8cced97eddf49632c
Description-en: Modplug playing console tools
 These are command line players for the following module formats:
 669, amf, ams, dbm, dmf, dsm, far, it, j2b, mdl, med mod, mt2, mtm, okt,
 psm, ptm, s3m, stm, ult, umx, xm.

Package: modsecurity-crs
Description-md5: 65af50bcdf2af9c91661490681ea62bd
Description-en: OWASP ModSecurity Core Rule Set
 modsecurity provides critical protections against attacks across most every
 web architecture. CRS is based on generic rules which focus on attack payload
 identification in order to provide protection from zero day and unknown
 vulnerabilities often found in web applications, which are in most cases
 custom coded.
 .
 Core Rules use the following techniques: HTTP request validation, HTTP
 protocol anomalies, Global constraints, HTTP Usage policy, Malicious client
 software detection, Generic Attack Detection (SQL injection, Cross Site
 Scripting, OS Command Injection, ColdFusion, PHP and ASP injection, etc.),
 Trojans & Backdoors Detection, Error Detection, XML Protection, Search Engine
 Monitoring.

Package: module-assistant
Description-md5: 4d415456386f01e0620452c1c9436b49
Description-en: tool to make module package creation easier
 The module-assistant tool (also known as m-a) helps users and maintainers
 with managing external Linux kernel modules packaged for Debian. It can
 do:
 .
  * automated preparation of build environment for module compilation
    (e.g. automatic detection and installation of required kernel
    source/headers);
  * automated module source downloads;
  * configuration and tracking of external and locally built modules
    packages;
  * semi-automated multiple builds for multiple kernel versions;
 .
 It also contains some infrastructure to be used by the build-scripts in the
 accompanying modules-source packages in Debian.

Package: moka-icon-theme
Description-md5: 795c3ff82f659d19bd4774f2fc67bbb9
Description-en: Tango-esque desktop icon set called Moka
 Moka is a stylized Tango-esque Linux desktop icon set. They are
 designed to be a clear, simple and consistent.
 Moka is designed to work with the Faba icon set - together
 they provide both applications and panel based icons.

Package: mokomaze
Description-md5: e9430b9621f362a56e00164318a4949c
Description-en: Ball in labyrinth game for the OpenMoko FreeRunner
 Mokomaze is the opensource implementation of the classic game where you control
 a steel ball by tilting a wooden labyrinth.
 .
 This package requires acceleration sensors and is targeted for the Openmoko
 FreeRunner smartphone.

Package: molly-guard
Description-md5: 9027b495eb885926ceab360590ed53b7
Description-en: protects machines from accidental shutdowns/reboots
 The package installs a shell script that overrides the existing
 shutdown/reboot/halt/poweroff/coldreboot/pm-hibernate/pm-suspend* commands
 and first runs a set of scripts, which all have to exit successfully,
 before molly-guard invokes the real command.
 .
 One of the scripts checks for existing SSH sessions. If any of the four
 commands are called interactively over an SSH session, the shell script
 prompts you to enter the name of the host you wish to shut down. This should
 adequately prevent you from accidental shutdowns and reboots.
 .
 molly-guard diverts the real binaries to /lib/molly-guard/.  You can bypass
 molly-guard by running those binaries directly.

Package: mom
Description-md5: 2439e4176fed54448c1af6f2520f1956
Description-en: Dynamically manage system resources on virtualization hosts
 MOM is a policy-driven tool that can be used to manage overcommitment on KVM
 hosts. Using libvirt, MOM keeps track of active virtual machines on a host. At
 a regular collection interval, data is gathered about the host and guests. Data
 can come from multiple sources (eg. the /proc interface, libvirt API calls, a
 client program connected to a guest, etc). Once collected, the data is
 organized for use by the policy evaluation engine. When started, MOM accepts a
 user-supplied overcommitment policy. This policy is regularly evaluated using
 the latest collected data. In response to certain conditions, the policy may
 trigger reconfiguration of the system’s overcommitment mechanisms. Currently
 MOM supports control of memory ballooning and KSM but the architecture is
 designed to accommodate new mechanisms such as cgroups.

Package: mon
Description-md5: 40263012d1b466f3789ea2f3dff1fcd9
Description-en: monitor hosts/services/whatever and alert about problems
 "mon" is a tool for monitoring the availability of services.  Services
 may be network-related, environmental conditions, or anything that can
 be tested with software.  If a service is unavailable mon can tell you
 with syslog, email, your pager or a script of your choice.  You can
 control who gets each alert based on the time of day or day of week,
 and you can control how often an existing problem is re-alerted.
 .
 More information can be found at http://mon.wiki.kernel.org

Package: mon-client
Description-md5: a1d8ff01b55ee9ccfc5a8b23e4108805
Description-en: modules for interfacing with the mon package
 These are the Perl5 module for interfacing with the Mon system monitoring
 package. It is intended to be used in conjunction with the mon 1.2.x server.
 Currently only the client interface is implemented, but more things like
 special logging routines and persistent monitors are being considered.
 .
 "mon" is a tool for monitoring the availability of services.
 More information can be found at http://www.kernel.org/software/mon/

Package: mon-contrib
Description-md5: 1630e3b7ffcb0f301b353621cdccb34a
Description-en: contributed tools, monitors and alert for mon
 mon-contrib is the mon /contrib archive. It contains user-submitted
 add-ons to mon, which are not included in the main mon distribution.
 .
 Most submissions are not checked by the archive maintainers for quality
 and some may not work, or work how you expect them to (most are quite
 good, though).
 .
 If you have something to contribute, either a new submission or a patch
 to another contrib'ed item, great! Please read the contrib guidelines to
 speed up the inclusion of your submission in the archives.

Package: mona
Description-md5: 2fcd097fb45a3451958acd496a75b3eb
Description-en: theorem prover based on automata
 MONA is a tool that translates formulas in the logics WS1S or WS2S
 into finite-state automata represented by BDDs.  The formulas may
 express search patterns, temporal properties of reactive systems,
 parse tree constraints, etc.  MONA also analyses the automaton
 resulting from the compilation, and determines whether the formula is
 valid and, if the formula is not valid, generates a counter-example.
 .
 Documentation is available from the MONA website http://www.brics.dk/mona/.

Package: monajat-applet
Description-md5: 8d6e10fd36396596887ec23199fe7b25
Description-en: Islamic supplications tray applet
 Monajat is a small application that displays Islamic supplications (azkar) at
 predetermined times.
 .
 This package contains the desktop tray applet.

Package: monajat-data
Description-md5: ea73e58bd61e3a4cb01bf572bb4afb06
Description-en: Islamic supplications database
 Monajat is a small application that displays Islamic supplications (azkar) at
 predetermined times.
 .
 This contains the database of Islamic supplications.

Package: monajat-mod
Description-md5: 7f3ef7120463ca8204b6329dda445a33
Description-en: Islamic supplications console utility
 Monajat is a small application that displays Islamic supplications (azkar) at
 predetermined times.
 .
 This package contains a console application that can be used in motd or in the
 profile.

Package: monajat-screenlet
Description-md5: 792fc9b28fbd8b32677b35bc38e2875c
Description-en: Islamic supplications screenlet
 Monajat is a small application that displays Islamic supplications (azkar) at
 predetermined times.
 .
 This package contains a screenlet.

Package: mongo-tools
Description-md5: 0f9f0283a2332658aaa3d093c2ec9d57
Description-en: collection of tools for administering MongoDB servers
 mongodb-tools is a collection of tools for administering MongoDB instances.
 The package includes the following tools:
 .
  * bsondump: display BSON files in a human-readable format
  * mongoimport: convert data from JSON, TSV or CSV and insert them into a
    collection
  * mongoexport: write an existing collection to CSV or JSON format
  * mongodump/mongorestore: dump MongoDB backups to disk in .BSON format, or
    restore them to a live database
  * mongostat: monitor live MongoDB servers, replica sets, or sharded clusters
  * mongofiles: read, write, delete, or update files in GridFS
  * mongooplog: replay oplog entries between MongoDB servers
  * mongotop: monitor read/write activity on a mongo server

Package: mongodb
Description-md5: 8fa8c030cb4f008d0ac716b496446f9d
Description-en: object/document-oriented database (metapackage)
 MongoDB is a high-performance, open source, schema-free
 document-oriented data store that's easy to deploy, manage
 and use. It's network accessible, written in C++ and offers
 the following features:
 .
    * Collection oriented storage - easy storage of object-style data
    * Full index support, including on inner objects
    * Query profiling
    * Replication and fail-over support
    * Efficient storage of binary data including large objects (e.g. videos)
    * Auto-sharding for cloud-level scalability
 .
 High performance, scalability, and reasonable depth of
 functionality are the goals for the project.
 .
 This is a convenience metapackage that depends on all the mongodb parts:
 the server, the clients and the development files (headers and library).

Package: mongodb-clients
Description-md5: 4a9a420d4a119483f9c8b9e74d827f5c
Description-en: object/document-oriented database (client apps)
 MongoDB is a high-performance, open source, schema-free
 document-oriented data store that's easy to deploy, manage
 and use. It's network accessible, written in C++ and offers
 the following features:
 .
    * Collection oriented storage - easy storage of object-style data
    * Full index support, including on inner objects
    * Query profiling
    * Replication and fail-over support
    * Efficient storage of binary data including large objects (e.g. videos)
    * Auto-sharding for cloud-level scalability
 .
 High performance, scalability, and reasonable depth of
 functionality are the goals for the project.
 .
 This package contains the standard administrative shell (mongo) and other
 utilities for administration or analysis of performance of the server.

Package: mongodb-dev
Description-md5: be37fe697b6918d0a3d27af8da4cff89
Description-en: MongoDB C++ Driver (transitional package)
 This is a transitional dummy package and can be safely removed.

Package: mongodb-server
Description-md5: d69ade9ea95f5bdcf2dfe5fc8001563b
Description-en: object/document-oriented database (managed server package)
 MongoDB is a high-performance, open source, schema-free
 document-oriented data store that's easy to deploy, manage
 and use. It's network accessible, written in C++ and offers
 the following features:
 .
    * Collection oriented storage - easy storage of object-style data
    * Full index support, including on inner objects
    * Query profiling
    * Replication and fail-over support
    * Efficient storage of binary data including large objects (e.g. videos)
    * Auto-sharding for cloud-level scalability
 .
 High performance, scalability, and reasonable depth of
 functionality are the goals for the project.
 .
 This package installs MongoDB as a system service.

Package: mongodb-server-core
Description-md5: 835160aaa7a62f3c995d9b885528eeb9
Description-en: object/document-oriented database (server binaries package)
 MongoDB is a high-performance, open source, schema-free
 document-oriented data store that's easy to deploy, manage
 and use. It's network accessible, written in C++ and offers
 the following features:
 .
    * Collection oriented storage - easy storage of object-style data
    * Full index support, including on inner objects
    * Query profiling
    * Replication and fail-over support
    * Efficient storage of binary data including large objects (e.g. videos)
    * Auto-sharding for cloud-level scalability
 .
 High performance, scalability, and reasonable depth of
 functionality are the goals for the project.
 .
 This package contains the database server (mongod) and sharding
 server/load-balancer (mongos) binaries.

Package: mongoose
Description-md5: 1a4d421f34ec676df8f4527154effc64
Description-en: graph partitioning tool that can quickly compute edge cuts (executable)
 Suitesparse is a collection of libraries for computations involving
 sparse matrices.
 .
 Mongoose is a graph partitioning library that can quickly compute edge cuts in
 arbitrary graph. Given a graph with a vertex set and edge set, an edge cut is
 a partitioning of the graph into two subgraphs that are balanced (contain the
 same number of vertices) and the connectivity between the subgraphs is
 minimized (few edges are in the cut).
 .
 This package contains the standalone executable.

Package: mongrel2-core
Description-md5: 28d05ccf3ee78bc8ec4062d7521f2ce0
Description-en: programming language agnostic web server (binaries)
 Mongrel2 is an application, programming language, and network
 architecture agnostic web server that focuses on web applications using
 modern browser technologies.
 .
 Mongrel2 supports 17 languages and platforms, HTTP, Flash sockets,
 WebSockets, Long Polling, and many ways to deploy and hack on it.
 .
 This package includes the server binaries but doesn't contain all the
 infrastructure needed to setup a standalone web server.

Package: mongrel2-run
Description-md5: 96263d12304383b003e8986136092a2b
Description-en: programming language agnostic web server
 Mongrel2 is an application, programming language, and network
 architecture agnostic web server that focuses on web applications using
 modern browser technologies.
 .
 Mongrel2 supports 17 languages and platforms, HTTP, Flash sockets,
 WebSockets, Long Polling, and many ways to deploy and hack on it.
 .
 This package includes the infrastructure needed to setup a standalone
 web server. Starting mongrel2 at boot is done using runit.

Package: monit
Description-md5: 2230ee5609e2789db9ac60b0d3fbac89
Description-en: utility for monitoring and managing daemons or similar programs
 monit is a utility for monitoring and managing daemons or similar
 programs running on a Unix system. It will start specified programs
 if they are not running and restart programs not responding.
 .
 monit supports:
  * Daemon mode - poll programs at a specified interval
  * Monitoring modes - active, passive or manual
  * Start, stop and restart of programs
  * Group and manage groups of programs
  * Process dependency definition
  * Logging to syslog or own logfile
  * Configuration - comprehensive controlfile
  * Runtime and TCP/IP port checking (tcp and udp)
  * SSL support for port checking
  * Unix domain socket checking
  * Process status and process timeout
  * Process cpu usage
  * Process memory usage
  * Process zombie check
  * Check the systems load average
  * Check a file or directory timestamp
  * Alert, stop or restart a process based on its characteristics
  * MD5 checksum for programs started and stopped by monit
  * Alert notification for program timeout, restart, checksum, stop
    resource and timestamp error
  * Flexible and customizable email alert messages
  * Protocol verification. HTTP, FTP, SMTP, POP, IMAP, NNTP, SSH, DWP,
    LDAPv2 and LDAPv3
  * An http interface with optional SSL support to make monit
    accessible from a webbrowser

Package: monitoring-plugins
Description-md5: b40d74d84d0014181bbf82cd9cb4e665
Description-en: Plugins for nagios compatible monitoring systems (metapackage)
 Plugins for nagios compatible monitoring systems like Naemon and Icinga.
 .
 This metapackage will install the entire suite of plugins for nagios
 compatible monitoring systems.
 If you are installing monitoring plugins on a remote "satellite" server
 (using nagios-nrpe-server or nsca), you may be interested in the
 monitoring-plugins-basic package.

Package: monitoring-plugins-basic
Description-md5: 0ebf82c1421b961a4c00adda72f8022b
Description-en: Plugins for nagios compatible monitoring systems (basic)
 Plugins for nagios compatible monitoring systems like Naemon and Icinga. It
 contains the following plugins:
 .
  check_apt, check_by_ssh, check_clamd, check_cluster,
  check_dhcp, check_disk, check_dummy, check_file_age,
  check_ftp, check_host, check_http, check_icmp,
  check_ide_smart, check_imap, check_ircd, check_jabber,
  check_load, check_log, check_mrtg, check_mrtgtraf,
  check_nagios, check_nntp, check_nntps, check_nt,
  check_ntp, check_ntp_peer, check_ntp_time, check_nwstat,
  check_overcr,  check_ping, check_pop, check_procs,
  check_real, check_rta_multi, check_sensors, check_simap,
  check_smtp, check_spop, check_ssh, check_ssmtp,
  check_swap, check_tcp, check_time, check_udp,
  check_ups, check_users
 .
 This package provides a basic set of plugins with minimal external
 dependencies.  It is not likely to be useful by itself unless you are
 using a remote "satellite" system (using nagios-nrpe-server, check_by_ssh or
 nsca, for example).

Package: monitoring-plugins-btrfs
Description-md5: 609105561748bb3b2d35cd51f57229f6
Description-en: btrfs plugin for nagios compatible monitoring systems
 This nagios compatible monitoring plugin will check available space and error
 statistics of a btrfs filesystem.
 .
 Traditional space usage monitoring based on the output of df is not sufficient
 when using btrfs. This plugin takes allocated but unused disk space, and
 unallocatable disk space (for example when mixing different sized disks with
 raid profiles) into account. It is meant to be used as an addition to using a
 regular disk usage plugin, based on df output.
 .
 Additionally, the check keeps an eye on device error stats and will report if
 any of the counters (read errors, write errors, etc) of a device that is part
 of the filesystem is not zero.

Package: monitoring-plugins-common
Description-md5: 47e82c7672ca4e789fe190e2cfb690cd
Description-en: Common files for plugins for nagios compatible monitoring
 Common files for plugins for nagios compatible monitoring systems like Naemon
 and Icinga.
 .
 This package contains common files which maybe needed for plugins.

Package: monitoring-plugins-standard
Description-md5: 4b9521fc857dec46e8aa902c6f4c791d
Description-en: Plugins for nagios compatible monitoring systems (standard)
 Plugins for nagios compatible monitoring systems like Naemon and Icinga. It
 contains the following plugins:
 .
  check_breeze, check_dbi, check_dig, check_disk_smb, check_dns,
  check_flexlm, check_fping, check_game, check_hpjd, check_ifoperstatus,
  check_ifstatus, check_ldap, check_ldaps, check_mailq, check_mysql,
  check_mysql_query, check_oracle, check_pgsql, check_radius, check_rpc,
  check_snmp, check_wave
 .
 This package provides the suite of plugins that are most likely to be
 useful on a central monitoring host. Some scripts need more packages installed
 to work, which is implemented as recommends.

Package: monitorix
Description-md5: f2f3ca75531cada22c27e5529f28d33a
Description-en: lightweight system monitoring tool
 Monitorix is a lightweight tool that allow you to gather and display system
 metrics.
 .
 It consists mainly of two programs: a collector, called monitorix, which is a
 Perl daemon that is started automatically like any other system service, and a
 CGI script called monitorix.cgi. Monitorix includes its own HTTP server built
 in, so you aren't forced to install a third-party web server to use it.

Package: monkeysphere
Description-md5: 69747826fae9da41423a387347a2faea
Description-en: leverage the OpenPGP web of trust for SSH and TLS authentication
 SSH key-based authentication is tried-and-true, but it lacks a true
 Public Key Infrastructure for key certification, revocation and
 expiration.  Monkeysphere is a framework that uses the OpenPGP web of
 trust for these PKI functions.  It can be used in both directions:
 for users to get validated host keys, and for hosts to authenticate
 users.  Current monkeysphere SSH tools are designed to integrate
 with the OpenSSH implementation of the Secure Shell protocol.
 .
 Monkeysphere can also be used by a validation agent to validate TLS
 connections (e.g. https).

Package: mono-4.0-gac
Description-md5: c6f7de15f8bc49ae076247d65db4e420
Description-en: Mono GAC tool (for CLI 4.0)
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package includes a version of the GAC (Global Assembly Cache) tool
 (gacutil) used by Mono to store shared CIL (Common Intermediate Language)
 libraries, for CLI 4.0

Package: mono-4.0-service
Description-md5: 7e71e9ce32082b10f7f9108c3dffe525
Description-en: Mono service manager for CLI 4.0
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the mono-service manager, used to start and stop CLI
 4.0 services based on the System.ServiceProcess API.

Package: mono-addins-utils
Description-md5: 5968ad4996d70b07f5a6e3a27071106e
Description-en: Command-line utility for Mono.Addins management
 Mono.Addins is a framework for creating extensible CLI applications, and for
 creating libraries which extend those applications.
 Mono.Addins has been designed to be easy to use and useful for a wide range
 of applications: from simple applications with small extensibility needs, to
 complex applications which need support for large add-in structures.
 .
 This package contains a command-line utility to easily manipulate Mono.Addins
 registries provided by other software (including user-written applications).

Package: mono-apache-server
Description-md5: 62e988bd65be2390b3ec860ba8124188
Description-en: ASP.NET backend for mod_mono Apache module - default version
 mono-apache-server contains the backend for mod_mono. It lets you run ASP.NET
 web applications via Apache. mod_mono will call mono-apache-server to parse
 and compile the aspx code.
 .
 This package pulls in the default version of the Apache backend.

Package: mono-apache-server4
Description-md5: 43f6ba1c541fc4cdb694fe0dae15add8
Description-en: ASP.NET 4.5 backend for mod_mono Apache module
 mono-apache-server contains the backend for mod_mono. It lets you run ASP.NET
 web applications via Apache. mod_mono will call mono-apache-server to parse
 and compile the aspx code.
 .
 This package requires you to install libapache2-mod-mono for Apache 2.0.

Package: mono-basic-dbg
Description-md5: 363b48a151ac677cdd5984112412834f
Description-en: Mono Visual Basic, debugging symbols
 This package contains debugging symbols of the mono-vbnc and
 libmono-microsoft-visualbasic{8,10,11}.0-cil packages.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Novell.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: mono-basic-source
Description-md5: 9d826dc70f9b09ac63ba73b56ab76e82
Description-en: mono-basic source code
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Novell.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains an archive of the source code used to build the
 mono-basic packages in Debian.

Package: mono-complete
Description-md5: dacc30c6a4347277b44c68a99c998d5f
Description-en: complete Mono runtime, development tools and all libraries
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This is a metapackage and pulls in the Mono runtime, development tools and
 all libraries.
 .
 Install this package if you want to run software for Mono or Microsoft .NET
 which you are not installing from a Debian package.
 .
 For packagers: This package is not to be used as dependency for packages!
 You should build-depend on cli-common-dev and the needed libraries instead.

Package: mono-csharp-shell
Description-md5: 18c4878a8af999586fc3a3eec3c35ba1
Description-en: interactive C# shell
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the interactive C# shell named csharp.  csharp permits
 dynamically evaluating C# statements, and can be used for writing scripts or
 testing code fragments.
 For examples and a brief overview of the commands see:
 http://www.mono-project.com/CsharpRepl

Package: mono-dbg
Description-md5: 3a82d07419414cf23ff1d696c9f1db7e
Description-en: Mono debugging symbols
 This package contains the debugging symbols of various libmono-* and mono-*
 packages.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: mono-devel
Description-md5: 629b185238e84b344f23bbe244129c4e
Description-en: Mono development tools
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains various development tools and pulls in the default
 development stack for Mono (which is 4.0 currently).

Package: mono-fastcgi-server
Description-md5: 84faea20bbdfe8d05e327a1cd09931f6
Description-en: ASP.NET backend for FastCGI webservers - default version
 mono-fastcgi-server contains the backend for FastCGI. It lets you run
 ASP.NET web applications via HTTP servers such as Lighttpd or Apache.
 The FastCGI webserver should be configured to run fastcgi-mono-server to
 parse and compile the aspx code.
 .
 This package pulls in the default version of the FastCGI backend.

Package: mono-fastcgi-server4
Description-md5: c5d2a0b0bbae104a9df54ea6c9727e47
Description-en: ASP.NET 4.0 backend for FastCGI webservers
 mono-fastcgi-server contains the backend for FastCGI. It lets you run
 ASP.NET web applications via HTTP servers such as Lighttpd or Apache.
 The FastCGI webserver shouldbe configured to run fastcgi-mono-server to
 parse and compile the aspx code.
 .
 This package requires you to install any FastCGI webserver, such as
 Lighttpd or Apache (see libapache2-mod-fcgid).

Package: mono-fpm-server
Description-md5: 2b4efded9e899a83f190bc41e760a6b2
Description-en: ASP.NET backend for FastCGI Process Manager
 mono-fpm-server contains the backend for FPM. It lets you run ASP.NET
 web applications via HTTP servers such as Lighttpd or Apache.
 The FastCGI webserver shouldbe configured to run fastcgi-mono-server to
 parse and compile the aspx code.
 .
 This package requires you to install any FPM webserver, such as
 Lighttpd or Apache (see libapache2-mod-fastcgi).

Package: mono-gac
Description-md5: c2a755c0e15dc47388d889b42eb894b6
Description-en: Mono GAC tool
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package pulls in the default GAC (Global Assembly Cache) tool (gacutil)
 used by Mono to store shared CIL (Common Intermediate Language) libraries.

Package: mono-mcs
Description-md5: 3f1aba4e9956099bfa702d53c275ffad
Description-en: Mono C# 2.0 / 3.0 / 4.0 / 5.0  compiler for CLI 2.0 / 4.0 / 4.5
 This is the Mono C# (C-Sharp) 2.0, 3.0, 4.0 and 5.0 compiler,
 a platform-independent compiler which produces
 CIL (Common Intermediate Language) binary executables.
 .
 This compiler targets the CLI 2.0, 4.0 or 4.5 runtime version.
 .
 The mcs compiler supports different C# versions as follows.
 With C# 2.0 it supports:
  - generics
  - iterators (yield)
  - nullable value types
  - partial types
  - anonymous methods
  - static classes
  - coalesce operator: ??
 .
 With C# 3.0 it supports:
  - Language Integrated Query (LINQ)
  - object initializers
  - collection initializers
  - anonymous types
  - local variable type inference
  - implicitly-typed arrays
  - lambda expressions
  - automatic properties
  - extension methods
  - partial methods
 .
 With C# 4.0 it supports:
  - dynamic binding (duck typing)
  - named and optional arguments
  - covariant and contravariant generic type parameters
 .
 With C# 5.0 (which is the default) it supports:
  - asynchronous methods
  - caller info attributes (as of Mono 3.0 currently not supported!)
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: mono-profiler
Description-md5: 159eacac2b51ed6bf098019c9a2eb343
Description-en: Mono profiler
 This package contains utilities for profiling Mono CLI assemblies:
   - mprof-decoder
   - mprof-heap-viewer
   - emveepee

Package: mono-runtime
Description-md5: 0ef9550e0b708629302fd4b5f9bdeb39
Description-en: Mono runtime - default version
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Virtual Machine, JIT (Just-in-Time) and
 AOT (Ahead-of-Time) code generator "mono-sgen".
 mono-sgen executes applications for the CLI (Common Language Infrastructure).
 Mono currently only supports the X86, PowerPC, ARM, S/390x, AMD64 and
 MIPS architectures.
 .
 This package installs this architecture's default runtime version.

Package: mono-runtime-boehm
Description-md5: 74feada03d34e3c54c7fc03b376a7cde
Description-en: Mono runtime - Boehm
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Virtual Machine, JIT (Just-in-Time) and
 AOT (Ahead-of-Time) code generator "mono-sgen".
 mono-sgen executes applications for the CLI (Common Language Infrastructure).
 Mono currently only supports the X86, PowerPC, ARM, S/390x, AMD64 and
 MIPS architectures.
 .
 This package uses Boehm's conservative garbage collector.

Package: mono-runtime-common
Description-md5: 0048a533f5c0a7f5ad413ee658ccd4d4
Description-en: Mono runtime - common files
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains common files for the Virtual Machine, JIT (Just-in-Time) and
 AOT (Ahead-of-Time) code generator "mono".
 "mono" executes applications for the CLI (Common Language Infrastructure).
 Mono currently only supports the X86, PowerPC, ARM, S/390x, AMD64 and
 MIPS architectures. Optionally this package configures BINFMT support.

Package: mono-runtime-dbg
Description-md5: 0f5b756bd9c313fa705058f5c7b6d0a6
Description-en: Mono runtime, debugging symbols
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the debugging symbols of the Mono JIT/AOT compiler.

Package: mono-runtime-sgen
Description-md5: 5235eb83a7b861ac1e2aadac6b0f72f6
Description-en: Mono runtime - SGen
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains the Virtual Machine, JIT (Just-in-Time) and
 AOT (Ahead-of-Time) code generator "mono-sgen".
 mono-sgen executes applications for the CLI (Common Language Infrastructure).
 Mono currently only supports the X86, PowerPC, ARM, S/390x, AMD64 and
 MIPS architectures.
 .
 This package uses SGen which is Mono's new simple generational garbage
 collector.

Package: mono-source
Description-md5: 02c38f502ff806332f3481f2f7e86d5d
Description-en: Mono source code
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package contains an archive of the source code used to build the
 Mono packages in Debian.

Package: mono-tools-devel
Description-md5: f5c3ca9b2e91306bde7b7c6b853e639f
Description-en: Various development tools for mono
 This package contains various development tools for use with Mono:
  - create-native-map
  - minvoke

Package: mono-tools-gui
Description-md5: 856462674ba9600e11803ffd1504971e
Description-en: Various GUI tools for mono
 This package contains various GUI tools for use with Mono:
  - gasnview
  - ilcontrast
  - gui-compare
  - mperfmon
  - gsharp

Package: mono-upnp-bin
Description-md5: cd585ae0aa21315cae77dec66ad7ff94
Description-en: client/server libraries for UPnP -- executables
 Mono.Upnp is a set of client/server libraries for the Universal Plug 'n Play
 specification, which can be found at http://www.upnp.org.
 .
 Mono.Upnp includes the following projects:
  - Mono.Ssdp: An implementation of the Simple Discovery Protocol.
  - Mono.Upnp: An implementation of the UPnP Device Architecture 1.1, Sections
    2-6.
  - Mono.Upnp.GtkClient: An executable Gtk+ user interface for inspecting UPnP
    devices and services on the network.
  - Mono.Upnp.Dcp.MediaServer1: An implementation of the UPnP Audio/Video
    MediaServer1 Device Control Protocol.
  - Mono.Upnp.Dcp.MediaServer1.FileSystem: A MediaServer1 implementation which
    serves media from the filesystem.
  - Mono.Upnp.Dcp.MediaServer1.FileSystem.ConsoleServer: An executable console
    program which serves media from the filesystem.
  - Mono.Upnp.Dcp.MSMediaServerRegistrar1: An implementation of the Microsoft
    MSMediaServerRegistrar1 Device Control Protocol.
 .
 This package contains a sample Gtk+ user interface for inspecting UPnP devices
 and services on the network, as well as a sample media server.

Package: mono-utils
Description-md5: 18083e2952c8dd8c2682acaf34203df1
Description-en: Mono utilities
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 This package includes various tools useful for CLI developers, like
 pedump, monodis and monograph.

Package: mono-vbnc
Description-md5: 5aff4a0ce6fb601de34d161bc6cf505c
Description-en: Mono Visual Basic Compiler (VB.NET)
 This is the Mono Visual Basic.NET Compiler (vbnc), aimed at the specifications
 / features of the Visual Basic 2005 compiler.
 A platform-independent compiler which produces executable CIL (Common
 Intermediate Language) binaries.
 This compiler targets the CLI (Common Language Infrastructure) 4.5 runtime
 version.
 .
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Novell.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.

Package: mono-xbuild
Description-md5: 1a8d1c1ca7c8807496789b97878cf6c9
Description-en: MSBuild-compatible build system for Mono
 Mono is a platform for running and developing applications based on the
 ECMA/ISO Standards. Mono is an open source effort led by Xamarin.
 Mono provides a complete CLR (Common Language Runtime) including compiler and
 runtime, which can produce and execute CIL (Common Intermediate Language)
 bytecode (aka assemblies), and a class library.
 .
 xbuild is Mono's implementation of msbuild and allows projects that have a
 msbuild file to be compiled natively on Linux.
 .
 Microsoft Build (msbuild) is a build system developed by Microsoft similar in
 spirit to Nant (in that it uses XML files for describing the build process)
 and in the same spirit as make.
 .
 http://www.mono-project.com/Microsoft.Build

Package: mono-xsp
Description-md5: 183642a5ce93b7cc7351555a3487996e
Description-en: simple web server to run ASP.NET applications - default version
 XSP is a simple web server written in C# that can be used to run your
 ASP.NET applications.
 .
 This is a metapackage to pull in the standalone ASP.NET web server.
 If you want to run ASP.NET applications with Apache, look at
 mono-apache-server.

Package: mono-xsp4
Description-md5: c9d8af6079da836c08a9cce5e865130a
Description-en: simple web server to run ASP.NET 4.0 applications
 XSP is a simple web server written in C# that can be used to run your
 ASP.NET 4.0 applications.
 .
 This is the standalone ASP.NET web server. If you want to run ASP.NET
 applications with Apache, look at mono-apache-server.

Package: mono-xsp4-base
Description-md5: 505d80b275e80ce4163d54579a6152e5
Description-en: base libraries for XSP 4.0
 This is the core libraries needed to install the XSP4 application,
 either through the standalone XSP version (mono-xsp4) or the Apache module
 (mono-apache-server4).

Package: monobristol
Description-md5: 901f23ce4229d2b42f74c3bd7e48181d
Description-en: simple GUI for Bristol
 monobristol provides a simple GUI for Bristol.
 .
 Bristol is synth emulation package for a diverse range of vintage
 synthesizers, electric pianos and organs.
 The application consists of a multithreaded audio synthesizer and a user
 interface called brighton.

Package: monodoc-appindicator3-0.1-manual
Description-md5: 2febb7bed9ba3130d0465399fdba213c
Description-en: compiled XML documentation for Appindicator3#
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for Appindicator3# and
 MonoDoc.

Package: monodoc-base
Description-md5: 538bae4502d2e025e6e74644ab0b3e8d
Description-en: shared MonoDoc binaries
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the shared binaries which are used by the monodoc
 programs like the documentation file compiler (assembler.exe aka "monodoc").

Package: monodoc-db4o-manual
Description-md5: cb13780218426e5cdfd51488e1755aa8
Description-en: compiled XML documentation for db4o
 db4o is the open source object database that enables Java and .NET developers
 to store and retrieve any application object with only one line of code,
 eliminating the need to predefine or maintain a separate, rigid data model.
 .
 This package contains the compiled XML documentation for db4o.

Package: monodoc-gdata-manual
Description-md5: 0724cb8fbc75a0b0253b5a8efd197f4c
Description-en: compiled XML documentation for GData
 GData (Google data) is a set of Application Programming Interfaces (APIs) for
 the Common Language Infrastructure (CLI) which provide a simple protocol for
 reading and writing data on the web.
 .
 Each of the following Google services provides a Google data API:
  * Base
  * Blogger
  * Calendar
  * Code Search
  * Contacts
  * Document List
  * Google Apps Provisioning
  * Notebook
  * Picasa Web Albums
  * Spreadsheets
  * YouTube
 .
 This package contains the compiled XML documentation for GData

Package: monodoc-gkeyfile-manual
Description-md5: 38434d1233c440f42b6012fff254f658
Description-en: compiled XML documentation for gkeyfile-sharp
 gkeyfile-sharp is a set of CLI bindings for the GKeyFile GObject class found in
 GLib. GKeyFile is a class that is used for parsing, editing, and creating key
 files, which are .ini like configuration files.
 .
 This package contains the compiled XML documentation for gkeyfile-sharp.

Package: monodoc-gmime2.6-manual
Description-md5: 1a6d26c1ada6a03db2d4eb1b5bbdd1eb
Description-en: transitional dummy package for GMime documentation (old 2.6 version)
 GMime provides a core library and set of utilities which may be used for the
 creation and parsing of messages using the Multipurpose Internet Mail
 Extension (MIME).
 .
 This is a dummy transitional package, and the actual documentation is
 shipped in libgmime-2.6-doc.
 .
 Additionally, gmime version 2.6 is deprecated.  Users should move to
 gmime 3.0 (and libgmime-3.0-doc) instead.

Package: monodoc-gtk2.0-manual
Description-md5: edaa0fe645b8e76098e2f58bb339af8c
Description-en: compiled XML documentation for GTK# 2.10
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for GTK# 2.10 and
 GNOME# 2.16 of MonoDoc.

Package: monodoc-gtk3.0-manual
Description-md5: 32a09cde7aecbfc6d9c8132d1268fe20
Description-en: compiled XML documentation for GTK# 3.0
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for GTK# 3.0 and
 GNOME# 2.16 of MonoDoc.

Package: monodoc-gudev-manual
Description-md5: 9e6a9bc3db68362b8ceb3d05e92c344e
Description-en: compiled XML documentation for gudev-sharp
 gudev-sharp is a set of CLI bindings for libgudev, which is a GObject-based
 wrapper library for libudev.
 .
 This package contains the compiled XML documentation for gudev-sharp.

Package: monodoc-hexbox-manual
Description-md5: 5d884472594090e2de671995383ee6fc
Description-en: Hex Edit Control for .NET developers - docs
 Be.HexEditor contains a reusable control called HexBox, that you can use in
 your application. It's written in C# and drawn by using GDI+ technology.
 .
 This package contains the compiled XML documentation for hexbox.

Package: monodoc-http
Description-md5: a36be8359e4a07d67ce37be45ffafc33
Description-en: MonoDoc http based viewer
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains ASP.NET scripts which allow viewing the Mono
 documentation via an ASP.NET enabled webserver with a standard http
 webbrowser.

Package: monodoc-hyena-manual
Description-md5: 3349e46a9b1171692f0d5001653f967e
Description-en: compiled XML documentation for Hyena
 Hyena is a set of utility Application Programing Interfaces (APIs) for the
 Common Language Infrastructure (CLI), including both graphical and
 non-graphical components.
 .
 Among the included namespaces in Hyena are:
  * Hyena
  * Hyena.Collections
  * Hyena.CommandLine
  * Hyena.Data
  * Hyena.Jobs
  * Hyena.Json
  * Hyena.Query
  * Hyena.SExpEngine
  * Hyena.Data.Sqlite
  * Hyena.Data.Gui
  * Hyena.Gui
  * Hyena.Gui.Dialogs
  * Hyena.Gui.Theatrics
  * Hyena.Gui.Theming
  * Hyena.Query.Gui
  * Hyena.Widgets
 .
 This package contains the compiled XML documentation for Hyena

Package: monodoc-manual
Description-md5: 89fc8e2379a34f68629a43eb55f18ca3
Description-en: compiled XML documentation from the Mono project
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation of MonoDoc.

Package: monodoc-mono-fuse-manual
Description-md5: 0ed1f67e5313862862c21c3c8f426237
Description-en: compiled XML documentation for mono-fuse
 Mono.Fuse is a binding for the FUSE library, permitting user-space
 file systems to be written in C# or other CLI based / enabled
 languages.
 .
 This package contains the compiled XML documentation for mono-fuse

Package: monodoc-mono-upnp-manual
Description-md5: 16338c86dd39402b12e1d9c733b98341
Description-en: Compiled XML documentation for mono-upnp
 Mono.Upnp is a set of client/server libraries for the Universal Plug 'n Play
 specification, which can be found at http://www.upnp.org.
 .
 Mono.Upnp includes the following projects:
  - Mono.Ssdp: An implementation of the Simple Discovery Protocol.
  - Mono.Upnp: An implementation of the UPnP Device Architecture 1.1, Sections
    2-6.
  - Mono.Upnp.GtkClient: An executable Gtk+ user interface for inspecting UPnP
    devices and services on the network.
  - Mono.Upnp.Dcp.MediaServer1: An implementation of the UPnP Audio/Video
    MediaServer1 Device Control Protocol.
  - Mono.Upnp.Dcp.MediaServer1.FileSystem: A MediaServer1 implementation which
    serves media from the filesystem.
  - Mono.Upnp.Dcp.MediaServer1.FileSystem.ConsoleServer: An executable console
    program which serves media from the filesystem.
  - Mono.Upnp.Dcp.MSMediaServerRegistrar1: An implementation of the Microsoft
    MSMediaServerRegistrar1 Device Control Protocol.
 .
 This package contains the compiled XML documentation for Mono.Upnp.

Package: monodoc-mono-zeroconf-manual
Description-md5: c4b85b4ba344706284b3ce8a7af6dd0b
Description-en: compiled XML documentation for mono-zeroconf
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This packages contains the compiled XML documentation for
 mono-zeroconf of MonoDoc.

Package: monodoc-newtonsoft-json-manual
Description-md5: aa5291bd26fca790dcb71ee58f160271
Description-en: compiled XML documentation for Json.NET
 Json.NET is a high-performance JSON framework for .NET. It has the following
 features:
  - Flexible JSON serializer for converting between .NET objects and JSON
  - LINQ to JSON for manually reading and writing JSON
  - High performance, faster than .NET's build-in JSON serializers
  - Write indented, easy to read JSON
  - Convert JSON to and from XML
 .
 The JSON serializer is a good choice when the JSN being read or written maps
 closely to a .NET class.
 .
 LINQ to JSON is good for situations in which there is no class to serialize or
 deserialize to, or the JSON is radically different from the class structure and
 manual manipulation is required.
 .
 This package contains the compiled XML documentation for Json.NET.

Package: monodoc-notify-sharp-manual
Description-md5: 8b9e0cfaa1b332630ddeb64643064281
Description-en: compiled XML documentation for notify-sharp
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for
 notify-sharp of MonoDoc.

Package: monodoc-notify3.0-manual
Description-md5: 9ce823c6b221a485e5f6560b305d0926
Description-en: compiled XML documentation for notify-sharp
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for MonoDoc of
 notify-sharp 3.0.

Package: monodoc-nunit-manual
Description-md5: bdfa24e00fd8b32439c112043b93d957
Description-en: compiled XML documentation for NUnit - monodoc manual
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for NUnit.

Package: monodoc-opentk-manual
Description-md5: dfdfa018098d5c733b46f1e479a905d4
Description-en: Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Docs
 The Open Toolkit is an advanced, low-level C# library that wraps OpenGL,
 OpenCL and OpenAL. It is suitable for games, scientific applications and
 any other project that requires 3d graphics, audio or compute functionality.
 .
 This package contains the compiled XML documentation for OpenTK.

Package: monodoc-poppler-manual
Description-md5: 3eabb3a300938335c6b994f4ba0ccb7d
Description-en: compiled XML documentation for Poppler Sharp
 Poppler is a PDF rendering library based on the xpdf PDF viewer.
 .
 This package contains the compiled XML documentation for Poppler Sharp.

Package: monodoc-soup2.4-manual
Description-md5: a8b5ea17ffd7d2532e34c99c88b53b21
Description-en: compiled XML documentation for Soup# 2.4
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for Soup# 2.4 of MonoDoc.

Package: monodoc-taglib-manual
Description-md5: 9fc174b92204d9fa6327518bbe3877c2
Description-en: compiled XML documentation for taglib-sharp
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 TagLib# is a CLI library used to read and manipulate metadata
 in different audio and video file formats.
 .
 This package contains the compiled XML documentation for taglib-sharp.

Package: monodoc-taoframework-manual
Description-md5: 9a177fd0d4139f87f335a42ca8808e37
Description-en: compiled XML documentation for all the Tao libraries
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for Tao.

Package: monodoc-webkit2-sharp-4.0-manual
Description-md5: 0b00c00a0a5b273b1a5b91dec738ab75
Description-en: compiled XML documentation for WebKit# 4.0
 The MonoDoc Project is the documentation framework of the Mono project which
 provides detailed API documentation for all Mono components and the Mono CLI
 implementation.
 .
 This package contains the compiled XML documentation for WebKit# 4.0.

Package: monodoc-zeitgeist-manual
Description-md5: 3988012a844c37569924096f10557d6b
Description-en: compiled XML documentation for zeitgeist-sharp
 zeitgeist-sharp is a managed C# wrapper of the Zeitgeist DBus API.
 It exposes Log (Querying the events) , Blacklist, Monitor and
 DataSources.
 .
 This package contains the compiled XML documentation for zeitgeist-sharp.

Package: monopd
Description-md5: 3f210f59d68fe320e97d62383358ca9b
Description-en: Monopoly game network server
 monopd is a dedicated game server daemon for playing Monopoly-like
 board games. Clients such as gtkAtlantic connect to the server,
 which manages the game. Note that you do not need to install
 this server unless you want to use one of the clients offline, or
 play custom game types.
 .
 Currently supported board games are Monopoly(R) and Atlantic, a
 variation on Monopoly(R).

Package: monotone-viz
Description-md5: d8d5de10be14b3e8ab30bbb705257f0b
Description-en: visualize a monotone repository
 Monotone-viz is a small GTK+ application that visualizes monotone
 ancestry graphs. Monotone is a free distributed version control
 system. Montone-viz is developed in the Objective Caml language,
 using the GTK+ and libgnomecanvas libraries (via LablGTK, an OCaml
 binding for GTK+), and it uses the dot program from the Graphviz
 package.

Package: monster-masher
Description-md5: 1972e813862184284a32ce59a2edcbf6
Description-en: GPL'ed mash'em-up action game for GNOME
 Monster Masher is a GPL'ed mash'em-up action game for GNOME. Each
 level contains a number of blocks and monsters. You're a little gnome
 running around. By pushing the blocks you can mash the monsters one at
 a time. There are various power-ups and different kinds of monsters.

Package: monsterz
Description-md5: 700248a49145f9632dd5a45854b9ccfe
Description-en: arcade puzzle game
 Monsterz is similar to the Bejeweled, Zookeeper or Zooo games.
 The goal is to swap adjacent tiles to create alignments,
 causing chain reactions to earn more points.

Package: monsterz-data
Description-md5: 80f95a451f25bd9785e3d0ae849e6161
Description-en: graphics and audio data for monsterz
 Monsterz is an arcade puzzle game, similar to the Bejeweled, Zookeeper
 or Zooo games. The goal is to swap adjacent tiles to create alignments,
 causing chain reactions to earn more points.
 .
 This package contains the architecture-independent data for monsterz. For
 more information, see the monsterz package.

Package: montage
Description-md5: 5a78546400b91c055b78d298340e2f1b
Description-en: Toolkit for assembling FITS images into mosaics
 Montage is a toolkit for assembling astronomical images into custom mosaics.
 .
 It uses algorithms that preserve the calibration and positional (astrometric)
 fidelity of the input images to deliver mosaics that meet user-specified
 parameters of projection, coordinates, and spatial scale. It supports all
 projections and coordinate systems in use in astronomy.
 .
 It contains independent modules for analyzing the geometry of images on the
 sky, and for creating and managing mosaics; these modules are powerful tools
 in their own right and have applicability outside mosaic production, in areas
 such as data validation.

Package: montage-gridtools
Description-md5: 8d5a48460426ab994747809d1e9ce53a
Description-en: Create files to run montage on the grid
 Montage is a toolkit for assembling astronomical images into custom mosaics.
 .
 This package contains modules that can generate a Directed Acyclic Graph
 (DAG) representation of the mosaicking process for a set of on-line surveys
 (e.g., 2MASS). This information is fed to the Pegasus software from ISI (the
 Information Sciences Institute), which produces processing plans for Condor
 (or Condor-G on the Teragrid).
 .
 These modules should be considered prototypes: they have been used
 extensively but have not been subject to the same rigorous testing to which
 the core modules have been subjected. Users should contact Montage
 (montage@ipac.caltech.edu) before employing them to verify limitations in
 their use and changes in the interfaces.

Package: montecarlo-base
Description-md5: e58a6a60e3d4faaa8355981ee143077b
Description-en: [Physics] Common files for CERNLIB Monte Carlo libraries
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package contains common files for CERNLIB Monte Carlo libraries,
 including a README.Debian file that explains how to obtain the libraries
 of Ariadne, Fritiof, Jetset, Lepto, and Pythia.

Package: montecarlo-data
Description-md5: 8baf51c05ff37c20bdca9ecf2f2fc0a5
Description-en: [Physics] data for CERNLIB Monte Carlo libraries
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package provides data files for three Monte Carlo frameworks: COJETS,
 Eurodec, and ISAJET.  Although these data files are not strictly required
 (programs based on these frameworks may use locally supplied data instead),
 most people using one of these Monte Carlo generators will probably want
 to install this package.
 .
 The paths to the data files typically must be supplied to programs that are
 written to use these frameworks, either in the source code or interactively
 at runtime.  This package places the data files cojets.dat, eurodec.dat and
 isajet.dat into the directory /usr/share/montecarlo-data.

Package: monteverdi
Description-md5: cf2a270f31f77e6aa6d509a77d023852
Description-en: ORFEO Toolbox image processing GUI
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the monteverdi and mapla graphical applications.

Package: moon-buggy
Description-md5: d35d17b058e672a02f5fbf3fc2472d5d
Description-en: Drive a car across the moon
 Moon-buggy is a simple character graphics game, where you drive some
 kind of car across the moon's surface.  Unfortunately there are
 dangerous craters there.  Fortunately your car can jump over them!

Package: moon-lander
Description-md5: 8512fa05a3b92a5263e6ee822bf8eeab
Description-en: game based on the classic moon lander
 Moonlander is a fun and enjoyable modern graphical version (with
 sound) of the classic moonlander game.  The objective is to land
 your rocketship safely using a limited amount of fuel while
 fighting gravity.

Package: moon-lander-data
Description-md5: df4c246a2b0d7856620483907ae5368c
Description-en: Data files (sound, images) for moon-lander
 Moonlander is a fun and enjoyable modern graphical version (with
 sound) of the classic moonlander game.  The objective is to land
 your rocketship safely using a limited amount of fuel while
 fighting gravity.
 .
 This package contains only the data files.  Install the moon-lander
 package if you want to play the game.

Package: moonshot-gss-eap
Description-md5: 53e664206e4096c9a56682086dcee369
Description-en: Moonshot Federated Authentication - authentication mechanism
 Project moonshot provides federated authentication using EAP, RADIUS
 and SAML to applications beyond the web platform. This package
 contains the client and server plugins to perform authentication.

Package: moonshot-gss-eap-dbg
Description-md5: 83d1f7aea5d1d8e6bf51b9c7acf55126
Description-en: Moonshot Federated Authentication - debugging Symbols
 Project moonshot provides federated authentication using EAP, RADIUS
 and SAML to applications beyond the web platform. This package
 contains debugging symbols.

Package: moonshot-trust-router
Description-md5: 470f48c6b67f538c2e9fd7a8d7138c6e
Description-en: Moonshot Trust Router server
 Moonshot allows services using GSS-API applications to gain federated
 access to identities provided by other organizations.  Moonshot uses
 EAP and RADIUS over TLS for authentication and federation and SAML
 for enhanced attribute exchange.
 .
 This package provides the trust router and associated commands.  The
 trust router provides authenticated key exchange so that RADIUS
 proxies in one organization can connect to RADIUS servers (providing
 identities) in another organization.

Package: moonshot-trust-router-dbg
Description-md5: 7312dbfdbdabd6155c88c40b37549203
Description-en: Trust Router Debugging Symbols
 This package includes debugging symbols for trust router libraries
 and binaries.

Package: moonshot-trust-router-dev
Description-md5: 82c31a8f1a05de72643d5b0db3165bef
Description-en: Development environment for the Trust Router
 Moonshot allows services using GSS-API applications to gain federated
 access to identities provided by other organizations.  Moonshot uses
 EAP and RADIUS over TLS for authentication and federation and SAML
 for enhanced attribute exchange.
 .
 This package provides the trust router development environment.  The
 trust router provides authenticated key exchange so that RADIUS
 proxies in one organization can connect to RADIUS servers (providing
 identities) in another organization.

Package: moonshot-ui
Description-md5: 45913b038df055fb18ad3cd03c883d29
Description-en: Moonshot Identity Selector
 Project moonshot provides federated authentication using EAP, RADIUS
 and SAML to applications beyond the web platform.
 This package provides a service to manage the local identity store
 and to select which identity is used with a given service.

Package: moonshot-ui-dev
Description-md5: 1e363c664a55105090447fe9e767bf49
Description-en: Moonshot Identity Development Files
 Project moonshot provides federated authentication using EAP, RADIUS
 and SAML to applications beyond the web platform.

Package: moosic
Description-md5: ee004e524314efacc93e3f2ef15bfa8f
Description-en: Daemon/client combo to easily queue music files for playing
 Moosic is a music player that focuses on easy playlist management. It consists
 of a server process that maintains a queue of music files to play and a client
 program which sends commands to the server. The server continually runs through
 its playlist, popping items off the top of the list and playing each with an
 external program. The client is a simple command-line utility which allows you
 to perform powerful operations upon the server's queue, including the addition
 of whole directory trees, automatic shuffling, and item removal according to
 regular expressions. The server comes configured to play MP3, Ogg, MIDI, MOD,
 and WAV files.

Package: mopac7-bin
Description-md5: 4851a4dbba2aee29e7d0c35716f2acb5
Description-en: Semi-empirical Quantum Chemistry Library (binaries)
 MOPAC provides routines to solve the electronic structure of molecules
 on a semi-empirical level. Available methods include MNDO, MINDO/3, AM1
 and PM3.
 .
 This package contains the MOPAC7 binaries.

Package: mopidy
Description-md5: 72560d4cd04b5a15f8be0cfe54877dc3
Description-en: extensible music server
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 Vanilla Mopidy only plays music from files and radio streams. Through
 extensions, Mopidy can play music from cloud services like Spotify,
 SoundCloud, and Google Play Music.

Package: mopidy-alsamixer
Description-md5: 75201ed0448f572a3c295cedc0b10ef2
Description-en: Mopidy extension for volume control via ALSA
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for controlling volume through ALSA.

Package: mopidy-beets
Description-md5: ac1b5887a1899b8ed40181ee5e281a40
Description-en: Mopidy extension for playing music from Beets' web plugin
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for playing music from the web
 plugin of the Beets music library organizer.

Package: mopidy-dleyna
Description-md5: 4f5686f0cfe34ce2d4bd0a7fe9531017
Description-en: Mopidy extension for playing music from Digital Media Servers
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for playing music from DLNA Digital
 Media Servers using the dLeyna D-Bus interface.

Package: mopidy-doc
Description-md5: dfb1921e3895223c380e9ca5eff19d0e
Description-en: extensible music server - documentation
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides the documentation for Mopidy.

Package: mopidy-gmusic
Description-md5: 9581f9d0267cd0c6437ab9e03866cd60
Description-en: Mopidy extension for playing music from Google Play Music
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for playing music from Google Play
 Music, either music you've uploaded to the library, or music available through
 a paid subscription.

Package: mopidy-internetarchive
Description-md5: 2fa52e20fcd15b0504442a2ef7f61453
Description-en: Mopidy extension for playing music from the Internet Archive
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for playing music from the Internet
 Archive.

Package: mopidy-local
Description-md5: d007068a391d70177e37fd274eac7234
Description-en: Mopidy extension for playing music from your local music archive
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension extension for playing music from your
 local music archive. Mopidy-Local builds an index of your archive's metadata
 ahead of time, and can thus provide features like search.

Package: mopidy-mpd
Description-md5: cc59e4c9fedbb0f6abbfd0777bd86c64
Description-en: Mopidy extension for controlling Mopidy from MPD clients
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for controlling Mopidy from MPD clients.

Package: mopidy-mpris
Description-md5: e9b5469672551dc73d38ce5ec60f9f32
Description-en: Mopidy extension for controlling playback through MPRIS
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for controlling playback through the
 MPRIS D-Bus interface.

Package: mopidy-podcast
Description-md5: 40ca29aabc7881376bd0ce3a9f3f1d9c
Description-en: Mopidy extension for searching and browsing podcasts
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This packages provides a Mopidy extension that lets you browse podcasts
 distributed as RSS feeds and play individual episodes in a variety of audio
 formats. Podcasts are mapped to albums, while podcast episodes are shown as
 tracks in Mopidy, with metadata converted to Mopidy's native data model where
 applicable. OPML 2.0 subscription lists and directories are also supported for
 multi-level browsing.

Package: mopidy-podcast-itunes
Description-md5: 6a130c60a855e967fa13990db428febe
Description-en: Mopidy extension for searching and browsing iTunes podcasts
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for searching and browsing podcasts
 on Apple iTunes Store.

Package: mopidy-scrobbler
Description-md5: 8f0b2fcfcea7a9b9e48150334963b24f
Description-en: Mopidy extension for scrobbling music to Last.fm
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for scrobbling metadata about music
 you've played with Mopidy to the Last.fm service. A free Last.fm account is
 required to make use of this package.

Package: mopidy-somafm
Description-md5: b6bb55788a0fcbb4eaf1be138869126d
Description-en: Mopidy extension for playing music from SomaFM
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for playing music from the SomaFM
 music streaming service.

Package: mopidy-soundcloud
Description-md5: c0dd6d9f1286d0d61b8511ec7c74bb10
Description-en: Mopidy extension for playing music from SoundCloud
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for playing music from the SoundCloud
 music streaming service. A free SoundCloud user account is required to make
 use of this package.

Package: mopidy-tunein
Description-md5: 0a0870d56ae1a5c11b66a02bf0047b02
Description-en: Mopidy extension for playing music from TuneIn
 Mopidy plays music from local disk, Spotify, SoundCloud, Google Play Music,
 and more. You can edit the playlist from any phone, tablet, or computer using
 a variety of MPD and web clients.
 .
 This package provides a Mopidy extension for playing music from TuneIn's
 directory of thousands of radio stations from all over the world.

Package: morbig
Description-md5: d445cb4fca8c723edd463f1f00188399
Description-en: Parser for POSIX shell scripts
 Morbig is a parser for shell scripts that are written in the POSIX
 shell script language. It parses the scripts statically, that is
 without executing them, and constructs a concrete syntax tree for
 each of them.  The concrete syntax trees are built using constructors
 according to the shell grammar of the POSIX standard.
 .
 This package contains the parser tool which allows you to parse shell
 scripts, and to obtain their concrete syntax tree in various formats.

Package: moreutils
Description-md5: fe92b00292d03dc58e79fc3733a6db2b
Description-en: additional Unix utilities
 This is a growing collection of the Unix tools that nobody thought
 to write long ago, when Unix was young.
 .
 So far, it includes the following utilities:
  - chronic: runs a command quietly unless it fails
  - combine: combine the lines in two files using boolean operations
  - errno: look up errno names and descriptions
  - ifdata: get network interface info without parsing ifconfig output
  - ifne: run a program if the standard input is not empty
  - isutf8: check if a file or standard input is utf-8
  - lckdo: execute a program with a lock held
  - mispipe: pipe two commands, returning the exit status of the first
  - parallel: run multiple jobs at once
  - pee: tee standard input to pipes
  - sponge: soak up standard input and write to a file
  - ts: timestamp standard input
  - vidir: edit a directory in your text editor
  - vipe: insert a text editor into a pipe
  - zrun: automatically uncompress arguments to command

Package: moria
Description-md5: 7c5fa65224c6635c97c8a437efe4cd53
Description-en: Rogue-like game with an infinite dungeon, also known as umoria
 A single player roguelike game with a regenerating dungeon, moria is the
 predecessor of angband with a full-screen, text-based, turn-based
 interface.  It features scrolling maps, and an infinite (constantly
 regenerated) dungeon.
 .
 Moria's dungeons are populated by monsters, some of which are inspired by
 J.R.R. Tolkien's books.  The goal of the game is to find and kill the
 Balrog, whereupon the player is crowned King.  Your player can be created
 from a combination of 8 races (human, half-elf, elf, halfling, gnome,
 dwarf, half-orc, half-troll) and 6 classes (warrior, mage, priest, rogue,
 ranger, paladin), and is measured by 6 attributes (strength, dexterity,
 intelligence, wisdom, constitution, and charisma).
 .
 Despite the package name, this is actually Umoria (Unix moria).

Package: morla
Description-md5: 77c575c4e246a5d213bb848db13ccb8b
Description-en: GTK+ RDF editor
 With Morla you can manage more RDF documents simultaneously, visualize
 graphs, use templates for quick writing and exec SPARQL/RDQL queries.
 .
 You can import RDFS documents and use their content to write new RDF
 triples. Templates are also RDF documents, and they make Morla easily
 customizable and expandable. You can embed Javascript code in your
 templates so you can validate and change user inputs.
 .
 Morla is also a modular software so you can add functionality to the
 save, open and view procedures.
 .
 You can also use Morla as an RDF navigator, wandering among the net
 knots of the RDF documents present on internet exactly as we are used
 to do with web browsers.

Package: morris
Description-md5: 9e47064f58054632e549d0c425631bde
Description-en: Nine men's morris game
 Morris is an implementation of the board game "Nine Men's Morris".
 Sometimes simply called Mills, Morris, Merrills, or Mühle in German.
 This implementation supports not only the standard game, but also
 several rule-variants and different boards. The game supports a
 strong computer player which learns from past games played.

Package: morse
Description-md5: 12de56d0f5206de9b9c14e03f4824f1a
Description-en: training program about morse-code for aspiring radio hams
 It can generate random tests or simulated QSOs resembling those
 used in the ARRL test (a QSO generator is included). There are a
 plethora of options to vary the training method. In one of the simpler
 modes, this program will take text from standard input and render it
 as Morse-code beeps.

Package: morse-simulator
Description-md5: 791edf0d15f75343ef6ae87bd441f290
Description-en: Multi-OpenRobot Simulation Engine
 List of morse features:
  * Versatile simulator for generic mobile robots simulation
    (single or multi robots),
  * Realistic and dynamic environments (interaction with other agents like
    humans or objects),
  * Based on well known and widely adopted open source projects (Blender for 3D
    rendering + UI, Bullet for physics simulation, dedicated robotic
    middlewares for communications + robot hardware support),
  * Seamless workflow: since the simulator rely on Blender for both modeling
    and the real time 3D engine, creating and modifying a simulated scene is
    straightforward.
  * Entirely scriptable in Python,
  * Adaptable to various level of simulation realism (for instance the
    simulation of exteroceptive sensors like cameras or a direct access to
    higher level representations of the world, like labeled artifacts),
  * Currently compatible with ROS, YARP and the LAAS OpenRobots robotics
    frameworks,
  * Easy to integrate to other environments via a simple socket interface,
  * Fully open source, BSD license.

Package: morse-simulator-data
Description-md5: 356251851464957afd1067dd70427cc6
Description-en: Multi-OpenRobot Simulation Engine
 List of morse features:
  * Versatile simulator for generic mobile robots simulation
    (single or multi robots),
  * Realistic and dynamic environments (interaction with other agents like
    humans or objects),
  * Based on well known and widely adopted open source projects (Blender for 3D
    rendering + UI, Bullet for physics simulation, dedicated robotic
    middlewares for communications + robot hardware support),
  * Seamless workflow: since the simulator rely on Blender for both modeling
    and the real time 3D engine, creating and modifying a simulated scene is
    straightforward.
  * Entirely scriptable in Python,
  * Adaptable to various level of simulation realism (for instance the
    simulation of exteroceptive sensors like cameras or a direct access to
    higher level representations of the world, like labeled artifacts),
  * Currently compatible with ROS, YARP and the LAAS OpenRobots robotics
    frameworks,
  * Easy to integrate to other environments via a simple socket interface,
  * Fully open source, BSD license.
 .
 This package contains morse data.

Package: morse-simulator-doc
Description-md5: 36963b1fd89f54bf7ddb4238203c873d
Description-en: Multi-OpenRobot Simulation Engine - Documentation
 List of morse features:
  * Versatile simulator for generic mobile robots simulation
    (single or multi robots),
  * Realistic and dynamic environments (interaction with other agents like
    humans or objects),
  * Based on well known and widely adopted open source projects (Blender for 3D
    rendering + UI, Bullet for physics simulation, dedicated robotic
    middlewares for communications + robot hardware support),
  * Seamless workflow: since the simulator rely on Blender for both modeling
    and the real time 3D engine, creating and modifying a simulated scene is
    straightforward.
  * Entirely scriptable in Python,
  * Adaptable to various level of simulation realism (for instance the
    simulation of exteroceptive sensors like cameras or a direct access to
    higher level representations of the world, like labeled artifacts),
  * Currently compatible with ROS, YARP and the LAAS OpenRobots robotics
    frameworks,
  * Easy to integrate to other environments via a simple socket interface,
  * Fully open source, BSD license.
 .
 This package contains the documentation.

Package: morse-x
Description-md5: e32224ce067eea97ad0c41768c0b8866
Description-en: morse "practicing" tool for X
 morse-x offers you a window to put dits and daws into - by pressing any
 key (except q = quit). On the console it prints each character you morsed
 in. (So start it in an x-terminal-emulator.) On the first execution you
 have to calibrate the length of dits and daws, so just follow the
 instructions on the console.

Package: morse2ascii
Description-md5: 1ab3745fd5fb4c7bd486b02d37785be1
Description-en: tool for decoding the morse codes from a PCM WAV file
 This tool employs a volume/peak based method to decode the morse codes
 from a PCM WAV file as well as from text and RAW PCM files.
 .
 It contains some options for parsing abbreviations, prosigns and qcodes.

Package: morsegen
Description-md5: 72563aae1a1e7023d6fd3e2dd61f9f5c
Description-en: convert text file to ASCII morse code
 Morse code was created for the telegraph in the early 1840s. Morse
 code uses a standardized sequence of short and long elements to
 represent the letters, numerals, punctuation and special characters
 of a given message.
 .
 This program implements the International Morse Code Standard by
 converting ASCII text letters into morse code ASCII notation.

Package: morty
Description-md5: b73ad6ce55466f9d958bad385fda3dfa
Description-en: Privacy aware web content sanitizer proxy as a service
 Morty Web content sanitizer proxy as a service
 .
 Morty rewrites web pages to exclude malicious HTML tags and attributes. It
 also replaces external resource references to prevent third party
 information leaks.
 .
 The main goal of morty is to provide a result proxy for searx, but it can be
 used as a standalone sanitizer service too.
 .
 Features:
 .
  - HTML sanitization
  - Rewrites HTML/CSS external references to locals
  - JavaScript blocking
  - No Cookies forwarded
  - No Referrers
  - No Caching/Etag
  - Supports GET/POST forms and IFrames
  - Optional HMAC URL verifier key to prevent service abuse

Package: moserial
Description-md5: ab9515e4ed600e84b1aaf5f4dc4a3f51
Description-en: Gtk-based serial terminal for the GNOME desktop
 moserial is a clean, friendly gtk-based serial terminal for the
 GNOME desktop. It is written in Vala.
 .
 Features
    * ASCII and HEX views of incoming and outgoing data
    * Logging to file of incoming and/or outgoing data
    * Support for x, y, and z-modem file send and receive
    * Support for profile files, to load/save common configurations
    * Easier to use than the alternatives
    * Supports i18n
    * It even has docs!

Package: mosh
Description-md5: 4eb9a4712a90a06683cd9f2c223953b4
Description-en: Mobile shell that supports roaming and intelligent local echo
 Mosh is a remote terminal application that supports:
   - intermittent network connectivity,
   - roaming to different IP address without dropping the connection, and
   - intelligent local echo and line editing to reduce the effects
     of "network lag" on high-latency connections.

Package: mosquitto
Description-md5: f8c193c62c4a30a9acfb862fd9561343
Description-en: MQTT version 5.0/3.1.1/3.1 compatible message broker
 This is a message broker that supports version 3.1 and 3.1.1 of the MQTT
 protocol.
 .
 MQTT provides a method of carrying out messaging using a publish/subscribe
 model. It is lightweight, both in terms of bandwidth usage and ease of
 implementation. This makes it particularly useful at the edge of the network
 where a sensor or other simple device may be implemented using an arduino for
 example.

Package: mosquitto-clients
Description-md5: 9dc1a99fb036a84fe65c3984ea36107f
Description-en: Mosquitto command line MQTT clients
 This is two MQTT version 5.0/3.1.1/3.1 command line clients. mosquitto_pub can be
 used to publish messages to a broker and mosquitto_sub can be used to
 subscribe to a topic to receive messages.
 .
 MQTT provides a method of carrying out messaging using a publish/subscribe
 model. It is lightweight, both in terms of bandwidth usage and ease of
 implementation. This makes it particularly useful at the edge of the network
 where a sensor or other simple device may be implemented using an arduino for
 example.

Package: mosquitto-dev
Description-md5: 85819cfdd218368883800fb38464ff56
Description-en: Development files for Mosquitto
 Mosquitto is a message broker that supports the MQTT protocol.
 .
 This package contains the include files used if you wish to compile a package
 which requires Mosquitto's source file headers.

Package: most
Description-md5: 36199b38881423ee467b00590df330d1
Description-en: Pager program similar to more and less
 Most is a paging program that displays, one windowful at a time, the
 contents of a file on a terminal. A status line at the bottom of the
 screen displays the file name, the current line number and the percentage
 of the file so far displayed.
 .
 Unlike other paging programs, most is capable of displaying an
 arbitrary number of windows as long as they all fit on the screen, and
 different windows could be used to view the same file in different
 positions.
 .
 In addition to displaying ordinary text files, most can also display
 binary files as well as files with arbitrary ascii characters.

Package: mothur
Description-md5: 1668c70fa8f269cd3253a2880e162cd2
Description-en: sequence analysis suite for research on microbiota
 Mothur seeks to develop a single piece of open-source, expandable
 software to fill the bioinformatics needs of the microbial ecology
 community. It has incorporated the functionality of dotur, sons,
 treeclimber, s-libshuff, unifrac, and much more. In addition to improving
 the flexibility of these algorithms, a number of other features including
 calculators and visualization tools were added.

Package: motion
Description-md5: 2699ebee3b63a553c62f7f823c1643ca
Description-en: V4L capture program supporting motion detection
 Motion is a program that monitors the video signal from
 one or more cameras and is able to detect if a significant
 part of the picture has changed. Or in other words, it can
 detect motion.
 .
 Motion is a command line based tool. It has no graphical
 user interface. Everything is setup either via the
 command line or via configuration files.
 .
 The output from motion can be:
    - jpg/ppm image files
    - mpeg/mp4/swf/flv/mov/ogg video sequences
 .
 Also, motion has its own minimalistic web server. Thus,
 you can access the webcam output from motion via a browser.

Package: mountpy
Description-md5: 7e69539b8e3343ded62db79eb38637e5
Description-en: script for quick mounting of removable devices
 mountpy scans all devices connected to the system, and tries
 to mount them, creating mount directories as needed.
 Warning: After configured, this program allows ordinary users
 to mount external filesystems. Do not install it on
 multiuser machines with untrusted users!

Package: mousepad
Description-md5: 564a844cb82919302c57e74a6ea93345
Description-en: simple Xfce oriented text editor
 Mousepad is a graphical text editor for Xfce based on Leafpad.
 .
 The initial reason for Mousepad was to provide printing support, which would
 have been difficult for Leafpad for various reasons.
 .
 Although some features are under development, currently Mousepad has the
 following features:
   * Complete support for UTF-8 text
   * Cut/Copy/Paste and Select All text
   * Search and Replace
   * Font selection
   * Word Wrap
   * Character coding selection
   * Auto character coding detection (UTF-8 and some code-sets)
   * Manual code-set setting
   * Infinite Undo/Redo by word
   * Auto Indent
   * Multi-line Indent
   * Display line numbers
   * Drag and Drop
   * Printing

Package: mousetrap
Description-md5: 6db2d5e55cbc4e08baf2d7bc56590e16
Description-en: Simple game of ball chasing
 This is a simple game in which the player moves their character
 to capture the white balls while avoiding the red ones.

Package: movim
Description-md5: cd44be4819e204159ad9436b0353ca2c
Description-en: decentralised social network fully based on XMPP
 Movim is a decentralised social network, written in PHP and HTML5 and
 based on the XMPP standard protocol.
 .
 Movim is a web-based Jabber (XMPP) client, which also exposes a social
 network functionality using standards-conformant PubSub functionality.
 It supports microblogging (both “private” posts, i.e. shown only to
 one’s Jabber contacts, and “public” ones, which are indeed publicly
 available, including an RSS feed and a web interface showing all public
 blog posts of a user), communities, etc.
 .
 Movim is a client, that means it still requires a Jabber/XMPP server
 to function. https://github.com/movim/movim/wiki#xmpp-servers contains
 upstream documentation on how to best configure your server to work well
 with Movim. A Movim installation (“pod”) can allow users of one or
 multiple Jabber servers to log into that pod; users of other Jabber
 servers will need to install their own Movim instance.

Package: mozc-utils-gui
Description-md5: 26ec796df53363feb223226aacb6085e
Description-en: GUI utilities of the Mozc input method
 Mozc is a Japanese Input Method Editor (IME) designed for multi-platform
 such as Chromium OS, Windows, Mac and Linux.
 .
 mozc-server provides GUI uitilities of the Mozc input method.
 This uses GUI and can set ibus-mozc and the user's dictionary.
 .
 This open-source project originates from Google Japanese Input.

Package: mozilla-devscripts
Description-md5: 291f485d42ad6a3415d16564ab6b0767
Description-en: Development scripts used by Mozilla's addons packages
 This package contains mozilla-devscripts, a collection of scripts
 based on Makefile inheritance meant for packaging Firefox's and
 Icedove's extensions. These tools will help maintainers to build
 link and install all XUL extensions properly following the policies
 outlined by the Debian Mozilla Extension Maintainers team.

Package: mozo
Description-md5: f50f9cb805c69b01e762208db0aff342
Description-en: easy MATE menu editing tool
 Mozo is an easy-to-use menu editor for MATE that can add
 and edit new entries and menus. It works with the freedesktop.org
 menu specification and should work with any desktop environment
 that uses the spec.

Package: mp3blaster
Description-md5: 8bcbc9beecc2c0bbf76a8268f56f0baf
Description-en: Full-screen console mp3 and Ogg Vorbis player
 mp3blaster is an interactive text-based mp3 and Ogg Vorbis player with
 a number of unique features.  It supports multiple playlists allowing you
 to divide tracks into albums allowing great flexibility with the play order.
 .
 Also included are nmixer, a simple mixer utility based on the same code as
 the mixer used in mp3blaster and mp3tag, an id3 tag manipulation tool.

Package: mp3burn
Description-md5: 1b4b094a0901027f0171c863a5017232
Description-en: burn audio CDs directly from MP3, Ogg Vorbis, or FLAC files
 mp3burn is a Perl script that allows you to burn audio CDs composed
 of MP3, Ogg Vorbis, or FLAC tracks without an intermediate file conversion
 to .cdr or .wav.
 The .mp3/.ogg/.flac files *are* converted using a decoder, but are
 written to FIFOs so they don't consume filesystem space during the burn.

Package: mp3cd
Description-md5: 656edcb8125a57555cf19cc26a9eb7ea
Description-en: Burns normalized audio CDs from lists of MP3s/WAVs/Oggs/FLACs
 This script burns a playlist (.m3u, XMLPlaylist or command line list) of
 MP3s, Oggs, and/or WAVs to an audio CD. The ".m3u" format is really
 nothing more than a list of fully qualified filenames. The script
 handles making the WAVs sane by resampling if needed, and normalizing the
 volume across all tracks. Reading tags and writing CD-TEXT is supported.
 .
 If a failure happens, earlier stages can be skipped with the '-s' flag.
 The file "tool-output.txt" in the temp directory can be examined to see
 what went wrong during the stage. Some things are time-consuming (like
 writing the WAVs from MP3s) and if the CD burn failed, it's much nicer
 not to have to start over from scratch. When doing this, you will not
 need the m3u file any more, since the files have already been built.
 See the list of stages using '-h'.
 .
 This script implements the suggested methods outlined in the Linux MP3
 CD Burning mini-HOWTO:
  http://tldp.org/HOWTO/MP3-CD-Burning/

Package: mp3check
Description-md5: 9b6b725c7835a2ccae9931f6c0bcf7fe
Description-en: tool to check mp3 files for consistency
 Prints several errors and warnings concerning the consistency of mp3 files.
 Lists stream attributes (color). Layer 1,2,3, mpeg1.0+2.0 are currently
 supported.  CRC check for layer 3.
 .
 mp3check is very useful for incomplete mp3 detection as it can be used to
 scan through your mp3 collection and find all mp3s that aren't perfect. Good
 for use with many file sharing systems.

Package: mp3guessenc
Description-md5: 7e83e1196cda6616d53174e24a1a58c3
Description-en: Utility for analysis of audio mpeg files
 Prints out many details about the mpeg audio stream.
 Supported streams are mpeg 1, mpeg 2 and
 unofficial mpeg 2.5 - layers I, II & III.

Package: mp3info
Description-md5: 47741f4c5cacbabb99ffdd7aed2fe6f8
Description-en: An MP3 technical info viewer and ID3 1.x tag editor
 MP3Info has an interactive mode (using curses) and a command line mode.
 MP3Info can display ID3 tag information as well as various technical aspects
 of an MP3 file including playing time, bit-rate, sampling frequency and other
 attributes in a pre-defined or user-specifiable output format.
 .
 If you prefer GUI you should use mp3info-gtk package.

Package: mp3info-gtk
Description-md5: 2ea7355ab063e2636eabeca725bf61b9
Description-en: MP3 info viewer and ID3 1.x tag editor -- GTK+ version
 MP3Info can display ID3 tag information as well as various technical aspects
 of an MP3 file including playing time, bit-rate, sampling frequency and other
 attributes in a pre-defined or user-specifiable output format.
 .
 This package installs GTK+ version of mp3info. If you prefer command line
 or curses interface you should use mp3info package.

Package: mp3rename
Description-md5: 698ca7510b32c2678a2e38b0eec173da
Description-en: Rename mp3 files based on id3tags
 Mp3rename is a small tool to rename all those badly named mp3 files.
 .
 The generated file name can be based on the id3tags;  title, album,
 year, track and/or artist.

Package: mp3report
Description-md5: 21f1d11c1cb6ff7cf0f199896c8ee043
Description-en: Script to create an HTML report of MP3 files in a directory
 A customizable program to scan a list of (sub)directories, creating a report
 from an HTML template. Also calculates various statistics and each song's
 playing time. Supports ID3 and ID3v2 tags.

Package: mp3roaster
Description-md5: e9863e0dc0973766b3d41202ed0b3eb5
Description-en: Perl hack for burning audio CDs out of MP3/OGG/FLAC/WAV files
 Allows burning audio CDs out of MP3, Ogg Vorbis, FLAC and WAV files. The main
 highlights of this application are an easy to use command line syntax and
 automatic volume leveling support for best audio CD quality.
 .
 In order to normalize the audio level of all files which will be burned on CDs
 MP3roaster requires some free hard disk space.

Package: mp3splt
Description-md5: eccc562afd4c2755939887eba5b1d54d
Description-en: split MP3, Ogg Vorbis, or FLAC files without re-encoding
 This package provides the command line interface for splitting audio files at
 a given begin and end time position without decoding.  If splitting an album,
 you can select split points and filenames manually or read them from CDDB or
 cue files.  Splitting on silence and data from Mp3Wrap or AlbumWrap is also
 supported.  For mp3 files, both ID3v1 & ID3v2 tags are supported.  A GTK
 interface is provided in the mp3splt-gtk package.

Package: mp3splt-dbg
Description-md5: b69cb32eb08b42e59d3a9a4b99f8b8ea
Description-en: debugging symbols for mp3splt, mp3splt-gtk and libmp3splt
 This package provides the detached debug symbols for mp3splt.

Package: mp3splt-gtk
Description-md5: a1a5b863c6c48713f2eb9dc39c129ba0
Description-en: split MP3, Ogg Vorbis, or FLAC files without re-encoding
 This package provides the graphical interface for splitting audio files at a
 given begin and end time position without decoding.  If splitting an album,
 you can select split points and filenames manually or read them from CDDB or
 cue files.  Splitting on silence and data from Mp3Wrap or AlbumWrap is also
 supported.  For mp3 files, both ID3v1 & ID3v2 tags are supported.  A command
 line interface is provided in the mp3splt package.

Package: mp3val
Description-md5: 44147cb1e5d49f679631cf3ca3895cfd
Description-en: program for MPEG audio stream validation
 MP3val is a small, high-speed tool for MPEG audio files validation
 and (optionally) fixing problems.
 .
 It was primarily designed for verification of MPEG 1 Layer III (MP3)
 files, but supports also other MPEG versions and layers.
 .
 It can be useful for finding corrupted files (e.g. incompletely
 downloaded).

Package: mp3wrap
Description-md5: e7b48dcdf27fe73d0c6b074930207b4b
Description-en: Utility for MP3 wrapping (rolling multiple MP3s into one)
 Command-line utility that wraps multiple MP3 files into a single, playable
 MP3, without losing filenames or ID3 information, and without reencoding. Also
 supports archiving non-audio data such as playlists, info files, and cover
 images inside the MP3. These files can be unpacked later (using mp3splt, e.g.);
 ordinary MP3 decoders can play the entire audio stream as one long track.
 .
 This is a free, independent alternative to AlbumWrap:
 http://www.infamus.com/albumwrap/

Package: mp4h
Description-md5: 50dc119daee9730d3000394b409596f6
Description-en: Macro processor for HTML documents
 Mp4h is a macro processor specifically designed for HTML documents,
 with powerful programming features. It allows definition and expansion
 of new tags with a syntax familiar to HTML authors.
 .
 Mp4h is a core component of the Website Meta Language (WML).

Package: mpack
Description-md5: 6101ce5c05ff67298326006e9ef48dc9
Description-en: tools for encoding/decoding MIME messages
 Mpack and munpack are utilities for encoding and decoding
 (respectively) binary files in MIME (Multipurpose Internet
 Mail Extensions) format mail messages. For compatibility
 with older forms of transferring binary files, the munpack
 program can also decode messages in split-uuencoded format.

Package: mpb
Description-md5: e6e6b632eb6f65526d43f604bd090b37
Description-en: MIT Photonic-Bands
 The MIT Photonic-Bands package is a free program for computing the band
 structures (dispersion relations) and electromagnetic modes of periodic
 dielectric structures, on both serial and parallel computers. It was
 developed by Steven G. Johnson at MIT in the Joannopoulos Ab Initio
 Physics group, and designed to study photonic crystal structures.

Package: mpb-dev
Description-md5: b35723d333f09a66ede2a63e8873d40e
Description-en: MIT Photonic-Bands development files
 The MIT Photonic-Bands package is a free program for computing the band
 structures (dispersion relations) and electromagnetic modes of periodic
 dielectric structures, on both serial and parallel computers. It was
 developed by Steven G. Johnson at MIT in the Joannopoulos Ab Initio
 Physics group, and designed to study photonic crystal structures.
 .
 This package contains the header files for development of own software.

Package: mpb-doc
Description-md5: fd8ceff9271c3e1ad609dcd16137a435
Description-en: MIT Photonic-Bands documentation
 The MIT Photonic-Bands package is a free program for computing the band
 structures (dispersion relations) and electromagnetic modes of periodic
 dielectric structures, on both serial and parallel computers. It was
 developed by Steven G. Johnson at MIT in the Joannopoulos Ab Initio
 Physics group, and designed to study photonic crystal structures.
 .
 This package contains the documentation in HTML format.

Package: mpb-mpi
Description-md5: 404fb3bff9ddb3d2ccc02ff6e0292d83
Description-en: MIT Photonic-Bands, parallel (mpich) version
 The MIT Photonic-Bands package is a free program for computing the band
 structures (dispersion relations) and electromagnetic modes of periodic
 dielectric structures, on both serial and parallel computers. It was
 developed by Steven G. Johnson at MIT in the Joannopoulos Ab Initio
 Physics group, and designed to study photonic crystal structures.
 .
 This package contains a parallel version of MPB, using the mpich
 implementation of the MPI protocol. It allows for calculations on
 clusters of computers.

Package: mpb-scm
Description-md5: c9459695c33cc92f8c9637f043664731
Description-en: MIT Photonic-Bands initialisation files
 The MIT Photonic-Bands package is a free program for computing the band
 structures (dispersion relations) and electromagnetic modes of periodic
 dielectric structures, on both serial and parallel computers. It was
 developed by Steven G. Johnson at MIT in the Joannopoulos Ab Initio
 Physics group, and designed to study photonic crystal structures.
 .
 This package contains files for initialisation of MPB.

Package: mpc
Description-md5: 392b90664cee1619bca27111cbeb0d85
Description-en: command-line tool to interface MPD
 MPC, music player command, is a command line tool to interface MPD, Music
 Player Daemon.  It is fast and lightweight like MPD, making it ideal for
 scripting commands and binding to hotkeys.  Completion for the Bash shell
 is provided.

Package: mpc-ace
Description-md5: 2546db1431c5863dac20fce3ca730bdf
Description-en: makefile, project, and workspace creator
 This package contains the Makefile, Project, and Workspace Creator (MPC)
 as distributed with the ACE toolkit.
 .
 MPC generates platform- and compiler-specific files to automate the
 compilation process.
 .
 The following programs are included:
  * mpc-ace: generates project files for a single target
  * mwc-ace: generates workspace files for a set of projects

Package: mpc123
Description-md5: 79c847ca11a35297b312b77c0a8e1fe0
Description-en: command-line Musepack audio player
 mpc123 is a command-line player for the Musepack audio compression
 format (MPC).
 .
 MPC is a lossy compression format like MP3 or Ogg Vorbis. It is
 based on the MPEG-1 Layer-2 / MP2 algorithms, but has been vastly
 improved.
 .
 Ability to play remote files is not implemented.

Package: mpd
Description-md5: f318c4a927bba8215d5caa973656c5e7
Description-en: Music Player Daemon
 Music Player Daemon (MPD) is a server that allows remote access for
 playing audio files (Ogg-Vorbis, FLAC, MP3, Wave, and AIFF), streams
 (Ogg-Vorbis, MP3) and managing playlists.  Gapless playback, buffered
 output, and crossfading support is also included.  The design focus is
 on integrating a computer into a stereo system that provides control
 for music playback over a TCP/IP network.  The goals are to be easy to
 install and use, to have minimal resource requirements (it has been
 reported to run fine on a Pentium 75), and to remain stable and
 flexible.
 .
 The daemon is controlled through a client which need not run on the
 same computer mpd runs on.  The separate client and server design
 allows users to choose a user interface that best suites their tastes
 independently of the underlying daemon (this package) which actually
 plays music.

Package: mpd-sima
Description-md5: cdd936e9a04ce177d78b581823bdfbc1
Description-en: Automagically add titles to MPD playlist
 MPD_Sima is a Python script meant to feed your MPD playlist with tracks
 from artists similar to your currently playing track, provided that these
 artists are found in MPD library. Similar artists are fetched from last.fm.
 .
 MPD_sima can queue track, top track or whole album for similar artists.
 .
 This client allows you to never run out of music when your playlist
 queue is getting short.

Package: mpdas
Description-md5: fd3bd3769cdbe7b19d92376b9d48e463
Description-en: AudioScrobbler client for MPD
 This package provides a MPD AudioScrobbler client supporting the 2.0
 protocol specs.
 .
 It uses libmpdclient to retrieve the song data from MPD and libcurl
 to post it to Last.fm or Libre.fm.

Package: mpdcon.app
Description-md5: a6f8ab9f5698188393e4b3bf57880557
Description-en: MPD controller for GNUstep
 MPDCon is a simple GNUstep controller for MPD (Music Player Daemon).
 It has minimalistic graphical interface, although it provides the
 basic features an MPD client is supposed to offer.

Package: mpdcron
Description-md5: 41d943bd9a1c99f5ec382181a8746e61
Description-en: add scrobbler, rating, play counts and other functionalities to MPD
 mpdcron is a daemon that watches a Music Player Daemon instance for idle
 states and execs commands triggered by specific states.
 .
  * Uses mpd's idle mode.
  * Calls hooks depending on the event.
  * Sets special environment variables to pass data to the hooks.
  * Optional support for modules via GModule.
  * Included modules:
    - notification
      + uses notify-send to send notifications.
      + can detect repeated songs.
    - scrobbler
      + uses curl to submit songs to Last.fm or Libre.fm
    - stats
      + module saves song data to a sqlite database
      + supports loving, killing, rating and tagging songs, artists,
        albums and genres.
      + tracks play count of songs, artist, albums and genres.
      + implements a simple server protocol for remote clients to
        receive data.

Package: mpdris2
Description-md5: 2bd9a7698dcccf7cd820a1b3ec9169cc
Description-en: media player interface (MPRIS2) bridge for MPD
 mpDris2 is an implementation of the MPRIS2 media player interface as a
 client for MPD, allowing MPRIS2 clients to control MPD and observe its
 track changes via a standard D-Bus interface.
 .
 It can also respond to multimedia keys if running under GNOME,
 and send track-change notifications if gir1.2-notify-0.7 is installed.

Package: mpdscribble
Description-md5: ee9ed0183dff0c94649b757c0e640091
Description-en: Last.fm reporting client for mpd
 Music Player Daemon client which collects information about played tracks and
 submits them to the Last.fm social music network (formerly known as
 Audioscrobbler). If submission servers are not reachable, submissions are
 enqueued and stored on disk cache.
 .
 The client can be also configured to use other scrobbling services like
 Libre.fm.
 .
 This package contains daemon which can be optionally installed system wide.

Package: mpdtoys
Description-md5: 096a629dc6d017458a47acb556e0e74d
Description-en: small command line tools and toys for MPD
 This is a collection of small toys and tools for doing various things
 to MPD (Music Player Daemon) from the command line. Some of them are
 very useful, while others are only amusing.
 .
 Some examples of things the mpdtoys can do include moving the playing
 song between different mpd daemons on different machines, storing
 the state of a mpd daemon and loading it back later, reversing the
 playlist, slowly fading volume up or down, stopping playback after the
 current song finishes, emulating a skipping record, and editing the
 playlist in a text editor.

Package: mpeg2dec
Description-md5: 971098941c894dd085b359f97a159eb6
Description-en: Simple libmpeg2 video decoder application
 Simple libmpeg2 application which can decode and play ES, PS, and TS video
 streams.  Includes extract_mpeg2 demuxer and various output drivers.
 .
 This package provides the mpeg2dec and extract_mpeg2 tools.

Package: mpeg3-utils
Description-md5: 019be72ca07bcbf212853f5d1ba8ae15
Description-en: MPEG streams decoding library
 LibMPEG3 is a versatile and high-performance library capable
 of decoding both audio and video from many different MPEG formats.
 .
 This package includes several utilities for working with MPEG2 streams.

Package: mpegdemux
Description-md5: a88cc93a09b45b7048a9771767619c04
Description-en: MPEG1/2 system stream demultiplexer
 Mpegdemux is an MPEG1/MPEG2 system stream demultiplexer. It can be
 used to list the contents of an MPEG system stream and to extract
 elementary streams.

Package: mpg123
Description-md5: 5ebabad0916ebd65863379ea1316b415
Description-en: MPEG layer 1/2/3 audio player
 mpg123 is a real time MPEG 1.0/2.0/2.5 audio player/decoder for layers
 1, 2 and 3 (MPEG 1.0 layer 3 also known as MP3).
 .
 This package contains output plugins for several audio systems, including
 OSS4, the Advanced Linux Sound Architecture (ALSA), JACK, PortAudio,
 PulseAudio, OpenAL and the Network Audio System (NAS).

Package: mpg123-el
Description-md5: 7fa5074150ae03fa2f58f5f865319847
Description-en: front-end to mpg321/ogg321 media players for Emacs
 The mpg123.el Emacs-Lisp program is a front-end to mpg123/ogg123 audio
 player. You can select and play an mp3/ogg files from the list in your
 Emacs's buffer with familiar interface.

Package: mpg321
Description-md5: cf61479ef1985640113a399b6eef8973
Description-en: Simple and lightweight command line MP3 player
 mpg321 is a clone of the popular mpg123 command-line mp3 player. It should
 function as a drop-in replacement for mpg123 in many cases. While some of
 the functionality of mpg123 is not yet implemented, mpg321 should function
 properly in most cases for most people, such as for frontends such as
 gqmpeg.
 .
 mpg321 is based on the mad MPEG audio decoding library. It therefore is
 highly accurate, and also uses only fixed-point calculation, making it
 more efficient on machines without a floating-point unit. It is not as
 fast as mpg123 on systems which have a floating point unit.

Package: mpgrafic
Description-md5: 058d545128c1281fd9f68f462c6d197d
Description-en: MPI version of N-body initial conditions grafic package
 mpgrafic produces initial conditions files for N-body simulations of
 cosmological large-scale structure formation. It is a free-licensed,
 MPI-parallelised, rewritten version of Ed Bertschinger's grafic-1
 program, producing output files in the grafic format. It includes
 options for an Eisenstein and Hu baryonic wiggles power spectrum, for
 using a low-resolution map for large-scale modes in the spirit of
 grafic-2, and together with constrfield, can be used for generating
 constrained initial conditions. Details are given in Prunet et al
 (2008) http://cdsads.u-strasbg.fr/abs/2008ApJS..178..179P.

Package: mpgtx
Description-md5: c63e6c2cfecb3c7382217ced7120d814
Description-en: toolbox to manipulate MPEG files (video, system, and audio)
 mpgtx is a tool to manipulate MPEG files. Its features include the
 following:
 .
   * mpgtx can currently split and join MPEG 1 video files and most
     MPEG audio files.
   * mpgtx can fetch detailed information from MPEG 1 and MPEG 2.
   * mpgtx can demultiplex MPEG 1 and MPEG 2 files (System layer, Program
     layer and Transport Layer).
   * mpgtx can add, remove and edit ID3 tags from mp3 files and rename
     mp3 files according to their ID3 tags. It reads and writes ID3v1,
     but only reads ID3v2.

Package: mpi-default-bin
Description-md5: dbecc64c6bab3aaf409ce47e802c5801
Description-en: Standard MPI runtime programs (metapackage)
 This metapackage depends on the runtime programs of the recommended MPI
 implementation for each platform, currently Open MPI on all of the platforms
 where it exists, and MPICH on the others. The package which this depends on
 has alternatives links for mpirun and mpiexec.

Package: mpi-default-dev
Description-md5: 7e7b7421a9c4eaa0a9bf070941409acf
Description-en: Standard MPI development files (metapackage)
 This metapackage depends on the development files of the recommended MPI
 implementation for each platform, currently Open MPI on all of the platforms
 where it exists, and MPICH on the others. The package which this depends on
 has alternatives links for the shared libraries libmpi and libmpi++, and for
 compilers mpicc, mpic++/mpicxx/mpiCC, mpif77 and mpi90 and their manpages.

Package: mpich
Description-md5: 550bc2d5b82c8e4c056dee32a584fb1a
Description-en: Implementation of the MPI Message Passing Interface standard
 MPICH is a high-performance and widely portable implementation of the
 MPI-3.1 standard from the Argonne National Laboratory.
 It efficiently supports different computation and communication platforms
 including commodity clusters, SMPs, massively parallel systems, and
 high-speed networks.
 This release has all MPI 3.1 functions and features required by the standard
 with the exception of support for the "external32" portable I/O format and
 user-defined data representations for I/O.
 .
 This package includes the program binaries necessary to run MPICH programs.

Package: mpich-doc
Description-md5: bc19fc18512ebe2018388cd13a5f5f9f
Description-en: Documentation for MPICH
 MPICH is a high-performance and widely portable implementation of the
 MPI-3.1 standard from the Argonne National Laboratory.
 It efficiently supports different computation and communication platforms
 including commodity clusters, SMPs, massively parallel systems, and
 high-speed networks.
 This release has all MPI 3.1 functions and features required by the standard
 with the exception of support for the "external32" portable I/O format and
 user-defined data representations for I/O.
 .
 This package includes the MPICH documentation.

Package: mplayer
Description-md5: e1a06607c7bcbd25d2abd6bdf83b871b
Description-en: movie player for Unix-like systems
 MPlayer plays most MPEG, VOB, AVI, Ogg/OGM, VIVO,
 ASF/WMA/WMV, QT/MOV/MP4, FLI, RM, NuppelVideo, yuv4mpeg, FILM, RoQ, PVA files,
 supported by many native, XAnim, RealPlayer, and Win32 DLL codecs. It can
 also play VideoCD, SVCD, DVD, 3ivx, RealMedia, and DivX movies.
 .
 Another big feature of MPlayer is the wide range of supported output
 drivers. It works with X11, Xv, DGA, OpenGL, SVGAlib, fbdev,
 DirectFB, but also SDL.
 .
 Not all of the upstream code is distributed in the source tarball.
 See the README.Debian and copyright files for details.

Package: mplayer-doc
Description-md5: c6fcbec0e0667e19084785de6460e973
Description-en: documentation for MPlayer
 This package contains the HTML documentation for MPlayer, a movie player for
 Unix-like systems. It is available in several languages.
 .
 You only need this package if you want to read the MPlayer manual. The manpages
 are included in the regular MPlayer package.

Package: mplayer-gui
Description-md5: 9e3f05de5fceb175bfdc57b182d973d0
Description-en: movie player for Unix-like systems (GUI variant)
 MPlayer plays most MPEG, VOB, AVI, Ogg/OGM, VIVO,
 ASF/WMA/WMV, QT/MOV/MP4, FLI, RM, NuppelVideo, yuv4mpeg, FILM, RoQ, PVA files,
 supported by many native, XAnim, RealPlayer, and Win32 DLL codecs. It can
 also play VideoCD, SVCD, DVD, 3ivx, RealMedia, and DivX movies.
 .
 Another big feature of MPlayer is the wide range of supported output
 drivers. It works with X11, Xv, DGA, OpenGL, SVGAlib, fbdev,
 DirectFB, but also SDL.
 .
 This package includes the GUI variant of MPlayer.

Package: mplayer-skin-blue
Description-md5: ef5cade6924aea92b4622e7ab8c25f49
Description-en: Blue skin for MPlayer
 The MPlayer GUI is able to be customized with various skins and it requires at
 least one to be able to run.
 .
 This package contains the Blue skin by Xenomorph. This is currently considered
 the default skin for MPlayer.

Package: mplinuxman
Description-md5: c17b84e9aa7370d7336345b66b990e9b
Description-en: mp3 player manager for mpman F50/F60
 Mplinuxman is a file manager program for the Mpman F60/F50
 USB portable MP3 player, for Linux. It lets you send/receive files
 to your MP3 player using a graphical user interface (Gtk2)
 and features playlists, ID3 tags on the player and more.

Package: mpop
Description-md5: 4e2610eec53ac90b3e5120c466583bd7
Description-en: POP3 mail retriever
 mpop is a fast and simple fetchmail replacement to retrieve mail from POP3
 servers. Its main features are header based mail filtering, multiple
 authentication methods, TLS encrypted connections or delivery.
 .
 There are a few things mpop can do that fetchmail can't or that it
 does better:
  - mpop never ever tries to parse mail information except for the
    envelope-from address, which is the bare minimum that it has to do.
  - mpop never ever alters mail messages except for adding a "Received"
    header, which is the bare minimum that it has to do.
  - mpop uses several techniques (including pipelining) to reduce the
    POP3 protocol overhead. It is therefore much faster than fetchmail.
  - By default, mpop stores the mail UIDs (unique ids) in one file per
    account. You can poll different mail accounts in parallel.
  - The progress output is nicer ;-)
  - You can pipe the headers of a mail through a filter that decides if
    the mail should be downloaded, skipped, or deleted. This allows one
    to delete junk mail from a POP3 server without downloading the
    entire message.
  - mpop can deliver mails directly to mbox and maildir mail folders.
 .
 This package is compiled with GSASL and TLS/SSL support.

Package: mpop-gnome
Description-md5: 3698cbecbd55e2d8951be67d3b5bdeec
Description-en: POP3 mail retriever - with GNOME keyring support
 mpop is a fast and simple fetchmail replacement to retrieve mail from POP3
 servers. Its main features are header based mail filtering, multiple
 authentication methods, TLS encrypted connections or delivery.
 .
 There are a few things mpop can do that fetchmail can't or that it
 does better:
  - mpop never ever tries to parse mail information except for the
    envelope-from address, which is the bare minimum that it has to do.
  - mpop never ever alters mail messages except for adding a "Received"
    header, which is the bare minimum that it has to do.
  - mpop uses several techniques (including pipelining) to reduce the
    POP3 protocol overhead. It is therefore much faster than fetchmail.
  - By default, mpop stores the mail UIDs (unique ids) in one file per
    account. You can poll different mail accounts in parallel.
  - The progress output is nicer ;-)
  - You can pipe the headers of a mail through a filter that decides if
    the mail should be downloaded, skipped, or deleted. This allows one
    to delete junk mail from a POP3 server without downloading the
    entire message.
  - mpop can deliver mails directly to mbox and maildir mail folders.
 .
 This package is compiled with GSASL and TLS/SSL support, and additionally
 with GNOME keyring support.

Package: mppenc
Description-md5: 679725ac8c316836ca699e0fa88229ad
Description-en: Musepack lossy audio codec encoder
 Musepack is a lossy audio codec specifically optimized for transparent
 compression of stereo audio at bitrates of 160-180 kbit/s.
 .
 This package contains the encoder, for decoding see libmpcdec3.
 .
  Homepage: http://www.musepack.net/

Package: mpqc
Description-md5: 1b02df0fec3f0e8129f187afa64c19da
Description-en: Massively Parallel Quantum Chemistry Program
 MPQC is an ab-inito quantum chemistry program.  It is especially designed
 to compute molecules in a highly parallelized fashion.
 .
 It can compute energies and gradients for the following methods:
  * Closed shell and general restricted open shell Hartree-Fock (HF)
  * Density Functional Theory (DFT)
  * Closed shell second-order Moeller-Plesset perturbation theory (MP2)
 .
 Additionally, it can compute energies for the following methods:
  * Open shell MP2 and closed shell explicitly correlated MP2 theory (MP2-R12)
  * Second order open shell pertubation theory (OPT2[2])
  * Z-averaged pertubation theory (ZAPT2)
 .
 It also includes an internal coordinate geometry optimizer.
 .
 MPQC is built upon the Scientific Computing Toolkit (SC).

Package: mpqc-support
Description-md5: 9ffb7a58bec5601289747c73b03a10ba
Description-en: Massively Parallel Quantum Chemistry Program (support tools)
 MPQC is an ab-inito quantum chemistry program.  It is especially designed
 to compute molecules in a highly parallelized fashion.
 .
 This package includes Perl modules to parse the output, Emacs-modes to
 facilitate editing mpqc files and molrender, a program to output the
 molecules in OOGL-format.

Package: mpqc3
Description-md5: 02f681e5cca17d5627c12f06df6571f6
Description-en: Massively Parallel Quantum Chemistry Program
 MPQC3 is an ab-inito quantum chemistry program.  It is especially designed to
 compute molecules in an explicitly-correlated fashion.
 .
 It can compute energies and gradients for the following methods:
  * Hartree-Fock (HF)
  * Density Functional Theory (DFT)
  * Second-order Moeller-Plesset pertubation theory (MP2)
 .
 Additionally, it can compute energies for the following methods:
  * Local MP2 (LMP2)
  * Explicitly-correlated density-fitted MP2 (DF-MP2-F12)
  * Explicitly-correlated density-fitted coupled-cluster singles doubles
    (DF-CCSD-F12)
  * Explicitly-correlated density-fitted coupled-cluster singles doubles with
    perturbative triples (DF-CCSD(T)-F12)
  * Explicitly-correlated density-fitted complete active space SCF
    (DF-CASSCF-F12)
  * Explicitly-correlated density-fitted multi-reference configuration
    interaction (DF-MRCI-F12)
 .
 It also includes an internal coordinate geometry optimizer.

Package: mpqc3-data
Description-md5: d34721c39fe1adda8baccd076b1fac36
Description-en: Massively Parallel Quantum Chemistry Program (data files)
 MPQC3 is an ab-inito quantum chemistry program.  It is especially designed to
 compute molecules in an explicitly-correlated fashion.
 .
 This package contains the basis sets.

Package: mps-youtube
Description-md5: 15f21314417e50fc3f7863a925c422d0
Description-en: Terminal based YouTube jukebox with playlist management
 This project is a terminal based YouTube client with an interactive text
 interface and in-built help. It can be used to search YouTube, create local
 playlists to queue your favourite content, play audio or video (launched in
 external player) and can download YouTube content in various formats (mp4,
 flv, webm, ogg, m4a, m4v and 3gp).

Package: mpt-status
Description-md5: fd6164324ebe170ebb23790678b3c425
Description-en: get RAID status out of mpt (and other) HW RAID controllers
 The mpt-status software is a query tool to access the running
 configuration and status of LSI SCSI HBAs.  mpt-status allows you to
 monitor the health and status of your RAID setup.

Package: mptp
Description-md5: d7cf1c24ea0cfedf397ca38d0ce5d8a8
Description-en: single-locus species delimitation
 Implementation of a fast species delimitation method, based on PTP
 (Zhang et al. 2013) with a 64-bit multi-threaded design that handles
 very large datasets.
 .
 The tool mPTP can handle very large biodiversity datasets. It implements
 a fast method to compute the ML delimitation from an inferred
 phylogenetic tree of the samples. Using MCMC, it also computes the
 support values for each clade, which can be used to assess the
 confidence of the ML delimitation.
 .
 ML delimitation mPTP implements two flavours of the point-estimate
 solution. First, it implements the original method from (Zhang et al.
 2013) where all within-species processes are modelled with a single
 exponential distribution. mPTP uses a dynamic programming implementation
 which estimates the ML delimitation faster and more accurately than the
 original PTP. The dynamic programming implementation has similar
 properties as (Gulek et al. 2010). See the wiki for more information.
 The second method assumes a distinct exponential distribution for the
 branching events of each of the delimited species allowing it to fit to
 a wider range of empirical datasets.
 .
 MCMC method mPTP generates support values for each clades. They
 represent the ratio of the number of samples for which a particular node
 was in the between-species process, to the total number of samples.

Package: mpv
Description-md5: 42d61bf874a5fe751d6c1a8601d1276d
Description-en: video player based on MPlayer/mplayer2
 mpv is a movie player based on MPlayer and mplayer2. It supports a wide
 variety of video file formats, audio and video codecs, and subtitle types.
 .
 Changes from mplayer2 to mpv include:
  * Removal of lots of unneeded code to encourage developer activity
  * Better OSD rendering
  * Cleaned up terminal output
  * Improved OpenGL output
  * Encoding functionality (replacement for mencoder)
  * Wayland support
  * Support for playing URLs of popular streaming sites
  * Screenshot improvements
  * ...
 See mpv(1) for more info regarding changes between MPlayer, mplayer2 and mpv.

Package: mrb
Description-md5: bec0f4e5dc105785c702c4104842bf7c
Description-en: Manage incremental data snapshots with make/rsync
 mrb is a single, self-documenting, executable makefile, which aims to make
 trivial the task of maintaining a set of compact, incremental, rsync mirrors
 of your important (and sometimes rapidly changing) data.
 .
 It relies only on the time-hardened industry tools GNU make and rsync.
 Snapshots may be taken at any opportune interval.  Multiple snapshot targets
 can be configured in a modular fashion, so fast changing data can be separated
 from static bulk data, with snapshots of each scheduled or triggered on demand,
 as may be appropriate for each.
 .
 This package also includes gitkeeper, which is a tool for easy bidirectional
 mirroring of 'installed' data files from a remote system to a local git
 repository.  It doesn't actually require you to keep the local files in git,
 but if you do it supports restoring the remote system to any snapshot from
 the repository.  Likewise it allows you to explicitly configure the owner and
 access permission that should be applied when pushing to the remote system
 since they are not preserved by the versions stored in git.
 .
 To use gitkeeper you will need to install the suggested perl packages too.

Package: mrbayes
Description-md5: 3042004cf4140cc8d89cbd6d7860f485
Description-en: Bayesian Inference of Phylogeny
 Bayesian inference of phylogeny is based upon a quantity called the posterior
 probability distribution of trees, which is the probability of a tree
 conditioned on the observations. The conditioning is accomplished using
 Bayes's theorem. The posterior probability distribution of trees is
 impossible to calculate analytically; instead, MrBayes uses a simulation
 technique called Markov chain Monte Carlo (or MCMC) to approximate the
 posterior probabilities of trees.

Package: mrbayes-doc
Description-md5: f027424b222134607eb60ccf725f268e
Description-en: Bayesian Inference of Phylogeny - manual
 Bayesian inference of phylogeny is based upon a quantity called the posterior
 probability distribution of trees, which is the probability of a tree
 conditioned on the observations. The conditioning is accomplished using
 Bayes's theorem. The posterior probability distribution of trees is
 impossible to calculate analytically; instead, MrBayes uses a simulation
 technique called Markov chain Monte Carlo (or MCMC) to approximate the
 posterior probabilities of trees.
 .
 This package provides the manual for MrBayes.

Package: mrbayes-mpi
Description-md5: d4667b242cc8f99f098ff96397619072
Description-en: Bayesian Inference of Phylogeny - mpi version
 Bayesian inference of phylogeny is based upon a quantity called the posterior
 probability distribution of trees, which is the probability of a tree
 conditioned on the observations. The conditioning is accomplished using
 Bayes's theorem. The posterior probability distribution of trees is
 impossible to calculate analytically; instead, MrBayes uses a simulation
 technique called Markov chain Monte Carlo (or MCMC) to approximate the
 posterior probabilities of trees.
 .
 This package offers a binary compiled for parallel computation with MPI.

Package: mrboom
Description-md5: ea9f8b4f179d62b9a550e10d41bae6b1
Description-en: 8 player Bomberman
 This is an SDL2 version of the original 1999 version of Mr. Boom. The goal of
 the game is to bomb away your enemies and other players.

Package: mrd6
Description-md5: f305392bdce338676249507a73e18b8f
Description-en: IPv6 Multicast Routing Daemon
 mrd6 is a modular IPv6 Multicast Routing Daemon which implements:
   * MLDv1 and MLDv2 support
     - MLD proxying
   * PIM-SM (ASM and SSM)
     - Bootstrap (BSR) Mechanism support
     - Static RP configuration
     - Embedded-RP support (RFC 3956)
   * partial MBGP support
     - Implements basic BGP4 mechanisms (IPv6 Multicast SAFI)
       to update local MRIB from MBGP info
     - Uses IPv6 Multicast SAFI prefixes announced by
       peers to update local MRIB
     - Is able to announce local prefixes
     - Filter support
   * Supports both native and virtual (tunnel) interfaces
     (tested IPv6-IPv4, IPv6-IPv6 and TUN/TAP tunnels)
   * Abstract Forwarding Interface (MFA) with user-space module
   * Remote configuration and online administration

Package: mrename
Description-md5: 514c4f318a159c36d2a7e87b0f7750d3
Description-en: tool for easy and automatic renaming of many files
 Mass Rename is a simple pair of shell scripts which make it easier to
 move, rename, or copy multiple files at once. It is intended mainly as an
 automatic and simple way to rename multiple files with a customizable
 prefix and a progressive number. It is also possible to modify the rename
 format simply by editing one of the scripts. It is easy, complete, and
 efficient, and was written only in sh code.

Package: mriconvert
Description-md5: e99f9e72b059dc4a56d2a246da7b676a
Description-en: medical image file conversion utility
 MRIConvert is a medical image file conversion utility that converts
 DICOM files to NIfTI 1.1, Analyze 7.5, SPM99/Analyze, BrainVoyager,
 and MetaImage volume formats.

Package: mricron
Description-md5: cc721466ec6facf8bca38abe85b9b92d
Description-en: magnetic resonance image conversion, viewing and analysis
 This is a GUI-based visualization and analysis tool for (functional) magnetic
 resonance imaging. MRIcron can be used to create 2D or 3D renderings of
 statistical overlay maps on brain anatomy images. Moreover, it aids drawing
 anatomical regions-of-interest (ROI), or lesion mapping, as well as basic
 analysis of functional timeseries (e.g. creating plots of peristimulus
 signal-change).
 .
 In addition to 'mricron', this package also provides 'dcm2nii' that supports
 converting DICOM and PAR/REC images into the NIfTI format, and 'npm' for
 non-parametric data analysis.

Package: mricron-data
Description-md5: 733d979d632578e6ef0b1b8f93b651ba
Description-en: data files for MRIcron
 This is a GUI-based visualization and analysis tool for (functional) magnetic
 resonance imaging. MRIcron can be used to create 2D or 3D renderings of
 statistical overlay maps on brain anatomy images. Moreover, it aids drawing
 anatomical regions-of-interest (ROI), or lesion mapping, as well as basic
 analysis of functional timeseries (e.g. creating plots of peristimulus
 signal-change).
 .
 This package provides data files for MRIcron, such as brain atlases, anatomy,
 and color schemes.

Package: mricron-doc
Description-md5: 3757990d326a111a4217213a231a6817
Description-en: data files for MRIcron
 This is a GUI-based visualization and analysis tool for (functional) magnetic
 resonance imaging. MRIcron can be used to create 2D or 3D renderings of
 statistical overlay maps on brain anatomy images. Moreover, it aids drawing
 anatomical regions-of-interest (ROI), or lesion mapping, as well as basic
 analysis of functional timeseries (e.g. creating plots of peristimulus
 signal-change).
 .
 This package provides documentation for MRIcron in HTML format.

Package: mrmpi-doc
Description-md5: af09bdcb3d009724282162a1ceb3991d
Description-en: Implements MapReduce operation on top of standard MPI message - doc
 The MapReduce-MPI (MR-MPI) library is open-source software that implements the
 MapReduce operation popularized by Google on top of standard MPI message
 passing.
 .
 The MR-MPI library is written in C++ and is callable from hi-level languages
 such as C++, C, Fortran. A Python wrapper is also included, so MapReduce
 programs can be written in Python, including map() and reduce() user callback
 methods. A hi-level scripting interface to the MR-MPI library, called OINK, is
 also included which can be used to develop and chain MapReduce algorithms
 together in scripts with commands that simplify data management tasks. OINK has
 its own manual and doc pages.
 .
 This package contains the documentation for MapReduce-MPI libraries.

Package: mrrescue
Description-md5: 906b72246a147b92a664591c686e5d23
Description-en: Mr Rescue is an arcade 2d action game
 Mr. Rescue is an arcade styled 2d action game centered around evacuating
 civilians from burning buildings. The game features fast paced fire
 extinguishing action, intense boss battles, a catchy soundtrack and lots of
 throwing people around in pseudo-randomly generated buildings.

Package: mrs
Description-md5: c50a919ab813f0747cc44bc578cbc99f
Description-en: Information Retrieval System for Biomedical databanks
 MRS is a complete system to retrieve, index and query biological and
 medical databanks. It comes with all the code required to fetch data
 using FTP or rsync, then creates a local databank with indices using
 a databank specific parser written in Perl. It can then serve this
 data using a built-in web application and webservices.
 .
 Searching can be done on words and Boolean queries. As a bonus you
 can search protein sequences using a custom Blast algorithm.

Package: mrtdreader
Description-md5: 4c898ac9befd7a8f1017eace428108c2
Description-en: Reader for machine-readable travel documents (MRTDs / passports)
 This program takes as input the content of the machine-readable zone of
 machine-readable travel documents and then reads out some data and dumps the
 facial image to a file.
 .
 It is the example application delivered together with libmrtd.
 .
 Only reader devices which are fully supported by libnfc will work.

Package: mrtg
Description-md5: 964a797c55de3a1f80bcf05c061cc408
Description-en: multi router traffic grapher
 The Multi Router Traffic Grapher is a tool primarily used to monitor the
 traffic load on network links (typically by using SNMP). MRTG generates HTML
 pages containing PNG images which provide a LIVE visual representation of this
 traffic. MRTG typically produces daily, weekly, monthly, and yearly graphs.
 .
 In addition to monitoring via SNMP, MRTG can also generate graphs based on
 the output of any application, allowing one to generate graphs of anything
 that needs monitoring (for example, CPU and memory usage, email volumes, web
 hits, etc). For faster data collection, MRTG can also interface to RRDtool.
 .
 The mrtg-contrib package contains the contributed scripts and configuration
 files that used to form part of the mrtg package.

Package: mrtg-contrib
Description-md5: aa8043d2694b89c984b19f2ed06d405e
Description-en: multi router traffic grapher (contributed files)
 A collection of sample scripts and configuration files for the Multi Router
 Traffic Grapher (MRTG). This package contains the contributed files that ship
 with MRTG.

Package: mrtg-ping-probe
Description-md5: d9eb87ed0e6ffbc24bfba93fd2831d48
Description-en: Ping module for Multi Router Traffic Grapher
 mrtg-ping-probe is a ping probe for MRTG 2.x.  It is used to monitor
 the round trip time and packet loss to networked devices.  MRTG uses
 its output to generate graphs visualizing minimum and maximum round
 trip times or packet loss.

Package: mrtg-rrd
Description-md5: 80287b45c9debcce7bdbe477eb672b6c
Description-en: Generating graphs for MRTG statistics (CGI)
 mrtg-rrd is a replacement for the 14all.cgi script distributed in the
 MRTG contrib directory.  It is the script which can generate on-the-fly
 HTML output and graph images from the MRTG statistics.

Package: mrtgutils
Description-md5: 730ecdda78add419456982266c287a03
Description-en: Utilities to generate statistics for mrtg
 MRTGutils is a collection of simple utilities to generate output useful for
 mrtg. Many of the existing mrtg setups use shell or perl scripts to gather
 output. On busy systems, these scripts can generate a lot of extra load. These
 (small) C programs can return the given statistics more efficiently.
 .
 This package provides the following binaries that return:
  - mrtg-load: the current load average (5-minute average)
  - mrtg-ip-acct: the number of IP packets that have traversed an interface
  - mrtg-apache: the number of hits to a Apache web site

Package: mrtgutils-sensors
Description-md5: 122d619b71d60894c3fb4272d0fc83de
Description-en: Utilities to generate statistics for mrtg (from lm-sensors)
 MRTGutils is a collection of simple utilities to generate output useful for
 mrtg. Many of the existing mrtg setups use shell or perl scripts to gather
 output. On busy systems, these scripts can generate a lot of extra load. These
 (small) C programs can return the given statistics more efficiently.
 .
 This package provides the binary mrtg-sensors that returns data sensors
 information from lm-sensors.

Package: mrtparse
Description-md5: 568ceed65236524ff62f7e0d0326bc32
Description-en: read and analyze the MRT format data (utilities)
 The MRT format can be used to export routing protocol messages, state
 changes, and routing information base contents, and is defined in
 RFC6396.
 .
 Programs like Quagga / Zebra, BIRD, OpenBGPD and PyRT can dump the
 MRT format data. Archives are also available from the Route Views
 Projects and RIPE NCC.
 .
 This package contains various utilities using the Python module,
 including a tool to convert to an ExaBGP input, a tool to a bgpdump
 input, a tool to dump all the information as text and a tool to print
 a short summary.

Package: mrtrix3
Description-md5: 964e3efc7d8a8c7964b0809c29eee57b
Description-en: diffusion-weighted MRI white matter tractography
 Set of tools to perform diffusion-weighted MRI white matter tractography of the
 brain in the presence of crossing fibres, using Constrained Spherical
 Deconvolution, and a probabilisitic streamlines algorithm. Magnetic resonance
 images in DICOM, ANALYZE, or uncompressed NIfTI format are supported.

Package: mrtrix3-doc
Description-md5: 43141bc0c06a72ae1568c49a6998d092
Description-en: documentation for mrtrix3
 Set of tools to perform diffusion-weighted MRI white matter tractography of the
 brain in the presence of crossing fibres, using Constrained Spherical
 Deconvolution, and a probabilisitic streamlines algorithm. Magnetic resonance
 images in DICOM, ANALYZE, or uncompressed NIfTI format are supported.
 .
 This package provides the documentation in HTML format.

Package: mruby
Description-md5: e66dd0a59235cfa4fbf55773da168909
Description-en: lightweight implementation of the Ruby language
 mruby is the lightweight implementation of the Ruby language complying to
 the ISO standard.
 This can be linked and embedded within your application.
 .
 This package contains the following tools:
  * mirb: Embeddable interactive ruby shell
  * mrbc: mruby compiler
  * mruby: mruby interpreter
  * mrdb: mruby debugger
  * mruby-strip: mruby strip

Package: mscgen
Description-md5: 4dc929f8416e54167f92d0de978f7035
Description-en: Message Sequence Chart (MSC) generator
 mscgen is a small program that parses Message Sequence Chart
 descriptions and produces PNG, SVG, EPS or server side image
 maps (ismaps) as the output. Message Sequence Charts (MSCs)
 are a way of representing entities and interactions over some
 time period and are often used in combination with SDL. MSCs
 are popular in Telecoms to specify how protocols operate.
 .
 mscgen can be used to create in-line MSC-charts in documentations
 parsed by doxygen. Extensions also exists for Python's Sphinx and
 mediawiki that can use mscgen.

Package: mseed2sac
Description-md5: 002c93cb599a64322d530e86d55de5fa
Description-en: Convert MiniSEED time series data to SAC
 SAC and MiniSEED are two commonly used formats for seismological data.
 .
 mseed2sac converts MiniSEED waveform data to SAC format. The output SAC
 file can be either ASCII or binary (either byte-order), the default is
 binary with the same byte-order as the host computer. By default all
 aspects of the input files are automatically detected.

Package: msgp
Description-md5: 825d36df9ce251dce369310faadfbe93
Description-en: Go code generator for MessagePack
 This is a code generation tool and serialization library for MessagePack. It is
 targeted at the go generate tool. You can read more about MessagePack in the
 wiki, or at msgpack.org.
 .
 Why?
 .
   * Use Go as your schema language
   * Speeeeeed (400MB/s on modern hardware)
   * JSON interop
   * User-defined extensions
   * Type safety
   * Encoding flexibility
 .
 This package contains the tools/binaries.

Package: msi-keyboard
Description-md5: 01e9b52dde28839308d0f6d1a0fb7442
Description-en: command line tool to change MSI steelseries keyboards color setup
 This command line tool allows one to change the color setup of MSI
 steelseries keyboards, found on some MSI laptop.
 The tool supports changing operational mode of keyboard backlight and
 changing color and intensity of specific areas.

Package: msitools
Description-md5: ed2b5f9a91c3fabdc75163c02198592b
Description-en: Windows Installer file manipulation tool
 msitools contains a number of programs to create, inspect and extract
 Windows Installer (.msi) files.
 .
 The following tools are included:
  - msiinfo: inspects MSI packages
  - msibuild: builds MSI packages
  - msidiff: compares two MSI packages' contents
  - msidump: dumps raw MSI tables and stream contents
  - msiextract: extracts files contained in MSI packages

Package: msktutil
Description-md5: b7c195f08e0475653cf3471a0f7a9cc0
Description-en: Utility for interoperability with Active Directory
 msktutil is a utility that fetches and manages Kerberos keytabs in a
 Microsoft Active Directory environment.
 .
 It can perform the following functions:
  - Create a computer account in Active Directory
  - Create a system Kerberos keytab
  - Add and remove principals to and from a system keytab
  - Change a computer account's password

Package: msmtp
Description-md5: bf34da45d1bfa56dff949d1f12dd1a55
Description-en: light SMTP client with support for server profiles
 msmtp is an SMTP client that can be used to send mails from Mutt and probably
 other MUAs (mail user agents). It forwards mails to an SMTP server (for
 example at a free mail provider), which takes care of the final delivery.
 Using profiles, it can be easily configured to use different SMTP servers
 with different configurations, which makes it ideal for mobile clients.
 .
 This package is compiled with SASL and TLS/SSL support.

Package: msmtp-gnome
Description-md5: 1b78682e172dd821d518cb1508bc1bde
Description-en: light SMTP client with support for server profiles - with GNOME keyring support
 msmtp is an SMTP client that can be used to send mails from Mutt and probably
 other MUAs (mail user agents). It forwards mails to an SMTP server (for
 example at a free mail provider), which takes care of the final delivery.
 Using profiles, it can be easily configured to use different SMTP servers
 with different configurations, which makes it ideal for mobile clients.
 .
 This package is compiled with SASL and TLS/SSL support, and additionally with
 GNOME keyring support.

Package: msmtp-mta
Description-md5: 92a76bed20d7385fc7d78445076c37fc
Description-en: light SMTP client with support for server profiles - the regular MTA
 msmtp is an SMTP client that can be used to send mails from Mutt and probably
 other MUAs (mail user agents). It forwards mails to an SMTP server (for
 example at a free mail provider), which takes care of the final delivery.
 Using profiles, it can be easily configured to use different SMTP servers
 with different configurations, which makes it ideal for mobile clients.
 .
 This package is compiled with SASL and TLS/SSL support.
 .
 This package provides the regular MTA program.

Package: msort
Description-md5: deea6b3e063390720008f14d7bebe8d0
Description-en: utility for sorting records in complex ways
 msort is a program for sorting files in sophisticated ways. It was originally
 developed for alphabetizing dictionaries of "exotic" languages, for which it
 has been extensively used, but is useful for many other purposes. msort differs
 from typical sort utilities in providing greater flexibility in parsing the
 input into records and identifying key fields and greater control over the
 sort order. Its main distinctive features are:
 .
  o Msort can be used as a command-line program or via a graphical user
    interface that is helpful not only to those who find a complicated command
    line difficult to deal with but also to those unfamiliar with the finer
    points of sorting.
  o Records need not be single lines of text but may be delimited in a number
    of ways.
  o Key fields may be selected by position in the record (counting from the
    beginning or the end), by character ranges (e.g. the key consists of the
    fourth through eighth characters), or by matching a regular expression to
    a tag.
  o For each key an arbitrary sort order may be specified. Msort also
    understands locales.
  o For each key an effectively unlimited number of multigraphs (sequences
    of characters to be treated as a single unit for purposes of sorting,
    "collating elements" in Unicode parlance) of effectively unlimited length
    may be defined.
  o In addition to the usual lexicographic and numerical comparisons, msort
    supports hybrid lexicographic-numeric comparison (for things like filenames
    and section headings, so that, e.g., 2a will precede 10b), random
    comparison, and ordering by angle, date, time, month name, domain name,
    email address, ISO8601 date-time, and string length.
  o Numbers may be in just about any known number system, e.g. Chinese or
    Devanagari.
  o For each key a distinct set of characters may be excluded from
    consideration when sorting in any combination of initial, final, and
    medial position in the key field.
  o For each key a distinct set of regular expression substitutions may be
    defined. These provide the means to make names like McCarthy sort before
    MacCawley, as if McCarthy were spelled MacCarthy as well as to handle the
    rare cases in which a single character is treated for purposes of sorting
    as a sequence, such as German "eszet" sign, which is traditionally sorted
    as if it were ss.
  o Lexicographic keys may be reversed, allowing the construction of reverse
    dictionaries.
  o Any or all keys may be optional. For optional keys, the user may specify
    how records missing the key field should compare to records in which the
    key field is present.
  o A choice of sorting algorithms with different properties is provided.
 .
 msort understands UTF-8 Unicode. Unicode may be used anywhere that text is
 entered: in the text to be sorted, in sort order and exclusion definitions,
 as a field or record separator, or as a field tag. Full Unicode
 case-folding is available.

Package: msort-gui
Description-md5: 1a0a41410a5d6985782a3358f7a62a3b
Description-en: tcl/tk gui for msort utility
 msort-gui is a frontend for msort utility. It is helpful not only to those
 who find a complicated command line difficult to deal with but also to
 those unfamiliar with the finer points of sorting.

Package: msp430-libc
Description-md5: 9cf461dce11de6c0ed05ef007fef477d
Description-en: Standard C library for TI MSP430 development
 Standard library used to the development of C programs for the
 Texas Instruments MSP430 micro controllers. This package contains static
 libraries as well as the headers to be used with MSP430 cross-compilers.
 .
 This package is primarily intended to be used by MSP430 developers, in
 conjunction with a suitable toolchain.

Package: msp430mcu
Description-md5: 4e0993f5535b28993595f978dd0aba97
Description-en: Spec files, headers and linker scripts for TI's MSP430 targets
 This package provides specification files, C headers and linker scripts
 to be used with MSP430 cross-compilers.
 .
 Original MCU layouts, addresses and headers are provided and constantly
 updated by Texas Instruments; additional fixes are included to ensure
 full compatibility with MSP430 GCC toolchain. Resulting package is anyway
 useful with any proper cross-compiler.
 .
 This package is primarily intended to be used by MSP430 developers, in
 conjunction with a suitable toolchain.

Package: mspdebug
Description-md5: 41d7dafcbd0b3d2419f5b15784729e75
Description-en: debugging tool for MSP430 microcontrollers
 MSPDebug is a free debugger for use with MSP430 MCUs. It supports FET430UIF,
 eZ430, RF2500 and Olimex MSP-JTAG-TINY programmers. It can be used as a proxy
 for gdb or as an independent debugger with support for programming, disassembly
 and reverse engineering.

Package: mssh
Description-md5: 5848c2bae5597c2eb80d206aaeaad801
Description-en: tool to administrate multiple servers at once
 MultiSSH is a GTK+ based SSH client designed to connect to multiple
 servers and issue to same commands to each server, making administering
 multiple servers less of a chore. There is also functionality to only
 issue commands to individual servers, and to select a portion of the
 servers to send commands to.

Package: mstflint
Description-md5: 345fe383611bb8615a32788b5b6e0da3
Description-en: Mellanox firmware burning application and diagnostics tools
 This package contains a burning tool and diagnostic tools for Mellanox
 manufactured host channel adapters (HCA) and network interface cards (NIC).
 .
 This burning tool should be used only with Mellanox-manufactured
 HCA/NIC cards. Using it with cards manufactured by other vendors may
 be harmful to the cards (due to different configurations). Using the
 diagnostic tools is normally safe for all HCAs/NICs.

Package: msva-perl
Description-md5: 426e1b75777d2657ea81a47c4750d6e6
Description-en: Cryptographic identity validation agent (Perl implementation)
 The Monkeysphere Validation Agent offers a local service for tools to
 validate certificates (both X.509 and OpenPGP) and other public keys.
 .
 This package contains a perl implementation of a Monkeysphere
 Validation Agent.

Package: mswatch
Description-md5: ac76b828c1208bad9da86b9a6275c11d
Description-en: watch mailstores for changes and initiate mailbox syncs - client tools
 mswatch is a command line Unix program that keeps two mailboxes
 synchronized more efficiently and with shorter delays than periodically
 synchronizing them.
 .
 mswatch watches mailboxes for changes to know when to initiate mailbox
 syncs. Using mswatch, your mail synchronization program can be called
 on demand instead of through polling, resulting in prompter mail
 delivery and lower bandwidth usage and server load.
 .
 mswatch is designed to work in conjunction with mailbox synchronization
 programs, and currently supports watching Linux (2.4+) hosted Maildirs
 (including Maildir folders, Maildir++). Future support for additional
 mailbox formats, especially for mbox, is planned.
 .
 This package provides the mswatch tools for use on the imap client
 host.

Package: msxpertsuite
Description-md5: 332351d0367a16f8c219250043610692
Description-en: mass spectrometry software suite - metapackage
 msXpertSuite provides programs to model linear (bio-) polymer
 chemistries, simulate mass spectral data, analyse and data-mine mass.
 It is the successor of GNU polyXmass, first, and then of massXpert.
 .
 The massXpert and mineXpert programs allow the following:
 .
 massXpert:
  - making brand new polymer chemistry definitions;
  - using the definitions to perform easy calculations in a desktop
    calculator-like manner;
  - performing sophisticated polymer sequence editing and simulations;
  - perform m/z list comparisons;
 .
  Chemical simulations encompass cleavage (either chemical or
  enzymatic), gas-phase fragmentations, chemical modification of any
  monomer in the polymer sequence, cross-linking of monomers in the
  sequence, arbitrary mass searches, calculation of the isotopic
  pattern...
 .
 mineXpert:
  - Open mass spectrometry data files (mzML, mzXML, asc, xy, ...);
  - Calculate and display the TIC chromatogram;
  - For mobility data, calculate and display a mz=f(dt) color map;
  - Integrate the data from the TIC chromatogram or color map
    - to mass spectrum;
    - to drift spectrum;
    - back to TIC chromatogram (XIC chromatogram);
    - reverse operations;
    - to single TIC intensity value
      (for mass spectral intensity comparisons);
  - Model centroids peaks into mass spectra using either the
    Gaussian model or the Lorentzian model;
  - Export the data to text files;
  - Slice a big initial file into smaller chunks for easier mining;
  - Define how mining activity is recorded on disk for later use;
  - Convert mzML files into a private (albeit open) mass spectrometry
    format that allows better performance (based on SQLite3).
 .
 This package depends on both massXpert and mineXpert packages and thus will
 install both of them. To install only one of the packages, install the
 corresponding msxpertsuite-massxpert or msxpertsuite-minexpert package.

Package: msxpertsuite-massxpert
Description-md5: 8af0a990c49d8ce04ca94ead5044365b
Description-en: mass spectrometry software suite - massXpert
 msXpertSuite provides programs to model linear (bio-) polymer
 chemistries, simulate mass spectral data, analyse and data-mine mass.
 It is the successor of GNU polyXmass, first, and then of massXpert.
 .
 This package ships the massXpert program.

Package: msxpertsuite-massxpert-data-doc
Description-md5: 30334d5333b870dd395f457d2a91aa45
Description-en: mass spectrometry software suite - massXpert - data and doc
 msXpertSuite provides programs to model linear (bio-) polymer
 chemistries, simulate mass spectral data, analyse and data-mine mass.
 It is the successor of GNU polyXmass, first, and then of massXpert.
 .
 This package ships the data used by massXpert and its documentation.

Package: msxpertsuite-minexpert
Description-md5: dceeda4447bd07d7a2da452090bc88eb
Description-en: mass spectrometry software suite - mineXpert
 msXpertSuite provides programs to model linear (bio-) polymer
 chemistries, simulate mass spectral data, analyse and data-mine mass.
 It is the successor of GNU polyXmass, first, and then of massXpert.
 .
 This package ships the mineXpert program.

Package: msxpertsuite-minexpert-data-doc
Description-md5: 322e50b8a6caea39e1909196d1b6c83d
Description-en: mass spectrometry software suite - mineXpert - data and doc
 msXpertSuite provides programs to model linear (bio-) polymer
 chemistries, simulate mass spectral data, analyse and data-mine mass.
 It is the successor of GNU polyXmass, first, and then of massXpert.
 .
 This package ships the data used by mineXpert and its documentation.

Package: mt-st
Description-md5: 3fabef24fd784c60d7021beae15e2308
Description-en: Linux SCSI tape driver aware magnetic tape control (aka mt)
 Mt-st contains a version of "mt" that is aware of Linux's SCSI tape
 driver. Mt-st is able to set some esoteric control flags like tape partitions.
 .
 Mt-st provides an alternative to the GNU version of mt, in the cpio package.
 .
 It also comes with stinit, a program to be run at boot time to set up
 tape defaults.

Package: mtail
Description-md5: d232cf12d2546c08ee3e00f5bc77df52
Description-en: Extract monitoring data from logs for collection in a timeseries database
 Mtail is a tool for extracting metrics from application logs to be exported
 into a timeseries database or timeseries calculator for alerting and
 dashboarding.
 .
 It aims to fill a niche between applications that do not export their own
 internal state, and existing monitoring systems, without patching those
 applications or rewriting the same framework for custom extraction glue code.
 .
 Metrics are exported for scraping by a collector as JSON or Prometheus format
 over HTTP, or can be periodically sent to a collectd, StatsD, or Graphite
 collector socket.

Package: mtbl-bin
Description-md5: 5c9b3f7b06ebeb314a2c07018f0a0ef8
Description-en: immutable sorted string table library (utilities)
 mtbl is a C library implementation of the Sorted String Table (SSTable)
 data structure, based on the SSTable implementation in the open source
 Google LevelDB library. An SSTable is a file containing an immutable
 mapping of keys to values. Keys are stored in sorted order, with an
 index at the end of the file allowing keys to be located quickly.
 .
 mtbl is not a database library. It does not provide an updateable
 key-value data store, but rather exposes primitives for creating,
 searching and merging SSTable files. Unlike databases which use
 the SSTable data structure internally as part of their data store,
 management of SSTable files -- creation, merging, deletion, combining
 of search results from multiple SSTables -- is left to the
 discretion of the mtbl library user.
 .
 This package contains command line utilities for libmtbl.

Package: mtdev-tools
Description-md5: 82791baa4c09c5e1f5542eebc7f37deb
Description-en: Multitouch Protocol Translation Library - test tools
 libmtdev is a library for translating evdev multitouch events using the legacy
 protocol to the new multitouch slots protocol. This is necessary for kernel
 drivers that have not been updated to use the newer protocol.
 .
 This package provides some test tools for the libmtdev library.
  + mtdev-test: prints the information coming from the kernel

Package: mtink
Description-md5: a6075e7a554314cb54f1580a53fe6b21
Description-en: Status monitor tool for Epson inkjet printers
 This is a printer status monitor which enables to get the remaining ink
 quantity, to print test patterns, to reset printer and to clean nozzles.
 .
 Although it mainly targets Epson printers, certain HP and Canon models
 are supported.

Package: mtink-doc
Description-md5: 955c80ecdc60ef0fcf867c3bb8aeb616
Description-en: Status monitor tool for Epson inkjet printers - documentation
 This is a printer status monitor which enables to get the remaining ink
 quantity, to print test patterns, to reset printer and to clean nozzles.
 .
 Although it mainly targets Epson printers, certain HP and Canon models
 are supported.
 .
 This package contains the documentation.

Package: mtkbabel
Description-md5: a10d5e475719632e939da2b774ee0bfc
Description-en: Tool for managing i-Blue 747 and compatible GPS data loggers
 MTKBabel is a command line program to operate the i-Blue 747 GPS data logger.
 It should work also with other GPS devices based on the MediaTek MTK chipset.
 For instance the Holux M-241 GPS data logger, the Holux M-1200E, as well as
 the 747 A+ GPS Trip Recorder, are also known to work.
 .
 The main features are:
  - Command line interface
  - Save data log in GPX and raw binary format
  - If required retrieve all the data, also the old one being overlapped
  - Change logging criteria: time, distance, speed
  - Change log format
  - START/STOP logging
  - Set OVERLAP or STOP method on memory full
  - Erase the internal memory

Package: mtp-tools
Description-md5: b21df6970cd0c308c870019c053e3080
Description-en: Media Transfer Protocol (MTP) library tools
 libmtp is a library for communicating with MTP aware devices in POSIX
 compliant operating systems.  It implements MTP Basic, the subset
 proposed for standardization.
 .
 The Media Transfer Protocol (commonly referred to as MTP) is a devised
 set of custom extensions to support the transfer of music files on
 USB digital audio players and movie files on USB portable media players.
 .
 This package contains tools for communicating with MTP devices.

Package: mtpaint
Description-md5: ce8e9f6731be72e086065d1e2f5c3518
Description-en: painting program to create pixel art and manipulate digital photos
 mtPaint is a free, open source graphic editing program which uses the GTK+
 toolkit.
 It is geared towards creating indexed palette images and pixel art.
 .
 Due to its efficient design it can run on older PC hardware (e.g. a 200MHz CPU
 and 32MB of RAM). It can edit indexed palette or 24 bit RGB images and offers
 basic painting and palette manipulation tools.
 .
 It is aimed to be simple and easy to use. it also can handle JPEG, GIF, TIFF,
 BMP, XPM, and XBM files.

Package: mtpolicyd
Description-md5: 32cf89d7807c6a2fe295e8a8126ca9fc
Description-en: modular policy daemon for postfix
 mtpolicyd is a modular policy daemon for postfix written in perl.
 It has support for virtual hosts, session caching, per-user
 configuration and is easily extensible thru plugins.
 .
 It already ships with a lot of plugins:
 .
    RBL - query DNS IP black/whitelists
    DBL - query DNS domain black/whitelists
    SqlList - use SQL query as black/whitelist
    CtIpRep - use Commtouch IP reputation
    GeoIP - use location of sending IP
    Fail2ban - block spammers with fail2ban/iptables
    Greylist - greylisting
    SPF - Sender Policy Framework
    Accounting - collect SMTP-level accounting information
    Quota - apply quota limits based on Accounting data
    and more... (see Documentation)

Package: mtr
Description-md5: 54a77efbbccea4575d5a174a6e182d79
Description-en: Full screen ncurses and X11 traceroute tool
 mtr combines the functionality of the 'traceroute' and 'ping' programs
 in a single network diagnostic tool.
 .
 As mtr starts, it investigates the network connection between the host
 mtr runs on and a user-specified destination host.  After it
 determines the address of each network hop between the machines,
 it sends a sequence of ICMP ECHO requests to each one to determine the
 quality of the link to each machine.  As it does this, it prints
 running statistics about each machine.

Package: mtree-netbsd
Description-md5: 60213751a5bdeda1b147bc2f61591bbf
Description-en: Validates directory tree against specification
 The mtree utility compares a file hierarchy against a specification,
 creates a specification for a file hierarchy, or modifies a specification.
 This specification can be controlled by the user, but typically includes
 file/directory/symlink names, ownership information, permission bits, and
 so forth.  It may optionally also include various hashes, such as SHA-256
 or MD5.
 .
 This mtree utility can understand its own files, as well as those generated
 by the FreeBSD mtree (in Debian as fmtree in freebsd-buildutils and
 freebsd-glue) and bsdtar/libarchive.

Package: mu-cade
Description-md5: 9e95045170d9080cecda1319a6998e87
Description-en: physics centipede invasion, smashup waggly shmup
 Mu-cade is another shoot-em-up game by Kenta Cho. In this game Kenta has
 introduced physics into his formula of hardcore shooting games. Similar
 in some ways to Asteroids and Nibbles, the playing area is a rectangular
 arena in which vessel-snakes have only one idea at the head: expelling
 the others into the vacuum. You incarnate one of them, and you'll quickly
 realize the subtlety of the goal: your tail lengthens as you destroy your
 enemies.

Package: mu-cade-data
Description-md5: 5d0a898e0a16ccbbee2853605842e486
Description-en: physics centipede invasion - game data
 Mu-cade is another shoot-em-up game by Kenta Cho. In this game Kenta has
 introduced physics into his formula of hardcore shooting games. Similar
 in some ways to Asteroids and Nibbles, the playing area is a rectangular
 arena in which vessel-snakes have only one idea at the head: expelling
 the others into the vacuum. You incarnate one of them, and you'll quickly
 realize the subtlety of the goal: your tail lengthens as you destroy your
 enemies.
 .
 This package includes the architecture-independent data for the game Mu-cade.

Package: mu-cite
Description-md5: 7c32ba683adeb1be99ae917a30a91a72
Description-en: message citation utility for emacsen
 MU-CITE is a citation tool for emacsen.  MU-CITE's citing filter function
 is callable from various mail and news readers such as Gnus, MH-E, Wanderlust
 and Mew.
 .
 MU stands for "Message Utilities".  It consists of the following modules:
 .
  mu-cite.el           --- main module of MU-CITE
  mu-bbdb.el           --- MU-CITE submodule for BBDB
  mu-register.el       --- MU-CITE submodule for registration
  latex-math-symbol.el --- translate mathematical symbols of
                           LaTeX into MULE characters

Package: mu-editor
Description-md5: 388de62618e2b6498a3649d585c7e81e
Description-en: simple editor for beginner Python programmers
 Mu is a simple code editor for beginner programmers, based on extensive
 feedback from teachers and learners. Having said that, Mu is for anyone
 who wants to use a simple "no frills" editor.
 .
 Mu is a modal editor with modes for:
 .
  * standard Python 3 (including a graphical debugger)
  * the micro:bit's version of MicroPython
  * Adafruit's CircuitPython
  * Pygame Zero
 .
 Some modes provide read-eval-print loop (REPL) support, either running on
 a connected CircuitPython or MicroPython device, or as a Jupyter-based
 iPython session in Python3 mode.
 .
 This package contains the Mu editor. Detailed online user documentation
 and tutorials for the Mu editor are available from within the editor,
 or at https://codewith.mu

Package: mu-editor-doc
Description-md5: a95c7504cf5ce2dba8ce84b1299b316b
Description-en: simple editor for beginner Python programmers (documentation)
 Mu is a simple code editor for beginner programmers, based on extensive
 feedback from teachers and learners. Having said that, Mu is for anyone
 who wants to use a simple "no frills" editor.
 .
 Mu is a modal editor with modes for:
 .
  * standard Python 3 (including a graphical debugger)
  * the micro:bit's version of MicroPython
  * Adafruit's CircuitPython
  * Pygame Zero
 .
 Some modes provide read-eval-print loop (REPL) support, either running on
 a connected CircuitPython or MicroPython device, or as a Jupyter-based
 iPython session in Python3 mode.
 .
 This package contains the developer documentation for the Mu editor. Detailed
 user documentation and tutorials for the Mu editor are available from within
 the editor, or at https://codewith.mu

Package: mu4e
Description-md5: fa2b6b5556c3aeb1f5e6c19b19a58695
Description-en: e-mail client for Emacs based on mu (maildir-utils)
 mu4e (mu-for-emacs) is an e-mail client for GNU-Emacs version 23 and
 later, built on top of the mu e-mail search engine. mu4e is optimized
 for fast handling of large amounts of e-mail.

Package: muchsync
Description-md5: f5a3e53760bca2bcd15cb0aa7c3338c0
Description-en: synchronize maildirs and notmuch databases
 Muchsync brings notmuch to all of your computers by synchronizing your
 mail messages and notmuch tags across machines. The protocol is heavily
 pipelined to work efficiently over high-latency networks such as mobile
 broadband.
 .
 Muchsync supports arbitrary pairwise synchronization among replicas. A
 version-vector-based algorithm allows it to exchange only the minimum
 information necessary to bring replicas up to date regardless of which
 pairs have previously synchronized.

Package: mudita24
Description-md5: abbb834d0185f6eb4cee4ef9b8cef67c
Description-en: ALSA GUI control tool for Envy24 (ice1712) soundcards
 Mudita24 is a modification of the Linux alsa-tools' envy24control: an
 application controlling the digital mixer, channel gains and other hardware
 settings for sound cards based on the VIA Ice1712 chipset aka Envy24. Unlike
 most ALSA mixer controls, this application displays a level meter for each
 input and output channel and maintains peak level indicators. This is based
 on Envy24's hardware peak metering feature.
 .
 Mudita24 provides alternate name to avoid confusion with "envy24control
 0.6.0" until changes in this version propagate upstream. As balance to the
 "Envy", this project needed some Mudita "In Buddhism the third of the four
 divine abidings is mudita, taking joy in the good fortune of another. This
 virtue is considered the antidote to envy and the opposite of
 schadenfreude."
 .
 This utility is preferable to alsamixer for those with ice1712-based
 cards: M-Audio Delta 1010, Delta 1010LT, Delta DiO 2496, Delta 66, Delta 44,
 Delta 410 and Audiophile 2496. Terratec EWS 88MT, EWS 88D, EWX 24/96, DMX
 6Fire, Phase 88. Hoontech Soundtrack DSP 24, Soundtrack DSP 24 Value,
 Soundtrack DSP 24 Media 7.1. Event Electronics EZ8. Digigram VX442.
 Lionstracs, Mediastaton. Terrasoniq TS 88. Roland/Edirol DA-2496.

Package: mudlet
Description-md5: 2f4f7e52a21ce2c485e635445fbad929
Description-en: Graphical MUD client with fast lua scripting support
 A completely redesigned MUD (Multi User Dungeon) client that is easy to
 use and customise.  Both power users and plain gamers alike will feel at
 home with Mudlet, without having to waste too much timer figuring out
 how to do something.
 .
 Mudlet is designed to be very fast and efficient right from the start.
 Its scripting engine is designed to handle thousands of lines under
 one second. The scripting framework uses Lua - a small, fast and
 efficient scripting language.

Package: mueller7-dict
Description-md5: d0d6c343af3bd2bd56d50abf1577b2b1
Description-en: Mueller English/Russian dictionary in dict format
 Electronic version of the English/Russian dictionary by V. K. Mueller,
 release 7.
 .
 This package contains the dictionary in dict format.

Package: mueller7accent-dict
Description-md5: 98530bd38cb0a3b871885b98d6743ed9
Description-en: Mueller English/Russian dictionary with accents in dict format
 Electronic version of the English/Russian dictionary with accents by
 V. K. Mueller, release 7.
 .
 This package contains accented version of the dictionary in dict format.

Package: mueval
Description-md5: af7941ef933a63553a54d13080609845
Description-en: Safely evaluate pure Haskell expressions - executables
 Mueval is a Haskell interpreter. It uses the GHC API to evaluate arbitrary
 Haskell expressions.  Importantly, mueval takes many precautions to defang and
 avoid "evil" code.  It uses resource limits, whitelisted modules and Safe
 Haskell, special Show instances for IO, threads, processes, and changes of
 directory to sandbox the Haskell code.
 .
 This contains the stand-alone mueval binaries.

Package: muffin
Description-md5: 9e054e88a1beb69eced924223232f38e
Description-en: lightweight window and compositing manager
 Muffin is a small window manager performing compositing as well based on
 GTK+ and Clutter and used in desktop environment such as Cinnamon.
 .
 It is fairly extensible by the mean of plugins, providing new or customized
 visual effects as well as a flexibility in the behavior of the window
 manager.
 .
 This package contains the core binaries.

Package: muffin-common
Description-md5: 9681f73ba61a8eeb2603251b9393a920
Description-en: lightweight window and compositing manager (data files)
 Muffin is a small window manager performing compositing as well based on
 GTK+ and Clutter and used in desktop environment such as Cinnamon.
 .
 It is fairly extensible by the mean of plugins, providing new or customized
 visual effects as well as a flexibility in the behavior of the window
 manager.
 .
 This package contains the shared data files.

Package: muffin-doc
Description-md5: 13047f769e926b8cd2d218745879b6e0
Description-en: lightweight window and compositing manager (Documentation)
 Muffin is a small window manager performing compositing as well based on
 GTK+ and Clutter and used in desktop environment such as Cinnamon.
 .
 It is fairly extensible by the mean of plugins, providing new or customized
 visual effects as well as a flexibility in the behavior of the window
 manager.
 .
 This package contains the documentation files distributed with Cinnamon.

Package: mugshot
Description-md5: 233645c695b51de55e6047f4aa0068d2
Description-en: lightweight user-configuration application
 Mugshot is a lightweight user configuration utility that allows you to
 easily update personal user details. This includes:
  - Linux profile image: ~/.face
  - User details stored in /etc/passwd (used by finger)
  - Pidgin buddy icon
  - LibreOffice user details

Package: multex-base
Description-md5: 2457c1c9f225f9952e29813d9f3d6644
Description-en: basic MulTeX library files
 MulTeX is a TeX implementation for Multilingual text processing
 including Chinese, Japanese and Korean.

Package: multiboot
Description-md5: ae273904c0661984177ea9a25cb22051
Description-en: The Multiboot specification
 This specification, created in 1995, describes a method of loading various
 multiboot kernels using a single compliant boot loader (such as GNU GRUB)
 on i386-based computers.
 .
 It is used by a variety of kernel images, such as the Hurd, Xen, or NetBSD
 kernels.
 .
 An example implementation of a Multiboot kernel is provided.
 .
 For an example implementation of a Multiboot loader, check GRUB Legacy
 (grub-legacy package), or GRUB 2 (grub-pc package, and others).

Package: multicat
Description-md5: c25700974c1c8bc042198518298d8e26
Description-en: Set of tools for multicast and transport stream manipulation
 The multicat package contains a set of tools designed to easily and
 efficiently manipulate multicast streams in general, and MPEG-2 Transport
 Streams (ISO/IEC 13818-1) in particular.
 .
 The multicat suite of applications is very lightweight and designed to operate
 in tight environments. Memory and CPU usages are kept to a minimum, and they
 feature only one thread of execution.
 .
 The package contain fives programs: multicat, ingests, offsets, aggregartp and
 desaggregartp.

Package: multimail
Description-md5: 19c69da6d86393b7467fa5e8113a042d
Description-en: Offline reader for Blue Wave, QWK, OMEN and SOUP
 MultiMail is an offline mail packet reader for Unix and
 other systems. It currently supports the Blue Wave, QWK,
 OMEN and SOUP formats. It has a full screen, color user
 interface, built with the curses library.

Package: multimedia-ambisonics
Description-md5: c674af63cc724978edae386d6c4d8aa9
Description-en: Packages for working with ambisonics (3D surround sound)
 This metapackage will install packages you need when working with
 ambisonics.

Package: multimedia-animation
Description-md5: e7b1b72287e411d958d99404e5df8e8b
Description-en: Packages for creating animations
 This metapackage will install packages for designed to create and view
 2D and 3D animations for concerts, theatre and dance performances,
 feature films and promotional screenings.

Package: multimedia-audio-plugins
Description-md5: bcb487f8b6786f2b27b8eb25e8d885df
Description-en: Audio processing plugins, synths and virtual instruments
 This metapackage installs plugins that are useful for creating and
 processing audio.

Package: multimedia-audio-utilities
Description-md5: 4a38c597f251b39505beeff71650e8a4
Description-en: packages for manipulating & cataloging music and audio
 This metapackage installs programs and plugins for manipulating &
 cataloging music and audio. This includes stretching audio in time,
 breaking it into segments, the detection of pitch, beat and silence,
 and transcoding between file formats. It also includes tools to assist
 maintaining music collections by detecting duplicates, tagging metadata
 and dealing with cover art and album information.

Package: multimedia-broadcasting
Description-md5: aefccdd3b9d2e6de48fbcb2fc5a4991a
Description-en: Packages for broadcasting media publicly or in the home
 Metapackage which installs packages for broadcasters, cinemas, or home
 users (e.g. streaming & media servers).

Package: multimedia-csound
Description-md5: 8c7018ee5b518b15ab1b7854de828b52
Description-en: Packages for working with Csound
 Metapackage which installs packages, libraries, extensions and plug-ins to work
 with Csound, the grand old audio programming language.

Package: multimedia-devel
Description-md5: 906a641c681176575bbcbe336618f6f3
Description-en: Extra packages for developers and package compiling
 This metapackage will install additional software to compile audio
 software.

Package: multimedia-djing
Description-md5: d0102aaa57891ef1f8857bd96c1e552b
Description-en: Packages for Disk Jockeys
 This metapackage will install packages for djing

Package: multimedia-drums
Description-md5: 02a986fab13885fe0fa5d1639df3a2b9
Description-en: Packages for drums & beats
 Metapackage which installs packages for making drums and beats.

Package: multimedia-firewire
Description-md5: 8e62ada452a5b3d4e023e17f239ab63c
Description-en: Packages for your firewire audiocard / interface
 This metapackage will install packages to configure your ffado
 supported firewire audio card.

Package: multimedia-graphics
Description-md5: 68537c05f7518962998c0dc6b17e1dd2
Description-en: Packages for making and editing artwork
 This metapackage will install packages for making and editing artwork.

Package: multimedia-guitar
Description-md5: d43da34d755094a25153531fb05cbc3b
Description-en: Packages for playing with your (virtual) guitar gear
 Packages which might be useful when playing guitar, adding guitar effects
 to your audio streams and / or editing notation and tablature for your
 guitar music.

Package: multimedia-jack
Description-md5: caf88887bda8d468fd042b7f73b7d2e2
Description-en: JACK (Jack Audio Connection Kit) and ALSA related packages
 This metapackage will install pro audio JACK (Jack Audio Connection Kit)
 and ALSA related packages.

Package: multimedia-ladi
Description-md5: f60f72f0e0b0a1cbc0ff5754e5412739
Description-en: LADI, Linuxaudio session management, packages
 This metapackage will install packages for ladish.org.

Package: multimedia-looping
Description-md5: c3c5389b8334c9e61a9f15f65e7ecf11
Description-en: Packages for looping music and audio
 Metapackage which installs packages with the aim to loop your audio
 (and midi).

Package: multimedia-midi
Description-md5: 7cdca85c5554e3d31132f178910e909c
Description-en: MIDI related packages
 This metapackage will install packages useful for MIDI, including trackers,
 MIDI synths and virtual keyboards.

Package: multimedia-mixing
Description-md5: 59209193553cbe5134a2648909e03162
Description-en: Packages for mixing and mastering your music
 This metapackage will install software to do mixing and mastering.

Package: multimedia-musiciantools
Description-md5: 32cfb269eae11f9614ffbd5b44db0667
Description-en: Packages for musicians
 This metapackage will install packages useful for musicians.
 It includes metronomes, instrument tuners and music notation software.

Package: multimedia-photography
Description-md5: 418733ed22d3244a2bcc111ec7ce6eef
Description-en: Packages for working with digital photography
 This metapackage will install packages for working with digital
 photography including viewing, manipulating, editing, scanning and
 printing of images, converting between image formats, uploading to
 websites, and compiling and publishing collections, galleries and photo
 albums.

Package: multimedia-players
Description-md5: 7754272dc74435dda3eb6350cf937a18
Description-en: Packages which makes your multimedia tools complete
 This metapackage will install additional 'consumer' multimedia software.

Package: multimedia-puredata
Description-md5: cebe172bf21cd0564bd29e33496cf6a6
Description-en: Packages for working with Pure Data
 Metapackage which installs packages, externals, libraries and plug-ins to work
 with Pure Data (aka Pd), a graphical realtime computer music and signal
 processing language.

Package: multimedia-recording
Description-md5: f04edfbbd6c8350d173d9d54e8a91fa5
Description-en: Packages for audio recording
 This package will install packages useful for recording audio and music

Package: multimedia-samplers
Description-md5: a99a5b828b46f2d8ce20bf8b2bacb79f
Description-en: Software samplers
 This metapackage will install free software samplers.

Package: multimedia-soundsynthesis
Description-md5: 66d2232f15663f8bdc84e37ff33b9ecc
Description-en: Packages for sound synthesis
 This package will install packages useful for sound synthesis.

Package: multimedia-supercollider
Description-md5: 564332c7b4a1dec865c6c6a4e5a7b3e5
Description-en: Packages for working with SuperCollider
 Metapackage which installs packages, externals, libraries and plug-ins to work
 with SuperCollider, a real time audio synthesis programming language based on
 SmallTalk.

Package: multimedia-tasks
Description-md5: 6bc4879dae58125d361a687cc10d141b
Description-en: Debian Multimedia tasks for tasksel
 This package provides Debian Multimedia tasks in tasksel.

Package: multimedia-video
Description-md5: bfa2b71e35049e273ea202a39739dc3d
Description-en: Video packages
 This metapackage will install Video software. Some are especially useful
 to combine video and sound.

Package: multimon
Description-md5: ffeaaf9dab968c34e026c9d3ccf7503d
Description-en: Linux Radio Transmission Decoder
 The multimon software can decode a variety of digital transmission modes
 commonly found on UHF radio. A standard PC soundcard is used to acquire
 the signal from a transceiver. The decoding is done completely in software.
 Currently, the following modes are supported:
     AX.25
         1200 Baud AFSK
         2400 Baud AFSK (2 variants)
         4800 Baud HAPN
         9600 Baud FSK (G3RUH)
     POCSAG
         512 Baud
         1200 Baud
         2400 Baud
     Miscellaneous
         DTMF
         ZVEI
 An arbitrary set of the above modes may run concurrently on the same input
 signal (provided the CPU power is sufficient), so you do not have to know
 in advance which mode is used. Note however that some modes might require
 modifications to the radio (especially the 9600 baud FSK and the POCSAG
 modes) to work properly.
 POCSAG (Post Office Code Standards Advisory Group) is a common paging
 transmission format.

Package: multimon-ng
Description-md5: 456698c7160026c5abc2f3f3602a4b24
Description-en: digital radio transmission decoder
 The successor to multimon, with support for more modes and improved
 compatibility with moderns systems. It decodes the following digital
 transmission modes commonly found on VHF/UHF bands:
 .
   POCSAG512 POCSAG1200 POCSAG2400
   FLEX
   EAS
   UFSK1200 CLIPFSK AFSK1200 AFSK2400 AFSK2400_2 AFSK2400_3
   HAPN4800
   FSK9600
   DTMF
   ZVEI1 ZVEI2 ZVEI3 DZVEI PZVEI
   EEA EIA CCIR
   MORSE CW
   X10
 .
 Multiple decoders can run concurrently on the same signal, which is
 provided via a file or a pipe. Common setups are: a radio connected
 via a sound card (may require modifications to the radio); samples
 provided via a GNU Radio sink or the UDP audio stream in gqrx (via
 netcat and sox).

Package: multistrap
Description-md5: 00da7af986f3f1e607d2550468b78068
Description-en: multiple repository bootstrap based on apt
 A debootstrap replacement with multiple repository support,
 using apt to handle all dependency issues and conflicts.
 .
 Multistrap includes support for native and foreign architecture
 bootstrap environments. Foreign bootstraps only need minimal
 configuration on the final device. Also supports cleaning up the
 generated bootstrap filesystem to remove downloaded packages and
 hooks to modify the files in the bootstrap filesystem after the
 packages have been unpacked but before being configured.
 .
 Unlike debootstrap, multistrap relies on working versions of
 dpkg and apt outside the final filesystem. If dpkg supports
 MultiArch, foreign architecture libraries can be installed,
 where available.

Package: multitail
Description-md5: 5e2f688efb214b063bdc418a705860a1
Description-en: view multiple logfiles windowed on console
 multitail lets you view one or multiple files like the original tail
 program.
 .
 The difference is that this program creates multiple windows on
 your console (with ncurses). It can also use colors while displaying the
 logfiles for faster recognizing which lines are important and which are
 not.
 .
 It is optimized for terminal-sessions through slow links.

Package: multitee
Description-md5: 4a2233766781a06b781fba12425e2639
Description-en: send multiple inputs to multiple outputs
 multitee sends all input on file descriptor fdin to each
 descriptor fdout. This is an improved version written by Dan
 Bernstein of the multitee program for BSD systems.

Package: multitime
Description-md5: 0c96a95f9f693f50f61e468e5b750fb5
Description-en: time-like tool which does multiple runs
 Unix's time utility is a simple and often effective way of measuring
 how long a command takes to run ("wall time"). Unfortunately, running
 a command once can give misleading timings: the process may create a
 cache on its first execution, running faster subsequently; other
 processes may cause the command to be starved of CPU or IO time;
 etc. It is common to see people run time several times and take
 whichever values they feel most comfortable with. Inevitably, this
 causes problems.
 .
 multitime is, in essence, a simple extension to time which runs a
 command multiple times and prints the timing means, standard
 deviations, mins, medians, and maxes having done so. This can give a
 much better understanding of the command's performance.

Package: multiwatch
Description-md5: cc73e24c0b426ed973ad1c26c1f9a2c6
Description-en: Forks and watches multiple instances of a program
 multiwatch forks multiple instance of one application and
 keeps them running.
 .
 It is made to be used with spawn-fcgi so all forks share the same
 fastcgi socket (no webserver restart needed if you increase/decrease
 the number of forks), and it is easier to setup than multiple
 daemontool or runit supervised instances.

Package: mumble
Description-md5: 07c8e9ffe77a700bb357718c18477b62
Description-en: Low latency encrypted VoIP client
 Mumble is a low-latency, high quality voice chat program for gaming.
 It features noise suppression, encrypted connections for both voice
 and instant messaging, automatic gain control and low latency audio
 with support for multiple audio standards. Mumble includes an in-game
 overlay compatible with most open-source and commercial 3D applications.
 Mumble is just a client and uses a non-standard protocol. You will need
 a dedicated server to talk to other users. Server functionality is
 provided by the package "mumble-server".

Package: mumble-server
Description-md5: 1fcced71b2a711c76977f8dc39491949
Description-en: Low latency encrypted VoIP server
 Murmur is the VoIP server component for Mumble. Murmur is installed
 in a system-wide fashion, but can also be run by individual users.
 Each murmur process supports multiple virtual servers, each with their
 own user base and channel list.

Package: mummer
Description-md5: 38971f03178291c551b90e00bbe5418f
Description-en: Efficient sequence alignment of full genomes
 MUMmer is a system for rapidly aligning entire genomes, whether
 in complete or draft form. For example, MUMmer 3.0 can find all
 20-basepair or longer exact matches between a pair of 5-megabase genomes
 in 13.7 seconds, using 78 MB of memory, on a 2.4 GHz Linux desktop
 computer. MUMmer can also align incomplete genomes; it handles the 100s
 or 1000s of contigs from a shotgun sequencing project with ease, and
 will align them to another set of contigs or a genome using the NUCmer
 program included with the system. If the species are too divergent for
 DNA sequence alignment to detect similarity, then the PROmer program
 can generate alignments based upon the six-frame translations of both
 input sequences.

Package: mummer-doc
Description-md5: a71c59e44d1a5d0e3305ea5e8201c358
Description-en: Documentation for MUMmer
 MUMmer is a system for rapidly aligning entire genomes, whether
 in complete or draft form. For example, MUMmer 3.0 can find all
 20-basepair or longer exact matches between a pair of 5-megabase genomes
 in 13.7 seconds, using 78 MB of memory, on a 2.4 GHz Linux desktop
 computer. MUMmer can also align incomplete genomes; it handles the 100s
 or 1000s of contigs from a shotgun sequencing project with ease, and
 will align them to another set of contigs or a genome using the NUCmer
 program included with the system. If the species are too divergent for
 DNA sequence alignment to detect similarity, then the PROmer program
 can generate alignments based upon the six-frame translations of both
 input sequences.
 .
 This package contains the documentation for MUMmer, a system for rapidly
 aligning entire genomes.

Package: mumps-test
Description-md5: 8d200f9cb9fe070eeca2c4e4e51c0ab7
Description-en: Example/test binaries using MUMPS
 MUMPS implements a direct solver for large sparse linear systems, with a
 particular focus on symmetric positive definite matrices.  It can
 operate on distributed matrices e.g. over a cluster.  It has Fortran and
 C interfaces, and can interface with ordering tools such as Scotch.

Package: mumudvb
Description-md5: a6212e7f3d0e3b8df713bfe65a7b593f
Description-en: multicasts all channels of a DVB transponder on a network
 Mumudvb is a program that redistributes streams from DVB on a network
 using multicast. It is able to multicast a whole DVB transponder by
 assigning each channel a different multicast IP. It can operate
 Conditional Access Modules (CAM), and therefore works with encrypted
 streams. It has very low CPU and memory usage.

Package: munge
Description-md5: 717b7e390379bf5e96112b0ce25b8486
Description-en: authentication service to create and validate credentials
 MUNGE (MUNGE Uid 'N' Gid Emporium) is an authentication service
 for creating and validating credentials.  It allows a process
 to authenticate the UID and GID of another local or remote
 process within a group of hosts having common users and groups.
 These hosts form a security realm that is defined by a shared
 cryptographic key.
 .
 A process creates a credential by requesting one from the local
 MUNGE service.  The encoded credential contains the UID and GID
 of the originating process.  This process sends the credential to
 another process within the security realm as a means of proving its
 identity.  The receiving process validates the credential with the
 use of its local MUNGE service.  The decoded credential provides
 the receiving process with a reliable means of ascertaining the
 UID and GID of the originating process, and this information can
 be used for accounting or access control decisions.
 .
 Clients can create and validate these credentials without the use
 of root privileges, reserved ports, or platform-specific methods.

Package: munin
Description-md5: 397739392252923095c5812dbaad0fdf
Description-en: network-wide graphing framework (grapher/gatherer)
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains the grapher/gatherer. You will only need one instance of
 it in your network. It will periodically poll all the nodes in your network
 it's aware of for data, which it in turn will use to create graphs and HTML
 pages, suitable for viewing with your graphical web browser of choice.
 .
 It is also able to alert you if any value is outside of a preset boundary,
 useful if you want to be alerted if a filesystem is about to grow full, for
 instance.  You can do this by making Munin run an arbitrary command when you
 need to be alert it, or make use of the intrinsic Nagios support.
 .
 Munin is written in Perl, and relies heavily on Tobi Oetiker's excellent
 RRDtool. To see a real example of Munin in action, you can follow a link
 from <http://munin-monitoring.org/> to a live installation.

Package: munin-async
Description-md5: 390d7c71211032ea3977a22eb57cde62
Description-en: network-wide graphing framework (async master/client)
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains munin-async and munin-asyncd which can
 be used for (optional) asynchronous operation of munin-update.
 .
 Munin is written in Perl, and relies heavily on Tobi Oetiker's excellent
 RRDtool. To see a real example of Munin in action, you can follow a link
 from <http://munin-monitoring.org/> to a live installation.

Package: munin-common
Description-md5: 4501696e1323d02bbf33751c99858ea9
Description-en: network-wide graphing framework (common)
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains the common perl modules that are used by both munin
 and munin-node.
 .
 Munin is written in Perl, and relies heavily on Tobi Oetiker's excellent
 RRDtool. To see a real example of Munin in action, you can follow a link
 from <http://munin-monitoring.org/> to a live installation.

Package: munin-doc
Description-md5: a4995b39fb5c585937bfa04039cfed02
Description-en: network-wide graphing framework (documentation)
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains all the documentation as POD files.
 .
 Munin is written in Perl, and relies heavily on Tobi Oetiker's excellent
 RRDtool. To see a real example of Munin in action, you can follow a link
 from <http://munin-monitoring.org/> to a live installation.

Package: munin-node
Description-md5: 7d6f3b57350a521bda7e61d4aa53a911
Description-en: network-wide graphing framework (node)
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains the daemon for the nodes being monitored. You should
 install it on all the nodes in your network. It will know how to extract all
 sorts of data from the node it runs on, and will wait for the gatherer to
 request this data for further processing.
 .
 Munin is written in Perl, and relies heavily on Tobi Oetiker's excellent
 RRDtool. To see a real example of Munin in action, you can follow a link
 from <http://munin-monitoring.org/> to a live installation.

Package: munin-node-c
Description-md5: bf31daf9c2160a01349f932189161d2b
Description-en: C rewrite of Munin node
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains a C rewrite of the daemon for the nodes being monitored.
 .
 The purpose for rewriting the daemon is the reduced resource usage specially
 when paired with the C rewrite of the core plugins.

Package: munin-plugins-btrfs
Description-md5: 0295e5879a71cd87ddc70410fb04007f
Description-en: munin plugin for monitoring btrfs filesystems
 This munin plugin uses the python btrfs module to retrieve information about
 disk space allocation and usage.
 .
 Traditional space usage monitoring based on the output of df is not sufficient
 when using btrfs. This plugin takes allocated but unused disk space, and
 unallocatable disk space (for example when mixing different sized disks with
 raid profiles) into account. It is meant to be used as an addition to using a
 regular disk usage plugin, based on df output.

Package: munin-plugins-c
Description-md5: 36a0c8e9e6d6094aa3a6b39d98a828af
Description-en: C rewrite of some Munin plugins
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains C rewrites of some of the core plugins for the Munin
 node such as cpu, load, memory and uptime.
 .
 The purpose for rewriting the plugins is the reduced resource usage.

Package: munin-plugins-core
Description-md5: 54f782cba4c609cd5afb2583f47afa8a
Description-en: network-wide graphing framework (plugins for node)
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains the official plugins for the Munin node, capable of
 extracting common values such as cpu usage, network usage, load average, and
 so on.
 .
 Creating your own plugins which are capable of extracting other system-specific
 values is very easy, and is often done in a matter of minutes.
 You can also create plugins which relay information from other devices in your
 network that can't run Munin, such as a switch or a server running another
 operating system, by using SNMP or similar technology.
 .
 Munin is written in Perl, and relies heavily on Tobi Oetiker's excellent
 RRDtool. To see a real example of Munin in action, you can follow a link
 from <http://munin-monitoring.org/> to a live installation.

Package: munin-plugins-extra
Description-md5: eb78ec531b14cee1d0003a07603bedc8
Description-en: network-wide graphing framework (user contributed plugins for node)
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains unsupported plugins for the Munin node, contributed by
 various users of Munin.
 .
 Munin is written in Perl, and relies heavily on Tobi Oetiker's excellent
 RRDtool. To see a real example of Munin in action, you can follow a link
 from <http://munin-monitoring.org/> to a live installation.

Package: munin-plugins-java
Description-md5: 072855de8e842c9eb4ce249c588de592
Description-en: network-wide graphing framework (java plugins for node)
 Munin is a highly flexible and powerful solution used to create graphs of
 virtually everything imaginable throughout your network, while still
 maintaining a rattling ease of installation and configuration.
 .
 This package contains the java based plugins that are used by munin-node to
 monitor Java Management Extensions (JMX).
 .
 Munin is written in Perl, and relies heavily on Tobi Oetiker's excellent
 RRDtool. To see a real example of Munin in action, you can follow a link
 from <http://munin-monitoring.org/> to a live installation.

Package: munipack
Description-md5: e7891aa5b27857cd28ae0f8e1fd42d23
Description-en: Astronomical photometry software package
 Munipack is a general astronomical photometry software package.
 Currently implements functions for standard photometry processing
 (photometry reductions - dark, flat), aperture  photometry, astrometry
 and photometry calibration, accessing Virtual observatory, etc.
 .
 This is a dependency package providing recommended complete installation.

Package: munipack-cli
Description-md5: 5915e72f3644afb7187e78cd946df0bb
Description-en: Command line interface of Munipack
 Munipack is a general astronomical photometry software package.
 Currently implements functions for standard photometry processing
 (photometry reductions - dark, flat), aperture  photometry, astrometry
 and photometry calibration, accessing Virtual observatory, etc.
 .
 This package provides "munipack" command which offers command line
 interface for Munipack. Also provides Virtual Observatory support.

Package: munipack-core
Description-md5: f9e13070ea78f258382d1a320d0a1821
Description-en: Core routines of Munipack
 Munipack is a general astronomical photometry software package.
 Currently implements functions for standard photometry processing
 (photometry reductions - dark, flat), aperture  photometry, astrometry
 and photometry calibration, accessing Virtual observatory, etc.
 .
 This package contains the core routines. Ones are implemented in Fortran
 and partly in C++ as independent binaries. Data are provided via
 standard input and output. None additional dependency is required
 but direct usage should by hard.

Package: munipack-doc
Description-md5: 46ddb93d50229ce4ab944aede133b7cc
Description-en: Documentation of Munipack
 Munipack is a general astronomical photometry software package.
 Currently implements functions for standard photometry processing
 (photometry reductions - dark, flat), aperture  photometry, astrometry
 and photometry calibration, accessing Virtual observatory, etc.
 .
 This package contains extensive documentation (guide, tutorials,
 description of data formats).

Package: munipack-gui
Description-md5: 83ff934db7d64df3ad9327df60036bd7
Description-en: Graphical user interface of Munipack
 Munipack is a general astronomical photometry software package.
 Currently implements functions for standard photometry processing
 (photometry reductions - dark, flat), aperture  photometry, astrometry
 and photometry calibration, accessing Virtual observatory, etc.
 .
 This package provides "xmunipack" command which offers graphical
 user interface for Munipack.

Package: muon
Description-md5: cb36d058ba47f5ca64a18e32b68e74e8
Description-en: graphical package manager
 Muon is a graphical package manager.
 .
 Features of note include:
  * A powerful, yet intuitive interface
  * Fast, accurate package search using the apt-xapian index and the Synaptic
    search algorithm
  * Support for filtering packages by status and category
  * Media change support
  * Support for configuring packages through the debconf system
  * Warn about/disallow the installation of untrusted packages, depending on
    APT settings
  * Uses Polkit for running privileged actions for enhanced security,
    convenience, and desktop integration
  * Power management suspension during package downloads, installations and
    removals
  * Support for download the latest changelog of a package
  * Package screenshots

Package: mupdf
Description-md5: c39de9e446896353a76c6956ae23bdd2
Description-en: lightweight PDF viewer
 MuPDF is a lightweight PDF viewer and toolkit written in portable C.
 It also reads XPS, OpenXPS and ePub documents.
 .
 The renderer in MuPDF is tailored for high quality anti-aliased graphics.
 It renders text with metrics and spacing accurate to within fractions of a
 pixel for the highest fidelity in reproducing the look of a printed page
 on screen.

Package: mupdf-tools
Description-md5: bc0bfd26f1a5ef4e884d03039402ccca
Description-en: command line tools for the MuPDF viewer
 MuPDF is a lightweight PDF viewer and toolkit written in portable C.
 It also reads XPS, OpenXPS and ePub documents.
 .
 This package contains command line tools using the MuPDF library:
  - mutool - tool for clean, extract, inspect PDF documents and
             render PDF/XPS/CBZ documents.

Package: mupen64plus-audio-all
Description-md5: 4034c08093b928ae19e2e74b7ba7b622
Description-en: plugin-based Nintendo 64 emulator, audio plugins
 Flexible N64 Emulator for Linux that works with many ROMs that are publically
 available. It consists of separate components to provide an user interface,
 audio and graphics output, controller input, co-processor emulation and an
 emulator core.
 .
 This metapackage includes all audio plugins usable with mupen64plus.

Package: mupen64plus-audio-sdl
Description-md5: fee80c63340654135e081a8e0a152318
Description-en: sdl audio output plugin for mupen64plus
 Audio output plugin for mupen64plus which can be used with all audio devices
 which are supported by Simple DirectMedia Layer. It supports hardware volume
 control through oss mixer or application specific volume through SDL.

Package: mupen64plus-data
Description-md5: f74a81a99684feaa799884f655a4ebba
Description-en: plugin-based Nintendo 64 emulator, data files
 Flexible N64 Emulator for Linux that works with many ROMs that are publically
 available. It consists of separate components to provide an user interface,
 audio and graphics output, controller input, co-processor emulation and an
 emulator core.
 .
 It has the following features:
  * cheat system
  * exchangeable plugins for graphics, sound and input emulation
  * multiple save states
  * common configuration system for all components
 .
 This package contains the rom catalog and cheat database.

Package: mupen64plus-input-all
Description-md5: 564119f6c488d84d2c6dfe447762f590
Description-en: plugin-based Nintendo 64 emulator, input plugins
 Flexible N64 Emulator for Linux that works with many ROMs that are publically
 available. It consists of separate components to provide an user interface,
 audio and graphics output, controller input, co-processor emulation and an
 emulator core.
 .
 This metapackage includes all input plugins usable with mupen64plus.

Package: mupen64plus-input-sdl
Description-md5: 03a05cfa413c49a560dae416b061acf9
Description-en: sdl input device plugin for mupen64plus
 Input device plugin for mupen64plus which can use either keyboard or all by
 SDL supported input devices. All devices are autoconfigured using a
 database supplied with this package or through manual button mappings inside
 the local mupen64plus configuration.

Package: mupen64plus-qt
Description-md5: 112916660cc7c2d5f9681f5a562288a1
Description-en: customizable launcher for Mupen64Plus
 Mupen64Plus-Qt is a customizable launcher for the standard console UI frontend
 of Mupen64Plus. It supports downloading game information and cover images from
 thegamesdb.net.

Package: mupen64plus-rsp-all
Description-md5: ea6c17097ee1f2e3dde30bbc0d7e3335
Description-en: plugin-based Nintendo 64 emulator, rsp plugins
 Flexible N64 Emulator for Linux that works with many ROMs that are publically
 available. It consists of separate components to provide an user interface,
 audio and graphics output, controller input, co-processor emulation and an
 emulator core.
 .
 This metapackage includes all reality signal processor plugins usable with
 mupen64plus.

Package: mupen64plus-rsp-hle
Description-md5: 75e38739ab8df46f999a44d3f3642626
Description-en: high-level rsp emulation for mupen64plus
 N64 Reality Signal Processor function level emulation for graphics plugins
 supporting vertex processing by their own. It supports memory and register
 initialisation, audio and display lists processing including jpeg and mp3
 decoding for common microcodes.

Package: mupen64plus-rsp-z64
Description-md5: 62201c01de09cd9a9c4db9fe065d49ca
Description-en: low-level rsp emulation for mupen64plus
 N64 Reality Signal Processor instruction level emulation for graphics plugins
 supporting preprocessed vertex information. It can emulate even unknown or
 uncommon microcodes, but cannot benefit from faster implementation for the
 host cpu.
 .
 This package also provides mupen64plus-video-z64-hlevideo which can be used
 together with high level video emulation plugins like arachnoid, rice or
 glide64.

Package: mupen64plus-ui-console
Description-md5: 3bff69f104e3d763fcd65b4713a15ef7
Description-en: console frontend for mupen64plus
 Minimal console user interface for mupen64plus. Features provided:
  * Changeable emulation plugins for audio, core, input, rsp, video
  * Selection of emulation core
  * Graphical OSD for status output
  * Setting of configuration variable per session or in configuration file
  * Cheat database support
  * Loading of roms
 .
 It does not provide any sort of graphical setup or functionality to
 uncompress files.

Package: mupen64plus-video-all
Description-md5: c9b4a9372ec24c96c20870646804cc32
Description-en: plugin-based Nintendo 64 emulator, video plugins
 Flexible N64 Emulator for Linux that works with many ROMs that are publically
 available. It consists of separate components to provide an user interface,
 audio and graphics output, controller input, co-processor emulation and an
 emulator core.
 .
 This metapackage includes all video plugins usable with mupen64plus.

Package: mupen64plus-video-arachnoid
Description-md5: af49c7bfb88c1b014a8b62c59f11c8d2
Description-en: Arachnoid high-level graphics emulation for mupen64plus
 High-level graphics emulation plugin for known microcodes based on OpenGL, but
 works completely without vertex and pixel shaders. This makes it a good choice
 for older graphics hardware.
 .
 Arachnoid is based on a GPLv2+ fork of the glN64 source code.

Package: mupen64plus-video-glide64
Description-md5: 108b0cd7c027048932308a03068e06be
Description-en: Glide64 high-level graphics emulation for mupen64plus
 High-level graphics emulation plugin for known microcodes based on Glide. This
 version includes a Glide-to-OpenGL wrapper which makes it independent of
 Voodoo cards. It supports advanced graphics effects of the N64.
 .
 It is based on Glide64 Wonder Plus which was ported to Linux and amd64.

Package: mupen64plus-video-glide64mk2
Description-md5: 8b0b58bef30ff6660b596c85bd509ddd
Description-en: Glide64Mk2 high-level graphics emulation for mupen64plus
 High-level graphics emulation plugin for known microcodes based on Glide. This
 version includes a Glide-to-OpenGL wrapper which makes it independent of
 Voodoo cards. It supports advanced graphics effects of the N64 and loading of
 high resolution texture packs.
 .
 It is based on Glide64 Napalm which was ported to Linux and amd64.

Package: mupen64plus-video-rice
Description-md5: 57fffa73ab0974411ff758f671bdf975
Description-en: Rice's high-level graphics emulation for mupen64plus
 High-level graphics emulation plugin for known microcodes based on OpenGL.
 It supports advanced graphics effects of the N64 and loading of high
 resolution texture packs.

Package: mupen64plus-video-z64
Description-md5: 824b4447159176288f71cd9eff71b1f7
Description-en: low-level rdp emulation for mupen64plus
 N64 Reality Drawing Processor emulation which can be used with a low-level
 rsp emulation plugin like mupen64plus-rsp-z64. It can emulate even unknown or
 uncommon microcodes, but cannot benefit from faster implementation for the
 host cpu.
 .
 A fast processor and graphics hardware which supports vertex and fragment
 shader is needed for this plugin.

Package: murano-agent
Description-md5: 543488a73f608fa6ecbdd3fab557c1c5
Description-en: cloud-ready application catalog - VM agent
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the Murano Agent, which is a VM-side guest agent that
 accepts commands from Murano Conductor and executes them.

Package: murano-api
Description-md5: d42ef80aee563f704f672476bdaa2cdf
Description-en: cloud-ready application catalog - API server
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the API server.

Package: murano-cfapi
Description-md5: 3bd9cf10cc2b0c65cc9fb38a9c6b59f9
Description-en: cloud-ready application catalog - Cloud Foundry broker
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the Cloud Foundry Service Broker API. For more info,
 see https://docs.cloudfoundry.org/services/api.html.

Package: murano-common
Description-md5: a77762d02e3eadc0d73cf02aa801f65e
Description-en: cloud-ready application catalog - common files
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains common files to all Murano servers.

Package: murano-doc
Description-md5: ee9f468c518f47b0d49556b4335db84c
Description-en: cloud-ready application catalog - doc
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the documentation.

Package: murano-engine
Description-md5: 89a15dc01a325bc04277753aaab08726
Description-en: cloud-ready application catalog - Engine server
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the Engine server.

Package: murasaki
Description-md5: 9fbbfa64297c484a9a25437e99fbe210
Description-en: homology detection tool across multiple large genomes
 Murasaki is a scalable and fast, language theory-based homology
 detection tool across multiple large genomes. It enable whole-genome
 scale multiple genome global alignments. Supports unlimited length
 gapped-seed patterns and unique TF-IDF based filtering.
 .
 Murasaki is an anchor alignment software, which is
  * exteremely fast (17 CPU hours for whole Human x Mouse genome (with
    40 nodes: 52 wall minutes))
  * scalable (Arbitrarily parallelizable across multiple nodes using MPI.
    Even a single node with 16GB of ram can handle over 1Gbp of sequence.)
  * unlimited pattern length
  * repeat tolerant
  * intelligent noise reduction

Package: murasaki-common
Description-md5: 83942f5e622632232421f3dab2bca8d2
Description-en: homology detection tool across multiple large genomes (common files)
 Murasaki is a scalable and fast, language theory-based homology
 detection tool across multiple large genomes. It enable whole-genome
 scale multiple genome global alignments. Supports unlimited length
 gapped-seed patterns and unique TF-IDF based filtering.
 .
 Murasaki is an anchor alignment software, which is
  * exteremely fast (17 CPU hours for whole Human x Mouse genome (with
    40 nodes: 52 wall minutes))
  * scalable (Arbitrarily parallelizable across multiple nodes using MPI.
    Even a single node with 16GB of ram can handle over 1Gbp of sequence.)
  * unlimited pattern length
  * repeat tolerant
  * intelligent noise reduction
 .
 This package contains all files that are common to the single core murasaki
 package and the multi core murasaki-mpi package.

Package: murasaki-mpi
Description-md5: 84e98b9662e20d838ebe31e69f3bca4b
Description-en: homology detection tool across multiple large genomes (MPI-version)
 Murasaki is a scalable and fast, language theory-based homology
 detection tool across multiple large genomes. It enable whole-genome
 scale multiple genome global alignments. Supports unlimited length
 gapped-seed patterns and unique TF-IDF based filtering.
 .
 Murasaki is an anchor alignment software, which is
  * exteremely fast (17 CPU hours for whole Human x Mouse genome (with
    40 nodes: 52 wall minutes))
  * scalable (Arbitrarily parallelizable across multiple nodes using MPI.
    Even a single node with 16GB of ram can handle over 1Gbp of sequence.)
  * unlimited pattern length
  * repeat tolerant
  * intelligent noise reduction
 .
 This package provides the MPI-enabled binary for murasaki.  While this
 will speed up operation on multi-processor machines it will slow down
 on a single processor.

Package: muroar-bin
Description-md5: 6a622b26491983b1caa8b0eae4ba53a6
Description-en: minimalist RoarAudio client library (binary package)
 RoarAudio is a sound-server for audio mixing. Its main purpose is to mix audio
 from different clients before sending it to its outputs (for example a
 soundcard).
 .
 It is completely network transparent (UNIX sockets, TCP/IP and DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 This is a minimalist client library for RoarAudio.
 It exists because it allows non-GPL applications to use
 the RoarAudio sound system.
 .
 This package contains the binary applications, like muroarstream.

Package: muroard
Description-md5: 87293d3ad84f01e865cbfa32a57033f8
Description-en: minimalist RoarAudio sound daemon
 RoarAudio is a sound-server for audio mixing. Its main purpose is to mix audio
 from different clients before sending it to its outputs (for example a
 soundcard).
 .
 This is a minimalist version of a RoarAudio sound daemon.

Package: muroard-dev
Description-md5: f1f5f384f3c0070b65b00bc729033cce
Description-en: minimalist RoarAudio sound daemon (development files)
 RoarAudio is a sound-server for audio mixing. Its main purpose is to mix audio
 from different clients before sending it to its outputs (for example a
 soundcard).
 .
 This package provides development files needed to embed muRoarD into an
 existing application (as thread).

Package: murrine-themes
Description-md5: bef1107dc0d80a337078f56bb8bf1fd0
Description-en: themes for gtk2 murrine engine
 "Murrine" is an Italian word meaning the glass artworks done by Venicians
 glass blowers. The Engine is cairo-based, and it's very fast compared to
 clearlooks-cairo and ubuntulooks. This package includes gtk themes for
 the Murrine engine and themes for xfwm or metacity window managers.
 .
 Themes:
  - MurrinaAquaIsh
  - MurrinaAzul
  - MurrinaBleu
  - MurrinaBlue
  - MurrinaCandido
  - MurrinaCandy
  - MurrinaCappuccino
  - MurrinaChrome
  - MurrinaCream
  - MurrinaEalm
  - MurrinaFancyCandy
  - MurrinaGilouche
  - MurrinaLoveGray
  - MurrinaNeoGraphite
  - MurrinaVerdeOlivo
  - MurrineRounded
  - MurrineRoundedIcon
  - MurrineRoundedLessFramed
  - MurrineRoundedLessFramedIcon
  - Kiwi
  - MurrinaBlu
  - Murrine-Gray
  - Murrine-Light
  - Murrine-Sky
  - NOX
  - Orangine

Package: muscle
Description-md5: 1a0d3a943b7d2c70858898e18304904d
Description-en: Multiple alignment program of protein sequences
 MUSCLE is a multiple alignment program for protein sequences. MUSCLE
 stands for multiple sequence comparison by log-expectation. In the
 authors tests, MUSCLE achieved the highest scores of all tested
 programs on several alignment accuracy benchmarks, and is also one of
 the fastest programs out there.

Package: muse
Description-md5: 2826f910fce556f38fbe4fa3d77b39f7
Description-en: Qt-based audio/MIDI sequencer
 MusE is a MIDI/audio sequencer with recording and editing capabilities.
 Some Highlights:
 .
  * Standard midifile (smf) import-/export.
  * Organizes songs in tracks and parts which you can arrange with
    the part editor.
  * MIDI editors: pianoroll, drum, list, controller.
  * Score editor with high quality postscript printer output.
  * Realtime: editing while playing.
  * Unlimited number of open editors.
  * Unlimited undo/redo.
  * Realtime and step-recording.
  * Multiple MIDI devices.
  * Unlimited number of tracks.
  * Sync to external devices: MTC/MMC, Midi Clock, Master/Slave.
  * Audio tracks, LADSPA host for master effects.
  * Multithreaded.
  * Uses raw MIDI devices.
  * XML project file.
  * Project file contains complete app state (session data).
  * Application spanning Cut/Paste Drag/Drop.

Package: musepack-tools
Description-md5: 027e5fe69aaac4605515ccbf248a289f
Description-en: MusePack commandline utilities
 Musepack is an audio compression format with a strong emphasis on
 high quality. It's not lossless, but it is designed for transparency,
 so that you won't be able to hear differences between the original
 wave file and the much smaller MPC file.
 .
 It is based on the MPEG-1 Layer-2 / MP2 algorithms, but since 1997
 it has rapidly developed and vastly improved and is now at an advanced
 stage in which it contains heavily optimized and patentless code.
 .
 This package contains various commandline  utilities for MusePack files:
  - mpcenc (encoder)
  - mpcdec (decoder)
  - mpccut (cut MPC files without reencoding)
  - mpcgain (gain calculation)
  - mpc2sv8 (conversion to MusePack SV8 from older SV)

Package: musescore-general-soundfont
Description-md5: b37856c3bf99c5e69ac3f6db0a6d3d0f
Description-en: General SoundFont from MuseScore (HQ version, lossy)
 This is the HQ version for the new standard hard disc space-saving
 SF3 format soundfont as shipped with MuseScore 2.2 and newer.
 .
 This release of the soundfont supports Single-Note Dynamics with
 MuseScore 3.2 and higher.
 .
 MuseScore_General_HQ aims at providing the best audio quality and
 soundfont programming features, while being a compatible drop-in
 replacement for the less heavyweight MuseScore_General soundfont,
 providing the complete GM (General MIDI) sound set, with separate
 ensemble samples for several instruments, and some extras.
 .
 It can be used with most modern MIDI synthesisers which support
 the SF3 format, although early implementations (such as the one
 from MuseScore before release 2.2) had bugs making the resulting
 sound bad; instead use fluidr3mono-gm-soundfont for those.
 .
 As it comes under the MIT licence, it can be used in most settings,
 but do remember that waveforms generated using this soundfont are
 “copies or substantial portions of the” soundfont.
 .
 The musescore-general-soundfont-small package contains the normal
 soundfont (as shipped with MuseScore 3.x), lossily SF3 compressed.
 The musescore-general-soundfont package similarly provides the
 HQ version of the soundfont (with separate ensembles instruments
 and other large improvements) as SF3, whereas the SF2 HQ version
 is packaged as musescore-general-soundfont-lossless for use with
 synthesisers lacking SF3 support, by audiophiles, and to avoid
 long MuseScore startup times; however with a large disc footprint.
 .
 This package will be installed into /usr/share/sounds/sf3/ which
 is the standard Debian location for system-wide SF3 soundfonts.

Package: musescore-general-soundfont-lossless
Description-md5: f501507ac91893a47ad1bffd436783a2
Description-en: General SoundFont from MuseScore (uncompressed)
 This is the HQ version for the new standard soundfont of MuseScore 2.2
 and newer, in uncompressed SF2 format. It has a significantly larger
 disc footprint than its corresponding SF3, but is identical other than
 not compressing the samples with a lossy algorithm. This avoids audible
 Vorbis artefacts and the excessively long MuseScore startup time with
 compressed soundfonts and can be used by SF3-incompatible synthesisers.
 .
 This release of the soundfont supports Single-Note Dynamics with
 MuseScore 3.2 and higher.
 .
 MuseScore_General_HQ aims at providing the best audio quality and
 soundfont programming features, while being a compatible drop-in
 replacement for the less heavyweight MuseScore_General soundfont,
 providing the complete GM (General MIDI) sound set, with separate
 ensemble samples for several instruments, and some extras.
 .
 It can be used with almost all MIDI synthesisers (with SoundFont 2.01
 support) although bugs in early implementations (e.g. MuseScore before
 version 2.2) cause sound degradation. (Install fluidr3mono-gm-soundfont
 which has a compatible instrument assignment for those it supports.)
 .
 As it comes under the MIT licence, it can be used in most settings,
 but do remember that waveforms generated using this soundfont are
 “copies or substantial portions of the” soundfont.
 .
 The musescore-general-soundfont-small package contains the normal
 soundfont (as shipped with MuseScore 3.x), lossily SF3 compressed.
 The musescore-general-soundfont package similarly provides the
 HQ version of the soundfont (with separate ensembles instruments
 and other large improvements) as SF3, whereas the SF2 HQ version
 is packaged as musescore-general-soundfont-lossless for use with
 synthesisers lacking SF3 support, by audiophiles, and to avoid
 long MuseScore startup times; however with a large disc footprint.
 .
 This package will be installed into /usr/share/sounds/sf2/ which
 is the standard Debian location for system-wide SF2 soundfonts.

Package: musescore-general-soundfont-small
Description-md5: 3a6cfcb69d103ae56552b0db325593db
Description-en: General SoundFont from MuseScore (lossy)
 This is the new standard hard disc space-saving SF3 format
 soundfont as shipped with MuseScore 2.2 and newer.
 .
 This release of the soundfont supports Single-Note Dynamics with
 MuseScore 3.2 and higher.
 .
 MuseScore_General attempts to keep the installed-size footprint
 low while providing the complete GM (General MIDI) sound set
 and some extras; were it not for the new pianos, it would even
 be smaller than its antecessor fluidr3mono-gm-soundfont despite
 restoring stereo samples for some instruments and the new focus
 on quality, thanks to numerous optimisations and bugfixes.
 .
 It can be used with most modern MIDI synthesisers which support
 the SF3 format, although early implementations (such as the one
 from MuseScore before release 2.2) had bugs making the resulting
 sound bad; instead use fluidr3mono-gm-soundfont for those.
 .
 As it comes under the MIT licence, it can be used in most settings,
 but do remember that waveforms generated using this soundfont are
 “copies or substantial portions of the” soundfont.
 .
 The musescore-general-soundfont-small package contains the normal
 soundfont (as shipped with MuseScore 3.x), lossily SF3 compressed.
 The musescore-general-soundfont package similarly provides the
 HQ version of the soundfont (with separate ensembles instruments
 and other large improvements) as SF3, whereas the SF2 HQ version
 is packaged as musescore-general-soundfont-lossless for use with
 synthesisers lacking SF3 support, by audiophiles, and to avoid
 long MuseScore startup times; however with a large disc footprint.
 .
 This package will be installed into /usr/share/sounds/sf3/ which
 is the standard Debian location for system-wide SF3 soundfonts.

Package: musescore3
Description-md5: a76ff7f5407f1bd451d6da5d0a356a9c
Description-en: cross-platform multi-lingual music composition and notation
 MuseScore is an Open Source (GNU GPL) music notation software that runs
 on all platforms supported by Qt5 (GNU/Linux, MacOS X, Windows), and is
 available in over forty different languages. It features an easy to use
 WYSIWYG editor with audio score playback for results that look and sound
 beautiful, rivaling commercial offerings like Finale and Sibelius.
 .
 Create, play back and print sheet music for free. Features include:
  - easy-to-use and customisable interface
  - optional dark theme (to focus on the score)
  - tours for first-time and returning users
  - albums combining multiple scores
  - unlimited score length
  - unlimited number of staves per system
  - up to four independent voices per staff
  - score creation wizard and templates
  - easy and fast note entry with a (PC) keyboard, the mouse, including
    an on-screen virtual (piano) keyboard, or MIDI (step-time, real-time)
  - timewise input (moving subsequent notes/rests), also for unmetered music
  - half-time and double-time paste commands for copy/paste
  - continuous view: focus on the content, scrolling by as an endless
    ribbon, undistracted by line breaks or page breaks; switch to page
    view to polish up for printing
  - timeline view
  - automatic part extraction (by staves or voices) and transposition
  - advanced transposition: transpose a selected passage to any key,
    or by any interval — or even transpose diatonically within the same key
  - repeats, including segnos, codas, measure repeats, advanced repeats;
    linearisation command to expand repeats
  - dynamics, articulations and other expression markings
  - playback support for almost all notation elements
  - custom text markings
  - style rules that apply to the whole score at once
  - automatic placement system (smart layout), now even more flexible
  - lyrics
  - chord symbols
  - Jazz notation, including lead sheets and slash notation
  - swing and shuffle playback
  - integrated sequencer and two software synthesisers (FluidSynth for
    SF2/SF3, Zerberus for SFZ), which also can use third-party soundfonts
  - single-note dynamics
  - mixer for instrument levels and effects
  - mixing and panning for individual parts
  - percussion notation
  - sticking (“fingering” for drummers)
  - early music notation
  - cross-staff beaming
  - import of graphics
  - custom key signatures
  - additive time signatures
  - system dividers
  - user-defined score styles
  - cutaway staves
  - pianoroll editor, edit playback parameters of each note individually
  - score comparison tool
  - and much more…
 .
 Choir features:
  - powerful lyrics copy and paste tools
  - implode/explode: combine up to four voices on one staff,
    then separate to individual staves
  - hide empty vocal staves, such as in a piano intro
  - figured bass for historical notation
  - smart lyrics: unlimited verses, with notes and staves automatically
    spaced, and verse numbers automatically aligned
  - voice leading checker: download the Check Harmony Rules proofreading
    plugin to check for errors in your part writing, according to
    standard rules
  - part mixer: mute, solo, or change volume of staves to learn
    individual lines — settings even apply to MP3 export
 .
 Piano features:
  - support for solo + piano (add small staff with different instrument)
  - support for cadenzas (smaller notes and variable length measures)
  - complete notation: pedaling, fingering, cross-staff beaming — you
    name it; everything needed to write piano sheet music is here
 .
 Guitar features:
  - bends, fingerings, and other common guitar notations supported
  - add/remove linked staves any time; enter notes on either standard
    (pitched five-line) or TAB staff
  - percussion/drumset also included
  - templates include guitar, tablature, guitar+tablature, rock/pop band
  - complete tablature: multiple tab styles available — from note symbols
    outside the staff to upside-down strings — and linked standard/tab
    staff pairs
  - Guitar Pro import: MuseScore can now open files from Guitar Pro, so
    you can easily migrate over. Import filters are improving with every
    release; GP3, GP4, GP5, GP6, GTP, GPX are currently supported.
  - Fretboard diagrams: 21 default chords for every key, and a powerful
    editor to create your own — with barre, fret position, and any number
    of strings
  - multiple or differently shaped dots per string; partial and multiple
    barres; ability to not show nut; customisable string/fret distance;
    chord symbols for diagrams and saving those to the palette; etc.
  - beyond guitar: banjo, mandolin, ukulele, oud; custom string tunings;
    even historical lute tablature: MuseScore does them all.
 .
 Orchestral features:
  - templates for common instrumentations
  - custom linked parts (e.g. create choral score from orchestra+chorus):
    any change you make to the content of any part is immediately
    reflected in the full score — and vice versa
  - powerful style controls: edit the formatting of parts and score
    independently — or apply the same style to all parts with one click
  - one-click transposition: instantly switch between transposed and
    concert pitch: sounding pitches stay the same while the written notes
    change
 .
 Jazz features:
  - templates for Jazz Lead Sheet, Big Band and Jazz Combo
  - real “handwritten” Book-style jazz font for text and chord symbols
  - formatting tools include adding line breaks every X measures
  - instantly switch between transposed and concert pitch
  - intelligent chord symbol: chord names are automatically formatted
    when you finish typing — plus, they transpose with the notes
  - easy slashes: commands to fill bars with slashes — and to turn notes
    into rhythmic slashes, and even accent notation above the staff
 .
 Marching ensembles features (needs the MDL extension):
  - marching band, indoor percussion, front ensemble, drumline and drum
    corps (even G bugles); no setup or configuration — select a template,
    start writing
 .
 Band features:
  - diverse templates: concert band, brass band, marching band, battery
    and pit percussion — ready out-of-the-box (plus big band for jazz)
  - custom linked parts: you can even keep a drumline score linked to a
    full marching band score and to individual percussion parts
 .
 Most elements in MuseScore are laid out automatically on a “virtual note
 sheet”, with a near professional-quality layout engine, but can also be
 positioned manually, giving you total control of every score element’s
 position. The capabilities of MuseScore can be extended via plugins and
 extensions, and the growing repository on musescore.org contains many
 plugins submitted by users and an active development team.
 .
 MuseScore includes a set of sounds that reproduce common instruments (as
 defined by General MIDI) without taking up a lot of disk space or memory
 providing full orchestral and band sounds (with the Drumline extension
 installed this includes marching percussion). The general (non-Drumline)
 sound font is available as musescore-general-soundfont Debian package,
 if you wish to reuse it with other synthesisers.) You can also load any
 sound font you prefer for a wider variety of sounds or for more realism.
 .
 MuseScore can import and export MIDI and MusicXML files, and it can also
 import from Capella and several other programs. MuseScore can export to
 PDF, PNG, and other graphic formats, to WAV and other audio formats such
 as OGG Vorbis and MP3, or to GNU Lilypond for an alternative layout and
 print option.
 .
 MuseScore can upload scores to musescore.com, a score sharing site, and
 send scrolling sheet music videos to YouTube. In addition to the desktop
 software, you can rehearse “on the go” with MuseScore mobile apps (which
 do not support note entry, but many advanced playback functions). Note
 that all of these are commercial or otherwise non-free offers optionally
 integrated with, but not part of, the Free notation program. Scrolling
 video scores feature the notes highlighted in the score as they sound —
 and highlighted on a virtual piano keyboard below.

Package: musescore3-common
Description-md5: 64b179c1c550d862e28893ec806a2486
Description-en: MuseScore 3 (music composition and notation) shared files
 Demonstration scores and templates, styles, localisations, some
 plugins for MuseScore, the Open Source (GNU GPL) cross-platform
 multi-lingual music notation software.
 .
 In contrast to earlier versions, no sound font is provided by
 default, but the Depends ensure a suitable one is installed,
 normally the compact, full orchestral and band sound, one that
 is shipped with MuseScore from version 2.2 onwards, or its more
 limited 2.1 counterpart fluidr3mono-gm-soundfont. In general,
 any soundfont that Provides musescore-compatible-soundfont will
 be acceptable; if you installed multiple, run the following
 command to configure the default MuseScore soundfont (select
 “0” to ensure that the highest-quality soundfont is used):
 .
 $ sudo update-alternatives --config MuseScore_General.sf3

Package: music-bin
Description-md5: 6c03fc32ee10374b36503b9f516a5172
Description-en: Multi-Simulation Coordinator for MPI -- Utilities
 MUSIC allows spike events and continuous time series to be
 communicated between parallel applications within the same MPI job in
 a cluster computer.  Typical usage cases are connecting models
 developed for different simulators and connecting a parallel
 simulator to a post-processing tool.
 .
 This package contains the MUSIC launch utility.

Package: music-doc
Description-md5: bfb6ac479d00a2ef9f046a882e5fa4db
Description-en: Multi-Simulation Coordinator for MPI -- Manual
 MUSIC allows spike events and continuous time series to be
 communicated between parallel applications within the same MPI job in
 a cluster computer.  Typical usage cases are connecting models
 developed for different simulators and connecting a parallel
 simulator to a post-processing tool.
 .
 This package contains the MUSIC manual.

Package: music123
Description-md5: f7513052301489b9274435e2f70e4c5a
Description-en: Command-line shell for sound-file players
 A command-line shell for programs like mpg123 and ogg123, music123
 plays a variety of sound files using a mpg123/ogg123-like interface.
 With all the Recommends installed, music123 plays wav, mp3 and
 ogg files. By simply changing the config file, music123 can play any
 sound file you have a player for.
 .
 (If you install a recent version of vorbis-tools, music123 will
 handle Ogg Flac and Ogg Speex files too.)

Package: musl
Description-md5: 02541bfd5f502cefc85aa85d56654a54
Description-en: standard C library
 musl is lightweight, fast, simple, free and strives
 to be correct in the sense of standards-conformance
 and safety.
 .
 This package contains the shared objects

Package: musl-dev
Description-md5: da374223ecb53bb8c05b26ebf2102291
Description-en: standard C library development files
 musl is lightweight, fast, simple, free and strives
 to be correct in the sense of standards-conformance
 and safety.
 .
 This package contains the static linked libraries
 and the include files.

Package: musl-tools
Description-md5: 66a2dc8a7d9035e9ad7ecd9e95191563
Description-en: standard C library tools
 musl is lightweight, fast, simple, free and strives
 to be correct in the sense of standards-conformance
 and safety.
 .
 This package contains the gcc spec file and the
 musl-gcc wrapper script to make easy-to-deploy static
 and minimal dynamically linked programs.

Package: mussh
Description-md5: a9348b4f704577a2042f34dade87b99f
Description-en: MUltihost SSH Wrapper
 Mussh is a shell script that allows you to execute a command
 or script over ssh on multiple hosts with one command.  When
 possible mussh will use ssh-agent and RSA/DSA keys to minimize
 the need to enter your password more than once.
 .
 Unlike clusterssh or mssh, mussh is just a shell script
 wrapper for ssh with concurrency support.  It is intended
 to make running identical commands or scripts on almost any
 number of hosts possible with minimal overhead.  There is
 no GUI and the only language used is bash.

Package: mussort
Description-md5: f0dbf7521d78a68ff1b4871c8c194dc7
Description-en: simple tool that sorts a music collection
 Mussort is a useful tool for keeping large music collections in shape. It
 recursively searches a directory for MP3, Ogg Vorbis and FLAC files,
 processing them one by one. It then reads the information from the file and
 puts them into a newly sorted directory tree.

Package: mustang
Description-md5: 9fdf717437f6f16fc1e715e6a609aaa6
Description-en: multiple structural alignment of proteins
 Mustang is an algorithm to align multiple protein structures.
 Given a set of PDB files, the program uses the spatial
 information in the Calpha atoms of the set to produce a sequence alignment.
 Based on a progressive pairwise heuristic the algorithm then proceeds
 through a number of refinement passes. Mustang reports the multiple
 sequence alignment and the corresponding superposition of structures.

Package: mustang-plug
Description-md5: 08443683ddce6865a76a719764bbcc48
Description-en: control Fender Mustang amplifiers
 PLUG was originally created as a Linux replacement for Fender FUSE
 software for Fender Mustang guitar amplifier. Its Qt4-based graphical
 interface allows one to control the amplifier and effect stages of
 all Mustang amplifiers from I to V.

Package: mustang-testdata
Description-md5: bcb7ce7ebc0e81eed701ae8233196fa2
Description-en: multiple structural alignment of proteins, test data
 This package contains a larger set of test data than what
 comes with the binary package.
 .
 To run the test, change to a directory where you have write access,
 then issue the command:
  mustang -f /usr/share/doc/mustang-testdata/examples/test_zf-CCHH

Package: mutextrace
Description-md5: 5f0d74bd67e3037424b871e70a4711dd
Description-en: Lock debugging tool
 This tool displays mutexes locked and unlocked during execution of a
 program, and can provide hints for debugging multithreaded
 applications. As it is fairly lightweight and easy to use, it is ideal
 at the start of a debugging session or when only limited resources are
 available.
 .
 It cannot detect data races as it only looks at the synchronisation
 primitives themselves; if you need that, use helgrind (in the valgrind
 package) instead.

Package: mutrace
Description-md5: 24acb8ced7f49bf23566aa78b26bd00d
Description-en: mutex and realtime memory allocation profiling tools
 Two tools are included in this package:
 .
 mutrace - for profiling lock contention.
 matrace - for profiling memory allocations in realtime threads.

Package: mutt-vc-query
Description-md5: bad6f655b9ab26aebe55ccbd03e2fd61
Description-en: vCard query utility for mutt
 This package contains a utility for doing queries to a vCard data
 file from the mutt mail user agent using mutt's query_command.  You
 will then be able to query email addresses from the data file when
 you use the `Q' command in mutt.

Package: muttprint
Description-md5: ff1b7f608788878b2c11058981e226de
Description-en: Pretty printing of mails
 Muttprint formats the printing of Mutt and other mail clients to be as
 pretty as the printing of Mozilla or Kmail.
 .
 It can print a little penguin -- or the Debian logo -- on the first
 page (suitable pictures can be found in the ospics package) and a headline
 on every page. Furthermore, it only prints the most important headers, but
 not the whole plethora of them. Finally, it can even print two pages on one
 page in landscape format.

Package: muttprint-manual
Description-md5: e99e33571862a7144eac8f0db6685b35
Description-en: Manual for muttprint
 Muttprint formats the printing of Mutt and other mail clients to be as
 pretty as the printing of Mozilla or Kmail.
 .
 This package contains the manual.

Package: muttprofile
Description-md5: b087e26270e205b614c8f79a413c5e30
Description-en: utility to choose profiles in Mutt
 A profile in Mutt is simply a specific subset of the configuration. You may
 have a personal and a work profile, each of which uses the same base
 configuration and then overrides specific configuration items like the email
 address.
 .
 muttprofile gives an easy way to switch between such profiles from within
 a running instance of Mutt. Quite simple and quite beautiful, and implemented
 in perl.

Package: mwaw2epub
Description-md5: f04bf0326594779311d8715970b81fba
Description-en: old Mac formats to EPUB converter
 This package contains a utility for converting old Mac text document files
 (MacWrite, ClarisWorks, ... ) into EPUB documents.

Package: mwaw2odf
Description-md5: f58c8f2dad295c53b93ba6b18c9140ee
Description-en: old Mac formats to OpenDocument converter
 This package contains a utility for converting old Mac text document files
 (MacWrite, ClarisWorks, ... ) into OpenDocument documents.

Package: mwc
Description-md5: bf966b00487e19efe4668d163748d96b
Description-en: Powerful website-tracking tool
 MailWebsiteChanges is a Python script to keep track of website
 changes; sends email notifications on updates and/or also
 provides an RSS feed.
 .
 To specify which parts of a website should be monitored, XPath
 selectors, CSS selectors, and regular  expressions can be used
 (just choose the tools you like!).

Package: mwm
Description-md5: e74ff6bde3ffa9f055e5ef78cf0c580f
Description-en: Motif Window Manager
 Motif is the industry standard GUI component toolkit for *NIX.
 .
 This package contains the Motif Window Manager, which has a clear but
 classical appearance. It is accompanied by xmbind, which is used to
 configure virtual key/mouse-bindings for Motif.

Package: mwrap
Description-md5: 837ed1ddf8be236eed910832e9857faa
Description-en: Octave/MATLAB mex generator
 MWrap is an interface generation system in the spirit of SWIG or matwrap.
 From a set of augmented Octave/MATLAB script files, MWrap will generate a
 MEX gateway to desired C/C++ function calls and Octave/MATLAB function
 files to access that gateway.  The details of converting to and from
 Octave/MATLAB's data structures, and of allocating and freeing temporary
 storage, are hidden from the user.

Package: mx44
Description-md5: f703a7ff42cc2330698c11067ca7cda9
Description-en: polyphonic, multichannel midi realtime software synthesizer
 The Mx44 is a polyphonic multichannel midi realtime software
 synthesizer. It is written in C and hand optimized for the (Intel) MMX
 instruction set. It runs under Linux, using any kernel modified for
 realtime performance.
 .
 The core algorithm is a  4 x 4 crossmodulating matrix (phase and
 amplitude) with individual envelopes for each oscillator. Oscillators
 have individual frequency intonation, can emphasize any of the eight
 first harmonics and even do some internal crossmodulation. Envelopes
 can be switched between VCA and VCF modes (ehrm, actually wave-shaping,
 but it will quack like a duck by any other name.) The sustainloop of
 the envelope can be brought into oscillation in the audible spectre as
 well as provide slow LFO sweeps. There is also a minor load of key and
 velocity related modifications for wowie zowie realtime ecstacy
 emulation.

Package: mxt-app
Description-md5: dfd8ce502b03251848be7ce3a613e892
Description-en: Command line utility for maXTouch devices
 mxt-app is a utility for managing Atmel maXTouch touch controllers and other
 devices that support Atmel Object Based Protocol.

Package: mycli
Description-md5: 853ab95f4b0b4bdc9371f9806ef06cf1
Description-en: CLI for MySQL/MariaDB
 This is a command line interface for MySQL, MariaDB, and Percona with
 auto-completion and syntax highlighting. The CLI is also capable of pretty
 printing tabular data.

Package: mydumper
Description-md5: aeb30b8248c26bc4b50eb2a94783398d
Description-en: High-performance MySQL backup tool
 Mydumper (aka. MySQL Data Dumper) is a high-performance multi-threaded
 backup (and restore) toolset for MySQL and Drizzle.  The main developers
 originally worked as Support Engineers at MySQL (one has moved to
 Facebook and another to SkySQL) and this is how they would envisage
 mysqldump based on years of user feedback.
 .
 Mydumper features
 .
  Lightweight C source
  Up to 10x faster dumps compared to mysqldump
  Consistent snapshots for transactional and non-transactional tables
  File compression on-the-fly
  Binary log dumps
  Multi-threaded restore utility
  Daemon mode for timed snapshots and continuous binary logs
 .
 Mydumper is still under active development but is well tested/used in
 production on some large installations.

Package: mydumper-doc
Description-md5: 67cb59319370502fddfd07a71cb12d81
Description-en: High-performance MySQL backup tool - documentation
 Mydumper (aka. MySQL Data Dumper) is a high-performance multi-threaded
 backup (and restore) toolset for MySQL and Drizzle.  The main developers
 originally worked as Support Engineers at MySQL (one has moved to
 Facebook and another to SkySQL) and this is how they would envisage
 mysqldump based on years of user feedback.
 .
 This package contains documentation for mydumper generated by sphinx

Package: mygui-doc
Description-md5: 4c118d8ddf277b18de501e18e76885cf
Description-en: API documentations for MyGUI library
 MyGUI is a GUI library which aims to be fast, flexible and simple GUIs in C++.
  Features include
    Layout Editor.
    Multicolour text.
    Per pixel cut.
    Changing alpha support for widgets (also in states configuration).
    Interface localisation.
    Fast RTTI for safe casts.
    Tool tips.
    Animated cursors and pictures.
    User xml resources.
    Truetype fonts and fonts from texture.
    Widgets controllers (moving, fading and so on).
    Flexible configuration in xml config file.
    Subskins with tiling, with direct access to texture.
    Possibility to store any data in widgets items.
    Skin themes.
    Wrappers for fast UI development.
    Drag'n'drop.
 .
 This package contains the MyGUI API reference for the MyGUIEngine library.

Package: myhdl-cosimulation
Description-md5: e731a33cb641dbadcdad57283a1a0273
Description-en: MyHDL cosimulation files
 MyHDL turns Python into a hardware description and verification language,
 providing hardware engineers with the power of the Python ecosystem.
 .
 Python can then be used as an event-driven simulator using Python decorators
 actively to specify what corresponds to 'processes' in Verilog / VHDL and
 thereby achieve concurrency.
 .
 This package provides the sources for executable extensions of the
 core modules.

Package: myhdl-doc
Description-md5: 61f860b8cd7e4134c268f130e64c67c2
Description-en: Hardware description generating framework (common documentation)
 MyHDL turns Python into a hardware description and verification language,
 providing hardware engineers with the power of the Python ecosystem.
 .
 Python can then be used as an event-driven simulator using Python decorators
 actively to specify what corresponds to 'processes' in Verilog / VHDL and
 thereby achieve concurrency.
 .
 This is the common documentation package containing HTML pages and the man
 page.

Package: mylvmbackup
Description-md5: 91f3993c942a1e31bc2ec61388f59a97
Description-en: quickly creating backups of MySQL server's data files
 To perform a backup, mylvmbackup obtains a read lock on all tables and
 flushes all server caches to disk, makes an LVM snapshot of the volume
 containing the MySQL data directory, and unlocks the tables again. The
 snapshot process takes only a small amount of time. When it is done, the
 server can continue normal operations, while the actual file backup
 proceeds.

Package: mypager
Description-md5: b6f728f48ace6ff3f51b11e515ac390d
Description-en: pager for MySQL/PostgreSQL command line clients
 Userfriendly pager that will add color to query results of MySQL or
 PostgreSQL command line clients. It will also automatically use less
 when terminal isn't able to display the full result in one page.

Package: mypaint
Description-md5: ad32506829ca6057a6e8d0d7165ea727
Description-en: paint program for use with graphics tablets
 MyPaint is a pressure- and tilt-sensitive painting program which works well
 with Wacom graphics tablets and other similar devices. It comes with a large
 brush collection including charcoal and ink to emulate real media, but the
 highly configurable brush engine allows you to experiment with your own
 brushes and with not-quite-natural painting.
 .
 This package contains the main program.

Package: mypaint-brushes
Description-md5: 0c2b27f15c6f607986bfc0ed946e6a65
Description-en: brushes for paint apps
 MyPaint is a pressure- and tilt-sensitive painting program which works well
 with Wacom graphics tablets and other similar devices. It comes with a large
 brush collection including charcoal and ink to emulate real media, but the
 highly configurable brush engine allows you to experiment with your own
 brushes and with not-quite-natural painting.
 .
 This package contains the virtual paint brushes.

Package: mypaint-data
Description-md5: 47360304f58b5f8d65352a27de08b0c9
Description-en: runtime data files for MyPaint
 MyPaint is a pressure- and tilt-sensitive painting program which works well
 with Wacom graphics tablets and other similar devices. It comes with a large
 brush collection including charcoal and ink to emulate real media, but the
 highly configurable brush engine allows you to experiment with your own
 brushes and with not-quite-natural painting.
 .
 This package contains icons and backgrounds for the MyPaint program.

Package: mypaint-data-extras
Description-md5: b4905782879b5c83a5c5b4cdb6206dba
Description-en: high resolution backgrounds for mypaint
 MyPaint is a pressure- and tilt-sensitive painting program which works well
 with Wacom graphics tablets and other similar devices. It comes with a large
 brush collection including charcoal and ink to emulate real media, but the
 highly configurable brush engine allows you to experiment with your own
 brushes and with not-quite-natural painting.
 .
 This package contains high resolution paper texture backgrounds for painting
 on. Since the files are large, installation is optional.

Package: myproxy
Description-md5: 4ac22e897aaee90c372c894323478279
Description-en: Credential Management Service Client
 MyProxy is open source software for managing X.509 Public Key Infrastructure
 (PKI) security credentials (certificates and private keys). MyProxy
 combines an online credential repository with an online certificate
 authority to allow users to securely obtain credentials when and where needed.
 Users run myproxy-logon to authenticate and obtain credentials, including
 trusted CA certificates and Certificate Revocation Lists (CRLs).

Package: myproxy-admin
Description-md5: 9c24b287266c12bdf15e4151cb59aeaa
Description-en: Credential Management Service Administration Tools
 MyProxy is open source software for managing X.509 Public Key Infrastructure
 (PKI) security credentials (certificates and private keys). MyProxy
 combines an online credential repository with an online certificate
 authority to allow users to securely obtain credentials when and where needed.
 Users run myproxy-logon to authenticate and obtain credentials, including
 trusted CA certificates and Certificate Revocation Lists (CRLs).
 .
 This package contains the MyProxy server admin commands.

Package: myproxy-doc
Description-md5: ff084133628824860ed57fd81d9c5ef1
Description-en: Credential Management Service Documentation
 MyProxy is open source software for managing X.509 Public Key Infrastructure
 (PKI) security credentials (certificates and private keys). MyProxy
 combines an online credential repository with an online certificate
 authority to allow users to securely obtain credentials when and where needed-
 Users run myproxy-logon to authenticate and obtain credentials, including
 trusted CA certificates and Certificate Revocation Lists (CRLs).
 .
 This package contains the MyProxy documentation.

Package: myproxy-server
Description-md5: da41e674f852e4c491088184aa3135c4
Description-en: Credential Management Service Server
 MyProxy is open source software for managing X.509 Public Key Infrastructure
 (PKI) security credentials (certificates and private keys). MyProxy
 combines an online credential repository with an online certificate
 authority to allow users to securely obtain credentials when and where needed.
 Users run myproxy-logon to authenticate and obtain credentials, including
 trusted CA certificates and Certificate Revocation Lists (CRLs).
 .
 This package contains the MyProxy server.

Package: mypy
Description-md5: d3e201c7c5775a9d8fc37033fa52a4bb
Description-en: optional static typing for Python
 Add type annotations to your Python programs, and use mypy to type check them.
 Mypy is essentially a Python linter on steroids, and it can catch many
 programming errors by analyzing your program, without actually having to run
 it. Mypy has a powerful type system with features such as type inference,
 gradual typing, generics and union types.
 .
 This package provides the command-line interface.

Package: mypy-doc
Description-md5: 90ecb0fecb59e09596684d6f30a5022c
Description-en: documentation for mypy
 Add type annotations to your Python programs, and use mypy to type check them.
 Mypy is essentially a Python linter on steroids, and it can catch many
 programming errors by analyzing your program, without actually having to run
 it. Mypy has a powerful type system with features such as type inference,
 gradual typing, generics and union types.
 .
 This package provides the documentation.

Package: myrepos
Description-md5: 17d0edfe4920d396b9fcb614eb9d9ea0
Description-en: tool to manage all your version control repos
 The mr(1) command can checkout, update, or perform other actions on
 a set of repositories as if they were one combined repository. It
 supports any combination of git, svn, mercurial, bzr, darcs, cvs, vcsh,
 fossil, and veracity repositories, and support for other version control
 systems can easily be added. (There are extensions adding support for unison
 and git-svn, among others.)
 .
 It is extremely configurable via simple shell scripting. Some examples
 of things it can do include:
 .
  * Update a repository no more frequently than once every twelve hours.
  * Run an arbitrary command before committing to a repository.
  * When updating a git repository, pull from two different upstreams
    and merge the two together.
  * Run several repository updates in parallel, greatly speeding up
    the update process.
  * Remember actions that failed due to a laptop being offline, so they
    can be retried when it comes back online.
  .
  This package also includes the webcheckout command.

Package: myrescue
Description-md5: bbc9c99c3f7c121ae92f199d521b92b8
Description-en: rescue data from damaged disks
 myrescue is a program to rescue the still-readable data from a damaged
 harddisk, CD-ROM, DVD, flash drives, etc. It is similar in purpose to
 dd_rescue (or ddrescue), but it tries to quickly get out of damaged
 areas to first handle the not yet damaged part of the disk and return
 later.
 .
 This package is useful to recover data from any media and for forensics
 investigations.

Package: mysecureshell
Description-md5: 7f8ddb72009e19c4b9fc8c236b9b2bdf
Description-en: SFTP Server with ACL
 MySecureShell is a solution which has been made to bring more features to
 sftp/scp protocol given by OpenSSH. By default, OpenSSH brings a lot of
 liberty to connected users which imply to trust in your users.
 .
 The goal of MySecureShell is to offer the power and security of OpenSSH, with
 enhanced features (like ACL) to restrict connected users.
 .
 MySecureShell was created because of the lack of file transfer features in
 OpenSSH. OpenSSH was not designed as a file transfer solution, that's why
 MySecureShell is born.
 .
 MySecureShell is not a patch for OpenSSH, it's a shell for users.
 It has the advantage to:
  * Avoid including security holes in OpenSSH
  * No dependency on against an OpenSSH version
  * No OpenSSH recompilation is required

Package: myspell-bg
Description-md5: acf781d38aaee8d59610366837c1b64d
Description-en: transitional dummy package
 This is a transitional dummy package to smooth transition to hunspell-bg. It
 can safely be removed.

Package: myspell-cs
Description-md5: c031759b3234764e9db6056495829384
Description-en: Czech dictionary for myspell
 This is the Czech dictionary for use with the myspell spellchecker
 which is currently used within OpenOffice.org and the mozilla
 spellchecker.

Package: myspell-da
Description-md5: c9f64bd824cb82ec3010746d1cf96e67
Description-en: The Comprehensive Danish Dictionary (DSDO) - myspell
 The Comprehensive Danish Dictionary (DSDO) is a free spell-checking
 dictionary for Danish published by Skaane Sjaelland Linux User Group
 (SSLUG).  One thing which makes this dictionary different from most
 other dictionaries is that it basically is the result of a vote among
 the proof-readers.  The editorial group has _not_ proof-read all the
 words in the dictionary, but guides the proof-readers and keeps track
 of the overall status of the dictionary.
 .
 This is the Danish dictionary, to be used with myspell to check and
 correct spelling in Danish texts.

Package: myspell-de-at
Description-md5: 20c6d689d3e04b309de58394a082e3ea
Description-en: transitional dummy package for hunspell-de-at
 This is a transitional dummy package for migration from myspell-de-at
 to hunspell-de-at.  It can safely be removed.

Package: myspell-de-ch
Description-md5: f736b6ce9a25a69bb3e18c96eff23770
Description-en: transitional dummy package for hunspell-de-ch
 This is a transitional dummy package for migration from myspell-de-ch
 to hunspell-de-ch.  It can safely be removed.

Package: myspell-de-de
Description-md5: a855da51d302f4bea267d96a685d5ddf
Description-en: transitional dummy package for hunspell-de-de
 This is a transitional dummy package for migration from myspell-de-de
 to hunspell-de-de.  It can safely be removed.

Package: myspell-de-de-1901
Description-md5: 1b67dc027d63cef4fa16cd899535c399
Description-en: Traditional German dictionary for myspell
 This is the German (Germany) dictionary for use with the myspell and
 hunspell spellchecker.
 .
 This dictionary refers to the traditional German orthography
 (de-DE-1901).  For the current orthography (de-DE-1996) see packages
 myspell-de-de or hunspell-de-de.

Package: myspell-el-gr
Description-md5: 3e60ad7a0abf018faf01b83d726435ea
Description-en: Greek (el_GR) dictionary for myspell
 This is the Greek (el_GR) dictionary for use with the myspell
 spellchecker which is currently used within OpenOffice.org and
 the mozilla spellchecker.

Package: myspell-en-au
Description-md5: 727c1a6eef26da76541812e0a442e2ae
Description-en: English_australian dictionary for myspell
 This is the English_australian dictionary for use with the myspell
 spellchecker, which is currently used within OpenOffice.org and the
 Mozilla spellchecker.

Package: myspell-es
Description-md5: 6eb6c0f4d9c2f3dfa5e0b7f9e120aabe
Description-en: Spanish dictionary for myspell
 This is the Spanish dictionary for use with the myspell spellchecker
 which is currently used within OpenOffice.org and the mozilla
 spellchecker. It is based on ispell dictionary put together by
 Santiago Rodriguez and Jesus Carretero.

Package: myspell-fr
Description-md5: 7cb564eba97a01ee3d020b73f7f476d6
Description-en: French dictionary for myspell (Hydro-Quebec version)
 This is a French dictionary, to be used with myspell,
 version 3.1.04 and following. The dictionary contains roughly
 50,000 roots, which expand to about 220,000 words.
 .
 This is the Martin Boyer and Hydro-Quebec version.
 You may prefer to use the GUTenberg version installed by the
 myspell-fr-gut package.

Package: myspell-fr-gut
Description-md5: 35c58d9f930c04198a25e774670f18c5
Description-en: French dictionary for myspell (GUTenberg version)
 This is a French dictionary, to be used with myspell.
 .
 This is the GUTenberg version.

Package: myspell-gd
Description-md5: 0031262f4ca42b127b6b61bfa0c22e19
Description-en: Scots Gaelic dictionary for myspell
 This is the Scots Gaelic dictionary for  use with the myspell
 spellchecker which is currently used within OpenOffice.org
 and the mozilla spellchecker.

Package: myspell-he
Description-md5: c680553d735207853d69a447804d662b
Description-en: Hebrew dictionary for myspell
 This is the Hebrew dictionary for use with the myspell spellchecker which
 is currently used within LibreOffice and the mozilla spellchecker. It was
 generated from the hspell dictionaries.

Package: myspell-hr
Description-md5: 78879385d46c2b7cde0d16522989aa3f
Description-en: Croatian dictionary for hunspell - dummy transitional package
 This is the Croatian dictionary for use with the hunspell spellchecker.
 .
 This package is here just to allow the transition to hunspell-hr; it can be
 safely removed once installed.

Package: myspell-hu
Description-md5: 6a6489526b3cf80f3b753c4c54f20c32
Description-en: Hungarian dictionary for myspell
 This is the Hungarian dictionary for use with the myspell spellchecker
 which is currently used within OpenOffice.org and the mozilla
 spellchecker.

Package: myspell-lv
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: myspell-nb
Description-md5: 2cfe903e2ee87a09a490bd46c3b711d3
Description-en: Norwegian Bokmål dictionary for myspell
 This package provides the Norwegian Bokmål dictionary for the
 'myspell' spell checker which is currently used within OpenOffice.org
 and the Mozilla spell checker.

Package: myspell-nn
Description-md5: 7183af419c88fa2510f65f3f461d6bfb
Description-en: Norwegian Nynorsk dictionary for myspell
 This package provides the Norwegian Nynorsk dictionary for the
 'myspell' spell checker which is currently used within OpenOffice.org
 and the Mozilla spell checker.

Package: myspell-pl
Description-md5: 46809d672f1a97a2cd655dc18f5b8e29
Description-en: Polish dictionary for hunspell - dummy transitional package
 This is the Polish dictionary for use with the hunspell spellchecker.
 .
 This package is here just to allow the transition to hunspell-hr; it can be
 safely removed once installed.

Package: myspell-pt
Description-md5: 7fadfb7b380c11a3ac46668670bd64d6
Description-en: Portuguese dictionaries for Hunspell
 This is an empty package whose sole purpose is to depend on both
 hunspell-pt-pt (European Portuguese) and hunspell-pt-br (Brazilian
 Portuguese) dictionaries for use with the hunspell spellchecker.

Package: myspell-pt-br
Description-md5: 0c8ab256f9197c8f38277335e66aa5a5
Description-en: transitional dummy package
 This is a dependency package for migration to hunspell-pt-br
 This package is here only to ensure smooth upgrades from
 myspell-pt-br to hunspell-pt-br.
 It can be safely removed afterwards.

Package: myspell-pt-pt
Description-md5: b62527943ccb8123429d5bb333b866be
Description-en: transitional dummy package
 This is a dependency package for migration to hunspell-pt-pt
 This package is here only to ensure smooth upgrades from
 myspell-pt-pt to hunspell-pt-pt.
 It can be safely removed afterwards.

Package: myspell-ru
Description-md5: 7e6c8c33807c4fef8d75345f65ffef1d
Description-en: transitional dummy package
 This is a dependency package for migration to hunspell-ru
 This package is here only to ensure smooth upgrades from
 myspell-ru to hunspell-ru.
 It can be safely removed afterwards.

Package: myspell-sk
Description-md5: b74df9e6a0dbfe44ba4bbbf091bb6061
Description-en: Slovak dictionary for myspell
 This is the Slovak dictionary for use with the myspell spellchecker which is
 currently used in OpenOffice.org and the Mozilla spellchecker.

Package: myspell-sq
Description-md5: 01ab9f8048144fd481c34e519b2f633f
Description-en: Albanian dictionary for myspell
 This is the Albaninan dictionary for use with the myspell spellchecker.

Package: myspell-sv-se
Description-md5: 81379dc3f42cfb4d0fc98e90ceaf85d0
Description-en: Swedish dictionary for hunspell - dummy transitional package
 This is the Swedish dictionary for use with the hunspell spellchecker.
 .
 This package is here just to allow the transition to hunspell-sv; it can be
 safely removed once installed.

Package: myspell-tl
Description-md5: 8ceca47616b7a9f18b3a6ac1960af3e7
Description-en: Tagalog dictionary for myspell/hunspell
 This package contains all the required files to add support
 for the Tagalog language to myspell/hunspell spell checker.

Package: myspell-tools
Description-md5: 9c892beb63bb5f3c00169f6fa580dc4f
Description-en: tools for myspell
 MySpell is a spell-checker as (and derived from) ispell.
 .
 This package contains a the munch/unmunch tools of hunspell and
 ispellaff2myspell for converting ispell affix files for myspell/hunspell
 format.

Package: myspell-uk
Description-md5: 055184e8f2d270d0d114ef6eaa8eae5d
Description-en: Ukrainian dictionary for myspell
 This is the Ukrainian dictionary for use with the myspell
 spellchecker which is currently used within OpenOffice.org and the
 mozilla spellchecker.

Package: mysql-router
Description-md5: 68df088e13493c106d4f76a2eb4efc60
Description-en: route connections from MySQL clients to MySQL servers
 MySQL Router is part of InnoDB cluster, and is lightweight middleware that
 provides transparent routing between your application and back-end MySQL
 servers. It can be used for a wide variety of use cases, such as providing
 high availability and scalability by effectively routing database traffic to
 appropriate back-end MySQL servers. The pluggable architecture also enables
 developers to extend MySQL Router for custom use cases.
 .
 Since MySQL Router is clustering software and needs to be configured and
 managed across an entire cluster, packaging cannot manage it for you. This
 package supplies the binary build only, ready for manual configuration.

Package: mysql-sandbox
Description-md5: de1efdb2ce60dee19503cada22a0d4fe
Description-en: Install and set up one or more MySQL server instances easily
 MySQL Sandbox is a tool that installs one or more MySQL servers
 within seconds, easily, securely, and with full control.
 .
 Once installed, the sandbox is easily used and maintained,
 without using complex options.
 .
 Replicated and multiple sandboxes can be used individually
 or all at once.

Package: mysql-source-8.0
Description-md5: 88f58941059fab032315a68e3122ac60
Description-en: MySQL source
 MySQL is a fast, stable, and true multi-user, multi-threaded SQL database
 server.  SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MySQL are speed, robustness and
 ease of use.
 .
 This package includes the MySQL source code as configured before building
 - but after the arch specific configuration. This is currently required for
 building plugins.

Package: mysql-testsuite
Description-md5: a37f36627bd4d1ec3a167a2eae840534
Description-en: MySQL regression tests
 This is an empty package that depends on the current "best" version of
 mysql-testsuite (currently mysql-testsuite-8.0), as determined by the
 MySQL maintainers.  Install this package if in doubt about which MySQL
 version you want, as this is the one we consider to be in the best shape.

Package: mysql-testsuite-8.0
Description-md5: ccc32250aefb33a80993be5dab467ee4
Description-en: MySQL 8.0 testsuite
 MySQL is a fast, stable, and true multi-user, multi-threaded SQL database
 server.  SQL (Structured Query Language) is the most popular database query
 language in the world. The main goals of MySQL are speed, robustness and
 ease of use.
 .
 This package includes the MySQL testsuite.

Package: mysqltcl
Description-md5: 1312ef0a336e015912b49c9a9766c1de
Description-en: interface to the MySQL database for the Tcl language
 The mysqltcl package provides a Tcl interface to the MySQL database system.
 Within Tcl you've a range of Tcl commands and a global Tcl array available
 to access the database server.
 Written in C mysqltcl uses the official MySQL C-API so that almost all
 Tcl commands correspond to MySQL C-API functions.

Package: mysqltuner
Description-md5: d079bed656ffe89a06c680dc18513f56
Description-en: high-performance MySQL tuning script
 High-performance MySQL tuning script written in perl that will provide
 you with a snapshot of a MySQL server?s health. Based on the statistics
 gathered, specific recommendations will be provided that will increase a
 MySQL server's efficiency and performance. The script gives you
 automated MySQL tuning that is on the level of what you would receive
 from a MySQL DBA.
 .
 Features:
 .
    * Memory Usage: Calculates MySQL memory usage at max load and makes
      recommendations for increasing or decreasing the MySQL memory
      footprint. Per-thread and server-wide buffer data is calculated
      separately for an accurate snapshot of the server?s configuration.
    * Slow Queries: Reviews the amount of slow queries relative to the
      total queries. Slow query time limits are also analyzed and
      recommendations are made.
    * Connections: Current and historical connection counts are
      reviewed.
    * Key Buffer: Takes configuration data and compares it to the actual
      indexes found in MyISAM tables. Key cache hit rates are calculated
      and variable adjustments are suggested.
    * Query Cache: Query cache hit rates and usage percentages are used
      to make recommendations for the query cache configuration
      variables.
    * Sorting & Joins: Per-thread buffers that affect sorts and joins
      are reviewed along with the statistics from the queries run
      against the server.
    * Temporary Tables: Variable recommendations are made to reduce
      temporary tables that are written to the disk.
    * Table Cache: Compares total tables opened to the currently open
      tables. Calculates the table cache hit rate in order to make
      suggestions.
    * Open Files: Determines if the server will approach or run into the
      open file limit set by the operating system or the MySQL server
      itself.
    * Table Locks: Finds table locking that forces queries to wait and
      makes suggestions for reducing locks that require a wait.
    * Thread Cache: Calculates how many times MySQL must create a new
      thread to respond to a query.
    * Aborted Connections: Finds applications that are not closing
      connections to MySQL properly.
    * Read/Write Ratios: Calculates the percentage of read and write
      operations on your MySQL installation.

Package: mysqmail
Description-md5: ecd6676908f8f30fea140302106a8b9d
Description-en: real-time logging system in MySQL
 MySQMail is a set of tiny daemon loggers for mail and FTP servers that
 save traffic information in a MySQL database. The information is split
 by domain and by user so that it's easy to measure all the traffic for
 a given domain name in real time.
 .
 This package provides the configuration infrastructure.

Package: mysqmail-courier-logger
Description-md5: 79bed7f401ae5231bd54a45f055afab5
Description-en: real-time logging system in MySQL - Courier traffic-logger
 MySQMail is a set of tiny daemon loggers for mail and FTP servers that
 save traffic information in a MySQL database. The information is split
 by domain and by user so that it's easy to measure all the traffic for
 a given domain name in real time.
 .
 This package provides a logger for POP/IMAP traffic handled by Courier.

Package: mysqmail-dovecot-logger
Description-md5: e0558e9f995a547580fb07d66626d5d8
Description-en: real-time logging system in MySQL - Dovecot traffic-logger
 MySQMail is a set of tiny daemon loggers for mail and FTP servers that
 save traffic information in a MySQL database. The information is split
 by domain and by user so that it's easy to measure all the traffic for
 a given domain name in real time.
 .
 This package provides a logger for POP/IMAP traffic handled by Dovecot.

Package: mysqmail-postfix-logger
Description-md5: d2bc38905bf38fc109437361bed37ad8
Description-en: real-time logging system in MySQL - Postfix traffic-logger
 MySQMail is a set of tiny daemon loggers for mail and FTP servers that
 save traffic information in a MySQL database. The information is split
 by domain and by user so that it's easy to measure all the traffic for
 a given domain name in real time.
 .
 This package provides a logger for SMTP traffic handled by Postfix.

Package: mysqmail-pure-ftpd-logger
Description-md5: 99fec3a9dda475c436b04994edda80de
Description-en: real-time logging system in MySQL - Pure-FTPd traffic-logger
 MySQMail is a set of tiny daemon loggers for mail and FTP servers that
 save traffic information in a MySQL database. The information is split
 by domain and by user so that it's easy to measure all the traffic for
 a given domain name in real time.
 .
 This package provides a logger for FTP traffic handled by Pure-FTPd.

Package: mythtv-status
Description-md5: 866f6509b1119d1b7ceb82ad1aba9319
Description-en: Show the status of a MythTV backend
 Shows the current status of a local or remote MythTV backend and up to
 the next 10 recordings for today and tomorrow.
 .
 Also provides functionality for updating the MOTD with this information,
 this can provide a good heads up on when you should avoid heavy CPU or
 I/O work on your MythTV backend.

Package: mytop
Description-md5: f488dcf2bff0591cf96c89d8d4a8fa3d
Description-en: top like query monitor for MySQL
 Mytop is a console-based tool for monitoring queries and the performance
 of MySQL. It supports version 3.22.x, 3.23.x, 4.x and 5.x servers.
 It's written in Perl and support connections using TCP/IP and UNIX sockets.

Package: mz
Description-md5: 8e7134e5630c00bc3204b8c55b70e2ee
Description-en: versatile packet creation and network traffic generation tool
 mausezahn (mz) is a fast traffic generator written in C which allows you to
 send nearly every possible and impossible packet. It is mainly used to test
 VoIP or multicast networks but also for security audits to check whether
 your systems are hardened enough for specific attacks.
 Mausezahn can be used for example:
 .
  * as traffic generator (e.g. to stress multicast networks);
  * to precisely measure jitter (delay variations) between two hosts
    (e.g. for VoIP-SLA verification);
  * as didactical tool during a datacom lecture or for lab exercises;
  * for penetration testing of firewalls and IDS;
  * for DoS attacks on networks (for audit purposes of course);
  * to find bugs in network software or appliances;
  * for reconnaissance attacks using ping sweeps and port scans;
  * to test network behaviour under strange circumstances (stress test,
    malformed packets, ...).

Package: mzclient
Description-md5: f6f7b6eae52bae25688644f88be96e87
Description-en: CLI library for multicast DNS service discovery (commandline tool)
 Mono.Zeroconf is a cross platform Zero Configuration Networking library for
 Mono and .NET. It provides a unified API for performing the most common
 zeroconf operations on a variety of platforms and subsystems: all the
 operating systems supported by Mono and both the Avahi and
 Bonjour/mDNSResponder transports.
 .
 By using Mono.ZeroConf applications can use a single API that will work
 regardless of the underlying implementation that a particular operating
 system uses.
 .
 Applications can publish services that will be exposed to other computers on
 the network and also query the local machines on the network for services
 that could have been exposed.
 .
 This package contains the mzclient tool, that can be used for resolving or
 publishing services from the commandline.

Package: n2n
Description-md5: 361d10f6d5e899332c45f448acb34919
Description-en: Peer-to-Peer VPN network daemon
 n2n is an open source VPN application which utilizes
 a Peer-to-peer architecture for network membership
 and routing.

Package: nabi
Description-md5: 91480a8a9fbfd2c9c4bd2c95ebecf4f7
Description-en: Korean X input method server plus imhangul status monitor
 Nabi is an X input method server for Korean text input.
 .
 In addition, it shows the status of imhangul (GTK+ 2 input module) in
 System Tray (i.e. Notification Area).

Package: nacl-tools
Description-md5: b1a68f48ee095ce64628eed2a8ac8df9
Description-en: NaCl and CurveCP tools
 NaCl (pronounced "salt") is a new easy-to-use high-speed
 software library for network communication, encryption,
 decryption, signatures, etc. NaCl's goal is to provide
 all of the core operations needed to build higher-level
 cryptographic tools. Tools include basic programs to
 deploy CurveCP message-handling.

Package: nadoka
Description-md5: 4b3d2c8ef902f721a570fd9d06e98fd6
Description-en: IRC logger, monitor and proxy program ("bot") in Ruby
 Nadoka is a tool for monitoring and logging IRC conversations and
 responding to specially formatted requests. You define and customize
 these responses in Ruby. Nadoka is conceptually similar to Madoka, an
 older proxy written in Perl.

Package: naev
Description-md5: abc627a90b82972d24afe08727a3b4f5
Description-en: 2D action/rpg space game
 2D space trading and combat game, in a similar vein to Escape Velocity. Naev
 is played from a top-down perspective, featuring fast-paced combat, many
 ships, a large variety of equipment and a large galaxy to explore.
 The game is open-ended, letting you proceed at your own pace.

Package: naev-data
Description-md5: b53461864333a59c6890c1c5e161bcdb
Description-en: 2D action/rpg space game - game data
 2D space trading and combat game, in a similar vein to Escape Velocity. Naev
 is played from a top-down perspective, featuring fast-paced combat, many
 ships, a large variety of equipment and a large galaxy to explore.
 The game is open-ended, letting you proceed at your own pace.
 This packages contains architecture-independent game data.

Package: nagcon
Description-md5: 8ebc241d76be731bc9b5456e4da6af6b
Description-en: console application interfacing to Nagios
 Nagcon is a console application interfacing to Nagios which gives
 you an overview of all services with troubled services.

Package: nageru
Description-md5: 10a7c27fb9a6c033b06b1f67c0021613
Description-en: modern free software video mixer
 Nageru (a pun on the Japanese verb nageru, meaning to throw or cast) is a live
 video mixer. It takes in inputs from one or more video cards (any DeckLink PCI
 card via Blackmagic's drivers, and Intensity Shuttle USB3 and UltraStudio SDI
 USB3 cards via bmusb), mixes them together based on the operator's desire and a
 theme written in Lua, and outputs a high-quality H.264 stream over TCP suitable
 for further transcoding and/or distribution.
 .
 Nageru aims to produce high-quality output, both in terms of audio and video,
 while still running on modest hardware.

Package: nagios-check-xmppng
Description-md5: 7ea319b5a4b9f9d4bea54802ba29ff57
Description-en: monitoring plugin to check XMPP servers
 a nagios compatible check plugin for XMPP servers implementing the XMPP
 protocol as specified in RFC 6120.
 .
 The plugin provides the following features:
 .
   - check client to server (C2S) as well as server to server (S2S) ports
   - check XMPP servers on IPv6 and IPv4 addresses
   - support STARTTLS as specified in RFC 6120 section 5.
   - check the validity of the server certificate presented by the XMPP server
 .
 The plugin has been implemented because of insufficiencies in the existing
 check_ssl_cert and check_xmpp plugins.

Package: nagios-images
Description-md5: 80ce9c4ac2a60b71709fec29eadc38ea
Description-en: Collection of images and icons for the nagios system
 nagios-images contains an assortment of dfsg-free images and icons
 for use in the web frontend of the nagios system.
 .
 Nagios is a host/service/network monitoring and management system. It has
 the following features:
   *  Monitoring of network services (via TCP port, SMTP, POP3, HTTP, NNTP,
      PING, etc.)
   *  Plugin interface to allow for user-developed service checks
   *  Contact notifications when problems occur and get resolved (via email,
      pager, or user-defined method)
   *  Ability to define event handlers to be run during service or
      host events (for proactive problem resolution)
   *  Web output (current status, notifications, problem history, log
      file, etc.)

Package: nagios-nrpe-plugin
Description-md5: c19ebd7d65521f48328173d45f828471
Description-en: Nagios Remote Plugin Executor Plugin
 Nagios is a host/service/network monitoring and management system.
 .
 The purpose of this addon is to allow you to execute Nagios plugins on a
 remote host in as transparent a manner as possible.
 .
 This is a plugin that is run on the Nagios host and is used to contact the
 NRPE process on remote hosts.

Package: nagios-nrpe-server
Description-md5: a71d7976e91380d8197e4fb0bcdc04a9
Description-en: Nagios Remote Plugin Executor Server
 Nagios is a host/service/network monitoring and management system.
 .
 The purpose of this addon is to allow you to execute Nagios plugins on a
 remote host in as transparent a manner as possible.
 .
 This program runs as a background process on the remote host and processes
 command execution requests from the check_nrpe plugin on the Nagios host.

Package: nagios-plugin-check-multi
Description-md5: b020415bb054699cd451220a49b98d67
Description-en: run nagios checks as a group
 check_multi is a multi purpose wrapper plugin which takes benefit of
 the Nagios/Icinga capability to display multiple lines of plugin
 output. It calls multiple child plugins and displays their output in
 the long_plugin_output. A summary is given in the standard plugin
 output. The child return code with the highest severity becomes the
 parent (check_multi) plugin return code.

Package: nagios-plugins-contrib
Description-md5: 9fd2d43fa39062b52315c7237c01ada7
Description-en: Plugins for nagios compatible monitoring systems
 This package provides various plugins for Nagios compatible monitoring
 systems like Nagios and Icinga.
 It contains the following plugins:
 .
   * check_ajp (1): plugin to monitor the AJP ping response time
     Should work with all application servers (Tomcat, JBoss,....)
     which provide an AJPv13 connector.
   * check_backuppc (1.1.0): plugin for checking on the status of
     BackupPC backups
   * check_bgpstate (1.0): plugin to check all BGP session on Cisco routers
   * check_checksums (20130611): plugin to verify file checksums
     against (local, not 100% secure) lists.
     Supports md5 sha1 sha224 sha256 sha384 sha512 checksums.
   * check_clamav (1.2): plugin to check for clamav signature freshness
     This script is used to compare the version and signature
     level of the currently running clamd daemon with the latest
     available versions listed in the TXT record for
     current.cvd.clamav.net.
   * check_cups (0.2): plugin to check queues on a remote CUPS server
     This plugin is monitoring of queues on a remote CUPS server,
     which means that it doesn't need to be installed on the print
     server and run via NRPE.
   * check_debsecan (1.0.0): plugin to check the Debian CVE lists against your installed packages
   * check_drbd (0.5.3): plugin to check DRBD device states
     This plugin is for checking DRBD device states. It parses the
     /proc/drbd device and analyses the output.
   * check_email_delivery (0.7.1b): plugin to monitor email delivery
     Some typical uses of this plugin include:
       - check SMTP server
       - check messages and quota on IMAP server
       - check email delivery loop
       - check auto-responder function
       - keep an eye on email lag
       - monitor automated mailboxes
       - check email-to-FTP or other special email gateways
   * check_etc_hosts (?): plugin to check /etc/hosts for DNS consistency
     Check /etc/hosts, and make sure the content matches the information
     in DNS.  Lookup IP, and check if the names listed in /etc/hosts
     maches the one in DNS.  It will ignore entries with '# NAGIOSIGNORE'
     at the end.
   * check_etc_resolv: plugin to check /etc/resolv.conf
     Check /etc/resolv.conf, and make sure the name servers listed are
     working.  It will ignore entries with '# NAGIOSIGNORE' at the end.
   * check_graphite: Plugin to monitor graphite metrics
   * check_haproxy (rev135): plugin to check the HAProxy statistics url
   * check_haproxy_stats (1.0.1): check haproxy via admin socket
     Different from check_haproxy this plugin is able to check
     haproxy via the unix admin socket.
   * check_hp_bladechassis (1.0.1): plugin to check the hardware health of
     HP blade enclosures via SNMP.
     The plugin is only tested with the c7000 enclosure.
   * check_hpasm (4.8): plugin to check the hardware health of
     HP Proliant Servers
     It either uses snmp or - if installed - the hpasm package locally.
     The plugin checks the health of
       * Processors
       * Power supplies
       * Memory modules
       * Fans
       * CPU- and board-temperatures
       * Raids
     and alerts you if one of these components is faulty or operates
     outside its normal parameters.
   * check_httpd_status (rev204): plugin checking Apache or Lighthttpd
     server-status page (using mod_status)
   * check_ipmi_sensor (3.13): IPMI Sensor Monitoring Plugin
     Plugin to monitor the hardware status (fan speed, temperaturs,
     voltages, power usage, ...) of a server using IPMI.
   * check_libs (0.2015012901): plugin to report the usage of no longer existing
     libraries by running processes
   * check_libs_ng (0.1.0): plugin to report processes using outdated libraries
      depents on kernel features present in kernels newer than 3.3
   * check_libvirt (v7.0.3): monitor virtualization solutions using libvirt
   * check_lm_sensors (4.1.1): plugin to monitor hardware sensors
     and disk temperatures
   * check_memcached (1.3): plugin to check memcached instances
     It will give a critical message if a partiular memcached host is
     inaccessible and generate a warning if the hit/miss ratio falls
     below a given threshold or the number of evictions exceeds a given
     limit.
     Hit/miss and evictions are measured over a 30 minute interval,
     using a memcached object to store the earlier statistics.
   * check_memory (1.0.1): plugin to check for free memory
     This plugin excludes the system cache and buffer, because
     on some system with very stable memory usage it is perfectly
     normal for system cache to fill in all available memory.
   * check_mongodb (b33e763): Plugin script to monitor your MongoDB server(s)
   * check_multipath (0.4.7): plugin to monitor the number of available and
     failed paths of multipath devices
   * check_mysql_health (2.2.2): plugin to check various parameters of a
     MySQL database
   * check_nfsmounts: checks whether there are stale NFS mounts on the host
   * check_printer: plugin to check printer supply levels using SNMP
     It outputs performance data for all supplies
     found, for example toner and drum.
   * check_raid (4.0.9): plugin to check sw/hw RAID status
     The plugin looks for any known types of RAID configurations,
     and checks them all.
     Supports:
     - Adaptec AAC RAID via aaccli or afacli or arcconf
     - AIX software RAID via lsvg
     - HP/Compaq Smart Array via cciss_vol_status (hpsa supported too)
     - HP Smart Array Controllers and MSA Controllers via hpacucli
     - HP Smart Array (MSA1500) via serial line
     - Linux 3ware SATA RAID via tw_cli
     - Linux Device Mapper RAID via dmraid
     - Linux DPT/I2O hardware RAID controllers via /proc/scsi/dpt_i2o
     - Linux GDTH hardware RAID controllers via /proc/scsi/gdth
     - Linux LSI MegaRaid hardware RAID via CmdTool2
     - Linux LSI MegaRaid hardware RAID via megarc
     - Linux LSI MegaRaid hardware RAID via /proc/megaraid
     - Linux MegaIDE hardware RAID controllers via /proc/megaide
     - Linux MPT hardware RAID via mpt-status
     - Linux software RAID (md) via /proc/mdstat
     - LSI Logic MegaRAID SAS series via MegaCli
     - LSI MegaRaid via lsraid
     - Serveraid IPS via ipssend
     - Solaris software RAID via metastat
     - Areca SATA RAID Support via cli64/cli32
     - Detecting SCSI devices or hosts with lsscsi
   * check_rbl (1.5.0): plugin to check if a server is blacklisted
   * check_redis (0.73): Redis Server check plugin
     This plugin checks Redis NoSQL database status variables,
     measures its response time and if specified allows one to set thresholds
     on one or more key data. You can set thresholds for data in stats
     variables and some of them are also conveniently available as long options
     with special threshold syntax. Plugin also calculates statistics such as
     Hitrate (calculated as rate of change of hits/misses) and memory use and
     can check replication delay.
   * check_smstools: plugin to check GSM Modems using smstools
     check_smstools is a plugin to monitor a GSM modem
     signal quality and registration status with smstools.
   * check_snmp_environment (0.7): plugin to check various hardware statuses
     Using snmp the plugin is able to retrieve Fan, power-supply,
     voltage, temperature, card and module status and various other
     information from Cisco, Nokia, Blue Coat, IronPort,
     Foundry Network, Linux (using lm-sensors), Extreme Networks,
     Juniper Networks, HP ProCurve, Netscreen, Citrix NetScaler
     and Transmode Systems hardware.
   * check_snmp_time (1.1): plugin to check the time on a server using SNMP
     This plugin queries the remote systems time through SNMP and compares
     it against the local time on the Nagios server. This identifies systems
     with no correct time set and sends alarms if the time is off to far.
     HOST-RESOURCES-MIB::hrSystemDate.0 used here returns 8 or 11 byte octets.
     SNMP translation needs to be switched off and to be converted the
     received SNMP data into readable strings.
   * check_ssl_cert (1.98.0): plugin to check the CA and validity of an
     X.509 certificate
   * check_uptime (0.521): check_uptime returns uptime of a system
     in text (readable) format as well as in minutes for performance graphing.
     The plugin can either run on a local unix system (using 'uptime' command)
     or check remote system by SNMP. Also it is able to report one CRITICAL
     or WARNING alert if system has been rebooted since last check.
   * check_v46 (2013-08-26T07:33:11Z): ipv4/ipv6 Nagios plugin wrapper
     Nagios plugin wrapper for running the actual plugin for both / either of
     IPv6 and/or IPv4.  The worst result of the actual plugin runs will be
     the wrapper return value, that is, result will be OK only if all checks
     returned OK.  Compatible with any plugin with standard command line options
     -6/-4.
   * check_webinject (1.80): plugin for testing web services
     It uses the WebInject Perl module for automated testing of
     web applications and web services. It can be used to check
     individual system components that have HTTP interfaces
     (JSP, ASP, CGI, PHP, AJAX, Servlets, HTML Forms,
     XML/SOAP Web Services, REST, etc).
   * check_whois (1.24): plugin to check for the expiration of a domain.
     The plugin may not yet work with all registrars, since their
     output formats differ or there is no expiration date in the
     whois output.
   * check_zone_auth (1.13): plugin to ensure that the authoritative nameservers
     for a given zone remain in sync.
   * check_zone_rrsig_expiration (1.14): plugin to check for expiration of
     signatures in dnssec-enabled zones.
   * dsa (2300473): plugins from the Debian System Administrators
     nagios plugins repository.
     * check_cert_expire: check for certificate expiration
       using openssl on the certificate file
     * check_cert_expire_dir: checks if any of the *.crt files in
       a directory on disk will expire soon
     * check_dnssec_delegation: check for correct DNSSEC
       delegation
     * check_entropy: check if there is enough entropy available.
     * check_packages: replacement for check_apt; needs a cronjob
       to update the apt database regularly
     * check_running_kernel: check if a system was rebooted after
       a kernel upgrade
     * check_soas: check SOA records
     * check_statusfile: deliver the content of a status file
       as check result
   * extras (1): various scripts and extras
     Not a plugin, but a collection of various useful
     event/obsession handlers and similar scripts.
   * percona-nagios-plugins (1.1.8): Percona Monitoring Plugins (nagios)
     Nagios MySQL Monitoring plugins writting/provided by Percona.
 .
 Some scripts and binaries need more packages installed to work, which is
 implemented as recommends.

Package: nagios-plugins-rabbitmq
Description-md5: 7925d49a50aa8065dade8bd2b1afa469
Description-en: Set of Nagios checks useful for monitoring a RabbitMQ server
 They use the RabbitMQ management interface with is over HTTP and therefore have
 a very light profile on the nagios server.
 .
 See the [documentation](http://www.rabbitmq.com/management.html) on the
 RabbitMQ management interface for more details on what it provides.

Package: nagios-snmp-plugins
Description-md5: e1e73e012981b5c9469a1191807ed8f5
Description-en: SNMP Plugins for nagios
 A set of Nagios plugins to check hosts/devices using snmp protocol
 .
 The general idea of these scripts is to check as many things as possible with
 snmp (how do you install NRPE on Cisco or AS/400 ?) : disks, memory, load,
 interface, running procsses, etc...
 .
 The other idea is to select disks, interfaces, process using regular
 expressions

Package: nagios4
Description-md5: 4128cee634c4cbec9a839e94c1046245
Description-en: host/service/network monitoring and management system
 Nagios is a monitoring and management system for hosts, services and
 networks.  This is a metapackage installing both the monitoring daemon
 and the web interface.
 .
 Nagios' features include:
 .
  *  Monitoring of network services (via TCP port, SMTP, POP3, HTTP, NNTP,
     PING, etc.)
  *  Plugin interface to allow for user-developed service checks
  *  Contact notifications when problems occur and get resolved (via email,
     pager, or user-defined method)
  *  Ability to define event handlers to be run during service or host events
     (for proactive problem resolution)
  *  Web output (current status, notifications, problem history, log file, etc.)

Package: nagios4-cgi
Description-md5: 7bec888b595817756e3670fd7b893cad
Description-en: cgi files for nagios4
 Nagios is a monitoring and management system for hosts, services and
 networks. This package contains the web interface.
 .
 Nagios' features include:
 .
  *  Monitoring of network services (via TCP port, SMTP, POP3, HTTP, NNTP,
     PING, etc.)
  *  Plugin interface to allow for user-developed service checks
  *  Contact notifications when problems occur and get resolved (via email,
     pager, or user-defined method)
  *  Ability to define event handlers to be run during service or host events
     (for proactive problem resolution)
  *  Web output (current status, notifications, problem history, log file, etc.)

Package: nagios4-common
Description-md5: b6d077682f7747dbe80a9ba6dc41bec1
Description-en: support files for nagios4
 Nagios is a monitoring and management system for hosts, services and
 networks. This package contains files needed by both the daemon
 and web interface.
 .
 Nagios' features include:
 .
  *  Monitoring of network services (via TCP port, SMTP, POP3, HTTP, NNTP,
     PING, etc.)
  *  Plugin interface to allow for user-developed service checks
  *  Contact notifications when problems occur and get resolved (via email,
     pager, or user-defined method)
  *  Ability to define event handlers to be run during service or host events
     (for proactive problem resolution)
  *  Web output (current status, notifications, problem history, log file, etc.)

Package: nagios4-core
Description-md5: ebdb18192e195fe62d5a416ba502b5c4
Description-en: host/service/network monitoring and management system core files
 Nagios is a monitoring and management system for hosts, services and
 networks.  The package contains the nagios4 monitoring daemon.
 .
 Nagios' features include:
 .
  *  Monitoring of network services (via TCP port, SMTP, POP3, HTTP, NNTP,
     PING, etc.)
  *  Plugin interface to allow for user-developed service checks
  *  Contact notifications when problems occur and get resolved (via email,
     pager, or user-defined method)
  *  Ability to define event handlers to be run during service or host events
     (for proactive problem resolution)
  *  Web output (current status, notifications, problem history, log file, etc.)

Package: nagios4-dbg
Description-md5: bec95f13dac782d66f13308e8cc07268
Description-en: debugging symbols and debug stuff for nagios4
 Nagios is a monitoring and management system for hosts, services and
 networks.  The package contains the debug symbols for nagios4.
 .
 Nagios' features include:
 .
  *  Monitoring of network services (via TCP port, SMTP, POP3, HTTP, NNTP,
     PING, etc.)
  *  Plugin interface to allow for user-developed service checks
  *  Contact notifications when problems occur and get resolved (via email,
     pager, or user-defined method)
  *  Ability to define event handlers to be run during service or host events
     (for proactive problem resolution)
  *  Web output (current status, notifications, problem history, log file, etc.)

Package: nagstamon
Description-md5: 0af6b7784700eee5fa173d6eb3ea6bc6
Description-en: Nagios status monitor which takes place in systray or on desktop
 Nagstamon is a Nagios status monitor which takes place in systray or
 on desktop (GNOME, KDE) as floating statusbar to inform you in
 realtime about the status of your Nagios and some of its derivatives monitored
 network. It allows one to connect to multiple Nagios, Icinga, Opsview,
 Centreon, Op5Monitor, Checkmk Multisite, Thruk, Zabbix and Zenoss servers.
 Events could be handled by instant access to failed hosts/services.

Package: nagzilla
Description-md5: 1a58bf759230fec733228b2bf911a479
Description-en: jabber relay bot
 Nagzilla was designed to be a Jabber relay "bot" in that it sits quietly in a
 room until it gets a message to relay to either a chatroom or a person. It is
 split into two programs:
  * nagzillad - the daemon that sits in the room and sends the messages, and
  * nagzillac - the client that gets the messages and hands them to the daemon

Package: nailgun
Description-md5: b808a7de9ab594aa5d99520c4adfba78
Description-en: client, protocol, and server for running Java programs from CLI
 Nailgun is a client, protocol, and server for running Java programs from
 the command line without incurring the JVM startup overhead. Programs run
 in the server (which is implemented in Java), and are triggered by the
 client (written in C), which handles all I/O.

Package: naist-jdic
Description-md5: 16629e874fd91390546f29e1d321d73c
Description-en: free Japanese Dictionaries for ChaSen (replacement of ipadic)
 NAIST Japanese Dictionary is a Dictionary for ChaSen, Japanese morphological
 analysis implementation.
 .
 This is based on ipadic, however it is released under BSD style license now
 by NAIST (Nara Institute of Science and Technology, Japan), so it is free
 and can replace ipadic.

Package: naist-jdic-utf8
Description-md5: 5faa0e4d0df778d62d31029dcc5c3c48
Description-en: free Japanese Dictionaries for ChaSen (replacement of ipadic) in UTF-8
 NAIST Japanese Dictionary is a Dictionary for ChaSen, Japanese morphological
 analysis implementation.
 .
 This is based on ipadic, however it is released under BSD style license now
 by NAIST (Nara Institute of Science and Technology, Japan), so it is free
 and can replace ipadic.
 .
 This package generates UTF-8 data from original naist-jdic.

Package: nam
Description-md5: 9a1e6309dade59cf7084cad84aee959e
Description-en: Network Animator for network simulation
 NAM is a Tcl/TK based animation tool for viewing network simulation
 traces and real world packet traces. It supports topology layout,
 packet level animation, and various data inspection tools. NAM began
 at LBL. It has evolved substantially over the past few years. The NAM
 development effort was an ongoing collaboration with the VINT project.
 Currently, it is being developed at ISI as part of the SAMAN and Conser
 projects.

Package: nam-dbg
Description-md5: 4f509c309ff9a2ad860b3ed619703b23
Description-en: debug symboles of nam
 NAM is a Tcl/TK based animation tool for viewing network simulation
 traces and real world packet traces. It supports topology layout,
 packet level animation, and various data inspection tools. NAM began
 at LBL. It has evolved substantially over the past few years. The NAM
 development effort was an ongoing collaboration with the VINT project.
 Currently, it is being developed at ISI as part of the SAMAN and Conser
 projects.
 .
 This package contains debug symboles of NAM.

Package: nam-examples
Description-md5: f64781f40ee43a20a309e38945239b4a
Description-en: examples of nam
 NAM is a Tcl/TK based animation tool for viewing network simulation
 traces and real world packet traces. It supports topology layout,
 packet level animation, and various data inspection tools. NAM began
 at LBL. It has evolved substantially over the past few years. The NAM
 development effort was an ongoing collaboration with the VINT project.
 Currently, it is being developed at ISI as part of the SAMAN and Conser
 projects.
 .
 This package contains examples.

Package: nama
Description-md5: 875adacb1c52181783cbf6f9eb97996f
Description-en: Ecasound-based multitrack recorder/mixer
 Nama is a text-based application for multitrack recording, mixing and
 mastering using the Ecasound audio engine developed by Kai Vehmanen.
 .
 Features include tracks, buses, effects, presets, sends, inserts, marks
 and regions. Nama runs under JACK and ALSA audio frameworks, automatically
 detects LADSPA plugins, and supports Ladish Level 1 session handling.
 .
 All editing under Nama is non-destructive. Effects are generally applied
 in realtime. A track caching (track freezing) function is also available.
 .
 The command prompt accepts Nama commands, Ecasound interactive-mode
 commands, shell commands and perl code, providing command history and
 autocompletion.  The help system covers internal commands and LADSPA
 effects and includes keyword search.
 .
 By default, Nama displays a simple graphic interface while the command
 processor runs in a terminal window. The -t option provides a text-only
 interface for console users.

Package: namazu2
Description-md5: 80eff83b4a168bb67efb50f9a3c994e4
Description-en: full text search engine - binary and CGI script
 Namazu is a full text search engine which is usable via CGI. It features
 a simple and easy setup, and is written in C and Perl. Namazu uses the
 text utilities nkf, kakasi or chasen.
 .
 This package includes binary only for search index.
 To create indexes, please install namazu2-index-tools package.

Package: namazu2-common
Description-md5: 2c015b2191cf088d125509532e378be0
Description-en: full text search engine - documentation
 Namazu is a full text search engine which is usable via CGI. It features
 a simple and easy setup, and is written in C and Perl. Namazu uses the
 text utilities nkf, kakasi or chasen.
 .
 This package including only document files, also message catalogue.

Package: namazu2-index-tools
Description-md5: 9ef46063327dd1a5c5b1f23fa1f56dde
Description-en: full text search engine - index handling tools
 Namazu is a full text search engine which is usable via CGI. It features
 a simple and easy setup, and is written in C and Perl. Namazu uses the
 text utilities nkf, kakasi or chasen.
 .
 This package including only index handling(ex, create) tools.
 Index file format of namazu2 is incompatible with namazu1's one.
 Actually, namazu2 packages is needed for searching.

Package: namecheap
Description-md5: a2e03a2135cd019dcdfb6a7bf048eb91
Description-en: API command line client for DNS provider Namecheap (Python 3)
 This package provides a command line tool which supports registering a domain,
 checking domain name availability, listing registered domains, getting contact
 information for a domain, setting DNS info to default values and setting DNS
 host records.
 .
 This package installs the CLI tool for Python 2.

Package: nano-tiny
Description-md5: 26373c621bdb00986d716853280c567b
Description-en: small, friendly text editor inspired by Pico - tiny build
 GNU nano is an easy-to-use text editor originally designed as a replacement
 for Pico, the ncurses-based editor from the non-free mailer package Pine
 (itself now available under the Apache License as Alpine).
 .
 This package contains a build of GNU nano with many features disabled, for
 environments such as rescue disks where resources are limited.

Package: nanoblogger
Description-md5: fac6290bf96fb6b32ad68ddc13bb7ba7
Description-en: Small weblog engine for the command line
 NanoBlogger is a small Weblog engine written in bash. It uses common Unix
 tools such as cat, grep, and sed to create static HTML content. It's command
 line driven and supports archiving by category, year, month, day, and entry.
 It's designed to be modular, flexible, and independent of external databases.

Package: nanoblogger-extra
Description-md5: 1014b4df425cc912b4fe99ebcb9f1d58
Description-en: Nanoblogger plugins
 NanoBlogger is a small Weblog engine written in bash. It uses common Unix
 tools such as cat, grep, and sed to create static HTML content. It's command
 line driven and supports archiving by category, year, month, day, and entry.
 It's designed to be modular, flexible, and independent of external databases.
 .
 This package contains additional plugins and translations for this weblog
 engine.

Package: nanoc
Description-md5: 673b1b9c35412f18d401106bbe003a85
Description-en: static site generator written in Ruby
 Nanoc is a static site generator, fit for building anything from a small
 personal blog to a large corporate web site. It can transform content
 from one format (e.g. Haml or Markdown) into another (usually HTML) and
 lay out pages so that the site's look and feel is consistent across all
 pages.
 .
 Extending Nanoc is easy because of its modular architecture. It comes
 with only a couple of extensions, but allows new functionality to be
 plugged in quickly and easily.
 .
 Some knowledge of the Ruby programming language is required in order to use
 Nanoc.

Package: nanoc-doc
Description-md5: 15625acc47c73a8695795ab245ac198d
Description-en: static site generator written in Ruby - documentation
 Nanoc is a static site generator, fit for building anything from a small
 personal blog to a large corporate web site. It can transform content
 from one format (e.g. Haml or Markdown) into another (usually HTML) and
 lay out pages so that the site's look and feel is consistent across all
 pages.
 .
 Extending Nanoc is easy because of its modular architecture. It comes
 with only a couple of extensions, but allows new functionality to be
 plugged in quickly and easily.
 .
 Some knowledge of the Ruby programming language is required in order to use
 Nanoc.
 .
 This package contains the documentation for Nanoc.

Package: nanomsg-utils
Description-md5: c3f6b48c7e552c9b5e055b96da8c6dc1
Description-en: nanomsg utilities
 nanomsg is a socket library that provides several common
 communication patterns. It aims to make the networking layer fast,
 scalable, and easy to use. Implemented in C, it works on a wide range
 of operating systems with no further dependencies.
 .
 The communication patterns, also called "scalability protocols", are
 basic blocks for building distributed systems. By combining them you
 can create a vast array of distributed applications. The following
 scalability protocols are currently available:
 .
    PAIR - simple one-to-one communication
    BUS - simple many-to-many communication
    REQREP - allows one to build clusters of stateless services
             to process user requests
    PUBSUB - distributes messages to large sets of interested subscribers
    PIPELINE - aggregates messages from multiple sources and
               load balances them among many destinations
    SURVEY - allows one to query state of multiple applications in a single go
 .
 This package contains utilities for testing nanomsg.

Package: nanook
Description-md5: beffa937620d2a184e3ed1c1c9fd8d07
Description-en: pre- and post-alignment analysis of nanopore sequencing data
 NanoOK is a flexible, multi-reference software for pre- and post-
 alignment analysis of nanopore sequencing data, quality and error
 profiles.
 .
 NanoOK (pronounced na-nook) is a tool for extraction, alignment and
 analysis of Nanopore reads. NanoOK will extract reads as FASTA or FASTQ
 files, align them (with a choice of alignment tools), then generate a
 comprehensive multi-page PDF report containing yield, accuracy and
 quality analysis. Along the way, it generates plain text files which can
 be used for further analysis, as well as graphs suitable for inclusion
 in presentations and papers.

Package: nanopb
Description-md5: 1923833b9b90c6ac369f0e560c5d4377
Description-en: Protocol Buffers with small code size
 Plain-C implementation of Google's Protocol Buffers data format. It is
 targeted at 32 bit microcontrollers, but is also fit for other embedded
 systems with tight (<10 kB ROM, <1 kB RAM) memory constraints.
 .
 This package contains the nanopb generator.

Package: nanopolish
Description-md5: c65181cb6cafc3c3d9c0c69affe72640
Description-en: consensus caller for nanopore sequencing data
 Nanopolish uses a signal-level hidden Markov model for consensus calling
 of nanopore genome sequencing data. It can perform signal-level analysis
 of Oxford Nanopore sequencing data. Nanopolish can calculate an improved
 consensus sequence for a draft genome assembly, detect base
 modifications, call SNPs and indels with respect to a reference genome
 and more.

Package: nant
Description-md5: 093122e48342c3dd573cdc2d3aba32ef
Description-en: build tool similar to Ant
 NAnt is different than Make.  Instead of a model where it is extended with
 shell-based commands, NAnt is extended using task classes.  Instead of
 writing shell commands, the configuration files are XML-based, calling
 out a target tree where various tasks get executed.  Each task is run
 by an object that implements a particular Task interface.
 .
 NAnt supports different target frameworks:
  - Microsoft .NET Framework version 1.0 through 4.0, plus Silverlight
  - Mono 1.0 through 4.0

Package: nas
Description-md5: 250afd943a8f2f6e0200b6b91bfc6955
Description-en: Network Audio System - local server
 The Network Audio System (NAS) was developed by NCD for playing,
 recording, and manipulating audio data over a network. Like the X
 Window System, it uses the client/server model to separate
 applications from the specific drivers that control audio input and
 output devices.
 .
 This package contains the NAS daemon (nasd), needed for local output
 from NAS.

Package: nas-bin
Description-md5: 621d181e037c3e14d73212a2b158b73f
Description-en: Network Audio System - client binaries
 The Network Audio System (NAS) was developed by NCD for playing,
 recording, and manipulating audio data over a network. Like the X
 Window System, it uses the client/server model to separate
 applications from the specific drivers that control audio input and
 output devices.
 .
 This package contains the example applications and utilities that are
 provided with NAS.

Package: nas-doc
Description-md5: 5035a42cdf8861c8e7a34271ccc1d608
Description-en: Network Audio System - extra documentation
 The Network Audio System (NAS) was developed by NCD for playing,
 recording, and manipulating audio data over a network. Like the X
 Window System, it uses the client/server model to separate
 applications from the specific drivers that control audio input and
 output devices.
 .
 This package contains the extra documentation provided with the NAS
 source, including PostScript copies of papers describing its design.

Package: nasm
Description-md5: bc3dcab1162eb9b0f97c24bb0f3a90d0
Description-en: General-purpose x86 assembler
 Netwide Assembler.  NASM will currently output flat-form binary files,
 a.out, COFF and ELF Unix object files, and Microsoft 16-bit DOS and
 Win32 object files.
 .
 Also included is NDISASM, a prototype x86 binary-file disassembler
 which uses the same instruction table as NASM.
 .
 NASM is released under the GNU Lesser General Public License (LGPL).

Package: naspro-bridges
Description-md5: fd5386890ce9d25bca9da37a076f8c69
Description-en: collection of bridges to LV2
 NASPRO bridges is a collection of bridges to LV2 which, once installed,
 allow users to use plugins developed for other plugin standards in LV2
 hosts.
 .
 This release contains two such bridges: a LADSPA (+ LRDF) and a
 DSSI one.
 .
 The LADSPA bridge supports every feature of LADSPA and LRDF except:
  * run_adding() and set_run_adding_gain().
  * LRDF factory presets.
 The DSSI bridge does not support:
  * GUIs;
  * MIDI programs;
  * maximum number of events per run_synth() - it is 4096, should be
    enough in most cases;
  * run_synth_adding() - as before;
  * run_multiple_synths() and run_multiple_synths_adding() - no
    extension to do that and it may also be impossible to support
    those.

Package: nast
Description-md5: 027561f4a79ce804b28b479bd02f3c65
Description-en: packet sniffer and lan analyzer
 Can sniff in normal mode or in promiscuous mode the packets on a network
 interface and log it. It dumps the headers of packets and the payload in
 ascii or ascii-hex format. You can apply a filter. The sniffed data can
 be saved in a separated file.
 .
 As analyzer tool, it has many features like:
  * Build LAN hosts list
  * Follow a TCP-DATA stream
  * Find LAN internet gateways
  * Discover promiscuous nodes
  * Reset an established connection
  * Perform a single half-open portscanner
  * Perform a multi half-open portscanner
  * Find link type (hub or switch)
  * Catch daemon banner of LAN nodes
  * Control arp answers to discover possible arp-spoofings
  * Byte counting with an optional filter
  * Write reports logging
 .
 It also provides a ncurses menu.

Package: nast-ier
Description-md5: 584339353a94c02bab089af7ed2a43fc
Description-en: NAST-based DNA alignment tool
 The NAST-iEr alignment utility aligns a single raw nucleotide sequence
 against one or more NAST formatted sequences.
 .
 The alignment algorithm involves global dynamic programming profile
 alignment to fixed (NAST-formatted) multiply aligned template sequences
 without any end-gap penalty.
 .
 NAST-iEr is part of the microbiomeutil suite.

Package: nasty
Description-md5: 1e58b303c144e41cc9580704f75dbf3a
Description-en: tool which helps you to recover your GPG passphrase
 Nasty is a program that helps you to recover the passphrase of your PGP or
 GPG-key in case you forget or lost it. The following features will make things
 easier:
  - set minimum/maximum length of the passphrase
  - incremental mode, random mode or reads a file for guessing
  - charset filter
 .
 This package is useful in forensics investigations.

Package: nat-rtsp-dkms
Description-md5: f493d4d25622a96503c9334ef3eb209e
Description-en: Connection tracking and NAT support for RTSP
 Real Time Streaming Protocol is a network control protocol designed for use in
 entertainment and communications systems to control streaming media servers.
 .
 For example in France, the modem provided by Free ISP (Freebox) uses this
 protocol to broadcast TV/radio channels on the LAN. This module is required
 for any router between the modem and end-users.

Package: nat-traverse
Description-md5: b7153740bf4846d031e7c0cc245549f9
Description-en: NAT gateway traversal utility
 nat-traverse establishes connections between nodes which are
 behind NAT gateways, i.e. hosts which do not have public IP
 addresses. Additionally, you can setup a small VPN by using pppd
 on top of nat-traverse. nat-traverse does not need an external
 server on the Internet, and it isn't necessary to reconfigure
 the involved NAT gateways, either. nat-traverse works
 out-of-the-box.

Package: natbraille
Description-md5: fb7705d556558324f7423e6c61ea8175
Description-en: French braille typesetting program
 Nat is a braille translation engine that can translate into
 transcribed French braille:
 .
  * Text documents
  * OpenOffice 1 and 2 documents, including equations.
  * Microsoft Word documents saved as text, whose equations have been
    converted to mathml with MathType
  * Simple HTML documents which respect norms.

Package: natbraille-doc
Description-md5: 9cb31b47dad63d0ebe7eb7869a4ab2b4
Description-en: Documentation for natbraille
 Nat is a braille translation engine that can translate into
 transcribed French braille.
 .
 This package contains the javadoc-generated documentation on the java classes
 composing NatBraille.

Package: natlog
Description-md5: 1c78d08330173bf7016263ac2e52ca56
Description-en: Source-natting firewall logging utility
 Firewalls like iptables usually offer POSTROUTING source network address
 translation facilities changing the source address of a host behind the
 firewall to the address of the host before the firewall.
 .
 The standard log facilities provided by iptables do not easily allow
 associating addresses behind the firewall with their source-natted
 equivalents before the firewall. Natlog was designed to fill in that
 particular niche.
 .
 When running natlog, messages are sent to the syslog daemon and/or to the
 standard output stream showing the essential characteristics of the
 connection using source natting. Here is an example:
 .
 from Fri 8 22:30:10:55588 until Fri 8 22:40:43:807100: 192.168.19.72:4467
 (via: 129.125.90.132:4467) to 200.49.219.180:443
 .
 Natlog depends on facilities provided by iptables; work is in progress to
 generate logs using facilities offered by the pcap library.

Package: natpmp-utils
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: natpmpc
Description-md5: 3e6836b51e54105ace203d12cfd308e2
Description-en: portable and fully compliant implementation of NAT-PMP (client)
 NAT-PMP is the direct concurrent to the UPnP IGD specification. It is
 providing a way to do NAT traversal. It is part of the Bonjour protocol
 specifications. At the moment it is mainly supported by Apple software and
 hardware. For a way to add NAT-PMP capabilities to your *BSD, Linux or
 (Open)Solaris Router, you should have a look at MiniUPnPd which is
 supporting NAT-PMP in addition to UPnP since December 2007 !
 .
 libnatpmp is an attempt to make a portable and fully compliant
 implementation of the protocol NAT-PMP for the client side. It is based on non
 blocking sockets and all calls of the API are asynchronous. It is therefore
 very easy to integrate the NAT-PMP code to any event driven code.
 .
 This package contains the natpmpc test client.

Package: naturaldocs
Description-md5: 3364558963832789d4258540ef67f71a
Description-en: an extensible, multi-language documentation generator
 Natural Docs is an extensible, multi-language documentation
 generator.  You document your code in a natural syntax that reads
 like plain English.  Natural Docs then scans your code and builds
 high-quality HTML documentation from it.

Package: nautic
Description-md5: 9ef430c72cc26cd7664c2f6a72a2d0f8
Description-en: computation of observer position in astro-navigation
 Nautical ephemeris are included until 2030 with no relevant error for
 all navigational bodies, 56 stars, Sun, Moon and 4 major planets.
 The local meridian time can be computed directly from time UT: sextant
 altitude correction is computed automatically after inserting the
 value of height of eye with the correction tables of the Admiralty
 Nautical Almanac.
 .
 A plotting sheet reports the calculated position and bodies' position
 lines.  An info window indicates the body's most important celestial
 parameters.

Package: nautilus-actions
Description-md5: 7eb6d98131202b0c9134c74a0832d9f5
Description-en: transitional package for FileManager-Actions
 Nautilus actions is an extension for Nautilus, the GNOME file manager.
 It allows the configuration of programs to be launched on files selected
 in the Nautilus interface.
 .
 The project has undergone a name change since other GNOME-based file
 managers, like Caja and Nemo, are now supported as well.
 .
 This package provides an easy way to upgrade to FileManager-Actions.
 It can be safely removed.

Package: nautilus-admin
Description-md5: 1876aa704308109ce5025f2163cfff07
Description-en: Extension for Nautilus to do administrative operations
 Nautilus Admin is a simple Python extension for the Nautilus file manager
 that adds some administrative actions to the right-click menu:
 .
   * Open as Administrator: opens a folder in a new Nautilus window
     running with administrator (root) privileges.
   * Edit as Administrator: opens a file in a Gedit window running
     with administrator (root) privileges.

Package: nautilus-extension-brasero
Description-md5: 9eca4e209da2eb64b613153bfed8578c
Description-en: CD/DVD burning integration for Nautilus
 Brasero is a simple application to burn, copy and erase CD and DVD
 media: audio, video or data. It features among other things:
  * On-the-fly burning
  * Multisession support
  * On-the-fly conversion of music playlists in all formats supported by
    GStreamer
 .
 This package contains the extension for Nautilus, the GNOME Files app.

Package: nautilus-extension-burner
Description-md5: 4c154b98b9be4df8b23bebf4ce00c1a1
Description-en: CD/DVD burning integration for Nautilus
 Burner is a simple application to burn, copy and erase CD and DVD
 media: audio, video or data. It features among other things:
  * On-the-fly burning
  * Multisession support
  * On-the-fly conversion of music playlists in all formats supported by
    GStreamer
 .
 This package contains the extension for Nautilus, the GNOME Files app.

Package: nautilus-extension-fma
Description-md5: 6382f5548b863b86fcbd7c7895ed65ce
Description-en: Nautilus extension to allow user-defined file-manager actions
 FileManager-Actions is an extension for GNOME-based file managers, which
 allows the user to add arbitrary programs to be launched through the file
 manager's context menu, based on the current selection.
 .
 The project was formerly known as Nautilus-Actions, but has changed its
 name since it now supports Caja and Nemo, in addition to Nautilus.
 .
 This package provides the Nautilus extension to allow the execution of
 the user-defined file-manager actions.

Package: nautilus-filename-repairer
Description-md5: dfd795be954bc26ee9e991fa4ea11d87
Description-en: Nautilus extension for filename encoding repair
 This is a Nautilus extension which repairs filename which uses wrong
 encoding in Nautilus. This extension provides the context menu for any
 file whose filename uses wrong encoding, so that you cannot read the
 filename in Nautilus.
 .
 You can find a candidate for filename in context menu or submenu.
 This extension also provides a decoded name for URL encoded filename.

Package: nautilus-font-manager
Description-md5: e4b0355714c509f6633f06f12bbffafd
Description-en: Nautilus extension for Font Manager
 Font Manager is intended to provide a way for average users to easily manage
 desktop fonts, without having to resort to command line tools or editing
 configuration files by hand. While designed primarily with the GNOME Desktop
 Environment in mind, it should work well with other Gtk+ desktop environments.
 .
 This package provides integration with the Nautilus file manager for
 Font Manager.

Package: nautilus-gtkhash
Description-md5: 0e5428fdf18cf9f6221f422da24a7da1
Description-en: nautilus extension for computing checksums and more using gtkhash
 The GtkHash extension for nautilus which allows users to compute
 message digests or checksums using the mhash library.
 Currently supported hash functions include MD5, MD6, SHA1,
 SHA256, SHA512, RIPEMD, TIGER and WHIRLPOOL.

Package: nautilus-hide
Description-md5: 2fb8671d0ef5a1353ad75eea4fc84ff4
Description-en: Extension for Nautilus to hide files without renaming them
 Nautilus Hide is a simple Python extension for the Nautilus file manager
 that adds options to the right-click menu to hide or unhide files.
 .
 The extension hides the files without renaming them (i.e. without prefixing
 a dot ('.') or suffixing a tilde ('~')). It does that by adding their
 names to the folder's '.hidden' file, which Nautilus reads to hide the
 listed files the next time you open or refresh the folder.

Package: nautilus-ideviceinfo
Description-md5: 1e7b8f2ba987cb93cd7bd7e149bd128c
Description-en: utility showing information of idevices on nautilus
 nautilus-ideviceinfo is a nautilus extension showing extended device
 information in the nautilus properties dialog. It shows details like
 serial number, software version, baseband/modem firmware version, and
 storage usage (with nice eye candy).
 .
 To see it, go to "Computer", click on your device, then "Properties" and
 "Details".

Package: nautilus-image-converter
Description-md5: ce93008694c0e402fc4a48eb79d56cf9
Description-en: nautilus extension to mass resize or rotate images
 This package adds a "Resize Images..." menu item to
 the context menu of all images. This opens a dialog
 where you set the desired image size and file name.
 A click on "Resize" finally resizes the image(s)
 using ImageMagick's convert tool.

Package: nautilus-nextcloud
Description-md5: 236cc3a7b86311ec59adbd8d9416944f
Description-en: Nextcloud integration for Nautilus
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 Nautilus Nextcloud is an extension that integrates the Nextcloud web service
 with your GNOME Desktop.

Package: nautilus-owncloud
Description-md5: fe3ee3195ab14afb3e26757f19436c3c
Description-en: ownCloud integration for Nautilus
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 Nautilus ownCloud is an extension that integrates the ownCloud web service with
 your GNOME Desktop.

Package: nautilus-script-audio-convert
Description-md5: 61522e6e1669512ef132fe2e862a63aa
Description-en: A nautilus audio converter script
 audio convert is a script that converts between WAV, Ogg, MP3, MPC, FLAC, APE,
 AAC, and WMA files. It has an easy-to-use interface that makes it possible to
 fill in the tags for a few formats, copy the tags from input files into the
 new files, and choose the quality of compression.

Package: nautilus-script-collection-svn
Description-md5: e1543072e4a23ac16bf42037c00dcceb
Description-en: Nautilus subversion management scripts
 A set of scripts that will execute Subversion commands on
 selected files.
 .
 You can right click on a subversion managed file or folder
 (you can also multiple select) and then select a command
 from the Subversion submenu. All scripts will give feedback
 using a zenity message box.

Package: nautilus-script-debug
Description-md5: a6d82d298ee3c9c8ad9d7ad90e73642b
Description-en: Simple nautilus debugging script
 This simply shows you the environment variables that would be passed to a
 nautilus script for the currently selected files.

Package: nautilus-script-manager
Description-md5: 1a7c85837221eaf97f907a30e6c11599
Description-en: A simple management tool for nautilus scripts
 This provides a small system-wide nautilus scripts "database" that allows
 each user to customize the scripts he wants to use, by simply linking them
 to his private nautilus scripts directory. The manager is basically a wrapper
 script for ln and rm calls.
 .
 Homepage: http://projects.ixios-software.com/nautilus-script-manager/

Package: nautilus-scripts-manager
Description-md5: c0069ba1d65576f0541540c656848ad5
Description-en: simple tool for nautilus scripts management
 nautilus-scripts-manager is a small and easy to use application which allows
 any user to activate, deactivate or just see Nautilus scripts installed in the
 system.
 .
 Nautilus scripts are just ordinary scripts which, once activated, can be ran
 directly from the right-click menu in the popular GNOME files manager.
 .
 This program can be used through its intuitive graphical interface or with a
 set of command line options. In particular, it can transparently replace the
 command line utility nautilus-script-manager (notice the missing "s").
 .
 Once installed, it will appear among the user settings utilities (usually in
 the "Preferences" menu).

Package: nautilus-wipe
Description-md5: d90f7b8ac7d82c39bb346d44d8f9e921
Description-en: Secure deletion extension for Nautilus
 Nautilus Wipe is a Nautilus extension that adds "Securely erase" and
 "Securely fill empty space" items to the right-click menu.
 .
 The progress and results of the operations are shown in a progress
 dialog.

Package: nauty
Description-md5: 44ae986d51bccb00a481cefd3d38bbfa
Description-en: library for graph automorphisms -- interface and tools
 nauty (No AUTomorphisms, Yes?) is a set of procedures for computing
 automorphism groups of graphs and digraphs. This mathematical software
 suite is developed by Brendan McKay and Adolfo Piperno:
 http://pallini.di.uniroma1.it
 .
 nauty computes graph information in the form of a set of generators,
 the size of the group, and the orbits of the group; it can also
 produce a canonical label. The nauty suite is written in C and comes
 with a command-line interface, a collection of command-line tools,
 and an Application Programming Interface (API).
 .
 This package provides the nauty interface named dreadnaut, and a
 small collection of utilities called gtools.

Package: nauty-doc
Description-md5: f20f071b96c56e5e2b6d04bd4c91e84d
Description-en: library for graph automorphisms -- user guide
 nauty (No AUTomorphisms, Yes?) is a set of procedures for computing
 automorphism groups of graphs and digraphs. This mathematical software
 suite is developed by Brendan McKay and Adolfo Piperno:
 http://pallini.di.uniroma1.it
 .
 This package provides the user guide for the nauty mathematical software
 suite; it also contains examples and extra technical documentations.

Package: navi2ch
Description-md5: 40e3062bb42cccaee27e957fd2d386a3
Description-en: 2channel Navigator for Emacs
 2channel is Japanese Internet forum.
  * 2channel http://www.2ch.net
  * Shitaraba http://rentalbbs.livedoor.com/jbbs/
  * Machi-BBS http://www.machi.to/

Package: navit
Description-md5: 783e456ea628a6c205c86789c03eec11
Description-en: Car navigation system with routing engine
 Navit is a car navigation system with routing engine.
 .
 Its modular design is capable of using vector maps of various formats for
 routing and rendering of the displayed map. It's even possible to use multiple
 maps at a time.
 .
 The GTK+ or SDL user interfaces are designed to work well with touch screen
 displays. Points of Interest of various formats are displayed on the map.
 .
 The current vehicle position is either read from gpsd or directly from NMEA
 GPS sensors.
 .
 The routing engine not only calculates an optimal route to your destination,
 but also generates directions and even speaks to you using speech-dispatcher.
 .
 The Debian packaging for navit doesn't provide any map. To see, where you can
 get maps from, see <http://wiki.navit-project.org/index.php/Main_Page#Maps>.

Package: navit-data
Description-md5: a97d8f857d2e846ef7e02bb355a200c6
Description-en: Car navigation system with routing engine - data files
 Navit is a car navigation system with routing engine.
 .
 Its modular design is capable of using vector maps of various formats for
 routing and rendering of the displayed map. It's even possible to use multiple
 maps at a time.
 .
 The GTK+ or SDL user interfaces are designed to work well with touch screen
 displays. Points of Interest of various formats are displayed on the map.
 .
 The current vehicle position is either read from gpsd or directly from NMEA
 GPS sensors.
 .
 The routing engine not only calculates an optimal route to your destination,
 but also generates directions and even speaks to you using speech-dispatcher.
 .
 This package contains the architecture independent files - mainly translation
 files and images - for navit.

Package: navit-graphics-gtk-drawing-area
Description-md5: 1837b5e747b802c091352d912b743429
Description-en: Car navigation system with routing engine - GTK+ graphic plugin
 Navit is a car navigation system with routing engine.
 .
 Its modular design is capable of using vector maps of various formats for
 routing and rendering of the displayed map. It's even possible to use multiple
 maps at a time.
 .
 The GTK+ or SDL user interfaces are designed to work well with touch screen
 displays. Points of Interest of various formats are displayed on the map.
 .
 The current vehicle position is either read from gpsd or directly from NMEA
 GPS sensors.
 .
 The routing engine not only calculates an optimal route to your destination,
 but also generates directions and even speaks to you using speech-dispatcher.
 .
 This package contains the graphic gtk-drawing-area plugin to use with GTK+
 aware GUIs plugins.

Package: navit-gui-gtk
Description-md5: 0a235c9ef0c8378d7c7dfa9b06b6171e
Description-en: Car navigation system with routing engine - GTK+ GUI
 Navit is a car navigation system with routing engine.
 .
 Its modular design is capable of using vector maps of various formats for
 routing and rendering of the displayed map. It's even possible to use multiple
 maps at a time.
 .
 The GTK+ or SDL user interfaces are designed to work well with touch screen
 displays. Points of Interest of various formats are displayed on the map.
 .
 The current vehicle position is either read from gpsd or directly from NMEA
 GPS sensors.
 .
 The routing engine not only calculates an optimal route to your destination,
 but also generates directions and even speaks to you using speech-dispatcher.
 .
 This package contains the GTK+ GUI plugin.

Package: navit-gui-internal
Description-md5: c66cdd6a9343846105342185cdf44171
Description-en: Car navigation system with routing engine - internal GUI
 Navit is a car navigation system with routing engine.
 .
 Its modular design is capable of using vector maps of various formats for
 routing and rendering of the displayed map. It's even possible to use multiple
 maps at a time.
 .
 The GTK+ or SDL user interfaces are designed to work well with touch screen
 displays. Points of Interest of various formats are displayed on the map.
 .
 The current vehicle position is either read from gpsd or directly from NMEA
 GPS sensors.
 .
 The routing engine not only calculates an optimal route to your destination,
 but also generates directions and even speaks to you using speech-dispatcher.
 .
 This package contains the internal GUI plugin.

Package: nbc
Description-md5: 92231c421122adbe6ea64a63785b387f
Description-en: C compiler for LEGO Mindstorms NXT bricks
 Next Byte Codes (NBC) is a simple language with an assembly language syntax
 that can be used to program LEGO's NXT programmable brick (from the new LEGO
 Mindstorms NXT set).
 .
 Not eXactly C (NXC) is a high level language, similar to C, built on top of the
 NBC compiler. It can also be used to program the NXT brick. NXC is basically
 NQC for the NXT. To compile NXC programs just use the NBC compiler with source
 code files that have a .nxc file extension.
 .
 If you are just getting started with programming, then graphical environments
 such as the Mindstorms NXT software may be better choices for you. If, however,
 you're a programmer  and you prefer typing a few lines to drag and drop icon
 programming, then either NBC or NXC may be perfect for you.

Package: nbd-client
Description-md5: 50b5081db709f9bc399b8b74366d1d8f
Description-en: Network Block Device protocol - client
 Network Block Device (NBD) is a client/server protocol that
 emulates a block device (such as a hard disk, a floppy, or a CD-ROM)
 over the network, thus giving the system the ability to swap over the
 network, or to use raw network disk space for other purposes.
 .
 However, writing to one Network Block Device from different clients
 simultaneously is not recommended, and would probably result in data
 loss. If you want multiple clients to share a remote resource, use a
 network file system such as NFS or Coda.
 .
 This package provides the client binary for NBD.

Package: nbdfuse
Description-md5: ed9612bc4ac468edc815a8f5e3cbb836
Description-en: utility to present a network block device in a FUSE filesystem
 nbdfuse presents a Network Block Device as a local file inside a FUSE
 filesystem.

Package: nbdkit
Description-md5: c7a861cc6e7a0f4d763c7d5feb686a79
Description-en: toolkit for creating NBD servers
 NBD is a protocol for accessing block Devices (hard disks and
 disk-like things) over a network. nbdkit is a multithreaded NBD
 server with a well-documented, simple plugin API with a stable ABI
 guarantee that allows for easy creation of "unconventional" block
 devices.
 .
 This package provides the nbdkit binary and a the file, gzip, and xz,
 plugins.

Package: nbdkit-plugin-dev
Description-md5: efdb497b4aefd121118c1547ba408e87
Description-en: development files for nbdkit
 Development files, documentation and example code for developing
 plugins to nbdkit.

Package: nbdkit-plugin-guestfs
Description-md5: 1d3438dcd3d93a0cd3ceca0f156d8989
Description-en: libguestfs plugin for nbdkit
 nbdkit-guestfs-plugin is an nbdkit(1) plugin that makes the contents
 of disk images accessible over NBD.

Package: nbdkit-plugin-libvirt
Description-md5: 3b2a2204007d5f9a3d880dd605227de7
Description-en: libvirt plugin for nbdkit
 nbdkit-libvirt-plugin is an nbdkit(1) plugin that makes the contents
 of libvirt images accessible over NBD.

Package: nbdkit-plugin-lua
Description-md5: 3fbbce3a70f4d843d9267a9bce09c6f1
Description-en: Lua plugin for nbdkit
 This plugin allows nbdkit plugins to be written in Lua.

Package: nbdkit-plugin-perl
Description-md5: 152df353ab40eb4b9f19c9062fe9fc8f
Description-en: Perl plugin for nbdkit
 This plugin allows nbdkit plugins to be written in Perl.

Package: nbdkit-plugin-python
Description-md5: a4818c0d469df34a31deb518bc0b4af9
Description-en: Python plugin for nbdkit
 This plugin allows nbdkit plugins to be written in Python.

Package: nbdkit-plugin-ruby
Description-md5: ecf5b86d5c4d70bb7d76fcaa816564d5
Description-en: Ruby plugin for nbdkit
 This plugin allows nbdkit plugins to be written in Ruby.

Package: nbdkit-plugin-tcl
Description-md5: 75b0d1292007ca06a6fc37688810a7b5
Description-en: TCL plugin for nbdkit
 This plugin allows nbdkit plugins to be written in TCL.

Package: nbibtex
Description-md5: f7c0216a1b9b5d4ea3e847d20ec2bd95
Description-en: Powerful, flexible replacement for bibtex
 Tools to help authors take better advantage of BibTeX data,
 especially when working in collaboration.  Instead of using BibTeX
 'keys', which are chosen arbitrarily and idiosyncratically, nbibtex
 builds a bibliography by searching the actual contents of citations.
 It can be used as a drop-in, compatible replacement for bibtex.

Package: nbibtex-doc
Description-md5: f4214a65ce6d50a25d8fad2e56f54da6
Description-en: Documentation of source code for nbibtex
 Includes the 'literate programming' source for nbibtex, which
 is useful if you want to create your own styles.

Package: nbtscan
Description-md5: 7dfcf0d43ea05dc16ff9ce49aaf8ab29
Description-en: scan networks searching for NetBIOS information
 NBTscan is a program for scanning IP networks for NetBIOS name information.
 It sends NetBIOS status query to each address in supplied range and lists
 received information in human readable form. For each responded host it
 lists IP address, NetBIOS computer name, logged-in user name and MAC address
 (such as Ethernet).
 .
 This program is useful for security checks and forensics investigations.

Package: ncaptool
Description-md5: 4cba9a5efbf20073f6e624046a50354d
Description-en: network capture tool
 ncap is a network capture library like libpcap (on which it is based)
 and tcpdump. It produces binary data in its own ncap format, which can
 be stored in a dump file or transmitted over a UDP socket. Unlike
 libpcap, it discards data link headers and only supports IPv4 and IPv6
 packets, but it can perform reassembly of IP datagrams.
 .
 This package contains the ncaptool command line program.

Package: ncat
Description-md5: c813db1c4353f6959ccedbe324259549
Description-en: NMAP netcat reimplementation
 ncat is a reimplementation of Netcat by the NMAP project, providing
 most of the features present in the original implementations, along
 with some new features such as IPv6 and SSL support. Port scanning
 support has been removed.

Package: ncbi-blast+
Description-md5: 395e56c55c29f8e445efe977ed605c4b
Description-en: next generation suite of BLAST sequence search tools
 The Basic Local Alignment Search Tool (BLAST) is the most widely
 used sequence similarity tool. There are versions of BLAST that
 compare protein queries to protein databases, nucleotide queries
 to nucleotide databases, as well as versions that translate nucleotide
 queries or databases in all six frames and compare to protein databases
 or queries.
 PSI-BLAST produces a position-specific-scoring-matrix (PSSM) starting
 with a protein query, and then uses that PSSM to perform further searches.
 It is also possible to compare a protein or nucleotide query to a
 database of PSSM’s.
 The NCBI supports a BLAST web page at blast.ncbi.nlm.nih.gov as well as
 a network service.

Package: ncbi-blast+-legacy
Description-md5: a53af4a21bab00385c50eb15cefc27ca
Description-en: NCBI Blast legacy call script
 This package adds some fake scripts to call NCBI+ programs
 with the NCBI blast command line. It makes use of the
 legacy_blast script in ncbi-blast+ package.

Package: ncbi-cn3d
Description-md5: 4b8b7ec638320698243f2e3ece99e56d
Description-en: 3-dimensional viewer for biological molecules
 Cn3D is a helper application for your web browser that allows you to
 view 3-dimensional structures from NCBI's Entrez retrieval service.

Package: ncbi-data
Description-md5: 7f8f44c9d5294fddcb8e3938701bc042
Description-en: Platform-independent data for the NCBI toolkit
 This package contains support files needed by the NCBI toolkit on all
 platforms.

Package: ncbi-entrez-direct
Description-md5: 10c6a6866d4021f4e8969018b2d1adbc
Description-en: NCBI Entrez utilities on the command line
 Entrez Direct (EDirect) is an advanced method for accessing NCBI's set
 of interconnected databases (publication, sequence, structure, gene,
 variation, expression, etc.) from a terminal window or script.
 Functions take search terms from command-line arguments.  Individual
 operations are combined to build multi-step queries.  Record retrieval
 and formatting normally complete the process.
 .
 EDirect also provides an argument-driven function that simplifies the
 extraction of data from document summaries or other results that are
 returned in structured XML format.  This can eliminate the need for
 writing custom software to answer ad hoc questions.  Queries can move
 seamlessly between EDirect commands and UNIX utilities or scripts to
 perform actions that cannot be accomplished entirely within Entrez.

Package: ncbi-epcr
Description-md5: 6eb4b20b4e9fc4026571516f9268e389
Description-en: Tool to test a DNA sequence for the presence of sequence tagged sites
 Electronic PCR (e-PCR) is computational procedure that is used to identify
 sequence tagged sites(STSs), within DNA sequences. e-PCR looks for potential
 STSs in DNA sequences by searching for subsequences that closely match the
 PCR primers and have the correct order, orientation, and spacing that could
 represent the PCR primers used to generate known STSs.
 .
 The new version of e-PCR implements a fuzzy matching strategy. To reduce
 likelihood that a true STS will be missed due to mismatches, multiple
 discontiguous words may be used instead of a single exact word. Each of this
 word has groups of significant positions separated by 'wildcard' positions
 that are not required to match. In addition, it is also possible to allow
 gaps in the primer alignments.
 .
 The main motivation for implementing reverse searching (called Reverse e-PCR)
 was to make it feasible to search the human genome sequence and other large
 genomes. The new version of e-PCR provides a search mode using a query
 sequence against a sequence database.
 .
 This program is retired upstream and it is suggested to use Primer-Blast
  https://www.ncbi.nlm.nih.gov/tools/primer-blast/
 instead.

Package: ncbi-rrna-data
Description-md5: 7a37f2dd1efd14f164b5327c31e21a63
Description-en: large rRNA BLAST databases distributed with the NCBI toolkit
 This package contains some ribosomal RNA BLAST databases distributed
 as part of the NCBI C Toolkit that are too large and specialized to
 include in ncbi-data.  Specifically, it contains the databases
 Combined16SrRNA, LSURef_93.fasta, LSU_nomito_nochloro_noplastid,
 SSURef_93.fasta, and SSU_nomito_nochloro_noplastid, along with alias
 files to facilitate searching some of them in conjunction with
 databases included in ncbi-data.

Package: ncbi-seg
Description-md5: acdbf2f23ef3ef6e08403f8efc2dbc65
Description-en: tool to mask segments of low compositional complexity in amino acid sequences
 ncbi-seg (a.k.a. SEG) is a program for identifying and masking segments of
 low compositional complexity in amino acid sequences.
 .
 ncbi-seg divides sequences into contrasting segments of low-complexity and
 high-complexity.  Low-complexity segments defined by the
 algorithm represent "simple sequences" or "compositionally-biased regions".
 .
 This program is inappropriate for masking nucleotide sequences and, in fact,
 may strip some nucleotide ambiguity codes from nt. sequences as they are being
 read.

Package: ncbi-tools-bin
Description-md5: 6919ed1d6f34363a4bd110f69899ff95
Description-en: NCBI libraries for biology applications (text-based utilities)
 This package includes various utilities distributed with the NCBI C SDK,
 including the development tools asntool and errhdr (formerly of
 libncbi6-dev).  None of the programs in this package require X; you can
 find the X-based utilities in the ncbi-tools-x11 package.  BLAST and
 related tools now come from a separate source base, corresponding to the
 ncbi-blast+ and ncbi-blast+-legacy packages.

Package: ncbi-tools-x11
Description-md5: da0a1e531a27990083791b777b4af54f
Description-en: NCBI libraries for biology applications (X-based utilities)
 This package includes some X-based utilities distributed with the
 NCBI C SDK: Network Entrez, Sequin, ddv, and udv.  These programs
 are not part of ncbi-tools-bin because they depend on several
 additional library packages.

Package: ncc
Description-md5: 1e4ff7877333c79d467ddf41cd072029
Description-en: C source code analyzer
 A small, extensible tool that provides program analysis data of C
 source code. This data can be used to analyze the function call flow
 of programs with tools such as graphviz and springgraph. Included
 with this distribution is nccnav that can be used to study the call
 hierarchy of C source code on a text-mode console.

Package: ncdt
Description-md5: 78deba6dd910c63e3e413540c99ce23c
Description-en: Display directory tree
 NcdT displays directory tree, much like standard tree(1), but with few
 improvements:
  - it prints summary info instead of directory special file size
  - it prints MP3 file info
 It's particularly useful for indexing CDs.

Package: ncdu
Description-md5: 32e56c5ac2dc286e0ebd524deff823ec
Description-en: ncurses disk usage viewer
 Ncdu is a ncurses-based du viewer. It provides a fast and easy-to-use interface
 through famous du utility. It allows one to browse through the directories and
 show percentages of disk usage with ncurses library.

Package: ncftp
Description-md5: 5640793ebf2b9afa9c585a33f69f5376
Description-en: User-friendly and well-featured FTP client
 Ncftp allows a user to transfer files to and from a remote network
 site, and offers additional features that are not found in the standard
 interface, ftp. This version has Readline support enabled.

Package: ncl-ncarg
Description-md5: f7c7da9bee6b463205b6a0274f19d551
Description-en: NCAR Command Language and NCAR graphics
 The NCAR Command Language (NCL) is a free interpreted language designed
 specifically for scientific data processing and visualization. NCL has robust
 file input and output. It can read and write netCDF-3, netCDF-4 classic
 HDF4, HDF5, binary, and ASCII data, and read HDF-EOS2, GRIB1 and
 GRIB2. The graphics are world class and highly customizable.
 .
 The software comes with a couple of useful command line tools:
 * ncl_filedump - prints the contents of supported files (netCDF, HDF,
   GRIB1, GRIB2, HDF-EOS2, and CCM History Tape)
 * ncl_convert2nc - converts one or more GRIB1, GRIB2, HDF and/or HDF-EOS
   iles to netCDF formatted files.

Package: ncl-tools
Description-md5: c9b884774afd80b3cd5488d0363db4cc
Description-en: tools to deal with NEXUS files
 The NEXUS Class Library is a C++ library for parsing NEXUS files.
 .
 The NEXUS file format is widely used in bioinformatics. Several popular
 phylogenetic programs such as Paup, MrBayes, Mesquite, and MacClade use
 this format.

Package: ncmpc
Description-md5: 9537c06b8dcf9b5389fdd75d1aa0934b
Description-en: ncurses-based audio player
 This package contains a text-mode client for MPD, the Music Player Daemon.
 Its goal is to provide a keyboard oriented and consistent interface to MPD,
 without wasting resources.
 .
 Features:
  - full Unicode and wide character support
  - music database browser, database search, media library
  - audio output configuration
  - lyrics
  - LIRC support
  - customizable key bindings and colors
  - tiny memory footprint, smaller than any other interactive MPD client

Package: ncmpc-lyrics
Description-md5: 3424c1247811c72b3ff0816b411c946e
Description-en: ncurses-based audio player (lyrics plugins)
 ncmpc is a text-mode client for MPD, the Music Player Daemon. Its goal is to
 provide a keyboard oriented and consistent interface to MPD, without wasting
 resources.
 .
 This package contains plugins to download lyrics.

Package: ncmpcpp
Description-md5: 9898d1942d8668ddedb0e51e6d64c40b
Description-en: ncurses-based client for the Music Player Daemon (MPD)
 ncmpcpp is almost an exact clone of ncmpc which is a text-mode client
 for MPD, the Music Player Daemon. It provides a keyboard oriented and
 consistent interface to MPD and contains some new features ncmpc
 doesn't have. It's been also rewritten from scratch in C++.
 .
 New features include:
  - tag editor;
  - playlists editor;
  - easy to use search screen;
  - media library screen;
  - lyrics screen;
  - possibility of going to any position in currently playing track
    without rewinding/fastforwarding;
  - multi colored main window (if you want);
  - songs can be added to playlist more than once;
  - a lot of minor useful functions.

Package: nco
Description-md5: 2ea97a27b6d146e854d9cc3639422fec
Description-en: Command-line operators to analyze netCDF files
 NCO is a suite of programs known as operators. The operators are stand-alone,
 command-line programs executable in a POSIX shell. Operators take one or more
 netCDF files as input, perform operations (e.g., averaging, hyperslabbing),
 and produce a netCDF output file. NCO was originally designed to manipulate and
 analyze climate data, though it works on any netCDF format datasets.

Package: ncoils
Description-md5: 5bd28c649fa7c1ee4bd65c56dea6634f
Description-en: coiled coil secondary structure prediction
 The program predicts the coiled coil secondary structure predictions
 from protein sequences. The algorithm was published in
 Lupas, van Dyke & Stock, Predicting coiled coils from
 protein sequences Science, 252, 1162-1164, 1991.

Package: ncompress
Description-md5: 0ba9f83ea053a8a1ec85bffba60c176f
Description-en: original Lempel-Ziv compress/uncompress programs
 This package provides the original compress and uncompress programs that used
 to be the de facto UNIX standard for compressing and uncompressing files.
 These programs implement a fast, simple Lempel-Ziv (LZW) file compression
 algorithm.
 .
 For Debian, the standard uncompress program is installed as uncompress.real,
 to avoid conflicting with other packages.
 .
 This package also contains a copyright notice and a brief discussion of the
 LZW patent history (written by one of the original authors) in the file
 /usr/share/doc/ncompress/README.Debian.

Package: ncrack
Description-md5: 56d06758aa65b6263a110451c3a4a4cb
Description-en: High-speed network authentication cracking tool
 Ncrack is a high-speed network authentication cracking tool.
 It was built to help companies secure their networks by
 proactively testing all their hosts and networking devices
 for poor passwords. Security professionals also rely on
 Ncrack when auditing their clients. Ncrack was designed
 using a modular approach, a command-line syntax similar to
 Nmap and a dynamic engine that can adapt its behaviour
 based on network feedback. It allows for rapid, yet
 reliable large-scale auditing of multiple hosts.
 .
 Ncrack's features include a very flexible interface granting
 the user full control of network operations, allowing for
 very sophisticated bruteforcing attacks, timing templates
 for ease of use, runtime interaction similar to Nmap's and
 many more. Protocols supported include RDP, SSH, http(s),
 SMB, pop3(s), VNC, FTP, and telnet.
 .
 Be sure to read the Ncrack man page (https://nmap.org/ncrack/man.html)
 to fully understand Ncrack usage.

Package: ncurses-hexedit
Description-md5: 69472dca280af3ec4b8f4b7bb446b41b
Description-en: Edit files/disks in hex, ASCII and EBCDIC
 Hexedit is a file editor which allows editing and viewing a file in
 hexadecimal, along with its ASCII or EBCDIC text equivalent.  Standard
 editing features include insert, delete, search (text or byte searches),
 highlighted changes,  undo, two different viewing formats, and full
 screen text snapshots.  Allows editing of fixed disks as well.  Includes
 a binary/octal/decimal/hex converter.

Package: ncview
Description-md5: e8d63e0d0ff8a6779d11d76095c54ff1
Description-en: X11 visual browser for NetCDF format files
 You would use ncview to get a quick and easy, push-button look at
 your NetCDF files. You can view simple movies of the data, view along various
 dimensions, take a look at the actual data values, change color maps, invert
 the data and other simple visual operations.

Package: nd
Description-md5: 78877a4b7bd2eebdca50809b26e28b9f
Description-en: small command line interface to WebDAV servers
 nd is a command line tool to issue queries to a WebDAV server.
 .
 WebDAV stands for "Web-based Distributed Authoring and Versioning"
 (RFC 2518). It is a protocol built on top of HTTP, allowing users
 to collaboratively edit and manage files on remote web servers.
 .
 nd can be used to retrieve, submit, remove, and lock individual
 files, as well as retrieving information about them. It also
 supports proxying, as well as the authentication methods 'Basic'
 and now 'Digest' for protecting against unsolicited access.
 .
 It is smaller than the alternative tool Cadaver. However, nd has
 neither an interactive mode, nor has it support for SSL or TLS
 encrypted transmissions, but it is well suited for use in scripts.
 .
 nd is dependent on libxml2 and libmhash2.

Package: ndiff
Description-md5: 709e1a79eaf40edbceaf61edd0490c48
Description-en: The Network Mapper - result compare utility
 Ndiff is a tool to aid in the comparison of Nmap scans. It takes two
 Nmap XML output files and prints the differences between them them:
 hosts coming up and down, ports becoming open or closed, and things like that.
 It can produce output in human-readable text or machine-readable XML formats.

Package: ndisc6
Description-md5: f99e054f0174d000209b735d28e912a9
Description-en: IPv6 diagnostic tools
 ndisc6 gathers a few diagnostic tools for IPv6 networks including:
  - ndisc6, which performs ICMPv6 Neighbor Discovery in userland,
  - rdisc6, which performs ICMPv6 Router Discovery in userland,
  - rltraceroute6, a UDP/ICMP IPv6 implementation of traceroute,
  - tcptraceroute6, a TCP/IPv6-based traceroute implementation,
  - tcpspray6, a TCP/IP Discard/Echo bandwidth meter,
  - addrinfo, easy script interface for hostname and address resolution,
  - dnssort, DNS sorting script.

Package: ndiswrapper
Description-md5: c523cfe08b170aec01d252cbc44fddb9
Description-en: Userspace utilities for the ndiswrapper Linux kernel module
 Some vendors do not release specifications of the hardware or provide a
 Linux driver for their wireless network cards. This project implements
 Windows kernel API and NDIS (Network Driver Interface Specification) API
 within Linux kernel. A Windows driver for wireless network card is then
 linked to this implementation so that the driver runs natively, as though
 it is in Windows, without binary emulation.
 .
 This package contains the userspace tools. You will also need the kernel
 module package.

Package: ndiswrapper-dkms
Description-md5: 15f92c61c671c49f4b26763f35440d30
Description-en: Source for the ndiswrapper Linux kernel module (DKMS)
 Some vendors do not release specifications of the hardware or provide a
 Linux driver for their wireless network cards. This project implements
 Windows kernel API and NDIS (Network Driver Interface Specification) API
 within Linux kernel. A Windows driver for wireless network card is then
 linked to this implementation so that the driver runs natively, as though
 it is in Windows, without binary emulation.
 .
 This package provides the source code for ndiswrapper kernel module to be
 build with dkms.

Package: ndiswrapper-source
Description-md5: 6f94d428f7e87a395a13699a49d22f73
Description-en: Source for the ndiswrapper Linux kernel module
 Some vendors do not release specifications of the hardware or provide a
 Linux driver for their wireless network cards. This project implements
 Windows kernel API and NDIS (Network Driver Interface Specification) API
 within Linux kernel. A Windows driver for wireless network card is then
 linked to this implementation so that the driver runs natively, as though
 it is in Windows, without binary emulation.
 .
 This package provides the source code for the ndiswrapper kernel module.

Package: ndppd
Description-md5: 6bedeb029480a6606aa31792a172ca31
Description-en: daemon that proxies IPv6 NDP messages
 ndppd is a daemon that proxies certain IPv6 NDP messages between two or
 more interfaces. It currently supports proxying Neighbor Solicitation
 Messages and Neighbor Advertisement messages in order to allow IPv6
 routing without relying on Linux "proxy_ndp".
 .
 The daemon is partially compliant with (experimental) RFC4389.

Package: ne
Description-md5: c8cca8071aebd531dde80e73af4d8b48
Description-en: easy-to-use and powerful text editor
 Ne, the nice editor, is one of the few text editors being
 both easy to use for the beginner and powerful enough for
 the wizard, supporting UTF-8 and multi-column characters as
 well as syntax highlighting.
 .
 It uses short, intuitive and easy to remember key bindings
 while providing all the features an editor should have.
 It is fully configurable allowing the user to change the
 content of the various menus, to easily create small macros
 and to easily change the existing key bindings while being
 a small and fast editor.

Package: ne-doc
Description-md5: 131d9cf12c5c02d4212e9372cfa0aaa9
Description-en: documentation for the ne text editor
 Ne, the nice editor, is one of the few text editors being
 both easy to use for the beginner and powerful enough for
 the wizard, supporting UTF-8 and multi-column characters as
 well as syntax highlighting.
 .
 This package contains the ne documentation in various
 formats: HTML, PDF, Info and plain text.

Package: neat
Description-md5: 8d2a7a5fc6c7f0c6eee4d6d241592283
Description-en: Nebular Empirical Analysis Tool
 NEAT analyses lists of emission line fluxes measured from the spectra of
 photoionised nebulae such as planetary nebulae and HII regions.  It
 determines the extinction, and then adopts a three zone model to determine
 temperatures, densities, and ionic and total abundances, from collisionally
 excited lines and recombination lines.  It calculates uncertainties if
 required, using a Monte Carlo technique.

Package: nec2c
Description-md5: f8a3cab730530cc61f242045f3084388
Description-en: Translation of the NEC2 FORTRAN source code to the C language
 The translation was performed mostly "by hand" and a lot of modifications
 to the original program were introduced in order to modernize the NEC2
 and to remove as many built-in limitations as possible. The attendant
 SOMNEC program was also translated to C and incorporated in nec2c as a
 function so that Sommerfeld ground solutions are a part of the program.

Package: nedit
Description-md5: 8079f5497fe891722f4ff602bd699fbd
Description-en: powerful, customizable, Motif based text editor
 NEdit is a multi-purpose text editor for the X Window System, which
 combines a standard, easy to use, graphical user interface with the
 thorough functionality and stability required by users who edit text
 eight hours a day. It provides intensive support for development in
 a wide variety of languages, text processors, and other tools, but
 at the same time can be used productively by just about anyone who
 needs to edit text.
 .
 Because of its mature age, NEdit does not support UTF-8 text files,
 nor will that be implemented.

Package: needrestart
Description-md5: a26ee5dd9ec37fe484bee4f1ce585d87
Description-en: check which daemons need to be restarted after library upgrades
 needrestart checks which daemons need to be restarted after library upgrades.
 It is inspired by checkrestart from the debian-goodies package.
 .
 Features:
  - supports (but does not require) systemd
  - binary blacklisting (i.e. display managers)
  - tries to detect required restarts of interpreter based daemons
    (supports Java, Perl, Python, Ruby)
  - tries to detect required restarts of containers (docker, LXC)
  - tries to detect pending kernel upgrades
  - tries to detect pending microcode upgrades for Intel CPUs
  - could be used as nagios check_command
  - fully integrated into apt/dpkg using hooks

Package: needrestart-session
Description-md5: f777b3f48ac044c25ca752b5fe4b7db3
Description-en: check for processes need to be restarted in user sessions
 needrestart checks which processes need to be restarted after library upgrades.
 needrestart-session implements a notification of user sessions about their
 obsolete processes after system upgrades.

Package: neko
Description-md5: b9931d2adc8cc89fb8552d5e385bfbaf
Description-en: Lightweight virtual machine - compiler and tools
 Neko provides a common runtime for several different languages,
 including JavaScript and haXe.
 .
 The compiler converts a source file (.neko) into a bytecode file (.n)
 that can be executed with the Virtual Machine.  You can use the
 compiler as standalone commandline executable separated from the
 virtual machine, or as a Neko library to perform compile-and-run for
 interactive languages.
 .
 Neko was written by Nicolas Cannasse.

Package: neko-dev
Description-md5: ddc48674b253d9a7a80c7a9ac1d09bb5
Description-en: Lightweight virtual machine - headers
 Neko provides a common runtime for several different languages,
 including JavaScript and haXe.
 .
 The virtual machine is both very lightweight and well optimized.  It
 can be easily embedded into other applications, and libraries are
 directly accessible using the C foreign function interface.
 .
 This package includes the header files for development using the Neko
 virtual machine.
 .
 Neko was written by Nicolas Cannasse.

Package: nemiver
Description-md5: 9b47bdf9c001328293393b503306d85a
Description-en: Standalone graphical debugger for GNOME
 Nemiver is a project to write a standalone graphical debugger that
 integrates in the GNOME desktop. It features a backend which uses
 the well known GNU Debugger gdb to debug C/C++ programs.
 .
 Nemiver is built with a plugin system that can offer several different
 perspectives. Currently the only perspective provided is a debugging
 perspective, but it could be expanded with perspectives added for
 valgrind, or for profiling tools such as oprofile.

Package: nemo
Description-md5: 2de74fdb31432279c715f87a45768e42
Description-en: File manager and graphical shell for Cinnamon
 Nemo is the official file manager for the Cinnamon desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the Cinnamon
 desktop. It works on local and remote filesystems.
 .
 Several icon themes and components for viewing different kinds of files
 are available in separate packages.

Package: nemo-data
Description-md5: 2191910dfb2321d4a02010c25f7b6997
Description-en: File manager and graphical shell for Cinnamon (data files)
 Nemo is the official file manager for the Cinnamon desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the Cinnamon
 desktop. It works on local and remote filesystems.
 .
 This package contains pictures, localization files and other data
 needed by nemo.

Package: nemo-extension-fma
Description-md5: c6790f778a6ff6638663858d0d212d92
Description-en: Nemo extension to allow user-defined file-manager actions
 FileManager-Actions is an extension for GNOME-based file managers, which
 allows the user to add arbitrary programs to be launched through the file
 manager's context menu, based on the current selection.
 .
 The project was formerly known as Nautilus-Actions, but has changed its
 name since it now supports Caja and Nemo, in addition to Nautilus.
 .
 This package provides the Nemo extension to allow the execution of the
 user-defined file-manager actions.

Package: nemo-fileroller
Description-md5: 77c79e43e02a52d42a4ba502f5f23f37
Description-en: File Roller integration for Nemo
 Nemo File Roller is an Nemo extension which allows you to create and extract
 archives in Nemo. This extension adds "Extract Here" (for archive files) and
 "Compress..." items to the right-click menu.

Package: nemo-font-manager
Description-md5: d120b212ff2c7879fa9b91511fb8d776
Description-en: Nemo extension for Font Manager
 Font Manager is intended to provide a way for average users to easily manage
 desktop fonts, without having to resort to command line tools or editing
 configuration files by hand. While designed primarily with the GNOME Desktop
 Environment in mind, it should work well with other Gtk+ desktop environments.
 .
 This package provides integration with the Nautilus file manager for
 Font Manager.

Package: nemo-gtkhash
Description-md5: 5aefca39c9cd4425e809cfcc0c93712c
Description-en: nemo extension for computing checksums and more using gtkhash
 The GtkHash extension for nemo which allows users to compute
 message digests or checksums using the mhash library.
 Currently supported hash functions include MD5, MD6, SHA1,
 SHA256, SHA512, RIPEMD, TIGER and WHIRLPOOL.

Package: nemo-nextcloud
Description-md5: f69ec7c0f630d606911c2d8ea7e106c3
Description-en: Nextcloud integration for Nemo
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 Nemo Nextcloud is an extension that integrates the Nextcloud web service with
 your Cinnamon Desktop.

Package: nemo-owncloud
Description-md5: ad73018ad2e18d28fd09427014d27890
Description-en: ownCloud integration for Nemo
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 Nemo ownCloud is an extension that integrates the ownCloud web service with
 your Cinnamon Desktop.

Package: nemo-python
Description-md5: 14be56bd91bc1709aebcd6c9977aeffb
Description-en: Python bindings for nemo components
 Python bindings for Nemo, to allow creation of Nemo extensions in Python.
 This allows creating property page and menu item extensions.

Package: neo4j-client
Description-md5: 23cf99d38ae74b1209b9009ab6faef58
Description-en: Command line shell for the Neo4j graph database
 Neo4j is a Graph Database with an open-source, community licensed server.
 .
 neo4j-client supports secure connections to Neo4j server, sending of
 statements (including multiline statements), persistent command history, and
 rendering of results to tables or CSV.

Package: neobio
Description-md5: 6c262352bcf830190b22d93808dca196
Description-en: computes alignments of amino acid and nucleotide sequences
 Library and graphical user interface for pairwise sequence alignments.
 Implementation of the dynamic programming methods of Needleman & Wunsch
 (global alignment) and Smith & Waterman (local alignment).

Package: neofetch
Description-md5: 4b7d82eb07886ac31f562f73fa6bcda9
Description-en: Shows Linux System Information with Distribution Logo
 Neoftech is a cross-platform and easy-to-use system information
 command line script that collects your Linux system information
 and display it on the terminal next to an image, it could be your
 distributions logo or any ascii art of your choice.

Package: neomutt
Description-md5: 6458d4b7466ba2e6036b972b3f1d358a
Description-en: command line mail reader based on Mutt, with added features
 Neomutt supports all the features that are supported by Mutt, including POP and
 IMAP support, SSL encryption and SASL authentication, threading and GPG
 support.
 On the top of that, neomutt provides:
  * Notmuch: a mail indexing tools that provides advanced features such as
    full-text search, thread reconstruction and added features.
  * Color attachment headers using regex, just like mail bodies.
  * Custom rules for theming the mail index.
  * NNTP support.
  * Visual progress bar for slow operations.
  * Trash folder.

Package: neopi
Description-md5: 7faecf3501a28ef679b6186280d220d8
Description-en: web shell code detection
 NeoPI is a Python script that uses a variety of statistical methods to detect
 obfuscated and encrypted content within text/script files.
 .
 The intended purpose of NeoPI is to aid in the detection of hidden web shell
 code.
 .
 The development focus of NeoPI was creating a tool that could be used in
 conjunction with other established detection methods such as Linux Malware
 Detect or traditional signature/keyword based searches.
 .
 NeoPI recursively scans through the file system from a base directory and will
 rank files based on the results of a number of tests. It also presents a
 "general" score derived from file rankings within the individual tests.

Package: neovim
Description-md5: cc27d693d853e57070e8edb4f6132d4c
Description-en: heavily refactored vim fork
 Neovim is a fork of Vim focused on modern code and features, rather than
 running in legacy environments.
 .
 msgpack API enables structured communication to/from any programming language.
 Remote plugins run as co-processes that communicate with Neovim safely and
 asynchronously.
 .
 GUIs (or TUIs) can easily embed Neovim or communicate via TCP sockets using
 the discoverable msgpack API.

Package: neovim-qt
Description-md5: e695ae53c2ffe8049188e2090b26d6d3
Description-en: neovim client library and GUI
 neovim-qt provides a Qt5 GUI interface for neovim

Package: neovim-runtime
Description-md5: 04bdb26e78477e39ebd3562d0d7ee8f2
Description-en: heavily refactored vim fork (runtime files)
 Neovim is a fork of Vim focused on modern code and features, rather than
 running in legacy environments.
 .
 This package contains the architecture independent runtime files used by
 neovim.  Examples of such files are: documentation, rules for
 language-specific highlighting and indentation, color schemes, and standard
 plugins.

Package: nescc
Description-md5: 3f4036f4ccfb520abe4c7c4d0d37e072
Description-en: Programming Language for Deeply Networked Systems
 nesC (pronounced "NES-see") is an extension to the C programming language
 designed to embody the structuring concepts and execution model of TinyOS.
 TinyOS is an event-driven operating system designed for sensor network nodes
 that have very limited resources (e.g., 8K bytes of program memory, 512 bytes
 of RAM).
 .
 This package contains the nesC compiler and its documentation. It also
 provides nesC syntax support for the editors vim and emacs together with
 all gtksourceview and kdepart based editors.

Package: nestopia
Description-md5: 758a398f37e43e24a339d1ee1608fe84
Description-en: Nintendo Entertainment System/Famicom emulator
 Nestopia is a cycle-accurate NES/Famicom emulator. It has a high
 compatibility rate and support for many peripherals and input
 devices.
 .
 Features:
    * Save states
    * Movie recording
    * Game rewinding
    * GUI or command line interface
    * Emulation of NTSC, PAL, and Dendy systems
    * Support for NES Zapper games
    * Support for Famicom Disk System games
 .
 Nestopia requires OpenGL 3.2 or later.

Package: net-acct
Description-md5: 7d59c4ce52893a56d26782c91b0b12c5
Description-en: User-mode IP accounting daemon
 This package logs network traffic. It provides a daemon (nacctd) that
 logs all traffic passing the machine it runs on (similar to what tcpdump
 does).
 .
 Capability is provided to associate traffic to slip/ppp users in case you
 run a slip/ppp server.

Package: netatalk
Description-md5: e62dc5d47a8976340a23e15627b92aa9
Description-en: Apple Filing Protocol service
 Netatalk is an implementation of the Apple Filing Protocol (AFP),
 for offering file service (mainly) to macOS clients.
 .
 Compared to the Windows-native SMB protocol
 (which is also supported on macOS),
 the Macintosh-native AFP protocol is accessible from a different network,
 can be simpler to setup and faster for some operations
 (e.g. server-to-server copying),
 and some features (e.g. the backup service Time Machine)
 may work more reliably than over SMB.
 .
 This package contains all daemon and utility programs
 as well as Netatalk's libraries.

Package: netatalk-dbg
Description-md5: 7ffbe56e551210e00bbf242066bb53a0
Description-en: Apple Filing Protocol service - debug symbols
 Netatalk is an implementation of the Apple Filing Protocol (AFP),
 for offering file service (mainly) to macOS clients.
 .
 Compared to the Windows-native SMB protocol
 (which is also supported on macOS),
 the Macintosh-native AFP protocol is accessible from a different network,
 can be simpler to setup and faster for some operations
 (e.g. server-to-server copying),
 and some features (e.g. the backup service Time Machine)
 may work more reliably than over SMB.
 .
 This package contains the debugging symbols for Netatalk.

Package: netbeans
Description-md5: 26e2554fdd9aea3a39bf374f2f9703d0
Description-en: Extensible Java IDE
 Integrated Development Environment for software developers. It supports
 development of desktop, enterprise, web, and mobile applications. Package
 includes the Base IDE, Java Development Tools and Plug-in Development Tools.
 Support for PHP, Ruby, C/C++, Java EE and others can be added.

Package: netcat
Description-md5: 467d7ff47ec4ab5d34a582d295e6a32e
Description-en: TCP/IP swiss army knife -- transitional package
 This is a transitional package that depends on the netcat-openbsd
 implementation of netcat, preferred in Ubuntu.  It may be safely removed.

Package: netcat-traditional
Description-md5: 83fb6fcb16e6638fb447527fffff1e0a
Description-en: TCP/IP swiss army knife
 A simple Unix utility which reads and writes data across network
 connections using TCP or UDP protocol. It is designed to be a reliable
 "back-end" tool that can be used directly or easily driven by other
 programs and scripts. At the same time it is a feature-rich network
 debugging and exploration tool, since it can create almost any kind
 of connection you would need and has several interesting built-in
 capabilities.
 .
 This is the "classic" netcat, written by *Hobbit*. It lacks many
 features found in netcat-openbsd.

Package: netcdf-bin
Description-md5: 1f237fd4e802524fad5b1199a6270d85
Description-en: Programs for reading and writing NetCDF files
 Contains the programs ncdump and ncgen which convert NetCDF
 files to ASCII and back, respectively. NetCDF (network Common Data
 Form) is an interface for scientific data access and a
 freely-distributed software library that provides an implementation
 of the interface.  The netCDF library also defines a
 machine-independent format for representing scientific data.
 Together, the interface, library, and format support the creation,
 access, and sharing of scientific data.

Package: netcdf-doc
Description-md5: c3453d557cd4511b4ac9a0c1f0f42bae
Description-en: Documentation for NetCDF
 NetCDF (network Common Data Form) is an interface for scientific
 data access and a freely-distributed software library that provides an
 implementation of the interface.  The netCDF library also defines a
 machine-independent format for representing scientific data.
 Together, the interface, library, and format support the creation,
 access, and sharing of scientific data.
 .
 This package contains documentation for the NetCDF library in a
 variety of formats.

Package: netcf
Description-md5: af4c8808089a2e99ec3fc2d13a5f851b
Description-en: programs for the netcf library
 Netcf is a library used to modify the network configuration of a
 system. Network configurations are expressed in a platform-independent
 XML format, which netcf translates into changes to the system's
 'native' network configuration files.
 .
 This package contains the supporting binaries to use with netcf.

Package: netconfd
Description-md5: 98cb7e1b141c58ff7fae4c62cb583e0b
Description-en: NETCONF (RFC-6241) agent
 NETCONF provides a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yuma123 agent is a daemon which listens for incoming NETCONF
 requests from clients and provides responses.

Package: netconfd-module-ietf-interfaces
Description-md5: 8367ecb2e1259bcbfe908761a124b199
Description-en: SIL module for netconfd implementing ietf-interfaces.yang
 The NETCONF protocol and YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yuma123 netconfd module ietf-interfaces implements the functionality
 modeled in ietf-interfaces.yang using some common command line tools.

Package: netconfd-module-ietf-system
Description-md5: 0efaf8f4d6ce42bfa53c9613cd240c48
Description-en: SIL module for netconfd implementing ietf-system.yang
 The NETCONF protocol and YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yuma123 netconfd module ietf-interfaces implements the functionality
 modeled in ietf-system.yang using some common command line tools.

Package: netconsole
Description-md5: 54a21c1e65faa0773a6d33aa225bfacd
Description-en: Dynamically configure Linux netconsole
 Netconsole is a Linux kernel module that sends all kernel log messages over
 the network to another computer. It was designed to be as instantaneous as
 possible, to enable the logging of even the most critical kernel bugs. It
 works from IRQ contexts as well, and does not enable interrupts while sending
 packets. Due to these unique needs, only IP networks, UDP packets and Ethernet
 devices are supported.
 .
 This package contains a netconsole service that dynamically configures
 netconsole by configuring one or more hosts by their names or IP addresses.
 .
 Documentation is available in the Linux kernel tree under
 https://www.kernel.org/doc/Documentation/networking/netconsole.txt

Package: netctl
Description-md5: 6674258fef560191e996b0506345eb3a
Description-en: Profile based systemd network management
 netctl is a CLI and profile-based network manager and an Arch project. It uses
 profiles to manage network connections and different modes of operation to
 start profiles automatically or manually on demand. It also provides special
 systemd services for automatically switching of profiles for wired and wireless
 connections.

Package: netdata
Description-md5: 6843dd310958e94a27dd618821504b8e
Description-en: real-time performance monitoring (metapackage)
 Netdata is distributed, real-time, performance and health monitoring for
 systems and applications. It provides insights of everything happening on the
 systems it runs using interactive web dashboards.
 .
 It can run autonomously without any third party components or it can be
 integrated to existing monitoring tool chains (Prometheus, Graphite,
 OpenTSDB, Kafka, Grafana, etc).
 .
 This package is a metapackage depending on the typical netdata components.

Package: netdata-apache2
Description-md5: 7d70bc947ccb62ace14e5e45713da588
Description-en: real-time performance monitoring (apache integration)
 Netdata is distributed, real-time, performance and health monitoring for
 systems and applications. It provides insights of everything happening on the
 systems it runs using interactive web dashboards.
 .
 Netdata includes its own embedded webserver, by default listening on localhost
 only. To make netdata available to other users, a dedicated webserver such as
 apache should be used (with authentication and encryption).
 .
 This package contains the integration for the apache HTTP server.

Package: netdata-core
Description-md5: 87b39f1a30af62cc8cd399fb0a356478
Description-en: real-time performance monitoring (core)
 Netdata is distributed, real-time, performance and health monitoring for
 systems and applications. It provides insights of everything happening on the
 systems it runs using interactive web dashboards.
 .
 This package contains the minimal core build with SSE2 optimizations for
 current systems.

Package: netdata-plugins-bash
Description-md5: 1209dfcb9f2c8255cac9c3588c5a0148
Description-en: real-time performance monitoring (bash plugins)
 Netdata is distributed, real-time, performance and health monitoring for
 systems and applications. It provides insights of everything happening on the
 systems it runs using interactive web dashboards.
 .
 This package contains the plugins using bash.

Package: netdata-plugins-nodejs
Description-md5: 2c69ef8a37324f1b6e80af5ab3b17f20
Description-en: real-time performance monitoring (nodejs plugins)
 Netdata is distributed, real-time, performance and health monitoring for
 systems and applications. It provides insights of everything happening on the
 systems it runs using interactive web dashboards.
 .
 This package contains the plugins using nodejs.

Package: netdata-plugins-python
Description-md5: a7be5ab574ae14d3cc0ebb401e66765e
Description-en: real-time performance monitoring (python plugins)
 Netdata is distributed, real-time, performance and health monitoring for
 systems and applications. It provides insights of everything happening on the
 systems it runs using interactive web dashboards.
 .
 This package contains the plugins using python.

Package: netdata-web
Description-md5: 3ed85ae4ec7fa18e84aaedf0bfea8e4e
Description-en: real-time performance monitoring (web)
 Netdata is distributed, real-time, performance and health monitoring for
 systems and applications. It provides insights of everything happening on the
 systems it runs using interactive web dashboards.
 .
 This package contains the web frontend.

Package: netdiag
Description-md5: 456b84d82d2d34c71a5f56c8b05b48d4
Description-en: Net-Diagnostics (trafshow,netwatch,statnet,tcpspray,tcpblast)
 Netdiag contains a collection of small tools to analyze network traffic and
 configuration of remote hosts. It is of invaluable help if your
 system is showing strange network behaviour and you want to find out what
 your network is doing. The included tools are tcpblast, netload, trafshow,
 netwatch, statnet, and tcpspray.

Package: netdiscover
Description-md5: d128895a35f7519d661d60347a12ebe7
Description-en: active/passive network address scanner using ARP requests
 Netdiscover is an active/passive address reconnaissance tool, mainly
 developed for those wireless networks without dhcp server, when you
 are wardriving. It can be also used on hub/switched networks.
 .
 Built on top of libnet and libpcap, it can passively detect online
 hosts, or search for them, by actively sending ARP requests.
 .
 Netdiscover can also be used to inspect your network ARP traffic,
 or find network addresses using auto scan mode, which will scan for
 common local networks.
 .
 Netdiscover uses the OUI table to show the vendor of the each MAC
 address discovered and is very useful for security checks or in
 pentests.

Package: netfilter-persistent
Description-md5: 95597849653e54a48f09ea05bea0633e
Description-en: boot-time loader for netfilter configuration
 This package provides a loader for netfilter configuration using a
 plugin-based architecture. It can load, flush and save a running
 configuration. Extending netfilter-persistent with plugins is trivial and can
 be done in any language.

Package: netgen
Description-md5: fa19cf7e23e3c6f2e89e1cd6a2aae4e5
Description-en: Automatic 3d tetrahedral mesh generator
 NETGEN is an automatic 3d tetrahedral mesh generator. It accepts
 input from constructive solid geometry (CSG) or boundary
 representation (BRep) from STL file format.  NETGEN contains modules
 for mesh optimization and hierarchical mesh refinement.

Package: netgen-doc
Description-md5: 7c78a11c9416178ad608a1ed33d0e929
Description-en: Automatic 3d tetrahedral mesh generator documentation
 NETGEN is an automatic 3d tetrahedral mesh generator. It accepts
 input from constructive solid geometry (CSG) or boundary
 representation (BRep) from STL file format.  NETGEN contains modules
 for mesh optimization and hierarchical mesh refinement.
 .
 This package contains the user manual (in PDF format) and example
 files.

Package: netgen-headers
Description-md5: acad9649eee77b9bd5e354fd96169527
Description-en: Automatic 3d tetrahedral mesh generator internal headers
 NETGEN is an automatic 3d tetrahedral mesh generator. It accepts
 input from constructive solid geometry (CSG) or boundary
 representation (BRep) from STL file format. The connection to a
 geometry kernel allows the handling of IGES and STEP files. NETGEN
 contains modules for mesh optimization and hierarchical mesh
 refinement.
 .
 This package contains internal headers.

Package: netgen-lvs
Description-md5: 56d8baaaa7e169d9ffab66abd2f04b06
Description-en: Netlist comparison - Layout vs Schematic (LVS)
 Netgen is a tool for comparing netlists, a process known as LVS, which stands
 for "Layout vs. Schematic". This is an important step in the integrated circuit
 design flow, ensuring that the geometry that has been laid out matches the
 expected circuit.
 .
 Very small circuits can bypass this step by confirming circuit operation
 through extraction and simulation. Very large digital circuits are usually
 generated by tools from high-level descriptions, using compilers that ensure
 the correct layout geometry. The greatest need for LVS is in large analog or
 mixed-signal circuits that cannot be simulated in reasonable time.
 .
 Note that the executable name in Debian is 'netgen-lvs'. For details, see
 /usr/share/doc/netgen-lvs/README.Debian

Package: nethack-common
Description-md5: ab8fc24fe4173ba463f4c102516ce5f8
Description-en: dungeon crawl game - common files
 NetHack is a wonderfully silly, yet quite addictive, Dungeons &
 Dragons-style adventure game. You play a character from one of many
 classes (such as wizard, ranger, or tourist), fighting your way down to
 retrieve the Amulet of Yendor (try saying THAT one backwards!) for your
 god. On the way, you might encounter a quantum mechanic or two, or
 perhaps King Arthur, or - if you're REALLY lucky - the Ravenous
 Bugblatter Beast of Traal.
 .
 You should install a front-end for NetHack if you
 wish to play the game.  Each of them includes the
 original non-graphical version, and they can all be installed
 at the same time:
  - nethack-console: no graphics, just plain NetHack;
  - nethack-x11    : original X11/Athena-based graphical version;
  - nethack-lisp   : Lisp window version.
 .
 The various graphical front-ends for NetHack all share a large
 number of files in common.  This package contains the graphics,
 dungeon levels, and utilities common to all NetHack front-ends.
 .
 It also provides a few utilities such as recover, for retrieving
 auto-save files in case of a power failure, and dgn_comp and
 lev_comp, two utilities for making your own NetHack levels and
 dungeons. The "recover" utility will be run every time the system
 boots, if there are any auto-save files available.

Package: nethack-console
Description-md5: df5cf62730a92249353df522f1f2b429
Description-en: dungeon crawl game - text-based interface
 NetHack is a wonderfully silly, yet quite addictive, Dungeons &
 Dragons-style adventure game. You play a character from one of many
 classes (such as wizard, ranger, or tourist), fighting your way down to
 retrieve the Amulet of Yendor (try saying THAT one backwards!) for your
 god. On the way, you might encounter a quantum mechanic or two, or
 perhaps King Arthur, or - if you're REALLY lucky - the Ravenous
 Bugblatter Beast of Traal.
 .
 You should install a front-end for NetHack if you
 wish to play the game.  Each of them includes the
 original non-graphical version, and they can all be installed
 at the same time:
  - nethack-console: no graphics, just plain NetHack;
  - nethack-x11    : original X11/Athena-based graphical version;
  - nethack-lisp   : Lisp window version.
 .
 This package provides the plain console version of NetHack.

Package: nethack-lisp
Description-md5: 956029c0f568fdd42598b9639bdd7294
Description-en: dungeon crawl game - Lisp interface
 NetHack is a wonderfully silly, yet quite addictive, Dungeons &
 Dragons-style adventure game. You play a character from one of many
 classes (such as wizard, ranger, or tourist), fighting your way down to
 retrieve the Amulet of Yendor (try saying THAT one backwards!) for your
 god. On the way, you might encounter a quantum mechanic or two, or
 perhaps King Arthur, or - if you're REALLY lucky - the Ravenous
 Bugblatter Beast of Traal.
 .
 You should install a front-end for NetHack if you
 wish to play the game.  Each of them includes the
 original non-graphical version, and they can all be installed
 at the same time:
  - nethack-console: no graphics, just plain NetHack;
  - nethack-x11    : original X11/Athena-based graphical version;
  - nethack-lisp   : Lisp window version.
 .
 This package provides the version required for playing NetHack via
 Lisp, for instance under Emacs (which requires nethack-el).

Package: nethack-spoilers
Description-md5: 409c65916e9c28a4d252d2990f9b12e7
Description-en: Spoiler files for the Nethack adventure game
 This package includes spoilers for Nethack, the classic D&D-like
 adventure game, version 3.4.3.
 .
 Use only as a last resort, if you're totally stuck in the game!
 Please don't peek if you're not totally desperate.

Package: nethack-x11
Description-md5: f4a755b01cecddc56eeffe045b1b517a
Description-en: dungeon crawl game - X11 interface
 NetHack is a wonderfully silly, yet quite addictive, Dungeons &
 Dragons-style adventure game. You play a character from one of many
 classes (such as wizard, ranger, or tourist), fighting your way down to
 retrieve the Amulet of Yendor (try saying THAT one backwards!) for your
 god. On the way, you might encounter a quantum mechanic or two, or
 perhaps King Arthur, or - if you're REALLY lucky - the Ravenous
 Bugblatter Beast of Traal.
 .
 You should install a front-end for NetHack if you
 wish to play the game.  Each of them includes the
 original non-graphical version, and they can all be installed
 at the same time:
  - nethack-console: no graphics, just plain NetHack;
  - nethack-x11    : original X11/Athena-based graphical version;
  - nethack-lisp   : Lisp window version.
 .
 This package provides the text and X11/Athena-based graphical versions
 of NetHack.

Package: nethogs
Description-md5: 04c153c901ad7ca75e53e2ae32565ccd
Description-en: Net top tool grouping bandwidth per process
 NetHogs is a small 'net top' tool. Instead of breaking the traffic down per
 protocol or per subnet, like most tools do, it groups bandwidth by process.
 NetHogs does not rely on a special kernel module to be loaded.

Package: netmask
Description-md5: dd43573428298cdf4f69b88a74cf3a3b
Description-en: helps determine network masks
 This is a tiny program handy if you work with firewalls or routers
 occasionally (possibly using this as a helper for shell scripts).  It can
 determine the smallest set of network masks to specify a range of hosts.
 It can also convert between common IP netmask and address formats.

Package: netmate
Description-md5: 68af44a55c6c40d8292185f13cf1bafd
Description-en: netdude clone that shows pcap dump lines in network header style
 netmate was inspired in netdude[1] (dead) and can be used to learn and teach
 about TCP/IP protocols. It is a GTK+ program that shows lines selected from
 files in pcap style (generated by tcpdump and Wireshark or another code based
 in libpcap) as 32-bit headers, identical to the used by RFCs.
 .
 This program works with Ethernet, IPv4, IPv6, TCP, UDP, ICMP, ICMP6, NDP and
 others.
 .
 [1] http://netdude.sourceforge.net

Package: netmaze
Description-md5: 98ce5c6acfca23158e0ee011892482fa
Description-en: 3-D Multiplayer Combat Game
 This is a 3-D multiplayer game for X.  You can play by
 yourself, use computerized players ("bots"), or you can
 use a TCP/IP network and play against other players.
 .
 Be sure to read the documentation that will be
 installed in /usr/share/doc/netmaze/README.Debian

Package: netpanzer
Description-md5: e6eb5d2ff5965be00af5aab9a4974893
Description-en: online multiplayer tactical warfare game
 netPanzer is an isometric view real-time tactical game designed for
 play across the Internet and over LAN systems. One on one games are
 possible via direct connect or modem.
 .
 netPanzer is designed for FAST ACTION combat -- it is not another resource
 management clone. In fact, there aren't any resources at all. Each player
 will have many units of different types at their disposal. Players can fight
 until their units are destroyed -- then respawn and keep on going.
 .
 The game is real-time, but it's based on quick tactical action and unit
 management. Battles progress quickly and constantly; in fact, they never
 let up. There is no stop in the action because there is no waiting for
 resources to be collected and converted into weaponry. Players can join
 or leave  multiplayer games at any time.

Package: netpanzer-data
Description-md5: ed6499021d3e1c5ab8c05b1020c988be
Description-en: data files for the netPanzer game
 netPanzer is an online multiplayer tactical warfare game designed for
 play across the Internet and over LAN systems. One on one games are
 possible via direct connect or modem.
 .
 This package contains data files for netPanzer.

Package: netpbm
Description-md5: 4d025b52e6499467b1af0bf4e4a44e07
Description-en: Graphics conversion tools between image formats
 Netpbm is a toolkit for manipulation of graphic images, including
 conversion of images between a variety of different formats. There
 are over 220 separate tools in the package including converters for
 more than 80 graphics formats.

Package: netperfmeter
Description-md5: e7fd9db91f60d4ecc5eb85d35cc0edd3
Description-en: Network Performance Meter (measurement program)
 NetPerfMeter is a network performance meter for the UDP,
 TCP, MPTCP, SCTP and DCCP transport protocols over IPv4 and IPv6.
 It simultaneously transmits bidirectional flows to an endpoint
 and measures the resulting flow bandwidths and QoS. The
 results are written as vector and scalar files. The vector
 files can e.g. be used to create plots of the results.
 .
 This package contains the measurement program.

Package: netperfmeter-plotting
Description-md5: 284afd1db0c6fed4d6f02e87109d8323
Description-en: Network Performance Meter (plotting program)
 NetPerfMeter is a network performance meter for the UDP,
 TCP, MPTCP, SCTP and DCCP transport protocols over IPv4 and IPv6.
 It simultaneously transmits bidirectional flows to an endpoint
 and measures the resulting flow bandwidths and QoS. The
 results are written as vector and scalar files. The vector
 files can e.g. be used to create plots of the results.
 .
 This package contains a plotting program for the results.

Package: netpipe-lam
Description-md5: 6e2aa447286d7f56906ec4676a1af17c
Description-en: Network performance tool using LAM MPI
 NetPIPE is a protocol independent performance tool that encapsulates
 the best of ttcp and netperf and visually represents the network
 performance under a variety of conditions. By taking the end-to-end
 application view of a network, NetPIPE clearly shows the overhead
 associated with different protocol layers. NetPIPE answers such
 questions as: how soon will a given data block of size k arrive at its
 destination? Which network and protocol will transmit size k blocks
 the fastest? What is a given network's effective maximum throughput
 and saturation level?  Does there exist a block size k for which the
 throughput is maximized? How much communication overhead is due to the
 network communication protocol layer(s)? How quickly will a small (< 1
 kbyte) control message arrive, and which network and protocol are best
 for this purpose?
 .
 This package measures network performance using the MPI protocol, a
 Message Passing Interface frequently used in parallel processing, and
 which uses in turn TCP as its underlying transport.   The implementation
 of the MPI standard used by this package is that provided by the lam set
 of packages.

Package: netpipe-mpich2
Description-md5: ef2b490b9e86da0e8e81e1b00a219a69
Description-en: Network performance tool using MPICH2 MPI
 NetPIPE is a protocol independent performance tool that encapsulates
 the best of ttcp and netperf and visually represents the network
 performance under a variety of conditions. By taking the end-to-end
 application view of a network, NetPIPE clearly shows the overhead
 associated with different protocol layers. NetPIPE answers such
 questions as: how soon will a given data block of size k arrive at its
 destination? Which network and protocol will transmit size k blocks
 the fastest? What is a given network's effective maximum throughput
 and saturation level?  Does there exist a block size k for which the
 throughput is maximized? How much communication overhead is due to the
 network communication protocol layer(s)? How quickly will a small (< 1
 kbyte) control message arrive, and which network and protocol are best
 for this purpose?
 .
 This package measures network performance using the MPI protocol, a
 Message Passing Interface frequently used in parallel processing, and
 which uses in turn TCP as its underlying transport.   The implementation
 of the MPI standard used by this package is that provided by the mpich
 package.

Package: netpipe-openmpi
Description-md5: 88710b47b053b754f0f2f4c5b0fd1980
Description-en: Network performance tool using OpenMPI
 NetPIPE is a protocol independent performance tool that encapsulates
 the best of ttcp and netperf and visually represents the network
 performance under a variety of conditions. By taking the end-to-end
 application view of a network, NetPIPE clearly shows the overhead
 associated with different protocol layers. NetPIPE answers such
 questions as: how soon will a given data block of size k arrive at its
 destination? Which network and protocol will transmit size k blocks
 the fastest? What is a given network's effective maximum throughput
 and saturation level?  Does there exist a block size k for which the
 throughput is maximized? How much communication overhead is due to the
 network communication protocol layer(s)? How quickly will a small (< 1
 kbyte) control message arrive, and which network and protocol are best
 for this purpose?
 .
 This package measures network performance using the MPI protocol, a
 Message Passing Interface frequently used in parallel processing, and
 which uses in turn TCP as its underlying transport.   The implementation
 of the MPI standard used by this package is that provided by the openmpi
 set of packages.

Package: netpipe-pvm
Description-md5: 50aca03ec2f3fcef330dbd26a2b1acbd
Description-en: Network performance tool using PVM
 NetPIPE is a protocol independent performance tool that encapsulates
 the best of ttcp and netperf and visually represents the network
 performance under a variety of conditions. By taking the end-to-end
 application view of a network, NetPIPE clearly shows the overhead
 associated with different protocol layers. NetPIPE answers such
 questions as: how soon will a given data block of size k arrive at its
 destination? Which network and protocol will transmit size k blocks
 the fastest? What is a given network's effective maximum throughput
 and saturation level?  Does there exist a block size k for which the
 throughput is maximized? How much communication overhead is due to the
 network communication protocol layer(s)? How quickly will a small (< 1
 kbyte) control message arrive, and which network and protocol are best
 for this purpose?
 .
 This package measures network performance using the PVM protocol, a
 Parallel Virtual Machine interface frequently used in parallel processing,
 and which uses in turn TCP as its underlying transport.   PVM support
 is provided in its own separate pvm package on Debian systems.

Package: netpipe-tcp
Description-md5: d687ffd8cd3d5327fd8803916c2efcce
Description-en: Network performance tool using the TCP protocol
 NetPIPE is a protocol independent performance tool that encapsulates
 the best of ttcp and netperf and visually represents the network
 performance under a variety of conditions. By taking the end-to-end
 application view of a network, NetPIPE clearly shows the overhead
 associated with different protocol layers. NetPIPE answers such
 questions as: how soon will a given data block of size k arrive at its
 destination? Which network and protocol will transmit size k blocks
 the fastest? What is a given network's effective maximum throughput
 and saturation level?  Does there exist a block size k for which the
 throughput is maximized? How much communication overhead is due to the
 network communication protocol layer(s)? How quickly will a small (< 1
 kbyte) control message arrive, and which network and protocol are best
 for this purpose?
 .
 This package uses a raw TCP protocol to measure network performance.

Package: netpipes
Description-md5: b947c343dee7e39f9e0f4d9496d3c491
Description-en: manipulate BSD TCP/IP stream sockets
 The netpipes package makes TCP/IP streams usable in shell
 scripts. It can also simplify client/server code by allowing
 the programmer to skip all the tedious programming bits related
 to sockets and concentrate on writing a filter, or other service.

Package: netplug
Description-md5: 872f145fce68f64230e9913f9a8d7f28
Description-en: network link monitor daemon
 This daemon monitors the link status of network cards and configures
 the network on plug- and un-plug-events.
 .
 It's similar to ifplugd, but uses NETLINK instead of regularly polling
 the link status. This improves power-consumption with laptops, but does
 not work with all network card. And it can't be configured to ignore short
 unplugged or plugged periods like ifplugd.

Package: netrek-client-cow
Description-md5: 180b31ec4f3602c945ffa2b5f46c1f3a
Description-en: client for netrek online game
 This is a client for the multi-player game of Netrek.
 .
 Netrek is probably the first video game which can accurately be
 described as a "sport".  It has more in common with basketball than
 with arcade games or Quake.  Its vast and expanding array of tactics
 and strategies allows for many different play styles; the best
 players are the ones who think fastest, not necessarily the ones who
 twitch most effectively.  It can be enjoyed as a twitch game, since
 the dogfighting system is extremely robust, but the things that
 really set Netrek apart from other video games are the team and
 strategic aspects.  Team play is dynamic and varied, with roles
 constantly changing as the game state changes.  Strategic play is
 explored in organized league games; after 6+ years of league play,
 strategies are still being invented and refined.
 .
 The game was created in 1988, and still has players, including some
 people who have been playing for nearly as long as the game has
 existed.

Package: netrik
Description-md5: c53942cdb83a4f1cf5ef9c47ab208aa8
Description-en: text mode WWW browser with vi like keybindings
 Netrik is an advanced text mode WWW browser. Its purpose is to give access to
 as much of the Web as possible in text mode, without forsaking any comfort.
 The user interface is looking roughly like a combination of gVim and PINE.
 .
 Some of the core ideas (not all implemented yet):
 .
  * Context-(URL-)sensitive setup
  * Partially loaded pages
  * Half-graphical mode
  * Efficient navigation
  * Key mapping and macros (similar to vi and mutt)
  * Command prompt, menus and online help
 .
 netrik does neither support HTTPS nor IPv6 yet.

Package: netris
Description-md5: 39b039b1f95be4cc4a4b7ce3c7558aba
Description-en: free, networked version of T*tris
 Netris is a free, networked variant of Tetris.
 .
 One-player mode is a tad boring at the moment because it never
 gets any faster and there's no scoring.  This will be rectified
 at some point.
 .
 Two players can play against each other. If you fill two or three
 lines with one piece your opponent gets respectively one or two
 unfilled lines at the bottom of his screen. If you fill even four lines
 with one piece your opponent will get four unfilled lines.
 .
 This version at least partially supports robots. You can find the
 protocol description in the documentation and a sample robot in
 the examples.

Package: netrw
Description-md5: bfbe34bbc47077fb5d9c9c97e6727079
Description-en: netcat like tool with nice features to transport files over network
 Netrw is a simple (but powerful) tool for transporting data over the
 internet. Its main purpose is to simplify and speed up file transfers to
 hosts without an FTP server. It can also be used for uploading data to
 some other user. It is something like one-way netcat (nc) with some nice
 features concerning data transfers. Netrw can compute and check message
 digest (MD5, SHA-1, and some others) of all the data being transferred,
 it can also print information on progress and average speed. At the end
 it sums up the transfer.

Package: netscript-2.4
Description-md5: 8f1b4bd74df5cbf776b45ac5e717abe1
Description-en: Linux 2.4/2.6/3.x router/firewall/VM host network config system.
 This is a router and firewall network configuration system.  It is specific to
 the 2.4.x and 2.6.x kernel series. This system is in production use, even
 though this is an experimental version.
 .
 It offers much finer grained control of the network setup than the standard
 system in netbase, with support for bridge configuration, iptables, ip route
 and tc traffic control.  It is optimised for use on high traffic routers with
 interfaces being reconfigured individually while the rest of the machine is
 routing traffic 24x7.  Common boiler plate filter creation functions are
 provided to ease the task of constructing iptables filters in the context
 of operation within an ISP network.
 .
 Since this package is designed for a heavy duty network router, it is designed
 to work in conjunction with a routing daemon as found in the quagga package.
 .
 DON'T use this on a pure server - it is VERY useful for a Virtual Machine
 server with complex networking needs.  This is because of its comprehensive
 network configuration capabilities.  Thus it is a tempting replacement
 when you have to rip out NetworkManager on a server.
 .
 It was originally designed for dedicated routers and firewalls, and hence its
 lightweight scripting footprint. When it comes to firewall configuration
 though, have a look at the mason package if you are faint of heart.

Package: netscript-ipfilter
Description-md5: 3c93c47afdbfe5a6326bb6b8544a76e1
Description-en: Linux 2.6/3.x iptables management system.
 This is a cut down version of netscript-2.4, which is just the ipfilter
 iptables/ip6tables management implementation.  This provides iptables and
 ip6tables management with a cyclic backup history, via iptables-restore
 and iptables-save.
 .
 This is designed to work with ifupdown, and is better than iptables-persistent
 as you can roll back filter changes if you have made a mistake.

Package: netsed
Description-md5: 58f6db78c586242cc0ac4b338ebccdd2
Description-en: network packet-altering stream editor
 NetSED is a small and handy utility designed to alter, in real time,
 the contents of packets forwarded through your network. It is really
 useful for network packet alteration, forging, or manipulation.
 NetSED supports:
 .
   * black-box protocol auditing - whenever there are two or more
     proprietary boxes communicating using some undocumented protocol.
     By enforcing changes in ongoing transmissions, you will be able
     to test if the examined application can be claimed secure;
   * fuzz generating experiments, integrity tests - whenever you do
     stability tests of an application to see how it cares for data
     integrity;
   * other common use-cases: deceptive transfers, content filtering,
     protocol conversion - whatever best fits your task at hand.
 .
 It ideally complements a tool suite based on ngrep, netcat, and tcpdump.

Package: netsend
Description-md5: afe4262c8e010a7509db1926aff54989
Description-en: a speedy filetransfer and network diagnostic program
 Netsend transmits and receives files. It is highly configurable and offers
 the transport protocols TCP, DCCP, UDP, UDPLITE and SCTP using either
 IPv4 or IPv6 on the network layer. The cluster protocol TIPC is also
 supported.
 .
 The user is able to tweak various options of the Linux network stack
 to gain maximum performance. While this is not needed for trivial
 filetransfer, it is valuable for network protocol performance
 testing.

Package: netsniff-ng
Description-md5: b94b6656a53c5a961d6819a18232069f
Description-en: Linux network packet sniffer toolkit
 netsniff-ng is a high performance Linux network sniffer for packet inspection.
 It can be used for protocol analysis, reverse engineering or network
 debugging. The gain of performance is reached by 'zero-copy' mechanisms, so
 that the kernel does not need to copy packets from kernelspace to userspace.
 .
 netsniff-ng toolkit currently consists of the following utilities:
 .
  * netsniff-ng: a zero-copy packet analyzer, pcap capturing/replaying tool
  * trafgen: a multithreaded low-level zero-copy network packet generator
  * mausezahn: high-level packet generator for appliances with Cisco-CLI
  * ifpps: a top-like kernel networking and system statistics tool
  * curvetun: a lightweight curve25519-based multiuser IP tunnel
  * astraceroute: an autonomous system trace route and DPI testing utility
  * flowtop: a top-like netfilter connection tracking tool
  * bpfc: a [seccomp-]BPF (Berkeley packet filter) compiler, JIT disassembler

Package: netstat-nat
Description-md5: a2627cb9eba3fbff99ea5ff89b00c319
Description-en: tool that display NAT connections
 Netstat-nat is a program that displays Network Address Translations (NAT)
 connections, managed by netfilter/iptables acting as firewall.
 .
 NAT rules are stored in memory. However, the program reads its information
 from '/proc/net/ip_conntrack', which is the temporary conntrack-storage of
 netfilter.

Package: netstress
Description-md5: fe7aead9e3bc52b538626fad0566eceb
Description-en: utility to stress and benchmark networks
 netstress is a client/server utility designed to stress and benchmark network
 activity of a given ethernet device or path using simulated (random) real world
 data and packet sizes instead of fixed data and packet sizes.
 .
 Main features:
   - Easy command line usage.
   - Random packet sizes.
   - Random data.
   - Read, write, or read & write (default) testing and reporting.
   - Benchmarking results.
 .
 netstress is useful for network tests across switches, routers and firewall
 systems.

Package: netsurf
Description-md5: 3e8b2c5270e0cc58c81fc267fed7ee65
Description-en: small web browser with CSS support - transitional package
 NetSurf is a multi-platform lightweight web browser. Its aim is to provide
 comprehensive rendering of HTML 5 with CSS 2 in a small resource footprint
 while remaining fast.
 .
 This is a transitional package and can safely be removed.

Package: netsurf-common
Description-md5: 211dec386a61a4ee3a46a015eb8d9f2e
Description-en: small web browser with CSS support common files
 NetSurf is a multi-platform lightweight web browser. It aims to provide
 comprehensive rendering of HTML 5 with CSS 2 in a small resource footprint
 without compromising performance.
 .
 These are the common resources for all versions.

Package: netsurf-fb
Description-md5: 3e6d3ba52fc3239dd97946273a8c5c13
Description-en: small web browser with CSS support for framebuffers
 NetSurf is a multi-platform lightweight web browser. It aims to provide
 comprehensive rendering of HTML 5 with CSS 2 in a small resource footprint
 without compromising performance.
 .
 This version uses no toolkit and displays output on various framebuffers.

Package: netsurf-gtk
Description-md5: 9f027e3bb488db86f50b0526221bd87e
Description-en: small web browser with CSS support for GTK
 NetSurf is a multi-platform lightweight web browser. It aims to provide
 comprehensive rendering of HTML 5 with CSS 2 in a small resource footprint
 without compromising performance.
 .
 This version uses the GTK+ toolkit.

Package: nettle-bin
Description-md5: b9c201f42c4b4fea02c357189015a9c0
Description-en: low level cryptographic library (binary tools)
 Nettle is a cryptographic library that is designed to fit easily in more or
 less any context: In crypto toolkits for object-oriented languages (C++,
 Python, Pike, ...), in applications like LSH or GNUPG, or even in kernel
 space.
 .
 It tries to solve a problem of providing a common set of cryptographic
 algorithms for higher-level applications by implementing a
 context-independent set of cryptographic algorithms. In that light, Nettle
 doesn't do any memory allocation or I/O, it simply provides the
 cryptographic algorithms for the application to use in any environment and
 in any way it needs.
 .
 This package contains binary utilities that accompany the library:
 .
    - nettle-lfib-stream - generates a pseudo-random stream, using the Knuth
      lfib (non-cryptographic) pseudo-random generator.
    - sexp-conv - conversion tool for handling the different flavours of sexp
      syntax.
    - pkcs1-conv - converts PKCS#1 keys to sexp format.
    - nettle-hash - command-line tool to compute message digests such as SHA-1.

Package: nettoe
Description-md5: b9fd0a05ae632df2030331502a2fea16
Description-en: networked version of Tic Tac Toe (3x3 Grid) for the console
 NetToe is a console-based version of the classic game "Tic Tac Toe".
 It's playable against computer AI, a player on the same machine or
 with another player over the network. It uses a simple 3x3 board.

Package: netwag
Description-md5: d0d05f8c1d12f0140e82649c3502e1b0
Description-en: graphical frontend for netwox
 Netwag is a graphical front end for netwox which contains more than 200 tools.
 Netwag permits one to easily:
 .
  - search amongst tools proposed in netwox
  - construct command line
  - run tools
  - keep an history of commands

Package: netwag-doc
Description-md5: babbbdcdea2b398b1906e5375cd4ee17
Description-en: documentation for netwag frontend
 Netwag is a graphical front end for netwox which contains more than 200 tools.
 Netwag permits one to easily:
 .
  - search amongst tools proposed in netwox
  - construct command line
  - run tools
  - keep an history of commands
 .
 This package contains documentation for netwag.

Package: network-manager-config-connectivity-debian
Description-md5: ee9b0c2af33d572bf2dba6f733d3d44b
Description-en: NetworkManager configuration to enable connectivity checking
 This package contains a configuration file which enables NetworkManager's
 connectivity checking functionality.
 .
 NetworkManager will try to connect to a Debian server to determine the
 connection status.
 .
 This is particularly useful for captive portal detection.
 .
 No user data is transmitted in the connectivity checks, but merely contacting
 the Debian connectivity check servers reveals that the user is running a
 Debian(-based) operating system with NetworkManager.

Package: network-manager-fortisslvpn
Description-md5: f78a696714b7e5461d10e9fd4bb1a5f2
Description-en: network management framework (Fortinet SSLVPN plugin core)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides a VPN plugin for Fortinet SSLVPN.

Package: network-manager-fortisslvpn-gnome
Description-md5: 290f772ef315306502f1e3e3c238454e
Description-en: network management framework (Fortinet SSLVPN plugin GNOME GUI)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides the GNOME bits of NetworkManager's Fortinet SSLVPN
 plugin.

Package: network-manager-iodine
Description-md5: 6ae890d206a4e62ca2a3af16dbd0068a
Description-en: network management framework (iodine plugin core)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides a VPN plugin for iodine.

Package: network-manager-iodine-gnome
Description-md5: 5b8d8861e58b3d20f3ba888a95d87d6b
Description-en: network management framework (iodine plugin GNOME GUI)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides the GNOME bits of NetworkManager's iodine plugin.

Package: network-manager-l2tp
Description-md5: b8d9d1900636d73d8e74c8b4bff1cf02
Description-en: network management framework (L2TP plugin core)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides a VPN plugin for L2TP with optional IPsec support.

Package: network-manager-l2tp-gnome
Description-md5: b3e18299e9ea1d8f9ee1a13a3b4ebd1a
Description-en: network management framework (L2TP plugin GNOME GUI)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides the GNOME bits of NetworkManager's L2TP plugin.

Package: network-manager-openconnect
Description-md5: 6883a34247d7b5391f9b22c61d4a30d1
Description-en: network management framework (OpenConnect plugin core)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, Wi-Fi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides a VPN plugin for OpenConnect, an open client for
 Cisco's AnyConnect SSL VPN, Juniper's Pulse Connect Secure and Palo Alto's
 GlobalProtect.

Package: network-manager-openconnect-gnome
Description-md5: 4c10c7a11daa3b99e4ba3a0c3ac0cc7e
Description-en: network management framework (OpenConnect plugin GNOME GUI)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, Wi-Fi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides the GNOME bits of NetworkManager's OpenConnect plugin.

Package: network-manager-ssh
Description-md5: de6b0919bf7986057edb7b8fdc40e28d
Description-en: network management framework (SSH plugin core)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, Wi-Fi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides a VPN plugin for SSH.

Package: network-manager-ssh-gnome
Description-md5: 9c68395f1c234b64b0417829ef590b38
Description-en: network management framework (SSH plugin GNOME GUI)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, Wi-Fi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides the GNOME bits of NetworkManager's SSH plugin.

Package: network-manager-strongswan
Description-md5: d5f9a35e1e6495ea14e01b891e4dc2eb
Description-en: network management framework (strongSwan plugin)
 NetworkManager attempts to keep an active network connection available at
 all times.  It is intended primarily for laptops where it allows easy
 switching between local wireless networks, it's also useful on desktops
 with a selection of different interfaces to use.  It is not intended for
 usage on servers.
 .
 This package provides a VPN plugin for strongSwan, providing easy access to
 IKEv2 IPSec VPN's.

Package: network-manager-vpnc
Description-md5: 41f3f439f21dbe62ff897a0b37a0f6fa
Description-en: network management framework (VPNC plugin core)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides a VPN plugin for vpnc, providing easy access to Cisco
 Concentrator based VPN's.

Package: network-manager-vpnc-gnome
Description-md5: e45eaf72a5c8f5aef4f7b9de1118ffd6
Description-en: network management framework (VPNC plugin GNOME GUI)
 NetworkManager is a system network service that manages your network devices
 and connections, attempting to keep active network connectivity when
 available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE
 devices, and provides VPN integration with a variety of different VPN
 services.
 .
 This package provides the GNOME bits of NetworkManager's VPNC plugin.

Package: networking-bagpipe-common
Description-md5: 9e0e6b75e826d3de6ef420811f821c08
Description-en: Driver and agent code to use BagPipe implementation (common)
 Driver and agent code to use BaGPipe lightweight implementation
 of BGP-based VPNs as a backend for Neutron.
 .
 This is the common configuration package.

Package: networking-bgpvpn-common
Description-md5: 4a28b9e09780c6c751b0d7cfa2bd473e
Description-en: BGP-MPLS VPN Extension for OpenStack Networking (common)
 This project provides an API and Framework to interconnect BGP/MPLS VPNs
 to Openstack Neutron networks, routers and ports.
 .
 The Border Gateway Protocol and Multi-Protocol Label Switching are widely
 used Wide Area Networking technologies. The primary purpose of this project
 is to allow attachment of Neutron networks and/or routers to VPNs built in
 carrier provided WANs using these standard protocols. An additional purpose
 of this project is to enable the use of these technologies within the Neutron
 networking environment.
 .
 This package provides common configuration files.

Package: networking-l2gw-common
Description-md5: 0c947b81be7a6a590dc95237e334b5b1
Description-en: OpenStack virtual network service - L2 gateway agent
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides shared configuration files.

Package: networking-mlnx-common
Description-md5: ee34304d8dec7f8a9bec9aba93d0b594
Description-en: OpenStack virtual network service - Mellanox plugin common files
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Mellanox plugin common files.

Package: networking-mlnx-eswitchd
Description-md5: 8880cf1de8f731a04d1fb8b4bbe5b6a6
Description-en: Neutron is a virtual network service for Openstack - Mellanox eswitchd
 Neutron is a virtual network service for Openstack, and a part of
 Netstack. Just like OpenStack Nova provides an API to dynamically
 request and configure virtual servers, Neutron provides an API to
 dynamically request and configure virtual networks. These networks
 connect "interfaces" from other OpenStack services (e.g., virtual NICs
 from Nova VMs). The Neutron API supports extensions to provide
 advanced network capabilities (e.g., QoS, ACLs, network monitoring,
 etc.)
 .
 This package provides the Mellanox eswitchd.

Package: networking-odl-common
Description-md5: 01451725d683981cedea81a6b50446d4
Description-en: OpenStack Networking OpenDayLight ML2 mechanism driver
 Neutron is a virtual network service for Openstack, and a part of
 Netstack. Just like OpenStack Nova provides an API to dynamically
 request and configure virtual servers, Neutron provides an API to
 dynamically request and configure virtual networks. These networks
 connect "interfaces" from other OpenStack services (e.g., virtual NICs
 from Nova VMs). The Neutron API supports extensions to provide
 advanced network capabilities (e.g., QoS, ACLs, network monitoring,
 etc.)
 .
 This package provides common configuration files for the OpenDayLight
 mechanism driver for Neutron.

Package: networking-sfc-common
Description-md5: f0010cbaae6ea2ab9d3e0063e7c7801d
Description-en: OpenStack virtual network service - SFC extension
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package contains the common configuration files for the Service Function
 Chaining (SFC) extension.

Package: netwox
Description-md5: ff7f45453726007f90367811722db8ad
Description-en: networking utilities
 Toolbox netwox helps to find and solve network problems.
 .
 It provides more than 200 tools :
  - sniff, spoof
  - clients, servers
  - DNS, FTP, HTTP, IRC, NNTP, SMTP, SNMP, SYSLOG, TELNET, TFTP
  - scan, ping traceroute
  - etc.
 .
 Those tools can be used to quickly spot a problem in a complex network.
 They are oriented towards network administrators and security auditors.

Package: netwox-doc
Description-md5: 3c8845eeb8610eea151361eb14587441
Description-en: documentation for netwox toolbox
 Toolbox netwox helps to find and solve network problems.
 .
 It provides more than 200 tools :
  - sniff, spoof
  - clients, servers
  - DNS, FTP, HTTP, IRC, NNTP, SMTP, SNMP, SYSLOG, TELNET, TFTP
  - scan, ping traceroute
  - etc.
 .
 Those tools can be used to quickly spot a problem in a complex network.
 They are oriented towards network administrators and security auditors.
 .
 This package contains documentation for netwox.

Package: neuron
Description-md5: d344f1a3fd51bb7cd3f68e2ee57c7f4a
Description-en: Simulation environment for computational models of neurons
 NEURON is a simulation environment for modeling individual neurons and networks
 of neurons. It provides tools for conveniently building, managing, and using
 models in a way that is numerically sound and computationally efficient. It is
 particularly well-suited to problems that are closely linked to experimental
 data, especially those that involve cells with complex anatomical and
 biophysical properties.
 .
 NEURON offers
  * "natural syntax", which allows one to specify model properties in
    familiar idioms
  * efficient and painless spatial and temporal discretization
  * several different, user-selectable numerical integration methods
  * convenient user interface (interpreters + GUI)
  * user-extendable library of biophysical mechanisms

Package: neuron-dev
Description-md5: d27754ceaf6a177a18e925ffd81ff395
Description-en: Neuron simulation environment - Development files
 NEURON is a simulation environment for modeling individual neurons and networks
 of neurons. It provides tools for conveniently building, managing, and using
 models in a way that is numerically sound and computationally efficient. It is
 particularly well-suited to problems that are closely linked to experimental
 data, especially those that involve cells with complex anatomical and
 biophysical properties.
 .
 This package contains development files for the NEURON environment.

Package: neutron-bgp-dragent
Description-md5: ff8bfa713accd9acf3585e7dfe788442
Description-en: OpenStack Neutron Dynamic Routing - Agent
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 Neutron dynamic routing enables advertisement of self-service (private)
 network prefixes to physical network devices that support dynamic routing
 protocols such as routers, thus removing the conventional dependency on static
 routes.
 .
 It advertises three classes of routes:
  * Host routes for floating IP addresses hosted on non-DVR routers, the
    nexthop is the centralized router.
  * Host routes for floating IP addresses hosted on DVR routers, the nexthop is
    the appropriate compute node.
  * Prefix routes for directly routable tenant networks with address scopes,
    the nexthop is the centralized router, the same for DVR and CVR.
 .
 Neutron dynamic routing consists of service plug-in and agent. The service
 plug-in implements the Networking service extension and the agent manages
 dynamic routing protocol peering sessions. The plug-in communicates with the
 agent through RPC.
 .
 This package contains the Dynamic Routing agent.

Package: neutron-dynamic-routing-common
Description-md5: de43144c27254d31aa4dea1da5761640
Description-en: OpenStack Neutron Dynamic Routing - common files
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 Neutron dynamic routing enables advertisement of self-service (private)
 network prefixes to physical network devices that support dynamic routing
 protocols such as routers, thus removing the conventional dependency on static
 routes.
 .
 It advertises three classes of routes:
  * Host routes for floating IP addresses hosted on non-DVR routers, the
    nexthop is the centralized router.
  * Host routes for floating IP addresses hosted on DVR routers, the nexthop is
    the appropriate compute node.
  * Prefix routes for directly routable tenant networks with address scopes,
    the nexthop is the centralized router, the same for DVR and CVR.
 .
 Neutron dynamic routing consists of service plug-in and agent. The service
 plug-in implements the Networking service extension and the agent manages
 dynamic routing protocol peering sessions. The plug-in communicates with the
 agent through RPC.
 .
 This package contains common files.

Package: neutron-l2gateway-agent
Description-md5: b2cb68427799c6ca639a8ce649eab4a2
Description-en: OpenStack virtual network service - L2 gateway agent
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Layer 2 gateway agent.

Package: neutron-macvtap-agent
Description-md5: e60c41b51b193c7b7bd59c74ab9bbcd9
Description-en: Neutron is a virtual network service for Openstack - Macvtap L2 Agent
 Neutron is a virtual network service for Openstack, and a part of
 Netstack. Just like OpenStack Nova provides an API to dynamically
 request and configure virtual servers, Neutron provides an API to
 dynamically request and configure virtual networks. These networks
 connect "interfaces" from other OpenStack services (e.g., virtual NICs
 from Nova VMs). The Neutron API supports extensions to provide
 advanced network capabilities (e.g., QoS, ACLs, network monitoring,
 etc.)
 .
 This package provides the Macvtap L2 Agent.

Package: neutron-metering-agent
Description-md5: 65dae36f4a24be77bcb88d08a02f05f3
Description-en: Neutron is a virtual network service for Openstack - metering agent
 Neutron is a virtual network service for Openstack, and a part of
 Netstack. Just like OpenStack Nova provides an API to dynamically
 request and configure virtual servers, Neutron provides an API to
 dynamically request and configure virtual networks. These networks
 connect "interfaces" from other OpenStack services (e.g., virtual NICs
 from Nova VMs). The Neutron API supports extensions to provide
 advanced network capabilities (e.g., QoS, ACLs, network monitoring,
 etc.)
 .
 This package provides the metering agent.

Package: neutron-mlnx-agent
Description-md5: 32be0f3aea409f8199fd302f54b9bd67
Description-en: OpenStack virtual network service - Mellanox plugin agent
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Mellanox plugin agent.

Package: neutron-sriov-agent
Description-md5: 42989299f1b85c4fc6eccec9138ca534
Description-en: Neutron is a virtual network service for Openstack - SR-IOV agent
 Neutron is a virtual network service for Openstack, and a part of
 Netstack. Just like OpenStack Nova provides an API to dynamically
 request and configure virtual servers, Neutron provides an API to
 dynamically request and configure virtual networks. These networks
 connect "interfaces" from other OpenStack services (e.g., virtual NICs
 from Nova VMs). The Neutron API supports extensions to provide
 advanced network capabilities (e.g., QoS, ACLs, network monitoring,
 etc.)
 .
 This package provides the Mellanox SR-IOV agent.

Package: neutron-taas-common
Description-md5: 0813ff9a1e20d2485568cce32fb91dfe
Description-en: OpenStack virtual network service - Tap-as-a-Service common files
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 Tap-as-a-Service (TaaS) is an extension to the OpenStack network service
 (Neutron). It provides remote port mirroring capability for tenant virtual
 networks.
 .
 Port mirroring involves sending a copy of packets entering and/or leaving one
 port to another port, which is usually different from the original
 destinations of the packets being mirrored.
 .
 This service has been primarily designed to help tenants (or the cloud
 administrator) debug complex virtual networks and gain visibility into their
 VMs, by monitoring the network traffic associated with them. TaaS honors
 tenant boundaries and its mirror sessions are capable of spanning across
 multiple compute and network nodes. It serves as an essential infrastructure
 component that can be utilized for supplying data to a variety of network
 analytics and security applications (e.g. IDS).
 .
 This package provides the common files for the Tap-as-a-Service (TaaS)
 extension.

Package: neverball
Description-md5: b94aa86b07b67dcb2d22e683facaa5bc
Description-en: 3D floor-tilting game
 In the grand tradition of Marble Madness and Super Monkey Ball, Neverball
 has you guide a rolling ball through dangerous territory. Balance on narrow
 bridges, navigate mazes, ride moving platforms, and dodge pushers and
 shovers to get to the goal. Race against the clock to collect coins to earn
 extra balls.

Package: neverball-common
Description-md5: db316c3b38b350c542302022b3bbe426
Description-en: data files for Neverball and Neverputt
 The engine of the floor-tilting game Neverball is also used by its spin-off,
 a miniature golf game known as Neverputt.
 .
 This package contains the data files shared between Neverball and Neverputt.

Package: neverball-data
Description-md5: f8afc204b36d3878e85d8d692f07dc14
Description-en: data files for Neverball
 In the grand tradition of Marble Madness and Super Monkey Ball, Neverball
 has you guide a rolling ball through dangerous territory. Balance on narrow
 bridges, navigate mazes, ride moving platforms, and dodge pushers and
 shovers to get to the goal. Race against the clock to collect coins to earn
 extra balls.
 .
 This package contains the data files needed to play Neverball.

Package: neverputt
Description-md5: 8cbf5e127006c5da416b312a59981ff1
Description-en: 3D miniature golf game
 Neverputt is a hot-seat multi-player miniature golf game for 1 to 4 players.
 The 18-hole course takes advantage of all the elements that challenge
 Neverball players, including moving platforms and barriers, teleporters,
 ramps, and drop-offs. A simple putting interface and golf scoring system
 have been added.

Package: neverputt-data
Description-md5: bce4ce12a148fcb2d947fb41f80ecb74
Description-en: data files for Neverputt
 Neverputt is a hot-seat multi-player miniature golf game for 1 to 4 players.
 The 18-hole course takes advantage of all the elements that challenge
 Neverball players, including moving platforms and barriers, teleporters,
 ramps, and drop-offs. A simple putting interface and golf scoring system
 have been added.
 .
 This package contains the data files needed to play Neverputt.

Package: newlisp
Description-md5: 3bea9fadfcbfbe18193bd38ee123b782
Description-en: LISP like, general purpose scripting language
 newLISP is a scripting language for developing web applications and
 programs in general and in the domains of artificial intelligence
 (AI) and statistics.

Package: newmail
Description-md5: 49b0168ce625e668ce3031036ad2f541
Description-en: Notificator for incoming mail
 The newmail program usually puts itself in the background and watches
 mailbox files in order to report when new mail has been arrived.  The
 originator and subject will then be reported on the terminal it was
 started.  The output can also be integrated in graphical programs.
 .
 This package is inspired by the newmail program from the Elm mail system.

Package: newpid
Description-md5: 385ff700e1d3e50af1bba6ed6f44ec74
Description-en: run a command in a new PID namespace
 newpid is a simple suid wrapper around clone(CLONE_NEWPID) that launches a
 command in a new PID namespace. Optionally it can also use CLONE_NEWNET to
 request a new network namespace.

Package: newrole
Description-md5: 2bc7c38448c1271bb1b11fcd9d04a91b
Description-en: SELinux core policy utilities (newrole application for RBAC/MLS)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 RBAC/MLS policy machines require newrole as a way of changing the role or
 level of a logged in user.
 .
 This package contains newrole to switch roles, run_init to run /etc/init.d
 scripts in the proper context.

Package: newsboat
Description-md5: 8e07d3d900d0775e63ff69cac5b60b30
Description-en: text mode rss feed reader with podcast support
 newsboat is an RSS/Atom feed reader for the text console. It supports OPML
 import/export, podcasts (via companion program podboat), and can serve as
 a client to various feed aggregators (TT-RSS, The Old Reader, Newsblur,
 FeedHQ, ownCloud/nextCloud News). Its interface draws inspiration from mutt
 and slrn.
 .
 Successor of newsbeuter.

Package: newt-tcl
Description-md5: 28c27c826097a08bc2f1b50ce5728adb
Description-en: NEWT module for Tcl
 This module allows you to build a text UI for your Tcl scripts
 using newt.

Package: nextcloud-desktop
Description-md5: a2bf7c3db498a3e87f05105e73c38541
Description-en: Nextcloud folder synchronization tool
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 nextcloud-desktop provides the graphical client specialising in
 synchronizing with cloud storage provided by Nextcloud.

Package: nextcloud-desktop-cmd
Description-md5: 1d3fc9ea8ee11f0fc5833d214cdfec9d
Description-en: folder synchronization with an Nextcloud server - cmd client
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides the command line client specialising in
 synchronizing with cloud storage provided by Nextcloud.

Package: nextcloud-desktop-common
Description-md5: 097c8472b33c7ba62a7dd6aa7298e5aa
Description-en: Nextcloud folder synchronization - common data
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides data that is shared between different packages.

Package: nextcloud-desktop-doc
Description-md5: 955b00c281370289ce9148cab9517532
Description-en: Nextcloud folder synchronization - documentation
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides the documentation.

Package: nextcloud-desktop-l10n
Description-md5: 673ff08d85ea6f71b6c92f9826bad7cb
Description-en: Nextcloud folder synchronization - localization
 The Nextcloud desktop app lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides the localization.

Package: nextepc
Description-md5: e52a4ea81b7e5b0dbd7cab77a9e03b56
Description-en: Evolved Packet Core implementation for LTE (metapackage)
 NextEPC is a C-language Open Source implementation of the 3GPP Evolved
 Packet Core, i.e. the core network of an LTE network.
 .
 This is a metapackage that depends on all the nextepc parts.
 (MME, SGW, PGW, HSS, PCRF)

Package: nextepc-core
Description-md5: c34ac8287d911ed8f604a485f9851bf3
Description-en: Evolved Packet Core for LTE (Shared Files)
 NextEPC is a C-language Open Source implementation of the 3GPP Evolved
 Packet Core, i.e. the core network of an LTE network.
 .
 This package contains some core libraries/plugins required by the other
 binary packages such as nextepc-{mme,sgw,pgw,pcrf,hss}.

Package: nextepc-hss
Description-md5: cab85c9762216ee07c5f8589417b0de2
Description-en: Evolved Packet Core - Home Subscriber Server (HSS)
 NextEPC is a C-language Open Source implementation of the 3GPP Evolved
 Packet Core, i.e. the core network of an LTE network.
 .
 This package provides the HSS (Home Subscriber Server) element of the
 EPC, i.e. the central database of mobile network subscribers, with
 their IMSI, MSISDN, cryptographic key materials, service subscription
 information, etc.  It implements the S6a interface towards the MME
 using the DIAMETER protocol.

Package: nextepc-mme
Description-md5: a29303ece8f7b499bb11f44a51fb98c5
Description-en: Evolved Packet Core - Mobility Management Entity (MME)
 NextEPC is a C-language Open Source implementation of the 3GPP Evolved
 Packet Core, i.e. the core network of an LTE network.
 .
 This package provides the MME (Mobility Management Engine), which
 terminates the S1 interfaces from the eNodeBs cells in the cellular
 network, and interfaces via S11 to the SGW as well as via S6a to the
 HSS.

Package: nextepc-pcrf
Description-md5: e4ea015e7add70bb25858256a0d60400
Description-en: Evolved Packet Core - Policy and Charging Rules Function (PCRF)
 NextEPC is a C-language Open Source implementation of the 3GPP Evolved
 Packet Core, i.e. the core network of an LTE network.
 .
 This package contains the PCRF (Policy and Charging Rules Function),
 which controls the service quality (QoS) of individual connections and
 how to account/charge related traffic.  It implements the Gx interface
 towards the PGW using the DIAMETER protocol.

Package: nextepc-pgw
Description-md5: 245a77857ad17cdbd528ce376ead9f0e
Description-en: Evolved Packet Core - Packet Data Network Gateway (PDN-GW)
 NextEPC is a C-language Open Source implementation of the 3GPP Evolved
 Packet Core, i.e. the core network of an LTE network.
 .
 This package provides the PGW or PDN-GW (Packet Data Network Gateway)
 element of the EPC, i.e. the gateway between the EPC and the external
 packet data network, such as the public Internet.  It implements the S5
 interface towards the S-GW, the SGi interface towards the Internet,
 and the S7 interface towards the PCRF.

Package: nextepc-sgw
Description-md5: 23114853bb4dc877188576c62922e8eb
Description-en: Evolved Packet Core - Serving Gateway (SGW)
 NextEPC is a C-language Open Source implementation of the 3GPP Evolved
 Packet Core, i.e. the core network of an LTE network.
 .
 This package provides the SGW (Serving Gateway) which is situated
 between the MME and PGW.  It implements the S11 interface to the MME,
 and the S5 interface to the PGW.

Package: nexuiz
Description-md5: 5743b73a409007cbb4aff1a6f5d837dd
Description-en: Fast-paced 3D first-person shooter
 Nexuiz Classic is a fast-paced 3D deathmatch game with high-end and complex
 graphics effects. It is intended to be played over the Internet or over a
 local network. Several different game types, such as classic deathmatch, team
 deathmatch and capture the flag are available.
 It also supports a single-player mode and playing against the computer.
 .
 The game brings deathmatch back to the basics, with perfect weapon  balancing
 and fast paced action, keeping itself away from the current trend of realistic
 shooters.
 .
 This package installs the client to play the game.
 .
 This game was originally released as "Nexuiz", but is now referred to as
 "Nexuiz Classic" since the Nexuiz name was re-used for a non-free game
 for consoles.

Package: nexuiz-data
Description-md5: 0dd86ddc96cab2e5a1d1e461c099d6cf
Description-en: Nexuiz game data files
 Nexuiz is a 3d deathmatch game made entirely over the internet. The purpose of
 the game is to bring deathmatch back to the basics, with perfect weapon
 balancing and fast paced action, keeping itself away from the current trend of
 realistic shooters.
 .
 This package contains only data files like levels and sounds. If you want to
 play the game you need to install the package "nexuiz".

Package: nexuiz-music
Description-md5: 7c7df399a58674bff5511067d6eaf50f
Description-en: Nexuiz music files
 Nexuiz is a 3d deathmatch game made entirely over the internet. The purpose of
 the game is to bring deathmatch back to the basics, with perfect weapon
 balancing and fast paced action, keeping itself away from the current trend of
 realistic shooters.
 .
 This package contains only the in-game music files. If you want to play the
 game you need to install the package "nexuiz".

Package: nexuiz-server
Description-md5: 145e41b6987089b1c1a1fddb809580c1
Description-en: Standalone server for Nexuiz Classic
 Nexuiz Classic is a fast-paced 3D deathmatch game with high-end and complex
 graphics effects. It is intended to be played over the Internet or over a
 local network, though it also supports a single-player mode.
 .
 The game brings deathmatch back to the basics, with perfect weapon  balancing
 and fast paced action, keeping itself away from the current trend of realistic
 shooters.
 .
 This package installs the standalone server.
 .
 This game was originally released as "Nexuiz", but is now referred to as
 "Nexuiz Classic" since the Nexuiz name was re-used for a non-free game
 for consoles.

Package: nexuiz-textures
Description-md5: 1d5897bbd4a067ea00867ef85ace0a72
Description-en: Textures for Nexuiz
 Nexuiz is a 3d deathmatch game made entirely over the internet. The purpose of
 the game is to bring deathmatch back to the basics, with perfect weapon
 balancing and fast paced action, keeping itself away from the current trend of
 realistic shooters.
 .
 This package contains only the textures for maps, models and so on. If you want
 to play the game you need to install the package "nexuiz".

Package: nexus-tools
Description-md5: 4e1f957da247a648559d00fe27d4320b
Description-en: NeXus scientific data file format - applications
 NeXus is a common data format for neutron, X-ray, and muon science. It
 is being developed as an international standard by scientists and
 programmers representing major scientific facilities in Europe, Asia,
 Australia, and North America in order to facilitate greater cooperation
 in the analysis and visualization of neutron, X-ray, and muon data.
 .
 This is the package containing some applications for reading and writing
 NeXus files.

Package: nfacct
Description-md5: 2e8fa079f18fa788fcfae43ba123bcee
Description-en: netfilter accounting object tool
 This is the command line tool to create/retrieve/delete
 netfilter accounting objects.
 .
 Requires Linux kernel 3.3+ nfnetlink_acct subsystem.

Package: nfct
Description-md5: 1a82e80c5464b0cf0df883739c8034fd
Description-en: Tool to interact with the connection tracking system
 nfct is the command line tool that allows you to manipulate the Netfilter's
 Connection Tracking System.
 .
 By now, the supported subsystem is timeout.

Package: nfdump
Description-md5: 4fa77d0d490891adcde185fa1cc34138
Description-en: netflow capture daemon
 Collects and processes netflow data with command line tools. Collected netflow
 data is stored in filesystem and is limited by available storage space only.
 Tools are optimized for speed and filtering. nfcapd reads netflow v5, v7, v9
 and IPFIX flows transparently. You need one nfcapd process for each netflow
 stream.

Package: nfdump-sflow
Description-md5: a117d3b23094754849df79bc829ee86f
Description-en: sflow collector daemon
 sfcapd collects sflow data and stores it into nfcapd compatible files.

Package: nfoview
Description-md5: 31b66daa4fb14af2ce1560a36894911a
Description-en: simple viewer for NFO files
 NFO Viewer is a simple viewer for NFO files, which are "ASCII art" in
 the CP437 codepage. The advantages of using NFO Viewer instead of a
 text editor are preset font and encoding settings, automatic window
 size and clickable hyperlinks.

Package: nfs-ganesha-gluster
Description-md5: 628b00e48d6991a7c11ca98173006ca6
Description-en: nfs-ganesha fsal gluster libraries
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 This package contains a library for FSAL_GLUSTER and an example .conf file

Package: nfs-ganesha-gpfs
Description-md5: e94c3dcca1c947067e710cdaa8ed57c3
Description-en: nfs-ganesha fsal gpfs libraries
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 This package contains a library for a FSAL_GPFS and conf files.

Package: nfs-ganesha-mem
Description-md5: 8b8c371ea95a0b99111a57a865284357
Description-en: nfs-ganesha fsal mem libraries
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 This package contains a library for a FSAL_MEM and an example mem.conf file

Package: nfs-ganesha-mount-9p
Description-md5: 3f14f1a7c2fa760f565d7c7cbffdc90a
Description-en: nfs-ganesha mount.9P
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 .
 This package contains mount.9P

Package: nfs-ganesha-nullfs
Description-md5: bd73a5e49da5066b4f52ce8b321eaf75
Description-en: nfs-ganesha fsal nullfs libraries
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 This package contains a library for a FSAL_NULLFS

Package: nfs-ganesha-proxy
Description-md5: 67927b644c381e4d89fa2337b2cf9a77
Description-en: nfs-ganesha fsal proxy libraries
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 This package contains a library for a FSAL_PROXY

Package: nfs-ganesha-vfs
Description-md5: 589e1270487706770d701d480565286e
Description-en: nfs-ganesha fsal vfs libraries
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 This package contains a library for a FSAL_VFS and an example vfs.conf file

Package: nfs-ganesha-xfs
Description-md5: 5016e49d9f74de1254abf7505609f3dd
Description-en: nfs-ganesha fsal xfs libraries
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 This package contains a library for a FSAL_XFS and an example xfs.conf file

Package: nfs4-acl-tools
Description-md5: 3802040089c83b9a844e6d44bbfae56a
Description-en: Commandline and GUI ACL utilities for the NFSv4 client
 This package contains commandline and GUI ACL utilities for the Linux
 NFSv4 client.

Package: nfstrace
Description-md5: 1bb43c123a50a8bcfa26abe51d332dee
Description-en: NFS tracing/monitoring/capturing/analyzing tool
 nfstrace captures live NFS traffic and performs filtering, statistical
 analysis, visualisation and more. It also provides an API for custom
 pluggable analysis modules.
 .
 nfstrace currently supports the following protocols:
 .
  - Ethernet
  - IPv4, IPv6
  - UDP, TCP
  - NFSv3, NFSv4, CIFS

Package: nfstrace-doc
Description-md5: 74fda1e6f50f35c05b66ef0dcf87e79a
Description-en: NFS tracing/monitoring/capturing/analyzing tool (documentation)
 nfstrace captures live NFS traffic and performs filtering, statistical
 analysis, visualisation and more. It also provides an API for custom
 pluggable analysis modules.
 .
 This package ships the documentation for nfstrace.

Package: nfswatch
Description-md5: 24a4548ee41b82a9efea8ec742829ec2
Description-en: Program to monitor NFS traffic for the console
 Nfswatch is a command-line tool for monitoring NFS traffic. It can capture and
 analyze the NFS packets on a particular network interface or on all interfaces.
 .
 It mostly monitors NFS client traffic (NFS requests). it also monitors the NFS
 reply traffic from a server in order to measure the response time for each RPC.

Package: nftables
Description-md5: 24bc0867cd246ced705663120fd62b92
Description-en: Program to control packet filtering rules by Netfilter project
 This software provides an in-kernel packet classification framework that is
 based on a network-specific Virtual Machine (VM) and the nft userspace
 command line tool. The nftables framework reuses the existing Netfilter
 subsystems such as the existing hook infrastructure, the connection tracking
 system, NAT, userspace queueing and logging subsystem.
 .
 nftables replaces the old popular iptables, ip6tables, arptables and ebtables.
 .
 Netfilter software and nftables in particular are used in applications such
 as Internet connection sharing, firewalls, IP accounting, transparent
 proxying, advanced routing and traffic control.
 .
 A Linux kernel >= 3.13 is required. However, >= 4.14 is recommended.

Package: nftlb
Description-md5: 55cfe7a232b17b73601b6ac46dd3f00f
Description-en: nftables load balancer
 nftlb stands for nftables load balancer, the next generation linux firewall
 that replaces iptables, is adapted to behave as a complete load balancer and
 traffic distributor.
 .
 nftlb is provided with a JSON API, so you can use your preferred health
 checker to enable/disable backends or virtual services and automate processed
 with it.
 .
 The nftables framework used for load balancing can outperform typical LVS
 deployments by 10x.

Package: ng-cjk
Description-md5: c17f5b3cca4ad1eb8a835b3cef7648f9
Description-en: Nihongo MicroGnuEmacs with CJK support
 Ng is Nihongo Mg, MicroGnuEmacs. It is a small lightweight Emacs-like
 editor. It can handle both Latin and CJK.
 .
 ng-cjk can handle ISO-2022-JP, Shift-JIS, EUC-JP as well as EUC-KR and
 EUC-CN(GB only). Latin is not supported. UTF-8 is now supported.

Package: ng-cjk-canna
Description-md5: 42be1990d188eb1b48b18c759754808a
Description-en: Nihongo MicroGnuEmacs with CJK and Canna support
 Ng is Nihongo Mg, MicroGnuEmacs. It is a small lightweight Emacs-like
 editor. It can handle both Latin and CJK.
 .
 ng-cjk-canna can handle ISO-2022-JP, Shift-JIS, EUC-JP as well as EUC-KR and
 EUC-CN(GB only). Latin is not supported. UTF-8 is now supported. Canna,
 one of Japanese input methods, is also supported.

Package: ng-common
Description-md5: accd89a49840dee1be7af4e85b02ec76
Description-en: Common files used by ng-* packages
 Ng is Nihongo Mg, MicroGnuEmacs. It is a small lightweight Emacs-like
 editor. It can handle both Latin and CJK.  UTF-8 is now supported.
 .
 This package contains documents and a wrapper script.

Package: ng-latin
Description-md5: dafeb8fd37189b6974a071026660ffc3
Description-en: Nihongo MicroGnuEmacs with Latin support
 Ng is Nihongo Mg, MicroGnuEmacs. It is a small lightweight Emacs-like
 editor. It can handle both Latin and CJK.
 .
 ng-latin can handle Latin (ISO-8859) encoding. CJK is not supported.
 UTF-8 is now supported.

Package: ng-utils
Description-md5: 34d3e9a8b0c6b75dacd9207f04eae236
Description-en: Tool to access netgroups from the command line
 Includes tools to dump and look up hosts, users and domains from the
 netgroup database.  The netgroup tool is used to list all members of
 a netgroup, while the innetgr tool is used to check if a given
 user/host is listed as a member of a netgroup.

Package: ngetty
Description-md5: c73b9c1b215bd1b69736b2c33f81cf08
Description-en: getty replacement - one single daemon for all consoles
 Ngetty is a daemon that starts login sessions on virtual console
 terminals, on demand.  It is a good replacement for all those getty
 processes started from init that, most of the time, are only taking
 up memory.  Since it is compiled statically with dietlibc, the ngetty
 binary size is only about 2k and uses considerably less memory than a
 usual getty implementations.

Package: nghttp2
Description-md5: ca84fd3762013e2b3766232f5365fa1f
Description-en: server, proxy and client implementing HTTP/2
 This package will install a set of programs implementing the HTTP/2
 protocol: a standalone server (nghttp2-server), a reverse proxy
 (nghttp2-proxy) and a client (nghttp2-client). It provides no files
 on its own and is not necessary for these programs to work.

Package: nghttp2-client
Description-md5: c9f9eea931674bd2c6a88fff60dc43ef
Description-en: client implementing HTTP/2 protocol
 This is a command line client implementing the HTTP/2 protocol. It
 gives access to many low-level and advanced aspects of the protocol
 and therefore is useful for debugging.

Package: nghttp2-proxy
Description-md5: 8e735de4aa891e6f34f1f0121d726cb0
Description-en: reverse proxy implementing HTTP/2 protocol
 This is a standalone server implementing the HTTP/2 protocol with
 HTTP/1.1 fallback for clients that do not support it. It can be used
 as a frontend in front of web servers that do not support HTTP/2.

Package: nghttp2-server
Description-md5: 1d388440c4e8648708dbc32d3eda635d
Description-en: server implementing HTTP/2 protocol
 This is a standalone server implementing the HTTP/2 protocol with
 HTTP/1.1 fallback for clients that do not support it. It can be used
 to serve files from a local directory.

Package: nginx-extras
Description-md5: cebf6bae29675a6727afab89ec1e2af6
Description-en: nginx web/proxy server (extended version)
 Nginx ("engine X") is a high-performance web and reverse proxy server
 created by Igor Sysoev. It can be used both as a standalone web server
 and as a proxy to reduce the load on back-end HTTP or mail servers.
 .
 This package provides a version of nginx with the standard modules, plus
 extra features and modules such as the Perl module, which allows the
 addition of Perl in configuration files.
 .
 STANDARD HTTP MODULES: Core, Access, Auth Basic, Auto Index, Browser, Empty
 GIF, FastCGI, Geo, Limit Connections, Limit Requests, Map, Memcached, Proxy,
 Referer, Rewrite, SCGI, Split Clients, UWSGI.
 .
 OPTIONAL HTTP MODULES: Addition, Auth Request, Charset, WebDAV, FLV, GeoIP,
 Gunzip, Gzip, Gzip Precompression, Headers, HTTP/2, Image Filter, Index, Log,
 MP4, Embedded Perl, Random Index, Real IP, Slice, Secure Link, SSI, SSL,
 Stream, SSL Preread, Stub Status, Substitution, Thread Pool, Upstream,
 User ID, XSLT.
 .
 MAIL MODULES: Mail Core, Auth HTTP, Proxy, SSL, IMAP, POP3, SMTP.
 .
 THIRD PARTY MODULES: Auth PAM, Cache Purge, DAV Ext, Echo, Fancy Index,
 Headers More, Embedded Lua, GeoIP2, HTTP Substitutions, Nchan, Upload
 Progress, Upstream Fair Queue.

Package: nginx-full
Description-md5: 7b92efed8d0dfeddae5135a906a7f165
Description-en: nginx web/proxy server (standard version)
 Nginx ("engine X") is a high-performance web and reverse proxy server
 created by Igor Sysoev. It can be used both as a standalone web server
 and as a proxy to reduce the load on back-end HTTP or mail servers.
 .
 This package provides a version of nginx with the complete set of
 standard modules included (but omitting some of those included in
 nginx-extra).
 .
 STANDARD HTTP MODULES: Core, Access, Auth Basic, Auto Index, Browser, Empty
 GIF, FastCGI, Geo, Limit Connections, Limit Requests, Map, Memcached, Proxy,
 Referer, Rewrite, SCGI, Split Clients, UWSGI.
 .
 OPTIONAL HTTP MODULES: Addition, Auth Request, Charset, WebDAV, GeoIP, Gunzip,
 Gzip, Gzip Precompression, Headers, HTTP/2, Image Filter, Index, Log, Real IP,
 Slice, SSI, SSL, Stream, SSL Preread, Stub Status, Substitution, Thread  Pool,
 Upstream, User ID, XSLT.
 .
 MAIL MODULES: Mail Core, Auth HTTP, Proxy, SSL, IMAP, POP3, SMTP.
 .
 THIRD PARTY MODULES: Auth PAM, DAV Ext, Echo, GeoIP2, HTTP Substitutions,
 Upstream Fair Queue.

Package: nginx-light
Description-md5: dc750c339c49ba7f8f24198d00333f02
Description-en: nginx web/proxy server (basic version)
 Nginx ("engine X") is a high-performance web and reverse proxy server
 created by Igor Sysoev. It can be used both as a standalone web server
 and as a proxy to reduce the load on back-end HTTP or mail servers.
 .
 This package provides a very light version of nginx with only the
 minimal set of features and modules.
 .
 STANDARD HTTP MODULES: Core, Access, Auth Basic, Auto Index, Empty GIF,
 FastCGI, Map, Proxy, Rewrite, SCGI, uWSGI.
 .
 OPTIONAL HTTP MODULES: Auth Request, Charset, Gzip, Gzip Precompression,
 Headers, HTTP/2, Index, Log, Real IP, Slice, SSI, SSL, Stub Status, Thread
 Pool, WebDAV, Upstream.
 .
 THIRD PARTY MODULES: Echo.

Package: ngircd
Description-md5: 9557bc98ae745839812f591b703c9730
Description-en: lightweight Internet Relay Chat server
 This package provides ngIRCd, a lightweight Internet Relay Chat
 server for small or private networks. It is simple to configure, can
 cope with dynamic IP addresses, and supports IPv6 as well as SSL. It
 is written from scratch, not based on the original IRCd and quite
 portable.

Package: ngraph-gtk
Description-md5: 2d1ee8fe42a06834fcde2c5c006684fc
Description-en: create scientific 2-dimensional graphs
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.

Package: ngraph-gtk-addin-import-ps
Description-md5: 5c7d86aa2335c74dfea386952695c5cb
Description-en: import-ps addin of Ngraph-gtk
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.
 .
 This package contains addin of ngraph-gtk to convert PostScript to GRA.

Package: ngraph-gtk-addin-spellcheck
Description-md5: 651ee7179c126144679402677d4640d5
Description-en: spell check addin of Ngraph-gtk
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.
 .
 This package contains addin of ngraph-gtk to check spell of legend texts.

Package: ngraph-gtk-addin-tex-equation
Description-md5: 012ac9ff9e3314ade119f6be2be15583
Description-en: tex-equation addin of Ngraph-gtk
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.
 .
 This package contains addin of ngraph-gtk to convert legend text as
 TeX equation to GRA.

Package: ngraph-gtk-addins
Description-md5: 9bc2ed24a45df188d03046f00d711eb9
Description-en: addin collection of Ngraph-gtk
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.
 .
 This package contains the following addins:
 .
  * append: append a NGP-file without clearing the present graph
  * fft: Fast Fourier Transform
  * legend: generate a legend box
  * cal: show calendar in the information window
  * math: calculator
  * text-in: insert a column as legend-text
  * fitrslt: generate a legend box
  * timer: countdown timer
  * img2gra: import a bitmap image
  * load_prm: load an old PRM format file

Package: ngraph-gtk-addins-base
Description-md5: 8f38e7170fac92dde5a77c8c8c517a72
Description-en: addins of Ngraph-gtk - base programs
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.
 .
 This package contains base programs of addins.

Package: ngraph-gtk-doc
Description-md5: 1bfa00e10e5115aec2648de39b1b9586
Description-en: documents of Ngraph-gtk
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.
 .
 This package contains document files.

Package: ngraph-gtk-plugin-ruby
Description-md5: 2a93b6b2b95b27d2ae20ce1da443b112
Description-en: Ruby plugin for Ngraph-gtk
 Ngraph is the program to create scientific 2-dimensional graphs for
 researchers and engineers. This program can create advanced graphs
 which can't be created by spreadsheets. Graphs can be exported to
 postscript.
 .
 This package contains a plugin to run Ruby script.

Package: ngrep
Description-md5: 8a3f8312388527a9d518e8ed9294e2ba
Description-en: grep for network traffic
 ngrep strives to provide most of GNU grep's common features,
 applying them to the network layer.  ngrep is a pcap-aware tool that
 will allow you to specify extended regular expressions to match
 against data payloads of packets.  It currently recognizes TCP, UDP
 and ICMP across Ethernet, PPP, SLIP and null interfaces, and
 understands bpf filter logic in the same fashion as more common
 packet sniffing tools, such as tcpdump and snoop.

Package: ngspice
Description-md5: 1e271abb56df89c8dd62b13193e7dd9a
Description-en: Spice circuit simulator
 NGSpice is a mixed-level/mixed-signal circuit simulator.  Its code is based on
 three open source software packages:
 Spice3f5, Cider1b1 and Xspice.
 .
 NGSpice is part of gEDA project, a full GPL'd suite of Electronic Design
 Automation tools.

Package: ngspice-dev
Description-md5: 06e967e5294c79a1bb6b1fb1b3079f38
Description-en: Spice circuit simulator - development files for ngspice and tclspice
 NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on
 three open source software packages:
 Spice3f5, Cider1b1 and Xspice.
 .
 Use this package in case you need to compile own Xspice models as a dynamic
 library with a file extension *.cm. Those files need to be placed into
 /usr/lib/$(multiarch)/ngspice and will be loaded by the ngspice executable.
 .
 This package contains the development header files for libspice and own
 ngspice dynamic library models.

Package: ngspice-doc
Description-md5: 6f8d30e710b891a5590dc7804d644e13
Description-en: Documentation for the NGspice circuit simulator
 NGspice is a mixed-level/mixed-signal circuit simulator.
 Its code is based on three open source software packages:
 Spice3f5, Cider1b1 and Xspice.
 .
 NGSpice is part of gEDA project, a full GPL'd suite of Electronic Design
 Automation tools.
 .
 This package contains the PDF and HTML documentation.

Package: nheko
Description-md5: 27a7f4016f070f76ef397d80dd289422
Description-en: desktop IM client for the Matrix protocol
 Nheko is a Qt-based chat client for Matrix, an open, federated communications
 protocol.  The motivation behind the project is to provide a native desktop
 app for Matrix that feels more like a mainstream chat app and less like an IRC
 client.

Package: niceshaper
Description-md5: e48361568968d6c406570d55e0e50256
Description-en: Dynamic Traffic Shaper
 NiceShaper is the program developed for Linux router environment.
 It works in user space on top of standard Linux QOS implementation
 and iptables. By default, a proven HTB algorithm is used for the root, inner,
 and leaf classes, SFQ packets scheduling algorithm is the default queuing
 discipline (qdisc) contained within each of leaf classes, U32 and FW are
 used as the packets classifiers. NiceShaper provides dynamic traffic shaping
 approach which is more effective than traditional shaping with static rates.
 While constantly monitoring the traffic flowing through the router,
 in response to the changing load, dynamically adjusts the rate and ceil
 parameters values of enabled HTB classes to the values which enable
 the fullest possible utilization of Internet connection throughput.
 .
 NiceShaper protects each host which uses reasonable amount of shared
 throughput while watching over the configured optimal utilization of
 Internet connection. Therefore, at the asymmetric Internet connection,
 takes care of download when upload is close to stop up (and vice versa).
 NiceShaper doesn't allow for creation of congestions,
 thus ensures the comfort of using interactive services as well.

Package: nickle
Description-md5: e74e3f8e1a10529c636a806fe87cb981
Description-en: desk calculator language
 Nickle is a language with powerful programming and scripting capabilities.
 Nickle supports a variety of datatypes, especially arbitrary precision
 integers, rationals, and imprecise reals.  The input language vaguely
 resembles C.  Some things in C which do not translate easily are different,
 some design choices have been made differently, and a very few features are
 simply missing.

Package: nicovideo-dl
Description-md5: 034b3a1fa88cc19bf1415f20705a8e01
Description-en: Download videos from www.nicovideo.jp
 Small command-line program to download videos from www.nicovideo.jp.
 Command-line syntax is similar to youtube-dl.

Package: nictools-pci
Description-md5: eb0b67417dfc59a3ea11ac871f0a4112
Description-en: Diagnostic tools for many PCI Ethernet cards
 These tools can help you to diagnose problems with your Ethernet cards
 or - in some cases - give those cards the final hint, to work in your
 network.
 .
  alta-diag     : Diagnostic and setup for the Sundance "Alta" NIC
  eepro100-diag : Diagnostic and setup for the Intel EEPro100 Ethernet cards
  epic-diag     : Diagnostics and EEPROM setup for the SMC EPIC-100
  myson-diag    : Diagnostic and setup for the Myson mtd803 Ethernet chip
  natsemi-diag  : Diagnostic and setup for the NatSemi DP83815 Ethernet chip
  ne2k-pci-diag : Diagnostics and EEPROM setup for PCI NE2000 clones
  ns820-diag    : Diagnostic and setup for the NatSemi DP83820 Ethernet chip
  pcnet-diag    : Diagnostic and setup for the AMD PCnet/PCI Ethernet chip
  rtl8139-diag  : Diagnostics and EEPROM setup for RealTek RTL8129/8139 chips
  starfire-diag : Diagnostic and setup for the Adaptec Starfire DuraLAN
  tulip-diag    : Diagnostic and setup for the Digital DC21x4* Ethernet chips
  via-diag      : Diagnostic and setup for the VIA Rhine vt86c100 and vt3043
                  Ethernet chips
  vortex-diag   : Diagnostics and EEPROM setup for the 3Com Vortex series
  winbond-diag  : Diagnostic and setup for the Winbond w89c840 Ethernet cards
  yellowfin-diag: Diagnostic and setup for the Packet Engines Yellowfin chips
  pci-config    : Show and manipulate PCI configuration space

Package: nield
Description-md5: 710dcade3dd9ca16d6bce7a8a2d2d81a
Description-en: generate logs related to network interfaces
 nield (Network Interface Events Logging Daemon) is a tool to receive
 notifications from kernel through netlink socket and generate logs
 related to NIC interfaces.
 .
 Activities as neighbor cache (ARP, NDP), IP address (IPv4, IPv6),
 routing, FIB rules and traffic control will be logged at a specific
 file under /var/log directory.

Package: nifti-bin
Description-md5: e5640c12d4e3b949f9b90438d079b48b
Description-en: tools shipped with the NIfTI library
 Niftilib is a set of i/o libraries for reading and writing files in the
 NIfTI-1 data format. NIfTI-1 is a binary file format for storing medical
 image data, e.g. magnetic resonance image (MRI) and functional MRI (fMRI)
 brain images.
 .
 This package provides the tools that are shipped with the library
 (nifti_tool, nifti_stats and nifti1_test).

Package: nifti2dicom
Description-md5: 6b8aa1b4def0e764b5ea1bca2b494398
Description-en: convert 3D medical images to DICOM 2D series
 Nifti2Dicom is a convertion tool that converts 3D NIfTI files (and other
 formats supported by ITK, including Analyze, MetaImage Nrrd and VTK)
 to DICOM.
 Unlike other conversion tools, it can import a DICOM file that is used
 to import the patient and study DICOM tags, and allows you to edit the
 accession number and other DICOM tags, in order to create a valid DICOM
 that can be imported in a PACS.
 .
 This package includes the command line tools.

Package: nifti2dicom-data
Description-md5: 20ced37bafb0efdde80ea669d04dcdd2
Description-en: data files for nifti2dicom
 This package contains architecture-independent supporting data files
 required for use with nifti2dicom, such as such as documentation, icons,
 and translations.

Package: nifti2dicom-dbg
Description-md5: 658c3ba7066bccfc25397b655a9bfd9c
Description-en: convert 3D medical images to DICOM 2D series (debug symbols)
 Nifti2Dicom is a convertion tool that converts 3D NIfTI files (and other
 formats supported by ITK, including Analyze, MetaImage Nrrd and VTK)
 to DICOM.
 Unlike other conversion tools, it can import a DICOM file that is used
 to import the patient and study DICOM tags, and allows you to edit the
 accession number and other DICOM tags, in order to create a valid DICOM
 that can be imported in a PACS.
 .
 This package contains the debugging symbols necessary to debug crashes
 in nifti2dicom.

Package: nik4
Description-md5: 54ba4ae54c87ce64d6058778bef18822
Description-en: Mapnik to image export
 Nik4 is a mapnik-to-image exporting script using the Mapnik Python
 bindings.
 .
 Nik4 takes great care to preserve values you feed it. If you say you
 need a 800x600 image, it won't take a pixel less or more. It won't
 shrink a bounding box or distort lines when specifying so called "scale
 factor". When you need a 300 dpi image, you tell it --ppi 300 and can be
 sure you will get what you intended.
 .
 Also it can use real-world units, that is, millimeters (and prefers to).
 Specify dimensions for printing, choose bounding box and ppi scale - and
 the result won't disappoint. Options are intuitive and plenty, and you
 will be amazed how much tasks became simpler with Nik4.

Package: nikwi
Description-md5: a0dbaab04bcdc6e6ca4df089aece175b
Description-en: platform game where your goal is to collect candies
 You play the role of a 9 year old boy in his absolute dream: a world made
 of sweets! Guide Nikwi through his dream to eat everything in each of the 30
 levels, while you avoid the monsters who try to turn Nikwi's dream into a
 nightmare.
 .
 Nikwi features hours of gameplay in 30 sweet-themed levels made of five
 candy themes, more than 10 different monsters and obstacles -each one with
 it's own unique behaviour- and new gameplay elements in every theme.

Package: nikwi-data
Description-md5: 33f3a1130f0c50be1441b688fea5e44d
Description-en: platform game where your goal is to collect candies - game data
 You play the role of a 9 year old boy in his absolute dream: a world made
 of sweets! Guide Nikwi through his dream to eat everything in each of the 30
 levels, while you avoid the monsters who try to turn Nikwi's dream into a
 nightmare.
 .
 This package includes the data needed to play the game.

Package: nilfs-tools
Description-md5: 60e34aabae93bc31b300316955455950
Description-en: Continuous Snapshotting Log-structured Filesystem
 NILFS is a new implementation of a log-structured filesystem. It allows
 continuous snapshotting, so that users can restore files mistakenly overwritten
 or destroyed even when it happens after the last backup.
 .
 This package contains utilities (mkfs, fsck, etc.) used to work with nilfs.

Package: nim
Description-md5: 1077ec624ad7f485ec20529f4e9db6d8
Description-en: Nim programming language - compiler
 Nim is a statically typed, imperative programming language that generates
 native code via compilation to C. It provides a non-tracing GC, high level
 datatypes and local type inference, and bindings to a lot of C libraries.

Package: nim-doc
Description-md5: c720387a7d762b52c23fdf73ebffedb3
Description-en: Nim programming language - documentation
 Nim is a statically typed, imperative programming language that generates
 native code via compilation to C. It provides a non-tracing GC, high level
 datatypes and local type inference, and bindings to a lot of C libraries.
 .
 This is a documentation package.

Package: ninix-aya
Description-md5: 483deee62ed01c007ac5d638f4f05f1c
Description-en: Interactive fake-AI Ukagaka-compatible desktop mascot program
 "Ukagaka", also known as "Nanika", is a platform on which provides mascot
 characters for the user's desktop. "Ninix" is an Ukagaka-compatible
 program, but stop developing for a long time. "Ninix-aya" is derived
 from "Ninix" and improved a lot.

Package: ninja-build
Description-md5: 5ef1371c63de86fcf36c1ddc875d2f26
Description-en: small build system closest in spirit to Make
 Ninja is yet another build system. It takes as input the interdependencies of
 files (typically source code and output executables) and orchestrates
 building them, quickly.
 .
 Ninja joins a sea of other build systems. Its distinguishing goal is to be
 fast. It is born from the Chromium browser project, which has over 30,000
 source files and whose other build systems can take ten seconds to start
 building after changing one file. Ninja is under a second.

Package: ninka
Description-md5: afd012770ea9867416faad2734324a9b
Description-en: license identification tool for source code
 Ninka is a lightweight license identification tool for source code. It is
 sentence-based, and provides a simple way to identify open source licenses in
 a source code file. It is capable of identifying several dozen different
 licenses (and their variations).
 .
 Ninka has been designed to be lightweight, fast and accurate.
 .
 This package contains the standard ninka application.

Package: ninka-backend-excel
Description-md5: 6e8c0bfbb4fa7af314a19fb539f9a86a
Description-en: license identification tool for source code (Excel backend)
 Ninka is a lightweight license identification tool for source code. It is
 sentence-based, and provides a simple way to identify open source licenses in
 a source code file. It is capable of identifying several dozen different
 licenses (and their variations).
 .
 Ninka has been designed to be lightweight, fast and accurate.
 .
 This package contains the ninka Excel backend.

Package: ninka-backend-sqlite
Description-md5: b9ef3849aab65edb68425474dc97cb7b
Description-en: license identification tool for source code (SQLite backend)
 Ninka is a lightweight license identification tool for source code. It is
 sentence-based, and provides a simple way to identify open source licenses in
 a source code file. It is capable of identifying several dozen different
 licenses (and their variations).
 .
 Ninka has been designed to be lightweight, fast and accurate.
 .
 This package contains the ninka SQLite backend.

Package: ninvaders
Description-md5: 6dd08467a3790f099d610fc545a11801
Description-en: A space invaders-like game using ncurses
 A Space Invaders type game with text-only graphics.  Ever wanted to play
 space invaders when you can't find a GUI?  Now you can.

Package: nip2
Description-md5: 1b931991fb04b52d8a51a351e7d15c8c
Description-en: spreadsheet-like graphical image manipulation tool
 nip2 is a graphical front end to the VIPS package.
 .
 VIPS is an image processing system designed with efficiency in mind.
 It is good with large images (ones that larger than the amount of RAM in
 your machine), and for working with colour.  It can perform many
 image manipulation tasks much faster than other packages such as
 ImageMagick and the GIMP and includes some special features such as
 creating single "mosaic" images from multiple parts.
 .
 VIPS consists of two main components: an image processing library
 with some command-line tools and a spreadsheet-like graphical user
 interface.  This package supplies the graphical interface.
 .
 With nip2, rather than directly editing images, you build
 relationships between objects in a spreadsheet-like fashion.  When
 you make a change somewhere, nip2 recalculates the objects affected by
 that change.  Since it is demand-driven this update is very fast,
 even for very, very large images.  nip2 is very good at creating
 pipelines of image manipulation operations.  It is not very good for
 image editing tasks like touching up photographs.  For that, a tool
 like the GIMP should be used instead.

Package: nis
Description-md5: 7581a56f08a2a61b313a13d3a14ea80a
Description-en: clients and daemons for the Network Information Service (NIS)
 This package provides tools for setting up and maintaining a NIS domain.
 NIS, originally known as Yellow Pages (YP), is mostly used to let
 several machines in a network share the same account information, such
 as the password file.

Package: nitpic
Description-md5: 78e8d0cb3e6f49ad2efc2933808560f0
Description-en: simulator for the Microchip PIC16C84 microcontroller
 Nitpic is an X-based simulator for the Microchip PIC family of
 microcontrollers.  It currently supports only the PIC16C84.
 This is beta software.

Package: nitrocli
Description-md5: c4d0266cac84018264e517bc2dc80c0c
Description-en: command line interface for Nitrokey devices
 nitrocli provides access to the password safe, the encrypted storage and the
 one-time password generator on Nitrokey Pro and Nitrokey Storage devices.  It
 can also be used to manage the device configuration and PINs.
 .
 This package contains the following binaries built from the Rust crate
 "nitrocli":
  - nitrocli

Package: nitrogen
Description-md5: dfb4721faa07fe523b31acbc55241be1
Description-en: wallpaper browser and changing utility for X
 nitrogen is a graphical wallpaper utility that can be used in two
 modes, browser and recall.
 Some of the things to look for in nitrogen are:
 .
  * Multihead and Xinerama support (setting
    different wallpapers for each monitor)
  * Recall mode to restore wallpapers via startup script
  * Uses freedesktop.org standard for thumbnails
  * Can set GNOME background
  * Command line set modes for script usage
  * Inotify monitoring of browsed directories

Package: nitrokey-app
Description-md5: 2ec2228d67dc7b49cde033a31ce52a08
Description-en: Application to manage the Nitrokey
 Nitrokey is an open source/open hardware USB key supporting
 secure encryption and signing. This package installs the
 official application to manage the features of the different
 USB keys.

Package: nixnote2
Description-md5: eb13fd2e11013a1cc11b7afea4d45200
Description-en: Open Source Evernote client
 NixNote is a client for the Evernote service (www.evernote.com).  It
 will allow synchronization and editing of notes from your local
 system.
 .
 Once known as "NeverNote", this software was rewritten in C++/Qt to
 provide a native user experience.

Package: njam
Description-md5: 205a628451c89bb9068578e1fc8cbc80
Description-en: pacman-like game with multiplayer support
 Njam is a pacman-like game with many features, including networked
 multiplayer mode. It is available on many platforms.
 .
 Features:
  o Single and multiplayer mode (local or network)
  o Cooperative and competetive multiplayer modes
  o Customizable level skins
  o Many different levels
  o Integrated level editor
  o Great music and sound effects

Package: njam-data
Description-md5: a96be72374f534ad91a208425bbcaf57
Description-en: pacman-like game with multiplayer support -- data files
 Njam is a pacman-like game with many features, including networked
 multiplayer mode. It is available on many platforms.
 .
 This package contains the data files for njam, including levels, skins,
 graphics, music and documentation.

Package: njplot
Description-md5: 8475cd4a0146132aeafe2fa0b4f9a35b
Description-en: phylogenetic tree drawing program
 NJplot is able to draw any dendrogram expressed in the Newick standard
 phylogenetic tree format (e.g., the format used by the Phylip package).
 NJplot is especially convenient for rooting the unrooted trees
 obtained from parsimony, distance or maximum likelihood tree-building
 methods.

Package: nkf
Description-md5: 7f8e5c4e2e5ed23e006098ebc94be618
Description-en: Network Kanji code conversion Filter
 Nkf is yet another kanji code converter among networks,
 hosts and terminals. It converts input kanji code to designated
 kanji code such as 7-bit JIS, MS-kanji (Shifted-JIS) or EUC.

Package: nlkt
Description-md5: 70c1f48e790571a82ca7504d1c03b809
Description-en: non-linear keyboard trainer
 Nlkt is a lightweight keyboard trainer (touch-typing tutor).
 .
 Non-linearness means that the program use dynamic, not static exercises, which
 are based on the current user's progress and mistakes.
 .
 Exercises are built from user's mistakes and fortunes.
 .
 Features: multiple accounts for single user, support for several layouts,
 visual keyboard state, keyboard hints.

Package: nload
Description-md5: c36ad11256b4d45ea0ab0e530fe7a38c
Description-en: realtime console network usage monitor
 Nload is a console application which monitors network traffic and bandwidth
 usage in real time. It displays the total amount of data that has been
 transferred over a network device since the last reboot, the current bandwidth
 usage, and the minimum, maximum, and average bandwidth usage measured
 since it started.
 .
 If the user wants, it is also able to display two bars, similar to progress
 bars, presenting the current load graphically. Support for displaying several
 devices simultaneously is included.

Package: nlohmann-json3-dev
Description-md5: 5814b13d45c8461fc9360e5e57f4c0be
Description-en: JSON for Modern C++
 JSON library with intuitive syntax, trivial integration and serious
 testing. Other aspects, as memory efficiency and speed were not so
 important. The library consists of a single source file json.hpp.

Package: nlopt-doc
Description-md5: 5b96f7f3be7edb51c10b1a52e9904e43
Description-en: nonlinear optimization library (documentation)
 NLopt is a free/open-source library for nonlinear optimization, providing
 a common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms. Its features include:
 .
    * Callable from C, C++, Fortran, GNU Octave, Python, GNU Guile, GNU R.
    * A common interface for many different algorithms
    * Support for large-scale optimization.
    * Both global and local optimization algorithms.
    * Algorithms using function values only (derivative-free) and also
      algorithms exploiting user-supplied gradients.
    * Algorithms for unconstrained optimization, bound-constrained optimization,
      and general nonlinear inequality/equality constraints.
 .
 This package provides the HTML documentation for nlopt.

Package: nm-tray
Description-md5: 1694804bbf2dee910f37e9740b5ebecc
Description-en: simple NetworkManager front end
 nm-tray is a simple NetworkManager front end with information icon residing
 in system tray (like e.g. nm-applet). It's a pure Qt application. For
 interaction with NetworkManager it uses API provided by
 KF5::NetworkManagerQt -> plain DBus communication.

Package: nmap
Description-md5: bc417f4c1fdba7d8d9b0ca8a2a90b7a8
Description-en: The Network Mapper
 Nmap is a utility for network exploration or security auditing. It
 supports ping scanning (determine which hosts are up), many port
 scanning techniques, version detection (determine service protocols
 and application versions listening behind ports), and TCP/IP
 fingerprinting (remote host OS or device identification). Nmap also
 offers flexible target and port specification, decoy/stealth scanning,
 sunRPC scanning, and more. Most Unix and Windows platforms are
 supported in both GUI and commandline modes. Several popular handheld
 devices are also supported, including the Sharp Zaurus and the iPAQ.

Package: nmap-common
Description-md5: a8ec0b012c0eee4435ee71e9dc12f881
Description-en: Architecture independent files for nmap
 Nmap is a utility for network exploration or security auditing. It
 supports ping scanning (determine which hosts are up), many port
 scanning techniques, version detection (determine service protocols
 and application versions listening behind ports), and TCP/IP
 fingerprinting (remote host OS or device identification). Nmap also
 offers flexible target and port specification, decoy/stealth scanning,
 sunRPC scanning, and more. Most Unix and Windows platforms are
 supported in both GUI and commandline modes. Several popular handheld
 devices are also supported, including the Sharp Zaurus and the iPAQ.
 .
 This package contains the nmap files shared by all architectures.

Package: nmapsi4
Description-md5: 0b53779fa69d66d48a285fa2f5aa08b8
Description-en: graphical interface to nmap, the network scanner
 NmapSI4 is a complete Qt-based Gui with the design goal to provide a complete
 nmap interface for users, in order to manage all options of this power
 security net scanner.

Package: nmh
Description-md5: 0fe4ac62551f8d845ccba50fec7f0625
Description-en: set of electronic mail handling programs
 This is the nmh mail user agent (reader/sender), a command-line based mail
 reader that is powerful and extensible.  nmh is an excellent choice for
 people who receive and process a lot of mail.
 .
 Unlike most mail user agents, nmh is not a single program, rather it is a
 set of programs that are run from the shell.  This allows the user to
 utilize the full power of the Unix shell in coordination with nmh.  Various
 front-ends are available, such as mh-e (an emacs mode), xmh, and exmh (X11
 clients).
 .
 nmh was originally based on MH version 6.8.3, and is intended to be a
 (mostly) compatible drop-in replacement for MH.

Package: nml
Description-md5: 34663f00b23b1148de15bbe9a892a1eb
Description-en: newgrf meta language compiler
 NML is a python-based compiler, capable of compiling NML (NewGRF Meta
 Language) files (along with their associated language, sound and graphic
 files) into grf and / or nfo files (which can serve as datafiles for the
 OpenTTD or TTDPatch games).

Package: nmon
Description-md5: efcc2b048980a647f20e53943a1b622e
Description-en: performance monitoring tool for Linux
 nmon is a systems administrator, tuner, benchmark tool.
 It can display the CPU, memory, network, disks (mini graphs or numbers),
 file systems, NFS, top processes, resources (Linux version & processors) and
 on Power micro-partition information.
 .
 Data is displayed on the screen and updated once every two seconds, using a
 dumb screen. However, you can easily change this interval to a longer or
 shorter time period.
 .
 The nmon tool can also capture the same data to a text file for later analysis
 and graphing for reports. The output is in a spreadsheet format (.csv).

Package: nmzmail
Description-md5: 8e18e71f0cd6d220de9b538d1eca6afc
Description-en: indexes and searches email in maildir folders
 nmzmail is a tool, primarily to be used with mutt, for indexing and searching
 maildir folders.  Based on the result of a search query using the search
 engine namazu2, nmzmail generates a maildir folder containing symbolic links
 to the mail(s) matching the query.  A simple mutt macro makes it easy to use
 nmzmail from within mutt.  nmzmail is similar to mairix (another email
 index/search program), but perhaps easier to use since it uses the query
 syntax of namazu2.
 .
 Features:
   - fast mail searching even with large quantities of mail
   - incremental index building
   - integrates very nicely into mutt
   - rich, but simple, query language including regex
   - query history

Package: nn
Description-md5: 977cf89091c116f4d8fc30aa12b673d1
Description-en: Heavy-duty USENET news reader (curses-based client)
 The motto of nn is its expanded name, which is "No News is good
 news, but nn is better", and the nn newsreader is designed to let you
 minimize the amount of time you spend reading news (or, more
 realistically, to allow you to follow even more newsgroups :-).
 .
 Nn allows you to quickly select articles of interest and skip the
 rest.  It also supports efficient article killing and selection of
 articles by author and subject.
 .
 This version of nn reads news from a news server via NNTP (the
 Network News Transfer Protocol), and can make use of your NNTP
 server's NOV database, if configured.  You must have a news server
 available - large sites usually provide a site-wide server.  (For
 those familiar with 'nn', this is a client-only version.)

Package: nng-utils
Description-md5: 389a9c0a61a307cbd40b43ffa0f88b46
Description-en: Lightweight Messaging Library - utility
 Lightweight, broker-less library, offering a simple API to solve
 common recurring messaging problems, such as publish/subscribe,
 RPC-style request/reply, or service discovery. The API frees the
 programmer from worrying about details like connection management,
 retries, and other common considerations, so that they can focus on
 the application instead of the plumbing.
 .
 This package contains the nngcat utility.

Package: nnn
Description-md5: cdfeb01950c8664725550accffc5ba66
Description-en: Free, fast, friendly file manager
 nnn is a fork of noice, a blazing-fast lightweight terminal file manager
 with easy keyboard shortcuts for navigation, opening files and running tasks.
 nnn toppings
 .
  - Show details of the currently selected file (stat, file)
  - Disk usage analyzer mode (within the same fs, doesn't follow symlinks)
  - Directories first (even with sorting)
  - Sort numeric names in numeric order
  - Case-insensitive alphabetic content listing instead of upper case first
  - Key - to jump to last visited directory
  - Roll over at the first and last entries of a directory
  - Removed navigation restriction with relative paths
  - Sort entries by file size (largest to smallest)
  - Shortcut to invoke file name copier

Package: noblenote
Description-md5: 9264bc1972157ed4935e54669112335f
Description-en: Qt program for taking notes
 nobleNote is a program to organize and create notes. It has an icon
 in the system tray and supports drag and drop. The note-editor supports
 different fonts, font sizes and colors as well as background colors.
 You can also import notes from other programs like gnote and tomboy.
 The notes are saved in the html format.

Package: nocache
Description-md5: bf3ca3604243b4db9b46b6016f5e2cd8
Description-en: bypass/minimize file system caching for a program
 `nocache` tries to minimize the effect an application has on the Linux
 file system cache.
 .
 Use case: backup processes that should not interfere with the present
 state of the cache.
 .
 Also this package provides the following utilities:
 * `cachedel`   : clear page cache for a file.
 * `cachestats` : print number of cached vs. not-cached pages for a file

Package: nodau
Description-md5: 306eea8533c79c654406e1e329b0d126
Description-en: simple console based note taking program
 Nodau is a simple console based note taking program. It allows you to
 easily create, edit, and view notes, and search them by name or date.

Package: node-abab
Description-md5: 2135cf4c9c932647b20af4c4df4e3021
Description-en: WHATWG spec-compliant implementations of window.atob and window.btoa
 A module that implements window.atob and window.btoa according
 to the WHATWG spec.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-abbrev
Description-md5: 25e86cee706207e8292c36a57b3ca991
Description-en: Get unique abbreviations for a set of strings - Node.js module
 Given a set of strings, this module computes a list of distinct abbreviations.
 This is handy for command-line scripts, or other cases where
 one wants to be able to accept shorthands.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-absolute-path
Description-md5: 4c8be467133cd534fa9aab8b70a4f0e8
Description-en: Node.js 0.11.x path.isAbsolute as a separate module
 absolute-path is a Node.js module that implements path.isAbsolute from
 Node.js 0.11.x.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-abstract-leveldown
Description-md5: 7a63b30666f02f5d3e26e27827d02be6
Description-en: Abstract prototype matching the LevelDOWN API - Node.js module
 abstract-leveldown is a Node.js module which is an abstract prototype
 matching the LevelDOWN API. Useful for extending LevelUP functionality by
 providing a replacement to LevelDOWN.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-accepts
Description-md5: dae95d9ca2b0746fd0c2a4fdb437179f
Description-en: higher-level content negotiation for Node.js
 Higher level content negotiation based on negotiator.
 .
 In addition to negotiator, it allows:
  - Allows types as an array or arguments list, ie
    `(['text/html', 'application/json'])`
    as well as `('text/html', 'application/json')`.
  - Allows type shorthands such as `json`.
  - Returns `false` when no types match
  - Treats non-existent headers as `*`
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-active-x-obfuscator
Description-md5: 45fa9c24466879217b77444113b8e466
Description-en: Safely obfuscate the string 'ActiveX' inside of JavaScript code
 This Node.js module (safely) obfuscates all occurrences of the string
 'ActiveX' inside any JavaScript code.
 .
 Some corporate firewalls/proxies such as Blue Coat block JavaScript files
 to be downloaded if they contain the word 'ActiveX'. That of course is very
 annoying for libraries such as socket.io that need to use ActiveXObject for
 supporting IE8 and older.

Package: node-address
Description-md5: 0d755f4e64a2d10c66427e72e10709a4
Description-en: Get current machine IP, MAC and DNS servers
 DNS servers are read from /etc/resolv.conf.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-addressparser
Description-md5: 6f5831b6e80ba528c6e0e0409cc125c8
Description-en: Node.js library to parse e-mail addresses
 Parse e-mail address fields.
 Input can be a single address ("andris@kreata.ee"),
 a formatted address ("Andris Reinman <andris@kreata.ee>"),
 a comma separated list of addresses
 ("andris@kreata.ee, andris.reinman@kreata.ee"),
 an address group ("disclosed-recipients:andris@kreata.ee;")
 or a mix of all the formats.
 .
 In addition to comma the semicolon is treated as the list delimiter as
 well (except when used in the group syntax), so a value
 "andris@kreata.ee; andris.reinman@kreata.ee" is identical to
 "andris@kreata.ee, andris.reinman@kreata.ee".
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-after
Description-md5: 7cc515c98bda0b58f5b97c4f5716f861
Description-en: Flow control for Node.js
 after is a Node.js module to assist with flow control. It provides a function
 to invoke a callback after N calls.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ajv
Description-md5: de886f35a36a16febbc1c7fe2232e6fe
Description-en: another JSON Schema Validator
 The fastest JSON Schema validator for node.js and browser with draft 6 support.
 Ajv generates code using doT templates to turn JSON schemas into super-fast
 validation functions that are efficient for v8 optimization.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ajv-keywords
Description-md5: 47edc3b56feed5ee06556202ba2b7cf9
Description-en: Custom JSON-Schema keywords for Ajv validator
 Ajv is the fastest JSON Schema validator for node.js and browser with draft
 04/06/07 support. Ajv generates code using doT templates to turn JSON schemas
 into super-fast validation functions that are efficient for v8 optimization.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-almond
Description-md5: c7fe0588b845d1bd06f4209b2471ff48
Description-en: minimal AMD API implementation for use in optimized browser builds
 Some developers like to use the AMD API to code modular JavaScript,
 but after doing an optimized build, they do not want to include a
 full AMD loader like RequireJS, since they do not need all that
 functionality.
 .
 In the context of Javascript modules, AMD refers to a format
 specification which makes modules  easier to use. See
 http://requirejs.org/docs/whyamd.html#amd
 .
 Some use cases, like mobile, are very sensitive to file sizes.
 .
 By including almond in the built file, there is no need for
 RequireJS.  almond is around **1 kilobyte** when minified with
 Closure Compiler and gzipped.

Package: node-amdefine
Description-md5: 551fc16b262053be0d9d13d5dd82dcb6
Description-en: Asynchronous Module Definition (AMD) for Node.js
 This module implements the AMD "define" API by wrapping Node.js
 module loading mechanism, and has some limitations:
  * modules are loaded synchronously
  * loader plugins API is partially implemented
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ansi
Description-md5: 039afe1c28aa3e1cb71317e197df8188
Description-en: Advanced ANSI formatting tool for Node.js
 node-ansi is a module for Node.js that provides an easy-to-use API
 for writing ANSI escape codes to Stream instances.
 ANSI escape codes are used to do fancy things in a terminal window,
 like render text in colors, delete characters, lines, the entire window,
 or hide and show the cursor, and lots more.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-ansi-align
Description-md5: 357d85a00c31224da9b295d284e41e40
Description-en: align-text with ANSI support for CLIs
 Easily center- or right- align a block of text,
 carefully ignoring ANSI escape codes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ansi-color-table
Description-md5: d6e6a95a069f22ffad8c69b9eb4a1f1e
Description-en: Color and format tables for ansi output - Node.js module
 This module outputs borderless tables to stdout or to a writable stream,
 with ansi colors and formatting support.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-ansi-escapes
Description-md5: d28a95fa4025bbf6b7d0d5b6423d6d7a
Description-en: ANSI escape codes for manipulating the terminal
 Node.js module that provides methods to move/hide/show the cursor,
 get/save/restore its position, erase lines, scroll, clear and beep
 using ANSI escape codes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ansi-font
Description-md5: c358a521d973ad7c7c914764c84be6b5
Description-en: ANSI font styling utils
 ANSI escape codes (or escape sequences) are a method using in-band signaling
 to control the formatting, color, and other output options on video text
 terminals.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ansi-regex
Description-md5: 46311597c68dce90593747cc5eb6102a
Description-en: regular expression for matching ANSI escape codes
 This module provides a regular expression for matching ANSI
 escape codes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ansi-styles
Description-md5: 2aa5b5a4797df5786c46b95adf18c67e
Description-en: ANSI escape codes for styling strings in the terminal with Node.js
 ansi-styles is a Node.js module which provides ANSI escape codes for styling
 strings in the terminal.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ansi-up
Description-md5: d87efd218eff8197c0275ce6178a6c41
Description-en: convert text containing ANSI color escape codes into HTML 
 This library provide function that takes a stream of text and transforms it
 into proper HTML with colors. It does this by buffering the data and
 performing multiple passes over the stream. Each time it consumes data,
 it may or may not emit HTML. This HTML will always be proper HTML.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ansistyles
Description-md5: 788ec4f45c8c4aa5d4fc80858a9f449d
Description-en: prints output in different styles
 Functions that surround a string with ansistyle codes so it prints in style.
 .
 This library is a dependency of npm, Node.js package manager.
 .
 In case you need colors, have a look at ansicolors.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-any-promise
Description-md5: 1475911cb77e8ceadc619e0aaa4fbe50
Description-en: Resolve any installed ES6 compatible promise
 Let your library support any ES 2015 (ES6) compatible `Promise` and leave the
 choice to application authors. The application can *optionally* register its
 preferred `Promise` implementation and it will be exported when requiring
 `any-promise` from library code.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-anymatch
Description-md5: 24ffbb2f4d1e0c4054030258f9381aa2
Description-en: Matches strings against configurable strings
 Javascript module to match a string against a regular expression, glob, string,
 or function that takes the string as an argument and returns a truthy or falsy
 value. The matcher can also be an array of any or all of these. Useful for
 allowing a very flexible user-defined config to define things like file paths.
 .
 It is a dependency for ava, a futuristic test-runner
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ap
Description-md5: 3ce3cfbdea0b499a2bbfcf60a053f223
Description-en: Like Function.bind without setting "this"
 This Node.js module exposes bind, apply, partial equivalents
 that do not require setting scope, and preserve "this".
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-applause
Description-md5: 0914957ab489572f83c5a5c18be22273
Description-en: Pattern replacer creating human-friendly replacements
 Node-applause helps matching text with patterns and replacing that
 text in a human-friendly way.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-aproba
Description-md5: 8e102753055ae657e44f7e6262c18fc4
Description-en: light-weight argument validator
 Ridiculously light-weight argument validator with Node.js that is an
 event-based server-side JavaScript engine
 .
 This JavaScript engine can help you to do argument validation in
 easy manner. It is easier and concise to use than that of assertions.
 The types are specified by a single character and there is nothing
 like optional argument.

Package: node-archy
Description-md5: 5fc86788b4edaa6a9b7b634591c6a2f2
Description-en: Pretty-print nested hierarchies module for Node.js
 Given a tree of nested objects with 'label' and 'nodes' fields,
 node-archy returns a string representation of that tree with unicode
 pipe characters.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-are-we-there-yet
Description-md5: ab034c25ec973c86f259855bc006c9ce
Description-en: Keep track of the overall completion of many disparate processes
 Track complex hierarchies of asynchronous task completion statuses.  This is
 intended to give you a way of recording and reporting the progress of the big
 recursive fan-out and gather type workflows that are so common in async.
 .
 What you do with this completion data is up to you, but the most common use
 case is to feed it to one of the many progress bar modules.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-argparse
Description-md5: a13aac9a01810e18bfb954f5cd5e8b7e
Description-en: CLI arguments parser for node.js
 Javascript port of python's
 [argparse](http://docs.python.org/dev/library/argparse.html) module
 (original version 3.2). That's a full port, except some very rare options.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-argv
Description-md5: 516f8d3df4ccd6892db1fe0d0851e8d5
Description-en: Node based command line argument parser
 node-argv is a nodejs module that does command line argument parsing.
 node-argv implement a strict argument parser, which means all options
 must be defined before parsing starts. This argument parser allow one to
 use a modular structure for options parsing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-arr-diff
Description-md5: d9fe01ccf290c5579270b2dd46266871
Description-en: Returns an array with only the unique values from the first array
 Returns an array with only the unique values from the first array, by
 excluding all values from additional arrays using strict equality for
 comparisons.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-arr-flatten
Description-md5: 06d980534ad96b5d03aba7479dfc3687
Description-en: Recursively flatten an array or arrays
 Recursively flatten an array or arrays. This is the fastest implementation
 of array flatten.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-arr-union
Description-md5: 946380e420c5a201c376722a02867cde
Description-en: Combines list of arrays, returning single array with unique values
 Combines a list of arrays, returning a single array with unique values, using
 strict equality for comparisons.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-differ
Description-md5: 60f0ba41e197317c5424f01117978af9
Description-en: Create an array with values present in the first input array
 Create an array with values that are present in the first input array
 but not additional ones
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-equal
Description-md5: cc0d4fc94c0ded63f35ff2aca9043e00
Description-en: check if two arrays are equal
 This node module checks whether two arrays are equal:
 .
  var equals = require('array-equal')
  assert(equals([1, 2, 3], [1, 2, 3])) // => true
  assert(equals([1, 2, 3], [1, 2, 3, 4])) // => false
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-find-index
Description-md5: 6cb1e50d51d694038342c41014e54136
Description-en: ES2015 Array#findIndex() ponyfill
 This module provides a polyfill that doesn't overwrite the
 native method
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-flatten
Description-md5: c45af171ab43fbd5462d12636557cf88
Description-en: Flatten nested arrays
 This node.js module flattens nested arrays
    flatten(array)
       Flatten a nested array structure
    flatten.from(arrayish)
       Flatten an array-like structure (E.g. arguments)
    flatten.depth(array, depth)
       Flatten a nested array structure with a specific depth
    flatten.fromDepth(arrayish, depth)
       Flatten an array-like structure with a specific depth
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-from
Description-md5: ce6908c103306bb5453a6d5c8027812b
Description-en: ES2015 Array.from ponyfill
 Node.js module providing a ponyfill (i.e. a polyfill that
 doesn't overwrite the native method) for the ES 2015 (ES6)
 Array.from().
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-parallel
Description-md5: 1f084cdaf9ccef6f9e5b4a218e998473
Description-en: Call an array of asynchronous functions in parallel
 This modules calls an array of functions in parallel.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-series
Description-md5: 31b59ab518838dc26be5b02d6b0198b0
Description-en: Call an array of asynchronous functions in series
 This modules calls an array of functions one after the other.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-union
Description-md5: 8f39f7a063afd6fd6f8e713bb604c694
Description-en: Create an array of unique values, in order, from the input arrays
 array-union creates an array of unique values, in order, from the input
 arrays.
 For usage, include `const arrayUnion = require('array-union');`
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-uniq
Description-md5: 2bcdc570a02fc290411862807935c04d
Description-en: Create an array without duplicates
 array-uniq creates an array without duplicates. It's
 already pretty fast, but will be much faster when Set
 becomes available in V8 (especially with large arrays).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-array-unique
Description-md5: 3bfb203b0df976d20724a98d2a966cb2
Description-en: Return an array free of duplicate values
 This node.js module returns an array free of duplicate values. It is
 the fastest ES5 implementation.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-arrify
Description-md5: 30b9e7a4470aed4665bf51572938eec3
Description-en: Convert a value to an array
 Node.js is an event-based server-side JavaScript engine.

Package: node-asap
Description-md5: 9cd479568f11bb9a42afe9ac431fb997
Description-en: High-priority task queue for Node.js and browsers
 This module executes a task after the scheduled tasks are over
 .
 ASAP strives to schedule events to occur before yielding for IO, reflow, or
 redrawing. ASAP provides a fast event queue that will execute tasks until it
 is empty before yielding to the JavaScript engine's underlying event-loop.
 When a task gets added to a previously empty event queue, ASAP schedules a
 flush event, preferring for that event to occur before the JavaScript engine
 has an opportunity to perform IO tasks or rendering, thus making the first
 task and subsequent tasks semantically indistinguishable. ASAP uses a
 variety of techniques to preserve this invariant on different versions of
 browsers and Node.js
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-asn1
Description-md5: 44ff4c74581529be95f83ee1f92e86a3
Description-en: ASN.1 encoder and decoder (BER)
 Asn1.js is a pure javascript ASN.1 encoder and decoder. Currently BER encoding
 is supported.
 .
 Abstract Syntax Notation One (ASN.1) is an interface description
 language for defining data structures that can be serialized and
 deserialized in a standard, cross-platform way.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-asn1.js
Description-md5: 50e81283b2f873c568c4a5ac2aa29bb9
Description-en: ASN.1 encoder and decoder (DER)
 Asn1.js is a pure javascript ASN.1 encoder and decoder. Currently DER encoding
 is supported.
 .
 Abstract Syntax Notation One (ASN.1) is an interface description
 language for defining data structures that can be serialized and
 deserialized in a standard, cross-platform way.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-assert
Description-md5: 1e57ca25da8e287f9280430d3450bd8c
Description-en: simple assertion library for javascript
 This module is used for writing unit tests for your applications, you can
 access it with require('assert').
 .
 The API is derived from the commonjs 1.0 unit testing spec and the node.js
 assert module

Package: node-assert-plus
Description-md5: c245882952f950a626bd15b37c38e91b
Description-en: small wrapper over nodejs' assert module with two extra features
 This library is a super small wrapper over node's assert module that has two
 things:
 (1) the ability to disable assertions with the environment variable
 NODE_NDEBUG, and
 (2) some API wrappers for argument testing. Like assert.string(myArg, 'myArg')
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-assertion-error
Description-md5: d9a0011f007cb0107f5164183697d4be
Description-en: Error constructor fro validation frameworks
 Error constructor for test and validation frameworks
 that implements standardized AssertionError specification.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-assertive
Description-md5: 1b16d4214e75a6e9f8ef680a009bed40
Description-en: assertion library, designed for coffee-script
 Assertive aims to make the exact cause of breakage and intent of tests as fast
 and easy to spot as possible, with much attention paid to both the colour and
 alignment of expected and actual data, so you should be able to glean what you
 need immediately.
 .
 It also tries to pre-empt false negative tests from ever happening, by
 rigorously testing for correct assertion invocation and by avoiding to pick
 names for assertions with a track record of being misinterpreted, not just by
 people reading the code, but also by programmers writing them.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ast-types
Description-md5: 43fa17ca95900f4bda1f6a8d5effa580
Description-en: Esprima-compatible implementation of the Mozilla JS Parser API
 This module provides an efficient, modular and Esprima-compatible
 implementation of the abstract syntax tree type hierarchy pioneered by the
 Mozilla Parser API.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ast-util
Description-md5: 2b68b25e0ec78129335845612ee32ba1
Description-en: Utilities for AST transformers
 These methods are useful for source transformers such as transpilers and
 macros, as they often have to insert variables into scopes and replace
 expressions.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-astw
Description-md5: cc19bd3343370acbef8111812a6d5af5
Description-en: walk the ast with references to parent nodes
 This package walk AST (abstract tree) and track parents nodes
 without doing source transform.
 .
 It is a dependency of Browserify is a JavaScript tool
 that allows developers to write Node.js-style modules that compile
 for use in the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-async
Description-md5: c1d484d1b9cf9adbe2ffb385fb61dbda
Description-en: functions and patterns for asynchronous code - Node.js
 Async is a utility module which provides straight-forward, powerful
 functions for working with asynchronous Javascript.
 .
 Async provides around 20 functions that include the usual 'functional'
 suspects (map, reduce, filter, forEach…) as well as some common
 patterns for asynchronous control flow (parallel, series, waterfall…).
 All these functions assume you follow the Node convention of providing
 a single callback as the last argument of your async function.
 .
 This provides async for use with Node.js -
 an event-based server-side JavaScript engine.

Package: node-async-each
Description-md5: 2874e16883139fc70fb1f78e31d3b9a6
Description-en: async parallel forEach / map function for JavaScript
 This library allows you to have async parallel forEach / map function without
 having to depend on 30K async libraries.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-async-limiter
Description-md5: 7ad38e82223e5d27c23e69215b17521b
Description-en: Module for limiting concurrent asynchronous actions in flight
 This module exports a class Limiter that implements some of the Array API. Pass
 async functions (ones that accept a callback or return a promise) to an
 instance's additive array methods.
 .
 Async Limiter is used by Node Websockets library (ws) which is a dependency for
 thousands of NodeJS packages.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-async-stacktrace
Description-md5: ac33e4a571dfef516c196a7f42e6f9df
Description-en: Improve Node.js stacktraces and make it easier to handle errors
 The "Async Stacktrace" module eases debugging of Node.js applications. With the
 default stacktraces, the problem is that they do not tell you exactly where
 a problematic subroutine has been called from.
 .
 The "Async Stacktrace" module shows you where an error was caught and passed to
 the next callback. This allows you to see from where problematic code was
 called.

Package: node-asynckit
Description-md5: 3aeca3fdaffb88f093e1857b6b18b76f
Description-en: Minimal async jobs utility library, with streams support
 Runs iterator over provided array sequentially. Stores output in the `result`
 array on the matching positions. In unlikely event of an error from one of
 the jobs, will not proceed to the rest of the items in the list
 and return error along with salvaged data to the main callback function.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-auth-header
Description-md5: fe07e1d0f6e8dc1c931c99d0b2ae2d72
Description-en: parse HTTP auth/authz headers - Node.js library
 auth-header deals
 with obscene HTTP "Authorization" and "WWW-Authenticate" headers.
 .
 The HTTP "Authorization" and "WWW-Authenticate" family of headers
 are both pretty nightmareish;
 there has been, up until recently, no wide consensus
 about how they should be formatted
 and so parsing them is lots of fun
 if fun is pulling your hair out.
 .
 This library provides an implementation of RFC7235
 which allows for the parsing
 of many known existing authorization headers
 (like Basic and Digest)
 as well as any future ones which follow the standard.
 Noteably, this library is less strict than it could be
 to parse some of these legacy formats.
 .
 In addition to the format of the header itself being in flux,
 WWW-Authenticate has its own nasty surprise:
 sometimes multiple authentication prompts can appear in one header,
 sometimes they can appear in multiple headers;
 we _ONLY_ support the latter case
 since trying to disambiguate
 between a second prompt and parameters for the first
 is just about impossible.
 .
 This package provides auth-header usable with Node.js -
 an event-based server-side JavaScript engine.

Package: node-auto-bind
Description-md5: 764530d7bad44f992b8693dddbb4560e
Description-en: Automatically bind methods to their class instance
 Node.js module that binds methods to their class instance
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-autolinker
Description-md5: ba493e83cbcf593a453a0434fdb1cb14
Description-en: Utility for automatically linking URLs, emails, etc. in text
 Autolinker is a utility for automatically adding hyperlinks to URLs, email
 addresses, phone numbers, Twitter handles, and hashtags in a given block of
 text or HTML.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-autoprefixer
Description-md5: 5f03a6fb5e5c5e32d976998f9c13656c
Description-en: add vendor prefixes to CSS rules
 PostCSS plugin to parse CSS and add vendor prefixes to CSS rules using values
 from the Can One Use website. It is recommended by Google and used in Twitter
 and Taobao.
 .
 Write your CSS rules without vendor prefixes (in fact, forget about them
 entirely).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-autosize
Description-md5: 9b376ec4d96939d631c2bb5abf68f4d9
Description-en: script to automatically adjust textarea height to fit text - Javascript
 Autosize is a small, stand-alone script to automatically adjust textarea
 height to fit text. The autosize function accepts a single textarea element,
 or an array or array-like object (such as a NodeList or jQuery collection)
 of textarea elements.
 .
 This package contains the NodeJS module.

Package: node-aws-sign2
Description-md5: 0945ac0f7cc47563ebbb285cc8b884b3
Description-en: AWS signing in JavaScript
 Originally pulled from LearnBoost/knox, maintained as vendor in request, now
 a standalone module.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-aws4
Description-md5: 28f89e0db961b114d2788759e1799787
Description-en: Signs and prepares requests using AWS Signature Version 4
 A small utility to sign vanilla node.js http(s) request options
 using Amazon's AWS Signature Version 4.
 Can also be used in the browser
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-axios
Description-md5: 7960f41db588ff085b1137e82582a478
Description-en: Promise based HTTP client for the browser and node.js
 Features:
  - Make XMLHttpRequests from the browser
  - Make http requests from node.js
  - Supports the Promise API
  - Intercept request and response
  - Transform request and response data
  - Cancel requests
  - Automatic transforms for JSON data
  - Client side support for protecting against XSRF
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-cli
Description-md5: aadc8485406d174083b5553c8e68c8e8
Description-en: Babel command line
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-code-frame
Description-md5: f5fdf7b556a6bd4db7ef37bcb182a39e
Description-en: generate errors that contain a code frame that point to source locations
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-core
Description-md5: 58ec705e3aba73a20ee6d3e58fa8519f
Description-en: Babel compiler core.
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-eslint
Description-md5: b2fb514da58fa5c36f537bf1a1f2831c
Description-en: Custom parser for ESLint
 babel-eslint allows you to lint ALL valid Babel code with the fantastic ESLint.
 .
 You only need to use babel-eslint if you are using types (Flow) or
 experimental features not supported in ESLint itself yet. Otherwise try the
 default parser (you don't have to use it just because you are using Babel).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-generator
Description-md5: defb0c16dc3624c43bccc803dc41c902
Description-en: Turns an AST into code.
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-bindify-decorators
Description-md5: 66f80a171a6dcb26bf455f8f647f1553
Description-en: Helper function to bindify decorators
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-builder-binary-assignment-operator-visitor
Description-md5: 0472bf128edf3a1bf87c1e571142984d
Description-en: Helper function to build binary assignment operator visitors
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-builder-react-jsx
Description-md5: e1f4fef29fd2770ec97ad85cbfdacda4
Description-en: Helper function to build react jsx
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-call-delegate
Description-md5: 5829e19d4ffdc985bb8ae6b7977c769c
Description-en: Helper function to call delegate
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-define-map
Description-md5: 26c941de1d0d6c0fd2bf7a35971195d3
Description-en: Helper function to define a map
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-explode-assignable-expression
Description-md5: 83609263c32a20f88e235c4eafe1dce0
Description-en: Helper function to explode assignable expressions
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-explode-class
Description-md5: 03b116328c74d6a6c7e2dba782333005
Description-en: Helper function to explode classes
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-function-name
Description-md5: ed4ebc36cc6cf5f5c47dcbbb80387ce8
Description-en: Helper function to change the property 'name' of every function
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-get-function-arity
Description-md5: e78b3a38a878d10190548d1e9db8c858
Description-en: Helper function to get function arity
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-hoist-variables
Description-md5: 8880a4127c303cae73f9b77973eb59b0
Description-en: Helper function to hoist variables
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-optimise-call-expression
Description-md5: 7bd3f743051cec0a38caa01bfd62d81a
Description-en: Helper function to optimise call expression
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-regex
Description-md5: 9dcf56ff6d47e21ac4b324c75e3f5b50
Description-en: Helper function to check for literal RegEx
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-remap-async-to-generator
Description-md5: 204a3e88848ff6297c5d918ebeee6791
Description-en: Helper function to remap async functions to generators
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helper-replace-supers
Description-md5: 3a89954b2d5ea0109b55485ce23c3cab
Description-en: Helper function to replace supers
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-helpers
Description-md5: b69a51460f69f6065202d995b164d7ac
Description-en: Collection of helper functions used by Babel transforms
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-loader
Description-md5: 5fcd456532b0579373ce9e99c663b057
Description-en: babel module loader for webpack
 This library is a babel loader module for webpack.
 .
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-messages
Description-md5: 9b3922b65008e7100ea8cd9ccafefe14
Description-en: Collection of debug messages used by Babel.
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-add-module-exports
Description-md5: 84367d5c4d8a720ddd5fdc6ca5fc75c4
Description-en: Babel plugin for default module.exports like babel version 5
 Babel@6 doesn't export default module.exports any more. This plugin follows
 the babel@5 behavior - add the module.exports if only the export default
 declaration exists.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-array-includes
Description-md5: 14a5f2cebcce41107b972c891109cf08
Description-en: Babel plugin to replace the array includes syntax
 This Babel plugin replaces the array includes(val) syntax with a check
 based on indexOf.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-external-helpers
Description-md5: c4cb45724c08770b989e9b663b930ff4
Description-en: helper functions that’ll be placed at the top of the generated code
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-precompile-charcodes
Description-md5: d0137b76546bb6f945e8b026e8135871
Description-en: Convert character code getters into plain integers
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-async-functions
Description-md5: b8adde17eb5af85c7bc53ffa071f986c
Description-en: Allow parsing of async functions
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-async-generators
Description-md5: a6560a3c1253926a2d8c4ce7aad77be9
Description-en: Allow parsing of async generators
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-class-constructor-call
Description-md5: 43d6fa2f5e456bb0259a0b609c6389fd
Description-en: Allow parsing of class constructor calls
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-class-properties
Description-md5: 1f9efb156ccab7dedf9d0cb6931f5338
Description-en: Allow parsing of class properties
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-decorators
Description-md5: a828c402b80060567bcb5c0223bf61ea
Description-en: Allow parsing of decorators
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-do-expressions
Description-md5: 4fd569d43387370148a29f7bed767457
Description-en: Allow parsing of do expressinos
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-dynamic-import
Description-md5: 4b9b618bf9c53b8aa0e3cf1e40a5978c
Description-en: Allow parsing of import
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-exponentiation-operator
Description-md5: b983628157fba17b923961bdc6e26d91
Description-en: Allow parsing of the exponentiation operator
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-export-extensions
Description-md5: aaf4efca2c1125cf4345d7ed770dd54c
Description-en: Allow parsing of export extensions
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-flow
Description-md5: 6ff93ac5afd592f04ba08d7125627da2
Description-en: Allow parsing of the flow syntax
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-function-bind
Description-md5: 7cf9ee2d5bd16716642ad9ba0b059696
Description-en: Allow parsing of function bind
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-jsx
Description-md5: 92a20d3fbc1af8cdfd41e5165d0c6242
Description-en: Allow parsing of jsx
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-object-rest-spread
Description-md5: 9c549a41b55a86d84aadb66fcd81f813
Description-en: Allow parsing of object rest/spread
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-syntax-trailing-function-commas
Description-md5: b7d2edd367b0cef44b68fbe704b4e6d5
Description-en: Compile trailing function commas to ES5
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-async-generator-functions
Description-md5: 219534d0cb3f94b3b4d21025486d68ca
Description-en: Convert async generator functions to ES2015 generators
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-async-to-bluebird
Description-md5: 7d20d06137825bc6ff27d043b94153ee
Description-en: Convert async functions to Bluebird promises
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 This plugin for Babel converts ES2017 async functions to Bluebird promises.

Package: node-babel-plugin-transform-async-to-generator
Description-md5: c1cf73ff1acd02ebd3ca019718e40b8f
Description-en: Turn async functions into ES2015 generators
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-builtin-extend
Description-md5: 7fe1c32124e1cf166b5347ed700adc37
Description-en: extend from builtin types
 This plugin for Babel 6 supports extending from builtin types based on static
 analysis.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-class-constructor-call
Description-md5: d72639d577fd078e33c40848c547630f
Description-en: Enable new.target in ES2015 classes
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-class-properties
Description-md5: 5f42618eedb8782270c2f1f3a24ba9fa
Description-en: Compile additional export-from statements to ES2015
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-decorators
Description-md5: b3011812a53c1abdd20ac5130e843419
Description-en: Compile class and object decorators to ES5
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-decorators-legacy
Description-md5: 960a92cff65ad3036afedad45b3d668f
Description-en: Compile class and object decorators to ES5 (legacy)
 A plugin for Babel 6 that (mostly) replicates the old decorator behavior
 from Babel 5.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-define
Description-md5: 19307c3eca3cfe1455fff4e3b8b1d4be
Description-en: replace member expressions and typeof statements with strings
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-do-expressions
Description-md5: 1e593005a8a97ba1edb40af8e8de66c3
Description-en: Compile do expressions to ES5
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-es3-member-expression-literals
Description-md5: 34ad96bcab08266976081a9f72c3c917
Description-en: Ensure that reserved words are quoted in property accesses
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-es3-property-literals
Description-md5: 3d58da5888f86cca7da3b18cb63e9346
Description-en: Ensure that reserved words are quoted in object property keys
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-exponentiation-operator
Description-md5: 5500163c47c1fedb07c5ed8d8485bc52
Description-en: Compile the exponentiation operator to ES5
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-export-extensions
Description-md5: 2ef45853a8896c9756b832ca2522df13
Description-en: Compile ES2015/ES2016 class properties to ES5
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-flow-strip-types
Description-md5: dd1f48c0edb01016087d9da631209940
Description-en: Strip flow type annotations from your output code
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-function-bind
Description-md5: 469acae5b0be213c7c8fae76594e85ce
Description-en: Compile the functino bind operator to ES5
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-jscript
Description-md5: 743781ea0f27a83284bc59b81e233640
Description-en: fix buggy JScript named function expressions
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-object-rest-spread
Description-md5: b67a8d15d81579eea3edba34aae1bd30
Description-en: Compile object rest and spread to ES5
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-proto-to-assign
Description-md5: 060524ddafc88d473ca04ac6fd1d327c
Description-en: Babel plugin for turning __proto__ into a shallow property clone
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-react-display-name
Description-md5: 97f68fceeca5b59fe74942df947c2d2d
Description-en: Add displayName to React.createClass calls
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-react-jsx
Description-md5: bf714b157db3f1294a7a35bbd1b27afc
Description-en: Turn JSX into React function calls
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-react-jsx-self
Description-md5: fba505b7497198f7eefefad2d3887ffe
Description-en: Add a __self prop to all JSX Elements
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-react-jsx-source
Description-md5: 44afac4f63cfcbcf54585ddc22b0d0d4
Description-en: Add a __source prop to all JSX Elements
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-regenerator
Description-md5: 990387bebfc56cc9f84f5b49ab11251d
Description-en: Explode async and generator functions into a state machine
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-runtime
Description-md5: e7b0140e7c4efb3febfac494db1f3f08
Description-en: Externalise references to helpers and builtins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-strict-mode
Description-md5: c55d800f8a6051677df78d4e7941403e
Description-en: Enable strict mode for all files
 This plugin places a 'use strict'; directive at the top of all files to
 enable strict mode.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-plugin-transform-vue-jsx
Description-md5: c6cf08258a1584f6eeebf2b5b2b361bf
Description-en: Babel plugin for Vue 2.0 JSX
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-polyfill
Description-md5: 917c20a81d9063adab7cd1cdd249d93c
Description-en: Provides polyfills necessary for a full ES2015+ environment
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-airbnb
Description-md5: da703380d7a6941583aeb018e93c51f4
Description-en: babel preset for transforming your JavaScript for Airbnb
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-env
Description-md5: 42f5297027465b558555e490269d35f8
Description-en: Babel preset for each environment
 Babel preset that can automatically determine the Babel plugins and polyfills
 you need based on your supported environments.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-es2015
Description-md5: ed176015da20be1973de83e21cd169b3
Description-en: Babel preset for all es2015 plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-es2015-loose
Description-md5: 9ec4d93a2cab1577e12f0878658433ca
Description-en: Babel preset for all es2015 plugins, with loose mode
 This plugin enables loose mode where available.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-es2016
Description-md5: b91f96abc6b319e1d3bc580b1514e6a3
Description-en: Babel preset for all es2016 plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-es2017
Description-md5: 43053c44866edfcf8eb656a3929f5272
Description-en: Babel preset for all es2017 plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-es3
Description-md5: 0d1935a88818aae2e1c183838f0dd1fd
Description-en: Preset for Babel's ES3 transformations
 Babel preset for two ES3 transforms `transform-es3-member-expression-literals`
 and `transform-es3-property-literals`. This presets adds the two transforms
 for ES3 syntax compatibility.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-flow
Description-md5: 90d072ed6852734bfef961607c3aef9b
Description-en: Babel preset for all Flow plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-flow-vue
Description-md5: b262cda955059acb2fcaeb372318f4cd
Description-en: babel preset for flow support
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-latest
Description-md5: 1be34bff843fc24a96857300b94e4ee4
Description-en: Babel preset including es2015+
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-react
Description-md5: 7410f03cdb8b7b8b3c3024ea4660cf41
Description-en: Babel preset for all React plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-stage-0
Description-md5: eb66bd2e17a31955b26bb0326519af31
Description-en: Babel preset for stage 0 plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-stage-1
Description-md5: 4fcc426dedb569426cc9eee7423acbcd
Description-en: Babel preset for stage 1 plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-stage-2
Description-md5: 73f90f3ac08bdef248c4d54b3a567215
Description-en: Babel preset for stage 2 plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-preset-stage-3
Description-md5: 3a7690de3b080ad256ffb2ade4c6d7e0
Description-en: Babel preset for stage 3 plugins
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-register
Description-md5: 45b5732a8d2f10aae9397565a0ba201d
Description-en: babel require hook
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-runtime
Description-md5: 858f8e6d7f1cede4da3d2276d83b4ebd
Description-en: babel selfContained runtime
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-template
Description-md5: 75358decf278222736def06a7c777b29
Description-en: Generate an AST from a string template.
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-traverse
Description-md5: e6254caa95e05bc5eab68563c090f1f0
Description-en: Babel Traverse module
 It maintains the overall tree state, and is responsible for replacing
 removing, and adding nodes.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babel-types
Description-md5: 3aa42eea54653b139817f70d1b2cd0bf
Description-en: lodash-esque utility library for AST nodes
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-babylon
Description-md5: 2a238e4bff4710be7e2b3694d00cb5eb
Description-en: JavaScript parser used in Babel
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-backbone
Description-md5: f6c9b6dfb02774aa7b3b2274a4fa500e
Description-en: some Backbone for JavaScript applications - Node module
 Node is an event-based server-side JavaScript engine.
 .
 Backbone gives structure to your serious JavaScript web applications by
 supplying models with key-value binding and custom events, collections
 with a rich API of enumerable functions, views with declarative event
 handling, and connects it all to your existing API over a RESTful JSON
 interface.
 .
 This package contains node-uuid usable with Node.
 .
 Optimal rendering of upstream index.html requires the package
 libjs-jquery-lazyload.

Package: node-balanced-match
Description-md5: 4339de146a775d87d677662efa1882c9
Description-en: Match balanced character pairs in Node.js
 node-balanced-match allows matching balanced string pairs, like { and } or
 <b> and </b> in Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-base
Description-md5: ea976923e6dc3da05e84ae0337f0df44
Description-en: foundation for creating modular, unit testable node.js application
 base is the foundation for creating modular, unit testable and highly
 pluggable node.js applications, starting with a handful of common methods,
 like `set`, `get`, `del` and `use`.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-base62
Description-md5: e71998fe8fe54ba4b570537a368a308c
Description-en: Javascript Base62 encode/decoder
 The default character set is 0-9a-zA-Z. This can be updated to a custom
 character set. Naturally, it must be 62 characters long.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-base64-js
Description-md5: 54677d19e96d72056da94df119450bc9
Description-en: Base64 encoding/decoding in pure JS
 Converts a byte array into base64 string and vice versa.
 .
 Base64 is binary-to-text encoding schemes that represent binary data
 in an ASCII string format by translating it into a radix-64 representation.
 (6 bits).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-base64id
Description-md5: c84add9f781ae6e6a06ab8cffec777f4
Description-en: Node.js module that generates a base64 id
 Node.js module that generates a base64 id. It uses crypto.randomBytes when
 available, but falls back to unsafe methods for node.js <= 0.4.
 .
 To increase performance, random bytes are buffered to minimize the number of
 synchronous calls to crypto.randomBytes.

Package: node-base64url
Description-md5: 9ed0fe7089e150d22d48340ec45ea2da
Description-en: conversion to and from base64url
 This Node.js library provides an interface
 to convert to and from base64url.
 .
 Base 64 Encoding with URL and Filename Safe Alphabet (base64url)
 is a string encoding scheme specified in RFC 4648.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bash-match
Description-md5: 50384d3137578a179cabd343b2b2ce9b
Description-en: Node module to match strings using bash
 node-base-match matches strings using bash. It is mostly used for checking
 parity in unit tests.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-batch
Description-md5: b846641b3936402186428c497a40e56f
Description-en: batch with concurrency control and progress events - Node.js module
 This module allows parallel execution of async functions, with control over
 concurrency, and reporting through progress events.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bcrypt-pbkdf
Description-md5: 7e577da85b089a23f87b220ac62ea5d6
Description-en: Port of the OpenBSD bcrypt_pbkdf function to pure JS
 Port of the OpenBSD `bcrypt_pbkdf` function to pure Javascript. `npm`-ified
 version of Devi Mandiri's port, with some minor performance improvements.
 The code is copied verbatim (and un-styled) from Devi's work.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-beeper
Description-md5: 647be11c88b9347da53b86356ab1e415
Description-en: Make your terminal beep
 Useful as an attention grabber e.g. when an error happens.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-big.js
Description-md5: 1951dfa46b2740bccab607fcd048a85d
Description-en: small, fast, easy-to-use library for arbitrary-precision decimal arithmetic
 This module is the little sister to bignumber.js:
   - Faster, smaller and easier-to-use than JavaScript versions
     of Java's BigDecimal
   - Only 2.7 KB minified and gzipped
   - Simple API
   - Replicates the toExponential, toFixed and toPrecision methods
     of JavaScript's Number type
   - Includes a sqrt method
   - Stores values in an accessible decimal floating point format
   - No dependencies
   - Comprehensive documentation and test set
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bignumber
Description-md5: db8f7c554c023ae7775179e9c4f36faa
Description-en: Arbitrary-precision decimal and non-decimal arithmetic for Node.js
 Features:
 .
    - Faster, smaller, and perhaps easier to use than Javascript versions of
      Java's BigDecimal
    - 5 KB minified and gzipped
    - Simple API but full-featured
    - Works with numbers with or without fraction digits in bases from 2 to 36
      inclusive
    - Replicates the toExponential, toFixed, toPrecision and toString methods of
      Javascript's Number type
    - Includes a toFraction and a squareRoot method
    - Stores values in an accessible decimal floating point format
    - No dependencies
    - Comprehensive documentation and test set
 .
 If an even smaller and simpler library is required see big.js. It's half the
 size but only works with decimal numbers and only has half the methods. It
 neither allows NaN or Infinity, or have the configuration options of this
 library.
 .
 This package provides bignumber support to Node.js.

Package: node-binary-extensions
Description-md5: 67b506eae3ccdc01c13904a8a5c96796
Description-en: List of binary file extensions
 The list is just a JSON file and can be used wherever.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bindings
Description-md5: 46146e1200895543381be67f54b543d9
Description-en: resolve path to c++ addons built by node-gyp - Node.js module
 Throughout the course of Node.js's native addon history, addons have ended up
 being compiled in a variety of different places, depending on which build tool
 and which version of Node.js was used. In addition, the gyp build tool can
 produce either a Release or Debug build, each being built into different
 locations.
 .
 This module checks all the possible locations that a native addon would be
 built at, and returns the first one that loads successfully.
 .
 In Debian, addons are always built in the same place - in the Release
 directory. This module allows upstream code to remain unchanged regardless of
 the environment it is built in.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bl
Description-md5: d736d684f83ac937d352b03669c44038
Description-en: access multiple buffers with Buffer interface - Node.js module
 bl is a storage object for collections of Node.js Buffers, exposing them with
 the main Buffer readable API. Also works as a duplex stream so you can collect
 buffers from a stream that emits them and emit buffers to a stream that
 consumes them.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-blob
Description-md5: fa05c7f3e0115ad30ee0c7d96ca5e3d8
Description-en: JavaScript blob constructor
 blob is a Node.js module that exports a constructor using window.Blob when
 available, and a BlobBuilder with any vendor prefix in other cases.
 .
 If neither is available, it exports undefined.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-block-stream
Description-md5: b3fbf743d0a870881924bf169853f55a
Description-en: Stream of fixed-size blocks, with zero-padding when necessary
 node-block-stream is a Node.js module providing a stream-like object that
 reads or writes data as a stream of blocks.
 A typical use of node-block-stream is processing tar archives.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-bluebird
Description-md5: 4da2c7c276b0f089cb6a3019170aeeb0
Description-en: Fully featured Promises/A+ implementation for Node.js
 Bluebird is a fully featured Promise library with a focus on
 innovative features and performance.
 .
 This package contains the bluebird module for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bn.js
Description-md5: 94297e6fa971c07dad1eed03777d76fe
Description-en: Big number implementation in pure javascript
 This package handles arithmetic of big numbers (integers)
 provided through strings.
 .
 It can convert the big number to native javascript numbers.
 .
 Floating point number are not supported.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-body-parser
Description-md5: 8793b6180a714d5f98c8a711e4d38330
Description-en: body parsing middleware - Node.js module
 body-parser is a Node.js module which provides connect middleware for parsing
 HTTP request bodies. It supports JSON and urlencoded formats and does not
 support multipart requests.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-boolbase
Description-md5: 8a9c7c2277872124bf199143674548c0
Description-en: two functions: One that returns true, one that returns false
 This very simple module provides two basic functions, one that always
 returns true (trueFunc) and one that always returns false (falseFunc).
 .
 By having only a single instance of these functions around, it's possible
 to do some nice optimizations. Eg. CSSselect uses these functions to
 determine whether a selector won't match any elements. If that's the case,
 the DOM doesn't even have to be touched.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-boom
Description-md5: 0755d017d3ee4295855a2c1d83171f82
Description-en: HTTP-friendly error objects
 boom provides a set of utilities for returning HTTP errors. Each utility
 returns a `Boom` error response object (instance of `Error`).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bootstrap-sass
Description-md5: 42c1c2d95174ca1104c6faf34365e902
Description-en: Sass-powered version of Bootstrap 3
 bootstrap-sass is a Sass-powered version of Bootstrap 3, ready to drop right
 into your Sass powered applications.
 .
 Bootstrap is a popular HTML, CSS, and JS framework for developing  responsive,
 mobile first projects on the web.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-boxen
Description-md5: dd07bc5c1570c4bd009d03c5a32ef92c
Description-en: Create boxes in the terminal
 Boxen can be used to create boxes in terminal.
 For example, single box
 ┌───┐
 │foo│
 └───┘
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-brace-expansion
Description-md5: 19c2a84b77eb738d5f62051c84b01f13
Description-en: Brace expansion as known from sh/bash for Node.js
 node-brace-expansion provides brace expansion as known from sh/bash for
 Node.js. It provides the expand() method to return an array of all possible
 and valid expansions of the string argument. If none are found, the string
 is returned as the only item in the array.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-braces
Description-md5: aaf88986a2cf3c406b39fb9c8a4ec006
Description-en: Fast, comprehensive, bash-like brace expansion implemented in JS
 Complete support for the Bash 4.3 braces specification, without sacrificing
 speed.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-brfs
Description-md5: f96486f52b4220bf84d440dfbd586e2f
Description-en: browserify fs.readFileSync() static asset inliner
 This module is a standalone tool and module for browserify allowing
 one to include some external file in browserified file.
 .
 Browserify is a JavaScript tool (compiler) that allows developers
 to write Node.js-style modules usable in the browser context.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-brorand
Description-md5: a0be1d5a69b2191f37db4e70c6aa13ef
Description-en: Random number generator for browsers and node.js
 This script can generate Random numbers for browsers and node.js
 This script is a dependency of browserify
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browser-pack
Description-md5: 50692f69eef09c04f26cfb9f234a64b2
Description-en: pack node-style source files from a json stream into a browser bundle
 This module takes a JSON files as input describing a set of javascript files
 and outputs a javascript function descripting source code and dependencies.
 .
 This modules is part of Browserify is a JavaScript tool that allows developers
 to write Node.js-style modules that compile for use in the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browser-resolve
Description-md5: bf4c6a24c3b4e88e5d7ce11ce4399b8e
Description-en: node.js resolve algorithm with browser mapping support
 This package allow one to use javascript function require in
 browser context and to automatically resolve it.
 .
 Moreover, this extension supports the browser field (mapping) if
 set in package.json file.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browser-stdout
Description-md5: 3dc442cd7714eea009a3320786ae2852
Description-en: process.stdout in your browser
 This module implements process.stdout as found in Node.js for browsers to
 allow using Node.js modules that uses process.stdout api also in browsers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browser-unpack
Description-md5: cedd23a3dcdb367ec67945315d0ca3f0
Description-en: parse a bundle generated by node-browser-pack
 This package parses a bundle generated by browser-pack.
 Browser-pack packs Nodej.js source files from a json stream
 into a browser bundle.
 .
 This is dependency of browserify, a Node.js tool that allows
 one to run Node.js code in browser context.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browserify-aes
Description-md5: 9b9b3892afe25aee6ff95e8001b1ee43
Description-en: AES implementation written in pure javascript
 The Advanced Encryption Standard (AES), also known by its original
 name Rijndael is an algorithm for the encryption of data
 established by the U.S. National Institute of Standards and
 Technology (NIST).
 .
 The algorithm described by AES is a symmetric-key algorithm,
 meaning the same key is used for both encrypting and decrypting the data.
 AES is based on a design principle known as a substitution-permutation
 network, a combination of both substitution and permutation.
 Unlike its predecessor DES,  AES does not use a Feistel network.
 AES is a variant of Rijndael which has a fixed block size of 128 bits,
 and a key size of 128, 192, or 256 bits
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browserify-cipher
Description-md5: a1ab68a8503bd81c53baede501c65195
Description-en: ciphers for the browser
 Provides createCipher, createDecipher, createCipheriv, createDecipheriv and
 getCiphers for the browserify.  Includes AES and DES ciphers.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browserify-des
Description-md5: 9d97579465de46820b74617003d4838a
Description-en: browserify DES cryptographic operation
 This package allows one to browserify DES cryptographic operation.
 .
 The Data Encryption Standard (DES) is a symmetric-key algorithm for
 the encryption of electronic data. Although now considered insecure,
 it is implemented in standard cryptographic library implemented in
 Node.js.
 .
 This pure javascript module is needed for browserify. Browserify
 allows one to run javascript code in browser context.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browserify-lite
Description-md5: be3fe2deeb71b6c997cd045ebda877dc
Description-en: bundle client-side JavaScript using Node.js-style module syntax
 browserify-lite scans a JavaScript file for require() statements and then
 resolves the dependency, recursively scanning dependencies for require()
 statements, resulting in a JavaScript bundle that can be sent to the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browserify-rsa
Description-md5: 02188f15dfc4cd2d5ebd670aa3e3779a
Description-en: RSA for browserify
 RSA private decryption/signing using chinese remainder and blinding.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browserify-sign
Description-md5: 56f4c137ba00be33abcd45643a67eb8d
Description-en: adds node crypto signing for browsers
 This library adds node crypto signing for browsers.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browserify-zlib
Description-md5: 4bb9c96b13fe14c3386ed437c5538dd2
Description-en: Full zlib module for browserify
 This module emulates node.js' zlib module for Browserify using pako.
 Thus allowing one to use zlib API in browser context.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-browserslist
Description-md5: 5a3167af92a0a4242572a8ba2cc801a9
Description-en: Share browsers list between different front-end tools
 This module can be used to share browsers list between different front-end
 tools, like Autoprefixer, Stylelint and babel-env-preset.
 .
 Developers set browsers list in queries like 'last 2 version' to be free from
 updating browser versions manually. Browserslist will use 'Can I Use' data for
 this queries.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-buble
Description-md5: e4a9f069782f79ae20e81974f3cee405
Description-en: Fast ES2015 compiler for Node.js
 Bublé is a ES2015 compiler : it will turn ES6 javascript code into
 Javascript that can run in older ES5 environments. Notice that not all
 of ES6 is supported, either because they give size or performance issues
 or because they can't be transpiled to ES5.
 .
 ES6 (or ES2015) is ECMAScript version 6 released in 2015 and is supported
 for instance by Firefox 55. The older ES5 released in 2011 was supported
 for instance by Firefox 4.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-buf-compare
Description-md5: a60b3b6efdb04c4ed32361785b5f9b6b
Description-en: Node.js `Buffer.compare()` ponyfill
 It allows `Buffer.compare()` to work in old version of nodejs .
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-buffer
Description-md5: 7aae999e505089267f6bb06cb273f1d6
Description-en: Node.js Buffer API for browserify
 node-buffer is a port of well known buffer API of nodejs to browser context.
 .
 Using require('buffer')` or the `Buffer` global variable will allow
 browserify program to include automatically this module on your code.
 Thus any npm module using buffer features will work in the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-buffer-crc32
Description-md5: 3597a5efd7b90936c27586b40353ef84
Description-en: computes crc32 of buffers and strings - module for Node.js
 This module provides a standard implementation of the error-detecting code
 known as 32-bit Cyclic Redundancy Check.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-buffer-equal
Description-md5: ed6a53ac357e942b919a14e4493015ae
Description-en: return whether two buffers are equal
 Return whether the two buffers a and b are equal.
 If a or b is not a buffer, return undefined.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-buffer-shims
Description-md5: f972099dca5c29c3bdd62357500a0cb2
Description-en: some shims for node buffers
 Functions to make sure the new buffer methods work in older browsers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-buffer-xor
Description-md5: 63c33ed3289e096004bdd51725ef264d
Description-en: Simple module for bitwise-xor on buffers
 This module applies xor operation between two buffers.
 This is a dependency for browserify
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bufferjs
Description-md5: a4a79929dad3a502da6677656a0892cd
Description-en: pure JavaScript Buffer utils
 Pure JavaScript utils which extend the global Buffer object
 in Node.JS.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bufferlist
Description-md5: 2e5eba1cfabb7a4aaf0b2d279bac9ce6
Description-en: interface to treat a linked list of buffers as a single stream
 BufferList provides an interface to treat a linked list of buffers as a
 single stream. This is useful for events that produce a many small Buffers,
 such as network streams.

Package: node-buffers
Description-md5: ad79b9c1ad68a2e675956a290635651b
Description-en: Buffer collections as contiguous partially mutable Buffer
 The buffers module allows you to treat a collection of Buffers as a single
 contiguous partially mutable Buffer.
 .
 Where possible, operations execute without creating a new Buffer and copying
 everything over.
 .
 buffers is a cleaner more Buffery rehash of bufferlist.
 .
 This package contains the buffers module for Node.js
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-builtin-modules
Description-md5: aa8ee717eb3c8c8055534a0e340826a6
Description-en: List of the Node.js builtin modules
 List of the Node.js builtin modules. The list is just a JSON file and can be
 used wherever.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-builtin-status-codes
Description-md5: 5c189e964ff666d8d50c58c98838f07f
Description-en: map of HTTP status codes from the builtin http module
 This library exposes the latest directly from `http` in Node, with a
 zero-dependencies version for the browser.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-builtins
Description-md5: b2be0d56aadbd723a3e47ddcf1a47ba4
Description-en: lists nodejs builtin modules
 This library provides a list of node.js builtin modules. The list of modules
 is provided as a JSON file.
 .
 This is a dependency for npm, Node.js package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-bytes
Description-md5: 2e3359d57d4f11bde448be5f90397522
Description-en: Byte string parser and formatter - Node.js module
 This module parses strings representing an amount of bytes, like
 1kb, 2mb, 1gb; and inversely converts positive integers to a readable
 format representing an amount of bytes.
 It is useful for parsing or writing log files.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-cacache
Description-md5: f5600a9e207320e8760771c9eafe0c02
Description-en: fast, fault-tolerant, disk-based, data-agnostic, content-addressable cache
 This module is a Node.js library for managing local key and content address
 caches. It's really fast, really good at concurrency, and it will never give
 you corrupted data, even if cache files get corrupted or manipulated.
 .
 It was originally written to be used as npm's local cache, but can just as
 easily be used on its own.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cache-base
Description-md5: ee93c36e52efbdfa68644f1b8d9561ad
Description-en: Basic object cache for node.js/javascript projects
 Basic object cache with `get`, `set`, `del`, and `has` methods for
 node.js/javascript projects
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cache-loader
Description-md5: 51322253aec1aabddda21dff78a70dd1
Description-en: Caches the result of following loaders on disk
 This is a loader for webpack.
 .
 Webpack packs (combines) CommonJs/AMD modules for the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cached-path-relative
Description-md5: 07253a48bb68512fe54a3d65cd6aeedb
Description-en: Memoize the results of the path.relative function
 path.relative function can be an expensive operation
 if it happens a lot, and its results have a low probability to
 change for the same arguments.
 .
 This module thus cache (memoize) the result of path.relative,
 in order to speed of browserify.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-call-limit
Description-md5: f5ab308030114feff0523f6495a1a824
Description-en: Limit the number of simultaneous calls to an async function
 This module can also be used with promise returning functions to limit the
 number of simultaneous calls. When the number of calls go beyond the limit set
 by this module, they get queued and called when the previous call completes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-callback-stream
Description-md5: ed11702c403606a80071bd4b73c91937
Description-en: pipeable stream that calls your callback
 callback-stream a safe variant of the concat-stream package that will always
 return an array. It does everything callback-stream does, minus the
 concatenation. In fact, it just callbacks you with an array containing your
 good stuff. It is based on the Stream 2 API, but it also works on node v0.8.
 It also support Stream 3, which is bundled with node v0.12 and iojs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-caller
Description-md5: 07c346a6cc9ea94d5b2691b7e02763c5
Description-en: @substack's caller.js as a module
 Figure out your caller (thanks to @substack).
 Caller also accepts a depth argument for tracing back further (defaults to 1).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-camelcase
Description-md5: a00d0faeda335873833d6ef54f8a9c05
Description-en: Convert a string to camelCase
 Convert a dash, dot, underscore or space separated string to camelCase.
 I.e. foo-bar -> fooBar.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-camelcase-keys
Description-md5: e69b04bff1bc7591df64598388b8c199
Description-en: convert object keys to camelCase
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-caniuse-api
Description-md5: d5976a052d936e52482ed663ccba7ff5
Description-en: request the caniuse data to check browsers compatibilities
 Some of the features provided by this module include:
  - Ask since which browsers versions a feature is available
  - Ask if a feature is supported by some browsers
  - Search for a caniuse feature name
  - Get the current version for each browser
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-caniuse-db
Description-md5: f4682f95bdc132dc56c16faaa2ff1afc
Description-en: Raw browser/feature support data from caniuse.com
 This module contains raw data from the caniuse.com support tables.
 .
 This module provides access to the site's data for other projects. For this
 use the data.json file which includes all support data.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-caniuse-lite
Description-md5: 2cc0a226dc330ac18b2e74fb08235163
Description-en: smaller version of caniuse-db, with only the essentials
 caniuse-lite, is a smaller dataset of caniuse-db that keeps essential parts of
 the data in a compact format. It does this in multiple ways, such as
 converting null array entries into empty strings, representing support data as
 an integer rather than a string, and using base62 references instead of longer
 human-readable keys.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-capture-stream
Description-md5: fc4bdeb6f2c1fd9ae7580f5b629e05aa
Description-en: Capture stream output
 Capture the output from a stream (process.stdout or process.stderr)
 and store later. This module has been built to be used in unit tests
 to easily capture output from process.stdout and process.stderr
 and test the results.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-carto
Description-md5: 63123f6666d853b9446b3c0fcfb3c7a9
Description-en: Mapnik stylesheet compiler
 Carto is a stylesheet renderer for Mapnik.
 It's an evolution of the Cascadenik idea and language,
 with an emphasis on speed and flexibility.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-caseless
Description-md5: 8a8aaaa1827f81eb0b2557dcb7714961
Description-en: get/set/check for HTTP headers in a caseless manner
 This library is incredibly useful when working with HTTP headers. It allows
 you to get/set/check for headers in a caseless manner while also preserving
 the caseing of headers the first time they are set.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-catty
Description-md5: 09c382e650035cb193652a37fb081409
Description-en: source file concatenator for Mapshaper
 Catty is the source file concatenator for Mapshaper.
 .
 Some features:
  * Each source file lists its dependencies in a formatted comment. There is
  no manifest, unlike some other tools.
  * Concatenated files are (optionally) wrapped in a self-executing function,
  to protect the global namespace.
  * Catty can monitor source files and regenerate output files when a required
  source file changes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-chai-as-promised
Description-md5: 47f23ba919130d6bde3e5070e8e4c675
Description-en: Extends Chai with assertions about promises
 Chai as Promised extends Chai with a fluent language for asserting facts about
 promises.

Package: node-chainsaw
Description-md5: c7e727f0bd2b304ed2778589d4e7d2cb
Description-en: Node.js module to build chainable fluent interfaces
 With this meta-module you can write modules with chainable interfaces.
 .
 Chainsaw takes care of all of the boring details and simplifies the
 nested flow control.

Package: node-chalk
Description-md5: b9999751fb5319d62b7304c93bead8d6
Description-en: Terminal string styling for Node.js
 Chalk is a Node.js module which provides string styling via ANSI escape codes
 without extending String.prototype.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-channels
Description-md5: 4135a00c49dadcea096da75be6c4274d
Description-en: Event channels in Node.js
 Channels keeps your messages in order for different endpoints (channels) of
 your Node.js application.
 .
 In Etherpad Channels is used to ensure changes for specific pads have their
 own channel (gateway) and changesets (planes) are assigned to specific
 channels (gateways).
 .
 Channels is useful if you need to have lots of different I/O operations on
 different endpoints that you need to keep in order.

Package: node-character-parser
Description-md5: bbeef04b4e2af2f67eaa75715918cdf9
Description-en: JavaScript parser character by character
 Simple and powerful JavaScript parser, useful for write HTML
 template engine.
 .
 JavaScript is parsed one character at a time, in order to have
 full control and to simply recognize sections delimited by brackets.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-charm
Description-md5: eaa408c01ab4963034b40c03b2e2d660
Description-en: ansi control sequences for terminal cursor hopping and colors
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-chart.js
Description-md5: 4874f574b8f180b5b2475e135dcbd0d7
Description-en: Simple HTML5 charts using the canvas element (Node module)
 Simple yet flexible JavaScript charting for designers & developers.
 .
 This library allows you to visualize your data in 8 different ways; each of
 them animated and customisable. It has great rendering performance across all
 modern browsers (IE9+). And it redraws charts on window resize for perfect
 scale granularity.

Package: node-check-error
Description-md5: 67a725b9233502ea537fadf31f32b39a
Description-en: Node.js module for error handling
 This module helps to retrieve an Error's information such as its message
 or constructor name, but also check whether two Errors are compatible based
 on various data.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-chokidar
Description-md5: 3bbb64ecbc20ed42ee5c37e49cad5c18
Description-en: wrapper around watch / watchFile / fsevents
 Chokidar does still rely on the Node.js core fs module, but when using
 fs.watch and fs.watchFile for watching, it normalizes the events it receives,
 often checking for truth by getting file stats and/or dir contents.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-chownr
Description-md5: 002bb9a342a999d45d9e70d905da1606
Description-en: like chown -R
 This module takes the same arguments as fs.chown().
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-chrome-trace-event
Description-md5: 6347ef92c87ca25a68e0f0cda3fa7f7e
Description-en: create a trace of your node app per Google's Trace Event format
 These logs can then be visualized with trace-viewer or chrome devtools to grok
 one's programs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-chrono
Description-md5: eb96f5983bf5803b9272d390d6eeeeac
Description-en: date formatting library for NodeJS
 Chrono is a date formatting library for NodeJS.

Package: node-ci-info
Description-md5: 1e108dc8d3ea57789b98231b15db1d59
Description-en: Get details about the current Continuous Integration environment
 Lets you check whether you are running in a continuous integration server
 and fetches the name of the CI service.
 Supported CI:
 Travis CI, CircleCI, Jenkins CI, Hudson,
 Bamboo by Atlassian, TeamCity by JetBrains,
 Team Foundation Server by Microsoft,
 GitLab CI, Codeship, Drone, Magnum CI,
 Semaphore, AppVeyor, Buildkite,
 TaskCluster, GoCD, Bitbucket Pipelines

Package: node-cipher-base
Description-md5: 7b4c11f1f057af6b8c6306966fad44ea
Description-en: abstract base class for crypto-streams
 This module implements an abstract base class to inherit from,
 for creating node crypto streams.
 .
 This module follows the same API as Node.js crypto streams API.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cjson
Description-md5: 20a69ec096fd1c4a2855a28a451aa409
Description-en: commented JavaScript Object Notation
 It is a json loader, which parses only valid json files, but with comments
 enabled. Useful for loading configs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-clarinet
Description-md5: 9be6c5a9420cf67020d79e05d6b73f42
Description-en: evented streaming JSON parser - Node.js module
 clarinet is a Node.js module which provides a streaming parser for JSON.
 clarinet is inspired (and forked) from sax-js.
 .
 clarenet is intended to create better full text support in Node.js. Creating
 indexes out of large (or many) JSON files does not require a full
 understanding of the JSON file, but it does require something like clarinet.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-class-utils
Description-md5: 5318f6b88d2e3ef9e4d1b0399b9b492b
Description-en: Utils for working with JavaScript classes and prototype methods
 Node.js is an event-based server-side JavaScript engine.

Package: node-clean-css
Description-md5: d3a40d3c24da1f1919cabccbb9de653d
Description-en: Node.js module for minifying CSS files
 Clean-css is a Node.js module for minifying CSS files. It does the same job as
 YUI Compressor's CSS minifier, but much faster thanks to many speed
 optimizations and Node.js' V8 engine.

Package: node-clean-yaml-object
Description-md5: 9ce3103d579730d0718a030cb9a54338
Description-en: safe clean of an object prior to serialization
 This module clean up (fill with zero byte) an object before sending
 to serialisation, thus avoiding to serialize private data.
 This module supports generalisation by allowing ones to specify
 filter function, that support whitelisting.
 .
 Clearing data before serialization is critical from a security
 point of view in order to avoid leaking information.
 .
 Node.js is an event-based server-side JavaScript engine

Package: node-cli-boxes
Description-md5: 27f09454051919b934d6e8ba6caf1dc4
Description-en: Boxes for use in the terminal
 The list of boxes is just a JSON file and can be used wherever.
 .
 It can be used to create single,double,classic,single etc boxes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cli-cursor
Description-md5: 93360cb75114c0175873fab4fac27fce
Description-en: Toggle the CLI cursor
 Node.js module to toggle the CLI cursor; the cursor is gracefully restored if
 the process exits.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cli-spinners
Description-md5: e3605324ed02037b49b76ffdce1438bf
Description-en: Spinners for use in the terminal
 60+ spinners for use in the terminal. Spinner indicates some
 operation is in progress. The list of spinners is just a JSON file
 (spinners.json) and can be used wherever. These spinners can be used
 through the ora module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cli-table
Description-md5: b29fc54dd7935d88fff9c2776e760715
Description-en: Pretty unicode tables for the CLI
 This utility allows you to render unicode-aided tables on the command line
 from your node.js scripts.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cli-truncate
Description-md5: 086352e9697fe652d65b50136ee2cbbb
Description-en: Truncate a string to a specific width in the terminal
 Gracefully handles ANSI escapes. Like a string styled with chalk. It also
 supports Unicode surrogate pairs and fullwidth characters.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cli-width
Description-md5: a2dfdfca3c47bf141b8e464b3eedea9f
Description-en: Get stdout window width
 Node.js module to get stdout window width, with two fallbacks, tty
 and then a default.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-clipboard
Description-md5: 0f486a60ea3125e32ac9496a8aad14ec
Description-en: Node.js module to copy to clipboard without flash
 Copying text to the clipboard shouldn't be hard. It shouldn't require
 dozens of steps to configure or hundreds of KBs to load. But most of
 all, it shouldn't depend on Flash or any bloated framework. That's why
 clipboard.js exists.
 .
 This package provides node.js module.

Package: node-cliui
Description-md5: 3a244ab51726cffbd22675c424aa1430
Description-en: easily create complex multi-column CLIs
 Exposes a simple layout Domain Specific Language (DSL), reminiscent
 of HTML (with div and span elements) that makes it possible to easily
 create command-line-interfaces (CLIs).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-clone
Description-md5: 75ee8ad2f250139536013416494f52ca
Description-en: deep cloning of objects and arrays
 This module offers foolproof deep cloning of objects, arrays, numbers,
 strings, etc. in JavaScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-clone-buffer
Description-md5: 3a29a7da460fc8e5fcbc600d6c45f9a1
Description-en: Takes a Buffer object and returns a clone
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-clone-deep
Description-md5: 677259590daa45b10972a91d4699bcf5
Description-en: Recursively (deep) clone JavaScript native types
 This module allows one to recursively (deep) clone JavaScript native types,
 like Object, Array, RegExp, Date as well as primitives.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-clone-stats
Description-md5: db4340b0a91124af6f20378500439cc6
Description-en: Safely clone node's fs.Stats instances without losing their class methods
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cloneable-readable
Description-md5: 1e476ba00d54cc5c5647a6009795c41a
Description-en: node.js library to clone safely a Readable stream
 clonable-readable implements a "right" way to clone a Readable.

Package: node-co
Description-md5: b7189a8037ece2c3b0ad9428e2f5feca
Description-en: generator async control flow goodness
 Generator based control flow goodness for nodejs and the
 browser, using promises, letting you write non-blocking
 code in a nice-ish way.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-coa
Description-md5: f80fa9f9f293835e0f38e9e9a507ea15
Description-en: Yet another parser for command line options
 COA is a parser for command line options that aim to get maximum profit from
 formalization of your program API. Once you write definition in terms of
 commands, options and arguments you automatically get:
  * Command line help text
  * Program API for use COA-based programs as modules
  * Shell completion
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-code
Description-md5: 92f4db557f54a1f44a5949eab2cd65b4
Description-en: Test framework from hapijs
 Assertion library. used by the hapijs project inspired by chai.
 .
 Hapijs is a rich framework for building applications and services
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-coffee-loader
Description-md5: 7c9d4380008d48cbdd2d289def0dffed
Description-en: coffee loader module for webpack
 This package enables using coffeescript files directly from webpack.
 .
 Webpack packs/bundles CommonJs/AMD modules for the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-coffeeify
Description-md5: 2b409031054f7a65676985077b7e044a
Description-en: browserify plugin for coffee-script
 This plugin allows you to mix and match .js and .coffee files in the same
 project.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-collection-visit
Description-md5: a32fe45b20ca0a315ec485bdf8e86108
Description-en: Visit a method over items in object, or map visit over the objects
 Visit a method over the items in an object, or map visit over the objects
 in an array. This is an alternative approach to using extend or merge, useful
 when events are emitted on a method like get or set.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-color
Description-md5: 4bda4782077987e1e18ce3eba7242ac2
Description-en: Color conversion and manipulation with CSS string support
 JavaScript library for immutable color conversion and manipulation with
 support for CSS color strings.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-color-convert
Description-md5: f3900926d9f98371cc6832c64a3d3f78
Description-en: Plain color conversion functions
 Color-convert is a color conversion library for JavaScript and node.  It
 converts all ways between rgb, hsl, hsv, hwb, cmyk, ansi, ansi16, hex strings,
 and CSS keywords (will round to closest).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-color-name
Description-md5: 61d8217c0f67139841b8de089df37e2e
Description-en: list of color names and its values
 Given a color name, this package outputs its corresponding RGB value
 Based on standard 'named colors' as defined by CSS working group
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-color-string
Description-md5: 529c91d4f8dd202345181feec5c43c39
Description-en: Parser and generator for CSS color strings
 For example, to.hex([255, 255, 255]) will return "#FFFFFF" and  get('#FFF')
 will return {model: 'rgb', value: [255, 255, 255, 1]}.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-colormin
Description-md5: 17fb4e5d93dfcacfc4e107da8895e140
Description-en: Turn a CSS color into its smallest representation
 colormin works for rgb, rgba, hsl, hsla, hex & css color keywords. Note that
 colormin does not convert invalid CSS colors, as it is not a color validator.
 .
 For example, 'rgba(255, 0, 0, 1)' will be turned to 'red'.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-colors
Description-md5: 0d249e9e8d077bd6974c957f5a1db3c1
Description-en: Get color and style in your node.js console
 This package contains the NodeJS module.

Package: node-columnify
Description-md5: b860bc9a434cf3cd81164a4d1ff8153c
Description-en: Render data in text columns with in-column text-wrap
 Create text-based columns suitable for console output from objects or arrays
 of objects.
 .
 Columns are automatically resized to fit the content of the largest cell.
 Each cell will be padded with spaces to fill the available space and ensure
 column contents are left-aligned.
 .
 Designed to handle sensible wrapping in npm search results.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-combine-source-map
Description-md5: f9d9379cf147c6505f313277c8d4923f
Description-en: Add source maps of multiple files and combine
 Add source maps of multiple files, offset them and then combine
 them into one source map.
 .
 Source map provides way of mapping code within a compressed file back to
 it’s original position in a source file, hence improving debugging.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-combined-stream
Description-md5: 24f864fda3cf557941eb1c278f775cf8
Description-en: Append streams one after another - module for Node.js
 node-combined-stream can be used to append multiple streams one
 after another.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-commander
Description-md5: f97e9c29df69027df835e52db2e33362
Description-en: Complete solution for Node.js command-line interfaces
 Commander is a light-weight, expressive, and powerful command-line framework
 for Node.js.
 .
 Inspired by Ruby's commander, this Node.js module provides command line
 option parsing, automated/customizable help texts, command line prompting
 password query, and many more features.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-commist
Description-md5: 190e63c1caea99657bfd2a21fe4b21ef
Description-en: build commands on node-minimist
 Build command line application with multiple commands the easy way. To be
 used with minimist.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-commondir
Description-md5: 6d95a8859d1ca76abb23c5b041edd5d4
Description-en: compute the closest common parent for file paths
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-compare-versions
Description-md5: eabe1c6d232e8cd76731d03d37b9f1cb
Description-en: Version string comparison for Node.js
 This package provides a comparison function for version strings
 following the semver specification.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-component-consoler
Description-md5: 1a7682d10a016d9f4e1eb2110bd2660c
Description-en: console commands for component(1)
 This module contains the console commands for component(1). Use this
 to create consistent logs across component(1) commands and libs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-component-emitter
Description-md5: 8ff059d332768a97c0704d0953d23bbe
Description-en: Event emitter for Node.js
 emitter is a Node.js module for emitting events.
 .
 The Emitter object can be used as an instance, mixin or prototype mixin.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-compressible
Description-md5: 8d31c29a4d8e9bfca242297d1fdf68bc
Description-en: checks whether a mime type is compressible - Node.js module
 This module is useful for server software to check if a given mime type
 represents data that is worth being compressed.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-compression
Description-md5: 87e1b340ad48e93bdf69a9fd2be5e738
Description-en: express middleware for gzip/deflate compression - Node.js module
 This package provides an express middleware for handling HTTP response
 compression using gzip or deflate.
 .
 Express is a web application framework for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-compression-webpack-plugin
Description-md5: d6561d5376ed7ad0b6efd7bfa3bd96a5
Description-en: Prepare compressed versions of assets
 This module can be used to prepare compressed versions of assets to serve
 them with Content-Encoding.
 .
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-concat-map
Description-md5: da7946ac48676bec6248520405beb166
Description-en: concatenative mapdashery for Node.js
 node-concat-map provides a single method concatMap(xs, fn) that will return
 an array of concatenated elements by calling fn(x, i) for each element x and
 each index i in the array xs.
 .
 When fn(x, i) returns an array, its result will be concatenated with the
 result array. If fn(x, i) returns anything else, that value will be pushed
 onto the end of the result array.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-concat-stream
Description-md5: 4c0802ac64ebd707a37d2c90a1adfe58
Description-en: writable stream that concatenates strings
 Node-concat-stream creates a writable stream that concatenates strings
 or binary data and calls a callback with the result.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-concat-with-sourcemaps
Description-md5: 0a811b9cc201444081dc98ecd699b808
Description-en: concatenate files and generate source maps
 Concatenate file contents with a custom separator and generate a source map.
 .
 This library is a build dependency of gulp-concat, concatenation support for
 gulp.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-config-chain
Description-md5: 6590087c9b477c43d2a699e417cf2ac4
Description-en: Handle Configuration Once And For All
 This module is used to load all the user configurations. It can also detect
 production mode or development mode. It is a dependency of NPM.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-configstore
Description-md5: 58232ebe34b341cbad4f2918d77a79b3
Description-en: load and save config without having to think about where and how
 Easily load and persist config without having to think about where and how
 .
 Config is stored in a JSON file located in $XDG_CONFIG_HOME or ~/.config.
 Example: ~/.config/configstore/some-id.json
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-connect
Description-md5: c035365be0b65866fe0a618fb1ee7298
Description-en: extensible HTTP server framework - Node.js module
 Connect is an extensible HTTP server framework for Node.js
 using plugins known as middleware.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-connect-timeout
Description-md5: fc1a3add48d9bcb605be88ff53ade49e
Description-en: connect middleware for timing out HTTP requests - Node.js module
 This module allows one to set a timeout (default 5000 milliseconds) for
 HTTP requests. A new timeout event is added to the HTTP request instance
 and triggered when the request expires.
 .
 Connect is an extensible HTTP server framework.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-console-browserify
Description-md5: 382766ca4b8c5e14d8a4eca5548248b4
Description-en: Emulate console for all the browsers
 This package emulates console in browser context. It is thus possible
 to use warn(), error() and trace() in your browser. By default this package
 redirect console API to internal console of your browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-console-control-strings
Description-md5: ac677fac3cb85143034123fcc9e67a2f
Description-en: cross-platform tested terminal/console command strings
 This is a library for doing things like color and cursor positioning. This is
 a subset of both ansi and vt100. All control codes included work on both
 Windows & Unix-like OSes, except where noted.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-console-group
Description-md5: 3612d1ba38df775982a071f6ee1bfb93
Description-en: basic console.group implementation for node
 In browsers, console.group() is an incredibly useful debugging tool. This
 module implements the same in Node.js.
 .
 It's a 5 minute job - highly unsophisticated, doesn't even have a test suite,
 so YMMV.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-consolidate
Description-md5: ebc8941a787496c45c157ca88a64ebc0
Description-en: Template engine consolidation library
 This module supports a large number of template engines like ejs, haml,
 handlebars, pug etc
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-constantinople
Description-md5: 4c2f05d7e662eb8d6f389f93570dfb4b
Description-en: determine if an expression evaluates to a constant - Node.js module
 This module parses a JavaScript expression and determine if it
 evaluates to a constant, relative to a given scope object.
 It also checks for syntax errors.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-constants-browserify
Description-md5: 96c293cc050a7177afe4d96eaba10830
Description-en: Node's `constants` module for the browser
 This modules provides constants present in a Node.js environment to code
 running in a browser.
 .
 This library is a dependency for browserify and webpack. Browserify/Webpack
 takes code targeted at node.js and makes it  run in the browser. Node.js comes
 with API of its own that is not available in the browsers. Browserify/Webpack
 exposes this code to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-content-disposition
Description-md5: 43e0846db8ded223596c42b756e32bc2
Description-en: Create and parse Content-Disposition header - Node.js module
 content-disposition is a Node.js module which provides API to create and parse
 RFC-compliant Content-Disposition headers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-content-type
Description-md5: fb2ae35c490c91d4fcde039ee4c4e3aa
Description-en: Create and parse HTTP Content-Type header
 This module can be used to create and parse HTTP Content-Type header according
 to RFC 7231.
 .
 This module is a dependency of express, a web framework for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-convert-source-map
Description-md5: d6293d154219e4642ff5dd53c09b5700
Description-en: Converts a source-map from/to between formats
 Converts a source-map from/to different formats and allows adding/changing
 the properties.
 .
 Source maps allow tools to display unminified code from minified code
 with an optimized mapping between them. It is a kind of debug symbols
 for minified javascript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cookie
Description-md5: dd0a25ff4e7ba3909735c53ad0198a3c
Description-en: Basic cookie parser and serializer module for Node.js
 node-cookie just provides a way to read and write RFC6265 HTTP cookie
 headers.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-cookie-jar
Description-md5: 1862af328b69a6ed5537c4535ab34630
Description-en: Cookie handling for HTTP clients - module for Node.js
 node-cookie-jar provides minimal cookie handling for HTTP clients.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-cookie-parser
Description-md5: 8270023f19a4c0bac5c72340a7a3bb94
Description-en: cookie parsing middleware with signatures - Node.js module
 This module provides an express/connect middleware for parsing
 the HTTP Cookie header. It populates req.cookies with an object
 keyed by the cookie names.
 .
 Cookies can be optionally signed with a secret string, which is then
 stored in the 'secret' property of the Request object, so it can be
 used by other middleware.
 .
 Express is a web application framework for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cookie-signature
Description-md5: 544d852b54719b21f8640a2cf3fd9de3
Description-en: Sign and unsign cookies using hmac - module for Node.js
 Small module to help signing and unsigning strings using hmac
 signature with a secret.
 .
 It is used by connect middleware to secure session cookies.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-cookiejar
Description-md5: a9c8dc4d1229dbb01c7a5caccc2ca977
Description-en: simple persistent cookiejar system - Node.js module
 This package contains a simple and robust cookie library.
 .
 The following classes are included:
  * CookieAccessInfo: determines matching qualities of a cookie
  * Cookie: turns parameter into a Cookie
  * CookieJar: class to hold numerous cookies from multiple
    domains correctly
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cookies
Description-md5: a57a465c4909e1b86c0c6276c4c7edf6
Description-en: Cookies, optionally signed using Keygrip - Node.js module
 Cookies is a Node.js module for getting and setting HTTP(S) cookies. Cookies
 can be signed to prevent tampering, using Keygrip. It can be used with the
 built-in node.js HTTP library, or as Connect/Express middleware.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-copy-concurrently
Description-md5: c5c09c528528b233004ea00207b1660a
Description-en: Copy files, directories and symlinks concurrently
 Promises of copies of files, directories and symlinks, with concurrency
 controls and win32 junction fallback.
 .
 Ownership is maintained when running as root, permissions are always
 maintained. On Windows, if symlinks are unavailable then junctions will be
 used.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-copy-descriptor
Description-md5: 2b3bb9db31e2a6a80fd2cf2b08d1d6c2
Description-en: Copy a descriptor from one object to another
 Copy a descriptor from object A to object B
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-copy-webpack-plugin
Description-md5: 6f3b11040c6613922c15494ddf3038ef
Description-en: Copy files and directories in webpack
 This webpack plugin copies individual files or entire directories to the build
 directory.
 .
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-core-js
Description-md5: 8ccdf895c7471de56becc7d7e1acaa4e
Description-en: Modular standard library for JavaScript
 Includes polyfills for ECMAScript 5, ECMAScript 6: promises, symbols,
 collections, iterators, typed arrays, ECMAScript 7+ proposals, setImmediate,
 etc. Some additional features such as dictionaries or extended partial
 application. You can require only needed features or use it without global
 namespace pollution.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-core-util-is
Description-md5: cd1d0bf64920b0b1fd01fbf3d3cadb3f
Description-en: util.is* functions introduced in Node v0.12 for older versions
 node-core-util-is provides the util.is* functions from Node v0.12 core for
 older Node.js versions.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cors
Description-md5: b2b716b0f7de009ed7ce2916c9bd4129
Description-en: middleware for enabling CORS in express/connect applications
 CORS is a node.js package for providing a Connect/Express middleware
 that can be used to dynamically or statically enable CORS with
 various options.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cosmiconfig
Description-md5: 8e26232fa8fdde7bfaabd1d5445332d7
Description-en: Find and load configuration from different locations
 Find and load a configuration object from
  - a `package.json` property (anywhere up the directory tree)
  - a JSON or YAML "rc file" (anywhere up the directory tree)
  - a `.config.js` CommonJS module (anywhere up the directory tree)
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-coveralls
Description-md5: b0a892c2b9adf8e4d4a4597c71cdcdc7
Description-en: input json-cov output and posts to coveralls.io
 Coveralls.io is a web service to help you track your code coverage over
 time, and ensure that all your new code is fully covered.
 .
 Coveralls automatically collects your code coverage data, uploads it
 to their servers and gives you a nice interface to dig into it.
 .
 This tools based on node.js allows one to post coverage information
 to coveralls.io
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cpr
Description-md5: 66875325f0304b2303a243f6db660808
Description-en: recursively copy files - Node.js module
 cpr is a Node.js module to recursively copy files and can also be used from
 the command line which is useful for cross platform support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-crc
Description-md5: 0d4c563ae4eec4b1312e5c1b1066c099
Description-en: module for calculating Cyclic Redundancy Check (CRC)
 This package provides a pure JavaScript implementation, with no dependencies,
 to calculate CRC, the following algorithms are supported:
  * CRC1
  * CRC8
  * CRC8 1-Wire
  * CRC16
  * CRC16 CCITT
  * CRC16 Modbus
  * CRC24
  * CRC32
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-crc32
Description-md5: cd203d1465f4edb8b719bb18098c2eb2
Description-en: CRC-32 implemented in JavaScript
 CRC means 'Cyclic Redundancy Check' and is a way to checksum data. It is a
 simple algorithm based on polynomials and is used in such projects as gzip.
 .
 This module only works with UTF-8 strings, and is meant to be able to work on
 node and in the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-create-ecdh
Description-md5: 670ba1aefb4c391a243e5ef8e7bb56f2
Description-en: browserifiable createECDH
 In io.js or node >= 0.11 this module is just a shortcut to crypto.createECDH.
 In node <= 0.11 or the browser this is a pure JavaScript implementation, more
 specifically a wrapper around elliptic to give it the same API as node.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-create-hash
Description-md5: a4a36b0082cbe6cd2fc495ac055f03a1
Description-en: create hashes for browserify
 This package allow one to create hash in browser context using
 Node.js API.
 .
 A hash function is any function that can be used to map data
 of arbitrary size to data of fixed  size.
 Hash functions are related to checksums, check digits,
 fingerprints, lossy compression, randomization functions,
 error-correcting codes, and ciphers.
 Although these concepts overlap to some extent, each has
 its own uses and   requirements and is designed and optimized
 differently.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-create-hmac
Description-md5: e3084c70c3fccea0c9e17dc68906f226
Description-en: nodejs style hmacs in the browser
 This library provides nodejs style hmacs for use in the browser, with native
 hmac functions in nodejs. API is the same as hmacs in nodejs.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cross-spawn
Description-md5: d735da4c716de25c258d20efd5b809f6
Description-en: Cross platform drop-in replacement for spawn
 Cross-spawn is a drop-in replacement for nodejs's spawn which does not
 have full support on all platforms. Cross-spawn is a thin wrapper around
 child_process.spawn which provides restarts.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cross-spawn-async
Description-md5: ee748980bd93f25057f958331aa97bab
Description-en: Cross platform child_process#spawn
 Node-cross-spawn-async is a cross platform solution to node's spawn.
 .
 The same module can be used on WIndows and Linux. It correctly handles
 PATHEXT, shebangs, del or dir and, escape arguments with spaces or
 special characters.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cryptiles
Description-md5: 4bdd0d842b1256f83a9dbe077d8982d1
Description-en: General purpose crypto utilities
 This library provides methods for generating cryptographically strong
 pseudo-random data (string or digits) of specified length. It can also compare
 two strings using fixed time algorithm (to prevent time-based analysis of MAC
 digest match).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-crypto-browserify
Description-md5: f29cb1c61f0ad862316d4126d94360ff
Description-en: implementation of crypto for the browser
 This library is an implementation of node's crypto for the browser.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-crypto-cacerts
Description-md5: af02d0192c08a5abf91d3499f3bf8f87
Description-en: updates SSL certificate chain to use a directory of certificates
 Node has a set of trusted certificates compiled into it that is uses
 during SSL/HTTPS negotiations. The list of certificates can be replaced
 with user-specified certificates during the usage of the https module,
 but only for that particular https instance.
 .
 Sometimes, one need to use libraries that make the HTTPS calls deep within,
 and cannot modify the code. This module is designed to monkey patch the
 built-in crypto module and allow you to specify a directory of existing
 certificates that apply to all HTTPS connections that are made using the
 underlying crypto module.
 .
 Usage:
 .
  require('./crypto-cacerts').cryptoPatch("/etc/ssl/certs");
 .
 This will use all of the certificates in your OpenSSL certificates directory.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-crypto-random-string
Description-md5: b3b7470112f65d4ec4d84fc6b68dc170
Description-en: Generate a cryptographically strong random string
 Can be useful for creating an identifier, slug, salt, fixture, etc.
 .
 This package is a useful dependency of npm, Nodejs package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cson-parser
Description-md5: 60472d7590eeeeb6273e8b198d2f96dd
Description-en: Safe parsing of CSON files
 Node-cson-parser is a minimalistic CSON parser which offers:
  - A strict subset of CSON that allows only data
  - Interface is identical to JSON.{parse,stringify}
  - Does not run the code, free of intermediate string representations
  - Sane parse error messages with line/column
  - Regular Expressions are considered data and will be accepted as well
 .
 In addition of pure data it allows for simple arithmetic expressions like
 addition and multiplication. This allows more readable configuration
 of numbers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-css
Description-md5: f70f7209046d5d4fdec14183e8f3258d
Description-en: JavaScript CSS parser and stringifier - Node.js module
 This module provides a CSS parser in JavaScript, able to make
 stylesheet rules available as JavaScript object, and a CSS
 stringifier which takes an abstract syntax tree from parser and
 outputs a CSS-formatted string.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-css-color-names
Description-md5: f246623ac4707714a01eed1a3b41ce30
Description-en: JSON Object of css color names mapped to their hex value
 For example, aqua is mapped to #00ffff and aliceblue is mapped to #f0f8ff.
 .
 This list is generated from xahlee.info/js/css_color_names.html
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-css-loader
Description-md5: eacd01db8695f8d4f68f3806b2d67b8b
Description-en: css loader module for webpack
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-css-select
Description-md5: 3572db8f91245d326d93c2816a6ff197
Description-en: CSS selector compiler/engine
 Node.js module that turns CSS selectors into functions that test
 if DOM elements match them. Provides an efficient and complete
 implementation of CSS3 and a partial implementation of jQuery/Sizzle
 extensions.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-css-selector-tokenizer
Description-md5: 92da02f376de5d182c627adc2c3eb98c
Description-en: Parses and stringifies CSS selectors
 This library is a dependency of css-loader webpack plugin. Webpack takes code
 targeted at node.js and makes it run in the browser. Node.js comes with API of
 its own that is not available in the browsers. Webpack exposes this code to
 programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-css-what
Description-md5: 7887ab7768ec3560e0f768a8e998754e
Description-en: CSS selector parser
 This module contains a CSS selector parser.
 .
 The function returns a two-dimensional array. The first array represents
 selectors separated by commas (eg. sub1, sub2), the second contains the
 relevant tokens for that selector.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cssom
Description-md5: 67c7612e96e9b8aa320a5e048da602d9
Description-en: CSS parser written in pure JavaScript - NodeJS module
 CSSOM.js is a CSS parser written in pure JavaScript. It is also a partial
 implementation of the CSS Object Model.
 .
 This package contains the NodeJS module.

Package: node-cssstyle
Description-md5: b6efb477f1b9a932bb1a747909a5284b
Description-en: CSSStyleDeclaration Object Model implementation
 CSSStyleDeclaration is a work-a-like to the CSSStyleDeclaration
 class in Nikita Vasilyev's CSSOM.

Package: node-csv-spectrum
Description-md5: a441e93a1cafe75eea3903e5460118c2
Description-en: Test for CSV parsing libraries
 The goal of this package is to capture test cases to represent
 the entire CSV spectrum.
 .
 A bunch of different CSV files to serve as an acid test for
 CSV parsing libraries. There are also JSON versions of the CSVs
 for verification purposes.
 .
 It is also a node module that you can require() in your tests.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-currently-unhandled
Description-md5: 17b6fc96caf8d629897f5cc41c607aa9
Description-en: track the list of currently unhandled promise rejections
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-cyclist
Description-md5: 68b1ad8985a28dc95f6e3b0a3e71e576
Description-en: efficient cyclic list implementation for Javascript
 cyclist creates a list of fixed size that is cyclic. In a cyclist list
 the element following the last one is the first one.
 .
 This is a dependency for npm, Node.js package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d
Description-md5: d68a32e367ee5e0383fb3e7e661513c0
Description-en: Property descriptor factory
 Originally derived from es5-ext package. Defining properties with descriptors
 is very verbose, this module makes it short.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3
Description-md5: deb5234c8c1fe7410c6c4ea23fe29d93
Description-en: Data-Driven Documents to visualize data
 Library for visualizing data using web standards
 using SVG, Canvas and HTML.
 .
 Combines visualization techniques with
 data-driven approach to DOM manipulation.
 .
 Gives users capabilities of modern browsers
 to design visual interface for data.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-array
Description-md5: d5789697e0dbd9caef7b4aa5ed921f6f
Description-en: Array manipulation, ordering, searching, summarizing, etc
 Data in JavaScript is often represented by an array, and so one tends to
 manipulate arrays when visualizing or analyzing data. Some common forms of
 manipulation include taking a contiguous slice (subset) of an array, filtering
 an array using a predicate function, and mapping an array to a parallel set of
 values using a transform function.
 .
 Before looking at the set of utilities that this module provides, familiarize
 yourself with the powerful array methods built-in to JavaScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-axis
Description-md5: 1522440ebcfe4184e9d9bf8bb1b03b46
Description-en: Displays automatic reference lines for scales
 The axis component renders human-readable reference marks for scales. This
 alleviates one of the more tedious tasks in visualizing data.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-brush
Description-md5: 31f1e4c46f45adc0a80f0b5369d3f2f3
Description-en: Select a one- or two-dimensional region using the mouse or touch
 Brushing is the interactive specification a one- or two-dimensional selected
 region using a pointing gesture, such as by clicking and dragging the mouse.
 .
 The d3-brush module implements brushing for mouse and touch events using SVG.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-chord
Description-md5: fcd791df59929528c242a687953a08fc
Description-en: Visualize relationships or network flow
 This module can be used to visualize relationships or network flow with an
 aesthetically-pleasing circular layout.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-collection
Description-md5: 709bf53286c9a340d5164dcce43e3fca
Description-en: Handy data structures for elements keyed by string
 A common data type in JavaScript is the *associative array*, or more simply
 the *object*, which has a set of named properties. The standard mechanism for
 iterating over the keys (or property names) in an associative array is the
 for…in loop. However, note that the iteration order is undefined.
 .
 D3 provides several methods for converting associative arrays to standard
 arrays with numeric indexes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-color
Description-md5: 9cb027a695c53b69be08ea48e62f8dd9
Description-en: Color spaces! RGB, HSL, Cubehelix, Lab and HCL (Lch)
 Even though your browser understands a lot about colors, it doesn’t offer much
 help in manipulating colors through JavaScript. The d3-color module therefore
 provides representations for various color spaces, allowing specification,
 conversion and manipulation. Also see d3-interpolate for color interpolation.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-contour
Description-md5: 7a3cacf28cc0d039d0cb7c98597aa29e
Description-en: Computes contour polygons by applying marching
 squares to a rectangular array.
 .
 Computes contour polygons by applying marching squares to a
 rectangular array of numeric values.
 .
 Contour polygons are GeoJSON, you can transform and display
 them using standard tools.
 .
 Contour plots can also visualize continuous functions by sampling.
 .
 Contours can also show the estimated density of point clouds,
 which is especially useful to avoid overplotting in large
 datasets. This library implements fast two-dimensional kernel
 density estimation.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-dispatch
Description-md5: 8a512741c85b630c4c28bcc944ff3b35
Description-en: Register named callbacks and call them with arguments
 Dispatching is a convenient mechanism for separating concerns with
 loosely-coupled code: register named callbacks and then call them with
 arbitrary arguments. A variety of D3 components, such as d3-request, use this
 mechanism to emit events to listeners. Think of this like Node’s EventEmitter,
 except every listener has a well-defined name so it’s easy to remove or
 replace them.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-drag
Description-md5: 4ddca5411e1af8d8246bdd5667e71363
Description-en: Drag and drop SVG, HTML or Canvas using mouse or touch input
 Drag-and-drop is a popular and easy-to-learn pointing gesture: move the
 pointer to an object, press and hold to grab it, “drag” the object to a new
 location, and release to “drop”.
 .
 D3’s drag behavior provides a convenient but
 flexible abstraction for enabling drag-and-drop interaction on selections. For
 example, you can use d3-drag to facilitate interaction with a force-directed
 graph, or a simulation of colliding circles.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-dsv
Description-md5: 900563f1ed0fa5c7811fdb34345d8fab
Description-en: parser and formatter for delimiter-separated values, such as CSV
 This module provides a parser and formatter for delimiter-separated values,
 most commonly comma-separated values (CSV) or tab-separated values (TSV).
 .
 These tabular formats are popular with spreadsheet programs such as Microsoft
 Excel, and are often more space-efficient than JSON.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-ease
Description-md5: 575b23a2934fd0160881f2a2ee176e2e
Description-en: Easing functions for smooth animation
 Easing is a method of distorting time to control apparent motion in animation.
 It is most commonly used for slow-in, slow-out. By easing time, animated
 transitions are smoother and exhibit more plausible motion.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-fetch
Description-md5: 9e95625514203c55b61cd84df0d6f2ee
Description-en: Convenient parsing for Fetch
 This module provides convenient parsing on top of Fetch. This module replaced
 d3-request.
 .
 The fetch standard defines requests, responses and the process that binds them:
 fetching.
 .
 This module has built-in support for parsing JSON, CSV and TSV. You can
 parse additional formats by using text directly.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-force
Description-md5: ebc1d8683c0e47c6e97a7c4c6e3e6c98
Description-en: Force-directed graph layout using velocity Verlet integration
 This module implements a velocity Verlet numerical integrator for simulating
 physical forces on particles.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-format
Description-md5: 395d7dcbd3c1e1f49d01de92f75984cb
Description-en: Formatting numbers for human consumption - NodeJS module
 Sometimes JavaScript doesn’t display numbers the way you expect. For example,
 printing tenths with a naive simple loop might give you 0, 0.1, 0.2,
 0.30000000000000004, 0.4, 0.5, 0.6000000000000001, 0.7000000000000001, 0.8,
 0.9 - welcome to binary floating point!
 .
 Yet rounding error is not the only reason to customize number formatting. A
 table of numbers should be formatted consistently for comparison; above, 0.0
 would be better than 0. Large numbers should have grouped digits (e.g.,
 42,000) or be in scientific or metric notation (4.2e+4, 42k). Currencies
 should have fixed precision ($3.50). Reported numerical results should be
 rounded to significant digits (4021 becomes 4000). Number formats should
 appropriate to the reader’s locale (42.000,00 or 42,000.00). The list goes on.
 .
 Formatting numbers for human consumption is the purpose of d3-format, which is
 modeled after Python 3’s format specification mini-language (PEP 3101).
 .
 This package contains the NodeJS package.

Package: node-d3-geo
Description-md5: 7ada2b5a00169586bdbe7a81c65b4097
Description-en: Shapes and calculators for spherical coordinates
 The edges of a spherical polygon are geodesics (segments of great circles),
 not straight lines. Projected to the plane, geodesics are curves in all map
 projections except gnomonic, and thus accurate projection requires
 interpolation along each arc.
 .
 D3 uses adaptive sampling inspired by a popular line simplification method to
 balance accuracy and performance.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-hierarchy
Description-md5: fb809e5ee57ae4111f2d6a4a43858e42
Description-en: Layout algorithms for visualizing hierarchical data
 Many datasets are intrinsically hierarchical. Consider geographic entities,
 such as census blocks, census tracts, counties and states; the command
 structure of businesses and governments; file systems and software packages.
 .
 This module implements several popular techniques for visualizing hierarchical
 data.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-interpolate
Description-md5: 5fa5bc17c39db2c918dfe3bafec3a2b8
Description-en: Interpolate numbers, colors, strings, arrays, objects, whatever!
 This module provides a variety of interpolation methods for blending between
 two values. Values may be numbers, colors, strings, arrays, or even
 deeply-nested objects.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-path
Description-md5: f509f8a4d71e67989dfc8e9eebbc72b0
Description-en: Serialize Canvas path commands to SVG
 Say you have some code that draws to a 2D canvas, the d3-path module lets you
 take this exact code and additionally render to SVG. It works by serializing
 CanvasPathMethods calls to SVG path data.
 .
 Now code you write once can be used with both Canvas (for performance) and SVG
 (for convenience). For a practical example, see d3-shape.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-polygon
Description-md5: 1c8038ef2a3570dd01d7cc677240bc54
Description-en: Operations for two-dimensional polygons
 This module provides a few basic geometric operations for two-dimensional
 polygons. Each polygon is represented as an array of two-element arrays
 [[x1, y1], [x2, y2], …], and may either be closed (wherein the first and last
 point are the same) or open (wherein they are not).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-quadtree
Description-md5: 2c6895625bb6b26560c9b2ec715eb665
Description-en: Two-dimensional recursive spatial subdivision
 A quadtree recursively partitions two-dimensional space into squares, dividing
 each square into four equally-sized squares. Each distinct point exists in a
 unique leaf node; coincident points are represented by a linked list.
 .
 Quadtrees can accelerate various spatial operations, such as the Barnes–Hut
 approximation for computing many-body forces, collision detection, and
 searching for nearby points.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-queue
Description-md5: c6f68bc66d484716cb2ad747d874fdaa
Description-en: Evaluate asynchronous tasks with configurable concurrency
 A queue evaluates zero or more deferred asynchronous tasks with configurable
 concurrency: you control how many tasks run at the same time. When all the
 tasks complete, or an error occurs, the queue passes the results to your await
 callback.
 .
 This library is similar to Async.js’s parallel (when *concurrency* is infinite)
 , series (when *concurrency* is 1), and queue, but features a much smaller
 footprint: as of release 2, d3-queue is about 700 bytes gzipped, compared to
 4,300 for Async.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-random
Description-md5: 3957e0988a7a20ecd1ab17828a331978
Description-en: Generate random numbers from various distributions
 This module provides an easy to use API over default Math.random().
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-request
Description-md5: 2eff9fc388a832862c2fdf0b3d4e9771
Description-en: convenient alternative to XMLHttpRequest
 This module has built-in support for parsing JSON, CSV and TSV; in browsers,
 but not in Node, HTML and XML are also supported. You can parse additional
 formats by using request or text directly.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-scale
Description-md5: 6d45ea00f08959e260c774175142fd2f
Description-en: Encodings that map abstract data to visual representation
 Scales are a convenient abstraction for a fundamental task in visualization:
 mapping a dimension of abstract data to a visual representation.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-scale-chromatic
Description-md5: a57130aaa59ea1b33831cfd295e221a4
Description-en: Categorical color schemes designed to work with d3
 Provides sequential, diverging and categorical color schemes
 designed to work with d3-scale’s d3.scaleOrdinal and d3.scaleSequential
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-selection
Description-md5: 4413fe0ce96d582f65eb0b130c1b0ffd
Description-en: select elements and join them to data
 Selections allow powerful data-driven transformation of the document object
 model (DOM): set attributes, styles, properties, HTML or text content, and
 more. Using the data join’s enter and exit selections, you can also add or
 remove elements to correspond to data.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-shape
Description-md5: 752cd2ae8cbd836b02aa5d2222cac7a9
Description-en: Graphical primitives for visualization, such as lines and areas
 Visualizations typically consist of discrete graphical marks, such as symbols,
 arcs, lines and areas. While the rectangles of a bar chart may be easy enough
 to generate directly using SVG or Canvas, other shapes are complex, such as
 rounded annular sectors and centripetal Catmull–Rom splines.
 .
 This module provides a variety of shape generators for your convenience.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-time
Description-md5: 6ae7f2552a711eacb09fbfe5857c3621
Description-en: calculator for humanity’s peculiar conventions of time
 The d3-time module does not implement its own calendaring system; it merely
 implements a convenient API for calendar math on top of ECMAScript Date. This
 module is used by D3’s time scales to generate sensible ticks, by D3’s time
 format, and can also be used directly to do things like calendar layouts.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-time-format
Description-md5: f65dddd9b9d92d09244d8236e0c16c3f
Description-en: time formatter and parser inspired by strftime and strptime
 This module provides a JavaScript implementation of the venerable strptime and
 strftime functions from the C standard library, and can be used to parse or
 format dates in a variety of locale-specific representations.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-timer
Description-md5: 4693876225a65df8e2425b8131baafeb
Description-en: efficient queue to manage thousands of concurrent animations
 This module provides an efficient queue capable of managing thousands of
 concurrent animations, while guaranteeing consistent, synchronized timing with
 concurrent or staged animations. Internally, it uses requestAnimationFrame for
 fluid animation (if available), switching to setTimeout for delays longer than
 24ms.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-transition
Description-md5: db67fc8aebab5ef72479ff114db2003f
Description-en: Animated transitions for D3 selections
 A transition is a selection-like interface for animating changes to the DOM.
 Instead of applying changes instantaneously, transitions smoothly interpolate
 the DOM from its current state to the desired target state over a given
 duration.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-voronoi
Description-md5: 779e94e970eb79f8d65a453c3c0e3a55
Description-en: Compute the Voronoi diagram of a set of two-dimensional points
 This module implements Steven J. Fortune’s algorithm for computing the Voronoi
 diagram or Delaunay triangulation of a set of two-dimensional points. This
 implementation is largely based on work by Raymond Hill.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-d3-zoom
Description-md5: 47316f0947f04f6e554e88d401d5e7b1
Description-en: Pan and zoom SVG, HTML or Canvas using mouse or touch input
 Panning and zooming are popular interaction techniques which let the user
 focus on a region of interest by restricting the view.
 .
 The zoom behavior implemented by d3-zoom is a convenient but flexible
 abstraction for enabling pan-and-zoom on selections. It handles a surprising
 variety of input events and browser quirks. The zoom behavior is agnostic
 about the DOM, so you can use it with SVG, HTML or Canvas.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-daemon
Description-md5: 062a9de7cb823719caccd606de43df86
Description-en: Turn a node script into a daemon
 Daemon actually re-spawns the current application and runs it again. The only
 difference between the original and the fork is that the original will not
 execute past the daemon() call whereas the fork will.

Package: node-dagre-d3-renderer
Description-md5: a69160d4920371aa539a8902c727ebad
Description-en: D3-based renderer for Dagre
 This library is an out-of-box replacement for dagre-d3 and it is based on the
 original dagre-d3 project.
 .
 Dagre is a JavaScript library that makes it easy to lay out directed graphs on
 the client-side. The dagre-d3 library acts as a front-end to dagre, providing
 actual rendering using D3.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dagre-layout
Description-md5: 3a5e7ee5f5372c0714759b787214d691
Description-en: Graph layout for JavaScript
 This library is an out-of-box replacement for dagre and it is based on
 original dagre.
 .
 Dagre is a JavaScript library that makes it easy to lay out directed graphs on
 the client-side.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dargs
Description-md5: d748b33c5ca8a0c3b879b7f72b487825
Description-en: Convert options into an array of arguments
 Node-dargs can be considered a reverse of minimist. It converts an object
 of options into an array of command-line arguments. This is useful when
 spawning command-line tools.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dashdash
Description-md5: bf3512d9334bdde2def436bb0b79a5e8
Description-en: light, featureful and explicit option parsing library
 The author of the library gives this rationale: the other option parsing
 libraries are one of too loosey goosey (not explicit), too big/too many deps,
 or ill specified.
 .
 This library is a dependency for sshpk, a library to parse, convert,
 fingerprint and use SSH keys (both public and private) in pure nodejs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-databank
Description-md5: 6efc21ede77e241b619a59a1c68e3bce
Description-en: Node.js JSON storage abstraction layer
 This package is an abstraction tool for document stores or key-value
 stores in Node.js.  Applications can use one interface for developing
 persistence code, and then at deployment time you can decide what driver
 to use.
 .
 There are three drivers included in this package: 'memory', 'partitioning',
 and 'caching'.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-date-now
Description-md5: a2bef18e9ee62b1d0a74b651864b0bcd
Description-en: requirable version of Date.now()
 Use-case is to be able to mock out Date.now() using require interception.
 For example
 var now = require("date-now")
 var ts = now()
 var ts2 = Date.now()
 assert.equal(ts, ts2)
 Example of seed:
 var now = require("date-now/seed")(timeStampFromServer)
 // ts is in "sync" with the seed value from the server
 // useful if your users have their local time being a few minutes
 // out of your server time.
 var ts = now()
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-date-time
Description-md5: 73b844c4e1acf76436df5bb63e2e1e3d
Description-en: Pretty datetime like 2014-01-09 06:46:01
 This module can print date and time in an easy to understand format. This also
 support time zones.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dateformat
Description-md5: 6812321c626f2ab827c0469d39bee205
Description-en: JavaScript Date Format - Node.js module
 Although JavaScript provides a bunch of methods for getting and setting
 parts of a date object, it lacks a simple way to format dates and times
 according to a user-specified mask. This module try to fill that gap.
 .
 This package contains dateformat usable with Node.

Package: node-de-indent
Description-md5: 8d91fb02ac52df75f3cb76dd66b347a2
Description-en: Node.js library to remove extra indent from a block of code
 This module is a dependency of vue-template-compiler.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-death
Description-md5: e5b3c1d8fa924b00f4fb07cf86e0efce
Description-en: Gracefully cleanup on termination
 Node.js module to centralize the clean-up code and exit
 gracefully when exceptions are uncaught or on receiving SIGINT
 and SIGTERM signals.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-debbundle-acorn
Description-md5: f026a9cb93b6cac7784f76876025036f
Description-en: ECMAScript parser for Node.js
 Acorn is a tiny, fast JavaScript parser written in JavaScript.
 .
 acorn.js defines the main parser interface. The library also comes with a
 error-tolerant parser and an abstract syntax tree walker, defined in other
 files.
 .
 This package contains the acorn module for Node.js.
 .
 This package contains also small pluggins packages acorn-bigint,
 acorn-dynamic-import, acorn-jsx, acorn-node, acorn-private-class-elements,
 acorn-class-fields, acorn-static-class-features, acorn-export-ns-from,
 acorn-numeric-separator, acorn-private-methods.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-debbundle-es-to-primitive
Description-md5: 437ede447ab81ca845ccff7821e354f4
Description-en: Pure javascript implementation of ToPrimitive algorithm
 This package provides a ponyfill for ToPrimitive algorithm, thus
 converting of JavaScript object to a primitive value. In JavaScript
 a primitive is data that is not an object and has no method. There
 are seven primitive data type: string, number, bigint, boolean, null,
 undefined and symbol.
 .
 This bundle package includes also a few small packages
   - node-is-callable test whether an object is callable.
   - node-make-generator-function : returns an arbitrary generator function,
     or undefined if generator syntax is unsupported.
   - node-make-arrow-function: returns an arbitrary arrow function,
     or undefined if arrow function syntax is unsupported.
   - node-is-date-object: test whether an object is a date.
   - node-has-symbol: determine if the JS environment has Symbol support.
     support specs or shams.
   - node-is-symbol: test whether a value is a JavaScript symbol.
   - node-object-is: shim for Object.is
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-debbundle-insert-module-globals
Description-md5: db7712003d8c5cc3152519f039916ab0
Description-en: browserify transform for inserting global list of modules
 This package is part of the browserify tools, and allows one to
 pack the list of module in a JavaScript file. This tools
 analyses the syntax of the JavaScript file and replace the require
 function by a closure, thus allowing direct embedding of the
 required files.
 .
 This modules is part of Browserify a JavaScript tool that allows developers
 to write Node.js-style modules that compile for use in the browser.
 .
 This package also includes a few small packages:
  - node-dash-ast: a small and fast abstract tree (AST) walker.
  - node-get-assigned-identifiers: get a list of initialised
  javascript identifiers from an AST.
  - node-undeclared-identifier: get a list of undeclared
  identifiers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-debug
Description-md5: 7c763db2d0c9161753e24f880cfff2f6
Description-en: small debugging utility for Node.js
 node-debug provides a small debugging utility for Node.js modules.
 .
 With this module you simply invoke the exported function to generate
 your debug function, passing it a name which will determine if a
 noop function is returned, or a decorated console.error, so all of the
 console format string goodies you're used to work fine.
 A unique color is selected per-function for visibility.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-debug-fabulous
Description-md5: 38e3df858bd81f64fce702c7b0791e5c
Description-en: visionmedia debug extensions rolled into one
 Wrapper / Extension around visionmedia's debug to allow lazy evaluation of
 debugging via closure handling.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-decamelize
Description-md5: 3567b260cd40db0b82a7e65524413043
Description-en: convert a camelized string into a lowercased one with a custom separator
 This module converts a camelized string into a lowercased one with a
 custom separator. For example: unicornRainbow → unicorn_rainbow
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-decompress-response
Description-md5: 09d82ec3f5ec2d1972dc384f9f4ea581
Description-en: Decompress a HTTP response if needed
 Decompresses the response from http.request if it's gzipped or deflated,
 otherwise just passes it through.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-deep-eql
Description-md5: b4ee9339bcd3599abeed41c7f718181d
Description-en: Improved deep equality testing for Node.js and the browser
 Deep Eql is a module which you can use to determine if two
 objects are "deeply" equal - that is, rather than having
 referential equality (a === b), this module checks an
 object's keys recursively, until it finds primitives to
 check for referential equality. For more on equality in JavaScript,
 read the comparison operators article on mdn.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-deep-equal
Description-md5: 6d80f8d9b1cd6f38ef75da40ed469ee1
Description-en: node's assert.deepEqual algorithm
 Node's assert.deepEqual() algorithm as a standalone module.
 .
 This module is around 5 times faster than wrapping assert.deepEqual()
 in a try/catch.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-deep-extend
Description-md5: e3d3d30cfc02654621a98de5567b0883
Description-en: Recursive object extending
 This module does a recursive object extending.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-deep-for-each
Description-md5: e3f969f86a5eb1deb131b25329d3911b
Description-en: Recursively iterates collection values (arrays and objects)
 The iteration is made using a deep-first algorithm.
 .
 Depth-first search (DFS) is an algorithm for traversing or searching tree or
 graph data structures. One starts at the root (selecting some arbitrary node
 as the root in the case of a graph) and explores as far as possible along each
 branch before backtracking.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-deep-is
Description-md5: 01dcd45f1418798fb9fbea0ea21f1779
Description-en: node's assert.deepEqual algorithm except for NaN being equal to NaN
 Node's `assert.deepEqual() algorithm` as a standalone module. Exactly like
 deep-equal except for the fact that `deepEqual(NaN, NaN) === true`.
 .
 This module is around 5 times faster than wrapping `assert.deepEqual()` in a
 `try/catch`.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-defaults
Description-md5: 9ef4576d309bff46f9c6cf8609c93096
Description-en: merge single level defaults over a config object
 A simple one level options merge utility. This module exports
 a function that takes 2 arguments: options and defaults.
 When called, it overrides all of undefined properties in
 options with the clones of properties defined in defaults.
 Sidecases: if called with a falsy options value, options will
 be initialized to a new object before being merged onto.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-define-properties
Description-md5: 75024a9e33881cd9a3bc42dc79a79ff7
Description-en: Define multiple non-enumerable properties at once
 Uses Object.defineProperty when available; falls back to standard assignment
 in older engines.
 .
 Existing properties are not overridden. Accepts a map of property names to a
 predicate that, when true, force-overrides.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-define-property
Description-md5: 84c995ea9ef76f9d693f0da5342a7a03
Description-en: Define property on an object
 Define a non-enumerable property on an object.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-defined
Description-md5: b4200f8f2e989c1354c3c1cb3677e663
Description-en: return the first argument that is `!== undefined`
 Most of the time when you chain together ||s, you actually just want the
 first item that is not undefined, not the first non-falsy item.
 .
 This module is like the defined-or (//) operator in perl 5.10+.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-deflate-js
Description-md5: 4020cc2d539c382d1ec33b85aff67614
Description-en: DEFLATE implemented in JavaScript (works in browser and Node)
 This module does deflate compression/decompression in the browser and node.
 .
 This module is not meant to be run on node for any production code. The native
 version of deflate should be used instead because it is much faster.  The main
 reason for this being node-compatible is for testing purposes.
 .
 Currently deflate does not pass all tests, but inflate does. This should not
 be used for compressing data yet in production.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-del
Description-md5: 64510aefa07d68934532d7804df72236
Description-en: Delete files and folders
 This module is similar to rimraf, but with a Promise API and support for
 multiple files and globbing. It also protects you against deleting the current
 working directory and above.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-delayed-stream
Description-md5: 80f7c9ee2df805bd50bda88fb2dd3623
Description-en: Buffer stream events for later handling - module for Node.js
 node-delayed-stream can delay stream responses, and can be used
 to combine streams one after another.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-delegates
Description-md5: 77deac6eff76636c6afbf3d12c694473
Description-en: delegate methods and accessors to another property
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-delve
Description-md5: ca683045f856a0053af0303740fd573b
Description-en: delve recursively into a value to retrieve a property
 This node module delves recursively into a value to retrieve a property
 and does not give an error.
 .
 For anybody using the fantastic lodash library, you can find similar
 functionality to delve with the get function.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-depd
Description-md5: 64be03982d38eeb4ffc02d33a47d97a4
Description-en: mark a function or property as deprecated - Node.js module
 This module goes above and beyond with deprecation warnings by introspecting
 the call stack (but only the bits that it is interested in).
 .
 Instead of just warning on the first invocation of a deprecated function and
 never again, this module will warn on the first invocation of a deprecated
 function per unique call site, making it ideal to alert users of all
 deprecated uses across the code base, rather than just whatever happens to
 execute first.
 .
 The deprecation warnings from this module also include the file and line
 information for the call into the module that the deprecated function was in.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-deprecated
Description-md5: fc3732d39741729d7b10706395868b1d
Description-en: Tool for deprecating things
 deprecated is a nodejs module for deprecating things.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-deps-sort
Description-md5: f5e4d1125c580cc00d61df6b3dedb600
Description-en: sort module depends for deterministic browserify bundles
 This modules sort the Node.js modules depends list in a deterministic order
 allowing ones to create deterministic browserified programs.
 .
 Browserify is a JavaScript tool that allows developers to write
 Node.js-style modules that compile for use in the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dequeue
Description-md5: 312a07640dbe90eb05e6ad41f1facc95
Description-en: Simple Double Ended Queue Datastructure for Node.js
 Dequeue is implemented as a doubly linked circular list with a titular
 head node--an empty node to designate the beginning and the end of the
 circularly linked list.
 .
 It is a drop-in replacement for javascript-arrays-as-fifo.

Package: node-des.js
Description-md5: fe1193aa252f5fa2d358a14d9517aa45
Description-en: DES implementation in pure javascript
 This modules provides implementation of DES encryption algorithm
 in javascript.
 .
 The Data Encryption Standard (DES) is a symmetric-key algorithm for
 the encryption of electronic data. Although now considered insecure,
 it is implemented in standard cryptographic library implemented in
 Node.js.
 .
 This pure javascript module is needed for browserify compatibility
 layer of Node.js API.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-detect-file
Description-md5: cc3d50ddf7e8803babb3b1cc694bde41
Description-en: Detect if a filepath exists and resolves the full filepath
 Node.js module to detect if a file exists and return the resolved filepath.
 .
 Uses a breadth-first search, when necessary, to resolve the file path using
 the correct casing, and verify that the file actually exists.
 Returns consistent results regardless of case sensitivity of the file path
 and/or operating system.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-detect-indent
Description-md5: afd2e9d2a04e9249afb5478e517a14d7
Description-en: Detect the indentation of code
 Node.js module to get the amount and type of indentation (tab, space
 or null) of a string of any kind of text.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-detect-newline
Description-md5: 6952c37c2bd9f67e626a5141f20b4a6f
Description-en: Detect the dominant newline character of a string
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-detective
Description-md5: d151d512dc0e06defa784156abc191d4
Description-en: find all require() calls by walking the AST
 This modules allows one to determine modules depends by
 walking AST tree and detecting require() call.
 .
 In computer science, an abstract syntax tree (AST),
 or just syntax tree, is a tree representation of the abstract
 syntactic structure of source code
 .
 This a dependency of Browserify is a JavaScript tool that allows
 developers to write Node.js-style modules that compile for use in
 the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-diacritics
Description-md5: 4b0423c03314551e911d1c51468018c8
Description-en: remove diacritics from strings - Node.js module
 diacritics is a Node.js module to remove diacritics from strings. It is
 useful when implementing some kind of search or filter functionality.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-diff
Description-md5: 5acc0e2b92fe10d5c5dafd556b6ec326
Description-en: javascript text differencing implementation
 Node is an event-based server-side JavaScript engine.
 .
 jsdiff is a javascript text differencing implementation
 based on the algorithm proposed
 in "An O(ND) Difference Algorithm and its Variations"
 (Myers, 1986):
 <http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.4.6927>.

Package: node-diffie-hellman
Description-md5: 5717f4aebb8c08024e59530fe2e670b9
Description-en: pure javascript diffie-hellman key exchange
 Diffie–Hellman key exchange (DH) is a specific method of securely
 exchanging cryptographic keys over a public channel. The
 Diffie–Hellman key exchange method allows two parties that have no
 prior knowledge of each other to jointly establish a shared secret key
 over an insecure channel. This key can then be used to encrypt
 subsequent communications using a symmetric key cipher.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-difflet
Description-md5: d99d7fd73ee047a2566a718da60e3680
Description-en: colorful diffs for javascript objects
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dirty
Description-md5: 592716f2e951446c3fe5fac4de3c0eee
Description-en: tiny and fast key-value store for Node
 Node is an event-based server-side JavaScript engine.
 .
 Dirty.js is a tiny & fast key value store with append-only disk log.
 It is ideal for apps with less than 1 million records.
 Its characteristics are:
  - the file format is newline separated JSON;
  - the database lives in the same process as the application, they
    share memory;
  - there is no query language, you just forEach through all records.

Package: node-doctrine
Description-md5: e09be849fd9e8da62c1160a4dd9cfec1
Description-en: JSDoc parser
 Doctrine is a JSDoc parser, that parses documentation comments from JavaScript
 (you need to pass in the comment, not a complete source file)
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dom-serializer
Description-md5: c28cc8fedeab77a3b893c9dc97094613
Description-en: render htmlparser2's DOM nodes to string
 Node.js module that renders to a tring a DOM (Document Object
 Model) created with the node-domhandler module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-domain-browser
Description-md5: 91ea36c08abb7d38760bf523e5db26c3
Description-en: Node's domain module for the web browser
 This is merely an evented try...catch with the same API as node, nothing more.
 .
 This library is a dependency for browserify and webpack. Browserify/Webpack
 takes code targeted at node.js and makes it run in the browser. Node.js comes
 with API of its own that is not available in the browsers. Browserify/Webpack
 exposes this code to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-domelementtype
Description-md5: d9843369e248da2a3770a4f60ba0b1ab
Description-en: all the types of nodes in htmlparser2's dom
 Types of elements found in the DOM.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-domhandler
Description-md5: 061025a6fa05d4a127ea53e5ca162945
Description-en: htmlparser2 handler that turns pages into a dom
 Node.js module that creates a DOM (Document Object Model), i.e. a
 tree data structure containing all nodes of a page that can be
 manipulated using the node-domutils library.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-domino
Description-md5: f17bdfbae8e8b6d6bf9e8b7f1b088e29
Description-en: server-side DOM implementation based on Mozilla's dom.js
 Domino provides a fast but insecure DOM in Node.js.
 .
 The Document Object Model (DOM)
 is a cross-platform and language-independent
 application programming interface
 that treats an HTML, XHTML, or XML document as a tree structure
 wherein each node is an object representing a part of the document.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-domutils
Description-md5: e9bfa1f5ce3b50f8942f08bb7fd9fc26
Description-en: utilities for working with htmlparser2's DOM
 Node.js module that provides utilities (stringify, traversal,
 manipulation and querying) for working with a DOM (Document Object
 Model) created with the node-domhandler module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dot
Description-md5: b19b13c57a93de884a69d9d6a5e3c02d
Description-en: Concise and fast javascript templating
 This library provides concise and fast javascript templating compatible with
 nodejs and other javascript environments.
 .
 This library is a build dependency of ajv, a JSON Schema Validator.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dot-prop
Description-md5: 1238412c5b4ec81bbaa519f93ee94136
Description-en: updates a property from a nested object
 Get, set, or delete a property from a nested object using a dot path.
 This package is a dependency of npm, Node.js package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dottie
Description-md5: be2609a900932ac18fa85dd144a56710
Description-en: Fast and safe nested object access and manipulation in JavaScript
 Dottie helps easily (and without sacrificing too much performance) look up and
 play with nested keys in objects.

Package: node-dryice
Description-md5: b51bac00ea0288ba2059312a967915e2
Description-en: CommonJS/RequireJS packaging tool for browser scripts
 node-dryice concatenates sources, applying optional filters, to a
 given destination.
 It is customizable and has built-in support for CommonJS/RequireJS
 projects, with automatic processing of required modules and
 dependencies.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-dtrace-provider
Description-md5: be03de2fef022657fc894f7d43dbc4d9
Description-en: Native DTrace providers for Node.js apps
 This extension allows you to create native DTrace providers for your
 Node.js applications.
 .
 Node.js is an event-based server-side JavaScript engine.
 .
 Its a dependency of  node-ldapjs

Package: node-duplexer
Description-md5: 93251b203d53e9865c1d9c525577b1ee
Description-en: Creates a duplex stream
 This modules takes a writable stream and a readable stream and makes them
 appear as a readable writable stream. It is assumed that the two streams are
 connected to each other in some way.
 .
 node-duplex2 and node-duplex3 are similar but has different APIs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-duplexer3
Description-md5: ebb88eb72cdf0aab69e66fa54a4a0f99
Description-en: Like duplexer but using streams3
 This is a reimplementation of duplexer using the Streams3 API
 which is standard in Node as of v4. Everything largely works the same
 Duplexer takes a writable stream and a readable stream and makes them
 appear as a readable writable stream.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-duplexify
Description-md5: 727854fb444e407eaf6d76e546cc2894
Description-en: Turn a writable and readable stream into a streams2 duplex stream
 Similar to duplexer2 except it supports both streams2 and streams1 as input
 and it allows you to set the readable and writable part asynchronously using
 setReadable(stream) and setWritable(stream).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ebnf-parser
Description-md5: bf357e54dd7b8a480981c68c213e7f0a
Description-en: parser for BNF and EBNF grammars used by jison
 The parser translates a string grammar or JSON grammar into a JSON grammar
 that jison can use (ENBF is transformed into BNF).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ecc-jsbn
Description-md5: 618408b393fecafd2bc4efdb42c16367
Description-en: ECC JS code based on JSBN
 Elliptic Curve Cryptography package based on jsbn from Tom Wu.
 This is a subset of the same interface as the node compiled module ecc,
 but works in the browser too. It also uses point compression now.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-editor
Description-md5: be3c866538b815553e286e73659f4ce4
Description-en: Launch $EDITOR in your program
 Launch the $EDITOR (or opts.editor) for file. When the editor exits,
 cb(code, sig) fires.
 .
 This library is a dependency of npm, Node.js package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ejs
Description-md5: 802f0e83733dbc6ab86d7f130954b564
Description-en: Embedded JavaScript templates
 This module provides embedded JavaScript templates, like
  - Control flow with <% %>
  - Escaped output with <%= %> (escape function configurable)
  - Unescaped raw output with <%- %>
  - Newline-trim mode ('newline slurping') with -%> ending tag
  - Whitespace-trim mode (slurp all whitespace) for control flow with <%_ _%>
  - Custom delimiters (e.g., use <? ?> instead of <% %>)
  - Includes
  - Client-side support
  - Static caching of intermediate JavaScript
  - Static caching of templates
  - Complies with the Express view system
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-electron-to-chromium
Description-md5: c6bfbf32bd1f4ac392d931194000dcb5
Description-en: Provides a list of electron-to-chromium version mappings
 This repository provides a mapping of Electron versions to the Chromium
 version that it uses.
 .
 This package is used in Browserslist, so you can use e.g. electron >= 1.4 in
 Autoprefixer, Stylelint, babel-preset-env and eslint-plugin-compat.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-elliptic
Description-md5: df8843c93cd1ac7cb1c3aef3e3d1a65c
Description-en: fast elliptic curve cryptography in pure javascript
 Fast elliptic-curve cryptography in a plain javascript implementation.
 .
 Incentive for Elliptic: ECC (another library with comparable features) is
 much slower than regular RSA cryptography, the JS implementations are even
 more slower.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-emoji
Description-md5: 33dbddcf3b00c183731404ccb0d3beee
Description-en: simple emoji support for node.js
 Node.js module to encode and decode emoji ideograms and smileys
 from their aliases or colon-sequences.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-emojis-list
Description-md5: e35d347f9e25257943087b3b8233c848
Description-en: complete list of standard emojis
 This module provides a complete list of standard Unicode Hex Character
 Code that represent emojis.
 .
 The representation of the emoji depends of the system. It might be
 possible that the system doesn't have all the representations.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-encodeurl
Description-md5: 567224eda5bbd2323d7b0ec8293fa154
Description-en: Encode URL to a percent-encoded form
 Encode a URL to a percent-encoded form, excluding already-encoded
 sequences
 .
 Encodeurl will take an already-encoded URL and
 encode all the non-URL code points (as UTF-8 byte sequences).
 .
 This encode is meant to be "safe" and does not throw errors.
 It will try as hard as it can to properly encode the given URL,
 including replacing any raw, unpaired surrogate pairs with the
 Unicode replacement character prior to encoding.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-encoding
Description-md5: cd1a7c8b2725651de8d7c5b7d8b21d01
Description-en: Convert encodings, uses iconv by default and fallbacks to iconv-lite if needed
 encoding is a simple wrapper around
 node-iconv (https://github.com/bnoordhuis/node-iconv) and
 iconv-lite (https://github.com/ashtuchkin/iconv-lite/) to convert strings
 from one encoding to another. If node-iconv is not available for some reason,
 iconv-lite will be used instead of it as a fallback.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-end-of-stream
Description-md5: cf862692d72ba0fbb9af871b7ba1143b
Description-en: Invoke a callback when a stream has completed or failed
 end-of-stream is a Node.js module which calls a callback when a readable,
 writable, or duplex stream has completed or failed.
 .
 Pass a stream and a callback to end-of-stream. Both legacy streams and
 streams2 are supported.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-enhanced-resolve
Description-md5: 27fe0f4876e35245b4481da24ce82c92
Description-en: Offers a async require.resolve function
 It's highly configurable.
 .
 Features
  * plugin system
  * provide a custom filesystem
  * sync and async node.js filesystems included
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-entities
Description-md5: 6040140a95fb50e1e2a0765b58bfa496
Description-en: Node.js module to encode and decode XML/HTML entities with ease
 node-entities encodes and decodes three selectable levels
 of entities: XML, HTML4, HTML5.

Package: node-err-code
Description-md5: a6818b94d66537d12fc3e8c17d96363a
Description-en: Create an error with a code
 Create new error instances with a code and additional properties.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-errno
Description-md5: a0427d9520105651e743c35f5dc6c1d4
Description-en: libuv errno details exposed - Node.js module
 errno is a Node.js module which exposes more details of libuv errors.
 .
 When you need more details about Node.js errors, errno provides the mappings
 directly from libuv so you can use them in your code.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-error-ex
Description-md5: 234cbc088968591f81c2bfd057428b6b
Description-en: easy error subclassing and stack customization
 Node.js is an event-based server-side JavaScript engine.

Package: node-errorhandler
Description-md5: 4fc41ebb3aa29c56ebfc7d9c9cfb1169
Description-en: error handler middleware - Node.js
 This package provides a middleware to handle errors and to be used
 in a development environment.
 .
 When an error occurs the full error stack traces is sent back to
 the client for debugging purpose.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-errs
Description-md5: e833cf9b5e0436b50ed83f73b4fab2a9
Description-en: Simple error creation and passing utilities
 Simple error creation and passing utilities focused on:
 .
    Creating Errors
    Reusing Error Types
    Merging with Existing Errors
    Optional Callback Invocation
    Piping Error Events
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es5-ext
Description-md5: cbb6f7549fc0f730b064edc8f0686910
Description-en: ECMAScript extensions and shims
 Shims for upcoming ES6 standard and other goodies implemented strictly with
 ECMAScript conventions in mind.
 .
 It's designed to be used in compliant ECMAScript 5 or ECMAScript 6
 environments. Older environments are not supported, although most of the
 features should work with correct ECMAScript 5 shim on board.
 .
 When used in ECMAScript 6 environment, native implementation (if valid) takes
 precedence over shims.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es5-shim
Description-md5: 6b86db9050963b971c5f2d90b391877e
Description-en: ECMAScript 5 compatibility shims for old JavaScript engines (Node.js)
 The es5-shim library monkey-patches a JavaScript context to contain
 all ECMAScript 5 methods that can be faithfully emulated with a
 legacy JavaScript engine.
 .
 The es5-sham part tries to complete the picture as best as possible, but
 for those methods the result is not as close and mostly intends to avoid
 runtime errors : in many cases it might just silently fail.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es6-error
Description-md5: 0cfc99dd00eabed7d07efd6c0e164cd0
Description-en: Easily-extendable error for use with ES6 classes
 This module allows one to extend Error for inheritance and type checking,
 without adding Error.captureStackTrace(this, this.constructor.name) to the
 constructor or easily get the proper name to print from console.log.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es6-iterator
Description-md5: 29a0b727cf8bd566707f4a7baf361f87
Description-en: ECMAScript 6 Iterator interface
 This module provides iterator abstraction based on ES6 specification.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es6-map
Description-md5: 336253d2c61df59ef850d73cbae79572
Description-en: ECMAScript6 Map polyfill
 Map collection as specified in ECMAScript6
 .
 Warning: 0.1 version does not ensure O(1) algorithm complexity (but O(n)).
 This shortcoming will be addressed in 1.0.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es6-promise
Description-md5: 5d0cdd26b24d2b20b1df2c03c44eae84
Description-en: Lightweight async code library for JavaScript (Node.js module)
 A lightweight library that provides tools for organizing asynchronous code
 in the browser, according to the ECMAScript 6 Promise, but as an ECMAScript 5
 library.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es6-set
Description-md5: b90bb59b888b9bdbe6a63af54ea5ce06
Description-en: ECMAScript6 Set polyfill
 Set collection as specified in ECMAScript6
 .
 Warning: 0.1 version does not ensure O(1) algorithm complexity (but O(n)).
 This shortcoming will be addressed in 1.0.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es6-shim
Description-md5: 841da72bdc389e51b9eb8433ac4e24d6
Description-en: ECMAScript 6 shims for legacy JavaScript engines (Node.js)
 The es6-shim library monkey-patches a JavaScript context to contain all
 ECMAScript 6 (Harmony) methods that can be faithfully emulated with a legacy
 JavaScript engine.
 .
 The es6-sham part tries to complete the picture as best as possible, but
 for those methods the result is not as close and mostly intends to avoid
 runtime errors : in many cases it might just silently fail.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es6-symbol
Description-md5: a503308616199c0b6c2a42e334ab9863
Description-en: ECMAScript 6 Symbol polyfill
 This module provides Symbols in ECMAScript 6 if the native JavaScript
 environment has not implemented it.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-es6-weak-map
Description-md5: 5c5f2aa336acdfe85f7c135c53b18139
Description-en: ECMAScript6 WeakMap polyfill
 WeakMap collection as specified in ECMAScript6. Roughly inspired by Mark
 Miller's and Kris Kowal's WeakMap implementation.
 .
 Differences are:
  - Assumes compliant ES5 environment (no weird ES3 workarounds or hacks)
  - Well modularized CJS style
  - Based on one solution.
 .
 Limitations
  - Will fail on non extensible objects provided as keys
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-escape-html
Description-md5: b9f7feb5507992bfb90e542fb990f2e0
Description-en: simply escape HTML entities
 Contains a simple module to fast escape HTML
 entities.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-escape-string-regexp
Description-md5: b9cd83c034924f3da783a6dbc5543fa8
Description-en: Escape RegExp special characters in Node.js
 escape-string-regexp is a Node.js module to escape special characters in
 regular expression strings.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-escodegen
Description-md5: 3b11646c555274a2576807b5f40f2a08
Description-en: ECMAScript code generator
 This is an ECMAScript (also popularly known as JavaScript) code generator
 from Mozilla's Parser API AST.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-escope
Description-md5: 47d4d3eb8192ed9182723456eae1076b
Description-en: ECMAScript scope analyzer
 Escope is ECMAScript scope analyzer extracted from esmangle project.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-eslint-plugin-eslint-plugin
Description-md5: 5aeed52d6915adcd2cb661572f93505f
Description-en: ESLint plugin for linting ESLint plugins
 This module, eslint-plugin-eslint-plugin, is an ESLint plugin
 for linting ESLint plugins.
 .
 ESLint is a tool for identifying and reporting on patterns
 found in ECMAScript/JavaScript code.

Package: node-eslint-plugin-flowtype
Description-md5: 08315f26d29ca4583c4724a60ffff58f
Description-en: flow type linting rules for ESLint
 Flow uses type inference to find bugs even without type annotations.
 It precisely tracks the types of variables as they flow through your program.
 .
 Flow is designed for JavaScript programmers.
 It understands common JavaScript idioms and very dynamic code.
 .
 Flow incrementally rechecks your changes as you work, preserving the
 fast feedback cycle of developing plain JavaScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-eslint-plugin-html
Description-md5: 16e0040935691b6a79b38cbac684166c
Description-en: lint and fix inline scripts contained in HTML files
 This module is an ESLint plugin to lint and fix inline scripts contained in
 HTML files.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-eslint-plugin-node
Description-md5: d614a3bf2bc30c61219dadd6ac915c8c
Description-en: enforce code conventions for NodeJS with ESLint
 eslint-plugin-node provides a plugin for ESLint
 covering JavaScript code targeted Node.js.
 .
 ESLint is a tool for identifying and reporting on patterns
 found in ECMAScript/JavaScript code.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-eslint-plugin-requirejs
Description-md5: 33e18b43c7f2180575200d438210b8e6
Description-en: enforce code conventions for RequireJS modules with ESLint
 eslint-plugin-requirejs provides a plugin for ESLint
 covering JavaScript code targeted RequireJS.
 .
 ESLint is a tool for identifying and reporting on patterns
 found in ECMAScript/JavaScript code.
 .
 RequireJS is a JavaScript file and module loader.

Package: node-eslint-scope
Description-md5: 45015a871d7135d57c8d8a9162a8a5d0
Description-en: ECMAScript scope analyzer for ESLint
 ESLint Scope is the ECMAScript (a.k.a. JavaScrip) scope analyzer
 used in ESLint.
 .
 It is a fork of escope.

Package: node-eslint-visitor-keys
Description-md5: 2b60b3e79ef8a86f1ae8a9451e3a551f
Description-en: Constants and utilities about visitor keys to traverse AST
 This module, eslint-visitor-keys, provides onstants and utilities
 about visitor keys to traverse AST.
 .
 An abstract syntax tree (AST) is a tree representation
 of the abstract syntactic structure of source code
 written in a programming language.
 Each node of the tree denotes a construct
 occurring in the source code.

Package: node-espree
Description-md5: 5540324043e97f46a6b82612201736c6
Description-en: Esprima-compatible JavaScript parser built on Acorn
 Espree started out as a fork of Esprima v1.2.2, the last stable published
 released of Esprima before work on ECMAScript 6 began. Espree is now built on
 top of Acorn, which has a modular architecture that allows extension of core
 functionality. The goal of Espree is to produce output that is similar to
 Esprima with a similar API so that it can be used in place of Esprima.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-esprima
Description-md5: bdf5b61b0ec6d79f161f85f37ca3feda
Description-en: ECMAScript parsing infrastructure for multipurpose analysis
 Esprima is a high-performance and standard-compliant parser for ECMAScript
 written in ECMAScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-esprima-fb
Description-md5: 2fc12546f95dda3f57ef94fa5f79bd8a
Description-en: Facebook-specific fork of the esprima project
 Esprima-FB is a fork of the Harmony branch of Esprima that implements
 JSX specification on top of ECMAScript syntax.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-esquery
Description-md5: cbd46a9be5fc6993151adf466dcd7879
Description-en: query library for ECMAScript AST using CSS-like queries
 ESQuery is a library for querying the AST output by Esprima
 for patterns of syntax using a CSS style selector system.
 .
 Esprima is a high performance, standard-compliant ECMAScript parser
 written in ECMAScript (also popularly known as JavaScript).
 .
 An abstract syntax tree (AST) is a tree representation
 of the abstract syntactic structure of source code
 written in a programming language.
 Each node of the tree denotes a construct
 occurring in the source code.
 .
 Cascading Style Sheets (CSS) is a style sheet language
 used for describing the presentation of a document
 written in a markup language like HTML.

Package: node-esrecurse
Description-md5: b8f6f243f3fa1441eac737c35f4db13e
Description-en: ECMAScript AST recursive visitor
 Esrecurse is ECMAScript recursive traversing functionality.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-estraverse
Description-md5: cf7c3fdfde7d39f93896590b82049de8
Description-en: ECMAScript JS AST traversal functions
 Estraverse is ECMAScript traversal functions from esmangle project
 (http://github.com/estools/esmangle).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-estree-walker
Description-md5: 473d93671296181a686b939249f59874
Description-en: traverse an ESTree-compliant AST in Node.js
 Provide ways to traverse an Abstract Syntax Tree in the ESTree format,
 as obtained for example using acorn.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-esutils
Description-md5: 4b1acfd68b43a3c0badfa5694ed88915
Description-en: utility box for ECMAScript language tools
 Usage details can be found in /usr/share/doc/node-esutils/README.md
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-etag
Description-md5: 5f5e854f685398a0ffedc9acb1250a29
Description-en: entity tag creator for - Node.js module
 This package provides a fast and strong creator of entity tags,
 used in HTTP Etag response header to determine change in content
 of a resource at a given URL.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-event-emitter
Description-md5: 37250c159796a4f522123247ad2c34f4
Description-en: Environment agnostic event emitter
 Event emitter as it sounds is just something that triggers an event to which
 anyone can listen. Different libraries offer different implementations and for
 different purposes, but the basic idea is to provide a framework for issuing
 events and subscribing to them.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-eventemitter2
Description-md5: 207da4255e0fa143d51da99bb2b8b044
Description-en: Event emitter compatible with browsers - module for Node.js
 This module provides EventEmitter2, an implementation of the
 EventEmitter object found in Node.js, with additional support for
 namespaces, wildcards, and n-times listeners.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-events
Description-md5: f44c234e48f4b76c7f72678b9edec54f
Description-en: Node.js events module to embed by web packers
 "events" implements the Node.js events module for environments that do not
 have it, like browsers.
 .
 This module is used by web packers.

Package: node-eventsource
Description-md5: 2d9bfa4513c4fdd2f1f472033886876b
Description-en: W3C compliant EventSource client for Node.js
 This library implements the EventSource client for Node.js.
 The API aims to be W3C compatible.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-everything.js
Description-md5: 319c7f2288c5942113bad1847ab368b3
Description-en: Contains every ECMA-262 edition 5.1 grammatical production
 Single javascript file that contains every ECMA-262 grammatical production,
 support has been added for ECMAScript 2015.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-evp-bytestokey
Description-md5: 51fcbf04a3502c674b32b632fc5628ac
Description-en: secure key derivation algorithm in pure javascript
 This package derives a key and IV using well known
 cipher and message digest algorithm, thus creating secure IV and
 keys from salt. This package is a clean room implementation
 of openssl algorithm.
 .
 In cryptography, an initialization vector (IV) or starting variable
 is a fixed-size input to a cryptographic primitive that is typically
 required to be random or pseudorandom.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-execa
Description-md5: d48b41b81e551b03d4e169b230ed4e18
Description-en: better `child_process`
 This is better in the following ways:
  - Promise interface.
  - Strips EOF from the output so you don't have to `stdout.trim()`.
  - Supports shebang binaries cross-platform.
  - Improved Windows support.
  - Higher max buffer. 10 MB instead of 200 KB.
  - Executes locally installed binaries by name.
  - Cleans up spawned processes when the parent process dies.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-exit
Description-md5: 9ea46708e2650a0911f997ce905804c4
Description-en: replacement for process.exit that ensures stdio is fully drained
 To make a long story short, if process.exit is called on Windows, script
 output is often truncated when pipe-redirecting stdout or stderr. This
 module attempts to work around this issue by waiting until those streams
 have been completely drained before actually calling process.exit.
 .
 See Node.js issue #3584 for further reference.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-exit-hook
Description-md5: aed96cf617ac98e3891148e3a2617510
Description-en: Run some code when the process exits
 Node-js module to run one or more hooks when the process exits,
 either because the event loop dried up, or because it received
 a signal (SIGINT or SIGTERM).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-expand-brackets
Description-md5: 218d75e85f00f7d0bd2b98bd6e35b013
Description-en: Expand POSIX bracket expressions
 Expand POSIX bracket expressions (character classes) in glob patterns.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-expand-tilde
Description-md5: 5c1a6b6099e3adac29cbc276f7edcd58
Description-en: Bash-like tilde expansion for node.js
 Expands a leading tilde in a file path to the user home directory, or `~+` to
 the cwd.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-expect.js
Description-md5: a9237ab52806e859377078829c29ae3f
Description-en: behavior driven test assertions - Node.js module
 expect.js is a minimalistic behavior driven assertions toolkit similar
 to should.js but with less footprint.
 .
 Some relevant features are:
  * Cross-browser: works on IE6+, Firefox, Safari, Chrome, Opera.
  * Compatible with all test frameworks.
  * Standalone.
  * No Object prototype extensions.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-exports-loader
Description-md5: 71840be96f996643fb95e145f7f23eb3
Description-en: exports loader module for webpack
 This module exports variables from inside the file by appending
 'exports[...] = ...' statements.
 .
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-express
Description-md5: 668b0cf01fd49d0d2b12c8154a1fe1b2
Description-en: web application framework for node
 Express is a minimal and flexible node.js web application framework,
 providing a robust set of features for building single and multi-page,
 and hybrid web applications.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-express-generator
Description-md5: 4a6f2f3c45b879439773bc86542a3b58
Description-en: express applications generator
 This package provides the `express` executable, a simple tool to
 quickly setup an express application from a list of common templates.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-extend
Description-md5: 12366d126cd4b82dce274e06f7f3b7f9
Description-en: port of jQuery.extend for Node.js
 This package contains a method extend() which merges
 the contents of two or more objects together into
 the first object.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-extend-shallow
Description-md5: e0dcb207ed66c976eec9bcf6122ba712
Description-en: extend an object with the properties of additional objects
 node.js/javascript util.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-external-editor
Description-md5: 68038b0386ea0c9529514b5d17f07271
Description-en: Edit a string with the users preferred text editor
 Node.js module to edit a string with the users preferred text editor,
 using either $VISUAL or $ENVIRONMENT.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-extglob
Description-md5: fb52c09faa30d892198a0f6fcdbc9be0
Description-en: Extended glob support for JavaScript
 Node.js module that exports a function that takes a glob pattern as a string
 plus some options and returns an object with the parsed AST and the compiled
 output, which is a regex-compatible string that can be used for matching.
 .
 It handles negation patterns and nested patterns, and is compatible with
 globbing in Bash 4.3.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-extract-text-webpack-plugin
Description-md5: 5924cc13c74f038de8e7701a49b2c670
Description-en: Extract text from bundle into a file
 It moves all the required *.css modules in entry chunks into a separate CSS
 file. So styles are no longer inlined into the JS bundle, but in a separate
 CSS file (styles.css). If total stylesheet volume is big, it will be faster
 because the CSS bundle is loaded in parallel to the JS bundle.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-extsprintf
Description-md5: 833ccf5f15ad9cd686af98913a55bffb
Description-en: extended POSIX-style sprintf
 This library is a stripped down version of s[n]printf(3c).
 .
 This library is a dependency of verror, which provides several classes in
 support of Joyent's Best Practices for Error Handling in Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-eyes
Description-md5: 562788072854a9a522370a62ab2c1651
Description-en: customizable value inspector for Node
 Node is an event-based server-side JavaScript engine.
 .
 eyes is a customizable value inspector for Node, uncluttering output in
 the console.  Also deals with circular objects in an intelligent way,
 and can pretty-print object literals.

Package: node-falafel
Description-md5: dd7d5dbd04910cdf5c72dcb9ee74130d
Description-en: transform javascript AST on a recursive walk
 Falafel is a pure javascript implementation of recursive walk of
 abstract syntax tree (AST).
 .
 Using falafel you could do syntax aware search and replace, and
 patching using DOM like method.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fancy-log
Description-md5: 28bc0a5af8fbe1bc8ebe08bb5b7c61f7
Description-en: Log things, prefixed with a timestamp
 This module was pulled out of gulp-util for use inside the CLI.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fast-deep-equal
Description-md5: 5dec54556a1546601aaa401bc7e67ddb
Description-en: Fast Deep Equal
 Features
  - ES5 compatible
  - works in node.js (0.10+) and browsers (IE9+)
  - checks equality of Date and RegExp objects by value.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fast-levenshtein
Description-md5: 7c9ee0bdaf08a6dc27a0a5396c152575
Description-en: efficient implementation of Levenshtein algorithm
 It comes with locale-specific collator support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fastcgi
Description-md5: 67b6043bcc6bd702f28882674038960e
Description-en: FastCGI server for Node.js
 node-fastcgi is a drop-in replacement for node's standard http module
 (server only). Code written for a http server should work without changes
 with FastCGI. It can be used to build FastCGI applications or to convert
 existing node applications to FastCGI.
 .
 This implementation is fully compliant with the FastCGI 1.0 Specification.

Package: node-fastcgi-stream
Description-md5: 8190ea866a8aed413d6ce3aa26b18ff4
Description-en: Node.js module to read and write FastCGI records
 node-fastcgi-stream provides two main pieces, the FastCGIStream itself and
 the records that can be sent and received on it.
 .
 The FastCGIStream wraps an existing Stream to send/receive FCGI records on.
 99% of the time this is going to be a net.Socket.

Package: node-faye-websocket
Description-md5: c255506ef803541087aef532cc54b245
Description-en: standards-compliant WebSocket library for Node.js
 This is a general-purpose WebSocket implementation extracted from the Faye
 project. It provides classes for easily building WebSocket servers and
 clients in Node. It does not provide a server itself, but rather makes it
 easy to handle WebSocket connections within an existing Node application. It
 does not provide any abstraction other than the standard WebSocket API.
 .
 It also provides an abstraction for handling EventSource connections, which
 are one-way connections that allow the server to push data to the client.
 They are based on streaming HTTP responses and can be easier to access via
 proxies than WebSockets.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fbjs
Description-md5: e5272c27752bdca379d8d8dbe0e63e0b
Description-en: collection of utility libraries used by other Facebook JS projects
 To make it easier for Facebook to share and consume their own JavaScript.
 Primarily this will allow it to ship code without worrying too much about
 where it lives, keeping with the spirit of `@providesModule` but working in
 the broader JavaScript ecosystem.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fd-slicer
Description-md5: cfb0cc82c6571c89d627116a688970c1
Description-en: create multiple ReadStreams or WriteStreams from the same fd - Node.js module
 This module provides an API for creating multiple ReadStreams or WriteStreams
 from the same file descriptor.
 .
 The official Node.js API docs say that it is unsafe to use fs.write multiple
 times on the same file without waiting for the callback. This module solves
 this problem.
 .
 fd-slicer also provides additional features that builtin fs.createWriteStream
 is lacking, such as progress events and setting a maximum size to write.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fetch
Description-md5: 52a72c11c1e0cbfefe354c5f9a5862c1
Description-en: light-weight module that brings window.fetch to node.js
 Instead of implementing XMLHttpRequest in Node.js to run browser-specific
 Fetch polyfill, why not go from native http to Fetch API directly? Hence
 node-fetch, minimal code for a window.fetch compatible API on Node.js runtime.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-file-entry-cache
Description-md5: 06288d2fea56133f4f352a8e88e50647
Description-en: Node.js library implementing a simple cache for file metadata
 File-entry-cache is a super simple cache for file metadata,
 useful for process that work on a given series of files
 and that only need to repeat the job on the changed ones
 since the previous run of the process.
 .
 The module grew from a need for a super simple and dumb in-memory cache
 with optional disk persistence
 for a script to beautify files with "esformatter"
 only processing files that had changed since last run.
 Such use case need "fileSize" and "modificationTime" of the files
 tracked in a simple key/value storage.

Package: node-file-loader
Description-md5: d48f43de321ad6e7f872b979255f506c
Description-en: file loader module for webpack
 This module instructs webpack to emit the required object as file and to
 return its public url. By default the filename of the resulting file is the
 MD5 hash of the file's contents with the original extension of the required
 resource.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-file-sync-cmp
Description-md5: d9c3af379fcdcf5a840ae672ddd2ef65
Description-en: Synchronous file comparison
 Node-file-sync-cmp is a tool that provides synchronous file comparison
 for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-filename-regex
Description-md5: 0b35cba2f637efc7d00afb9986af66b4
Description-en: Regular expression for matching file names
 Regular expression for matching file names, with or without extension.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-filesize
Description-md5: a94e99f8a78d2a43e963e9e039db3b8e
Description-en: generate a human readable String describing the file size
 filesize.js provides a simple way to get a human readable file size string
 from a number (float or integer) or string.
 .
 For example, filesize(265318) produces 259.1 KB.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fill-range
Description-md5: 66288faec7bc049d23950f0aecb3a926
Description-en: node module to fill in a range of numbers or letters
 fill-range can optionally pass an increment or `step` to use, or create a
 regex-compatible range with `options.toRegex`
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-finalhandler
Description-md5: ea8694357b09480ce2802c9b0b44e6e2
Description-en: final HTTP responder - Node.js module
 This package provides a middleware-style function to invoke
 as the final responding step for HTTP requests.
 .
 The object it receives as parameter is used to output an
 error with a given status code, and defaults to 404 Not Found.
 .
 It is particularly useful in express/connect applications.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-find-cache-dir
Description-md5: e98e42c86f5135f5166e36a64a6b05de
Description-en: finds the common standard cache directory
 Node.js is an event-based server-side JavaScript engine.

Package: node-find-up
Description-md5: 4d8efa74188327d8495f03dea973f4c1
Description-en: Find a file by walking up parent directories
 find-up helps in finding a file or directory by walking up parent directories.
 There are related tools, too. CLI for this module is find-up-cli.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-findit2
Description-md5: 5c4ba78cda4bd9d96f569a454cc5ef79
Description-en: walk a directory tree recursively with events
 findit2 is a Node.js module to recursively walk directory trees. It supports
 optionally following symlinks and detects file system loops.
 .
 This module is a backward-compatible rewrite of node-findit to provide bug
 fixes and better symlink support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-findup-sync
Description-md5: 372feb22f2c6d9c94ddc15759c5e8b2e
Description-en: Node.js module to find the first matching file
 Find the first file matching a given pattern in the current directory or the
 nearest ancestor directory.

Package: node-fined
Description-md5: ab750f975c61c42cca8621d1aee809ff
Description-en: Find a file given a declaration of locations
 Node.js module to find a file given a basename, a list of
 extensions and a path. The path can be either given directly or
 as a base directory for finding up.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-first-chunk-stream
Description-md5: 785addb51554b89c92ae1a5a87941f22
Description-en: buffer and transform the first chunk in a stream
 This package transform a buffer in a javascript stream that could be pass
 to other callback.
 .
 This is reverse dependency of gulp a package builder for nodejs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-flagged-respawn
Description-md5: 4a7b69405d498db4ca51d3221d8ab8ac
Description-en: tool for respawning node binaries when special flags are present
 This Node.js module can be useful for command-line scripts
 written in JavaScript. It can selectively apply flags to
 the interpreter, by re-spawning it and passing it only  
 the required flags - the rest are passed to the script.
 .
 Flagged-respawn also handles all the edge cases such as:
  - Providing a method to determine if a respawn is needed.
  - Piping stderr/stdout from the child into the parent.
  - Making the parent process exit with the same code as the child.
  - If the child is killed, making the parent exit with the same signal.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-flat-cache
Description-md5: de5d67161e78c10491bf4d20909f7388
Description-en: Node.js library implementing a simple persistent key/value storage
 Flat-cache is a stupidly simple key/value storage
 using files to persist the data.
 .
 The module grew from a need for a super simple and dumb in-memory cache
 with optional disk persistence
 for a script to beautify files with "esformatter"
 only processing files that had changed since last run.
 Such use case need "fileSize" and "modificationTime" of the files
 tracked in a simple key/value storage.

Package: node-flatted
Description-md5: 4d460125b390abadda7c32a8426444dc
Description-en: super light and fast circular JSON parser - Node library
 Flatted is a super light and fast circular JSON parser.
 .
 Works with all ECMAScript engines
 compatible with Map, Set, Object.keys, and Array.prototype.reduce,
 even if polyfilled.
 .
 Flatted is the direct successor of no longer maintained CircularJSON.
 .
 This package contains flatted usable with Nodejs.

Package: node-flow-remove-types
Description-md5: 533bae577301f6e4eeb106bc52581ff8
Description-en: Removes Flow type annotations from JavaScript files
 Turn your JavaScript with Flow type annotations into standard JavaScript in an
 instant with no configuration and minimal setup.
 .
 Flow provides static type checking to JavaScript which can both help find and
 detect bugs long before code is deployed and can make code easier to read and
 more self-documenting. The Flow tool itself only reads and analyzes code.
 .
 Running code with Flow type annotations requires first removing the
 annotations which are non-standard JavaScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-flush-write-stream
Description-md5: 07a1d2cff41111a50a4bfa428d77f60c
Description-en: Write stream constructor
 This write stream constructor supports a flush function that is called before
 finish is emitted
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fn-name
Description-md5: 176080f298edc4399b2835314ba351c5
Description-en: Get the name of a named function
 There is a non-standard name property on functions in Javascript,
 but it's not supported in all browsers. This module tries that property then
 falls back to extracting the name from the function source.
 This package is a dependency for ava.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-follow-redirects
Description-md5: a3d2bb3873955f0a037fe123e395012d
Description-en: HTTP and HTTPS modules that follow redirects
 Drop-in replacement for Nodes `http` and `https` that automatically follows
 redirects.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-for-in
Description-md5: 12aea20a89bcde35eaf8beed46307889
Description-en: iterate over object
 Iterate over the own and inherited enumerable properties of an object,
 and return an object with properties that evaluate to true from the
 callback. Exit early by returning `false`.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-for-own
Description-md5: e571355d521203cdf7c7321270df9b65
Description-en: Iterate over the own enumerable properties of an object
 Iterate over the own enumerable properties of an object, and return an object
 with properties that evaluate to true from the callback. Exit early by
 returning `false`. JavaScript/Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-foreground-child
Description-md5: 43a3de4a4b84e5056615879d51b6599a
Description-en: helper running a child process as a foreground process
 This Node.js module emulate simple control group in pure javacript.
 It runs a child process as foreground process redirecting output
 to stdout and exiting main process as soon as child exist.
 .
 This module could be used for implementating TAP test
 for Node.js.
 .
 TAP is a simple text-based interface between testing modules
 implemented in many popular languages.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-forever-agent
Description-md5: 9a53811d00b8151c2947dfe03053f8e3
Description-en: HTTP agent supporting keep-alive requests - module for Node.js
 node-forever-agent keeps socket connections alive between keep-alive
 requests.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-form-data
Description-md5: 51e7f485c7d6e1f2e3b411ffe4ac46c3
Description-en: Create multipart/form-data streams module for Node.js
 node-form-data can be used to submit forms and file uploads to other
 web applications.
 .
 The API of this module is inspired by the w3c XMLHttpRequest
 specification.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-formatio
Description-md5: c0bbcba7d257f5f48f4f8f1b1067de6d
Description-en: Human-readable object formatting
 Pretty formatting of arbitrary JavaScript values. Currently only supports
 ascii formatting, suitable for command-line utilities. Like JSON.stringify,
 it formats objects recursively, but unlike JSON.stringify, it can handle
 regular expressions, functions, circular objects and more.
 .
 formatio is a general-purpose library. It works in browsers and Node.

Package: node-formidable
Description-md5: f36530b4343c55ac476e6050d120cabd
Description-en: Multipart form data parser module for Node.js
 node-formidable is a well-tested parser for multipart/form-data sent by
 http clients. It emphasizes support for file uploads.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-fragment-cache
Description-md5: 9667e4f015aa8410c0bb292e17406cb3
Description-en: Cache for managing namespaced sub-caches
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fresh
Description-md5: 1a807f54563a1af432d8275f53ebcc05
Description-en: Check client cache staleness using HTTP headers - Node.js module
 This module checks HTTP If-Modified-Since, If-None-Match, Cache-Control
 request headers, as well as Last-Modified, Etag response headers to
 determine if the client requesting the resource has a stale or fresh cache.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-from2
Description-md5: 7091da18c1a96844751d246496820a0f
Description-en: wrapper for ReadableStream
 Convenience wrapper for ReadableStream, with an API lifted from "from" and
 "through2"
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fs-exists-sync
Description-md5: 4e528a2393b335113ce94a0bbf403dbe
Description-en: Drop-in replacement for Node.js's `fs.existsSync` with zero dependencies
 fs-exists-sync is a drop-in replacement for Node.js's `fs.existsSync` with no
 dependencies. Other libraries have crucial differences from fs.existsSync, or
 unnecessary dependencies.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fs-extra
Description-md5: 76d6c25623d7121b38ace7b2c240feb6
Description-en: fs-extra contains methods not included in the Node.js fs module
 The fs-extra Node.js module adds a few extra file system methods that aren't
 included in the native fs module. It is a drop in replacement for fs.
 .
 The module implements common filesystem operations such as copy(), move(),
 mkdirs(), remove(), and specific read/write operations like
 readFile() & outputFile() and readJson() & outputJson().
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fs-readdir-recursive
Description-md5: 65cb3cd817acc9ab153ad821df94e5cb
Description-en: Recursively read a directory
 Node.js is an event-based server-side JavaScript engine.

Package: node-fs-vacuum
Description-md5: 378bfe7db19c0439053e3fff8622abdb
Description-en: Remove empty branches in a directory tree
 Recursively removes empty directories -- to a point.
 .
 Remove the empty branches of a directory tree, optionally up  to (but not
 including) a specified base directory. Optionally nukes the leaf directory.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fs-write-stream-atomic
Description-md5: b81778937ce9983457d7017cf6bf4382
Description-en: Like fs.createWriteStream(...), but atomic
 Writes to a tmp file and does an atomic `fs.rename` to move it into place when
 it's done.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fs.realpath
Description-md5: 38381dec741fefe13d67bf507ba76a4a
Description-en: Use node's fs.realpath
 Use node's fs.realpath, but fall back to the JS implementation if the native
 one fails
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fstream
Description-md5: d3438a2fc439a8bca20e94d332862c29
Description-en: Advanced filesystem streaming tools for Node.js
 node-fstream is a high-level file stream module for Node.js,
 with a unified interface for reading and writing streams representing
 files, directories or symbolic links, as well as manipulating their
 attributes, such as atime/mtime or uid/guid.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-fstream-ignore
Description-md5: d83372b26e4290e462dda20b10439419
Description-en: Directory reader configurable by .ignore module for Node.js
 node-fstream-ignore filters out files that match globs in `.ignore`
 files throughout the tree, like how git ignores files based on a
 `.gitignore` file.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-function-bind
Description-md5: 033a9d552c0700bebbb12c18dc928ca5
Description-en: Implementation of Function.prototype.bind
 Function-bind can be used for unit tests, and is useful for webframeworks
 that do not have the function-bind.
 .
 This package includes also the node-has (has) package,
 Object.prototype.hasOwnProperty.call shortcut.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-functional-red-black-tree
Description-md5: 8b52b6529fbf208c5858092c86fd98dc
Description-en: fully persistent balanced binary search tree - Node.js library
 Functional-red-black-tree is a fully persistent red-black tree
 written 100% in JavaScript.
 .
 Functional (or fully persistent) data structures
 allow for non-destructive updates.
 So if you insert an element into the tree,
 it returns a new tree with the inserted element
 rather than destructively updating the existing tree in place.
 Doing this requires using extra memory,
 and if one were naive it could cost as much as reallocating the entire tree.
 Instead, this data structure saves some memory
 by recycling references to previously allocated subtrees.
 This requires using only O(log(n)) additional memory per update
 instead of a full O(n) copy.
 .
 Some advantages of this is
 that it is possible to apply insertions and removals to the tree
 while still iterating over previous versions of the tree.
 Functional and persistent data structures can also be useful
 in many geometric algorithms
 like point location within triangulations or ray queries,
 and can be used to analyze the history of executing various algorithms.
 This added power though comes at a cost,
 since it is generally a bit slower to use a functional data structure
 than an imperative version.
 However, if your application needs this behavior
 then you may consider using this module.
 .
 This package provides functional-red-black-tree
 for use with Node.js -
 an event-based server-side JavaScript engine.

Package: node-fuzzaldrin-plus
Description-md5: a7ec5c806744a4d83123398a281db683
Description-en: Fuzzy filtering and string scoring - compatible with fuzzaldrin
 A fuzzy search / highlight that specialize for programmer text editor. It
 tries to provide intuitive result by recognizing patterns that people use
 while searching.
 .
 A rewrite of the fuzzaldrin library. API is backward compatible with some
 extra options. Tuning has been done from report usage of the Atom text editor.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-fuzzysort
Description-md5: ecf454de4ee50eaab62c9fcca4c8927b
Description-en: Fast SublimeText-like fuzzy search for JavaScript
 Fuzzysort is an open source JavaScript implementation that gives results
 similar to SublimeText search feature.

Package: node-gauge
Description-md5: 0e6a2019495d1ce8ce84fc682b8d8e04
Description-en: terminal based horizontal progress bar
 A nearly stateless terminal based horizontal gauge / progress bar.  This
 library is a dependency for npmlog, a logger with custom levels and colored
 output for Node.js
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-generator-supported
Description-md5: 6973597c8ca5a8b47b319cbaec1446ba
Description-en: Check if JS harmony generator is supported in environment
 This module checks if JS harmony generator (for example due to parameter
 "--harmony" while invoking node) is supported in environment.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-generic-pool
Description-md5: 973ec90acc85a669cfced056dac6b676
Description-en: generic resource pooling for Node.js
 generic-pool is a generic resource pooling for Node.js.
 It can be used to reuse or throttle expensive resources such as database
 connections.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-genfun
Description-md5: 4196fb2d09e008287c950ce78cfb500e
Description-en: fast, prototype-friendly multimethods
 A genfun is a regular function object with overridden function
 call/dispatch behavior. genfun is a Javascript library that lets
 you define generic functions: regular-seeming functions that can be
 invoked just like any other function, but that automatically dispatch
 methods based on the combination of arguments passed to it when it's
 called, also known as multiple dispatch.
 .
 This package is a dependency of npm, Node.js package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-geographiclib
Description-md5: 47deebe10852524134880e7d67223739
Description-en: GeographicLib Node.js module
 GeographicLib is a small set of C++ classes for converting between
 geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates,
 for geoid calculations, and for computing geodesic. It is a suitable
 replacement for the core functionality provided by NGA Geotrans.
 .
 This package contains the GeographicLib Node.js module.

Package: node-get
Description-md5: 72fe56348951071f3da0bdb63f3ef2be
Description-en: high-level HTTP client for NodeJS
 node-get is a high-level HTTP client for the NodeJS framework.

Package: node-get-caller-file
Description-md5: 0d656c8c9746de4744123e3b606b63d7
Description-en: inspects the v8 stack trace
 Call this function in a another function to find out the file from which that
 function was called from.
 .
 Inspired by http://stackoverflow.com/questions/13227489
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-get-func-name
Description-md5: 0d7961af2c9ca1f2fe96351e26ed4469
Description-en: Utility for getting a function's name for node and the browser
 This is a module to retrieve a function's name securely and consistently both
 in NodeJS and the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-get-stdin
Description-md5: 480eec2114f77a98b4ddd8b6cbdfd52b
Description-en: Easier stdin for Node.js
 get-stdin is a Node.js module to easily handle STDIN with JavaScript
 callback functions.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-get-stream
Description-md5: e5b660b141c5674edf4bffdbca171c4c
Description-en: Get a stream as a string, buffer, or array
 Node.js is an event-based server-side JavaScript engine.

Package: node-get-value
Description-md5: 6ae732dbca8e528ebcc96e05155015b9
Description-en: Use property paths to get a nested value from an object
 Use property paths (`a.b.c`) to get a nested value from an object
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-getobject
Description-md5: 742680fd8a399717ac88e6520cf48acc
Description-en: set and get deep objects easily - module for Node.js
 getobject allows you to easily get and set deep objects.
 It also allows you to check if deep objects exist.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-getpass
Description-md5: 1f7c35fb0f7e75abc7e1a2a6db6431c9
Description-en: get a password from terminal
 This library can be used to get a password from the terminal. Even though it
 sounds simple and sounds like the `readline` module should be able to do it.
 But readline module does not support it.
 .
 If available, this uses `/dev/tty` to avoid interfering with any data being
 piped in or out of stdio. This function prints a prompt (by default
 `Password:`) and then accepts input without echoing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gettext-parser
Description-md5: b99098bba71f2b851ad4667615a5464b
Description-en: Parse and compile gettext po and mo files to/from json
 Parse and compile gettext *po* and *mo* files with node.js, nothing more,
 nothing less.
 .
 This module is slightly based on other gettext related modules
 (node-gettext (https://github.com/andris9/node-gettext)). The plan is to move
 all parsing and compiling logic from node-gettext to this module and leave
 only translation related functions (domains, plural handling, lookups etc.).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gettext.js
Description-md5: 99f1174384e56e6743fde64e91256c07
Description-en: Lightweight yet complete GNU gettext port - Node.js module
 gettext.js is a lightweight (3k minified!) yet complete and accurate GNU
 gettext port for node and the browser. Manage your i18n translations the right
 way in your javascript projects.
 .
 This package contains the NodeJS module.

Package: node-github-url-from-git
Description-md5: 3a4d0e17f8026fba8db6460e8483ece7
Description-en: Convert github git or gist url to an http url - Node.js module
 This module is a simple regular expression for parsing and converting
 a git repository url from github to an http url. It supports gists as
 well. It is used by npm and node-normalize-package-data.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-glob
Description-md5: 1b036f9676e44c424eb15efe75baf458
Description-en: glob functionality for Node.js
 node-glob is a glob implementation for Node.js
 .
 It features brace expansion, extended glob matching, globstar matching,
 and can be invoked synchronously as well as asynchronously.
 It uses minimatch for pattern matching.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-glob-base
Description-md5: 1937b732eccffae6a7f448f2833d9ef8
Description-en: returns an object with the (non-glob) base path
 This library returns an object with the (non-glob) base path and the actual
 pattern.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-glob-parent
Description-md5: 81a80b53324249f1451a998622120c0b
Description-en: Extract the non-magic parent path from a glob string
 Javascript module to extract the non-magic parent path from a glob string.
 .
 For example, 'path/to/*.js' will return 'path/to' and '**/*.js' will return '.'
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-glob-stream
Description-md5: c8bc5acf8411b32772321afc4850fabb
Description-en: wrapper around node-glob to make it streamy
 You can pass any combination of globs. One caveat is that you can not only
 pass a glob negation, you must give it at least one positive glob so it knows
 where to start. All given must match for the file to be returned.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-global-modules
Description-md5: 9b2291920b6fb4259c89de3da1d34d1a
Description-en: directory used by npm for globally installed npm modules
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-global-prefix
Description-md5: b16e48cc94ba53d0654c0641ef603df3
Description-en: get the npm global path prefix
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-globals
Description-md5: 3fdc1d99021f9a3e3c0ac2c1468d54f5
Description-en: Global identifiers from different JavaScript environments
 Extracted from JSHint and ESLint and merged.
 It's just a JSON file, so it can be used in whatever environment one likes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-globby
Description-md5: c947be95aa4553ac2c57370ae1d50636
Description-en: Extends glob with promise API
 Extends glob with support for multiple patterns and exposes a Promise API.
 .
 Related projects includes multimatch which can match against a list instead of
 the filesystem and then glob-stream which is a streaming alternative finally
 matcher is simple wildcard matching.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-globule
Description-md5: 9cdc5148c5906082bf1554a6eb2e2f1e
Description-en: Easy-to-use wildcard globbing library for Node.js
 globule is an easy-to-use wildcard globbing library for Node.js.
 .
 The library offers functions like find(), match() and mapping() that support
 globbing patterns.
 .
 globule.find returns a unique array of all file or directory paths that match
 the given globbing pattern(s).
 .
 globule.match can be used to match one or more globbing patterns against one
 or more file paths.
 .
 globule.mapping returns an array of src-dest file mapping objects for
 the given set of source file paths.
 .
 This package contains the globule module for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-glogg
Description-md5: ffa623aeb8c796d90eab5eb095525967
Description-en: Global logging utility
 This module makes no assumptions about the log levels and they will always be
 emitted.  If you are looking to filter some out, your listeners will need to
 have extra logic.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-googlediff
Description-md5: a410f045389ec9d0e53595ba845ab375
Description-en: Compares the differences between the two texts
 The Diff Match and Patch libraries offer robust algorithms to perform the
 operations required for synchronizing plain text. This library implements
 Myer's diff algorithm which is generally considered to be the best general
 purpose diff.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-got
Description-md5: e749b7e4a354eccfd33aac9696a6b7d0
Description-en: Simplified HTTP requests
 This module provides a nicer interface to the built-in http module.
 .
 This module was created because request is bloated *(several megabytes!)*.
 .
 Highlights:
  - Promise & stream API
  - Request cancellation
  - Follows redirects
  - Retries on network failure
  - Handles gzip/deflate
  - Timeout handling
  - Errors with metadata
  - JSON mode
  - WHATWG URL support
  - Electron support
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-graceful-fs
Description-md5: aa1d1b52d2c9794b758e1ecb4df690f9
Description-en: drop-in replacement improving the Node.js fs module
 node-graceful-fs module normalizes behavior across different platforms
 and environments, and makes filesystem access more resilient to errors:
  * queues up open and readdir calls, and retries them once something
    closes if there is an EMFILE error from too many file descriptors.
  * fixes lchmod for Node versions prior to 0.6.2
  * implements fs.lutimes if possible. Otherwise it becomes a noop.
  * ignores EINVAL and EPERM errors in chown, fchown or lchown if the
    user isn't root.
  * makes lchmod and lchown become noops, if not available.
  * retries reading a file if read results in EAGAIN error.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-graphlibrary
Description-md5: eb4870b75a01868f3bd8ce9a576ee873
Description-en: directed and undirected multi-graph library
 Graphlibrary is a JavaScript library that provides data structures for
 undirected and directed multi-graphs along with algorithms that can be used
 with them.
 .
 In addition to a core graph API, it also comes with implementations for
 many common graph algorithms.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-growl
Description-md5: 38c29d37eafb15b35d40f19cae118c5b
Description-en: unobtrusive notification system for nodejs
 Growl support for Nodejs. Essentially a port of the Ruby Growl Library from
 the same author.
 .
 Growl is a cross platform notification system.
 .
 Applications can use Growl to display small notifications
 about events which may be important to the user.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-babel
Description-md5: 9a3a4183e3162f7717e4752c16cd7380
Description-en: grunt plugin for babel
 Grunt is a JavaScript task runner, which is a tool used to automatically
 perform frequently used tasks such as minification, compilation, unit testing,
 linting, etc.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-cli
Description-md5: 15549093e77e23e5ab33d9983efcb4ff
Description-en: command-line interface to Grunt JavaScript task runner
 Grunt is a task runner to performing repetitive tasks like
 minification, compilation, unit testing, linting, etc, on JavaScript
 applications.
 .
 This module is a script to load and run Grunt itself.

Package: node-grunt-contrib-clean
Description-md5: d795e7dd6ea1c4aa4fa22cd95e8bc17f
Description-en: Grunt plugin to clean files and folders
 Grunt automates several tasks related to maintaining a JavaScript library
 or framework. It can be used for JS code minification, unit testing, code
 checking, and several other tasks.
 .
 This plugin is used to clean files and folders.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-contrib-coffee
Description-md5: ea1319cf58fddd442a633d4c14368015
Description-en: Compile CoffeeScript files to JavaScript
 This is a plugin for grunt javascript task runner.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-contrib-concat
Description-md5: 74b3fa34a17b13ecf1746dcbe4d736b4
Description-en: Concatenate files
 This is a plugin for grunt javascript task runner.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-contrib-copy
Description-md5: c496ce07d52eb7ceb4cd04e9be594a72
Description-en: Copy files and folders
 Node.js module that provides a grunt plugin to copy files and folders.
 Supports recursive copying, flattening, and processing files on the fly.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-contrib-internal
Description-md5: ea5a0928517c938e58667e08610e8d2d
Description-en: Internal tasks for managing the grunt-contrib projects
 This grunt plugin is required for building other grunt plugins
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-contrib-nodeunit
Description-md5: e55a97cad29bbd1377bd7f60d007a3e6
Description-en: Grunt plugin to run Nodeunit unit tests
 Grunt automates several tasks related to maintaining a JavaScript library
 or framework. It can be used for JS code minification, unit testing, code
 checking, and several other tasks.
 .
 This plugin runs Nodeunit unit tests.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-contrib-requirejs
Description-md5: 11710138e2e26f30ee2e0ac686a563ba
Description-en: Optimize RequireJS projects using r.js
 This is a plugin for grunt javascript task runner.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-contrib-uglify
Description-md5: 30b1c2a540480a242eaa05782eabef69
Description-en: Minify JavaScript files with UglifyJS
 Node.js module that provides a grunt plugin to minify JavaScript
 files with UglifyJS.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-known-options
Description-md5: a75b48782fc3e7964a78aca6d05efbea
Description-en: known options used in Grunt
 The known options used in Grunt

Package: node-grunt-legacy-log
Description-md5: 6d43e85128a7136aaec9d15926eb707a
Description-en: Grunt 0.4.x logger
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-legacy-log-utils
Description-md5: c9580704f27dca2151c2707e8f58fede
Description-en: Static methods for the Grunt 0.4.x logger
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-legacy-util
Description-md5: b0ab1a5015eaf589c0c414d4e24f71d5
Description-en: Some old grunt utils provided for backwards compatibility
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-replace
Description-md5: 2e31ba543b84a7350623a792b061e97d
Description-en: Replace text patterns with applause
 Grunt-replace uses the node-applause module to replace any text pattern
 with new text.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-grunt-webpack
Description-md5: 945f90f07374fa7df44374d9707ccf76
Description-en: Use webpack with grunt
 grunt is a JavaScript task runner/build system/maintainer tool.
 .
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-babel
Description-md5: 6bfc94d85c58cf005eefd0fff1f367db
Description-en: gulp plugin to use babel
 gulp is a toolkit that helps you automate painful or time-consuming tasks in
 your development workflow.
 .
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-changed
Description-md5: 110bb0147f672afb2bdf0605ad63a530
Description-en: gulp plugin to only pass through changed files
 gulp is a toolkit that helps you automate painful or time-consuming tasks in
 your development workflow.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-coffee
Description-md5: 869ba7b2e34b61dbb36578658bc67fef
Description-en: Compile CoffeeScript files
 This plugin for gulp build tool supports compiling CoffeeScript files.
 .
 Gulp is a streaming build system to automate painful or time-consuming tasks.
 This library is a build dependency for at.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-concat
Description-md5: 947cad51eb17d095f4d81e3253672694
Description-en: Concatenates files
 This plugin for gulp build tool which supports concatenating files.
 .
 Gulp is a streaming build system to automate painful or time-consuming tasks.
 This library is a build dependency for at.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-flatten
Description-md5: a2fa6888cb4b40c82dec341c9dba97f6
Description-en: remove or replace relative path for files
 By default gulp stores files with it's relative paths. Use case: To copy all
 minified javascript files from bower_components to build folder without
 relative paths when you have multiple modules in different sub directories.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-load-plugins
Description-md5: 1cf0b62e477a9c41e89feb8384c9d7fc
Description-en: Automatically load any gulp plugins in your package.json
 Loads gulp plugins from package dependencies and attaches them to an object of
 your choice.
 .
 gulp is a toolkit that helps you automate painful or time-consuming tasks in
 your development workflow.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-newer
Description-md5: d92ebb9b88d844665f37df512fabd292
Description-en: Only pass through newer source files
 gulp is a toolkit that helps you automate painful or time-consuming tasks in
 your development workflow.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-plumber
Description-md5: 29c503725d91dfdc94e3a208481139d1
Description-en: Prevent pipe breaking caused by errors from gulp plugins
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-rename
Description-md5: 6ff1f6936602146c63c7a4f3f382a778
Description-en: gulp pulgin to rename files
 gulp-rename provides simple file renaming methods. It offers easy methods to
 add prefixes, move to different directories, map files using a table etc.
 .
 gulp is a toolkit that helps you automate painful or time-consuming tasks in
 your development workflow.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-sourcemaps
Description-md5: 47d60097793d495e6840b33295b6a00b
Description-en: Source map support for Gulp.js
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gulp-util
Description-md5: e0dc42119689da3c003b3c6e1c46f5c2
Description-en: Utility functions for gulp plugins
 Node.js is an event-based server-side JavaScript engine.
 This module has been deprecated. More information
 at https://medium.com/gulpjs/gulp-util-ca3b1f9f9ac5

Package: node-gulplog
Description-md5: 037bd9e5209dc43c4bb0802eea2b2f27
Description-en: Logger for gulp and gulp plugins
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-gyp
Description-md5: 79e000d65d6679894607853135417a5f
Description-en: Native addon build tool for Node.js
 node-gyp is a cross-platform command-line tool written in Node.js
 for compiling native addon modules for Node.js.
 .
 It features :
  * Easy to use, consistent interface
  * Same commands to build a module on every platform
  * Support of multiple target versions of Node.js
 .
 node-gyp replaces node-waf program which was deprecated in Node.js 0.8
 and removed since Node.js 0.10.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-gzip-size
Description-md5: 289b4b02e01ffe6dc338e6bd7c0223e6
Description-en: Get the gzipped size of a string or buffer
 This module is a dependency of react-dev-util and webpack-bundle-analyzer
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-har-schema
Description-md5: 810955713af73c3cddf277a89ad78ced
Description-en: JSON Schema for HTTP Archive (HAR)
 HTTP Archive (HAR) format defines an archival format for HTTP transactions
 that can be used by a web browser to export detailed performance data about
 web pages it loads.
 .
 This library is a dependency of har-validator.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-har-validator
Description-md5: 8d9b79c4aa7660f47fe22f657fcff17b
Description-en: Extremely fast HTTP Archive (HAR) validator using JSON Schema
 HTTP Archive (HAR) format defines an archival format for HTTP transactions
 that can be used by a web browser to export detailed performance data about
 web pages it loads.
 .
 This library is a dependency of request, the simplest way possible to make
 http calls.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-ansi
Description-md5: 522394c5dc8dcc4707f11366065be939
Description-en: Check if a string has ANSI escape codes in Node.js
 has-ansi is a Node.js module to check if a string has ANSI escape codes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-binary
Description-md5: 35e3ddff8728d5ff412158f4a9d1db82
Description-en: Test if a JavaScript object contains binary data
 The has-binary Node.js module provides a function that takes anything in
 JavaScript and returns true if its argument contains binary data.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-cors
Description-md5: 5d6916721250f235519a8bcf4d6c44cb
Description-en: Detect support for Cross-Origin Resource Sharing
 Node.js module to detect support for CORS (Cross-Origin Resource
 Sharing), the mechanism that allows restricted resources on a web
 page to be requested from another domain outside the domain from
 which the resource originated.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-flag
Description-md5: fddf31c74795878f3dfadc627fd5f0ef
Description-en: check if argv has a specific flag
 This module checks if argv has a specific flag and correctly stops
 looking after an -- argument terminator.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-gulplog
Description-md5: 79533332e7c4a212a1dbf30bf79f6021
Description-en: check if gulplog is available before attempting to use it
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-symbol-support-x
Description-md5: d59a2ac4f69cc11ebe466294f84f8164
Description-en: Tests if ES6 Symbol is supported
 This library is a dependency of npm and gitlab.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-to-string-tag-x
Description-md5: 83450db8ff4dd00509e00b21e9604bd5
Description-en: Tests if ES6 @@toStringTag is supported
 Indicates if `Symbol.toStringTag`exists and is the correct type. `true`, if it
 exists and is the correct type, otherwise `false`.
 .
 This was introduced in ECMA-262, 6th edition of ECMAScript 2015 Language
 specification (also known as ES6 or ES2015).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-unicode
Description-md5: 452c670ad1ae7dbc04891534dd61a8ae
Description-en: Try to guess if your terminal supports unicode
 What we actually detect is UTF-8 support, as that's what Node itself supports.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-value
Description-md5: 2acd4faa02ee7bd54f3004ae28dd24fb
Description-en: node library that returns true if a value exists
 Returns true if a value exists, false if empty. Works with deeply nested
 values using object paths.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-has-values
Description-md5: 32fee9c33a3385a85de6ede2e595adca
Description-en: node library that returns true if any values exist
 Returns true if any values exist, false if empty.
 Works for booleans, functions, numbers, strings, nulls, objects and arrays.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-hash-base
Description-md5: 6b9bab396bc71bbb6d7d5af32ed94637
Description-en: abstract base class for javascript hash-streams
 This module implements an abstract base class to inherit
 from in order to create streams implementing the same API
 as Node.js crypto API.
 .
 This module is needed for implementing in-browser context
 hash function.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-hash-sum
Description-md5: c31c998ea6ea0527bfcd96e9feea2c47
Description-en: Blazing fast unique hash generator
 This module has the following features:
  - no dependencies
  - minimal footprint
  - works in all of node.js, io.js, and the browser
  - hashes functions based on their source code
  - produces different hashes for different object types
  - support for circular references in objects
  - ignores property assignment order
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-hash-test-vectors
Description-md5: 6a5776f2a1513f420154479b732184b3
Description-en: test vectors for hashes
 This module includes test vectors for various hash and
 cryptographic function. This test vectors are ready to use
 and packaged as JSON data.
 .
 This package is used for testsuite of another Node.js modules.
 .
 JavaScript Object Notation or JSON is an open-standard format that uses
 human-readable text to transmit data objects consisting of
 attribute–value pair.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-hash.js
Description-md5: a30162a3e6344f3212322893e54bf672
Description-en: Hash functions that could be run by both browser and nodejs
 This module implements a few classical hash function that could be run
 in browser and in nodejs context.
 .
 Hash functions implemented includes ripemd160,sha1, sha224, sha256,
 sha384, sha512.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-hashish
Description-md5: c7bc89b6acc9afa0106e24dc6fec2e01
Description-en: maniuplate hash data structures in Node.js
 Hashish is a Node.js library for manipulating hash data structures. It is
 distilled from the finest that ruby, perl, and haskell have to offer by way of
 hash/map interfaces.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-hawk
Description-md5: 11050b4870efe8512ecf3589f21d771c
Description-en: HTTP Hawk Authentication Scheme
 Hawk is an HTTP authentication scheme using a message authentication code
 (MAC) algorithm to provide partial HTTP request cryptographic verification.
 For more complex use cases such as access delegation, see Oz.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-he
Description-md5: 669200ccc3d2f5186d14918480ccbd4b
Description-en: robust HTML entities encoder/decoder with full Unicode support
 _he_ (for “HTML entities”) is a robust HTML entity encoder/decoder written in
 JavaScript.
 .
 It supports all standardized named character references as per HTML, handles
 ambiguous ampersands and other edge cases just like a browser would, has an
 extensive test suite, and — contrary to many other JavaScript solutions — _he_
 handles astral Unicode symbols just fine.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-help-me
Description-md5: 957e4c55036f1eaa038d75686dcdee7d
Description-en: help command for node, partner of minimist and commist
 help-me is a lib that provides an easy way to write a help command for a node.
 Should be used together with minimist and commist.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-highlight
Description-md5: 5878016c751fa00dbc7079759213caa1
Description-en: Transitional package for node-hightlight.js
 This is a transitional package to ease upgrades to the node-highlight.js
 package. It can safely be removed.

Package: node-highlight.js
Description-md5: 58a4ab22b9faf3d919292f287bcff02a
Description-en: JavaScript library for syntax highlighting - NodeJS
 Highlight.js is a JavaScript library which automatically detects the
 language of code blocks in a web page, and provides syntax highlighting
 for them. The library supports more than fifty languages and is bundled
 with more than twenty style themes.
 .
 This package contains the library highlight.js usable as a NodeJS module.

Package: node-hmac-drbg
Description-md5: a31c7d8bcb73ffb1e38db8518565728b
Description-en: Deterministic random bit generator (hmac) in pure javascript
 This is a pure javascript implementation of deterministic random bit
 generators defined in  section 10.1.2 of NIST SP 800-90A.
 .
 A  DRBG mechanism uses an algorithm that produces a sequence of bits
 from an initial value that is determined by a seed that is determined
 from the entropy input. Once the seed is provided and the initial
 value is determined, the DRBG is said to be instantiated and may be
 used to produce output.
 .
 Because of the deterministic nature of the process, a DRBG is
 said to producepseudorandom bits, rather than random bits. The seed
 used to instantiate the DRBG must contain sufficient entropy to
 provide an assurance of randomness. If the seed is kept secret,and the
 algorithm is well designed, the bits output by the DRBG will be
 unpredictable, up to the instantiated security strength of the DRBG.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-hoek
Description-md5: a47bcd842dd0fe770fe61a7830a6049f
Description-en: General purpose node utilities for hapi ecosystem
 Central place to store hapi-specific methods
 It comes with useful methods for Arrays (clone, merge, applyToDefaults),
 Objects (removeKeys, copy), Asserting and more.
 The Hoek library contains some common functions used within the hapi ecosystem.
 .
 This package also includes small packages @hapi/b64
 (encode/decode in base64), @hapi/teamwork (wait for multiple events),
 @hapi/bounce (selective error catching).
 .
 Node.js is an event based server side JavaScript Engine.

Package: node-hook-std
Description-md5: d02a981607c278a8f47176cacfb04c3f
Description-en: Hook and modify stdout/stderr
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-hooker
Description-md5: d8147d37c09bae69ba055e544d7734cd
Description-en: Useful debugging library for Node.js
 Node is an event-based server-side JavaScript engine.
 .
 Hooker provides 4 functions that include 'hook' and 'unhook', useful
 for debug object call, as well as an 'override' function to
 replace the return of a function.

Package: node-hosted-git-info
Description-md5: 0a699dc3dffdc88f54feb7bdd8163a81
Description-en: Provides metadata from Github, Bitbucket and Gitlab
 Provides metadata and conversions from repository urls for Github, Bitbucket
 and Gitlab
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-html-comment-regex
Description-md5: 5c897f7abe85cd9b00f8d875571561f9
Description-en: Regular expression for matching HTML comments
 This module provides a regular expression to test if a given HTML code has any
 comment in it.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-html5shiv
Description-md5: 2006438e576b1c5c7973e14a4d99b81b
Description-en: enable use of HTML5 sectioning elements in legacy browser
 This package enables use of HTML5 sectioning elements in legacy
 Internet Explorer and provides basic HTML5 styling for Internet
 Explorer 6-9, Safari 4.x (and iPhone 3.x), and Firefox 3.x.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-htmlescape
Description-md5: 77a6c03f1dc2d7e924830c267130677c
Description-en: escape string for safe use in html
 This module escape a string to be safe for use in html particularly
 inside a script tag.
 .
 In programming languages escape sequences are used in character
 literals and string literals, to express characters which are not
 printable or clash with the syntax of characters or strings.
 .
 This module is a dependency of browserify, a tool that allows one
 to write Node.js-style modules that compile for use in the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-htmlparser
Description-md5: 631ba0a7fa080caf0aa659dede7252ae
Description-en: forgiving HTML/XML/RSS Parser in Javascript for NodeJS
 HTMLParser is a forgiving HTML/XML/RSS parser written in Javascript for
 both the browser and NodeJS. The parser can handle streams (chunked data)
 and supports custom handlers for writing custom DOMs/output.
 .
 This package contains the NodeJS module.

Package: node-htmlparser2
Description-md5: 625012a37dfba147bba6c181cab395ec
Description-en: Fast & forgiving HTML/XML/RSS parser
 A forgiving HTML/XML/RSS parser written in JS for NodeJS. The
 parser can handle streams (chunked data) and supports custom
 handlers for writing custom DOMs/output.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-http-errors
Description-md5: 9aee61e0fcf8eff99fb14d8a7e7e6a95
Description-en: Create HTTP error objects
 This modules allow you to create HTTP errors for Express, Koa, Connect, etc.
 with ease.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-http-signature
Description-md5: fbe929533eb792cacdcb14b627ecdd22
Description-en: Reference implementation of Joyent's HTTP Signature scheme
 node-http-signature is a node.js library that has client and server components
 for Joyent's HTTP Signature Scheme.
 .
 This library is a dependency of request, the simplest way possible to make
 http calls.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-https-browserify
Description-md5: 25244c1cd168fe3e682054b9ee3ec40f
Description-en: https module compatibility for browserify
 The API is the same as the client portion of the node core https module.
 .
 This library is a dependency for browserify. Browserify takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Browserify exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-i18next
Description-md5: 79deba670b1e6ca321ebf5b330003941
Description-en: internationalization framework for JavaScript environments
 i18next provides:
  - Flexible connection to backend (loading translations via xhr, ...)
  - Optional caching, user language detection, ...
  - Proper pluralizations
  - Translation context
  - Nesting, Variable replacement
  - Flexibility: Use it everywhere
  - Extensibility: eg. sprintf
  - ...
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-i18next-browser-languagedetector
Description-md5: 6fb0e8539a2d5e6149c9b1539e83ec28
Description-en: language detector used in browser environment for i18next
 This is a i18next language detection plugin use to detect user language in the
 browser with support for:
  - cookie
  - localStorage
  - navigator
  - querystring (append ?lng=LANGUAGE to URL)
  - htmlTag
  - path
  - subdomain
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-i18next-xhr-backend
Description-md5: 6bdcdb70cf9eb902d12d837914c0000c
Description-en: backend layer for i18next using browsers xhr
 This is a simple i18next backend to be used in the browser. It will load
 resources from a backend server using xhr.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-iconv
Description-md5: 290837aa43d7af22102f582a27790ee4
Description-en: text recoding module for Node.js
 node-iconv provides a simple interface for converting text from one
 character encoding to another.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-iconv-lite
Description-md5: 557b91c9aa2f35051d40682b620150d6
Description-en: Pure JS character encoding conversion
 Convert character encodings in pure javascript.

Package: node-icss-replace-symbols
Description-md5: 0ebdd60a71bea872732f048d79258759
Description-en: Replace symbols during the linking phase of ICSS
 Governs the way tokens are searched & replaced during the linking stage of
 ICSS loading.
 .
 Interoperable CSS (ICSS) is a standard for loadable, linkable CSS.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-icss-utils
Description-md5: 70934d8ca749b8d450a4ab05fe976658
Description-en: ICSS utils for postcss ast
 PostCSS is a tool for transforming styles with JS plugins. These plugins can
 lint your CSS, support variables and mixins, transpile future CSS syntax,
 inline images, and more.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ieee754
Description-md5: 254b28b7d0a299606ae67f97f2a0af7c
Description-en: Libray to read or write IEEE754 floating point numbers
 This library lets you read binary data from a buffer into javascript
 number data types and write it back into binary buffer.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-iferr
Description-md5: d9abfca65be283b14f5fe5eb7930cc2b
Description-en: Higher-order functions for easier error handling
 This library allows one to delegate to a function in case of error,
 thus easing the error handling of the Node.js application.
 .
 This library is a dependency of npm, Node.js package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ignore
Description-md5: 79bb27e8f1e027c8ee76cd75773e1bca
Description-en: manager and filter for .gitignore rules - Node.js library
 "ignore" is a manager, filter and parser
 for the .gitignore spec at <https://git-scm.com/docs/gitignore>,
 implemented in pure JavaScript.
 .
  * Standalone module, much simpler than e.g. "fstream-ignore".
  * Only contains utility methods
    to filter paths according to the specified ignore rules.
  * Never tries to discover ignore rules
    by traversing directories or fetching from git configurations.
  * Doesn't care about sub-modules of git projects.
  * Complies exactly to gitignore documentation
    at <https://git-scm.com/docs/gitignore>:
    - "/*.js" should only match "a.js", not also "abc/a.js".
    - "**/foo" should match "foo" anywhere.
    - Prevents re-including a file excluded in a parent directory.
    - Handles trailing whitespaces:
      - "a " (one space) should not match "a  " (two spaces).
      - "a \ " matches "a  ".
    - All test cases are verified against "git check-ignore".

Package: node-ignore-by-default
Description-md5: 0b7aac414dd6d47118523ba4c1c7adca
Description-en: List of directories you should ignore by default
 Node.js module aimed at Node.js development tools.
 It provides a list of directories that should probably be ignored
 by such tools.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-imagemagick
Description-md5: c4284432f78d8f62502967424a5b4208
Description-en: imagemagick module for NodeJS
 A NodeJS wrapper around the imagemagick cli. By using the wrapper we can
 invoke imagemagick functions more easily.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-immediate
Description-md5: b4718887b2dd532a545097e4a95024a9
Description-en: Immediate is a cross browser microtask library
 immediate is a microtask library decended from
 NobleJS's setImmediate package and includes ideas
 from Cujo's When and RSVP package.
 .
 immediate takes tricks from setImmedate and RSVP
 and combines them with the schedualer inspired by whens.
 .
 tricks are tasks mentioned from setImmediate package
 which are run as necessary.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-immutable
Description-md5: 85e769205685dfd66ec188bcc31f091d
Description-en: Immutable Data Collections
 Immutable data cannot be changed once created, leading to much simpler
 application development, no defensive copying, and enabling advanced
 memoization and change detection techniques with simple logic. Persistent data
 presents a mutative API which does not update the data in-place, but instead
 always yields new updated data.
 .
 Immutable.js provides many Persistent Immutable data structures including:
 List, Stack, Map, OrderedMap, Set, OrderedSet and Record.
 .
 These data structures are highly efficient on modern JavaScript VMs by using
 structural sharing via [hash maps tries][] and [vector tries][] as popularized
 by Clojure and Scala, minimizing the need to copy or cache data.
 .
 Immutable also provides a lazy Seq, allowing efficient chaining of collection
 methods like map and filter without creating intermediate representations.
 Create some Seq with Range and Repeat.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-immutable-tuple
Description-md5: c561a53b8407614f26b0b01fac579562
Description-en: Immutable finite list objects
 This library provides immutable finite list objects with constant-time
 equality testing (===) and no memory leaks.
 .
 This package exports a single function called tuple both as a default export
 and as an equivalent named export, so all of the following import styles will
 work.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-import-lazy
Description-md5: 679eacfe51533dfe1b9189658f674ebc
Description-en: import a module lazily
 Instead of referring to its exported properties directly, it's cached on
 consecutive calls. It also works using destructuring assignment in ES2015.
 Works out of the box for functions and regular properties.
 .
 This library is a dependency of npm, Node.js Package Manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-imports-loader
Description-md5: 5191ce88bc2404850cc702e26d25aef8
Description-en: imports loader module for webpack
 The imports loader allows you to use modules that depend on specific global
 variables.
 .
 This is useful for third-party modules that rely on global variables like '$'
 or 'this' being the 'window' object. The imports loader can add the necessary
 require('whatever') calls, so those modules work with webpack.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-imurmurhash
Description-md5: eb2ea0681f9ba4fb6354b05498b5c032
Description-en: incremental implementation of MurmurHash3 hashing algorithm
 This module is an incremental implementation of the MurmurHash3 (32-bit)
 hashing algorithm for JavaScript based on Gary Court's implementation with
 kazuyukitanimura's modifications.
 .
 This version works significantly faster than the non-incremental version if
 you need to hash many small strings into a single hash, since string
 concatenation (to build the single string to pass the non-incremental version)
 is fairly costly. In one case tested, using the incremental version was about
 50% faster than concatenating 5-10 strings and then hashing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-indent-string
Description-md5: 697a8a35689909ffa631ad0dd8a9bf2c
Description-en: Indent each line in a string
 A node.js module that provides API for to indent lines in a string.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-inflection
Description-md5: 909ef3568e7436105a2924189abe01cf
Description-en: port of inflection-js to node.js module
 inflection-js is a port of the functionality from Ruby on Rails' Active Support
 Inflection classes into Javascript. inflection is a port of inflection-js to
 node.js npm package. Instead of extending JavaScript native String object like
 inflection-js does, inflection separate the methods to a independent package to
 avoid unexpected behaviors.

Package: node-inflight
Description-md5: 0335ba4bb451acbac6bc5596120f9463
Description-en: add callbacks to requests in flight to avoid async duplication
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-inherits
Description-md5: 12f5808cb0f62630a7abad71c5dd36de
Description-en: Node.js module that exposes inherits function
 node-inherits exposes standard inherits implementation of Node.js util
 module, and allows bundlers such as browserify to not include full util
 package in client code.
 .
 It is recommended to use this module for all code that requires only
 the inherits function and that has a chance to run in a browser too.
 .
 This is the Node.js module. Node.js is an event-based server-side
 javascript engine.

Package: node-ini
Description-md5: 5f89c91d6e57d1f88688141888528d70
Description-en: ini format parser and serializer for Node.js
 Read, manipulate and write ini files.
 Sections are treated as nested objects.
 Items before the first heading are saved on the object directly.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-inline-source-map
Description-md5: 65810c7a5ba5d60fe4a6b8044afbd66c
Description-en: base64 encoded source mappings for a generated file
 Adds source mappings and base64 encodes them, so they can be inlined in your
 generated file.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-inquirer
Description-md5: e4778dd83904db47aff98a5ab47b26d2
Description-en: embeddable command line interface for Node.js
 Node.js module that provides a collection of interactive user
 interfaces and inquiry session flows for the command line.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-interpret
Description-md5: 3c6e9f16043f59cc9159867d517a6967
Description-en: dictionary of file extensions and associated module loaders
 This is used by Liftoff to automatically require dependencies for
 configuration files, and by rechoir for registering module loaders.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-invariant
Description-md5: 7fd57b42958710c856e4e62d9d3f639f
Description-en: assert function
 Node.js module to provide descriptive errors in development but
 generic errors in production.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-invert-kv
Description-md5: 2f2e5caac2ede57d9cdd6dff266044ce
Description-en: invert the key/value of an object
 This module provides a method to invert the key/value of an object.
 Example: {foo: 'bar'} → {bar: 'foo'}
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ip
Description-md5: 6a2098ab9e6f8559444b0fb42e1e9103
Description-en: IP address utilities for node.js
 IP utility in node.js helps one with IP related queries. This module
 helps in quickly accessing ip address.
 .
 Ip utility helps fetch results on ip address, comapre ip address,
 validate ip address, range checking, subnet information etc.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ip-address
Description-md5: d7c15b8c977d2d115e319023fc2814a7
Description-en: library for parsing IPv4 and IPv6 IP addresses in node and the browser
 ip-address is a library for validating and manipulating IPv4 and IPv6
 addresses in JavaScript.
 .
  * Parsing of all IPv6 notations
  * Parsing of IPv6 addresses and ports from URLs with 'Address6.fromURL(url)'
  * Validity checking
  * Decoding of the Teredo information in an address
  * Whether one address is a valid subnet of another
  * What special properties a given address has (multicast prefix, unique
    local address prefix, etc.)
  * Number of subnets of a certain size in a given address
  * Display methods
    * Hex, binary, and decimal
    * Canonical form
    * Correct form
    * IPv4-compatible (i.e. `::ffff:192.168.0.1`)
  * Works in node and the browser (with browserify)
  * ~1,600 test cases

Package: node-ip-regex
Description-md5: 247e8be14b93a9d985be6d7b7fac9d37
Description-en: Regular expression for matching IP addresses
 Returns a regex for matching both IPv4 and IPv6.
 .
 Only match an exact string. Useful with RegExp#test()
 to check if a string is an IP address.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ipaddr.js
Description-md5: 5f67e775ef6e06a6eb59611ff147d604
Description-en: IPv4 and IPv6 addresses manipulation - Node.js module
 This modules features:
  - verification and parsing of an IP address
  - match against a CIDR range or range list
  - match against reserved ranges like loopback or private ranges
  - conversion between IPv4 and IPv4-mapped IPv6 addresses.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-irregular-plurals
Description-md5: 47bdf7c12066140ea0a9c373c5a31fa6
Description-en: Map of nouns to their irregular plural form
 This package can be used to find the plural form of some
 nouns who have irregular plural form in English language only.
 If the noun ends in an "s", "x", "z", "ch" or "sh", add "es"
 If the noun ends in a "y" and is preceded by a consonant,
 drop the "y" and add "ies"
 If the noun ends in a "y" and is preceded by a vowel, add "s"
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-accessor-descriptor
Description-md5: 84467f1090a2f251e6c048d761150aed
Description-en: Identifies value with valid JS accessor descriptor characteristics
 Returns true if a value has the characteristics of a valid JavaScript
 accessor descriptor.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-arrayish
Description-md5: 3a129ce17fd1a3002fc58b99613cec7c
Description-en: Determines if an object can be used as an array
 A Nodejs module to determine if a given object can be used as an array,
 thus the object support indexing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-binary-path
Description-md5: b56688ff8bbae6fe13b8f37b083fb8a6
Description-en: check if a filepath is a binary file
 This library checks if a filepath is a binary file by checking known binary
 file extensions list.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-buffer
Description-md5: da6c1904001aa854db90b30e39710daf
Description-en: Determine if an object is a Buffer
 This module lets you check if an object is a Buffer without using
 Buffer.isBuffer (which includes the whole buffer module in browserify).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-builtin-module
Description-md5: 3ec031e1550ee9c4d8f6f9bfe1eda57d
Description-en: Check if string matches name of a Node.js builtin module
 Check if a string matches the name of a Node.js builtin module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-data-descriptor
Description-md5: c863830a11d55c8f47b38f526e182e3b
Description-en: True if a value has characteristics of a valid JS data descriptor
 Returns true if a value has the characteristics of a valid JavaScript data
 descriptor.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-descriptor
Description-md5: c7efd1cdb4914303693756112069862b
Description-en: Returns true if value has characteristics of a valid JS descriptor
 Returns true if a value has the characteristics of a valid JavaScript
 descriptor. Works for data descriptors and accessor descriptors.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-directory
Description-md5: 33ff8317a218865b1a3fa0ba1a764667
Description-en: checks if a given path is directory
 Returns true if a filepath exists on the file system and it's directory
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-dotfile
Description-md5: 7afa7aba153e839e98b1cf4006df7ae3
Description-en: Return true if a file path is (or has) a dotfile
 Return true if a file path is (or has) a dotfile. Returns false if the path
 is a dot directory.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-equal-shallow
Description-md5: b04c81a46a444fed383a64c0b4ef398e
Description-en: Does a shallow comparison of two objects.
 Node module that compares two objects and returns false if the keys
 or values differ.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-extendable
Description-md5: c4d7dbc29b7ab0bd870c15daec1a591c
Description-en: determine if a value can be extended
 Returns true if a value is any of the object types: array, regexp, plain
 object, function or date. This is useful for determining if a value can be
 extended, e.g. "can the value have keys?"
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-extglob
Description-md5: 1286052fe25e0ad5b9db2caa9b2d57ab
Description-en: Node module to return true if a string is an extglob
 Node module providing a function that returns true if a string contains
 an extglob. Extglobs add the expressive power of regular expressions
 to globs patterns.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-generator-fn
Description-md5: c90e7dc60d7ce57aad679fde8b656516
Description-en: Check if something is a generator function
 It is an library to check if a function is a native generator function.
 This library is a dependency for ava, a futuristic test runner.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-glob
Description-md5: c8f3c81c91b33c96bb8b87145e6d76d8
Description-en: Node module to return true if a string is a glob or extglob
 Node module providing a function that returns true if a string contains
 a glob or extglob. Extglobs add the expressive power of regular expressions
 to globs patterns.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-module
Description-md5: 55c02e12ad8d5d167b522fcbb3501e7d
Description-en: Node.js code to check if a string is an ES6 module
 This simple code checks whether a string is the source of an ES6 module. It
 doesn't actually execute the code and doesn't check other module types, so
 source strings without module loaders aren't recognized as modules.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-negated-glob
Description-md5: fb1dfd836d5171d7db8db06781fd2ab0
Description-en: returns object with `negated` boolean
 Returns an object with a `negated` boolean and the `!` stripped from
 negation patterns. Also respects extglobs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-npm
Description-md5: 20dc8eb5fe0640e8bd52141fb51623fd
Description-en: Checks if your code is running as an npm script
 Is-npm checks wheather your code is running as an npm or yarn script.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-number
Description-md5: b98b5e796fe090bc970fc0a32258f3f4
Description-en: returns true if the value is a number
 It has comprehensive tests.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-obj
Description-md5: 456d7c51880b73d1014386b28c2e6144
Description-en: Check if a JavaScript value is an object
 Determines if a value in JavaScript is an object. Arrays, functions, regexp are
 also objects in JavaScript.
 .
 This package contains the is-obj module for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-object
Description-md5: aed6b5c0cf1c4cfd72ee4ef3cbff9904
Description-en: Checks whether a value is an object
 Returns true if the value is an object and not null.
 .
 This package is a dependency of npm, Node.js package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-path-cwd
Description-md5: 76003add1467d9065658b06771d770a3
Description-en: Check if a path is CWD
 Node.js module that checks if a path is the working directory.
 .
 is-path-cwd module is a dependency of webpack-bundle-analyzer,
 in turn this is needed for gitlab.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-path-in-cwd
Description-md5: 9183409590c6e6024e64c126c317e6c1
Description-en: Check if a path is in the current working directory
 This module is a dependency of del module, which is similar to rimraf, but
 with a Promise API and support for multiple files and globbing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-path-inside
Description-md5: 81a4a3482fb5382322bcd96a47cac077
Description-en: Check if a path is inside another path
 When checked against same path given for both arguments it retruns false.
 .
 Node.js library to check if a path is inside another path given.
 For example "isPathInside('a/b/c', 'a/b/c');" given then it return false.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-plain-obj
Description-md5: 8d6b3b5f39e35ebf1ffbbf0b99936b99
Description-en: Check if a value is a plain object
 An object is plain if it's created by either `{}`, `new Object()` or
 `Object.create(null)`.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-plain-object
Description-md5: 3e590f486e0d13dbb74745b816215f3a
Description-en: Returns true if object was created by `Object` constructor
 Returns true if an object was created by `Object` constructor.
 .
 This library is a dependency of ava, a futuristic test runner.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-primitive
Description-md5: 077c2c66a465b7e1ef46705515f2fe7b
Description-en: Returns `true` if the value is a primitive
 Is the typeof value a javascript primitive? Returns `true` if the value is a
 primitive.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-promise
Description-md5: 8d9cd34c8f77a50ff94e5cf10bc200b8
Description-en: Test whether an object looks like a promises-a+ promise
 Node.js module to test whether an object looks like a promises-a+
 promise (a javascript object representing the eventual result of an
 asynchronous operation) compliant with the Promises/A+ specification.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-reference
Description-md5: 17d2323d2601177101f227222ec47bed
Description-en: Node.js code to determine whether an AST node is a reference
 Utility to determine whether an AST node which is known to be an Identifier
 corresponds to a reference or not.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-retry-allowed
Description-md5: 1ba47b3d2d62b3db4ddfde0bd76b8575
Description-en: Is retry allowed for Error?
 This module can be used to determine if an error allows retry or not. For
 example, ETIMEDOUT allows retry but ENOTFOUND does not allow retry.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-stream
Description-md5: 4c76c955c3e17fbcbaccb97d551c1956
Description-en: Check if something is a Node.js stream
 A stream is an abstract interface for working with streaming data in Node.js.
 The stream module provides a base API that makes it easy to build objects that
 implement the stream interface.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-typedarray
Description-md5: 5d8fd521f17f2a86f9824e8d7700bafd
Description-en: JavaScript library checking if object is TypedArray
 Detect whether or not an object is a Typed Array.

Package: node-is-unc-path
Description-md5: a3211c74c11d711c877d6042b53cb35f
Description-en: returns true if a filepath is a windows UNC file path
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-valid-glob
Description-md5: d7dfba093082bd321264a2c010ed5c2d
Description-en: Return true if a value is a valid glob pattern or patterns
 This really just checks to make sure that a pattern is either a string or
 array, and if it's an array it's either empty or consists of only strings.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-is-windows
Description-md5: 30f27e4b20c8bd8d508ca552f090cba8
Description-en: True if the platform is windows
 Returns true if the platform is windows
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-isarray
Description-md5: 963a92a9526e1e1622d65940337e05e1
Description-en: JavaScript Array#isArray for older browsers
 isarray provides Arrray#isArray for older browsers.
 .
 This package contains the isarray module for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-iscroll
Description-md5: d7cb87ecddc5f8b2c8e09cf638671eaa
Description-en: High performance, small footprint JavaScript scroller  NodeJS module
 iScroll is a high performance, small footprint, dependency free,
 multi-platform javascript scroller.
 .
 It works on desktop, mobile and smart TV. It has been vigorously
 optimized for performance and size so to offer the smoothest result
 on modern and old devices alike.
 .
 iScroll does not just scroll. It can handle any element that needs
 to be moved with user interaction. It adds scrolling, zooming,
 panning, infinite scrolling, parallax scrolling, carousels to your
 projects and manages to do that in just 4kb. Give it a broom and it
 will also clean up your office.
 .
 This package contains the NodeJS module which can be used from Browserify.

Package: node-isexe
Description-md5: 1f1009ac6eb93a784fe22b09eb981536
Description-en: minimal module to check if a file is executable
 This Node.js module allows ones to check if a given file is executable,
 using promise is available and checking PATHEXT environment
 variable on windows.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-isobject
Description-md5: b283ac7c95143099622bb9c332997ad5
Description-en: Checks if the value is an object and not an array or null
 Returns true if the value is an object and not an array or null
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-isomorphic-fetch
Description-md5: c5ddb9ec2e7bdf75b2b3b3ec1fd072cf
Description-en: Isomorphic WHATWG Fetch API, for Node & Browserify
 This adds fetch as a global so that its API is consistent between client and
 server.
 .
 This requires an ES6 Promise compatible polyfill, like es6-promise.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-isstream
Description-md5: 45d889d86d170d2044fcf3ace97ba50b
Description-en: Determine if an object is a Stream
 The missing Stream.isStream(obj): determine if an object is standard
 Node.js Stream. Works for Node-core Stream objects (for 0.8, 0.10, 0.11,
 and in theory, older and newer versions) and all versions of
 readable-stream.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-istanbul
Description-md5: 100720c8b24e2db22c5bb4662eba92bb
Description-en: JavaScript code coverage tool
 This package is a JavaScript code coverage tool that computes
 statement, line, function and branch coverage with
 module loader hooks to transparently add coverage
 when running tests.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-isurl
Description-md5: 6288462d8a9f184fe849c2343890137c
Description-en: Checks whether a value is a WHATWG URL
 Works cross-realm/iframe and despite @@toStringTag. WHATWG URL API is used to
 parse, construct, normalise, and encode URLs. URLs will be encoded as per RFC
 3986.
 .
 The Web Hypertext Application Technology Working Group is a community of
 people interested in evolving HTML and related technologies.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jade
Description-md5: 9ac85fa7870a24df24bdc909e6af5256
Description-en: high performance template engine - Node.js module
 Jade is a templating language able to compile to HTML.
 .
 Jade makes possible build up web sites quickly by offering
 a new way of separating logic from markup, using indentation
 to reflect nesting. It also supports Markdown language.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jake
Description-md5: f01fa5395a1b717e4c052a7c69d7fa60
Description-en: JavaScript build tool for Node.js
 Jake is a JavaScript build tool for the Node.js framework, with
 capabilities similar to the regular make or rake commands.
 .
 Jake has the following features:
  - Jakefiles are in standard JavaScript syntax
  - tasks with prerequisites
  - namespaces for tasks
  - async task execution

Package: node-jed
Description-md5: e261da88ee9dae5b59713abaad5f558c
Description-en: Gettext Style i18n for Modern JavaScript Apps - Node.js module
 If you don't specifically need a gettext implementation, look at MessageFormat
 instead, as it has better support for plurals/gender and has built-in locale
 data.
 .
 Jed doesn't include a Gettext file parser, but several third-party parsers
 exist that can have their output adapted for Jed.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jison-lex
Description-md5: 8e1d806a1ae139ec7815f26039c20031
Description-en: lexical analyzer generator used by jison
 A lexical analyzer generator used by jison. It takes a lexical grammar
 definition (either in JSON or Bison's lexical grammar format) and outputs a
 JavaScript lexer.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jju
Description-md5: d1c149e49defb2071111f14bb5ea2167
Description-en: set of utilities to work with JSON / JSON5 documents
 `jju.parse()` and `jju.stringify()` are better in some cases, but slower than
 native `JSON.parse()` and `JSON.stringify()` versions.
 .
 See /usr/share/doc/node-jju/README.md for more details.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jquery
Description-md5: b256542cea92f157d1b6de9b25c69ab9
Description-en: NodeJS wrapper for jQuery
 jQuery is a fast, concise, JavaScript Library that simplifies how you
 traverse HTML documents, handle events, perform animations, and add Ajax
 interactions to your web pages. jQuery is designed to change the way
 that you write JavaScript.
 .
 This package contains the NodeJS module.

Package: node-jquery-mousewheel
Description-md5: 1475c39a708f7f6ed95045348547b213
Description-en: jQuery plugin to add cross-browser mouse wheel support (Node.js)
 In order to use the plugin, simply bind the mousewheel event to an element.
 .
 It also provides two helper methods called mousewheel and unmousewheel  that
 act just like other event helper methods in jQuery.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jquery-textcomplete
Description-md5: 93d96bd4cd7909d4a07a832fe92eb2d7
Description-en: implement auto-complete support for textareas
 This jquery plugin implements auto-complete support for textareas, like a
 GitHub/Gitlab comment form or a social network comment box.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jquery-ui
Description-md5: d139e08d8eb027c6ed4e9cf3dcdab7d6
Description-en: JavaScript UI library for dynamic web applications (NodeJs)
 jQuery UI provides abstractions for low-level interaction and
 animation, advanced effects and high-level, themeable widgets,
 built on top of the jQuery JavaScript Library, that you can use
 to build highly interactive web applications.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jquery-ujs
Description-md5: b9e7400d463f67a35c85f8838bbcf694
Description-en: Unobtrusive scripting adapter for jQuery
 This unobtrusive scripting support file is developed for the Ruby on Rails
 framework, but is not strictly tied to any specific backend. You can drop
 this into any application to:
 .
  - force confirmation dialogs for various actions;
  - make non-GET requests from hyperlinks;
  - make forms or hyperlinks submit data asynchronously with Ajax;
  - have submit buttons become automatically disabled on form submit to
 prevent double-clicking.
 .
 These features are achieved by adding certain "data" attributes to your HTML
 markup. In Rails, they are added by the framework's template helpers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jquery.waitforimages
Description-md5: 08702538c5f534d4b3323d6a971853a9
Description-en: useful callbacks once descendant images have loaded
 waitForImages also supports both images referenced in CSS, such as the
 'background-image' property, and images referenced in element attributes such
 as srcset. Images referenced in attributes can also be a comma-separated list
 of images.
 .
 It can be useful when WebKit incorrectly reports element dimensions/offsets on
 document ready, because it has not calculated their descendant 'img'
 dimensions yet.
 .
 Supports all browsers you probably care about.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-js-beautify
Description-md5: 06f052df299efd4d098382ed07fa4b0f
Description-en: beautifier.io for node
 This little beautifier will reformat and reindent bookmarklets, ugly
 JavaScript, unpack scripts packed by Dean Edward’s popular packer, as well as
 deobfuscate scripts processed by javascriptobfuscator.com.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-js-cookie
Description-md5: b3493e1c42b2ea51f89799f08769e775
Description-en: Lightweight JavaScript cookie API
 JS-Cookie is a simple, lightweight JavaScript API for handling cookies. It
 supports JSON data, custom encodings, and standard JavaScript module loaders.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-js-tokens
Description-md5: 9e7e130a182dc7d5e4c54259425878e6
Description-en: Regex that tokenizes JavaScript
 js-tokens provides a regex with the g flag that matches JavaScript tokens.
 The regex always matches, even invalid JavaScript and the empty string.
 The next match is always directly after the previous.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-js-yaml
Description-md5: 3fd34972a0ba10cc4aa565730d109639
Description-en: YAML 1.2 parser and serializer
 This is an implementation of YAML, a human-friendly data serialization
 language. Started as PyYAML port, it was completely rewritten from scratch.
 Now it's very fast, and supports the 1.2 spec.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jsbn
Description-md5: 7fbd8139e2ecae980690936081a72bc7
Description-en: fast, portable implementation of large-number math in pure JS
 The jsbn library is a fast, portable implementation of large-number math in
 pure JavaScript, enabling public-key crypto and other applications on desktop
 and mobile browsers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jschardet
Description-md5: 1cf3d16b4dcbe2d5a8f7c0fbee0905a4
Description-en: Character encoding auto-detection in JavaScript
 This library takes a sequence of bytes in an unknown character encoding, and
 attempts to determine the encoding. This module is a port of python's chardet.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jsesc
Description-md5: c0b443e6e1d801fbdd692d3fd8485e1e
Description-en: Escape JavaScript strings, with short output (Node.js module)
 Escapes JavaScript strings while generating the shortes possible valid
 ASCII-only output. This can be used to avoid various encoding issues.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-buffer
Description-md5: 6406fa7e18b546b121fb2649269dcbab
Description-en: JSON functions that can convert buffers.
 json buffer converts to base64 instead, and deconverts
 base64 to a buffer.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-loader
Description-md5: 8e18631a7199589ee00abcf24b41bf50
Description-en: json loader for webpack
 This library is json loader module for webpack.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-localizer
Description-md5: f76edb4d863470933f3d51cfc25fd30c
Description-en: Utility to localize a json object
 Node-json-localizer can be used When you need humans to localize a json
 object from a config file. A typical use case is for a local config file,
 to extend an application config on the fly.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-parse-better-errors
Description-md5: 81353f74b5e9f152882644209d593630
Description-en: JSON.parse() with context information on error
 This is a Node.js library for getting nicer errors out of JSON.parse(),
 including context and position of parse errors.
 .
 It servers similar purpose as the JSON.parse method but returns more useful
 errors when exceptions happen.
 .
 It's really fast, really good at concurrency, and it will never give you
 corrupted data, even if cache files get corrupted or manipulated.
 .
 It was originally written to be used as npm's local cache, but
 can just as easily be used on its own
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-parse-helpfulerror
Description-md5: 8d5530cedef43e035848f4326544ea22
Description-en: drop-in replacement for JSON.parse that uses `jju`
 A drop-in replacement for `JSON.parse` that uses  <https://npmjs.org/jju>
 to provide more useful error messages in the event of a parse error.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-schema
Description-md5: df78411b90d50bf76a267d3a310942f0
Description-en: JSON Schema validation and specifications
 JSON Schema is a repository for the JSON Schema specification, reference
 schemas and a CommonJS implementation of JSON Schema (not the only JavaScript
 implementation of JSON Schema, JSV is another excellent JavaScript validator).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-schema-traverse
Description-md5: aa371fe3ae4fb549c34a22acada29392
Description-en: Json schema traveral package
 Traverse JSON Schema passing each schema object to callback.
 This library helps to traverse a JSON schema to callback.
 This is a dependency of npm.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-stable-stringify
Description-md5: 1a8b3c38e2e604515403cf5bfb3d675c
Description-en: deterministic JSON.stringify()
 It comes with custom sorting to get deterministic hashes from stringified
 results
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json-stringify-safe
Description-md5: 35e5cfed7b1d3a7c83c7f7406f26b57c
Description-en: JSON.stringify with circular references module for Node.js
 node-json-stringify-safe provides a serializer or a wrapper for
 JSON.stringify to be able to customize how to deal with circular
 references in the object being serialized, instead of throwing an
 exception.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-json2module
Description-md5: 125fd51199f98020bcc86252b1f5b7e2
Description-en: Convert a JSON object to an ES6 module
 For example, given a package.json file and run this through json2module,
 you’ll get ES6 module as output.
 .
 This is particularly useful for exporting a version number defined in your
 package.json file when using Rollup. Unlike rollup-plugin-json, which enables
 Rollup to parse JSON directly, json2module creates standard ES6 modules that
 can be consumed by any client.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json3
Description-md5: 5a34d4da30a20e92884ad863141068f1
Description-en: modern JSON implementation
 JSON 3 is a modern JSON implementation compatible with a variety of JavaScript
 platforms, including Internet Explorer 6, Opera 7, Safari 2, and Netscape 6.
 .
 The JSON 3 parser does not use eval or regular expressions. This provides
 security and performance benefits in obsolete and mobile environments, where
 the margin is particularly significant.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-json5
Description-md5: 97fd17b58309e4239a6c5b4052035526
Description-en: JSON for the ES5 era
 JSON5 is a proposed extension to JSON that aims to make it easier for
 humans to write and maintain by hand. It does this by adding some
 minimal syntax features directly from ECMAScript 5.
 .
 JSON5 remains a strict subset of JavaScript, adds no new data types,
 and works with all existing JSON content.
 .
 JSON5 is not an official successor to JSON, and JSON5 content may not
 work with existing JSON parsers. For this reason, JSON5 files use a
 new .json5 extension. (TODO: new MIME type needed too.)
 .
 The code here is a reference JavaScript implementation for both Node.js
 and all browsers. It’s based directly off of Douglas Crockford’s own
 JSON implementation, and it’s both robust and secure.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jsonfile
Description-md5: 6d9687783e73d4fb182ca1e91e03d1ff
Description-en: Easily read/write JSON files in Node.js
 jsonfile is a Node.js module to easily read/write JSON files in JavaScript.
 .
 The module provides readFile() and writeFile() methods that combine
 JSON.parse() with fs.readFile() and JSON.parse() with fs.readFile().
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jsonify
Description-md5: 6b71fa15d1bcfed7146ab6ebc4900b82
Description-en: JSON without touching any globals
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jsonld
Description-md5: 852ae72409e91079db553e2ff137445c
Description-en: JSON-LD processor - Node library
 This library is an implementation
 of the JSON-LD specification in JavaScript.
 .
 JavaScript Object Notation for Linked Data (JSON-LD)
 is a method of encoding Linked Data using JSON.
 .
 Linked Data is a method of publishing structured data
 so that it can be interlinked
 and become more useful through semantic queries.
 .
 This package contains jsonld usable with Node.

Package: node-jsonminify
Description-md5: 70c7d223496fcd0800c661f1724c57f0
Description-en: Minify blocks of JSON-like content into valid JSON
 Node.js module to minify blocks of JSON-like content into
 valid JSON by removing all whitespace *and* comments.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jsonparse
Description-md5: aeb3c11f7569476d2647cdf3dea6d48f
Description-en: Pure javascript JSON streaming parser for node.js
 This a simple nodejs module that parses a given JSON file and
 returning object form suitable for grammar analysis.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jsonselect
Description-md5: e1a2bd7f495e9cf93f97a825c31c69e0
Description-en: CSS-like selectors for JSON
 JSONSelect is an attempt to create a selector language similar to CSS for
 JSON objects.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jsonstream
Description-md5: c7a704c9c233ba9e1c24ccfe3ef0b0e8
Description-en: streaming JSON.parse and stringify
 JSONStream.parse(pattern, map) provide a function that can be used to map or
 filter the json output. map is passed the value at that node of the pattern,
 if map return non-nullish (anything but null or undefined) that value will be
 emitted in the stream. If it returns a nullish value, nothing will be emitted.
 .
 JSONStream also emits 'header' and 'footer' events, the 'header' event
 contains anything in the output that was before the first match, and the
 'footer', is anything after the last match.

Package: node-jsprim
Description-md5: 1c2876011acc3a93ac1467cdc303174b
Description-en: utilities for primitive JavaScript types
 This module provides miscellaneous facilities for working with strings,
 numbers, dates, and objects and arrays of these basic types.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jstimezonedetect
Description-md5: f953c7dcf57ccbdf31076e84ec45b2a6
Description-en: Finds the zone info key representing timezone setting in node
 Returns the IANA zone info key for the devices timezone. This is
 useful for detecting the timezone of clients automatically without
 needing to prompt users.
 .
 Node.js is an event-based server-side Javascript engine.

Package: node-jsv
Description-md5: 4d45f285d9b09fafdc9290d64ce05c2d
Description-en: extendable, fully compliant JSON schema validator for NodeJS
 JSV is a JavaScript implementation of a extendable, fully compliant JSON schema
 validator.
 Its features are: with the following features:
  - complete implementation of all current JSON Schema draft revisions;
  - support for creating individual environments (sandboxes) that validate using
    a particular schema specification;
  - provides an intuitive API for creating new validating schema attributes, or
    whole new custom schema schemas;
  - supports `self`, `full` and `describedby` hyper links;
  - validates itself, and is bootstrapped from the JSON schema schemas;
  - works in all ECMAScript 3 environments, including all web browsers and
    Node.js.

Package: node-jszip
Description-md5: 2b56c30e7bd5c49d343d1ea92c38f4f1
Description-en: Create, read and edit .zip files with Javascript
 A library for creating, reading and editing .zip files with Javascript, with a
 lovely and simple API.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-jszip-utils
Description-md5: 4c6d0b3267da6d2f7a78cceb26f668c5
Description-en: collection of cross-browser utilities to go along with JSZip
 It has two parts, one for every browsers and one for IE < 10.
 .
 JSZip is a javascript library for creating, reading and editing .zip files,
 with a lovely and simple API.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-katex
Description-md5: 60ed4bd63001e46cf219e8f3d2508c70
Description-en: Fast math typesetting for the web
 KaTeX is a fast, easy-to-use JavaScript library for TeX math rendering on the
 web.
 .
 KaTeX supports all major browsers, including Chrome, Safari, Firefox, Opera,
 Edge, and IE 9 - IE 11.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-keese
Description-md5: 399a4f26b3595e1995c83fcac2ecfce9
Description-en: arbitrary-precision floats encoded as strings - Node.js module
 keese is a Node.js module that generates well-ordered values, appropriate for
 use as sorting keys.
 .
 keese can always generate a bigger value, a smaller value, and a value
 between two other values. This is trivial using numbers with x+1, x-1, and
 (x+y)/2 respectively. However, numbers have limited precision in JavaScript,
 so instead keese uses strings.
 .
 The string values are comparable with the builtin comparison operators (such
 as <), and keese can always generate a new value that satisfies the
 constraints (limited only by system resources).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-kew
Description-md5: c072129947d760c133e1e531cc173776
Description-en: lightweight promise library for node
 kew is a lightweight promise framework with an aim of providing a base set of
 functionality similar to that provided by the Q library.
 .
 Q is still an awesome library and does way more than kew. Kew support a tiny
 subset of the Q functionality.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-keygrip
Description-md5: aca98d3653f1506ff8bb29eba4061556
Description-en: Key signing and verification for rotated credentials for Node.js
 This module helps signing and verifying data through a rotating credential
 system, in which new server keys can be added and old ones removed regularly,
 without invalidating client credentials.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-keypress
Description-md5: 7f95958717d33fecf8166b0979673c1d
Description-en: Make any Node ReadableStream emit "keypress" events
 Previous to Node v0.8.x, there was an undocumented "keypress" event that
 process.stdin would emit when it was a TTY. Some people discovered this
 hidden gem, and started using it in their own code.
 .
 In Node v0.8.x (and above), this "keypress" event does not get emitted by
 default, but rather only when it is being used in conjunction with the
 readline (or by extension, the repl) module.
 .
 This module is the exact logic from the node pre-v0.8.x releases ripped out
 into its own module.
 .
 Bonus: Now with mouse support!

Package: node-kind-of
Description-md5: a09d028e63965311909bc6f138007882
Description-en: Get the native type of a value
 Get the type of a value, fast.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-klaw
Description-md5: f3f91b842339543cb0db99d299906dec
Description-en: File system walker for Node.js
 Returns a Readable stream that iterates through every file and
 directory starting with the given directory as root.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-knockout
Description-md5: 76484b5e6c322e758937568172e4a376
Description-en: JavaScript MVVM framework
 Knockout is a JavaScript MVVM (a modern variant of MVC) library that makes it
 easier to create rich, desktop-like user interfaces with JavaScript and HTML.
 It uses observers to make your UI automatically stay in sync with an
 underlying data model, along with a powerful and extensible set of declarative
 bindings to enable productive development.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-knockout-sortable
Description-md5: 9b1dbd8030e33f689148c8e7ed9750c2
Description-en: JQuery-UI "sortable" binding for Knockout
 Knockout-Sortable is a binding for Knockout.js designed to connect
 observableArrays with jQuery UI's sortable functionality. This allows a user
 to drag and drop items within a list or between lists and have the
 corresponding observableArrays updated appropriately.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-knockout-transformations
Description-md5: f78259e36df74310351d284c9cc4c788
Description-en: Live transform methods for Knockout observable arrays
 This plugin adds observable map, filter, indexBy and sortBy features to
 Knockout.js observable arrays, so you can transform collections in arbitrary
 ways and have the results automatically update whenever the underlying source
 data changes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-labeled-stream-splicer
Description-md5: b829538a377a7a41c2201e2b61b767ba
Description-en: streaming pipeline with a mutable configuration and labels
 This modules allows one to create a pipeline duplex stream given an
 array of streams. Each stream will be piped to the next.
 .
 Streams could also be added and removed dynamically at runtime.
 Every stream could be associated with a string (label).
 .
 This a dependency of browserify. Browserify is a javaScript tool that allows
 developers to write Node.js-style modules that compile for use in the browser.
 .
 Node.js is an event-based server-side JavaScript engine

Package: node-lastfm
Description-md5: c6ed7e9a5cecc11b55d1dcfb9d99e7ed
Description-en: Read and write to Last.fm - Node.js module
 lastfm is a Node.js module which provides API to read and write to users'
 recent plays on Last.fm.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-latest-version
Description-md5: 919b74f6fc13e47c1bd3cde439e39818
Description-en: Get the latest version of an npm package
 Fetches the version directly from the registry instead of depending on the
 massive npm module like the latest module does.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lazy-cache
Description-md5: 5d581b9de5c697e17b161f4b2c48ba4b
Description-en: Cache requires to be lazy-loaded when needed
 Cache requires to be lazy-loaded when needed. Works with browserify.
 Uses node's own require system with tried and true, plain-vanilla
 JavaScript getters.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lazy-debug-legacy
Description-md5: 25f0f117d4bb851a12e3752b95d8a037
Description-en: Generates module names for vision media's debug
 Node.js module which generates app & module names for visionmedia´s debug using
 __filename and package.json.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lazy-property
Description-md5: e644082919d228072ba3b582d29d13eb
Description-en: Adds a lazily initialized property to the object
 E.G. require("lazy-property")(obj, name, init[, enumerable])
 obj is the object to add the property to
 name is the name of the property
 init is a function that computes the value of the property
 enumerable if the property is enumerable (default false)
 .
 This library is a dependency of npm, Node.js package manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lazystream
Description-md5: a44c1bd0668567b78a0146e7874cfbed
Description-en: Open streams on demand - Node.js module
 lazystream is a Node.js module which provides an API to create streams lazily
 when they are read from or written to.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lcid
Description-md5: 41811873087ed8a346f8a68726aef1c9
Description-en: map standard locale identifies and lcid
 Mapping between standard locale identifiers and Windows locale identifiers
 (LCID) .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lcov-parse
Description-md5: 4060a2af7c33278466705c18b9cd49fb
Description-en: Parse lcov results files and return JSON
 This modules allows ones to parse lcov files and to return json reprensentation
 of these files.
 .
 lcov is a graphical front-end for GCC's coverage testing tool gcov.
 It collects gcov data for multiple source files and creates HTML pages
 containing the source code annotated with coverage information. It
 also adds overview pages for easy navigation within the file
 structure.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-leaflet
Description-md5: 5b0c4af3b876fa403aacbc5e354835b9
Description-en: mobile-friendly interactive maps - Node.js library
 Leaflet is a modern JavaScript library for mobile-friendly interactive
 maps.  Weighing just about 27 KB of JS code, it has all the features
 most developers ever need for online maps.
 .
 Leaflet is designed with simplicity, performance and usability in mind.
 It works efficiently across all major desktop and mobile platforms out
 of the box, taking advantage of HTML5 and CSS3 on modern browsers while
 still being accessible on older ones. It can be extended with many
 plugins, has a beautiful, easy to use and well-documented API and a
 simple, readable source code that is a joy to contribute to.
 .
 This package provides Leaflet library usable with Node.js -
 an event-based server-side JavaScript engine.

Package: node-leaflet-formbuilder
Description-md5: 94e51ec16d790761ea24995487e19c11
Description-en: Helpers to build forms in Leaflet
 leaflet-formbuild provides helpers to build forms synchronized with
 Leaflet objects.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-leaflet-hash
Description-md5: bd7e20ef9f3ac86fdb5514dad3361d6c
Description-en: linkable location hashes for leaflet
 Leaflet-hash lets you to add dynamic URL hashes to web pages with Leaflet
 maps. You can easily link users to specific map views.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-leaflet-image
Description-md5: 531ce7495485c66b283b37edac678552
Description-en: image export for Leaflet - Node.js library
 Leaflet-image is a plugin for the Leaflet JavaScript library,
 for exporting images out of Leaflet maps without a server component
 by using Canvas and CORS.
 .
 Leaflet is a JavaScript library for mobile-friendly interactive maps.
 .
 This package provides Leaflet-image library
 usable with Node.js - an event-based server-side JavaScript engine.

Package: node-leaflet.markercluster
Description-md5: b14751edd03a322480076aaacee935c9
Description-en: marker clustering functionality for Leaflet - Node.js library
 Leaflet.markercluster is a plugin for the Leaflet JavaScript library,
 providing beautiful animated marker clustering functionality.
 .
 Leaflet is a JavaScript library for mobile-friendly interactive maps.
 .
 This package provides Leaflet.markercluster library
 usable with Node.js - an event-based server-side JavaScript engine.

Package: node-leche
Description-md5: 29fca321a7e0c16f17e9948f02db8410
Description-en: JavaScript testing utility for Mocha and Sinon
 Leche is a JavaScript testing utility
 designed to work with Mocha and Sinon.
 This is intended for use both by Node.js and in browsers,
 so any changes must work in both locations.
 .
 Sinon is a set of standalone test spies, stubs and mocks
 for JavaScript
 working with any unit testing framework.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-less
Description-md5: 1a1c959a4cd858912489af268bcfaf2e
Description-en: LESS CSS meta-language - compiler and Node module
 Node is an event-based server-side JavaScript engine.
 .
 LESS is a meta-language on top of CSS that’s used to describe the style
 of a document cleanly and structurally, with more power than flat CSS
 allows.  LESS both provides a simpler, more elegant syntax for CSS and
 implements various features that are useful for creating manageable
 stylesheets.
 .
 less.js is the reference implementation of LESS, written in JavaScript.
 .
 This package contains less.js usable as Node module, and the script
 lessc for statically compiling LESS data into CSS.

Package: node-leveldown
Description-md5: e82a5eb5c9725cd4714c628017fea570
Description-en: LevelDB bindings - Node.js module
 LevelDOWN provides a Node.js JavaScript API to the LevelDB C library. It
 provides the ability to open and close a LevelDB instance, as well as the
 put, get, del, and batch operations.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-leven
Description-md5: 6afdd2b3b294f2172ad100f92d76fab1
Description-en: library to measure the difference between two strings
 leven is a fast JS implementation of the Levenshtein distance algorithm to
 measure the difference of two strings.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-levn
Description-md5: 4827e6ac05ee48403e2c83d71de77225
Description-en: Light ECMAScript (JavaScript) Value Notation
 Levn is a library which allows you to parse a string into a JavaScript value
 based on an expected type. It is meant for short amounts of human entered data
 (eg. config files, command line arguments).
 .
 Levn aims to concisely describe JavaScript values in text, and allow for the
 extraction and validation of those values. Levn uses 'type-check' for its type
 format, and to validate the results.
 .
 How is this different than JSON? levn is meant to be written by humans only,
 is (due to the previous point) much more concise, can be validated against
 supplied types, has regex and date literals, and can easily be extended with
 custom types. On the other hand, it is probably slower and thus less efficient
 at transporting large amounts of data, which is fine since this is not its
 purpose.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lex-parser
Description-md5: 9646a339711f01fa86263f7a27319b1b
Description-en: parser for lexical grammars used by jison and jison-lex
 See /usr/share/doc/node-lex-parser/README.md for documentation
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lexical-scope
Description-md5: f33230987ec68389970cd2b64e1428a7
Description-en: detect global and local lexical identifiers in javascript
 This package detects whether  an indentifier is global scope
 or lexical scope.
 .
 This a dependency of Browserify. Browserify is a JavaScript tool that allows
 developers to write Node.js-style modules that compile for use in
 the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-libnpx
Description-md5: 9760f95e038ff6f7e0615d0f63c546bd
Description-en: support library for npx
 npx is a tool for executing npm-based binaries. For example, it allows one to
 run a command at a particular version of the module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-libravatar
Description-md5: fd7a26a436fb49e3c59e91032621173d
Description-en: libravatar library for NodeJS
 This library allows web application authors to make use of the free Libravatar
 service (https://www.libravatar.org). This service hosts avatar images for
 users and allows other sites to look them up using email addresses.
 .
 node-libravatar includes full support for federated avatar servers.

Package: node-libs-browser
Description-md5: c3166807d8bb8b9465f315871038fba3
Description-en: node core libs for in browser usage
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lie
Description-md5: cb744c5200a2a168dfe0979cb8a5b049
Description-en: basic but performant promise implementation
 lie is a small, performant, promise library implementing the Promises/A+ spec
 Version 1.1.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-liftoff
Description-md5: f714459e6b9048d0ec7d51edf34aec9e
Description-en: command line tool launcher
 Node.js module to make it easy for globally installed binaries
 to find and load a local installation, no matter what version it is,
 using a search algorithm similar to how node finds modules.
 .
 Supports intelligent filesystem traversal if the command is run in
 a sub-folder of the  project, and explicit directory specification.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lightgallery
Description-md5: 205f7c17112eab486f33885bd1280d12
Description-en: Modular lightbox gallery plugin for jQuery
 Lightgallery is a customizable, modular, and responsive lightbox gallery
 plugin for jQuery. Its main features include mobile device support,
 keyboard navigation, animated thumbnails, and HTML5 video display.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-load-grunt-tasks
Description-md5: e8d1ac391a21c9c225b2f23cf46120e8
Description-en: Load multiple grunt tasks using globbing patterns
 Usually you would have to load each task one by one, which is unnecessarily
 cumbersome.
 .
 This module will read the dependencies/devDependencies/peerDependencies
 /optionalDependencies in your package.json and load grunt tasks that match the
 provided patterns.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-load-json-file
Description-md5: c149b406a5b5cb5074b17e446c4aa7ff
Description-en: read and parse a JSON file
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-loader-runner
Description-md5: 5c134ea938f4b1b4c7f188a9dae8645c
Description-en: Runs (webpack) loaders
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-loader-utils
Description-md5: 374cb0414fe81a5b8c433ff14efce850
Description-en: utils for webpack loaders
 Node.js is an event-based server-side JavaScript engine.

Package: node-locate-character
Description-md5: 4dbd3f537282db0b1901e88fe481791c
Description-en: Helper to find the line and column of a character in a string
 Module to find the line and column of a character within a string,
 starting at any index.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-locate-path
Description-md5: 17e56ae314e7ebce51aa486c3b6764e2
Description-en: Get the first path that exists on disk of multiple paths
 Node.js module to get the first path that exists on disk, from
 an array of multiple possible paths.
 .
 Provides a synchronous version and an asynchronous version (that
 returns a promise).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lockfile
Description-md5: df98accda2d39a30ebac215520ea8cc5
Description-en: Asynchronous file lock module for Node.js
 This module provides asynchronous and synchronous concurrent file
 locking. It supports timeouts, expirations, and retrying upon failure.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-lodash
Description-md5: 356bf46f47703a46b78c5e4d3a921a66
Description-en: Lo-dash is a Node.js utility library
 Lo-dash is a Node.js utility library delivering
 consistency, customization, performance, & extras.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lodash-compat
Description-md5: d8d7ad2910b4ce7490c97771aa4b8305
Description-en: compatibility build of lodash modular utilities
 This module provides the compatibility build of lodash library
 exported as a UMD module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lodash-packages
Description-md5: a053c604f3838553e99080f4c8b778fd
Description-en: Lo-dash is a Node.js utility library (per method packages)
 Lo-dash is a Node.js utility library delivering
 consistency, customization, performance, & extras.
 .
 This package provides lodash methods exported as separate modules.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lodash-reescape
Description-md5: 0bbde1d62a696cc13542fd104eba1c7e
Description-en: modern build of lodash’s internal `reEscape` as a module
 Most of the per method modules are available from node-lodash-packages.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lodash-reevaluate
Description-md5: d85bc5d6c0c4f7bb95cf97cc358b6351
Description-en: modern build of lodash’s internal `reEvaluate` as a module
 Most of the per method modules are available from node-lodash-packages.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-log-driver
Description-md5: 23452fe7d30ffa46461598ded17d18b2
Description-en: simple logging framework in pure javascript
 This module implement logging to stdout and concatenate before
 every message the log level severity (configurable)
 date, time and local time zone. This modules allows ones
 to easily trace log event even.
 .
 In all the cases logs are redirected to stdout in order to allows one
 to pipe output to irc or logger  program
 .
 This modules is needed by tools like coveralls, a coverage tools
 for javascript program.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-log4js
Description-md5: 30fec9f3924e78e5bfa893100ecfca59
Description-en: Conversion of the log4js framework to work with Node.js
 Logging support for Node.js based on the log4js Javascript (browser/client)
 framework.
 .
 Supported features:
 .
    - coloured console logging
    - replacement of node's console.log functions (optional)
    - file appender, with log rolling based on file size
    - SMTP appender
    - GELF appender
    - hook.io appender
    - multiprocess appender (useful when you've got worker processes)
    - a logger for connect/express servers
    - configurable log message layout/patterns
    - different log levels for different log categories (make some parts
      of your app log as DEBUG, others only ERRORS, etc.)

Package: node-lolex
Description-md5: dbd54fd5659e48e9dbfe87ddd247db9a
Description-en: Fake JavaScript timers
 JavaScript implementation of the timer APIs; setTimeout, clearTimeout,
 setImmediate, clearImmediate, setInterval and clearInterval, along with a
 clock instance that controls the flow of time. Lolex also provides a Date
 implementation that gets its time from the clock.
 .
 Lolex can be used to simulate passing time in automated tests and other
 situations where you want the scheduling semantics, but don't want to
 actually wait. Lolex is extracted from Sinon.JS.

Package: node-loose-envify
Description-md5: 450575588c1b41f56be2fc3f42167c7f
Description-en: Replace Node.js environment variables with plain strings
 Performs a Javascript source-to-source transformation (transpiling),
 that efficiently replaces process.env environment variables with plain
 strings. This makes the environment variable checks faster and easier to
 optimize out.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-loud-rejection
Description-md5: 0d0e547cfd93422efa735b60a31577b4
Description-en: make unhandled promise rejections fail loudly
 This library can be used to make unhandled promise rejections fail loudly
 instead of the default silent fail.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lowercase-keys
Description-md5: a6af89313156495f25159829be56f4c7
Description-en: Lowercase the keys of an object
 It lowercases the keys and returns a new object.
 If you pass the keys of an object which are not in lowercase or if you
 want to ensure that all the keys are in lowercase then you can pass the
 object as an argument and it returns a new object with all the keys in
 lowercase.
 This package is a dependency for ava.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-lru-cache
Description-md5: f38282a5f53649357e27bfce03a9dbac
Description-en: least-recently-used cache object for Node.js
 A cache object that deletes the least-recently-used items.
 This is the Node.js module.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-ltx
Description-md5: 35a1ec03631c91a7d2855709cbe5369d
Description-en: XML DOM builder library for Node
 Node is an event-based server-side JavaScript engine.
 .
 Less-Than XML (ltx) is an XML DOM builder library for Node.
 .
 Parsing with support for advanced XML features (but slower) requires
 either of the packages node-sax node-node-expat.

Package: node-lunr
Description-md5: 3b02ceab6f4b5d0000fa3fb476a694fb
Description-en: simple full-text search - Node.js
 Lunr.js is a small, full-text search library for use in the browser.
 It indexes JSON documents and provides a simple search interface
 for retrieving documents that best match text queries.
 .
 This package provides Lunr for use with Node.js -
 an event-based server-side JavaScript engine.

Package: node-macaddress
Description-md5: c4c3795aaf63d7ec90399527eb4c7f61
Description-en: Get MAC addresses of host network interfaces in Node.js
 node-macaddress is a nodejs library that retrieves the MAC addresses
 (hardware addresses) of the host's network interfaces.
 It provides a convenient wrapper of os.networkInterfaces() call.
 .
 If the host system has more than one network interface, it will
 automatically pick one appropriate interface if you'are only interested
 in one MAC address identifying the host system.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-magic-string
Description-md5: 25a1e5d7462ea7253603e0102faf7df1
Description-en: Modify strings, generate sourcemaps
 This package makes it possible to update a source map of a lightly modified
 source code.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-make-dir
Description-md5: b3e06cfe648f5489588e11bad517fcd5
Description-en: Make a directory and its parents if needed - Think mkdir -p
 Advantages over mkdirp
  - Promise API (Async/await ready!)
  - Fixes many mkdirp issues
  - 100% test coverage
  - CI-tested on macOS, Linux, and Windows
  - Actively maintained
  - Doesn't bundle a CLI
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-map-cache
Description-md5: 6f3117797de69c8669d99fd810407699
Description-en: Basic cache object for storing key-value pairs
 Basic cache object for storing key-value pairs. Based on MapCache in
 Lo-dash 3.0
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-map-obj
Description-md5: a13bd5aafbbb64bf8245766af0e79471
Description-en: Map object keys and values into a new objects
 map-obj takes key and values from an object and
 maps them on to a new object with new keys.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-map-visit
Description-md5: a27c85505ff20d4dc52c8c4f4a26cd39
Description-en: Map `visit` over an array of objects
 /usr/share/doc/node-map-visit/README.md for more info.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-marked
Description-md5: cc163c605654bb38437d8e9ebcf5eb1e
Description-en: Full-featured markdown parser and compiler for NodeJS
 Marked implements all markdown features while having a high processing
 speed. It is also fully compatible with client-side javascript.
 .
 Additionally Marked also implements github flavored markdown.
 .
 This package contains the marked executable and node module.

Package: node-marked-man
Description-md5: 944a30e94078213d30981ed6a02bdc83
Description-en: Markdown to man page conversion - Node.js
 This module adds groff output support to node-marked.
 It provides an easy way to maintain man pages in a markdown
 format (with gfm flavor by default).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-match-at
Description-md5: ce592c8f43cd90a20a09dd1c8b8d4ab0
Description-en: Relocatable regular expressions
 Like String.prototype.match if it only checked the regex at the given index
 instead of searching the entire string.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-matcher
Description-md5: 943383a18b784b782b4a98735c0ee65e
Description-en: Simple wildcard matching
 Useful when you want to accept loose string input and regexes/globs are too
 convoluted.
 .
 This module can be used in different ways. It can accept an array of input's
 and pattern's and returns an array of inputs filtered based on the patterns.
 Or it returns a boolean of whether if the input matches the pattern.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-matrix-js-sdk
Description-md5: 7f8c2c2e3f5bbf9f81d7a39febe87a8a
Description-en: JavaScript Client-Server SDK for the Matrix protocol
 matrix-js-sdk is an SDK that allows JavaScript programs to communicate over
 Matrix, an open, federated communications protocol.
 .
 This package provides the nodejs version of matrix-js-sdk.

Package: node-mbtiles
Description-md5: 2f64af5d1d45144871d4f49abda49ee0
Description-en: Tilelive store for writing to MBTiles format - Node.js module
 node-mbtiles implements the tilelive API and as such is a
 registerable tilestore URI protocol handler for writing to
 MBTiles format.
 .
 MBTiles is a specification for storing tiled map data in SQLite
 databases for immediate usage and for transfer.
 .
 node-tilelive is an interface for tile backends for Node.js.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-md5-hex
Description-md5: fa4cb8739e0f768eb0a541d75376fbe4
Description-en: Create a MD5 hash with hex encoding
 This modeule works in the browser too, when used with browserify/webpack.
 .
 Warning: Please don't use MD5 hashes for anything sensitive!
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-md5-o-matic
Description-md5: 002e6fe0e5b469805b87e9bcc4caaaf3
Description-en: Fast and simple MD5 hashing utility with zero module dependencies
 Simple & fast MD5 hashing for Node.js that requires no other
 module dependencies since it is pure javascript
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-md5.js
Description-md5: 4b0c67ca0f10a5fdfa818a657bbd3b74
Description-en: implementation of MD5 in pure JavaScript
 This module implement md5 on pure javascript for browserify.
 .
 The MD5 algorithm is a widely used hash function producing a 128-bit
 hash value. Although  MD5 was initially designed to be used as a
 cryptographic hash function, it has been found to suffer from
 extensive vulnerabilities. It can still be used as a checksum to
 verify data integrity,  but only against unintentional corruption.
 .
 Browserify is a JavaScript tool that allows developers to write
 Node.js-style modules that compile for use in the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mdn-data
Description-md5: 1df7560edc66ecb1bfa3a91b16795423
Description-en: Open Web data by the Mozilla Developer Network
 This module maintained by the MDN team at Mozilla contains general data for
 Web technologies. This data is used in MDN documentation, to build information
 boxes or sidebar navigation.
 .
 External tools have started to make use of this data as well. For example, the
 CSSTree CSS parser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-media-typer
Description-md5: 2757b806f6d0a29f455b9325c4dda3bd
Description-en: RFC 6838 media type parser and formatter - Node.js module
 This module parses a media type string as typically found in HTTP
 Content-Type header.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mem
Description-md5: 5179744e50c8730c5424aa62bfdafa67
Description-en: Memoize functions
 An optimization used to speed up consecutive function calls by caching the
 result of calls with identical input.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-memory-fs
Description-md5: 8a9642f7fa5402d8498f2c596a8fe3c3
Description-en: simple in-memory filesystem
 It holds data in a javascript object.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-meow
Description-md5: 7bfab58f109f275ad4d2beb0c059062e
Description-en: Command-line interface app helper
 Features
   Parses arguments using minimist
   Converts flags to camelCase
   Outputs version when --version
   Outputs description and supplied help text when --help
   Makes unhandled rejected promises fail loudly instead of default silent fail
   Sets the process title to the binary name defined in package.json
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-merge
Description-md5: 9183e0602894ebbe0de89b0965816a47
Description-en: JavaScript utility for merging multiple objects into one.
 Merge multiple objects into one, optionally creating a new cloned object.
 Similar to the jQuery.extend but more flexible. Works in Node.js and
 the browser.

Package: node-merge-descriptors
Description-md5: 8bc087693a2e4b276274e0a45c9ee24f
Description-en: merge objects using descriptors
 Contains a simple module to merge objects using
 descriptors.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-merge-stream
Description-md5: b8e7b1ca0b489feca5e2b409330eebe3
Description-en: Create a stream that emits events from multiple other streams
 This is adapted from event-stream separated into a new module, using Streams3.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mess
Description-md5: 392f19671287f47732896b24063dc66e
Description-en: Fisher–Yates shuffle algorithm implementation for Node.js
 Mess is a Node.js module which provides the Fisher-Yates shuffle function for
 arrays.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-methods
Description-md5: 1e961fa000135e6e39a6621d4593085a
Description-en: contains the HTTP methods that Node.js supports
 Simple module which exports the HTTP verbs that node core's
 parser supports.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-micromatch
Description-md5: 77657be23be3e8643682059b02c498db
Description-en: Glob matching for javascript/node.js
 A drop-in replacement and faster alternative to minimatch and multimatch.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-miller-rabin
Description-md5: 41582bb204ef941ecd366c0863d53caa
Description-en: Miller Rabin algorithm for primality test
 This a pure javascript implementation of Miller Rabin primality test.
 .
 The Miller–Rabin primality test or Rabin–Miller primality test is a
 primality test: an algorithm which determines whether a given number
 is prime.
 .
 Like the Fermat and Solovay–Strassen tests, the Miller–Rabin test
 relies on an equality or set of equalities that hold true for prime
 values, then checks whether or not they hold for a number that is
 tested for primality.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-millstone
Description-md5: 4bc8c679914c2ae4bb6cafd43a47bb5b
Description-en: prepare datasources in an MML file for consumption in mapnik
 node-millstone localizes datasources found in a node-carto project file
 before it is converted into a xml stylesheet usable by mapnik.
 .
 Mapnik is an OpenSource C++/Python toolkit for developing GIS applications.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-mime
Description-md5: 06da5e0eb83dd97d8cd2f01b8ba6bcba
Description-en: library for mime-type mapping for Node.js
 mime is a Node.js library for mime-type mapping.
 .
 A comprehensive, compact MIME type module.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-mime-types
Description-md5: 643c6b03ca33c0cb6fcbba37a3ea4d64
Description-en: ultimate JavaScript content-type utility - Node.js module
 This package provides a library for mime-type mapping similar to mime
 module with some differences, such as it always returns a value, even
 false if mime type is not found, and supports additional mime types.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mimic-fn
Description-md5: 5430645b6ce24e115a79936d62164845
Description-en: Make a function mimic another one
 Node.js is an event-based server-side JavaScript engine.

Package: node-mimic-response
Description-md5: 55ee70ac166b99ca75f060c26b9eca40
Description-en: Mimic a Node.js HTTP response stream
 Make a function mimic another one.
 .
 Useful when you wrap a function and like to preserve the original name and
 other properties.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-minimalistic-crypto-utils
Description-md5: 9a7f1517ac0116e24aa4a9b27124aad0
Description-en: Minimalistic tools for JS crypto modules
 This package includes tools and functions useful for implementing
 cryptographic operation in pure javascript.
 .
 This a dependency of browserify, a tool that create self contained module
 that run in browser context.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-minimatch
Description-md5: 1eb4388b667ee4f8d0ff7553d0d0e6a1
Description-en: Convert glob expressions into RegExp objects for Node.js
 A pure javascript, not strictly compatible, implementation of fnmatch/glob.
 Supports negation, comment, double-star, brace expansion.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-minimist
Description-md5: facf2aa518afc1cf63731009e7209673
Description-en: Argument options parsing for Node.js
 Minimist is the guts of optimist's argument parser without all the
 fanciful decoration.
 .
 Optimist is a light-weight node.js library for option parsing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mississippi
Description-md5: c96c9ef4da3ba03880872080048c5788
Description-en: collection of useful streams
 This modules is a collection of useful stream utility modules. Learn how the
 modules work using this and then pick the ones you want and use them
 individually.
 .
 The goal of the modules included in mississippi is to make working with
 streams easy without sacrificing speed, error handling or composability.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mithril
Description-md5: 0a21ad23e2c5081ab9b5310cb245c6ec
Description-en: Javascript framework for building Single Page Applications
 Mithril is a modern client-side Javascript framework for building Single Page
 Applications. It's small (< 8kb gzip), fast and provides routing and XHR
 utilities out of the box.

Package: node-mixin-deep
Description-md5: adc626f6f4395f9477b58050d4cb242f
Description-en: Deeply mix the properties of objects into the first object
 Like merge-deep, but doesn't clone.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mkdirp
Description-md5: 718d5be18f50b003b893b32e5334317f
Description-en: Recursively create directories - Node.js module
 mkdirp is a Node.js module to recursively create directories,
 emulating mkdir -p shell command.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-mocha-lcov-reporter
Description-md5: 089b1d34285d729b5de4387ffbcc1837
Description-en: LCOV reporter for Mocha
 The package provides a reporter for the Mocha JavaScript
 test framework in the LCOV format.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mock-fs
Description-md5: 6f43e98706782027ef69419a7843214a
Description-en: configurable mock for Node.js module fs
 The "mock-fs" module allows Node's built-in "fs" module
 to be backed temporarily by an in-memory, mock file system.
 This lets you run tests against a set of mock files and directories
 instead of lugging around a bunch of test fixtures.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mocks-http
Description-md5: ab33ad4a8ae12ab6dc9d7a74f034b0d9
Description-en: Mock 'http' objects for testing Express routing functions
 Mock 'http' objects for testing Express routing functions, but could
 be used for testing any Node.js web server applications that have code
 that requires mockups of the request and response objects
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-modern-syslog
Description-md5: f96a2f695a03f07840d686e806a9363f
Description-en: native syslog client library for Node.js
 modern-syslog is the only Node.js library that uses native bindings to the
 libc syslog API, is async, can be used as a stream, and is API compatible
 with the (deprecated) node-syslog.
 It uses Nan, so supports node and io.js

Package: node-modify-babel-preset
Description-md5: b1ad66b2ad82f4381b7f2f332a49b91d
Description-en: Create a modified babel preset based on an existing preset
 Babel is a JavaScript compiler to use next generation JavaScript, today.
 .
 ES2015 and beyond: Babel has support for the latest version of JavaScript
 through syntax transformers. These plugins allow you to use new syntax, right
 now without waiting for browser support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-module-deps
Description-md5: d094a6053c49a26d4aad5389cdacefa1
Description-en: walk the dependency graph to generate json output for browser-pack
 This modules creates a dependency graph allowing browserify to embed
 all modules in a single object.
 .
 Browserify is a JavaScript tool that allows developers to write
 Node.js-style  modules that compile for use in the browser
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-moment
Description-md5: 4db150f8a4fc67daf457c78fba7b1de5
Description-en: Work with dates in JavaScript (Node.js module)
 A lightweight JavaScript date library to parse, validate,
 manipulate and format dates.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-moment-timezone
Description-md5: 82e150d03b2a9f1f5d2fa92abf18476c
Description-en: Parse and display dates in any timezone (node.js library)
 Parses and displays dates in any configured timezone. This is done
 using the IANA timezone database data. It also enables timezone
 conversion.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mongodb
Description-md5: 67a42e5e66d69faf8e4447e0261356dd
Description-en: official MongoDB driver for Node.js
 MongoDB is a high-performance, open source, schema-free document-oriented
 data store.
 .
 node-mongodb provides a high-level API on top of node-mongodb-core that is
 the meant for end users.

Package: node-monocle
Description-md5: a77953145910f45e9b3f3d921e066cf5
Description-en: Watch directories for file changes - Node.js module
 This module provides a thin layer on top of Node.js fs.watch tool.
 It helps watching nested directories, arbitrary paths, and deals with
 some cross-platform issues.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mousetrap
Description-md5: b8b1058d82637894a2e4e5d57620001a
Description-en: Simple library for handling keyboard shortcuts
 It is around 2kb minified and gzipped and 4.5kb minified, has no external
 dependencies, and has been tested in the following browsers:
  - Internet Explorer 6+
  - Safari
  - Firefox
  - Chrome
 .
 It has support for keypress, keydown, and keyup events on specific keys,
 keyboard combinations, or key sequences.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-move-concurrently
Description-md5: 5f5e90441c3364f814829b5a10ddb5cc
Description-en: Move files and directories concurrently
 Promises of moves of files or directories with rename, falling back to
 recursive rename/copy on EXDEV errors, with configurable concurrency and win32
 junction support.
 .
 If you `move` across devices or on filesystems that don't support renaming
 large directories.  That is, situations that result in `rename` returning
 the `EXDEV` error, then `move` will fallback to copy + delete.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mqtt-connection
Description-md5: 9594bc05eb2d935586bc8175064bbc1c
Description-en: Barebone Connection object for MQTT
 This library uses mqtt-packet for generating and parsing MQTT packets. It
 works over any kind of binary Streams. For example, TCP, TLS, and WebSocket.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mqtt-packet
Description-md5: 264ea137cb6fcbe67eb1b0f19f8b48d7
Description-en: parse and generate MQTT packets
 This library parse and generate MQTT packets like a breeze. Encode and Decode
 MQTT 3.1.1 packets the node way.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ms
Description-md5: 84600ffab13360bd07ef328fb1d3ad59
Description-en: milliseconds conversion utility - Node.js module
 This module provides a tiny milliseconds conversion utility able to
 transform a string with a valid time unit to the equivalent number
 of milliseconds and vice versa.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-multimatch
Description-md5: 41d4abcb66059e950357030e80c9718e
Description-en: Extends minimatch.match() with support for multiple patterns
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-multiparty
Description-md5: fd1a2c87a781e50f5dbe507eea300f3d
Description-en: Multipart/form-data parser for Node.js
 node-multiparty is a well-tested multipart/form-data parser for
 requests sent by HTTP clients. Files uploads are properly handled
 as streams, and are not written to disk by default.
 .
 This module is a fork of node-formidable.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-multipipe
Description-md5: 6b15016434318f8c7dbf924687ef6888
Description-en: pipe streams with centralized error handling
 A better `Stream#pipe` that creates duplex streams and lets you handle errors
 in one place.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-music-library-index
Description-md5: 0dcdfe8baf742549b1dcb3f58104d548
Description-en: build a searchable object model given track metadata objects - Node.js module
 Given track metadata objects, constructs a searchable object model.
 .
 Sort order ignores 'a', 'an' and 'the' in artists, albums, and names. Sorting
 and searching is case insensitive and diacritics-insensitive. Searching uses
 word-based filtering on all track fields. Distinguishes albums by name, date,
 and album artist.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mustache
Description-md5: d9d73c53e485d7cd1ec6c8a256a83873
Description-en: Mustache rendering engine for Javascript - NodeJS
 Inspired by ctemplate, Mustache is a framework-agnostic way to render
 logic-free views. (As in model-view-controller.)
 .
 As ctemplates says, "It emphasizes separating logic from presentation:
 it is impossible to embed application logic in this template
 language."
 .
 This package provides the Mustache rendering engine for NodeJS, and the
 `mustache' command-line tool.

Package: node-mutate-fs
Description-md5: 479d7bcd3ad741da179ebebb0aae2ac3
Description-en: Mutates the node.js file-system behavior for tests
 Node utility that mutates the node.js file-system behavior for tests to
 be used in a test environment.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-mute-stream
Description-md5: f9c700b3a2c1b2e5e161496fa466cdd9
Description-en: Pass-through stream that can be muted module for Node.js
 node-mute-stream is a basic pass-through stream, but when muted,
 the bytes are silently dropped, rather than being passed through.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-mysql
Description-md5: c404bdee8477f598f05f8a5ebb98501e
Description-en: MySQL client implementation for Node.js
 Implementation of the MySQL protocol for Node.js.
 .
 This MySQL module provides non-blocking I/O with MySQL databases. It is written
 in pure Javascript and there is no dependency on external C libraries such as
 libmysql.

Package: node-mz
Description-md5: 5634234fde354d31668900fc5a72629d
Description-en: Modernize node.js to current ECMAScript specifications
 Node.js will not update their API to ES6+ for a while. This library is a
 wrapper for various aspects of node.js' API.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-n3
Description-md5: 5e01feee6ab7be6345a980487c542043
Description-en: fast asynchronous streaming RDF for JavaScript - Node library
 The N3.js library is an implementation
 of the RDF.js low-level specification at <https://rdf.js.org/>
 that lets you handle RDF in JavaScript easily.
 .
 It offers:
 .
  * Parsing triples/quads
    from Turtle, TriG, N-Triples, N-Quads, and Notation3 (N3)
  * Writing triples/quads
    to Turtle, TriG, N-Triples, and N-Quads
  * Storage of triples/quads in memory
 .
 Parsing and writing is:
  * asynchronous – triples arrive as soon as possible
  * streaming – streams are parsed as data comes in,
    so you can parse files larger than memory
  * fast – by far the fastest spec-compatible parser in JavaScript
 .
 Resource Description Framework (RDF)
 is a standard model for data interchange on the Web.
 .
 This package contains N3.js usable with Nodejs.

Package: node-nan
Description-md5: 0383d46de480371dd70d10c58230ca2e
Description-en: Native Abstractions for Node.js
 A header file filled with macro and utility goodness for making addon
 development for Node.js easier across versions 0.8, 0.10 and 0.11, and
 eventually 0.12.
 .
 Thanks to the crazy changes in V8 (and some in Node core), keeping
 native addons compiling happily across versions, particularly 0.10 to
 0.11/0.12, is a minor nightmare. The goal of this project is to store
 all logic necessary to develop native Node.js addons without having to
 inspec NODE_MODULE_VERSION and get yourself into a macro-tangle.
 .
 This project also contains some helper utilities that make addon
 development a bit more pleasant.

Package: node-ncp
Description-md5: f4d572d104c706e7adb37a967caffe8c
Description-en: Asynchronous recursive file copy utility - Node.js module
 ncp is a Node.js module which provides asynchronous recursive file and
 directory copying.
 .
 ncp supports several options to filter, transform the stream, disable
 clobber, dereference, stop on error, catch errors in a stream.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-negotiator
Description-md5: c5fd068d901e086acea081c41641c933
Description-en: HTTP content negotiator for Node.js
 node-negotiator parses HTTP Accept headers to return preferred
 media types, languages, charsets, encodings from lists of
 available choices.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-neo-async
Description-md5: 6aaedb3a9fc4f8f29f04facd1b3e7b3d
Description-en: faster replacement for Async
 Neo-Async is thought to be used as a drop-in replacement for Async, it almosti
 fully covers its functionality and runs faster.
 .
 Async is a utility module which provides straight-forward, powerful
 functions for working with asynchronous Javascript. Although originally
 designed for use with Node, it can also be used directly in the
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-node-dir
Description-md5: fd259ebbb5b414c376dd31f8f789a30d
Description-en: asynchronous file and directory operations for Node.js
 A lightweight Node.js module with methods for some common directory and file
 operations, including asynchronous, non-blocking methods for recursively
 getting an array of files, subdirectories, or both, and methods for
 recursively, sequentially reading and processing the contents of files in a
 directory and its subdirectories, with several options available for added
 flexibility if needed.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-node-expat
Description-md5: 3d827771dc7ee51ac0d6838e98637007
Description-en: fast XML parser library for Node
 Node is an event-based server-side JavaScript engine.
 .
 node-expat is a fast XML parser library for Node.

Package: node-node-forge
Description-md5: e233a40c790ca885bd15303fa449e215
Description-en: JavaScript implementation of TLS and more - Node library
 The Forge software is a fully native implementation
 of the TLS protocol in JavaScript,
 a set of cryptography utilities,
 and a set of tools for developing Web Apps
 that utilize many network resources.
 .
 This package contains Forge usable with Node.

Package: node-node-localstorage
Description-md5: b9c7bf7cb90cfa9c67155dcc38113817
Description-en: substitute for the browser native localStorage API
 A substitute for the node.js browser native localStorage API that
 runs on node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-node-rest-client
Description-md5: b7fe38fede4171006d8acf8079f28b92
Description-en: Rest API client for NodeJS
 Allows connecting to any API REST and get results as js Object. The client
 has the following features:
  * Transparent HTTP/HTTPS connection to remote API sites.
  * Allows simple HTTP basic authentication.
  * Allows most common HTTP operations: GET, POST, PUT, DELETE, PATCH or any
    other method through custom connect method
  * Allows creation of custom HTTP Methods (PURGE, etc.)
  * Direct or through proxy connection to remote API sites.
  * Register remote API operations as own client methods, simplifying reuse.
  * Dynamic path and query parameters and request headers.
  * Improved Error handling mechanism (client or specific request)
  * Added support for compressed responses: gzip and deflate
  * Added support for follow redirects thanks to great follow-redirects
    package
  * Added support for custom request serializers (json,xml and url-encoded
    included by default)
  * Added support for custom response parsers (json and xml included by
    default)
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-node-rsa
Description-md5: 1fd02492259585e74cb4580faa1fa6a7
Description-en: RSA library for Node.js
 This package provides an RSA library implementation in Node.js
 based on JSBN <http://www-cs-students.stanford.edu/~tjw/jsbn/>.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-node-sass
Description-md5: 2bbc4322619e2141776c0f0b1466c2f0
Description-en: Wrapper around libsass
 Node-sass is a library that provides binding for Node.js to LibSass.
 .
 LibSass is the C version of the popular stylesheet preprocessor, Sass.
 .
 It allows you to natively compile .scss files to css at
 incredible speed and automatically via a connect middleware.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-node-stringprep
Description-md5: 13f6e27b910889e69f275e40888fe916
Description-en: ICU StringPrep profiles for Node
 Node is an event-based server-side JavaScript engine.
 .
 node-stringprep provides a Node binding to ICU, exposing predefined
 Unicode normalization functions that are required by many protocols.

Package: node-node-uuid
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: node-nodedbi
Description-md5: 0e92026fc3cc1671c3477a31c969db92
Description-en: libdbi interface for Node.js
 NodeDBI is a LibDBI interface for Node.js. In addition to providing a
 traditional interface for SQL database access, it also offers developers
 the ability of paging on results programmatically and storing result
 handles to a session as shown below.

Package: node-nodemailer
Description-md5: 2d3bb055a52f498e97077b6897ae301e
Description-en: Node.js library to send mails
 Nodemailer is a module for Node.js applications to allow easy as cake email
 sending. The project got started back in 2010 when there was no sane option
 to send email messages, today it is the solution most Node.js users turn to
 by default.

Package: node-nomnom
Description-md5: 553b6e9b5d2d841be58f9cc2ccdbee47
Description-en: Option parser with generated usage and commands for Node.js
 nomnom is an option parser for Node.js. It noms your args and gives them
 back to you in a hash.
 .
 Nomnom supports args like -d, --debug, --no-debug, --file=test.txt,
 --file test.txt, -f test.txt, -xvf, and positionals. Positionals are
 arguments that don't fit the -a or --atomic format and aren't attached
 to an option.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-nopt
Description-md5: 2c59f6404dbfbde8f6567b31dbaddf3f
Description-en: Command-line option parser for Node.js
 Full featured option parser, with support for :
  * types (String, path, url, Number, Date, Boolean, NaN, Stream, Array)
  * error handling
  * abbreviations
  * shorthands
 .
 Node.js is an event-based server-side javascript engine.

Package: node-normalize-git-url
Description-md5: 1b1c962f5fbfb4577b5f8515533f1acd
Description-en: normalizes Git URLs
 You have a bunch of Git URLs. You want to convert them to a canonical
 representation, probably for use inside npm so that it doesn't end up
 creating a bunch of superfluous cached origins. You use this package.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-normalize-package-data
Description-md5: 68fe76733de7c8b823913a2255d14856
Description-en: Normalizes package metadata - Node.js module
 This module is used by node-read-package-json to normalize data it
 reads from a package.json file typically found in Node.js modules,
 but in principle it could come from any source.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-normalize-path
Description-md5: 2a3db2a2601a8b66faa50c27f69177bc
Description-en: Normalize file path slashes to be unix-like forward slashes
 Normalize file path slashes to be unix-like forward slashes. Also condenses
 repeat slashes to a single slash and removes and trailing slashes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-normalize-range
Description-md5: e66cdc8d99f09a24921b9b44dd7e73ec
Description-en: Utility for normalizing a numeric range
 This module can be used to normalize a value by bringing it within a given
 range of values.
 .
 This module also comes with a wrapping function useful for polar coordinates.
 For example, in a polar coordinate system, 270˚ can also be represented as
 -90˚.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-normalize.css
Description-md5: 532dd44c26321ce9583f2c5f488da307
Description-en: Modern alternative to CSS resets
 Normalize.css makes browsers render all elements more consistently and in
 line with modern standards. It precisely targets only the styles that need
 normalizing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-npm-bundled
Description-md5: 8a176cf18dab4756d01c14fe8a81af1a
Description-en: Parses info on bundled dependencies
 Npm-bundled gives info regarding bundled dependencies or transitive
 dependencies of bundled dependencies.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-npm-package-arg
Description-md5: 90c66d4b33548cc2755605f02c29229f
Description-en: Parse the things that can be arguments to npm install
 Parses package name and specifier passed to commands like npm install or
 npm cache add, or as found in package.json dependency sections.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-npm-run-path
Description-md5: cd0613427f22a804f424c98eb4004b83
Description-en: Get your PATH prepended with locally installed binaries
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-npmlog
Description-md5: f3c2a5eeaa4067487dc38180a4eb9469
Description-en: Logger with custom levels and colored output for Node.js
 node-npmlog is a basic logger module used by npm.
 .
 npm is the package manager bundled with Node.js.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-npmrc
Description-md5: bec7b5ccdb401efe6a0d9d5ff4cda10c
Description-en: Switch between different .npmrc files
 If you use a private npm registry, you know the pain of switching between a
 bunch of different .npmrc files and manually managing symlinks. Let that be a
 problem no more! npmrc is here to save the day, by making it dead simple to
 switch out your .npmrc with a specific named version. It also tries to protect
 you from your own stupid self by making sure you don't accidentally overwrite
 an .npmrc that you actually want to keep.

Package: node-nth-check
Description-md5: 87cadb861e1b70a6cdb8713f4b979f77
Description-en: performant nth-check parser & compiler
 This module can be used to parse & compile nth-checks, as they are found
 in CSS 3's nth-child() and nth-last-of-type().
 .
 nth-check focusses on speed, providing optimized functions for different
 kinds of nth-child formulas, while still following the spec.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-number-is-nan
Description-md5: 6c68683e9ffbc75d7b87daea71541e82
Description-en: ES6 Number.isNaN() ponyfill
 The Number.isNaN method determines whether the passed value is NaN
 (Not-A-Number). It is a more robust version of the original, global isNaN.

Package: node-nwmatcher
Description-md5: a11eab05c202dc20970ba4dcc554dcf8
Description-en: CSS3-compliant JavaScript selector engine
 NWMatcher is a highly compliant CSS3 selector engine built upon
 a very fast “bottom-up” element matcher.

Package: node-oauth-sign
Description-md5: b710175ff3db9a44fcb660f793884cff
Description-en: OAuth 1 signing module for Node.js
 node-oauth-sign provides hmacsign and rfc3986 functions used to
 perform OAuth 1 signing.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-obj-util
Description-md5: 446f9c25ed41bba66ad260d6de49d01b
Description-en: simple helper to set/get keys from objects
 This module is a simple helper to set/get keys from objects using a
 string path like 'some.key.here'
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-object-assign
Description-md5: ec12e44aa7a89fdbc32cbdd7bbdc2499
Description-en: ES2015 Object.assign() ponyfill
 Assigns enumerable own properties of source objects to the target object and
 returns the target object. Additional source objects will overwrite previous
 ones.

Package: node-object-copy
Description-md5: 045b8a4c71b9ddc711ddb6cf7a6318fb
Description-en: copy properties of one object to another
 This library can be used to copy static properties, prototype properties,
 and descriptors from one object to another.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-object-inspect
Description-md5: 998f89a043bb447a8fae0606ac7e6fde
Description-en: string representations of objects in node and the browser
 Node-object-inspect provides string representations of objects in node
 and the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-object-key
Description-md5: 782da853fdc2df1b9a057495617359cd
Description-en: Nodejs object key helpers
 This module simplify assigning value to an object property by using
 path string separated by dots.
 .
 This module support also lookup values using the same dot separated
 string paths.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-object-path
Description-md5: 1326ba86a9e00ca5da814d3c786a2a7b
Description-en: Access deep object properties using a path
 Node.js module to access (get, set, insert, delete, test) deep
 object properties using dot-separated paths.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-object-visit
Description-md5: a3d0e8682f80611a686aa8c74a4199ec
Description-en: Call a specified method on each value in the given object
 Call a specified method on each value in the given object. See
 /usr/share/doc/node-object-visit/README.md for more info.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-object.omit
Description-md5: 17e200b50619062ec97f4a8fcd5f1d73
Description-en: Return a copy of an object excluding the given key
 Return a copy of an object excluding the given key, or array of keys. Also
 accepts an optional filter function as the last argument.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-on-finished
Description-md5: 023a0041ae28ea442f1550e15d83150d
Description-en: calls back on request close, finish or error - Node.js module
 This module is useful for cleaning up streams.
 It is used to safely destroy any object and stream used while serving
 a request, and is required to fix what many perceive as an issue with
 Node.js streams.
 .
 This package include small package ee-first.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-on-headers
Description-md5: d09b73f329079545f28017a9eb1d26fe
Description-en: HTTP response headers listener - Node.js module
 This module tracks when headers are written to a Node.js HTTP response
 and provides a facility for calling listeners before the headers are
 written.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-once
Description-md5: b210ba4ca52eef1a44473fbc76d7d9e7
Description-en: Run a function only once with this module for Node.js
 node-once is useful to make sure a listener for multiple events is
 only run once.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-opencv
Description-md5: 9e3f8e99994d8b62105bcbd3a91f2e4e
Description-en: OpenCV Bindings for node.js
 OpenCV is the defacto computer vision library - by interfacing with it
 natively in node, it gives powerful real time vision in js.
 .
 People are using node-opencv to fly control quadrocoptors, detect faces
 from webcam images and annotate video streams.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-opener
Description-md5: d33af240e18613709089795ea99b48dc
Description-en: Opens stuff, like webpages and files and executables
 That is, in your desktop environment. This will make *actual windows pop up*,
 with stuff in them:
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-openid
Description-md5: 0244e754aefc659fc10edcd91de4122f
Description-en: OpenID client library for Node.js
 This library implements OpenID client support for Node.js.  Features
 include:
 .
  * Full OpenID 1.0/1.1/2.0 compliant Relying Party (client) implementation
  * Very simple API
  * Simple extension points for association state
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-opentip
Description-md5: 82033e1265bd1d9cab9bdc3a6d0f4806
Description-en: JavaScript tooltip class
 Opentip is a free open-source JavaScript tooltip class. It supports stems,
 automatic content download with AJAX, styling, automatic repositioning to
 remain within the browser viewport, user-defined triggers, and CSS3
 animations.

Package: node-optimist
Description-md5: 1bdd95f24b4e29706861c5bac7bb41f4
Description-en: light-weight option parsing library for NodeJS
 Optimist is a light-weight node.js library for option parsing.
 .
 It is a library for people who hate option parsing. More specifically,
 this module is for people who like all the --bells and -whistlz of
 program usage but think optstrings are a waste of time.
 .
 With optimist, option parsing doesn't have to suck (as much).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-optionator
Description-md5: 9a4ecf81a50b42608da94511b2ecd71c
Description-en: option parsing and help generation
 Optionator is a JavaScript option parsing and help generation library used by
 eslint, Grasp, LiveScript, esmangle, escodegen, and many more.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-orchestrator
Description-md5: 70e83b83637b0e89ff93b0b921e4d000
Description-en: sequence and execute tasks and dependencies in concurrency
 A module for sequencing and executing tasks and dependencies in maximum
 concurrency
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ordered-read-streams
Description-md5: f185c75dac7559af1214ed961e74769b
Description-en: combines array of streams into one read stream in strict order
 `ordered-read-streams` handles all data/errors from input streams in parallel,
 but emits data/errors in strict order in which streams are passed to
 constructor. This is `objectMode = true` stream.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-original
Description-md5: bbc78cea01d2f8232d378bd638b92772
Description-en: Generate the origin from an URL or check if two URL/Origins are the same
 Original generates the origin URL for a given URL or URL object.
 In addition to that it also comes with a simple same function to check
 if two URL's have the same origin.
 .
 This module is browserify and node compatible.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-os-browserify
Description-md5: a4d23d5b3d90a6f8ad315bfcfa9b25d0
Description-en: 'os' module from node.js, but for browsers
 When you require('os') in browserify, this module will be loaded.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-os-locale
Description-md5: d89d01ab9c8513f7a87b70266aa9a721
Description-en: get the system locale
 Node.js is an event-based server-side JavaScript engine.

Package: node-os-tmpdir
Description-md5: 775468cfcf1160b0ab27a7a66c23472c
Description-en: Node.js os.tmpdir() ponyfill
 Ponyfill: A polyfill that doesn't overwrite the native method.
 .
 Use this instead of require('os').tmpdir() to get a consistent behaviour
 on different Node.js versions (even 0.8).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-osenv
Description-md5: c6d1340454e8fd6841dbebad33fdcac6
Description-en: Environment settings lookup module for Node.js
 node-osenv looks for hostname, user, prompt, tmpdir, home, path,
 editor, shell in environment variables, utilities like hostname or
 whoami, with appropriate default values.
 It supports the same platforms as Node.js does.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-output-file-sync
Description-md5: 23fac88a1f1777fdb5bf927a274e504a
Description-en: Synchronously write a file and create its ancestor directories if needed
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-p-cancelable
Description-md5: e77cbffa0ce427448c66d2993681521a
Description-en: Create a promise that can be canceled
 Useful for animation, loading resources, long-running async computations,
 async iteration, etc.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-p-finally
Description-md5: 5f73d5164b41a0c75a95807f634cb4f1
Description-en: Invoked when the promise is settled regardless of outcome
 `Promise#finally()` ponyfill - Invoked when the promise is settled
 regardless of outcome. It can be used for cleanup purpose.
 .
 This library is a dependency of ava, a futuristic test runner.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-p-is-promise
Description-md5: 4d8ce26e8ddd95b0ce94edd7f4821e45
Description-en: Check if something is a promise
 Just pass your value to Promise.resolve() and let it handle it.
 .
 Can be useful if you need to create a fast path for a synchronous operation.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-p-limit
Description-md5: 81365e701d9a3e32ba307a4802aee705
Description-en: run multiple promise-returning & async functions
 This library allows running multiple promise-returning & async functions
 with limited concurrency
 .
 This is a dependency for AVA, a futuristic test runner.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-p-locate
Description-md5: 68ec566faf981f7d1565cccdc7a2d5f3
Description-en: async version of Array#find
 Get the first fulfilled promise that satisfies the provided testing function.
 .
 Array.prototype.find(): The find() method returns the value of the first
 element in the array that satisfies the provided testing function. p-locate is
 async version of this function.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-p-map
Description-md5: 4fcd073f89787fefe6cf160ab54ddd09
Description-en: Map over promises concurrently
 Useful when you need to run promise-returning & async functions multiple times
 with different inputs concurrently.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-p-timeout
Description-md5: eae7bd8e467a21197a6c74ae1b34d9ef
Description-en: Timeout a promise after a specified amount of time
 A promise represents the result of an asynchronous operation
 p-timeout can be used to timeout a promise by displaying a message
 or doing a particular function.
 .
 Time taken before timing out and the message or function to be displayed
 or done after timeout can be defined by the API pTimeout.
 .
 Node.js is an event-based server-side JavaScript engine

Package: node-package
Description-md5: 2ff2a53b0e99b83a9c0a780e3323dde5
Description-en: easy package.json exports
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-package-json
Description-md5: aa796022032cdef2fce598dda9740cfc
Description-en: Get metadata of a package from the npm registry
 Both public and private registries are supported, for both scoped and unscoped
 packages, as long as the registry uses either bearer tokens or basic
 authentication.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-package-preamble
Description-md5: 07cd0218bac71f72f43daa6822b2d49a
Description-en: Generate a tiny preamble from a package.json
 Generates a minimalist preamble from a package.json. For example,
  // https://example.com/hello-world Version 0.0.1. Copyright 2016 Alice Baker.
 is generated by this tool.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pako
Description-md5: 48f9433c1a1b0c8e73e3dd15f8c056ef
Description-en: fast zlib port to pure javascript
 This module is a port of zlib to pure javascript optimized for speed.
 .
 Pako could compress stream and string using well known zlib API.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-parallel-transform
Description-md5: 2703b422dc260e404afcec52b6de9303
Description-en: Runs transforms in parallel
 Transform stream for Node.js that allows you to run transforms in parallel
 without changing the order of the output.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-parents
Description-md5: 9164936932a13db2731075eb8491b05f
Description-en: Node.js module returning all the parent directories
 This module return a list of all parent directories of
 a given directory.
 .
 This modules is useful for creating find(1) clone in pure
 javascript.
 .
 This module is a dependency of browserify, a tool that allows one
 to write Node.js-style modules that compile for use in the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-parse-asn1
Description-md5: b4b9946cbd5d055ce9e4935e0f8ac4b7
Description-en: utility library for parsing asn1 files
 utility library for parsing asn1 files for use with browserify-sign.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-parse-base64vlq-mappings
Description-md5: 28a6e15f11243649b6befd953bfbfdb7
Description-en: Parses out base64 VLQ encoded source map
 This modules allows one to parse and decode VLQ
 (Variable Length Quantities) base64 encoded source map.
 .
 Source maps are JSON files that contain information on how to map
 transpiled source code back to their original source.
 Source maps are JavaScript’s version of debug symbols.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-parse-filepath
Description-md5: f44c0c3c446c96330daf5eca66f3287e
Description-en: Parses a filepath into an object
 Node.js module that provides a polyfill for the native path.parse,
 that parses a filepath into an object with absolute filepath,
 dirname, basename, extname etc.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-parse-glob
Description-md5: 7525aa774c25502cd9e7886929747d12
Description-en: Parse a glob pattern into an object of tokens
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-parse-json
Description-md5: 57adb78c674d5439cc1c4ba9f3b336fc
Description-en: parse JSON with more helpful errors
 Node.js is an event-based server-side JavaScript engine.

Package: node-parse-ms
Description-md5: 8a104dd690aa6bb1aca1b3d8489e0804
Description-en: Parse milliseconds into an object
 This package converts input milliseconds to
 days:hours:minutes:seconds:milliseconds format.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-parseurl
Description-md5: 2bb6d5debc6080a583dc2b4f3bf94f44
Description-en: parse a url with memoization
 Simple module which allows developer to get pathname:
 .
 var pathname = parseurl(req)
 .
 and `pathname` can then be simply passed to a router or something.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pascalcase
Description-md5: 09837e021f44e024186331f9bdd7ee2b
Description-en: Convert a string to pascal-case
 For more info see /usr/share/doc/node-pascalcase/README.md
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-passport
Description-md5: 48d7db96146d8016555bd7660b850d6f
Description-en: simple, unobtrusive authentication system for Node.js
 Passport is an authentication middleware for Node.js. Extremely flexible and
 modular, Passport can be unobtrusively dropped in to any Connect/Express-based
 web application.
 .
 It provides a comprehensive set of authentication systems: using a username
 and password, social networks credentials, OpenID, and more.
 .
 This package only provides the general framework and the "session"
 authorization strategy: other systems are packaged separately, please install
 the ones you need.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-path-browserify
Description-md5: 64c827e6a5bb89b1a83b282e0f8235d9
Description-en: path module from node core for browsers
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-path-dirname
Description-md5: 426f801734ae24f64ea337f694ef0708
Description-en: Node.js library for function path.dirname ponyfill
 This was needed in order to expose path.posix.dirname() on Node.js v0.10
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-path-exists
Description-md5: f0d2c9364b549dccb09cd563589e875b
Description-en: Check if a path exists
 Helps to check if a path exist.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-path-is-absolute
Description-md5: 53305bed21e01fd420a8842cb7012e91
Description-en: Node.js 0.12 path.isAbsolute() ponyfill
 Path-is-absolute is a Node.js module that gives developers the ability to
 determine whether a path written in programming language is an absolute path.
 An absolute path will always resolve to the same location, regardless of the
 working directory. Path-is-absolute is a ponyfill, meaning that it does not
 overwrite the native method in a JavaScript environment.

Package: node-path-is-inside
Description-md5: c85b5f8c8243cb5379c48743b5c27474
Description-en: Tests whether one path is inside another path
 The **path-is-inside** package will give you a robust, cross-platform
 way of detecting whether a given path is inside another path.
 .
 Like Node's built-in path module, path-is-inside treats all file paths on
 Windows as case-insensitive, whereas it treats all file paths on
 *-nix operating systems as case-sensitive. Keep this in mind especially
 when working on a Mac, where, despite Node's defaults, the OS usually treats
 paths case-insensitively.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-path-root
Description-md5: 7f34b23073a774e5a4d8c0b153a8d09c
Description-en: Get the root of a posix or windows filepath
 Given a posix or windows filepath as a string, returns the matching
 filesystem root.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-path-root-regex
Description-md5: d103320712428fbdb9dd7d6213bc143a
Description-en: regex for the root of a posix or windows filepath
 Regular expression for getting the root of a posix or windows filepath.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-path-to-regexp
Description-md5: 9dedd50dd91111ed179c4770a76bfedd
Description-en: express style path to RegExp utility
 Turn an Express-style path string such as `/user/:name`
 into a regular expression.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-path-type
Description-md5: 468442c4c9342e50f1b174af44ed3ec6
Description-en: check if a path is a file, directory, or symlink
 Node.js is an event-based server-side JavaScript engine.

Package: node-pathval
Description-md5: 64e135ba9e8a650a196428caebd442da
Description-en: Node.js module for object value access from a path
 This module is a tool to access Object values given a string path,
 both retrieving and setting properties.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pbkdf2
Description-md5: 734b9b4a295eb2198f473dac1b930ae9
Description-en: RSA PKCS#5 v2.0 module in JavaScript
 This library implements the password-based key derivation function, PBKDF2,
 specified in RSA PKCS#5 v2.0. It has the ability to use any supported hashing
 algorithm returned from crypto.getHashes().
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pegjs
Description-md5: 0935bdac7390a27dbc75411b9771cc3b
Description-en: JavaScript parser generator
 PEG.js is a simple parser generator for JavaScript that produces
 fast parsers with excellent error reporting. You can use it to process
 complex data or computer languages and build transformers, interpreters,
 compilers and other tools easily.

Package: node-pend
Description-md5: 2676076160ba0dc69b7ea31adc7a8c6a
Description-en: simple parallel async callback helper - Node.js module
 This module allows one to wait for multiple async operations to be
 done before executing a callback.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-performance-now
Description-md5: 0366227c161459cb3e8de58db89a866e
Description-en: Implements performance.now (based on process.hrtime)
 Modern browsers have a `window.performance` object with - among others - a
 `now` method which gives time in milliseconds, but with sub-millisecond
 precision. This module offers the same function based on the Node.js native
 `process.hrtime` function.
 .
 Using `process.hrtime` means that the reported time will be monotonically
 increasing, and not subject to clock-drift.
 .
 This library is a dependency of request, the simplest way possible to make
 http calls.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pg
Description-md5: 5cad2a896c3a3e634317a818cd276ea7
Description-en: PostgreSQL client library for Node
 Node is an event-based server-side JavaScript engine.
 .
 node-postgres is a non-blocking (async) pure JavaScript PostgreSQL
 client library for Node.

Package: node-pify
Description-md5: 4386e05915c453360d4c6442a82a3a0d
Description-en: promisify a callback-style function
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pikaday
Description-md5: c33446391de93a63239c7bdf9a7adb3d
Description-en: Lightweight JavaScript datepicker
 Pikaday is a lightweight JavaScript datepicker with modular CSS and no
 dependencies. It is designed to work well alone but supports integration
 with Moment.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pinkie
Description-md5: ec7cb78578388ef61d0f9a8b2ada27bb
Description-en: Itty bitty little widdle twinkie pinkie ES2015 Promise implementation
 Node.js module - Itty bitty little widdle twinkie pinkie ES2015
 Promise implementation
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pinkie-promise
Description-md5: d7374167ccf13691d088e27670cd57b6
Description-en: ES2015 Promise ponyfill
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pinkyswear
Description-md5: 3bfaf141b19440edacce998c0022343d
Description-en: very small implementation of the Promises/A+ specification
 This Node.js package is a minimalist Promises/A+ implementation for embedding.
 You can use it as a lightweight dependency for your library if you need to
 return a promise. It is not intended as a stand-alone library for more complex
 applications, and therefore does not support assimilation of other promises.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pkg-dir
Description-md5: 60057560f626edc628648dd3e935861c
Description-en: find the root directory of a npm package
 Node.js is an event-based server-side JavaScript engine.

Package: node-pkg-up
Description-md5: d5e27ebbd38e8401a58097376e31948d
Description-en: Find the closest package.json file
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-platform
Description-md5: 8951ff083d99f1da465def3d5ec27cfb
Description-en: platform detection lib works on nearly all JavaScript platforms
 Platform.js is a detection library that works on nearly all JavaScript
 platforms. Platform.js is for informational purposes only and not intended as
 a substitution for feature detection/inference checks.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-plugin-error
Description-md5: 467613c7d32038c81015b5d64308cc89
Description-en: Error handling for vinyl plugins
 Just an abstraction of what's in gulp-util with minor changes.
 .
 By default the stack will not be shown. Set options.showStack to true if you
 think the stack is important for your error.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-plur
Description-md5: 18ea3a1ae22c8d548659d7a6ef86bdf3
Description-en: Pluralize a word
 This package works only for English language currently.
 Default:
     - Irregular nouns will use this [list]
       (https://github.com/sindresorhus/irregular-plurals/
                blob/master/irregular-plurals.json).
     - Words ending in *s*, *x*, *z*, *ch*, *sh*
       will be pluralized with *-es* (eg. *foxes*).
     - Words ending in *y* that are preceded by a consonant
       will be pluralized by replacing *y* with *-ies* (eg. *puppies*).
     - All other words will have "s" added to the end (eg. *days*).
 Pluralized word.
 The plural suffix will match the case of the last letter in the word.
 This option is only for extreme edge-cases. You probably won't need it.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-po2json
Description-md5: ba417c2127c9e39b8a4a86ed2b0d9e73
Description-en: po2json for Nodejs
 Pure Javascript implementation of Uniforum message translation.
 .
 This package is the version for Nodejs to translate po files to their json
 equivalent.

Package: node-policyfile
Description-md5: 089c33ebd595336e7a10908285d75a1c
Description-en: Flash Socket Policy File Server for Node.js
 A server to respond to Flash Socket Policy requests, both inline and through a
 dedicated server instance.
 .
 Use it to allow or disallow Flash Player sockets from accessing your site.

Package: node-posix-character-classes
Description-md5: 497e3aaf75b6a469e1deb143e6999876
Description-en: POSIX character classes for creating regular expressions
 The POSIX standard supports the following classes or categories of characters
 [:alnum:], [:alpha:], [:ascii:], [:blank:], [:cntrl:], [:digit:], [:graph:],
 [:lower:], [:print:], [:punct:], [:space:], [:upper:], [:word:], [:xdigit:]
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-posix-getopt
Description-md5: 532480b5b7ed1c98d90cdc6e3e6baaab
Description-en: POSIX-style getopt()
 It implements the POSIX getopt() function for Node.js, hence a functional
 interface for option parsing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss
Description-md5: d23ed4fdcb947bb28402e077c63a4596
Description-en: Tool for transforming styles with JS plugins
 These plugins can lint your CSS, support variables and mixins, transpile
 future CSS syntax, inline images, and more.
 .
 PostCSS is used by industry leaders including Wikipedia, Twitter, Alibaba,
 and JetBrains. The [Autoprefixer] PostCSS plugin is one of the most popular
 CSS processors.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-colormin
Description-md5: 0dfbd628294cf3d2b7635edbc6c1afc6
Description-en: Minify colors in your CSS files with PostCSS
 PostCSS is a tool for transforming styles with JS plugins. These plugins can
 lint your CSS, support variables and mixins, transpile future CSS syntax,
 inline images, and more.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-convert-values
Description-md5: 63b4bcea77375e80de4dd54f7bc2f900
Description-en: Convert values with PostCSS (e.g. ms -> s)
 This plugin reduces CSS size by converting values to use different units where
 possible; for example, 500ms can be represented as .5s.
 .
 Note that this plugin only covers conversions for duration and absolute length
 values. For color conversions, use postcss-colormin.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-discard-comments
Description-md5: 99e5fc027b722857ae0ecdd0f8a07b74
Description-en: Discard comments in your CSS files with PostCSS
 This module discards comments from your CSS files; by default, it will remove
 all regular comments (/* comment */) and preserve comments marked as important
 (/*! important */).
 .
 Note that this module does not handle source map comments because they are not
 available to it; PostCSS handles this internally, so if they are removed then
 you will have to configure source maps in PostCSS.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-filter-plugins
Description-md5: 9c2efa302f4e483ae0c379363a8fe882
Description-en: Exclude/warn on duplicated PostCSS plugins
 PostCSS is a tool for transforming styles with JS plugins. These plugins can
 lint your CSS, support variables and mixins, transpile future CSS syntax,
 inline images, and more.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-load-config
Description-md5: d52308dc8329cccecbc99b54467e6532
Description-en: Autoload Config for PostCSS
 PostCSS is a tool for transforming styles with JS plugins. These plugins can
 lint your CSS, support variables and mixins, transpile future CSS syntax,
 inline images, and more.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-load-options
Description-md5: 84b54cf9c3fe70d7c3a3afe78022184e
Description-en: Autoload Options for PostCSS
 PostCSS is a tool for transforming styles with JS plugins.  These plugins can
 lint your CSS, support variables and mixins, transpile future CSS syntax,
 inline images, and more.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-load-plugins
Description-md5: 8d8cfdef951e4814dc348a471b9de050
Description-en: Autoload Plugins for PostCSS
 Install plugins and save them to your package.json dependencies/
 devDependencies.
 .
 PostCSS is a tool for transforming styles with JS plugins.  These plugins can
 lint your CSS, support variables and mixins, transpile future CSS syntax,
 inline images, and more.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-minify-font-values
Description-md5: 844c999a308a8a98efb914ef41d58b2a
Description-en: Minify font declarations with PostCSS
 This module will try to minimise the `font-family`, `font-weight` and `font`
 shorthand properties; it can unquote font families where necessary, detect &
 remove duplicates, and cut short a declaration after it finds a keyword.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-modules-extract-imports
Description-md5: 95a34822a4701bba84e3572fc9764815
Description-en: CSS Modules transform to extract local aliases for inline imports
 PostCSS is a tool for transforming styles with JS plugins. These plugins can
 lint your CSS, support variables and mixins, transpile future CSS syntax,
 inline images, and more.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-modules-values
Description-md5: 1ffb34fe203129bb9df8c17b29713483
Description-en: pass arbitrary values between your module files
 This module is a PostCSS plugin for CSS Modules to pass arbitrary values
 between module files.
 .
 PostCSS is a tool for transforming styles with JS plugins.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-reporter
Description-md5: e6ef7b0187a24c524e188f48d41363d0
Description-en: Log PostCSS messages in the console
 A PostCSS plugin to `console.log()` the messages (warnings, etc.) registered
 by other PostCSS plugins.
 .
 As of PostCSS 4.1, a single PostCSS process can accumulate messages from all
 of the plugins it uses. Most of these messages are warnings. Presumably,
 plugin authors want you to see those messages. So this plugin exists to read
 the accumulated messages (or messages from only the plugins you've specified),
 format them, and print them to the console.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-postcss-value-parser
Description-md5: 30994a4e227a653f433a2f9e39af15be
Description-en: Transforms css values and at-rule params into the tree
 This module transforms CSS declaration values and at-rule parameters into a
 tree of nodes, and provides a simple traversal API.
 .
 postcss is a tool for transforming styles with JS plugins.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pre-gyp
Description-md5: c5dffe0a1a5562af14cb2be8e94b8951
Description-en: Native addon deployment tool for Node.js
 This executable extends node-gyp with commands to package, test, and publish
 Node.js binary addons.
 It also improves build configuration and module loading.
 .
 This version is patched to always build modules from source.
 .
 node-gyp is a native addon build tool for Node.js
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-preact
Description-md5: 8d0082c10d6494e3dd02616cb88895f4
Description-en: React alternative with the same ES6 API
 This module is a fast 3kb React alternative with the same ES6 API. Components
 & Virtual DOM.
 .
 This module claims to have all the power of Virtual DOM components, without
 the overhead.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-prelude-ls
Description-md5: 9859fb527f3280277d4b31ec5a3171f5
Description-en: functionally oriented utility library
 It is powerful and flexible. Almost all of its functions are curried. It is
 written in, and is the recommended base library for, LiveScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-prepend-http
Description-md5: 4483bfdff59f3fbe412417f599d3fead
Description-en: Prepend `http://` to humanized URLs like todomvc.com and localhost
 This package can be used to prepend `http://` to humanized URLs like
 todomvc.com and localhost.
 This package is a dependency for ava.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-preserve
Description-md5: 2267e009a63183e30d94ee93607cb6f6
Description-en: Protect tokens from being mutated when the string is transformed
 Temporarily substitute tokens in the given `string` with placeholders,
 then put them back after transforming the string.
 Useful for protecting tokens, like templates in HTML, from being mutated when
 the string is transformed in some way, like from a formatter/beautifier.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pretty-bytes
Description-md5: 3c59fcbec811b5385beec7775e86797e
Description-en: Convert bytes to a human readable string
 It is useful in displaying file size to humans.
 It uses base-10(kilobyte and not kibibyte) and support values
 from B(byte) to YB (yottabyte).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pretty-hrtime
Description-md5: 84689a3d633c6b5017a23fd3d3381a63
Description-en: process.hrtime() to words
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pretty-ms
Description-md5: 1ce4e8696800b2d97daff180bdc18ec5
Description-en: Convert milliseconds to a human readable string
 This module can convert 1337000000 → 15d 11h 23m 20s.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-prismjs
Description-md5: 36d9dc0e27b5577ff389d64f6285cb73
Description-en: Lightweight, robust, elegant syntax highlighting
 A spin-off project from Dabblet. Some of its unique features include:
  * It’s tiny. The core is only 1.5KB minified & gzipped.
  * It’s incredibly extensible. Not only it’s easy to add new languages, but
    also to extend existing ones.
  * It encourages good author practices. Other highlighters encourage or even
    force you to use elements that are semantically wrong, like <pre> (on its
    own) or <script>. Prism forces you to use the only semantically correct
    element for marking up code: <code>.
  * One of its best features: The language definition is inherited. This means
    that if multiple code snippets have the same language, you can just define
    it once, in one of their common ancestors.
  * It looks good. All three of its existing themes.
  * It supports parallelism through Web Workers, for better performance in
    certain cases.
  * It doesn’t force you to use any Prism-specific markup, not even a
    Prism-specific class name, only standard markup you should be using anyway.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-private
Description-md5: 77688df773fececa0c7b87254c2f7654
Description-en: Utility for associating truly private state with any JavaScript object
 A general-purpose utility for associating truly private state
 with any JavaScript object : only code that has references both
 to the object and an associated getSecret object can access to the
 private state.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-process
Description-md5: add6e8944a58d0d195cd63c1d4d6df78
Description-en: process information for node.js and browsers
 Works in node.js and browsers via the browser.js shim provided with the module.
 .
 The goal of this module is not to be a full-fledged alternative to the builtin
 process module. This module mostly exists to provide the nextTick functionality
 and little more. We keep this module lean because it will often be included by
 default by tools like browserify when it detects a module has used the
 `process` global.
 .
 It also exposes a "browser" member (i.e. `process.browser`) which is `true` in
 this implementation but `undefined` in node. This can be used in isomorphic
 code that adjusts it's behavior depending on which environment it's running
 in.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-process-nextick-args
Description-md5: aa501ada12fe96bb99bdb1ea271f4dc8
Description-en: process.nextTick but always with args
 With node-process-nextick-args you will always be able to pass arguments
 to process.nextTick, no matter which platform you use.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-progress
Description-md5: 6961d697152aa050e9104615abe03a48
Description-en: Flexible ascii progress bar
 Node.js module to flexibly create progress bars for CLI user
 interfaces.
 Supports back-and-forth movement and colors.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-promise
Description-md5: 6f3afc37287723d525e6060bd4789c97
Description-en: bare bones Promises/A+ implementation - Node.js module
 Simple implementation of Promises. A super set of ES6 Promises
 designed to have readable, performant code and to provide
 just the extensions that are absolutely necessary for using
 promises today.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-promise-inflight
Description-md5: 47a79f773b0c003d0bee154465878988
Description-en: one promise for multiple requests in flight to avoid async duplication
 Multiple requests called with the same result (only one underlying promise)
 Based on the callback based function 'inflight'.
 .
 Usage: return inflight(key, () => {/*fetch url*/ return Promise.delay(100)}
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-promise-retry
Description-md5: 809ca651b321a8ccbe56a22aeee8bb9d
Description-en: Retries a function that returns a promise
 Leverage the power of the retry module to the promises world.
 .
 Calls fn until the returned promise ends up fulfilled or rejected with an
 error different than a retry error.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-promzard
Description-md5: 92bd72d1d03e415613417c59f7626ca4
Description-en: Promzard provides a prompting json wizard
 A prompting wizard for building files from specialized PromZard modules.
 Used by npm init.
 .
 A reimplementation of SubStack's prompter, which does not use AST traversal.
 .
 From another point of view, it's a reimplementation of Marak's wizard
 which doesn't use schemas.
 .
 The goal is a nice drop-in enhancement for npm init.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-prop-types
Description-md5: 382fb2bb4e939b7bb20df79d97f0a6cf
Description-en: Runtime type checking for React props and similar objects
 You can use prop-types to document the intended types of properties passed to
 components. React (and potentially other libraries) will check props passed to
 your components against those definitions, and warn in development if they
 don’t match.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-proper-lockfile
Description-md5: 9c1181fde38364d4a9fed6bbf2a64f11
Description-en: Inter-process and inter-machine lockfile utility
 Node.js module that provides utilities for file locking, based on
 the mkdir strategy. Works atomically on a local and network file
 systems.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-prosemirror-model
Description-md5: e41fb0d3ccab91dd9e13c22b24cabf81
Description-en: ProseMirror's document model
 This is a core module of ProseMirror. ProseMirror is a well-behaved rich
 semantic content editor based on contentEditable, with support for
 collaborative editing and custom document schemas.
 .
 This module implements ProseMirror's document model, along with the mechanisms
 needed to support schemas.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-proto-list
Description-md5: 2a53e8071fe29c7bb684a4441ab095a3
Description-en: utility for managing a prototype chain
 A list of objects, bound by their prototype chain. Used in npm's
 configuration. This is a dependency of npm, Node Package Manager.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-proxy-addr
Description-md5: 3aa4f3ba87c7071f481e84cc62d9e2e8
Description-en: remote address filter for proxied requests - Node.js module
 This module allows one to list and optionally filter remote addresses
 of an incoming request, as populated by the HTTP X-Forwarded-For header.
 .
 It works with both IPv4 and IPv6 and can filter addresses using pre-defined
 names like "loopback", "linklocal" or "uniquelocal" (which are expanded
 internally into IP addresses or ranges), or using a custom function.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-proxyquire
Description-md5: c44ca2d04a6a7014c2ef38586d56baf3
Description-en: mocking tool to stub dependencies in Node.js
 Proxyquire proxies nodejs's require
 in order to make overriding dependencies during testing easy
 while staying totally unobtrusive.
 .
  * No changes to your code are necessary.
  * Non overridden methods of a module behave like the original.
  * Mocking framework agnostic,
    if it can stub a function then it works with proxyquire.
  * "use strict" compliant.

Package: node-prr
Description-md5: 12d964905f4eed13cda2575a0880f778
Description-en: Object.defineProperty() with common defaults - Node.js module
 prr is a Node.js module which provides an alternate implementation of
 Object.defineProperty() with simplified arguments.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pseudomap
Description-md5: fce815caa7eb410d2f3799a7534223cc
Description-en: like ES6 `Map`, but without iterators
 A thing that is a lot like ES6 `Map`, but without iterators, for use in
 environments where `for..of` syntax and `Map` are not available.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pseudorandombytes
Description-md5: 50d2d05eb97b88c9dd5680aabdf51f31
Description-en: crypto.pseudoRandomBytes but for use with browserify
 In node it just returns crypto.pseudoRandomBytes but in the browser it uses
 randombytes module if available else it seeds a prng based on chacha20poly1305
 .
 To be clear there is no legitimate reason for you ever to want to use this.
 The algorithms used in this module are **NOT** suitable for cryptographical
 usage.
 .
 This package is provided **ONLY** to run tests of node-crypto-browserify.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-psl
Description-md5: ab7e724de880f8afc98d2572b3cddd22
Description-en: Node.js domain name parser based on the Public Suffix List
 psl is a JavaScript domain name parser based on the Public Suffix List
 (https://publicsuffix.org/). This implementation is tested against the test
 data hosted by Mozilla and kindly provided by Comodo.
 .
 The Public Suffix List is a cross-vendor initiative to provide an accurate
 list of domain name suffixes. A "public suffix" is one under which Internet
 users can directly register names. Some examples of public suffixes are
 ".com", ".co.uk" and "pvt.k12.wy.us". The Public Suffix List is a list of all
 known public suffixes.
 .
 This package provides the node.js module.

Package: node-public-encrypt
Description-md5: 466ecb64e255153c91450781b8e86092
Description-en: browserify version of publicEncrypt & privateDecrypt
 This library provides publicEncrypt/privateDecrypt functions for browserify.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-puka
Description-md5: 2159b2d4b27513df6f98e84ccf85afb0
Description-en: Safely pass strings through shells - Node.js module
 A Node.js module that provides a simple and platform-agnostic way
 to build shell commands with arguments that pass through your shell
 unaltered and with no unsafe side effects, whether you are running
 on Windows or a Unix-based OS.
 .
 It is useful when launching a child process from Node.js using a shell
 (as with child_process.exec); in that case you have to construct your
 command as a single string instead of using an array of arguments.
 And doing that can be buggy (if not dangerous) if you don't take care
 to quote any arguments correctly for the shell you're targeting, and
 the quoting has to be done differently on Windows and non-Windows shells.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pump
Description-md5: 32eaaf63bf5b6b3f818889a095f36664
Description-en: small node module that pipes streams together
 When using standard source.pipe(dest) source will not be destroyed if dest
 emits close or an error. You are also not able to provide a callback to tell
 when then pipe has finished. pump does these two things for you.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-pumpify
Description-md5: 96e97f9c79f4bdae4957325547a03c3b
Description-en: combine streams into a single duplex stream by pump and duplexify
 Combine an array of streams into a single duplex stream using pump and
 duplexify. If one of the streams closes/errors all streams in the pipeline
 will be destroyed.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-punycode
Description-md5: 7d804f97d16e02b8e928e7dd4fe08f61
Description-en: Nodejs robust Punycode converter fully RFC compliant
 node-punycode is a punycode converter conforming to RFC 3492 and RFC 5891,
 and works on nearly all JavaScript platforms.
 .
 Punycode is a way to represent Unicode with the limited character subset
 of ASCII supported by the Domain Name System.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-q
Description-md5: 890710fdcc545e41f7330351d4181a2a
Description-en: Node.js module for promises (CommonJS/Promises/A,B,D)
 Q is a tool for creating and composing asynchronous promises in JavaScript.
 .
 If a function cannot return a value or throw an exception without blocking,
 it can return a promise instead. A promise is an object that represents the
 return value or the thrown exception that the function may eventually provide.
 A promise can also be used as a proxy for a remote object to overcome latency.
 .
 This package contains the q module for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-qrcode-generator
Description-md5: e01f8862753d9c0c495ade9220868a78
Description-en: QR Code Generator implementation in JavaScript
 QR Code Generator implementation in JavaScript, Java and more
 .
 All the implementations are based on JIS X 0510:1999.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-qs
Description-md5: 89244bfc4e301b2cef2116819eb2e9d5
Description-en: Parse, stringify query strings for Node.js
 This module can parse or stringify query strings, and supports
 nested objects with brackets notation.
 Parameters `depth` and `parameterLimit` help prevent abusive
 user input.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-querystring
Description-md5: ae1e17dc7c2c4b15ff89582941e0bc37
Description-en: Node's querystring module for all engines
 The querystring module provides utilities for parsing and formatting
 URL query strings.
 .
 On the World Wide Web, a query string is the part of a uniform
 resource locator (URL) containing data that does not fit conveniently
 into a hierarchical path structure. The query string commonly includes
 fields added to a base URL by a Web browser or other client
 application, for example as part of an HTML form.
 .
 node-querystring allow one to encode, decode, parse and
 stringify query string.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-querystring-es3
Description-md5: 47612858f6772d1f01789f7c33aaff90
Description-en: Node's querystring module for all engines (ES3 compat fork)
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-querystringify
Description-md5: 515b141ff0c408055a33d4e87d97c109
Description-en: Querystringify - Small, simple but powerful query string parser
 A somewhat JSON compatible interface for query string parsing. This query
 string parser is dumb, don't expect to much from it as it only wants to
 parse simple query strings.
 .
 This module is also compatible with browserify so it can be used on the
 server as well as on the client.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-queue-async
Description-md5: effe268b985f4cdf740a8146eb63c9af
Description-en: asynchronous helper library for JavaScript - NodeJS
 Yet another asynchronous helper library for JavaScript. Think of it as a
 minimalist version of Async.js that allows fine-tuning over parallelism. Or,
 think of it as a version of TameJs that does not use code generation.
 .
 This package contains the NodeJS module.

Package: node-quick-lru
Description-md5: 47c2e47d8a2284d970d2556becaa1d5e
Description-en: Simple "Least Recently Used" (LRU) cache
 Useful when you need to cache something and limit memory usage.
 Inspired by the hashlru algorithm, but instead uses Map to support
 keys of any type, not just strings, and values can be undefined.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-quote-stream
Description-md5: 7eb3e968954e9aa4714af1eaabcdc0b4
Description-en: transform a stream into a quoted string
 This module transform a buffer to a quoted string thus escaping special
 character in a safe way.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-qw
Description-md5: 0fc6062e936990cd4a050266df1107fe
Description-en: Quoted word literals
 Qw can be used to create quoted word literals.
 For example, demo word -> [ 'demo', 'word' ].
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rai
Description-md5: 7dd5aaf576ce1e65defa4cef04d27841
Description-en: Request-Answer-Interface for generating text based command servers (SMTP,POP)
 rai is a node.js module to easily generate text based command line servers.
 When a client sends something to the server, the first word of the line is
 treated as a command and the rest of the line as binary payload.
 .
 In addition to line based commands, there's also a data mode, to transmit
 everygting received. And there's also an option to switch to TLS mode for
 secure connections.
 .
 This way it is trivial to create SMTP, POP3 or similar servers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ramda
Description-md5: f43165f737dac01fafd8338c389e6643
Description-en: practical functional library for JavaScript programmers
 A library designed specifically for a functional programming style, one that
 makes it easy to create functional pipelines, one that never mutates user data.
 .
 The primary distinguishing features of Ramda are:
  * Ramda takes the function first, and the data last
  * Ramda methods are automatically curried
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-random-bytes
Description-md5: ba4c745b8c7120a1c7acc3b682849c35
Description-en: generate strong pseudo-random bytes
 This module is a simple wrapper around the Node.js core crypto.randomBytes
 API, with the following additions:
 .
   * A Promise interface for environments with promises.
   * For Node.js versions that do not wait for the PRNG to be seeded,
     this module will wait a bit.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-randombytes
Description-md5: 0d798970233aa720905b747811a5d480
Description-en: random bytes from browserify stand alone
 randomBytes for the browser. Uses crypto/msCrypto.getRandomValues() in
 the browser. In Node.js, it uses crypto.randomBytes().
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-randomfill
Description-md5: 3969e49a94b24e91e9559dc97a714fdf
Description-en: Pure javascript buffer filling with random value
 This package allows one to fill a buffer with random value using well
 known Node.js API in browser context
 .
 This a dependency of browserify. Browserify is a javaScript tool that allows
 developers to write Node.js-style modules that compile for use in the browser.
 .
 Node.js is an event-based server-side JavaScript engine

Package: node-range-parser
Description-md5: 0467c3ed914fe44b24a4f57d9880048f
Description-en: HTTP Range header parser - Node.js module
 This module parses the HTTP Range header relative to a given length.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-raven-js
Description-md5: 8f9bbd8f5442e09cdbb329dec7e25f7a
Description-en: JavaScript client for Sentry
 This module provides javascript client interface for the Sentry error logger.
 Sentry provides real-time crash reporting for your web apps, mobile apps, and
 games.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-raw-body
Description-md5: 9fd7f3888820ba0d6b644f2fb0b1c3b4
Description-en: Request body length validation supporting streams - Node.js
 This module gets the entire buffer of a stream and validates its length
 against an expected length. A limit can also be set, preventing memory
 exhaustion. It is useful for parsing request bodies.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-raw-loader
Description-md5: 7a337e016b375fe1359065016f7e5e73
Description-en: raw loader module for webpack
 This library is a loader for webpack that allows importing files as a String.
 .
 Webpack takes code targeted at node.js and adapts it to run in the browser.
 Node.js comes with an API of its own that is not available in browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rc
Description-md5: 74ceaaf5ccb780b641035260afc8d5cc
Description-en: find, load, flatten json or ini application configuration
 This Node.js module finds and loads configuration files in the usual
 places, loads them, and flatten them in that order:
  - command line arguments (parsed by minimist)
  - environment variables prefixed with $appname_
  - config file path given as `--config` argument
  - .rc in parents folders, in home, or /etc
  - .config/$appname or .config/$appname/config in home
  - /etc/$appname/config
 It is also possible to set a custom command-line options parser
 or a custom configuration file parser.
 .
 Node.js is an event-based server-side JavaScript engine

Package: node-rdf-canonize
Description-md5: 5e22235983804ca8037548b517fb8253
Description-en: RDF Dataset Normalization Algorithm - Node library
 This library is an implementation
 of the RDF Dataset Normalization Algorithm in JavaScript.
 .
 RDF Dataset Normalization is a standardized method
 to normalize RDF datasets,
 needed to ease determining differences,
 to identify using cryptographic hash,
 and to digitally sign for later verification.
 .
 Resource Description Framework (RDF)
 is a standard model for data interchange on the Web.
 .
 This package contains rdf-canonize usable with Node.

Package: node-re2
Description-md5: 7896edc81ac8de9ed766e17e98bb8b76
Description-en: Node.js bindings for RE2, a fast and safe regular expression engine
 This package provides bindings to a safer alternative to the native
 JavaScript regular expression engine, without supporting features
 that are targets for Denial-Of-Service attacks, like backreferences
 and lookahead assertions.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-react
Description-md5: e47b83200a92638bcf6365beab74d51a
Description-en: React is a JavaScript library for building user interfaces
 Some features of React:
  * Declarative: React makes it painless to create interactive UIs. Design
    simple views for each state in your application, and React will efficiently
    update and render just the right components when your data changes.
    Declarative views make your code more predictable, simpler to understand,
    and easier to debug.
  * Component-Based: Build encapsulated components that manage their own state,
    then compose them to make complex UIs. Since component logic is written in
    JavaScript instead of templates, you can easily pass rich data through your
    app and keep state out of the DOM.
  * Learn Once, Write Anywhere: it doesn't make assumptions about the rest of
    the technology stack, so you can develop new features in React without
    rewriting existing code. React can also render on the server using Node and
    power mobile apps using React Native.

Package: node-react-audio-player
Description-md5: a051bfc8800664376c353c0e56d3af49
Description-en: Simple React wrapper on the HTML5 audio tag
 Light React wrapper around the HTML5 audio tag.
 It provides the ability to manipulate the player and listen
 to events through a nice React interface.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-read
Description-md5: 418e8904c2cc28f394a909ab4c34aff0
Description-en: Read user input from stdin module for Node.js
 node-read extends Node.js readline.question builtin method with options
 like silent input, replaced input, timeout or default value.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-read-file
Description-md5: 1144e93625438c7c0faca87a7ce003a2
Description-en: wrapper around fs.readFile and fs.readFileSync
 Thin wrapper around fs.readFile and fs.readFileSync that also strips
 byte order marks when utf8 encoding is chosen. Also optionally replaces
 windows newlines with unix newlines.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-read-only-stream
Description-md5: 494761d840d5a436e66a8e0a5a2c9b20
Description-en: Node.js read-only stream implementation
 This module allow one to use a readable/writable stream internally but to
 expose just the readable part of that internal stream.
 .
 A stream is an abstract interface for working with streaming data in Node.js.
 There are many stream objects provided by Node.js. For instance, a request to
 an HTTP server and process.stdout are both stream instances.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-read-package-json
Description-md5: 053fe7626cf97773373b5f03e2df9879
Description-en: Read package.json for npm module for Node.js
 This module reads package.json files with semantics, defaults, and
 validation for npm consumption.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-read-pkg
Description-md5: 4254e0db7b4cfa92f661de2fb6b98b26
Description-en: Read a package.json file
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-read-pkg-up
Description-md5: 543c1fdc14b854a1ea3250c3f8ae1da0
Description-en: Read the closest package.json file
 The module
    Finds the closest package.json
    Gracefully handles filesystem issues
    Strips UTF-8 BOM
    Throws more helpful JSON errors
    Normalizes the data
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-readable-stream
Description-md5: 4a9c680d05ac60758201b0a620491fc4
Description-en: stream compatibility library for Node.js and browser
 node-readable-stream package is a port to browser context of the well
 known stream API of Node.js, usable both under Node.js or inside a browser.
 .
 A stream is an abstract interface for working with streaming data in Node.js.
 There are many stream objects provided by Node.js. For instance, a request
 to an HTTP server and process.stdout are both stream instances.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-readdirp
Description-md5: c21a0cd5e03965a55f5bb7196042cfec
Description-en: Recursive version of Node.js's fs.readdir
 Recursive version of fs.readdir. Exposes a stream API.
 .
 Although the stream API is recommended, readdirp also exposes a callback based
 API.

Package: node-recast
Description-md5: 0c5414b14bf0df513308bbff6e5b121a
Description-en: Pretty printer and syntax tree transformer for JavaScript
 JavaScript syntax tree transformer, nondestructive pretty-printer, and
 automatic source map generator
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rechoir
Description-md5: a0239d19106c000177617591cd0a0750
Description-en: require any supported file as a node module
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-redent
Description-md5: feb34010e549c5d0ecc0c8de833636b1
Description-en: Strip redundant indentation and indent the string
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-redis
Description-md5: 7115fc81bb627d8488a8065bc07789e2
Description-en: redis client library for Node.js
 node-redis is a complete Redis client for Node.js.
 .
 It supports all Redis commands, including many recently
 added commands like EVAL from experimental Redis server branches.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regenerate
Description-md5: ffe472344a3f483a65bd3dee68c4778f
Description-en: Unicode-aware regular expression generator (Node.js module)
 It allows you to easily generate JavaScript-compatible regular expressions
 based on a given set of Unicode symbols or code points.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regenerate-unicode-properties
Description-md5: 57bfa6fcad51adf9145c69121e771328
Description-en: Unicode properties and values as Regenerate sets for Node.js
 This package provides Unicode properties and values as Regenerate sets,
 that is regular expressions ready to use by the Regenerate regex generator.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regenerator-runtime
Description-md5: 3e0eae56615784a540338c7d1b7da4ae
Description-en: Runtime for Regenerator-compiled generator and async functions
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regenerator-transform
Description-md5: 308444d37c9c6dcd5802a8f4b003ce47
Description-en: Explode async and generator functions into a state machine
 This library is a build dependency of babel.
 .
 Note: Since this package require babel to build, it is uploaded to contrib.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regex-cache
Description-md5: 6a8563a1650d381c8ffd2e65c0502651
Description-en: Memorize the results of a call to the RegExp constructor
 Memorize the results of a call to the RegExp constructor, avoiding repetitious
 runtime compilation of the same string and options, resulting in suprising
 performance improvements.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regex-not
Description-md5: 37b0b9d51695e9bc6253e40790af0e6c
Description-en: regex for matching everything except for the given string
 This library lets you create a javascript regular expression for matching
 everything except for the given string.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regexpu-core
Description-md5: b613ea1833ed6a4e72f3585bca88227f
Description-en: Core functionality for regexpu's functionality in Node.js
 This module provides the rewritePattern function which translates
 ES6 Unicode regular expressions to ES5 regular expressions.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-registry-auth-token
Description-md5: f962d21f4a7216e290411e2a0b0eb5de
Description-en: Get the auth token set for an npm registry (if any)
 Get the auth token set for an npm registry from `.npmrc`. Also allows fetching
 the configured registry URL for a given npm scope.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-registry-url
Description-md5: a0c5f789c19bffce90981593ca70b15c
Description-en: Get the set npm registry URL
 It's usually https://registry.npmjs.org/, but configurable. Use this if you do
 anything with the npm registry as users will expect it to use their configured
 registry.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regjsgen
Description-md5: b9ad7b168b567ce54a51ae5238b78778
Description-en: Regular expression from abstract syntax trees in Node.js
 This module accepts an abstract syntax tree representing a regular expression
 (like the ones created by regjsparser, for example), and turns them into a
 regular expression string.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-regjsparser
Description-md5: 5b405eface49b3fc5ceef525c6f179de
Description-en: Parser for JavaScript's RegExp for Node.js
 This module provides a parser for the JavaScript's RegExp, itself written
 in Javascript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-reinterval
Description-md5: e7b8469a00113d80ec3b4bc1b4d85e1d
Description-en: reschedulable setInterval for node.js
 This library provides a way to reschedule a callback with assigned interval.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-remove-trailing-separator
Description-md5: 4023ec03e5c62ee2f03a708679d1445a
Description-en: Removes separators from the end of the string
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-repeat-element
Description-md5: 5575402f28fa85338ad9ad96a08116a3
Description-en: create an array by repeating the given value n times
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-repeat-string
Description-md5: 4183a85306f7c098c5e9d4a9366946fe
Description-en: repeat the given string n times
 Fastest implementation for repeating a string.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-repeating
Description-md5: d9a354383f1b49d5eca97a0f68110ad6
Description-en: Repeat a string - fast
 Repeats the string as many times as mentioned.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-replace-ext
Description-md5: cae68fc1f10f61338c2609d6eb61c080
Description-en: Replaces a file extension with another one
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-request
Description-md5: e217f5776b8a0b89334521e12db8577d
Description-en: simplified HTTP request client module for Node.js
 node-request is an HTTP client with a simple API and a lot of features:
  * streaming response
  * multipart form upload
  * HTTP auth
  * OAuth signing
  * Proxy
 Some features are available as suggested Node.js modules.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-request-capture-har
Description-md5: ca147dfd0bdb97ec540b71cf24d83b2b
Description-en: Save all traffic as a HAR file
 Node.js module that wraps the request module and logs all HTTP traffic
 as a HAR file (HTTP Archive format: a JSON-formatted archive file
 format), useful for auto mocking a client during front-end testing.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-request-promise
Description-md5: 1c26b9c932cb1b48f1c29e50b3dbb264
Description-en: simplified HTTP request client with Promise support
 The simplified HTTP request client 'request' with Promise support. Powered
 by Bluebird.
 .
 Request-Promise adds a Bluebird-powered ".then" method to Request call
 objects. By default, http response codes other than 2xx will cause the
 promise to be rejected. This can be overwritten by setting
 options.simple = false.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-request-promise-core
Description-md5: 32a13d0d52e2675d7c0b7aab08b5bc0a
Description-en: core logic for adding Promise support to request for Node.js
 This package is the core library of node-request-promise. Normal users should
 just use node-request-promise. It is only recommended to use this library
 directly, if you have very specific requirements.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-require-all
Description-md5: 9575e2c524423308780b815ce639a848
Description-en: Require all Node.js module files within a directory
 This Node.js module provides an easy way to require all module files within a
 directory.
 .
 The require-all call also traverses subdirectories, an exclude regexp for
 subdirs can be specified. Additionally, a regexp filter can be given, so
 that only module files matching the filter pattern get included.

Package: node-require-dir
Description-md5: 3e0ccb5e55c48ee903f9ead9a1824e4d
Description-en: helper to require() directories
 Node helper to require() directories. The directory's files are examined,
 and each one that can be require()'d is require()'d and returned as part
 of a hash from that file's basename to its exported contents.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-require-directory
Description-md5: f1c1520f799fa7212018ae6fb98b573e
Description-en: require all files in a directory
 Recursively iterates over specified directory, require()'ing each file, and
 returning a nested hash structure containing those modules.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-require-from-string
Description-md5: ae0ae642576496e5ec3c2fb41c8f4363
Description-en: Require module from string
 This module can be used to avoid creating files for small modules and instead,
 the content of the module can be passed as a string.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-require-inject
Description-md5: 7164edf256fc4d9c72c0745915c27e8c
Description-en: simple mock injector
 This library is a simple mock injector compatible needing no instrumentation
 in the libraries being tested.
 .
 This library is a build dependency of node-gauge, a progress bar library.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-require-main-filename
Description-md5: bde42cd6397c20a85a99dac2057e11be
Description-en: shim for require.main.filename() that works in as many environments as possible
 require.main.filename is great for figuring out the entry point for the
 current application. This can be combined with a module like pkg-conf
 to, as if by magic, load top-level configuration.
 .
 Unfortunately, require.main.filename sometimes fails when an application
 is executed with an alternative process manager, e.g., iisnode.
 .
 require-main-filename is a shim that addresses this problem.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-require-relative
Description-md5: a3df5f19df48b7074fda6585edc2c3d6
Description-en: Relative require module import for Node.js
 This Node.js module makes it possible to import (require or resolve) other
 modules relative to a path of your choice.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-requirejs
Description-md5: d82b7bbf4b88c5ff2765b3369a4a19af
Description-en: JavaScript file and module loader - NodeJS
 RequireJS loads plain JavaScript files as well as more defined
 modules. It is optimized for in-browser use, including in a Web
 Worker, but it can be used in other JavaScript environments, like
 Rhino and Node. It implements the Asynchronous Module API
 .
 RequireJS uses plain script tags to load modules/files, so it should
 allow for easy debugging. It can be used simply to load existing
 JavaScript files, so you can add it to your existing project without
 having to re-write your JavaScript files.
 .
 RequireJS includes an optimization tool you can run as part of your
 packaging steps for deploying your code. The optimization tool can
 combine and minify your JavaScript files to allow for better
 performance.
 .
 If the JavaScript file defines a JavaScript module via define(), then
 there are other benefits RequireJS can offer: improvements over
 traditional CommonJS modules and loading multiple versions of a
 module in a page. RequireJS also has a plugin system that supports
 features like i18n string bundles, and text file dependencies.
 .
 RequireJS does not have any dependencies on a JavaScript
 framework. It is dual-licensed -- new BSD or MIT.
 .
 The standard require.js file is around 5.5KB when minified via
 Closure Compiler and gzipped.
 .
 RequireJS works in IE 6+, Firefox 2+, Safari 3.2+, Chrome 3+, and
 Opera 10+.
 .
 This package also provides a script r.js, that has two major functions:
 * Run AMD-based projects in Node and Rhino.
 * Includes the RequireJS Optimizer that combines scripts for optimal
   browser delivery.

Package: node-requirejs-text
Description-md5: ed01373c141a5eca2993c88774dc5719
Description-en: RequireJS/AMD loader plugin for text resources
 This plugins provides a text.js, a plugin for requirejs that adds support
 for loading text resources.
 .
 Known to work in RequireJS, but should work in other AMD loaders that support
 the same loader plugin API.

Package: node-requires-port
Description-md5: 3eeb441f56dd550acd567c38692613c5
Description-en: checks if a protocol requires a certain port number to be added to an URL
 The module name says it all, check if a protocol requires a given port.
 It is intended to be used with browserify or Node.js
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-reserved
Description-md5: 7c516aafd17f2fe4894728ed71e82105
Description-en: List of JavaScript reserved words (Node.js module)
 Reserved words that may not be used as variables, functions, methods, or
 object identifiers, since ECMAScript specifies special behavior for them.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-resolve
Description-md5: 03e4d21952ed32187ce293dcecd4c31f
Description-en: Synchronous/Asynchronous require.resolve() algorithm
 This module implements the node require.resolve() algorithm such
 that you can require.resolve() on behalf of a file asynchronously
 and synchronously
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-resolve-cwd
Description-md5: 24c79879d31c7e86dc26e22a55c014df
Description-en: Resolve the path of a module from current directory
 This module can be used to determine the path of a module starting from the
 current working directory.
 .
 For an input of moduleId to resolveCwd(moduleId), it gives an error when the
 module can't be found, which is similar to how require() works.
 .
 If moduleId is called as resolveCwd.silent(moduleId) then it returns null
 instead of giving an error when the module can't be found.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-resolve-dir
Description-md5: 5be33ff91216ccd4307fddb4bc2c0807
Description-en: Resolve a directory
 Resolve a directory that is either local, global or in the user's home
 directory.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-resolve-from
Description-md5: 2d77b580f2a23d29bd5933f2a0cf94ba
Description-en: Resolve the path of a module from a given path
 Resolve the path of a module like `require.resolve()`but from a given path.
 Unlike `require.resolve()` it returns `null` instead of throwing when the
 module can't be found.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-resolve-pkg
Description-md5: 94a996d0cd8a37815a56b1248fe1d27e
Description-en: Resolve the path of a package regardless of it having an entry point
 Some packages like CLI tools and grunt tasks don't have a entry point, like
 `"main": "foo.js"` in package.json, resulting in them not being resolvable by
 `require.resolve()`. Unlike `require.resolve()`, this module also resolves
 packages without an entry point, returns `null` instead of throwing when the
 module can't be found, and resolves from `process.cwd()` instead `__dirname`
 by default.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-response-time
Description-md5: 1a555fb902ccfeafeb09fcf6fec517dc
Description-en: X-Response-Time header manager for HTTP response - Node.js module
 This package provides a module to manage the X-Response-Time header
 in a HTTP response just specifying the duration in milliseconds.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-restore-cursor
Description-md5: f2c339dab7526cda32d3ddb1ae73b1ad
Description-en: Gracefully restore the CLI cursor on exit
 Node.js module to make the cursor reappear if the process crashes
 even if it had been hidden interactively.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-resumer
Description-md5: 8ed4c56fc645edbff3fba18ad1b5c55a
Description-en: through stream that starts paused and resumes on the next tick
 Return a through stream that starts out paused and resumes on the next tick,
 unless somebody called .pause().
 .
 This module has the same signature as through.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-retape
Description-md5: 610de0be4913131e4a39abe94abeb846
Description-en: CommonJS test to tape adapter
 This module  allow one to use node-tape module for running commonJS
 test suite (node-test).
 .
 You can also mix with this module, commonJS test suite
 and node-tape test suite.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-retry
Description-md5: 876b72fc141ade5b3d1db75b3d5dfc60
Description-en: Retry strategies for failed operations module for Node.js
 node-retry abstracts exponential and custom retry strategies for failed
 operations. Its parameters are the number of retries, exponential
 factor, minimum and maximum (randomized) timeouts.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-rimraf
Description-md5: 9315ea4e560a612155d4b45cf1df6c10
Description-en: Deep deletion (like rm -rf) module for Node.js
 node-rimraf is a Node.js module that provides asynchronous deep-deletion
 of files and directories.
 .
 The `rimraf` executable is a faster alternative to the `rm -rf` shell
 command.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-ripemd160
Description-md5: 678b49e686d4c96f3834bb8d2df4506e
Description-en: Pure javascript implementation of ripemd160 hash function
 RIPEMD (RACE Integrity Primitives Evaluation Message Digest) is a family
 of cryptographic hash functions developed in Leuven, Belgium,
 by Hans Dobbertin, Antoon Bosselaers and Bart Preneel
 at the COSIC research group at the Katholieke Universiteit Leuven,
 and first published in 1996.
 .
 RIPEMD was based upon the design principles used in MD4,
 and is similar in performance to the more popular SHA-1.
 .
 RIPEMD-160 is an improved, 160-bit version of the original RIPEMD,
 and the most common version in the family.
 RIPEMD-160 was designed in the open academic community,
 in contrast to the NSA-designed SHA-1 and SHA-2 algorithms.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-plugin-alias
Description-md5: d2e5fd4460693926daaddcb1b05be86f
Description-en: Resolves aliases with Rollup
 Rollup is a smaller, more efficient alternative to webpack and Browserify to
 bundle JavaScript files. Compared to the other tools for creating JavaScript
 bundles, Rollup will almost always create a smaller, faster bundle.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-plugin-babel
Description-md5: 55e460caacfc56f913bcdab5591510fa
Description-en: Seamless integration between Rollup and Babel
 If you're using Babel to transpile your ES6/7 code and Rollup to generate a
 standalone bundle, you have a couple of options:
  * run the code through Babel first, being careful to exclude the module
    transformer, or
  * run the code through Rollup first, and *then* pass it to Babel.
 .
 Both approaches have disadvantages. Using Rollup with rollup-plugin-babel
 makes the process far easier.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-plugin-buble
Description-md5: 12c599ff968de3027cd1992acbff10ee
Description-en: Rollup plugin to convert ES2015 to more common javascript using buble
 This plugin for rollup will convert javascript using the too-recent
 ES2015 standard to older and more common javascript variants, using the
 buble transpiler.

Package: node-rollup-plugin-commonjs
Description-md5: f50631f84921aa12813ef87dd93c63f0
Description-en: Node.js plugin for rollup to convert CommonJS modules to ES6
 This plugin for rollup will convert modules written in CommonJS to ES6 so they
 can be included in a Rollup bundle.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-plugin-json
Description-md5: 036762097ab25c04714c384ed2cf6399
Description-en: rollup plugin to convert JSON files to ES6 modules
 This rollup plugin provides functions to convert JSON files
 to ECMAScript version 6 modules.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-plugin-node-resolve
Description-md5: 3f0a2c6fcb2ddd81599da11628b7d764
Description-en: rollup plugin to bundle third-party dependencies
 This rollup plugin is responsible of bundling the third-party dependencies
 in the node_modules directory.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-plugin-replace
Description-md5: dda623729b8f07cf2820e10f0c40872e
Description-en: Rollup plugin to make string substitutions while bundling
 This rollup plugin replaces strings in files during the bundling stage ; you
 should ensure it is run early in the bundling pipeline so other plugins can
 apply optimisations such as dead code removal.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-plugin-string
Description-md5: aca0f8a651d52e3a58c7ddd125433f9f
Description-en: Nodejs code to convert text files to modules
 This plugin for rollup turns text files into modules ready to be
 imported.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-plugin-typescript
Description-md5: 77de31b8396f88d1cef6784501ec9f72
Description-en: Seamless integration between Rollup and TypeScript
 Rollup is a smaller, more efficient alternative to webpack and Browserify to
 bundle JavaScript files.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rollup-pluginutils
Description-md5: 3c07f5ddb8195d216318175b0290f1e2
Description-en: Base functionality for rollup plugins
 This package provides a set of functions commonly used by rollup plugins:
 addExtension, attachScopes, createFilter and makeLegalIdentifier.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-run-async
Description-md5: 8cef2cb0282d3ec836ef806099f90acf
Description-en: Run function synchronously or asynchronously
 Node.js module to run a function either synchronously
 or asynchronously using a series of common patterns.
 This is useful for library author accepting sync or async
 functions as parameter. runAsync will always run them as an
 async method, and normalize the multiple signature.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-run-queue
Description-md5: e2694a42bb8168270456de933539fab5
Description-en: promise based dynamic priority queue runner
 A promise based, dynamic priority queue runner, with concurrency limiting.
 .
 The concurrency means that processes don't finish in order, because some take
 longer than others. Each priority level must finish entirely before the
 next priority level is run. Priorities essentially represent distinct job
 queues. All jobs in a queue must complete before the next highest priority job
 queue is executed. Lowest is executed first.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rw
Description-md5: cde312b745124fbb3fa29f39248a6ca1
Description-en: provide file like interface to stdin and stdout
 The rw provides an interface just like readFile, readFileSync, writeFile and
 writeFileSync, but with implementations that work the way you expect on stdin
 and stdout.
 .
 If you use these methods on files other than /dev/stdin or
 /dev/stdout, they simply delegate to the fs methods, so you can trust that
 they behave identically to the methods you’re used to.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-rx
Description-md5: f494a4ead903db81788ccd5856d627fb
Description-en: Reactive Extensions for JavaScript, Node.js module
 A set of libraries to compose asynchronous and event-based
 programs using observable collections andArray#extras style
 composition in JavaScript.
 .
 This is the Node.js module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-safe-buffer
Description-md5: 869d9e6cf17f75cea2f856adc0025992
Description-en: Safer Node.js Buffer API
 The goal of this package is to provide a safe replacement for the node.js
 `Buffer`. It's a drop-in replacement for `Buffer`. You can use it by adding
 one `require` line to the top of your node.js modules; for example
 var Buffer = require('safe-buffer').Buffer
 Existing buffer code will continue to work without issues.
 This package is a dependency for ava.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-samsam
Description-md5: fad9760433c2b633b2052e1eb170c31c
Description-en: Value identification and comparison functions
 samsam is a collection of predicate and comparison functions useful for
 identifiying the type of values and to compare values with varying degrees
 of strictness.

Package: node-sax
Description-md5: b87b047a99aab4a1d784488756bdf685
Description-en: event-based streaming XML parser - Node.js module
 A sax-style parser for XML and HTML.
 .
 It's a very simple tool to parse through an XML string, or to create a
 streaming HTML parser.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-schema-utils
Description-md5: 9618b15f5e60a3749ce24becfb2de8c7
Description-en: Webpack Schema Validation Utilities
 This library is a build dependency of webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code  to programs
 that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-schlock
Description-md5: 95bf7298ff8a00d45709656e4bfd1c9b
Description-en: Poorly-crafted in-process lock broker
 This is a poorly-crafted read-write lock system. It only works
 in-process, and doesn't lock things between processes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sdp-jingle-json
Description-md5: 515f7270acef449f6a70a1d62fb633c9
Description-en: parser/serializer for SDP to JSON
 A parser/serializer for the Session Description Protocol (SDP) to JSON.
 It is useful for converting SDP to other formats like Jingle for WebRTC
 signalling.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-security
Description-md5: af41ecb3030812477baa1bb4a49f4352
Description-en: Safely encoding and decoding methods for Node.js
 Safely encode/decode HTML, Javascript and CSS data compliant to the standard
 as demanded by the OWASP (Open Web Application Security Project) when using
 Node.js.

Package: node-seedrandom
Description-md5: e0a1dfb4f47a33246524090464b1ecbf
Description-en: Seeded random number generator for Javascript
 Can be used as a plain script, a Node.js module or an AMD module. It includes
 many PRNG alogorithms like alea, xor128, tychei, xorwow, xor4096, xorshift7,
 and quick.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sellside-emitter
Description-md5: c055d524041d1c3864268c58d754adfa
Description-en: Event emitter for node.js projects
 This is based on component-emitter, with some changes and a couple of new
 methods. That project seems to no longer be maintained.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-semver
Description-md5: f64d925b561527bc36681dce868400b9
Description-en: Semantic Versioning for Node.js
 Test if version(s) satisfy the supplied range(s), and sort them.
 Multiple versions or ranges may be supplied.
 Program exits successfully if any valid version satisfies
 all supplied ranges, and prints all satisfying versions.
 .
 This package provides the `semver` executable and the Node.js module.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-semver-diff
Description-md5: 396ee79ed8c38cfeeca3bdcf91fbcd99
Description-en: get the diff type of two semver versions
 Returns the difference type between two semver versions, or `null` if they're
 identical or the second one is lower than the first.
 .
 Possible values: 'major', 'minor', 'patch', 'prerelease', 'build', 'null'.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-send
Description-md5: eba2becd1e2da76b91f5cdb680849531
Description-en: Static file server with ranges and negotiation support for Node.js
 This module offers a streaming static file server supporting partial
 responses (Ranges), conditional-GET negotiation, high test coverage,
 and granular events allowing middlewares to plug easily into it.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-seq
Description-md5: b5d034edb796fe417d542efc666bf74c
Description-en: Chainable asynchronous flow control
 Seq is an asynchronous flow control library with a chainable interface for
 sequential and parallel actions. Even the error handling is chainable.
 .
 Each action in the chain operates on a stack of values. There is also a
 variables hash for storing values by name.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sequencify
Description-md5: 08362489ce2b49eefa69f5ed1ca52ba8
Description-en: module for sequencing tasks and dependencies
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-serve-favicon
Description-md5: fd0a259be49a6c93b36b9d5428dfaf50
Description-en: favicon serving middleware with caching - Node.js module
 This package provides a connect/express middleware to serve a favicon
 from a given path. It also can serve the icon using a Buffer data.
 It is meant to be called early before other middlewares.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-serve-index
Description-md5: baa17c97e25899f57e4ede704261b63f
Description-en: directory listings middleware for Node.js
 This package provides a middleware for serving a directory index
 at the path built from the current URL of the HTTP Request and
 a configurable public local path.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-serve-static
Description-md5: 94ddc3d4b209a57e4362428dc96896bb
Description-en: static files server module for Node.js
 Simple and fast deploy module to serve static files
 in your web applications.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-set-blocking
Description-md5: 8ac01b1b7650d4eb4ca5cf3b15e269da
Description-en: set blocking stdio and stderr
 set blocking stdio and stderr ensuring that terminal output does not truncate
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-set-getter
Description-md5: 3971a09e52d28f5fbf31fe22912ad09e
Description-en: Create nested getter properties and any intermediary dot notation
 Create nested getter properties and any intermediary dot notation (`'a.b.c'`)
 paths.
 .
 set-getter works like set-value by adding a property to an object or an
 object hierarchy using dot notation. The main difference is that the property
 is added using Object.defineProperty and is expected to be a getter function
 that returns a value.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-set-immediate-shim
Description-md5: f45a3232baf7a3961774b8b966d0cea1
Description-en: Simple setImmediate shim
 setImmediate allows scripts to yield to the browser, executing a  given
 operation asynchronously, in a manner that is typically  more efficient and
 consumes less power than the usual  setTimeout(..., 0) pattern. This library
 provides a simple setImmediate shim.
 .
 This library is a dependency of readdirp. Even though we have setimmediate
 packaged, patching readdirp to use it is more work.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-set-value
Description-md5: b336669a8f12720e1cb22ef0d98c34c2
Description-en: create nested values and any intermediaries using dot notation
 This library can be used to create nested values and any intermediaries using
 dot notation (`'a.b.c'`) paths.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-setimmediate
Description-md5: 98efe2058ae5d40cb5b028485d22de82
Description-en: shim for the setImmediate efficient script yielding API
 setImmediate.js is a highly cross-browser implementation of the
 setImmediate and clearImmediate APIs, proposed by Microsoft to
 the Web Performance Working Group.
 .
 setImmediate allows scripts to yield to the browser, executing a
 given operation asynchronously, in a manner that is typically
 more efficient and consumes less power than the usual
 setTimeout(..., 0) pattern.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-setprototypeof
Description-md5: 02b32a911196183b640d506d66eb3f6f
Description-en: Small polyfill for Object.setprototypeof
 A simple cross platform implementation to set the prototype of
 an instianted object.
 .
 Supports all modern browsers and at least back to IE8.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sha
Description-md5: eea1d96314e6488a57ea2abfbc478199
Description-en: Check and get file or stream hashes - module for Node.js
 node-sha exposes simple functions to check and get file or stream hashes.
 The algorithm used defaults to sha1 and can be any of the algorithms
 supported by Node.js builtin crypto module.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-sha.js
Description-md5: 6fd99b1bea7b23a849b11d40aaa99355
Description-en: Streamable SHA hashes in pure javascript
 This module implements a fast and memory efficient (in place)
 SHA familly hash function in pure javascript.
 .
 SHA function are cryptographic hashs function designed by
 the United States National Security Agency and is a
 U.S. Federal Information Processing Standard published
 by the United States NIST. SHA-1 produces a 160-bit (20-byte)
 hash value known as a message digest.
 .
 This module implements: sha, sha1, sha256, sha512.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-shasum
Description-md5: f5bc30386cdd9b8f6d7c51cbd01f19f8
Description-en: Pure javascript function that return the sha1sum
 This package emulate the well know function sha1sum of Node.js in pure
 javascript allowing one to use this function in browser context.
 .
 In cryptography field, SHA-1 (Secure Hash Algorithm 1) is a cryptographic
 hash function designed by the United States National Security Agency
 and is a U.S. Federal Information Processing Standard published by the
 United States NIST, SHA-1 produces a 160-bit (20-byte) hash value
 known as a message digest. A SHA-1 hash value is typically rendered as
 a hexadecimal number, 40 digits long.
 .
 This a dependency of browserify
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-shebang-command
Description-md5: 31ee6a99b6a71951b7a61ee0e75af636
Description-en: Get the command from a shebang
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-shebang-regex
Description-md5: 250e6c3307b9795d411a78a0d42b690d
Description-en: Regular expression for matching a shebang line
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-shelljs
Description-md5: 7c4f36f47cda7f6e8b2f06bda966a23c
Description-en: Portable Unix shell commands for Node.js
 ShellJS is a portable (Windows/Linux/OS X) implementation of Unix shell
 commands on top of the Node.js API. You can use it to eliminate your shell
 script's dependency on Unix while still keeping its familiar and powerful
 commands. You can also install it globally so you can run it from outside
 Node projects - say goodbye to those gnarly Bash scripts!
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-shiny-server-client
Description-md5: 85333001fe39a107344fa74afb2bfd0e
Description-en: browser library for connecting to Shiny Server
 This Node.js package provides unified client code for Shiny Server, Shiny
 Server Pro, and RStudio Connect. Previously, each server product had its own
 version of this code with slight differences. This package provides the
 superset of functionality needed by the different products, and runtime
 options determine what features to enable.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-should
Description-md5: 7173345079fe95af33b66b21199103db
Description-en: behavior driven test assertions library - Node.js module
 Should is an expressive, readable, test framework agnostic, assertion library.
 It helps keeping test code clean and error messages helpful.
 .
 It extends Object.prototype with a single non-enumerable getter that
 allows one to express how the object should behave.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sigmund
Description-md5: 0a75201728b802c822d3575c3f4b0002
Description-en: Quick and dirty signatures for Objects module for Node.js
 This is like a much faster `deepEquals` comparison, which returns a
 string key suitable for caches and the like.
 The returned key will be as unique and reproducible as calling
 `JSON.stringify` or `util.inspect` on the object, but is much faster.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-signal-exit
Description-md5: a6a5e6f7eab43ccde7cc634b7d85eb17
Description-en: Fire an event no matter how a process exits
 When you want to fire an event no matter how a process exits:
    reaching the end of execution.
    explicitly having process.exit(code) called.
    having process.kill(pid, sig) called.
    receiving a fatal signal from outside the process
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-simple-is
Description-md5: 095d776be37dd6bc2828abc0a0e2bf64
Description-en: Maximally minimal type-testing library (Node.js module)
 Maximally minimal type-testing library, to make code more
 readable.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-simple-swizzle
Description-md5: f6e6b34cd9e96de8910c7b5a1f08b8e0
Description-en: Simply swizzle your arguments
 This module can be used to swizzle your function arguments; ie, pass in mixed
 arrays/values and get a clean array. For example, if you swizzle
 (1, [2, 3], 4), then you get [1, 2, 3, 4].
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sink-test
Description-md5: c1b00a2d7187aa27a37e6fd0d20912a5
Description-en: Asynchronous JavaScript Unit Testing Framework
 Sink test is used to test JavaScript that is run asynchronously
 whereby you can specify the number of expectations and Sink will
 tell you if they each pass successfully or call an optional
 complete() callback to indicate test completion.
 .
 This package contains the NodeJS module.

Package: node-sinon
Description-md5: 9e73080ab9a79324809bf0dc77ce7c20
Description-en: JavaScript test spies, stubs and mocks
 Standalone and test framework agnostic JavaScript test spies, stubs and mocks.

Package: node-sinon-chai
Description-md5: 9147d543e21fca853da960a013ea3a51
Description-en: Extends Chai with assertions for the Sinon.JS mocking framework
 Chai is a BDD / TDD assertion library for nodejs and the  browser that can be
 delightfully paired with any javascript testing framework.
 .
 Sinon provides test framework agnostic JavaScript test spies, stubs and mocks.
 .
 This library is a build dependency of node-chokidar.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-slash
Description-md5: 85147333df91c364813722f29a2ba4c8
Description-en: Node.js library to convert Windows backslash paths to slash paths
 node-slash provides a simple way to convert Windows backslash paths to slash
 paths: 'foo\bar' becomes 'foo/bar'
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-slice-ansi
Description-md5: fdd01fd1263975f8202c706e954ea691
Description-en: Slice a string with ANSI escape codes
 Escape codes are used for formatting, color, and other output options on video
 text terminals.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-slide
Description-md5: 649031e896ed6be4607b665c2974653f
Description-en: Simple chain and asyncMap flow control module for Node.js
 The flow control module used by npm, for chaining functions with a
 clean and concise style, without the need for lots of inlined callbacks
 functions. Results are optionally filled in an array given as argument.
 .
 node-slide also provides an asyncMap function.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-smash
Description-md5: aeab4fda84d0b372ed4f1b83e6cd206b
Description-en: concatenate files together using import statements
 Concatenates one or more input files, outputting a single merged file.
 Any import statements in the input files are expanded in-place to the
 contents of the imported file. If the same file is imported multiple
 times, only the first instance of the file is included.

Package: node-snapdragon
Description-md5: 5e5f56c9e98771dcb1b5f8fe167ace71
Description-en: Fast, pluggable and easy-to-use parser-renderer factory
 Node.js is an event-based server-side JavaScript engine.

Package: node-snapdragon-node
Description-md5: fb873752d9920c266943103b3f18393f
Description-en: Snapdragon utility to create a new AST node in some node
 This snapdragon utility makes it possible to create a new AST node
 in a custom node.
 .
 An AST is an abstract syntax tree.
 .
 Snapdragon is a parser-renderer factory for node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-snapdragon-token
Description-md5: aa49a17e8d1c5b138608e1e05686b196
Description-en: Create a snapdragon token
 This package provides a snapdragon token builder ; it is
 used by the snapdragon lexer, but also by snapdragon plugins.
 .
 Snapdragon is a framework to build parsers and compilers with
 built-in source-map support.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-snapdragon-util
Description-md5: 27496b17de26cb2802153e5c9cc026a1
Description-en: Utilities for the snapdragon parser/compiler
 Module containing common code for snapdragon.
 .
 Snapdragon is a framework to create parsers and compilers,
 with built-in source-map support.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sntp
Description-md5: f00003c787c2fe60321f3fd972d7e728
Description-en: SNTP client
 An SNTP v4 client (RFC4330) for nodejs. Simpy connects to the NTP or SNTP
 server requested and returns the server time along with the roundtrip duration
 and clock offset. To adjust the local time to the NTP time, add the returned
 `t` offset to the local time.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-socket.io-parser
Description-md5: 8ced632cb9a60ad4d6fa457070ff9c30
Description-en: socket.io protocol parser
 Node.js module providing a socket.io encoder and decoder written in
 JavaScript complying with version 3 of socket.io-protocol.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-solid-keychain
Description-md5: f50420119ec299b849b3a985a30d9b4d
Description-en: keychain for use with Web Cryptography API in Node.js
 This package provides KeyChain
 for use with Web Cryptography API in Node.js.
 .
 The Web Cryptography API
 is the World Wide Web Consortium’s (W3C) recommendation
 for a low-level interface
 that would increase the security of web applications
 by allowing them to perform cryptographic functions
 without having to access raw keying material.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sort-keys
Description-md5: 278751e9072a1e2372f429f7ef95669f
Description-en: Sort the keys of an object
 Useful to get a deterministically ordered object, as the order of keys can vary
 This is dependency for ava ,a futuristic test runner
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sorted-object
Description-md5: e2d45cc76e3decc46adb2726ca084161
Description-en: Returns a copy of an object with its keys sorted
 Node.js module to create a version of an object with its keys sorted
 so that you'll get a consistent order every time on serializing.
 This makes it easier to diff the resulting JSON.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-source-list-map
Description-md5: c0a719b5ae7eea0199af4e71164f366b
Description-en: Fast line to line SourceMap generator
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-source-map
Description-md5: e0c23a80f79fd146ef0374fa0fca5c2c
Description-en: Mozilla source map generator and consumer - Node.js module
 Mozilla implementation of source map generator and consumer, for source
 maps written in the Asynchronous Module Definition format.
 .
 Source maps provide a language-agnostic way to compile back production
 code to the original source code.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-source-map-support
Description-md5: 4387d7d5227ea1b9520b9f02b76de1b5
Description-en: Fixes stack traces for files with source maps
 This module uses source-map to replace the paths and line numbers
 of source-mapped files with their original counterparts in the real
 sources.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sourcemap-codec
Description-md5: 990aa65356a38aecf2bdda5a57412c24
Description-en: Encode/decode sourcemap mappings
 This package makes generating sourcemap mappings easier,
 since that is a difficult task : the format uses variable-length
 quantities and uses relative offsets, so it can't be done by chunks.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sparkles
Description-md5: 6330112473c64dcf145e651800f1845e
Description-en: Namespaced global event emitter
 Sparkles exports a function that returns a singleton `EventEmitter`.  This EE
 can be shared across your application, whether or not node loads multiple
 copies.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-spdx-correct
Description-md5: 643d51a7ccb32c7e3f1bd81ab4d35d53
Description-en: correct invalid SPDX identifiers
 Node.js is an event-based server-side JavaScript engine.

Package: node-spdx-exceptions
Description-md5: 79d8f100279cd3d96b234eace65374c2
Description-en: list of SPDX standard license exceptions
 Node.js is an event-based server-side JavaScript engine.

Package: node-spdx-expression-parse
Description-md5: db37d126b68defc69334d7d13ce9537f
Description-en: parse SPDX license expressions
 Node.js is an event-based server-side JavaScript engine.

Package: node-spdx-license-ids
Description-md5: 052e7faebbf593bab2273da02c32c459
Description-en: List of SPDX license identifiers
 A list of SPDX license identifiers. The SPDX License List is a list of
 commonly found licenses and exceptions used for open source and other
 collaborative software.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sphericalmercator
Description-md5: 956b9d2e4b27e404ee605f9ac536e4b7
Description-en: Spherical Mercator projection support for NodeJS
 node-sphericalmercator provides NodeJS applications dealing with geography
 with the Spherical Mercator projection.

Package: node-split
Description-md5: 8ee5e22eba798c24f7ef462db5a6e11a
Description-en: split a Text Stream into a Line Stream
 This Node.js module breaks up a stream and reassembles it so that
 each line is a chunk passed to the stream data event.
 It accepts the same arguments as String.split: a string or a
 regular expression - the optional limit parameter being ignored.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-split-string
Description-md5: d2999b674dbbd6d4a738278f14617bec
Description-en: node.js module to split a string on a character
 This module provides a function to split a string on a character,
 but makes sure it doesn't when said character is escaped.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-split2
Description-md5: bb7f743b5f759fe64f8875316723573f
Description-en: split a Text Stream into a Line Stream, using Stream 3
 split2 is a library that breaks up a stream and reassemble it so that each
 line is a chunk. It is totally API compatible with split.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sprintf-js
Description-md5: bc879538044b43a289060ed84ffc9908
Description-en: Node.js Pure JavaScript sprintf implementation
 node-sprintf-js is a javascript implementation of C sprintf (3).
 This function composes a string with the same text that would be printed if
 format was used on printf, but instead of being printed, the content is
 stored as a string in the buffer pointed by a str argument.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-sqlite3
Description-md5: 1e215adbdd44820882957ee2692d2f75
Description-en: asynchronous, non-blocking SQLite3 bindings for Node.js
 node-sqlite3 provides asynchronous, non-blocking SQLite3 bindings for Node.js.
 Its features are:
  - straightforward query and parameter binding interface;
  - full Buffer/Blob support;
  - extensive debugging support;
  - query serialization API;
  - extension support.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-srs
Description-md5: 78bc43a7319177df7cb604e90489bfe7
Description-en: spatial reference library for Node.js
 node-srs provides a spatial reference library for Node.js.
 It is also able to detect projections used in files formatted as Shapefile
 or GeoJSON, similarly to what gdalsrsinfo from gdal-bin package does.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-sshpk
Description-md5: 3f478155e27b7b93af7b92bb63961f65
Description-en: library for finding and using SSH public keys
 Parse, convert, fingerprint and use SSH keys (both public and private) in
 pure node -- no `ssh-keygen` or other external dependencies.
 .
 Supports RSA, DSA, ECDSA (nistp-\*) and ED25519 key types, in PEM (PKCS#1,
 PKCS#8) and OpenSSH formats.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ssri
Description-md5: b132d110f9b31b98c77ec5d93ee6f7d6
Description-en: Utility for parsing, serializing, generating and verifying  ssri metadata
 SSRI, short for Standard Subresource Integrity, is a Node.js utility for
 parsing, manipulating, serializing, generating and verifying Subresource
 Integrity hashes according to SRI spec.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stable
Description-md5: b1b7775585bedd6f7791185fc3b42a10
Description-en: stable array sort, as Array#sort() is not guaranteed to be stable
 The default sort is, as with Array#sort, lexicographical. Unlike Array#sort,
 the default sort is not in-place. To do an in-place sort, use stable.inplace,
 which otherwise works the same.

Package: node-stack-utils
Description-md5: 0b02609ab15ca6ec63eb38af754df3e8
Description-en: Captures and cleans stack traces
 This modules provides API for working with nodejs stack traces.
 Ones could create new stack traces instance simulating for instance
 deep call of function, or clean existing stack trace. This API
 includes function to call up and down on stack trace.
 .
 In computing, a stack trace (also called stack backtrace or
 stack traceback) is a report of the active stack frames
 at a certain point in time during the execution of a program.
 Programmers commonly use stack tracing during interactive
 and post-mortem debugging. A stack trace allows tracking
 the sequence of nested functions called - up to the point
 where the stack trace is generated.
 In a post-mortem scenario this extends up to the function
 where the failure occurred
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-standard-error
Description-md5: ae5967b080691ea76d4859a9e917ed82
Description-en: subclassable standard errors - Node.js library
 StandardError.js is a tiny JavaScript library
 that simplifies creating subclasses of Error
 for custom error classes with the correct name and stack property.
 Saves you from writing a few lines of boilerplate.
 .
 This package provides StandardError.js usable with Node.js -
 an event-based server-side JavaScript engine.

Package: node-standard-http-error
Description-md5: 95da692fe8dde09b15ce39ccf01d901c
Description-en: subclassable standard HTTP errors - Node.js library
 StandardHttpError.js is a very simple but useful error class
 for JavaScript that represents HTTP errors.
 You can then detect it with instanceof in error handling middleware
 and act accordingly.
 .
 This package provides StandardHttpError.js usable with Node.js -
 an event-based server-side JavaScript engine.

Package: node-starttls
Description-md5: ea8188fc5eeaed392b58efa37739724f
Description-en: upgrade a regular `net.Stream` connection to a secure `tls` connection
 This library has one method and accepts either an options hash or a
 prepared socket as the first argument. It returns a SecurePair.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-static
Description-md5: 37644c55e1c0a77269397a845e3879dd
Description-en: RFC2616 compliant HTTP static-file server module with caching
 node-static has an in-memory file cache, making it highly efficient. It
 understands and supports conditional GET and HEAD requests. It was
 inspired by some of the other static-file serving modules,
 such as node-paperboy and antinode.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-static-eval
Description-md5: 561c2d00bab3628f6789ca37f57ed75e
Description-en: evaluate statically-analyzable javascript expressions
 This javascript module decompose expression in abstract tree and try
 to evaluate static evaluable expressions.
 .
 This module could be used in order to simplify expression or for partial
 compilation of javascript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-static-extend
Description-md5: 34db07d1e480948cb9702086e0fe478a
Description-en: Adds a static `extend` method to a class, to simplify inheritance
 Extends the static properties, prototype properties, and descriptors from a
 `Parent` constructor onto `Child` constructors.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-static-module
Description-md5: 18f054f72a90429cf12e2641c4043e96
Description-en: convert module usage to inline expressions
 This module outputs a transform stream that transforms javascript
 source input to javascript source output with each property in
 the modules object expanded inline form.
 .
 This transform is used in javascript tool like brfs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stats-webpack-plugin
Description-md5: cd53dca3fdb8b6a8d468d1530f3e77f5
Description-en: Write the stats of a build to a file
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-statuses
Description-md5: 8695aa0a1151f8e2410715406c27d38b
Description-en: HTTP status utility
 statuses is a HTTP status utility built for node which provides
 appropriate code for valid HTTP codes in Integer or valid HTTP
 codes in String and throws error for invalid codes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stealthy-require
Description-md5: d5ea69794e5c93c0917fc54c087ed972
Description-en: require function that bypasses the require cache.
 This is probably the closest you can currently get to require something in
 node.js with completely bypassing the require cache.
 .
 stealthy-require works like this:
 .
  * It clears the require cache.
  * It calls a callback in which you require your module(s) without the cache
    kicking in.
  * It clears the cache again and restores its old state.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-step
Description-md5: e77041c76959ae9b82d0391b1c803b5b
Description-en: simple control-flow library for Node
 Node is an event-based server-side JavaScript engine.
 .
 Step is a simple control-flow library for Node that makes parallel
 execution, serial execution, and error handling painless.

Package: node-stream-array
Description-md5: 171914d2a7eb3e8fadd3acd53e344bdb
Description-en: Pipe an Array through Node.js streams
 This is rather useful for testing other streams. This library is a build
 dependency of gulp-concat, concatenation support for gulp build tool.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-assert
Description-md5: bafe3143d94450a37473feaebe722143
Description-en: Assertion library for streams
 Assert streams with ease. This library is a build dependency of gulp-concat,
 concatenation support for gulp build tool.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-browserify
Description-md5: 8e67d58c26615ef3025716f90736312b
Description-en: stream module from Node.js for browsers
 This module implements the stream abstraction used in Node.js
 in browser context.
 .
 A stream is an abstract interface for working with streaming data in Node.js.
 In Connection-oriented communication, a data stream is a sequence of
 digitally encoded coherent signals (packets of data or data packets)
 used to transmit or receive information that is in the process of
 being transmitted.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-combiner2
Description-md5: 86b44316bf02260b69f8e48cedabb01d
Description-en: combine stream using stream3 Node.js API
 This module turns a pipeline into a single stream.
 Combine returns a stream that writes to the first stream  and reads
 from the last stream.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-consume
Description-md5: 5784e6c5bf41ae5aa571b20db31114d5
Description-en: consume a stream to ensure it keeps flowing
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-each
Description-md5: e5a40cde18859478946e412128b9fcd8
Description-en: Iterate all the data in a stream
 Iterate the data in the stream by calling the iterator function with
 (data, next) where data is a data chunk and next is a callback. Call next when
 you are ready to consume the next chunk. Optionally you can call next with an
 error to destroy the stream. When the stream ends/errors the callback is
 called if provided.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-http
Description-md5: c9b97a77c35bf2e5622a4bfc12b8c7ef
Description-en: streaming http in browser context
 This module is an implementation of Node.js's native http module for the
 browser. It tries to match  Node's API and behavior as closely as
 possible, but some features aren't available, since browsers  don't
 give nearly as much control over requests.
 .
 This is heavily inspired by, and intended to replace, http-browserify.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-iterate
Description-md5: e0de039ebb7b22a2d4ae983a9ab8df6f
Description-en: Iterate through the values in a stream
 stream-iterate module recursively iterate through each item in the stream.
 stream is a user defined list of objects. This module can be used to implement
 things like a streaming merge sort.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-shift
Description-md5: c8433d87e29671fc5db6531c0bcc366a
Description-en: returns the next buffer/object in a stream's readable queue
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-splicer
Description-md5: ea27728824cb9baccfd2a769556ed797
Description-en: streaming pipeline with a mutable configuration
 This modules allows one to create a pipeline duplex stream given an
 array of streams. Each stream will be piped to the next.
 .
 Streams could also be added and removed dynamically at runtime.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stream-to-observable
Description-md5: 3d552ee3d6758de724a05ebceb3f167f
Description-en: Convert Node Streams into ECMAScript-Observables
 Observables have much in common with Streams, in that they both represent data
 that arrives over time. Most Observable implementations provide expressive
 methods for filtering and mutating incoming data. Methods like `.map()`,
 `.filter()`, and `.forEach` behave very similarly to their Array counterparts,
 so using Observables can be very intuitive.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-streamtest
Description-md5: 554f93caf8afa5f653f30d91d294d677
Description-en: Streams test library
 Streamtest is a set of utils to test your stream based modules across various
 stream implementations of NodeJS.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-strict-uri-encode
Description-md5: 782f54a93c7f1d3331b868ee76029414
Description-en: stricter URI encode adhering to RFC 3986
 Stricter URI encode adhering to [RFC 3986]. For example, 'unicorn!foobar' will
 be encoded as 'unicorn%21foobar'.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-string-decoder
Description-md5: 27596e3bdcda9531b4923e263877e871
Description-en: string_decoder module from Node core for browsers
 node-string-decoder provides a string_decoder module compatible with
 string_decoder module from Node.js core but adapted for
 browsers context.
 .
 Node.js string_decoder module provides an API for decoding Buffer objects
 into strings in a manner that preserves encoded multi-byte UTF-8 and
 UTF-16 characters.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-string-width
Description-md5: c9cf6d39290c89d0b47166cd2ce1f3c2
Description-en: Get the visual width of a string
 Some Unicode characters use more or less than the normal width when output
 to the command-line.
 .
 This nodejs module gets the visual width of a string i.e. the actual
 number of columns required to display it.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-string.prototype.codepointat
Description-md5: bc07c14aa566abcb2c9849971ca9f601
Description-en: String.prototype.codePointAt polyfill based on ES6
 A robust and optimized ES3-compatible polyfill for the
 String.prototype.codePointAt method in ECMAScript 6.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stringmap
Description-md5: 579be51f4abd5f11e74934b263dc5b35
Description-en: fast and robust stringmap (Node.js module)
 A fast and robust stringmap implementation that
 can hold any string keys, including __proto__,
 with minimal overhead compared to a plain object.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stringstream
Description-md5: 2ae41b7ca8f041f08b3aa0a008e63c97
Description-en: Encode and decode streams into string streams
 No need to deal with `setEncoding()` weirdness, just compose streams
 like they were supposed to be!
 .
 Also deals with `base64` output correctly by aligning each emitted data
 chunk so that there are no dangling `=` characters.
 .
 This library is a dependency of request, the simplest way possible to make
 http calls.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-strip-ansi
Description-md5: 40bb1f2123ba544640a74139fabae0c7
Description-en: Strip ANSI escape codes
 This module strips ANSI escape codes.
 .
 To report a security vulnerability, please use the Tidelift security contact.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-strip-bom
Description-md5: 36fc840df939ad63c4ee20397296052e
Description-en: Strip UTF-8 byte order mark (BOM) from a string
 Node module to strip UTF-8 byte order mark (BOM) from a string
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-strip-bom-stream
Description-md5: 371627902591301d95935d43a608904e
Description-en: Strip UTF-8 byte order mark (BOM) from a stream
 The Unicode Standard permits the BOM in UTF-8, but does not require nor
 recommend its use. Byte order has no meaning in UTF-8.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-strip-eof
Description-md5: ea654e789234634d38911885709503e8
Description-en: strip CR and LF characters from a string/buffer
 Strips CR and LF characters (i.e., it strips them away, if the string ends
 with LR, CR, or CRLR, but not more if there's more of those characters).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-strip-indent
Description-md5: 3073c947ba424839b07a2d68290ccec2
Description-en: Strip leading whitespace from each line in a string
 Strip leading whitespace from each line in a string. The line with the least
 number of leading whitespace, ignoring empty lines, determines the number to
 remove. Useful for removing redundant indentation.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-strip-json-comments
Description-md5: c50b20a86d9597fdf24e29523cce8886
Description-en: Node.js module to strip comments from JSON
 This module and command-line client will let you strip comments
 from your JSON files.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-style-loader
Description-md5: 92fee187fff6dd6339940eba06c84875
Description-en: style loader module for webpack
 This library is a style loader module for webpack.
 .
 Webpack takes code targeted at node.js and adapts it to run in the browser.
 Node.js comes with an API of its own that is not available in browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-stylus
Description-md5: 81f358185c35cc7dfc6dbe21f90bb3d6
Description-en: Robust, expressive, and feature-rich CSS superset - Node.js module
 Stylus is a language which provides an efficient, dynamic, and expressive way
 to generate CSS. It supports both an indented syntax and regular CSS style.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-subarg
Description-md5: a92d4b700acc6b1c63dea39b1f5b3a43
Description-en: parse arguments with recursive contexts
 This Node.js module allow one to parse command line like argument
 recursively, thus allowing subarguments.
 .
 This module is therefore useful for passing argument to
 submodules or plugins.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-superagent
Description-md5: b1682edbcf89ed77cfa8f318b1e57339
Description-en: HTTP client request with chainable API - Node.js module
 Super Agent is a light-weight, progressive, ajax API crafted
 for flexibility, readability, and a low learning curve.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-supertest
Description-md5: 6742f4865d4b40f1f6d1ac56cbe98532
Description-en: superagent driven library for testing HTTP servers
 This package provides a high-level abstraction for testing HTTP
 servers using the superagent Node.js module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-supports-color
Description-md5: 573d7633c7d12ba1d08602fa971bcdf5
Description-en: Detect whether a terminal supports color in Node.js
 supports-color is a Node.js module which provides an API to detect whether a
 terminal supports color.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-symbol-observable
Description-md5: b95e2834ce30bd661f9e830aabd9b6bc
Description-en: Symbol.observable ponyfill
 This module provides Symbol.observable for older JavaScript environments which
 does not implement it natively.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-syntax-error
Description-md5: 3f5150b59f8eee3521db6d7f17cdbc51
Description-en: detect and report syntax errors for javascript source
 This module allows ones to emulate in pure javascript the behavior of
 Node.js for detecting syntax error.
 .
 This module detects and prints a friendly error report about exactly
 where the syntax error is in a javascript file.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tacks
Description-md5: 5e686279dcc9fe705b5b33734fe53ff3
Description-en: Generate fixture modules from folders
 This module is a plugin for tap testing framework.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tap
Description-md5: a190a3f8b3123b1ed07912fed5fbc0d2
Description-en: Test-Anything-Protocol module for Node.js
 Utilities for writing test harnesses complying with TAP output format.
 .
 TAP is a simple text-based interface between testing modules
 implemented in many popular languages.
 .
 This package includes leaf package node-bind-obj-methods,
 node-fs-exists-cached, node-function-loop, node-own-or, node-own-or-env,
 node-trivial-deferred, node-tsame, node-yapool
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tap-mocha-reporter
Description-md5: b01acb4a30ff30482b0731df8e30ca94
Description-en: Format a TAP stream using Mocha's set of reporters
 This module allows one to format node-tap output like output
 of Mocha test framework.
 .
 node-tap is a Node.js implementation of TAP a simple text-based interface
 shared between testing modules implemented in many popular languages.
 .
 Mocha is a feature-rich JavaScript test framework running
 on Node.js and browser, making asynchronous testing
 simple.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tap-parser
Description-md5: 9ef05fafe3ec6cea1c865cb39c05bee4
Description-en: Test anything protocol stream parser - Node.js module
 This module parses tap-formatted input as a stream of JavaScript
 objects.
 .
 It is mainly used to extend tap reporters in various test setups.
 .
 This package also include leaf package node-events-to-array.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tapable
Description-md5: 3ca493dfaebe6d3b68f5b1fb0832a0df
Description-en: just a little module for plugins
 Tapable is a class for plugin binding and applying.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tape
Description-md5: 6e82392dfb9feb2f66c4e4a207de3d2b
Description-en: tap-producing test harness for node and browsers
 Tape is a tap-producing test harness for node and browsers requiring
 only a few line of code. It can be used for unit and integration testing.
 .
 Tests are written in pure javascript, so tests could be run using Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tar
Description-md5: 61175f7e6a6a8644a06f742220c3d96d
Description-en: read and write portable tar archives module for Node.js
 node-tar is able to read and write tar archives generated by
 bsdtar, gnutar, solaris posix tar, and "Schilly" tar.
 node-tar is a well-tested essential piece of software for npm,
 the Node.js package manager.
 .
 This package includes components: chownr, minipass, fs-minipass,
 minizlib.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-tar-stream
Description-md5: 0fdad287d26ec5355b3b5338b4c26cde
Description-en: streaming tar parser and generator - Node.js module
 tar-stream is a Node.js module which provides a streaming API to parse and
 generate tar files. It is streams2 and operates purely using streams which
 means you can extract/parse tarballs without ever hitting the file system.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-telegram-bot-api
Description-md5: c34bcb79206e15c2f6ea91b2b81a8d9e
Description-en: node.js module for Telegram Bot API
 This package contains the node.js module for Telegram Bot API. You can use
 it simply as an API if you want to implement logic by yourself, or you can
 enable retrieving of updates and get messages sent to your bot in a callback
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-temp
Description-md5: af46cf6b41499ff1628ca160c79101e2
Description-en: Temporary files, directories, and streams for Node.js
 This library handles generating a unique file/directory name under the
 appropriate system temporary directory, changing the file to an appropriate
 mode, and supports automatic removal (if asked).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-temporary
Description-md5: 11f86b6581ffbe10b292ce6e05f48048
Description-en: Easily create temporary files and directories
 Node.js is an event-based server-side JavaScript engine.

Package: node-term-size
Description-md5: e8c361293384f5a68e2d09475d9e2b7f
Description-en: Reliably get the terminal window size
 Because process.stdout.columns doesn't exist when run non-interactively, for
 example, in a child process or when piped.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-terser
Description-md5: fa26c9c614622e8f1ac6ab825c85fa4e
Description-en: parser/mangler/compressor for ES6+ - Node.js library
 Terser is a parser, mangler, optimizer and beautifier toolkit
 for ECMAScript 2015 and newer (ES6+).
 .
 terser is a fork of uglify-es
 that retains API and CLI compatibility with uglify-es
 (Debian packages node-uglify-js, libjs-uglify-js, and uglifyjs).
 .
 ECMAScript 2015 (ES2015) a.k.a. ECMAScript 6 (ES6)
 is the 6th formal definition of JavaScript -
 a high-level, interpreted programming language
 most notably used in web browsers and in Node.js.
 .
 This package contains Terser usable with Node.js.

Package: node-test
Description-md5: 8b186a56d285234f81f2f80df2c0415a
Description-en: (Un)CommonJS test runner
 Implementation of (Un)commonJS unit test runner.
 .
 This module allow one to create test suite that could be
 run using npm test command.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-text-encoding
Description-md5: d54cdc02a7784fa991a1824b267e1a45
Description-en: Polyfill for the Encoding Living Standard's API (Node.js module)
 Provides a polyfill for the Encoding Living Standard's API for the
 Web, allowing encoding and decoding of textual data to and from
 Typed Array buffers for binary data in JavaScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-text-table
Description-md5: fc1db77e88de5330443c798ac307c407
Description-en: borderless text tables with alignment
 Generate borderless text table strings suitable for printing to stdout.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-thenify
Description-md5: 6eade1ced51fc142af2e90332eedd78a
Description-en: Promisify a callback-based function
 Some features of this module:
  - Preserves function names
  - Uses a native promise implementation if available and tries to fall back to
    a promise implementation such as bluebird
  - Converts multiple arguments from the callback into an Array, also support
    change the behavior by options.multiArgs
  - Resulting function never deoptimizes
  - Supports both callback and promise style
 An added benefit is that thrown errors in that async function will be caught
 by the promise!
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-thenify-all
Description-md5: 11c78b19a0e717bed7fcc24d556bb8ab
Description-en: Promisifies all the selected functions in an object
 Some features of this module:
  - Preserves function names
  - Uses a native promise implementation if available and tries to fall back to
    a promise implementation such as bluebird
  - Converts multiple arguments from the callback into an Array, also support
    change the behavior by options.multiArgs
  - Resulting function never deoptimizes
  - Supports both callback and promise style
 An added benefit is that thrown errors in that async function will be caught
 by the promise!
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-three-orbit-controls
Description-md5: 73a2b5fecb6b49a1afee9753e9fb3b6f
Description-en: orbit controls for ThreeJS
 ThreeJs is a javascript library that provides a high level API to create 3D
 graphics in the browser using WebGL.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-three-stl-loader
Description-md5: 4ef22d79267b4ffbe70b79c1cbdc57a0
Description-en: @aleeper's three.js stl-loader as a node module
 ThreeJs is a javascript library that provides a high level API to create 3D
 graphics in the browser using WebGL.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-through
Description-md5: d8534555bbc61c34f380122f75257d4c
Description-en: simplified stream construction
 Easy way to create a Stream that is both readable and writable.
 .
    Pass in optional write and end methods.
    through takes care of pause/resume logic if you use this.queue(data)
    instead of this.emit('data', data).
    Use this.pause() and this.resume() to manage flow.
    Check this.paused to see current flow state.
    (write always returns !this.paused).
 .
 This function is the basis for most of the synchronous streams in event-stream.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-through2
Description-md5: 322feb945203687e89fc5f241f5f5bb6
Description-en: Make a stream.Transform out of a function - Node.js module
 This package provide a tiny wrapper around stream.Transform from
 Node.js library to avoid explicit subclassing noise.
 .
 Inspired by Dominic Tarr's through module in that it's so much easier
 to make a stream out of a function than it is to set up the prototype
 chain properly.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-through2-filter
Description-md5: 83704af544afc42a375dda8e481fc24d
Description-en: create an Array.prototype.filter analog for streams
 A through2 to create an Array.prototype.filter analog for streams.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tildify
Description-md5: 87a0490ffd1340f845a744c7b86373e0
Description-en: Convert an absolute path to a tilde path
 Example: `/Users/sindresorhus/dev` → `~/dev`
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tilejson
Description-md5: 0b5b395f0c56d4a5693ea4880ee7ba7d
Description-en: tile source backend for online tile sources
 node-tilejson provides a tile source backend for online tile sources.

Package: node-tilelive
Description-md5: bbb6e3c0b6d1b7c754d6960d41986310
Description-en: Interface for tile backends modules for Node.js
 node-tilelive defines an API for interacting with tile store modules,
 like node-mbtiles, tilelive-mapnik, tilelive-vector.
 The `tilelive` executable allows one to copy between tile stores.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-time-stamp
Description-md5: f86f73c7f4c6d38f366826cfb97f739b
Description-en: get a formatted timestamp
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-time-zone
Description-md5: a9e9fd01e75baa423b261dd7f679f4d4
Description-en: Pretty time zone: `+2` or `-9:30`
 This package displays the Coordinated Universal Time of the current time
 zone. For example if current time zone is Norway then it will show the
 UTC time. You can also put an arbitrary date in the arguments.
 This package is a dependency for ava.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-timeago.js
Description-md5: 8ce510c9e43b9d79c8c6ea11c8f4423b
Description-en: format datetime with *** time ago statement
 timeago.js is a simple library (only 2kb) to used to format datetime with ***
 time ago statement. eg: 3 hours ago. localization is supported.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-timed-out
Description-md5: 3bdfcd61b40823a6b5df5a04a09bff28
Description-en: Emit `ETIMEDOUT` or `ESOCKETTIMEDOUT` when ClientRequest is hanged
 Time in milliseconds to wait for `connect` event on socket.
 Or you can pass Object with following fields:
  - `connect` - time to wait for connection
  - `socket`  - time to wait for activity on socket
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-timers-browserify
Description-md5: 8abb01e3c8990457a8636bca5369cc09
Description-en: timers module for browserify
 This library adds support for the `timers` module to browserify. Browsers
 support the public methods of the `timers` module already.
 .
 But the `timers` module also includes some private methods used in other
 built-in Node.js modules. These are used to efficiently support a large
 quantity of timers with the same timeouts by creating only a few timers under
 the covers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tinycolor
Description-md5: c740658a53309e84cb0ea823557891c1
Description-en: No-fuzz, barebone, zero muppetry color module for Node.js
 Tinycolor provides color name definitions for colorizing ANSI output on
 TTY-like terminals.
 .
 Colorization can be disabled by setting the NOCOLOR environment variable.

Package: node-tippex
Description-md5: fab443916682074833b797f5fb0c8406
Description-en: Erase comments and strings from JavaScript code for Node.js
 This module replaces comments, strings and regular expressions from
 JavaScript code by whitespace, so simple pattern search can be done
 without false positive.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tldjs
Description-md5: 5cd6bffd683f910bca1f7a84abdab2ce
Description-en: JavaScript module that delivers details about domain names
 `tld.js` is a Node.js module written in JavaScript to work against complex
 domain names, subdomains and well-known TLDs.
 .
 It answers with accuracy to questions like what is host's (sub)domain, or is
 its TLD a well-known one?

Package: node-tmatch
Description-md5: a3de2e87179c57c81c5dbe0c0ca1865b
Description-en: Match an object against a "pattern" object - Node.js module
 This module checks weter a value matches a given pattern.
 A pattern is an object with a set of fields that must be in
 the test object, or a regular expression that a test string
 must match, or any combination thereof.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tmp
Description-md5: c50a9bc1ed3e7a9b2eb6ad0849bbe04b
Description-en: Temporary file and directory creator for Node.js
 The main difference between node-temp and node-tmp is that node-tmp more
 aggressively checks for the existence of the newly created temporary file
 and creates the new file with O_EXCL instead of simple O_CREAT | O_RDRW,
 so it is safer.
 .
 The API is slightly different as well, Tmp does not yet provide
 synchronous calls and all the parameters are optional.
 .
 Tmp uses crypto for determining random file names, or, when using templates,
 a six letter random identifier. And just in case that you do not have that
 much entropy left on your system, Tmp will fall back to pseudo random numbers.
 .
 You can set whether you want to remove the temporary file on process exit or
 not, and the destination directory can also be set.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-to-absolute-glob
Description-md5: d016fc0b68f531e7511117d4ea4ac052
Description-en: Make a glob pattern absolute
 Make a glob pattern absolute, ensuring that negative globs and patterns with
 trailing slashes are correctly handled.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-to-arraybuffer
Description-md5: c4d637bbf467525c3960ae34a01b8c55
Description-en: Get an ArrayBuffer from a Buffer as fast as possible
 Note that in some cases the returned ArrayBuffer is backed by the same memory
 as the original Buffer (but in other cases it is a copy), so **modifying the
 ArrayBuffer is not recommended**.
 .
 This module is designed to work both in node.js and in all browsers with
 ArrayBuffer support when using the Buffer implementation provided by
 Browserify
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-to-fast-properties
Description-md5: 4e31d9808e8643fa9a472177afcc044a
Description-en: Force V8 to use fast properties for an object
 With to-fast-properties, force V8 to use fast properties for an object.
 .
 Use %HasFastProperties(object) and --allow-natives-syntaxto check whether an
 object already has fast properties.

Package: node-to-object-path
Description-md5: a3e9d206f82412d02f2f24ea9da93057
Description-en: Create an object path from a list of strings
 Create an object path from a list or array of strings. Also supports passing
 an arguments object (without having to slice args).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-to-regex
Description-md5: ca29f421daf796bb5ca1f74e4363519f
Description-en: regex generator from string or array of strings
 to-regex  can be used to generate a regex from a string or array of strings.
 .
 This package installs also regexptree, a regular expressions processor, and
 safe-regex, which detects potentially catastrophic exponential-time regular
 expressions by limiting the star height to 1.

Package: node-to-regex-range
Description-md5: b115a70b57280a16c92a363354300e79
Description-en: returns a regex-compatible range from two numbers, min and max
 It comes with 855,412 generated unit tests to validate it's accuracy! Useful
 for creating regular expressions to validate numbers, ranges, years, etc.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-toidentifier
Description-md5: 2d84f08a767c258e8f49ca52a8cb38c3
Description-en: Convert a string of words to a JavaScript identifier
 This module given a string as the argument, will  transform it to an identifier
 (variable name for instance) using stable rules.
 .
 It is a dependency of Express.js, a web application framework for Node.js.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tough-cookie
Description-md5: f795cf7c28719fe74e686a808e37abc8
Description-en: RFC6265 Cookies and Cookie Jar for node.js
 This library just provides a way to read and write RFC6265 HTTP cookie
 headers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-transformers
Description-md5: 3aeb7aa628a5f51af11421d0c93969f1
Description-en: String and data transformations using templates and compilers
 This module presents string and data transformations from third-party
 modules like templating libraries or compilers, all under a consistent
 interface.
 .
 Each third-party module must be installed separately.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-traverse
Description-md5: 1604c84dadb005437ba8cd312f33ba6a
Description-en: recursively traverse objects in Node.js
 node-traverse is a Node.js module that lets you Traverse and transform
 objects by visiting every node on a recursive walk.

Package: node-trim-newlines
Description-md5: fc76051f575c5886d69e7cce5d68ab43
Description-en: Trim newlines from start and/or end of a string
 Trim newlines or line break from the start and/or end of a string
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-trust-json-document
Description-md5: 9de677853f9f5d6ddf2511f90ab56b73
Description-en: JSON Document manipulation library - Node.js library
 Model and manipulate data with ES6 classes,
 JSON Schema initialization and validation,
 JSON Patch, JSON Pointer, and JSON Mappings.
 .
  * works in Node.js and the browser
  * compiled schema initialization and validation methods
  * high-level JSONDocument class for ease of use
  * zero production dependencies
  * compatible with webpack
 .
 This package provides JSON Document for use with Node.js -
 an event-based server-side JavaScript engine.

Package: node-trust-keyto
Description-md5: fa298b3d315f2d4b26abbbe4b5774235
Description-en: utility for translating cryptographic keys between representations
 This Node.js library implements routines
 to translate between multiple cryptographic key representations.
 .
 RSA
  * PKCS1
  * PKCS8
  * JWK
 .
 ECDSA - secp256k1 (Blockchain Curve)
  * PKCS1 (Private Only)
  * PKCS8
  * JWK
  * BLK (Private Key Hex String)
 .
 ECDSA - secp256r1 (P-256)
  * PKCS1 (Private Only)
  * PKCS8
  * JWK
 .
 ECDSA - secp384r1 (P-384)
  * PKCS1 (Private Only)
  * PKCS8
  * JWK
 .
 ECDSA - secp521r1 (P-521)
  * PKCS1 (Private Only)
  * PKCS8
  * JWK
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-trust-webcrypto
Description-md5: 90e7789d02d7c8961ceb140e8510c1a4
Description-en: WebCrypto API for Node.js
 W3C's Web Cryptography API,
 which defines a standard interface
 for performing cryptographic operations in JavaScript,
 such as key generation, hashing, signing, and encryption.
 This package implements the API for Node.js,
 in order to support universal crypto-dependent code
 required by protocols such as JOSE and OpenID Connect.
 .
 JOSE is a framework intended to provide a method
 to securely transfer claims (such as authorization information)
 between parties, e.g. in systems involving OAuth 2.0.
 .
 Connect (OIDC) is an authentication layer on top of OAuth 2.0,
 an authorization framework.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tslib
Description-md5: 92d8c7b8abf629b9b18d7b9cc6b054e6
Description-en: Implementation of tslib for javascript
 This is a runtime library for typescript that contains all of the TypeScript
 helper functions.
 .
 This library is primarily used by the --importHelpers flag in TypeScript.
 .
 For an optimized bundles with TypeScript, you should absolutely consider
 using tslib and --importHelpers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tty-browserify
Description-md5: 80750fbf7efabf1570271191db42d558
Description-en: tty library from node core for browsers
 Provides a tiny stub implementation of the tty module that always returns
 false to isatty and throws out not implemented errors if ReadStream
 or WriteStream are called.
 .
 This library is a dependency for browserify. Browserify takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Browserify exposes this code
 to programs that are unaware they are running in a browser
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tunein
Description-md5: 39bde5eff3afe0881528fe6a0cedf54e
Description-en: nodejs wrapper for tunein web radios
 This module provides ability for a client to :
    browse radiotime.com library
    search in radiotime.com library
 All returned URLs are parsed with url and querystring native modules
 of NodeJS.
 .
 Returned objects are list of categories and/or webradios, client needs
 to parse objects to know what to do with :(
 .
 Returned objects can have children (an array of library elements)
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tunnel-agent
Description-md5: 2d15b97b86abbab61e9baa5c5eb5c6dc
Description-en: HTTP proxy tunneling agent module for Node.js
 node-tunnel-agent is an HTTP CONNECT tunnel client module
 for Node.js.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-turbolinks
Description-md5: 7dfd709a1e11ec1adb0e353e60a9e96c
Description-en: Turbolinks makes navigating your web application faster
 Get the performance benefits of a single-page application without the added
 complexity of a client-side JavaScript framework. Use HTML to render your
 views on the server side and link to pages as usual. When you follow a link,
 Turbolinks automatically fetches the page, swaps in its <body>, and merges
 its <head>, all without incurring the cost of a full page load.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-tweetnacl
Description-md5: c5b8c8ca18b696e8133852b80e1ef892
Description-en: Port of TweetNaCl cryptographic library to JavaScript
 The primary goal of this project is to produce a translation of
 TweetNaCl to JavaScript which is as close as possible to the
 original C implementation, plus a thin layer of idiomatic
 high-level API on top of it.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-type-check
Description-md5: cd3d54881283014d7c8dbdfde9dc838e
Description-en: allows you to check the types of JavaScript values at runtime
 It comes with a Haskell like type syntax.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-type-detect
Description-md5: 489f5ff54598928ba955261816b554df
Description-en: Improved typeof detection for node.js and the browser
 Type Detect is a module which you can use to
 detect the type of a given object. It returns
 a string representation of the object's type,
 either using typeof or @@toStringTag.
 .
 It also normalizes some object names for consistency among browsers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-type-is
Description-md5: e5c329f74f4209179e61d88b46799508
Description-en: infer the content type from request
 Contains a simple module to infer content type from
 current request.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-typedarray
Description-md5: 6a4ef79ed5f4587100a79d08bbe9d01e
Description-en: TypedArray polyfill for old browsers
 Node-typedarray is a fork of the inexorabletash version of polyfill.
 .
 A polyfill is a shim or collection of shims, and a shim lets you write the
 same code across all browsers by implementing a new API in downlevel
 browsers.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-typedarray-to-buffer
Description-md5: 9c3d0f2f067477db4318be82af4bc4e7
Description-en: JavaScript utility converting TypedArray to buffer without copy
 Convert a typed array to a Buffer without a copy.

Package: node-typescript
Description-md5: 2ed277429adf541777810cd6e401bc7b
Description-en: TypeScript is a language for application scale JavaScript development
 TypeScript is a language for application-scale JavaScript. It adds
 optional types, classes, and modules to JavaScript, supports tools
 for large-scale JavaScript applications for any browser, for any host,
 on any OS and compiles to readable, standards-based JavaScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-typescript-types
Description-md5: a49e9ab7f5c1aec859de9cd51632f069
Description-en: Supposedly "high quality" TypeScript type definitions
 TypeScript type definitions supplied by the DefinitelyTyped project, for
 JavaScript packages that don't supply their own type definitions.
 .
 This description would be longer, but upstream does not give one on their
 website nor on their Github page. After some very painful experience using
 NPM, one can eventually deduce that these definitions are needed for certain
 typescript packages that build on top of javascript packages, where these
 latter packages don't themselves define any typescript types.
 .
 This package contains a subset of the upstream type definitions because there
 are a ridiculous amount (a few hundred megabytes) and the vast majority of
 them are probably never going to be needed for Debian. Currently these are:
 .
    @types/backbone                         1.4.1
    @types/chai                             4.2.9
    @types/estree                           0.0.42
    @types/expect.js                        0.3.29
    @types/fs-extra                         8.1.0
    @types/glob                             7.1.1
    @types/handlebars                       4.1.0
    @types/highlight.js                     9.12.3
    @types/jest                             25.1.2
    @types/jquery                           3.3.32
    @types/jsdom                            16.1.0
    @types/lodash                           4.14.149
    @types/marked                           0.7.2
    @types/mathjax                          0.0.36
    @types/micromatch                       4.0.1
    @types/minimatch                        3.0.3
    @types/minimist                         1.2.0
    @types/mocha                            7.0.1
    @types/node                             13.7.2
    @types/requirejs                        2.1.31
    @types/sax                              1.2.1
    @types/semver                           7.1.0
    @types/shelljs                          0.8.6
    @types/sinon                            7.5.1
    @types/sizzle                           2.3.2
    @types/underscore                       1.9.4
 .
 If you need more than these, please file a bug report asking for git access so
 that you can update this package yourself.

Package: node-ua-parser-js
Description-md5: 400cd88eedc32ec9ca176fff1777517c
Description-en: Lightweight JavaScript-based user-agent string parser
 This library aims to identify detailed type of web browser, layout engine,
 operating system, cpu architecture, and device type/model, entirely from
 user-agent string with a relatively small footprint (~11KB when minified /
 ~4KB gzipped).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uglify
Description-md5: 5867df68a5ca50cc5b7fd8f95d85a460
Description-en: JavaScript parser, mangler/compressor and beautifier toolkit
 UglifyJS is a JavaScript compressor/minifier written in JavaScript. It
 also contains tools that allow one to automate working with JavaScript
 code:
 .
  * A parser which produces an abstract syntax tree (AST) from
    JavaScript code.
  * A code generator which outputs JavaScript code from an AST, also
    providing the option to get a source map.
  * A compressor (optimizer) - it uses the transformer API to optimize
    an AST into a smaller one.
  * A mangler - reduce names of local variables to (usually)
    single-letters.
  * A scope analyzer, which is a tool that augments the AST with
    information about where variables are defined/referenced etc.
  * A tree walker - a simple API allowing you to do something on every
    node in the AST.
  * A tree transformer - another API intended to transform the tree.
 .
 All the above utilities and APIs are defined in ~6500 lines of code
 (except for the effective generation of the source-map, which is
 handled by the source-map module). Compared to alternatives, UglifyJS
 is pretty small.
 .
 NB! This package is deprecated!
 Please consider using node-uglify-js or uglifyjs instead.

Package: node-uglify-js
Description-md5: 458b4a0eb9b9fa151fc641d49eb44bf7
Description-en: JavaScript parser, mangler/compressor and beautifier - Nodejs library
 UglifyJS is a JavaScript compressor/minifier written in JavaScript. It
 also contains tools that allow one to automate working with JavaScript
 code:
 .
  * A parser which produces an abstract syntax tree (AST) from
    JavaScript code.
  * A code generator which outputs JavaScript code from an AST, also
    providing the option to get a source map.
  * A compressor (optimizer) - it uses the transformer API to optimize
    an AST into a smaller one.
  * A mangler - reduce names of local variables to (usually)
    single-letters.
  * A scope analyzer, which is a tool that augments the AST with
    information about where variables are defined/referenced etc.
  * A tree walker - a simple API allowing you to do something on every
    node in the AST.
  * A tree transformer - another API intended to transform the tree.
 .
 All the above utilities and APIs are defined in ~6500 lines of code
 (except for the effective generation of the source-map, which is
 handled by the source-map module). Compared to alternatives, UglifyJS
 is pretty small.

Package: node-uglify-save-license
Description-md5: 55728e40f4ba69554247d9d9b5bd0fb3
Description-en: License detector for UglifyJS
 This module enables to preserve license comments when using UglifyJS.
 .
 Even if the license statement is in multiple line comments, or the comment
 has no directive such as @license and /*!, this module keeps them readable.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uglifyjs-webpack-plugin
Description-md5: 17d8792db50681b2dbfda3034df10e9d
Description-en: UglifyJS v3 plugin for webpack
 This plugin uses UglifyJS v3 API (uglify-es) to minify your JavaScript.
 .
 Note that webpack contains the same plugin under
 webpack.optimize.UglifyJsPlugin. This is a standalone version for those that
 want to control the version of UglifyJS. The documentation is valid apart from
 the installation instructions in that case.
 .
 uglify-es is repalced with terser in debian (uglify-es is unmaintained).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uid-number
Description-md5: 97ce42784eded72824b2316dcd8f0896
Description-en: Convert a username/group name to a uid/gid number
 This module can be used to convert a username/groupname to
 a uid/gid number.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uid-safe
Description-md5: 8ba32f43c493030afc9972c1121152b8
Description-en: UID generator for cookie and URL
 This Node.js module provides a generator to create cryptographically secure
 UIDs safe for both cookie and URL usage.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ultron
Description-md5: 31f3385353460a2f3fe7ee3e90691855
Description-en: event emitter enhancement for node.js
 Ultron gathers intelligence so it can start improving upon his rudimentary
 design. It will learn your event emitting patterns and find ways to
 exterminate them. Allowing you to remove only the event emitters that you
 assigned and not the ones that your users or developers assigned. This can
 prevent race conditions, memory leaks and even file descriptor leaks from
 ever happening as you won't remove clean up processes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-umd
Description-md5: e091fd2be57922463e6240042051225b
Description-en: Universal Module Definition for use in automated build systems
 UMD (Universal Module Definition) is a common pattern used in javascript
 programming allowing one to write once a loader working in different
 context (server, browser). This loader is compatible with different
 API like RequireJS, AMD  or CommonJs.
 .
 This module provides:
  - simple synchronous wrapping of a string
  - return style module support
  - CommonJS support
  - support preventing internal UMDs from conflicting
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unc-path-regex
Description-md5: 0b2cbbc22b7fc6e22253f7bd33fe7426
Description-en: regex to test if a file path is windows UNC file path
 Regular expression for testing if a file path is a windows UNC file path.
 Can also be used as a component of another regexp via the `.source` property.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-underscore
Description-md5: 398861d20e6c0b871219d43e35746ad1
Description-en: JavaScript's functional programming helper library - NodeJS
 Underscore is a utility-belt library for JavaScript that provides a lot
 of the functional programming support that you would expect in
 Prototype.js (or Ruby), but without extending any of the built-in
 JavaScript objects.  It's the tie to go along with jQuery's tux.
 .
 Underscore provides 60-odd functions that support both the usual
 functional suspects: map, select, invoke - as well as more specialized
 helpers: function binding, javascript templating, deep equality
 testing, and so on.  It delegates to built-in functions, if present, so
 modern browsers will use the native implementations of forEach, map,
 reduce, filter, every, some and indexOf.
 .
 This package contains the NodeJS module.

Package: node-underscore.string
Description-md5: a1cca7b35ca1a817785b1b45c599db4b
Description-en: String manipulation extensions - node module
 JavaScript lacks complete string manipulation operations, this an
 attempt to fill that gap.
 .
 This package contains underscore.string usable with Node.

Package: node-unicode-13.0.0
Description-md5: bb0de9b8b3ffbc000735c4eedf2ed13b
Description-en: Unicode 13.0.0 data for Node.js
 JavaScript-compatible Unicode data. Arrays of code points, arrays of symbols,
 and regular expressions for Unicode v13.0.0’s categories, scripts, blocks,
 bidi, and other properties.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unicode-canonical-property-names-ecmascript
Description-md5: cd85ef63343dd60f147a6df70c4cf038
Description-en: Unicode property names supported in ES RegExp in Node.js
 This module provides the set of canonical Unicode property names supported
 in ECMAScript RegExp property escapes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unicode-loose-match
Description-md5: 022ba4a5417d2fa47bc2815e3982201b
Description-en: Unicode loose matching implementation for Node.js
 This package provides an implementation of the UAX44-LM3 norm, that is the
 loose matching algorithm for symbolic values, as it is defined in the Unicode
 Standard.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unicode-match-property-ecmascript
Description-md5: 690738d9d8085aa8afe41e9711e670d8
Description-en: Match a Unicode property to its canonical name for Node.js
 This package provides a function to match a Unicode property or property
 alias to its canonical property name according to the algorithm used for
 RegExp Unicode property escapes in ECMAScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unicode-match-property-value-ecmascript
Description-md5: bdb001cb1fbcd69e6282fa8d99e3931d
Description-en: Match a Unicode property value to its canonical version in Node.js
 This module provides a function to match a Unicode property value to its
 canonical version (without applying the loose matching algorithm) following
 the RegExp Unicode property escapes in ECMAScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unicode-property-aliases
Description-md5: 82a0291e1a9873e37cf29a389778d976
Description-en: Unicode property alias mappings in Javascript format for Node.js
 This package provides a map object giving alias mappings for Unicode
 property aliases, the most common usage being to convert an alias to its
 canonical form.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unicode-property-aliases-ecmascript
Description-md5: 43855b15609c4e1cfc1278bd77b94ea7
Description-en: Unicode property aliases mapping for property names in Node.js
 This modules provides unicode 10.0 property alias mappings in JavaScript
 format for property names that are supported in ECMAScript RegExp property
 escapes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unicode-property-value-aliases
Description-md5: 3b88639e21ad00fbd4a8ed9e18fc01c9
Description-en: Unicode property value alias mappings for Node.js
 This package provides a map object giving alias mappings for Unicode
 property value, the most common usage being to convert an alias to its
 canonical form.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unicode-property-value-aliases-ecmascript
Description-md5: a42b33dd3344a3329434979336d81316
Description-en: Unicode aliases in ECMAScript regular expressions for Node.js
 The ECMAScript RegExp norm supports some aliases for property names and
 values in property escapes, which this package makes available as mappings.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-union-value
Description-md5: 68b9e2918f06576f67856144a7dbf8ea
Description-en: set an array of unique values as the property of an object
 This library supports setting deeply nested properties using object-paths/dot
 notation.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uniq
Description-md5: 375aed46d940ef81cba60648de17c868
Description-en: Node.js module to remove duplicates from a sorted array in place
 Why use this instead of underscore.uniq[ue]?
 A few reasons:
  * This library updates the array in place without making an extra copy (and
 so it is faster for large arrays)
  * It also accepts a custom comparison function so you can remove duplicates
 from arrays containing object
  * It is more modular in the sense that it doesn't come with a bazillion other
 utility grab bag functions.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uniqid
Description-md5: 93248cfc3c1a58f7179b64829d372d3c
Description-en: Unique ID Generator
 This module is a Unique Hexatridecimal ID generator. It will always create
 unique id's based on the current time, process and machine name.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uniqs
Description-md5: bd0669e43e2ce85b5009356385d2c937
Description-en: Tiny utility to create unions and de-duplicated lists
 For example, uniqs([2, 1, 1], [2, 3, 3, 4], [4, 3, 2]) will give [2, 1, 3, 4]
 as output.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unique-filename
Description-md5: 155bb834c166c3a9221aa69eefb71492
Description-en: unique filename for use in temporary directories or caches
 This module can be used to generate a unique filename for use in temporary
 directories or caches.
 .
 For example, if you pass os.tmpdir() as an argument, it returns something
 like: /tmp/912ec803b2ce49e4a541068d495ab570.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unique-stream
Description-md5: 3ee73c6c43604fe6f414f1c4d7ae00d1
Description-en: node.js through stream that emits a unique stream of objects based on criteria
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unique-string
Description-md5: 6e525ade18a7e67ae657eab5dd37db85
Description-en: Generate a unique random string
 Returns a 32 character unique string. Matches the length of MD5, which is
 unique enough for non-crypto purposes.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-universalify
Description-md5: 3598badedda83f49d5efec369727a8a8
Description-en: Make a callback- or promise-based function support both promises and callbacks
 This package provides ways to make a callback- or promise-based function
 support both promises and callbacks.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unorm
Description-md5: 4ae4e8fe6a625b5e89bda849c8077aeb
Description-en: Common JS Unicode Normalizer (Node.js)
 Normalization is a process that involves transforming characters and sequences
 of characters into a formally-defined underlying representation. This process
 is most important when text needs to be compared for sorting and searching,
 but it is also used when storing text to ensure that the text is stored in a
 consistent representation.
 .
 This package provides a Common JS Unicode Normalizer (Node.js).

Package: node-unpipe
Description-md5: 6c6185c388f81a49038c3ed547fc7c7e
Description-en: Unpipe a stream from all destinations
 Unpipes all destinations from a given stream. With stream 2+, this is
 equivalent to stream.unpipe(). When used with streams 1 style streams
 (typically Node.js 0.8 and below), this module attempts to undo the
 actions done in stream.pipe(dest).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-unset-value
Description-md5: a2067232ee075368cd2d1f09c34bdf4b
Description-en: Delete nested properties from an object using dot notation
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uri-js
Description-md5: fa6905bd33fde5990d2945152d05c4b0
Description-en: URI/IRI parsing/validating/resolving library
 This is an RFC 3986/3987 compliant, scheme extendable URI/IRI
 parsing/validating/resolving library for JavaScript.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uri-path
Description-md5: aa0de8e140dc26f23f573a2388b57468
Description-en: Convert relative file system paths into safe URI paths
 This module can be used to convert relative file system paths into
 safe URI paths.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-url
Description-md5: bc52ba044ab4458414e1ff3f798ed932
Description-en: core nodejs url packaged standalone for use with Browserify
 This package provides utilities for URL resolution and parsing.
 .
 This module creates an url object which contain properties
 holding different parts of the url.
 .
 This module is used by browserify and is meant to
 have feature parity with node.js core url module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-url-join
Description-md5: 5387eb70913e396fcef9a0e1f24813ef
Description-en: Join urls and normalize as in path.join
 This module can be used to join all arguments together and normalize
 the resulting url.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-url-loader
Description-md5: e91f5555fcdab413bb40f825953a6900
Description-en: url loader module for webpack
 This module loads files as base64 encoded URL.
 .
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-url-parse
Description-md5: 0e27d3fc10c5e075495ca0ecf3279b9f
Description-en: Parse URL in node using the URL module and in the browser using the DOM
 The url-parse method exposes two different API interfaces. The url interface
 that you know from Node.js and the new URL interface that is available in
 the latest browsers.
 .
 Since 0.1 we've moved away from using the DOM's <a> element for URL parsing
 and moving to a full Regular Expression solution. The main reason for this
 change is to make the URL parser available in different JavaScript
 environments as you don't always have access to the DOM like Worker
 environments.  This module still have a really small foot print as this
 module's main intention is to be bundled with client-side code. The only
 problem however with a RegExp based solution is that it required a lot of
 lookups causing major problems in FireFox. So the last and the current
 solution was a pure string parsing solution which chops up the URL in
 smaller pieces.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-url-parse-lax
Description-md5: 485478bbfa67fe17245d2b15845961cf
Description-en: url.parse() with support for protocol-less URLs & IPs
 Lax url.parse() with support for protocol-less URLs & IPs
 .
 The url.parse() method takes a URL string, parses it, and returns a URL
 object. A TypeError is thrown if urlString is not a string. A URIError is
 thrown if the auth property is present but cannot be decoded.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-url-to-options
Description-md5: ef3edf9686c443202baf621c3a6f076d
Description-en: Convert a WHATWG URL to an http(s).request options object
 Package converts a WHATWG URL to 'http.request()' / 'https.request()'
 options object. An option object contains protocol, hostname, hash, search,
 pathname, path & href.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-urlgrey
Description-md5: 3e842d988af3b57dd0ccd440d429a442
Description-en: urlgrey is a library for url querying and manipulation
 urlgrey is a library for url manipulation. It's a chainable/fluent interface
 that makes a number of methods available for querying different aspects
 of a url, and even modifying it to create new urls.
 .
 Most methods are named after different parts of the url
 and allow you to read that part from the current url if you don't pass
 any parameters, or they allow you to generate a new url
 with a change to that part in the current url if you do pass a parameter.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-use
Description-md5: 27917936e9c412f418eec5986ff3089b
Description-en: Easily add plugin support to your node.js application
 Easily add plugin support to your node.js application. It is a different
 take on plugin handling. This is not a middleware system
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-util
Description-md5: 8067a75d639c18d3190d9b3ab81a91ad
Description-en: NodeJS/JavaScript util module
 Provides various utilities for regular expressions, deprecating
 functions, inspecting variables and other useful things.

Package: node-util-deprecate
Description-md5: e46191256b729282c04b4dbfbf30e566
Description-en: Node.js's `util.deprecate()` function with browser support
 In Node.js, this module simply re-exports the util.deprecate() function.
 .
 In the web browser (i.e. via browserify), a browser-specific
 implementation of the util.deprecate() function is used.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-utilities
Description-md5: 810c6a813047e733d121f36696230418
Description-en: classic collection of JavaScript utilities
 Contains various helper utilities for other applications.

Package: node-utils-merge
Description-md5: 8669c9709e887d6bc7ca41d811968c72
Description-en: provides a merge utility function
 Simple merges the properties from a source object
 into a destination object.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-utml
Description-md5: a7393676ec51c144d1e0657b295916e6
Description-en: Express compliant templating for underscore.js
 UTML is a wrapper around the underscore.js template method to make
 it comply with the express web framework.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-uuid
Description-md5: a2b84e815d11dd78db1e559dec5b3e59
Description-en: simple and fast RFC4122 UUID generation - Node.js module
 Node-uuid provides simple, fast generation of RFC4122 UUIDs.
 .
  * Generate RFC4122 version 1 or version 4 UUIDs
  * Runs in Node.js and web browsers
  * Cryptographically strong random number generation on supporting platforms
  * Small footprint
 .
 This package provides node-uuid usable with Node.js -
 an event-based server-side JavaScript engine.

Package: node-v8flags
Description-md5: a14163b8d2382bba3b51bd2e1d8e22df
Description-en: Get available V8 JavaScript engine flags
 This package get flags passed to Node.js embedded
 V8 Javascript engine.
 .
 V8 is a high performance JavaScript engine written in C++.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vali-date
Description-md5: ba2f9c41ed45149887b186c0f4e6cfa9
Description-en: Validate a date
 Returns a boolean indicating if the date provided is valid or not.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-validate-npm-package-license
Description-md5: 35edb212a0c769689cf012bb75ecc3c3
Description-en: Tells if a string is a valid npm package license string
 Node.js is an event-based server-side JavaScript engine.

Package: node-validate-npm-package-name
Description-md5: b090666753c62d999a95c221120b4de7
Description-en: Checks if a string is a valid npm package name
 This module can determine if a string is valid to be used a npm module name.
 This module will show conformance to old naming rules and new naming rules
 separately.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vary
Description-md5: 65fe5f236ef39e821ff032656f3ceb3d
Description-en: manage the Vary header of a HTTP response - Node.js module
 This package provides a simple module to manage the fields
 of the Vary header in a HTTP response.
 .
 The Vary HTTP response header determines how to match future
 request headers to decide whether a cached response can be
 used rather than requesting a fresh one from the origin server.
 It is used by the server to indicate which headers it used when
 selecting a representation of a resource in a content negotiation
 algorithm.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vasync
Description-md5: cfaca806887963aec8cffa2d38e54937
Description-en: Utilities for observable asynchronous control flow
 This module provides several functions for asynchronous
 control flow. This one's claim to fame is improved debuggability.
 .
 Node.js is an event-based server-side JavaScript engine.
 .
 Its a dependency of  node-ldapjs

Package: node-verror
Description-md5: ea75e5598edb93cc638cc5905689e721
Description-en: rich JavaScript errors
 This module provides several classes in support of Joyent's Best Practices
 for Error Handling in Node.js.
 .
 The error classes here support:
  * printf-style arguments for the message
  * chains of causes
  * properties to provide extra information about the error
  * creating your own subclasses that support all of these
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vhost
Description-md5: 092011d1d1d9631b71176a5f35b1bdc6
Description-en: connect middleware for domain request matching - Node.js module
 This module allows one to match incoming http requests to given
 host-server pairs, also known as virtual hosts.
 .
 It only works with in-process Request and Server Node.js objects,
 in particular it is not a proxy.
 .
 Connect is an extensible HTTP server framework.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vinyl
Description-md5: 27990ee9a106576bac50dab0b61bd11f
Description-en: Virtual file format
 Vinyl is a very simple metadata object that describes a file. When you think
 of a file, two attributes come to mind: `path` and `contents`. These are the
 main attributes on a Vinyl object. A file does not necessarily represent
 something on your computer’s file system. You have files on S3, FTP, Dropbox,
 Box, CloudThingly.io and other services. Vinyl can be used to describe files
 from all of these sources.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vinyl-fs
Description-md5: 85e4f166949142a7f98eabfad51e6613
Description-en: Vinyl adapter for the file system
 A Vinyl adapter simply exposes a `src(globs)` and a `dest(folder)` method.
 Each return a stream. The `src` stream produces Vinyl objects, and the `dest`
 stream consumes Vinyl objects. Vinyl adapters can expose extra methods that
 might be specific to their input/output medium, such as the `symlink` method
 `vinyl-fs` provides.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vinyl-sourcemaps-apply
Description-md5: 643d5c4b0308b5438c1c2d8e31682dab
Description-en: Apply a source map to a vinyl file
 Apply a source map to a vinyl file, merging it with preexisting source maps.
 .
 Source maps provide a language-agnostic way to compile back production
 code to the original source code.
 .
 This library is a build dependency of gulp-coffee, coffee script integration
 for gulp.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vlq
Description-md5: 110d36c3db307eb3d832255348d5b8c2
Description-en: Variable-length quantity mapper for Node.js
 Generate and decode base64 variable-length quantity mappings for
 source maps and other uses.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vm-browserify
Description-md5: 6ddb4088de9778207d3a7dc3ce1bcad9
Description-en: vm module for the browser
 emulate node's vm module for the browser. This module is depended upon by
 browserify, so you should just be able to `require('vm')` and it will just
 work.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vows
Description-md5: d8dbaad9646ff8fc7a1edfd588ff27b9
Description-en: asynchronous BDD & continuous integration for Node
 Node is an event-based server-side JavaScript engine.
 .
 Vows is a behavior driven development (BDD) framework for Node.
 .
 Vows was built from the ground up to test asynchronous code.  It
 executes your tests in parallel when it makes sense, and sequentially
 when there are dependencies.
 .
 Emphasis was put on speed of execution, clarity and user experience.
 .
 This package contains the vows library for Node, and the script vows
 for running tests from the commandline.

Package: node-vue
Description-md5: fa3554f1e8798599c8c072024864fb2b
Description-en: Core library of the Vue JavaScript framework
 Vue is a flexible, non-opinionated, non-monolithic, incrementally adoptable
 JavaScript framework for building user interfaces with JavaScript or
 TypeScript.
 .
 It provides data-reactive components with a simple and flexible API.
 .
 The core library focuses on declarative rendering and component composition.
 .
 Vue core when used in combination with its supporting libraries and modern
 tooling is also perfectly capable of powering sophisticated Single-Page
 Applications.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vue-hot-reload-api
Description-md5: fa34516c08d33e2714370655a70d0f88
Description-en: hot reload api for *.vue components
 Hot reload API for Vue components. This is what vue-loader and vueify use
 under the hood.
 .
 You will only be using this if you are writing some build toolchain based on
 Vue components. For normal application usage, just use vue-loader or vueify.
 .
 This package is a dependency of node-vue-loader.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vue-resource
Description-md5: f5a655ce918ee9cbe3ef52dce2627c7c
Description-en: HTTP client for Vue.js
 Vue.js is a library for building interactive web interfaces. It provides
 data-reactive components with a simple and flexible API.
 .
 Core features include:
  - Declarative rendering with a plain JavaScript object based reactivity
    system
  - Component-oriented development style with tooling support
  - Lean and extensible core
  - Flexible transition effect system
  - Fast without the need for complex optimization
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vue-style-loader
Description-md5: 4b53fc712ec413737414293ad18e312e
Description-en: Vue.js style loader module for webpack
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-vue-template-compiler
Description-md5: e80dc822becfc75c97c3a1980b9e09c2
Description-en: template compiler for Vue 2.0
 Vue.js is a library for building interactive web interfaces. It provides
 data-reactive components with a simple and flexible API.
 .
 Core features include:
  - Declarative rendering with a plain JavaScript object based reactivity
    system
  - Component-oriented development style with tooling support
  - Lean and extensible core
  - Flexible transition effect system
  - Fast without the need for complex optimization
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-watchpack
Description-md5: 9eb7f5c6f8f55023e02ab14eb02d1f47
Description-en: Wrapper library for directory and file watching
 The watchpack high level API doesn't map directly to watchers. Instead a
 three level architecture ensures that for each directory only a single
 watcher exists.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-wcwidth.js
Description-md5: dbaff7695566875d731712e43cf738ac
Description-en: wcwidth.js is a javascript porting of C's wcwidth()
 wcwidth.js is a simple javascript porting of wcwidth()
 implemented in C by Markus Kuhn.
 .
 wcwidth() and its string version, wcswidth() are defined by
 IEEE Std 1002.1-2001, a.k.a. POSIX.1-2001, and return the
 number of columns used to represent a wide character and
 string on fixed-width output devices like terminals.
 Markus's implementation assumes wide characters to be
 encoded in ISO 10646, which is almost true for JavaScript;
 almost because JavaScript uses UCS-2 and has problems
 with surrogate pairs. wcwidth.js converts surrogate pairs
 to Unicode code points to handle them correctly.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-webassemblyjs
Description-md5: 4e705e76cc86f1fbd6af526b38758ebd
Description-en: Toolchain for WebAssembly
 This package includes:
 webassemblyjs - WebAssembly interpreter, implements the W3C WebAssembly API.
 and the following modules under @webassembly name space.
 .
 ast - AST utils for webassemblyjs
 cli - Toolbox for WebAssembly
 dce - Eliminate unused functions in your WASM binary
 eslint-plugin-webassembly - ESLint plugin for WebAssembly.
 floating-point-hex-parser - Parser function for floating point hexadecimals.
 helper-buffer - Buffer manipulation helpers
 helper-fsm - FSM implementation
 helper-wasm-bytecode - Constants for the wasm format
 helper-wasm-section - Section manipulation helpers
 leb128 - LEB128 decoding
 repl - WebAssembly REPL.
 validation - Module AST validations
 wasm-edit - Replace in-place an AST node in the binary.
 wasm-gen - WebAssembly binary format printer
 wasm-opt - WASM optimizer
 wasm-parser - WebAssembly binary format parser
 wasm-strip - Strips custom sections (deprecated, use wabt instead)
 wast-loader - Webpack loader for WebAssembly text format
 wast-parser - WebAssembly text format parser
 wast-printer - WebAssembly text format printer
 wast-refmt - WAST refmt
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-webfinger
Description-md5: 30e4cc4061824a496ae59830d9586a19
Description-en: Client library for Host Meta (RFC 6415) and Webfinger
 This library implements Node.js client support for:
  * XRD documents
  * JRD documents
  * host-meta
  * host-meta.json
  * http and https
  * RFC 6415 and the upcoming Webfinger RFC (up to draft 09)
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-webidl-conversions
Description-md5: a0751da9d493f20cb66d85d0198c589a
Description-en: web IDL type conversions on JavaScript values - Node.js library
 Webidl-conversions implements, in JavaScript, the algorithms
 to convert a given JavaScript value according to a given Web IDL type.
 .
 Web IDL is an interface description language (IDL) format
 for describing application programming interfaces (APIs)
 that are intended to be implemented in web browsers.
 .
 This package provides webidl-conversions usable with Node.js -
 an event-based server-side JavaScript engine.

Package: node-webpack-merge
Description-md5: 3d737b0425e8e323c547a7ea068c5aca
Description-en: merge designed for Webpack
 webpack-merge provides a merge function
 that concatenates arrays and merges objects
 creating a new object.
 If functions are encountered,
 it will execute them, run the results through the algorithm,
 and then wrap the returned values within a function again.
 .
 This behavior is particularly useful in configuring webpack
 although it has uses beyond it.
 Whenever you need to merge configuration objects,
 webpack-merge can come in handy.
 .
 There's also a webpack specific merge variant
 known as merge.smart
 that's able to take webpack specifics into account
 (i.e., it can flatten loader definitions).

Package: node-webpack-sources
Description-md5: df602889e76423457c0898f8c30b2de1
Description-en: Source code handling classes for webpack
 This library contains multiple classes which represent a `Source`. A `Source`
 can be asked for source code, size, source map and hash.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-webpack-stats-plugin
Description-md5: e10209213a8031b4d2d3ba92715c7647
Description-en: Webpack stats plugin
 This plugin will ingest the webpack stats object, process / transform the
 object and write out to a file for further consumption.
 .
 The most common use case is building a hashed bundle and wanting to
 programmatically refer to the correct bundle path in your Node.js server.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-webrtc-adapter
Description-md5: 468c5fff80cbfe1c1861854dd78da66d
Description-en: shim to insulate apps from WebRTC quirks - Node.js library
 adapter.js is a shim to insulate apps
 from spec changes and prefix differences.
 In fact, the standards and protocols used for WebRTC implementations
 are highly stable,
 and there are only a few prefixed names.
 .
 WebRTC (Web Real-Time Communication) is a project
 that provides web browsers and mobile applications
 with real-time communication (RTC)
 via simple application programming interfaces (APIs).
 .
 This package contains adapter.js usable with Node.js.

Package: node-websocket
Description-md5: 7c94a700e1aa94ed51f22720fe2651b4
Description-en: WebSocket implementation for NodeJS
 An implementation of the WebSocket API for NodeJS.

Package: node-websocket-driver
Description-md5: d27e998d45018d6a68de128e4d26d3f8
Description-en: WebSocket protocol handler with pluggable I/O for Node.js
 This module provides a complete implementation of the WebSocket protocols
 that can be hooked up to any I/O stream. It aims to simplify things by
 decoupling the protocol details from the I/O layer, such that users only
 need to implement code to stream data in and out of it without needing to
 know anything about how the protocol actually works.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-websocket-stream
Description-md5: 67118f953b81d5072cfdd45da26a1203
Description-en: use websockets with the node streams API in browser and node
 Use HTML5 websockets using the Node Streams API. This module works in Node or
 in Browsers that support WebSockets. You can use browserify to package this
 module for browser use.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-when
Description-md5: 862b475853023ac238a5c010588ba646
Description-en: Async tools and when() implementation for Node.js
 A lightweight Promises/A+ and when() implementation, plus other
 async tools.
 .
 A when() function is responsible of triggering actions depending on the
 result of executing asynchronous actions, with submethods to check for
 any or all results, and handling exceptions.
 .
 Promises/A+ is a programming interface for asynchronous operations.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-which
Description-md5: ed96a9d753ccc02ad2e7f2839ebbd367
Description-en: Cross-platform 'which' module for Node.js
 node-which finds the first instance of a specified executable
 in the PATH environment variable, simulating the behaviour of
 the standard "which" program.
 node-which supports all platforms supported by Node.js.
 .
 This is the module only, the binary being totally useless.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-which-module
Description-md5: 02ceda1e04e2e1d0fb3a90b3de4df978
Description-en: Find the module object for something that was require()d
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-wide-align
Description-md5: bba847033427fc6d2002ae23793ada64
Description-en: Wide-character aware text alignment function
 Wide-character aware text alignment function for use on the console or with
 fixed width fonts.
 .
 This library is a dependency for webpack. Webpack takes code targeted at
 node.js and makes it run in the browser. Node.js comes with API of its own
 that is not available in the browsers. Webpack exposes this code
 to programs that are unaware they are running in a browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-widest-line
Description-md5: 83217aad729ef0a06db375f05321b6a6
Description-en: Get the visual width of the widest line in a string -
 the number of columns required to display it
 Some Unicode characters are fullwidth and use double the normal width
 This script helps to know the maximum width a string will take up in
 the terminal.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-wildemitter
Description-md5: e55ffd7fd52247102b47868336b19cd5
Description-en: lightweight event emitter that supports wildcard handlers
 Super lightweight EventEmitter similar to what comes in Node.js,
 but with a support for wildcard events '*' and grouped handlers".
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-with
Description-md5: 9374fb74ac9fd09110f4d1d39a3623af
Description-en: compile-time `with` statement - Node.js module
 This module allows compilation of a JavaScript statement
 with a given scope object, as if it was in a `with` statement.
 .
 The `width` statement is deprecated and forbidden in ECMAScript 5
 strict mode, which is supported by this module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-wordwrap
Description-md5: 858cea74f3e1d5a8336e1d5a1a60265d
Description-en: word wrapping library for NodeJS
 Wordwrap is a word wrapping library for NodeJS.

Package: node-worker-loader
Description-md5: e9335664d2efcd7891beb249457b7993
Description-en: worker loader module for webpack
 This loader registers the script as Web Worker.
 .
 Webpack packs CommonJs/AMD modules for the browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-wrap-ansi
Description-md5: ee5d8fae36df6ac1669780d262ec64de
Description-en: Wordwrap a string with ANSI escape codes
 Node.js module to wrap words of a string to the specified column
 width, taking into account the actual visual width of the contained
 unicode characters.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-wrappy
Description-md5: 8a5afc7713132a58eb841d399c58691e
Description-en: Callback wrapping utility
 Returns a wrapper function that returns a wrapped callback
 The wrapper function should do some stuff, and return a
 presumably different callback function.
 This makes sure that own properties are retained, so that
 decorations and such are not lost along the way.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-write
Description-md5: b80db469218c3c5750838d0ac7b161f7
Description-en: convenience wrapper for Node.js fs methods
 Write data to a file,
 replacing the file if it already exists
 and creating any intermediate directories if they don't already exist.
 Thin wrapper around node's native fs methods.

Package: node-write-file-atomic
Description-md5: 222d397c98e46f79cdb308fb4e829c60
Description-en: Write files in an atomic fashion w/configurable ownership
 This is an extension for node's `fs.writeFile` that makes its operation atomic
 and allows you set ownership (uid/gid of the file).
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-write-file-promise
Description-md5: e231417adfb262d265810dd1848ecbea
Description-en: Write a file creating intermediate directories
 The default export has the same signature of fs.writeFile except the last
 parameter callback.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ws
Description-md5: 5a19837e0b9c362ba5687c4cf1eb0560
Description-en: RFC-6455 WebSocket implementation module for Node.js
 ws is a simple to use websocket implementation, up-to-date against RFC-6455,
 and probably the fastest WebSocket library for Node.js.
 .
 Passes the quite extensive Autobahn test suite. See
 http://einaros.github.com/ws for the full reports.
 .
 It also provides wscat, a command-line tool which can either act
 as a server or a client, and is useful for debugging websocket services.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-xdg-basedir
Description-md5: dd2b9a436b6d921a517842c094f57aff
Description-en: Get XDG Base Directory paths
 It supports retrieving user specific paths for data files, configuration
 files, non-essential data files, non-essential runtime files and other
 file objects (such as sockets, named pipes, etc).
 Properties: data, config, cache, runtime, dataDirs, configDirs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-xml2js
Description-md5: c810ff075523ed26154474171c526588
Description-en: simple XML to JavaScript object converter - Node.js module
 xml2js parses XML using node-sax and converts it to a plain JavaScript
 object.
 .
 Node.js is an event-based server-side javascript engine.

Package: node-xmldom
Description-md5: 4e78452970c1f14abc7bdbdd9861ffe2
Description-en: Standard XML DOM (Level2 CORE) implementation in pure javascript
 A JavaScript implementation of W3C DOM for Node.js, Rhino and the browser.
 This implementation  is fully compatible with W3C DOM level 2.
 .
 This package allows one to use DOMParser and XMLSerializer
 interface in browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-xmlhttprequest
Description-md5: 16959612f496641ba6de9e562ecb7381
Description-en: XMLHttpRequest for Node
 Node is an event-based server-side Javascript engine.
 .
 XMLHttpRequest is a wrapper for the built-in HTTP client to emulate the browser
 XMLHttpRequest object.

Package: node-xmlhttprequest-ssl
Description-md5: a61479e42120ef4ba0f3ebfdf513e8c4
Description-en: XMLHttpRequest for Node
 node-XMLHttpRequest is a wrapper for the built-in http client to
 emulate the browser XMLHttpRequest object.
 .
 This can be used with JS designed for browsers to improve reuse of
 code and allow the use of existing libraries.
 .
 Note: This library currently conforms to XMLHttpRequest 1.
 Version 2.0 will target XMLHttpRequest Level 2.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-xoauth2
Description-md5: becf8a276bb82273f23cbfb2886f4924
Description-en: XOAuth2 token generation for accessing GMail SMTP and IMAP
 xoauth2 generates XOAUTH2 login tokens from provided Client and
 User credentials.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-xtend
Description-md5: f8823044a5fef18f2afe9e7a72d7946c
Description-en: Node.js library to easily extend an object
 xtend is a basic utility library which allows you to extend an object
 by appending all of the properties from each object in a list. When
 there are identical properties, the right-most property takes precedence.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-xterm
Description-md5: fb5b7a68aaf8c158f85c5db47e4be578
Description-en: terminal front-end component for the browser - NodeJS modules
 Xterm.js is a terminal front-end component written in JavaScript that works in
 the browser.
 .
 It enables applications to provide fully featured terminals to their users and
 create great development experiences.
 .
 Features:
 .
   - **Text-based application support**: Use xterm.js to work with applications
     like `bash`, `git` etc.
   - **Curses-based application support**: Use xterm.js to work with
     applications like `vim`, `tmux` etc.
   - **Mouse events support**: Xterm.js captures mouse events like click and
     scroll and passes them to the terminal's back-end controlling process
   - **CJK (Chinese, Japanese, Korean) character support**: Xterm.js renders
     CJK characters seamlessly
   - **IME support**: Insert international (including CJK) characters using IME
     input with your keyboard
   - **Self-contained library**: Xterm.js works on its own. It does not require
     any external libraries like jQuery or React to work
   - **Modular, event-based API**: Lets you build addons and themes with ease
 .
 This package contains the unpacked individual xterm CommonJS modules.

Package: node-y18n
Description-md5: dacb1d425b4ad7b568bd9422dfd01f94
Description-en: bare-bones internationalization library used by yargs
 Inspired by i18n nodejs module.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-yajsml
Description-md5: f4e86962feceffad3db6cccc9686e3ab
Description-en: Yet another (Common)JS module loader
 Yajsml is a server-side component that allows JavaScript code to be
 distributed in a reliable and performant way. Its three features are:
 .
   - Proxy pass through for individual resource requests.
   - Bulk responses for requests of closely associated resources
     (e.g. dependencies) when a request specifies a JSONP-style callback.
   - Canonical packaged resources where requests for disparate resources
     may be fulfilled through a redirect to one canonical packaged resource
     (which exploits warmed caches).
 .
 The tool’s interface is simple enough that there is no need for a prescribed
 implementation on the client-side. That said, the require-kernel is a terse
 implementation of a CommonJS module manager that can use all the features in
 yajsml.

Package: node-yallist
Description-md5: 89bac9134139a5cda08ef7320151a953
Description-en: Double linked list implementation for Node.js
 This module allows one to create a double linked list that
 exposes many array-like methods like push, unshift, forEach,
 reduce, and more specific methods like forEachReverse or
 mapReverse.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-yamlish
Description-md5: e680c9bcaa1fdccc8a760b6b01e54ca7
Description-en: Parser/encoder for the YAMLish format for Node.js
 This library parses the YAMLish format used to serialize objects in the
 TAP format.  YAMLish is a small subset of YAML (originally that implemented
 by the Perl module YAML::Tiny), making it easy to implement in other
 languages.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-yargs
Description-md5: 61b101b02f3e538fd907707ba5dc07e2
Description-en: command line parser for nodejs
 Yargs helps you build interactive command line tools by parsing arguments and
 generating an elegant user interface.
 .
 Yargs gives you:
  * commands and (grouped) options (like module run -n --force),
  * a dynamically generated help menu based on your arguments,
  * bash-completion shortcuts for commands and options.
 .
 With yargs, ye be havin' a map that leads straight to yer treasure! Treasure
 of course, being a simple option hash.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-yargs-parser
Description-md5: 50f76a357aa7c8c42a70765197ab7444
Description-en: mighty option parser used by yargs
 Yargs helps you build interactive command line tools by parsing arguments
 and generating an elegant user interface.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-yauzl
Description-md5: a5ed5c9ab564cfdc590711508ade178d
Description-en: yet another unzip library - Node.js module
 yauzl is a Node.js module which provides the ability to read from zip files.
 It follows the spec by reading the central directory for file metadata instead
 of scanning for local file headers which might be deleted. yauzl also keeps
 memory usage low by not attempting to buffer entire files in RAM at once.
 yauzl is designed to generate an error instead of crashing when encountering
 corrupted or malicious zip files and has a robust test suite to ensure this.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-yazl
Description-md5: c141d33ff7d430106e460d9275c280d5
Description-en: yet another zip library - Node.js module
 yazl is a Node.js module which provides the ability to generate zip files.
 It uses async APIs to avoid blocking the JavaScript thread, avoids buffering
 entire files in RAM, and opens input files one at a time to avoid EMFILE
 errors. yazl supports adding files, buffers, and streams. The output is a
 stream. If all the files in the zip file are uncompressed, the final size is
 known before the stream starts.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-yn
Description-md5: 5c85eefab89a114863cd5a6a0633a947
Description-en: Parse yes/no like values - Node.js module
 This Node.js module parses strings with yes/no like values and returns a bool
 or undefined. It has options to return a default instead of undefined and
 a lenient mode to gracefully handle typos.
 .
 It can useful for validating answers of a CLI prompt.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-ytdl-core
Description-md5: d9494bba29a033b165deeb9b92e1179d
Description-en: YouTube video downloader - Node.js module
 ytdl-core is a Node.js module which provides an API to get a readable stream
 for a YouTube video.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-zen-observable
Description-md5: 77787b53b3af050b58f8a3a04208eee7
Description-en: Implementation of observables for javascript
 This library requires Promises or a Promise polyfill. This library creates a
 new observable object using the specified subscriber function.
 .
 This package can be used to model push-based data sources such as DOM events,
 timer intervals and sockets.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: node-zeparser
Description-md5: f7ca4e2b2f28b36e47639aca5eafd107
Description-en: Javascript code parser module for Node.js
 Parse Javascript code elements with Node.js. The parser has two modes
 for parsing: simple and extended.
 .
 The simple mode is mainly for just parsing and returning the streams and a
 simple parse tree. There's not so much meta information here and this
 mode is mainly built for speed.
 .
 The extended mode has everything required for Zeon to do its job.
 .
 This package provides ZeParser as a Node.js module.

Package: node-zipfile
Description-md5: b94154558573923eba4fca75b9e5a1d3
Description-en: library for handling zipfiles in Node.js
 node-zipfile is a C++ module for handling zipfiles in Node.js. It provides
 bindings to libzip, whis is a C library for reading, creating, and modifying
 zip archives..
 .
 Node.js is an event-based server-side javascript engine.

Package: nodeenv
Description-md5: 2a31ee0296fb3abc1171f0334dade9ed
Description-en: Node.js virtual environment builder
 Tool to create isolated node.js environments with their own installation
 directories that don't share libraries with other node.js virtual
 environments, similar to a Python virtualenv.
 .
 These environments can be integrated with ones created by Python's virtualenv.

Package: nodejs
Description-md5: 0d0bbaed314d7d26588d112ee4ede074
Description-en: evented I/O for V8 javascript - runtime executable
 Node.js is a platform built on Chrome's JavaScript runtime for easily
 building fast, scalable network applications. Node.js uses an
 event-driven, non-blocking I/O model that makes it lightweight and
 efficient, perfect for data-intensive real-time applications that run
 across distributed devices.
 .
 Node.js is bundled with several useful libraries to handle server
 tasks:
 .
 System, Events, Standard I/O, Modules, Timers, Child Processes, POSIX,
 HTTP, Multipart Parsing, TCP, DNS, Assert, Path, URL, Query Strings.

Package: nodejs-doc
Description-md5: f6ac623e9b900beab3517ba17914bb07
Description-en: API documentation for Node.js, the javascript platform
 Node.js is a platform built on Chrome's JavaScript runtime for easily
 building fast, scalable network applications. Node.js uses an
 event-driven, non-blocking I/O model that makes it lightweight and
 efficient, perfect for data-intensive real-time applications that run
 across distributed devices.
 .
 Node.js is bundled with several useful libraries to handle server
 tasks:
 .
 System, Events, Standard I/O, Modules, Timers, Child Processes, POSIX,
 HTTP, Multipart Parsing, TCP, DNS, Assert, Path, URL, Query Strings.
 .
 This package contains API documentation for Node.js.

Package: nodeunit
Description-md5: fd4d5e137d2440b79f8d1168fa51916a
Description-en: Unit test framework for Node.js and the browser
 This package provides easy async unit testing
 for Node.js and the browser.
 .
 Particularly, this module:
  * Is simple to use,
  * Just exports the tests from a module,
  * Works with node.js and in the browser,
  * Helps you avoid common pitfalls when testing asynchronous code,
  * Allows one to easily add test cases with setUp and tearDown
    functions,
  * Adds flexible reporters for custom output, built-in support
    for HTML & jUnit XML,
  * Allows one to use mocks and stubs.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: nodm
Description-md5: d7ccc859e60a6f9000df9fcbcf5931c2
Description-en: automatic display manager
 This package prepares the system to automatically start an X session at
 system boot. It is meant for devices like smartphones, but can be used on
 a regular computer as well, if the security implications are acceptable.

Package: noiz2sa
Description-md5: 51a659ee8e6f78a8081264874708fca0
Description-en: abstract arcade shooter
 A classical vertical arcade shooter with an abstract theme and
 matching electronic music

Package: noiz2sa-data
Description-md5: fdd77530ebf3f627f8ad3eede1a95565
Description-en: abstract arcade shooter, data files
 This package contains sounds and other data files for noiz2sa, an abstract
 arcade shooter.

Package: nomacs
Description-md5: c598a477ee0a8993a5cdae70c04d2674
Description-en: image viewer with capability of syncing multiple instances
 nomacs is a free image viewer for Windows and Linux systems, which is
 licensed under the GNU General Public License v3. nomacs is small, fast and
 able to handle the most common image formats. Additionally it is possible to
 synchronize multiple viewers. A synchronization of viewers running on
 the same computer or via LAN is possible. It allows one to compare images
 and spot the differences (e.g. schemes of architects to show the
 progress).

Package: nomacs-l10n
Description-md5: 67d0a2d68dbaf5034a0dc664c8c728f8
Description-en: image viewer with capability of syncing multiple instances (data)
 nomacs is a free image viewer for Windows and Linux systems, which is
 licensed under the GNU General Public License v3. nomacs is small, fast and
 able to handle the most common image formats. Additionally it is possible to
 synchronize multiple viewers. A synchronization of viewers running on
 the same computer or via LAN is possible. It allows one to compare images
 and spot the differences (e.g. schemes of architects to show the
 progress).
 .
 This package provides the nomacs translations.

Package: nomad
Description-md5: 3bacd1fec93d4992797cc2bd2e7877be
Description-en: distributed, highly available, datacenter-aware scheduler
 Nomad is a cluster manager, designed for both long lived services and
 short lived batch processing workloads. Developers use a declarative job
 specification to submit work, and Nomad ensures constraints are satisfied
 and resource utilization is optimized by efficient task packing. Nomad
 supports all major operating systems and virtualized, containerized,
 or standalone applications.
 The key features of Nomad are:
 .
  * Docker Support: Jobs can specify tasks which are Docker containers.
  Nomad will automatically run the containers on clients which have Docker
  installed, scale up and down based on the number of instances request,
  and automatically recover from failures.
 .
  * Multi-Datacenter and Multi-Region Aware: Nomad is designed to be a
  global-scale scheduler. Multiple datacenters can be managed as part of a
  larger region, and jobs can be scheduled across datacenters if requested.
  Multiple regions join together and federate jobs making it easy to run
  jobs anywhere.
 .
  * Operationally Simple:
  Nomad runs as a single binary that can be either a client or server, and
  is completely self contained. Nomad does not require any external
  services for storage or coordination. This means Nomad combines the
  features of a resource manager and scheduler in a single system.
 .
  * Distributed and Highly-Available: Nomad servers cluster together and
  perform leader election and state replication to provide high
  availability in the face of failure. The Nomad scheduling engine is
  optimized for optimistic concurrency allowing all servers to make
  scheduling decisions to maximize throughput.
 .
  * HashiCorp Ecosystem: Nomad integrates with the entire HashiCorp
  ecosystem of tools. Along with all HashiCorp tools, Nomad is designed in
  the unix philosophy of doing something specific and doing it well.  Nomad
  integrates with tools like Packer, Consul, and Terraform to support
  building artifacts, service discovery, monitoring and capacity
  management.

Package: nomarch
Description-md5: 003b1b0f9f5746ca53e3f1a768fde048
Description-en: Unpacks .ARC and .ARK MS-DOS archives
 This is a de-archiving only replacement for the arc archiver from SEA.  It
 can handle pkarc archives, as well as others.  It can also be used with
 emacs - see the nomarch manpage.
 .
 This package is very useful for E-Mail virus scanner scripts for attachement
 unpacking.

Package: nootka
Description-md5: 92a70d067d6bb5aab4d6f8992548508c
Description-en: This package nootka provides an application to learn classical score notation.
 Nootka is an application to learn classical score notation.
 It helps to understand the rules of reading and writing scores
 and develops skills for playing and singing notes.

Package: nordlicht
Description-md5: cb88cbede0753f4ebe4ace51a29fe1e0
Description-en: create colorful video barcodes
 nordlicht converts video files into colorful barcodes. It's heavily inspired
 by the "moviebarcode" tumblr. It takes the video's frames in regular
 intervals, scales them to 1px width, and appends them. The resulting barcodes
 can be integrated into video players for simplified navigation.
 .
 This package contains the `nordlicht' tool which can be used to create
 barcodes from video files.

Package: nordugrid-arc-acix-core
Description-md5: f75f1486b80248702a9bd9eff5073712
Description-en: ARC cache index - core components
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 Core components of the ARC Cache Index (ACIX).

Package: nordugrid-arc-acix-index
Description-md5: eb1cc5965456c22ef9c70e831bc7a7df
Description-en: ARC cache index - index server
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 Index server component of the ARC Cache Index (ACIX), usually
 installed independently of any A-REX installation. This component
 pulls cache content from ACIX cache scanner servers and can be queried
 by clients for the location of cached files.

Package: nordugrid-arc-acix-scanner
Description-md5: 58bbbaa36d3de9af6c88c4575df44b53
Description-en: ARC cache index - scanner server
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 Cache scanner component of the ARC Cache Index (ACIX), usually
 installed alongside A-REX. This component collects information on the
 content of an A-REX cache.

Package: nordugrid-arc-archery-manage
Description-md5: f89994b315a9ec7f4d8e801f098e5b1a
Description-en: ARCHERY administration tool
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 This package contains the archery-manage utility for administration of
 an ARCHERY DNS-embedded service endpoint registry.

Package: nordugrid-arc-arex
Description-md5: bf20ef0ece784c530dc89e5a3327d543
Description-en: ARC Resource-coupled EXecution service
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 The ARC Resource-coupled EXecution service (AREX) is the Computing
 Element of the ARC middleware. AREX offers a full-featured middle
 layer to manage computational tasks including interfacing to local
 batch systems, taking care of complex environments such as data
 staging, data caching, software environment provisioning, information
 collection and explosure, accounting information gathering and
 publishing.

Package: nordugrid-arc-arex-python-lrms
Description-md5: 0c145987b78f407ccea5b1c40a804355
Description-en: ARC Resource-coupled EXecution service - Python LRMS backends
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 The Python LRMS backends are a new implementation of the AREX LRMS
 backend scripts written in Python. Currently only the SLURM LRMS is
 supported. It is released as a technology preview.

Package: nordugrid-arc-client
Description-md5: 258b48637a2788711911d64206d8278f
Description-en: ARC command line clients
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 This client package contains all the CLI tools that are needed to
 operate with x509 proxies, submit and manage jobs and handle
 datatransfers.

Package: nordugrid-arc-datadelivery-service
Description-md5: 97705a857b84981d1c1728d7aafa365c
Description-en: ARC data delivery service
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 This package contains the ARC data delivery service.

Package: nordugrid-arc-dev
Description-md5: 5434707519d14230bb80c0de6395941f
Description-en: ARC development files
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 Header files and libraries needed to develop applications using ARC.

Package: nordugrid-arc-gridftpd
Description-md5: d172b893a47929df40ed13bfa64244c8
Description-en: ARC GridFTP server
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 This package contains the ARC gridftp server which can be used as a
 custom job submission interface in front of an ARC enabled computing
 cluster or as a low-level dedicated gridftp file server.

Package: nordugrid-arc-hed
Description-md5: 40545887ed24ff3927717d7dccf7eb85
Description-en: ARC Hosting Environment Daemon
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 The ARC Hosting Environment Daemon (HED) is a Web Service container
 for ARC services.

Package: nordugrid-arc-infosys-ldap
Description-md5: 038fcb4cbd40f6ec7ee5c5b89c989c4b
Description-en: ARC LDAP-based information services
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 This package contains the ARC information services relying on BDII and
 LDAP technologies to publish ARC CE information according to various
 LDAP schemas. Please note that the information collectors are part of
 another package, the nordugrid-arc-arex.

Package: nordugrid-arc-monitor
Description-md5: a95e641894a337c66eee66de73b0668f
Description-en: ARC LDAP monitor web application
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 This package contains the PHP web application that is used to set up a
 web-based monitor which pulls information from the LDAP information
 system and visualizes it.

Package: nordugrid-arc-nagios-plugins
Description-md5: d9c650bb8e0209b671e8c4b2927c38b9
Description-en: Nagios plugins for ARC
 This package provides the Nagios plugins for testing ARC computing elements.

Package: nordugrid-arc-nagios-plugins-doc
Description-md5: 4fab98c85952ecd7913a406b2b393d9b
Description-en: HTML documentation for the ARC Nagios plugins
 This package provides HTML documentation for the ARC Nagios plugins.

Package: nordugrid-arc-nagios-plugins-egi
Description-md5: 7c5d7cceb5d3b79f7f9dfc50a2717a28
Description-en: EGI configuration and dependencies for the ARC Nagios plugins
 This package provides EGI configuration and dependencies for the ARC Nagios
 plugins.

Package: nordugrid-arc-nordugridmap
Description-md5: da1cf4c1508bdb743510e37813dc4d3b
Description-en: ARC's nordugridmap tool
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 A simple tool to fetch list of users and eventually generate gridmap
 files.

Package: nordugrid-arc-plugins-arcrest
Description-md5: 1a26913479dfdc5c263fd7c4dde2b362
Description-en: ARC REST plugin
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 ARC plugin for ARC REST interface technology preview.

Package: nordugrid-arc-plugins-gfal
Description-md5: d1b320476ddaac1247ac73b13de0da78
Description-en: ARC GFAL2 plugins
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 ARC plugins for GFAL2. This allows third-party transfer and adds
 support for several extra transfer protocols (rfio, dcap, gsidcap).
 Support for specific protocols is provided by separate 3rd-party GFAL2
 plugin packages.

Package: nordugrid-arc-plugins-globus
Description-md5: 2c07f4b8659361a57112082d46086965
Description-en: ARC Globus plugins
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 ARC Globus plugins. This includes the Globus dependent Data Manager
 Components (DMCs).

Package: nordugrid-arc-plugins-internal
Description-md5: e37e1d962770194c27de847092eb80ea
Description-en: ARC internal plugin
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 The ARC internal plugin. A special interface aimed for restrictive HPC
 sites, to be used with a local installation of the ARC Control Tower.

Package: nordugrid-arc-plugins-needed
Description-md5: 65439e257c63108c957dc1132030d440
Description-en: ARC base plugins
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 ARC base plugins. This includes the Message Chain Components (MCCs)
 and Data Manager Components (DMCs).

Package: nordugrid-arc-test-utils
Description-md5: 153298e16d8ee643fe1e967b8bfa0f73
Description-en: ARC test tools
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 This package contains a few utilities useful to test various ARC
 subsystems. The package is not required by users or sysadmins and it
 is mainly for developers.

Package: nordugrid-arc-wn
Description-md5: eceda889f780edfaa3d83834c6c6ec3f
Description-en: ARC optional worker nodes components
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 This package contains the optional components that provide new job
 management features on the worker nodes (WN).

Package: normaliz
Description-md5: 5d377e8d999b60f36bde35add92f201b
Description-en: math computing tools for affine monoids, rational polytopes and cones
 Normaliz is a mathematical tool for computations in affine monoids, vector
 configurations, lattice polytopes, and rational cones.
 .
 This dummy package provides the standard installation.

Package: normaliz-bin
Description-md5: 9e22f18c2cce17ba23e681a2ff1a9c28
Description-en: math computing tools for affine monoids, rational polytopes and cones - bin
 Normaliz is a mathematical tool for computations in affine monoids, vector
 configurations, lattice polytopes, and rational cones.
 .
 This package provides the command line utility normaliz.

Package: normaliz-doc
Description-md5: 462dd635a8f910bd7cb7c1a4a6e25b69
Description-en: math computing tools for affine monoids, rational polytopes and cones - doc
 Normaliz is a mathematical tool for computations in affine monoids, vector
 configurations, lattice polytopes, and rational cones.
 .
 This package provides the user guide for the normaliz tool suite;
 it also contains examples.

Package: normalize-audio
Description-md5: daa780a8185f2f5daad2ff7145fff874
Description-en: adjusts the volume of WAV, MP3 and OGG files to a standard volume level
 normalize-audio is a tool for adjusting the volume of WAV, MP3 and OGG files
 to a standard volume level. This is useful for things like creating mix CDs
 and MP3 databases, where different recording levels on different albums
 can cause the volume to vary greatly from song to song.

Package: norsnet
Description-md5: dd08110009953d3d011d1374634e144b
Description-en: tool to identify unstructured loops in proteins
 NORSnet can distinguish between very long contiguous segments with
 non-regular secondary structure (NORS regions) and well-folded proteins.
 .
 NORSnet was trained on predicted information rather than on experimental data.
 This allows NORSnet to reach into regions in sequence space that are not
 covered by specialized disorder predictors.  One disadvantage of this approach
 is that it is not optimal for the identification of the "average" disordered
 region.
 .
 NORSnet takes the following input, further described on norsnet(1):
  * a protein sequence in a FASTA file
  * secondary structure and solvent accessibility prediction by prof(1)
  * an HSSP file
  * flexible/rigid residues prediction by profbval(1)

Package: norsp
Description-md5: 830f3dbba7486b6cd68dd4678f395003
Description-en: predictor of non-regular secondary structure
 NORSp is a publicly available predictor for disordered regions in proteins.
 Specifically, it predicts long regions with no regular secondary structure.
 Upon submission of a protein sequence, NORSp analyses the protein about its
 secondary structure, the presence of transmembrane helices and coiled-coils.
 It then returns the presence and position of disordered regions.
 .
 NORSp can be useful for biologists in several ways. For example,
 crystallographers can check whether their proteins contain NORS regions and
 make the decision about whether to proceed with the experiments since NORS
 proteins may be difficult to crystallise, as demonstrated by the their low
 occurrence in PDB. Biologists interested in protein structure-function
 relationship may also find it interesting to verify whether the
 protein-protein interaction sites coincide with NORS regions.

Package: notary
Description-md5: e711f233992770c0982664a996c25313
Description-en: tool for running and interacting with trusted collections
 Notary is a project that allows anyone to have trust over arbitrary
 collections of data.
 .
 The Notary project comprises a server (cmd/notary-server) and a client
 (cmd/notary) for running and interacting with trusted collections.
 .
 Notary aims to make the internet more secure by making it easy for people to
 publish and verify content. We often rely on TLS to secure our communications
 with a web server which is inherently flawed, as any compromise of the server
 enables malicious content to be substituted for the legitimate content.
 .
 With Notary, publishers can sign their content offline using keys kept highly
 secure. Once the publisher is ready to make the content available, they can
 push their signed trusted collection to a Notary Server.
 .
 Consumers, having acquired the publisher's public key through a secure
 channel, can then communicate with any notary server or (insecure) mirror,
 relying only on the publisher's key to determine the validity and integrity
 of the received content.
 .
 This package contains the binaries/tools.

Package: note
Description-md5: b2c31fbaa720c833c965aff071fcb376
Description-en: small program managing notes from commandline
 This is a small console program similar to knotes, which
 allows you to manage notes from commandline.
 Note can use different database-backends for notes-storage.
 It ships with a DBI-based mysql-module (which can also be
 used by others through a DBI-based supported DBMS)
 and another module, which uses a binary file for storage
 and a DBM module.

Package: notepadqq
Description-md5: 426dd3b82770e186b764ea1af796a1ed
Description-en: Notepad++-like editor for Linux
 Notepadqq is a text editor designed from developers,
 for developers.
 .
 It support for multiple programming languages, multiple
 encodings, plugins and unnamed buffers.

Package: notification-daemon
Description-md5: 7b6bf4bfa132950715240823bbaf9ae8
Description-en: daemon for displaying passive pop-up notifications
 notification-daemon displays passive pop-up notifications, as per
 the Desktop Notifications Specification.
 .
 The Desktop Notifications Specification provides a standard way of
 doing passive pop-up notifications on the Linux desktop. These are
 designed to notify the user of something without interrupting their
 work with a dialog box that they must close.  Passive popups can
 automatically disappear after a short period of time.

Package: notify-osd
Description-md5: 959180eeab8ef45dfa865fe315287601
Description-en: daemon that displays passive pop-up notifications
 The Desktop Notifications framework provides a standard way of doing
 passive pop-up notifications on the Linux desktop.  These are
 designed to notify the user of something without interrupting their
 work with a dialog box that they must close.  Passive popups can
 automatically disappear after a short period of time.

Package: notify-osd-icons
Description-md5: 96b60ffeac8bf14889f8441214669378
Description-en: Notify-OSD icons
 Icons for Notify-OSD in Ubuntu style

Package: notmuch
Description-md5: b9742d71f401790653f0272a6f8abd43
Description-en: thread-based email index, search and tagging
 Notmuch is a system for indexing, searching, reading, and tagging
 large collections of email messages in maildir or mh format. It uses
 the Xapian library to provide fast, full-text search with a very
 convenient search syntax.
 .
 This package contains the notmuch command-line interface

Package: notmuch-addrlookup
Description-md5: f92f1da5260522c5521b61e09a9cd64b
Description-en: Address lookup tool for Notmuch
 This package uses the Notmuch database to output the names and e-mail
 addresses of the people you have exchanged e-mails with, most used ones
 first. This works neatly as address completer for the Notmuch Emacs
 interface.
 .
 The program works by invoking from a shell. The only argument it takes is
 a string, which is searched for in the e-mail addresses contained in the
 headers of the messages indexed by Notmuch.

Package: notmuch-emacs
Description-md5: 15f70c0bca982ce73fede764eadf9424
Description-en: thread-based email index, search and tagging (transitional package)
 This dummy package help ease transition to the new package elpa-notmuch

Package: notmuch-mutt
Description-md5: 30ffa3742dd63a55b0e05630cfb2f331
Description-en: thread-based email index, search and tagging (Mutt interface)
 notmuch-mutt provides integration among the Mutt mail user agent and
 the Notmuch mail indexer.
 .
 notmuch-mutt offer two main integration features. The first one is
 the ability of stating a search query interactively and then jump to
 a fresh Maildir containing its search results only. The second one is
 the ability to reconstruct threads on the fly starting from the
 current highlighted mail.

Package: notmuch-vim
Description-md5: 280a96b0092b3d8610a5d1a718ab1263
Description-en: thread-based email index, search and tagging (vim interface)
 Notmuch is a system for indexing, searching, reading, and tagging
 large collections of email messages in maildir or mh format. It uses
 the Xapian library to provide fast, full-text search with a very
 convenient search syntax.
 .
 This package provides a vim based mail user agent based on
 notmuch.

Package: nova-ajax-console-proxy
Description-md5: 571664bc0008e44d5df6784807a6ecf0
Description-en: OpenStack Compute - AJAX console proxy - transitional package
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 Nova is intended to be modular and easy to extend and adapt. It supports many
 different hypervisors (KVM and Xen to name a few), different database backends
 (SQLite, MySQL, and PostgreSQL, for instance), different types of user
 databases (LDAP or SQL), etc.
 .
 This package used to provide the AJAX proxy, which is now gone.

Package: nova-api-metadata
Description-md5: ae9cd778d7894b1a89d138fe3587a7da
Description-en: OpenStack Compute - metadata API frontend
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 This package provides the metadata API backend for guest VMs.

Package: nova-api-os-compute
Description-md5: 32fe3c17415adfec970c371f4bdff9b6
Description-en: OpenStack Compute - OpenStack Compute API frontend
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 This package provides the OpenStack Compute API frontend.

Package: nova-api-os-volume
Description-md5: f8cc143de25afd88a62e482808826104
Description-en: OpenStack Compute - OpenStack Volume API frontend
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 This is a dummy transitional package that can be removed after upgrade.

Package: nova-cells
Description-md5: a630a337cf802c4d29d91c1a6283fac5
Description-en: Openstack Compute - cells
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 Nova is intended to be modular and easy to extend and adapt. It supports many
 different hypervisors (KVM and Xen to name a few), different database backends
 (SQLite, MySQL, and PostgreSQL, for instance), different types of user
 databases (LDAP or SQL), etc.
 .
 This is the Nova cells component.

Package: nova-compute-qemu
Description-md5: 46acbb1c9b077142e1930821f7a05aed
Description-en: OpenStack Compute - compute node (QEmu)
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 Nova is intended to be modular and easy to extend and adapt. It supports many
 different hypervisors (KVM and Xen to name a few), different database backends
 (SQLite, MySQL, and PostgreSQL, for instance), different types of user
 databases (LDAP or SQL), etc.
 .
 Install this package on your compute nodes if you're using QEmu.

Package: nova-compute-vmware
Description-md5: 3246e65f6c94fc6b7eccb5b2f332c4f1
Description-en: OpenStack Compute - compute node (VMware)
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 Nova is intended to be modular and easy to extend and adapt. It supports many
 different hypervisors (KVM and Xen to name a few), different database backends
 (SQLite, MySQL, and PostgreSQL, for instance), different types of user
 databases (LDAP or SQL), etc.
 .
 Install this package on your compute nodes if you're using VMware vCenter.

Package: nova-compute-xen
Description-md5: 8268c70ad765a6427d184e1c58a4472c
Description-en: OpenStack Compute - compute node (Xen)
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 Nova is intended to be modular and easy to extend and adapt. It supports many
 different hypervisors (KVM and Xen to name a few), different database backends
 (SQLite, MySQL, and PostgreSQL, for instance), different types of user
 databases (LDAP or SQL), etc.
 .
 Install this package on your compute nodes if you're using Xen.

Package: nova-novncproxy
Description-md5: f71a025ccadd58aa7f26e66e99818fa8
Description-en: OpenStack Compute - NoVNC proxy
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 Nova is intended to be modular and easy to extend and adapt. It supports many
 different hypervisors (KVM and Xen to name a few), different database backends
 (SQLite, MySQL, and PostgreSQL, for instance), different types of user
 databases (LDAP or SQL), etc.
 .
 This package provides the VNC proxy. It is a publically reachable
 component which proxies access to VNCs running on compute nodes.

Package: nova-serialproxy
Description-md5: a8fd3f5d6ac9bda37bd109530d1cfc96
Description-en: OpenStack Compute - serial proxy
 OpenStack is a reliable cloud infrastructure. Its mission is to produce
 the ubiquitous cloud computing platform that will meet the needs of public
 and private cloud providers regardless of size, by being simple to implement
 and massively scalable.
 .
 OpenStack Compute, codenamed Nova, is a cloud computing fabric controller. In
 addition to its "native" API (the OpenStack API), it also supports the Amazon
 EC2 API.
 .
 Nova is intended to be modular and easy to extend and adapt. It supports many
 different hypervisors (KVM and Xen to name a few), different database backends
 (SQLite, MySQL, and PostgreSQL, for instance), different types of user
 databases (LDAP or SQL), etc.
 .
 This package provides the serial proxy. It is a publically reachable
 component which proxies access to qemu-space running on compute nodes

Package: novnc
Description-md5: 3ea408da6df8fcf109db7d2cceeba20a
Description-en: HTML5 VNC client - daemon and programs
 noVNC is a HTML5 VNC (WebSockets, Canvas) with encryption (wss://) support
 client that runs well in any modern browser including mobile browsers
 (iPhone/iPad and Android). More than 16 companies/projects have integrated
 noVNC into their products including Ganeti Web Manager, OpenStack, and
 OpenNebula.
 .
 This package contains the daemon and programs.

Package: noweb
Description-md5: d3a53b9f4ad86c684c77ee60fc120e70
Description-en: WEB-like literate-programming tool
 noweb is designed to meet the needs of literate programmers while
 remaining as simple as possible.  Its primary advantages are
 simplicity, extensibility, and language-independence.
 .
 The noweb manual is only 3 pages; an additional page explains how to
 customize its LaTeX output.  noweb works ``out of the box'' with any
 programming language, and supports TeX, LaTeX, and HTML back ends.
 .
 The primary sacrifice relative to WEB is that code is not
 prettyprinted.

Package: nowhere
Description-md5: 7d765afab98d807c6ab61b3d7f71f19b
Description-en: Translates programs from an extended Standard ML to Standard ML
 This is a source-to-source translation tool that expands case
 expressions that use conditional patterns. Conditional patterns that
 use the "where" keyword are converted into SML.

Package: nox
Description-md5: 0840ca64d143a2e4554cd2791b34da36
Description-en: Flexible test automation
 Nox is a command-line tool that automates testing in multiple Python
 environments, similar to tox. Unlike tox, Nox uses a standard Python file for
 configuration.
 It will automatically create virtualenv with the appropriate interpreter,
 install the specified dependencies, and run the commands in order.

Package: npd6
Description-md5: 8a1c891ddcd851347488b2cce657450a
Description-en: IPv6 neighbor proxy daemon
 npd6 is a daemon which listens on nominated interfaces for IPv6 Neighbor
 Solicitations which match defined prefixes. It will answer them with an
 appropriate Neighbor Advertisement.

Package: npm
Description-md5: 85ba8bf129c09297837a706dcf08eb1f
Description-en: package manager for Node.js
 Node.js is an event-based server-side javascript engine.
 .
 npm is the package manager for the Node JavaScript platform.  It puts
 modules in place so that node can find them, and manages dependency
 conflicts intelligently.
 .
 It is extremely configurable to support a wide variety of use cases.
 Most commonly, it is used to publish, discover, install, and develop
 node programs.

Package: npm2deb
Description-md5: dd2cf78378e1463bd182bbe69b89ca3b
Description-en: tool to help debianize Node.js modules
 This application eases packaging Node.js modules available via npm.
 .
 It is also able to list modules dependencies and check which ones are
 already available as Debian packages.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: nq
Description-md5: caf809e68179e55f3e26eaf5df9c6689
Description-en: Lightweight queue system
 These small utilities allow creating very lightweight job queue
 systems which require no setup, maintenance, supervision, or any
 long-running processes.
 .
 `nq` should run on any POSIX.1-2008 compliant system which also
 provides a working flock(2).  Tested on Linux 2.6.37, Linux 4.1,
 OpenBSD 5.7, FreeBSD 10.1, Mac OS X 10.3 and
 SmartOS joyent_20160304T005100Z.

Package: nqc
Description-md5: c31c1a4fe857f7fa5c5786116a4b7c0d
Description-en: Not Quite C compiler for LEGO Mindstorms RCX
 The LEGO Mindstorms RCX is a Hitachi microcontroller embedded into a
 LEGO brick.  This package lets you write programs in a C-like
 language and download them to your RCX using the serial or USB
 infrared tower included with the RCX.

Package: nqp
Description-md5: e1c10ff9732237575233b458bbfb115d
Description-en: Not Quite Perl compiler
 "Not Quite Perl" is a compiler for quickly generating PIR routines from
 Perl6-like code. The key feature of NQP is that it's designed to be a very
 small compiler (as compared with, say, perl6 or Rakudo) and is focused on being
 a high-level way to create compilers and libraries for virtual machines (such
 as the JVM, and MoarVM).
 .
 Unlike a full-fledged implementation of Perl 6, NQP strives to have as small a
 runtime footprint as it can, while still providing a Perl 6 object model and
 regular expression engine for the virtual machine.

Package: nrefactory-samples
Description-md5: 360b89cabbb7baebac9347d3f2de7ed0
Description-en: C# Parsing and Refactoring Library - Sample programs
 NRefactory is the C# analysis library used in the SharpDevelop and
 MonoDevelop IDEs. It allows applications to easily analyze both syntax
 and semantics of C# programs. It is quite similar to Microsoft's
 Roslyn project; except that it is not a full compiler – NRefactory
 only analyzes C# code, it does not generate IL code.
 .
 This package contains sample programs for the NRefactory library.

Package: nrg2iso
Description-md5: 72695d95fa8ecca8edae99a1e10711d0
Description-en: Extracts ISO9660 data from Nero ".nrg" files
 nrg2iso is a very simple command line tool to extract the ISO9660 CD image
 data from Nero ".nrg" files.
 .
 nrg2iso is very simple to use and even displays a progress bar to give the
 status of the conversion!

Package: nrpe-ng
Description-md5: ba1022238c9d11d67afb38569cfd8484
Description-en: Next-generation Nagios remote plugin agent
 Nagios is a host/service/network monitoring and management system.
 .
 This is a rewrite from the ground up of NRPE, a Nagios remote plugin agent.
 This set of programs allows you to run Nagios check scripts on a remote host
 in a transparent and secure manner.

Package: ns2
Description-md5: 0ddfce2763a7238c29114e99a3b0b557
Description-en: Discrete event simulator targeted at networking research
 Provides substantial support for simulation of TCP, routing,
 and multicast protocols over wired and wireless (local and satellite)
 networks.
 Ns-2 is written in C++ and an Object oriented version of Tcl called OTcl.
 .
 Ns began as a variant of the REAL network simulator in 1989 and has
 evolved substantially over the past few years. In 1995 ns development
 was supported by DARPA through the VINT project  at LBL, Xerox PARC,
 UCB, and USC/ISI. Currently ns development is support through DARPA
 with SAMAN  and through NSF with CONSER, both in collaboration with
 other researchers including ACIRI. Ns has always included substantal
 contributions from other researchers, including wireless code from the
 UCB Daedelus and CMU Monarch projects and Sun Microsystems.

Package: ns2-dbg
Description-md5: f8ad21a12a8374dc757f1c05a0feab2d
Description-en: debug symbols of ns2
 Provides substantial support for simulation of TCP, routing,
 and multicast protocols over wired and wireless (local and satellite)
 networks.
 Ns-2 is written in C++ and an Object oriented version of Tcl called OTcl.
 .
 Ns began as a variant of the REAL network simulator in 1989 and has
 evolved substantially over the past few years. In 1995 ns development
 was supported by DARPA through the VINT project  at LBL, Xerox PARC,
 UCB, and USC/ISI. Currently ns development is support through DARPA
 with SAMAN  and through NSF with CONSER, both in collaboration with
 other researchers including ACIRI. Ns has always included substantal
 contributions from other researchers, including wireless code from the
 UCB Daedelus and CMU Monarch projects and Sun Microsystems.
 .
 This package contains debug symbols which can be used by gdb.

Package: ns2-doc
Description-md5: e4cf772cbc09f76ebfad4ce3eb92e3d3
Description-en: docs of ns2
 Provides substantial support for simulation of TCP, routing,
 and multicast protocols over wired and wireless (local and satellite)
 networks.
 Ns-2 is written in C++ and an Object oriented version of Tcl called OTcl.
 .
 Ns began as a variant of the REAL network simulator in 1989 and has
 evolved substantially over the past few years. In 1995 ns development
 was supported by DARPA through the VINT project  at LBL, Xerox PARC,
 UCB, and USC/ISI. Currently ns development is support through DARPA
 with SAMAN  and through NSF with CONSER, both in collaboration with
 other researchers including ACIRI. Ns has always included substantal
 contributions from other researchers, including wireless code from the
 UCB Daedelus and CMU Monarch projects and Sun Microsystems.
 .
 This package contains docs of ns2

Package: ns2-examples
Description-md5: 9324570cc0a99a66d6b098c5827029c3
Description-en: examples of ns2
 Provides substantial support for simulation of TCP, routing,
 and multicast protocols over wired and wireless (local and satellite)
 networks.
 Ns-2 is written in C++ and an Object oriented version of Tcl called OTcl.
 .
 Ns began as a variant of the REAL network simulator in 1989 and has
 evolved substantially over the past few years. In 1995 ns development
 was supported by DARPA through the VINT project  at LBL, Xerox PARC,
 UCB, and USC/ISI. Currently ns development is support through DARPA
 with SAMAN  and through NSF with CONSER, both in collaboration with
 other researchers including ACIRI. Ns has always included substantal
 contributions from other researchers, including wireless code from the
 UCB Daedelus and CMU Monarch projects and Sun Microsystems.
 .
 This package contains examples of ns2

Package: nsca
Description-md5: 016d2968e47ea354efa57ff7f55e2bc0
Description-en: Nagios service monitor agent
 This addon allows you to send service check results to a central
 monitoring server running Nagios in a reasonably secure manner.
 .
 Nagios is a monitoring and management system for hosts, services and
 networks.
 .
 Nagios' features include:
 .
  -  Monitoring of network services (via TCP port, SMTP, POP3, HTTP, NNTP,
     PING, etc.)
  -  Plugin interface to allow for user-developed service checks
  -  Contact notifications when problems occur and get resolved (via email,
     pager, or user-defined method)
  -  Ability to define event handlers to be run during service or host events
     (for proactive problem resolution)
  -  Web output (current status, notifications, problem history, log file,
     etc.)
 .
 Nagios was written in C and is designed to be easy to understand and modify
 to fit your own needs.

Package: nsca-client
Description-md5: b339e21c7e30cccff85552c8baf5ba64
Description-en: Nagios service monitor agent - client package
 This package contains only the client part of nsca and is thus
 suitable for installation on systems that only report passive service
 checks to a central server.
 .
 Nagios is a monitoring and management system for hosts, services and
 networks.
 .
 Nagios' features include:
 .
  -  Monitoring of network services (via TCP port, SMTP, POP3, HTTP, NNTP,
     PING, etc.)
  -  Plugin interface to allow for user-developed service checks
  -  Contact notifications when problems occur and get resolved (via email,
     pager, or user-defined method)
  -  Ability to define event handlers to be run during service or host events
     (for proactive problem resolution)
  -  Web output (current status, notifications, problem history, log file,
     etc.)
 .
 Nagios was written in C and is designed to be easy to understand and modify
 to fit your own needs.

Package: nsca-ng-client
Description-md5: 892b8ee5ba536cf257e83dc0dc1955e1
Description-en: Send monitoring commands to NSCA-ng server
 The send_nsca utility transmits one or more check results or
 monitoring commands to an NSCA-ng server.
 .
 The communication with the server is TLS encrypted and
 authenticated using pre-shared keys.

Package: nsca-ng-server
Description-md5: a551c9665c665e339825cd050dd569a2
Description-en: Monitoring command acceptor
 The NSCA-ng server makes the Icinga command file accessible from remote
 systems.  This allows for submitting passive check results, downtimes, and many
 other commands to Icinga.
 .
 The communication with clients is TLS
 encrypted and authenticated using pre-shared keys (as per RFC 4279).  The
 NSCA-ng server supports per-client passwords and fine-grained authorization
 control.

Package: nscd
Description-md5: e8172254ec0df735a3e44c0f557100bc
Description-en: GNU C Library: Name Service Cache Daemon
 A daemon which handles passwd, group and host lookups
 for running programs and caches the results for the next
 query. You should install this package only if you use
 slow services like LDAP, NIS or NIS+.

Package: nsd
Description-md5: 9378aea937aae1ec536455a32d721617
Description-en: authoritative domain name server
 The NLnet Labs Name Server Daemon (NSD) is an authoritative DNS name server.
 It has been developed for operations in environments where speed,
 reliability, stability and security are of high importance.
 .
 This package provides the server and related configuration files.

Package: nsf
Description-md5: 3e3d95eda40cf35b06257033748535a5
Description-en: Next Scripting Framework (NSF): Object orientation for Tcl - shared library
 The Next Scripting Framework (for short: NSF) is an infrastructure
 for creating object-oriented scripting languages based on Tcl. This package
 provides two ready-made object-orientated extensions for Tcl based on
 NSF: Next Scripting Language (NX, pronounced "next") and Extended
 Object Tcl version 2 (XOTcl2, pronounced "exotickle").

Package: nsf-dev
Description-md5: e25182db7694e57526994516cb990dae
Description-en: Next Scripting Framework (NSF): Object orientation for Tcl - development files
 Header files and static libraries for libnsf. This package must be in
 place if you plan to develop using the NSF/C interface and/or if you
 want to create custom builds of NSF/C extensions.

Package: nsf-shells
Description-md5: 0abe8007f32fe584a40c98255cc5adb7
Description-en: Next Scripting Framework (NSF): Object orientation for Tcl - shells
 This package provides you with scripted shell wrappers for the two
 NSF-builtin Tcl extensions: NX (nxsh, nxwish) and XOTcl2 (xotclsh,
 xowish).

Package: nsis
Description-md5: 588a1a18a7c197bf93e0bdc2aee65803
Description-en: Nullsoft Scriptable Install System (modified for Debian)
 NSIS is a tool for creating quick and user friendly installers for
 Microsoft Windows (Win32) operating systems.
 .
 NSIS creates installers that are capable of installing, uninstalling,
 setting system settings, extracting files, etc. Because it's based on
 script files, you can fully control every part of your installers. The
 script language supports variables, functions, string manipulation,
 just like a normal programming language - but designed for the creation
 of installers. Even with all these features, NSIS is still the smallest
 installer system available. With the default options, it has an overhead
 of only 34 KB.

Package: nsis-common
Description-md5: 4e2206e808936e0f0e02d69bd810a88e
Description-en: Nullsoft Scriptable Install System stubs and plugins
 NSIS is a tool for creating quick and user friendly installers for
 Microsoft Windows (Win32) operating systems.
 .
 This package contains the stubs and plugins of NSIS.

Package: nsis-doc
Description-md5: 342f5f2c4ec635952d351b16ba232c04
Description-en: Nullsoft Scriptable Install System documentation
 NSIS is a tool for creating quick and user friendly installers for
 Microsoft Windows (Win32) operating systems.
 .
 This package contains examples and the documentation in HTML format.

Package: nsis-pluginapi
Description-md5: c86668d60996ec2457d1accccfa3b26a
Description-en: Nullsoft Scriptable Install System plugin API
 NSIS is a tool for creating quick and user friendly installers for
 Microsoft Windows (Win32) operating systems.
 .
 This package contains the header and library files for developing
 NSIS plugins.

Package: nslcd
Description-md5: 9d9eb0997c3878afcc05d90074bb2d97
Description-en: daemon for NSS and PAM lookups using LDAP
 This package provides a daemon for retrieving user accounts and similar
 system information from LDAP. It is used by the libnss-ldapd and libpam-ldapd
 packages but is not very useful by itself.

Package: nslcd-utils
Description-md5: c3396e22a26c7e76603905c01d8a44e9
Description-en: utilities for querying LDAP via nslcd
 This package provides tools to query and update information in LDAP via
 nslcd:
  * chsh.ldap - change a user's shell in LDAP;
  * getent.ldap - perform LDAP lookups bypassing nsswitch configuration.

Package: nslint
Description-md5: 7f7632dad38f6713aa9e99d6fbabeae7
Description-en: Lint for DNS files, checks integrity
 Nslint reads the nameserver configuration files and performs a
 number of consistency checks on the DNS records.
 .
 Nslint understands both ancient style named.boot and BIND 8's
 named.conf files (which are also used by BIND 9).

Package: nsnake
Description-md5: c4ec275201d9d0101c646213fd0522b4
Description-en: classic snake game on the terminal
 nsnake is a clone of the snake game found on old cellphones. It is
 played on the command-line with textual interface, thanks to ncurses.
 .
 Features high-scores, several game modes, a GUI-like interface and
 custom levels.

Package: nss-passwords
Description-md5: 5f92e3e960edd462b8c7f562baf35b90
Description-en: read passwords from a Mozilla keyring
 This program reads passwords from keyrings used by Mozilla products,
 such as Firefox and Thunderbird. It can run entirely in text mode.
 .
 This program works with SQLite-based keyrings (signons.sqlite), used
 in recent versions of Firefox. Text-based keyrings can be read with
 pwdecrypt, from libnss3-tools package.

Package: nss-plugin-pem
Description-md5: 1703d38e80cc721d0494173454f2cee3
Description-en: PEM file reader for Network Security Services (NSS)
 This package provides a PEM file reader for Network Security Services (NSS),
 implemented as a PKCS#11 module.

Package: nss-updatedb
Description-md5: c042eb717d722ef4c1102e711066cc9a
Description-en: Cache name service directories in DB format
 This package contains a script, nss_updatedb, which can be
 used to maintain local caches of user and group directories.
 These can then be used by the DB Name Service Switch module
 (libnss-db) to provide name service when the system is offline.
 .
 These tools are designed to work with libpam-ldap and libnss-ldap.

Package: nsscache
Description-md5: a67ba458b6f6ba9bf82166c0e6431f22
Description-en: asynchronously synchronise local NSS databases with remote directory services
 Synchronises local NSS caches, such as those served by the
 libnss-cache module, against remote directory services, such as
 LDAP, or prebuild cache files from an HTTP server. This can be
 used alongside the libnss-cache package to keep user account
 information, groups, netgroups, and automounts up to date.
 .
 Use of nsscache and libnss-cache eliminates the need for using a
 cache daemon such as nscd with networked NSS modules such as
 libnss-ldap.

Package: nstreams
Description-md5: 36fb8f40291995c5a4ff436c48676570
Description-en: network streams - a tcpdump output analyzer
 Nstreams is a utility designed to identify the IP streams that are
 occurring on a network from a non-user friendly tcpdump output of
 several megabytes. This is especially useful when you plan to install
 a firewall but if you do not know the nstreams that the network users
 are generating (http, real audio, and more...).
 .
 Nstreams can read the tcpdump output directly from stdin, or from a file.
 It can even generate the configuration file of your firewall.

Package: nted
Description-md5: eccb14fd7802bce7e814bd3631bce034
Description-en: Musical score editor
 NtEd is a GTK+ score editor. It intends to be really WYSIWYG: what you
 see on the screen is exactly what you get on printer output. It supports
 up to 4 voices per staff, drum notes, 5 lyrics lines, N-Tuplets, context
 changes, repeats with alternatives, configurable music instruments per
 staff, MIDI / MusicXML import, PS / PDF / SVG / PNG / Lilypond / MIDI
 export. Scores can be played through the ALSA sequencer.

Package: nted-doc
Description-md5: c452c34c7ec45604f70bcd4268199523
Description-en: NtEd documentation
 NtEd is a WYSIWYG GTK+ score editor.
 .
 This package contains the accompanying HTML documentation. It is available
 online through the nted application provided that yelp is available.

Package: ntopng
Description-md5: c554bb537176c5f875a62b4646cb9e65
Description-en: High-Speed Web-based Traffic Analysis and Flow Collection Tool
 ntopng is the next generation version of the original ntop, a network
 traffic probe that shows the network usage, similar to what the popular
 top Unix command does. ntop is based on libpcap and it has been written
 in a portable way in order to virtually run on every Unix platform,
 MacOSX and on Win32 as well.
 .
 ntopng users can use a web browser to navigate through ntop (that acts as a
 web server) traffic information and get a dump of the network status. In the
 latter case, ntop can be seen as a simple RMON-like agent with an embedded web
 interface. The use of:
 .
  * a web interface
  * limited configuration and administration via the web interface
  * reduced CPU and memory usage (they vary according to network size and
  traffic)
 .
 What ntopng can do:
  * Sort network traffic according to many protocols
  * Show network traffic and IPv4/v6 active hosts
  * Store on disk persistent traffic statistics in RRD format
  * Geolocate hosts
  * Discover application protocols by leveraging on nDPI, ntop’s DPI
    framework
  * Characterise HTTP traffic by leveraging on characterisation services
    provided by block.si. ntopng comes with a demo characterisation key, but if
    you need a permanent one, please mail info@block.si
  * Show IP traffic distribution among the various protocols
  * Analyse IP traffic and sort it according to the source/destination
  * Display IP Traffic Subnet matrix (who’s talking to who?)
  * Report IP protocol usage sorted by protocol type
  * Act as a NetFlow/sFlow collector for flows generated by routers (e.g.
    Cisco and Juniper) or switches (e.g. Foundry Networks) when used together
    with nProbe
  * Produce HTML5/AJAX network traffic statistics

Package: ntopng-data
Description-md5: a354e44f2cb28a9351063ed5c3473d40
Description-en: High-Speed Web-based Traffic Analysis and Flow Collection Tool (data files)
 ntopng is the next generation version of the original ntop, a network
 traffic probe that shows the network usage, similar to what the popular
 top Unix command does. ntop is based on libpcap and it has been written
 in a portable way in order to virtually run on every Unix platform,
 MacOSX and on Win32 as well.
 .
 ntopng users can use a web browser to navigate through ntop (that acts as a
 web server) traffic information and get a dump of the network status. In the
 latter case, ntop can be seen as a simple RMON-like agent with an embedded web
 interface. The use of:
 .
  * a web interface
  * limited configuration and administration via the web interface
  * reduced CPU and memory usage (they vary according to network size and
  traffic)
 .
 This package contains the architecture independent files for the ntopng
 daemon.

Package: ntopng-doc
Description-md5: 9464e20a06441907e347dec7eaccbef8
Description-en: High-Speed Web-based Traffic Analysis and Flow Collection Tool (documentation)
 ntopng is the next generation version of the original ntop, a network
 traffic probe that shows the network usage, similar to what the popular
 top Unix command does. ntop is based on libpcap and it has been written
 in a portable way in order to virtually run on every Unix platform,
 MacOSX and on Win32 as well.
 .
 ntopng users can use a web browser to navigate through ntop (that acts as a
 web server) traffic information and get a dump of the network status. In the
 latter case, ntop can be seen as a simple RMON-like agent with an embedded web
 interface. The use of:
 .
  * a web interface
  * limited configuration and administration via the web interface
  * reduced CPU and memory usage (they vary according to network size and
  traffic)
 .
 This package contains the documentation for the ntopng daemon.

Package: ntp
Description-md5: 220487bd9eceed70fec6b929cb922fd3
Description-en: Network Time Protocol daemon and utility programs
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, NIST or similar time signals.
 .
 This package contains the NTP daemon and utility programs.  An NTP
 daemon needs to be running on each host that is to have its clock
 accuracy controlled by NTP.  The same NTP daemon is also used to
 provide NTP service to other hosts.
 .
 For more information about the NTP protocol and NTP server
 configuration and operation, install the package "ntp-doc".

Package: ntp-doc
Description-md5: f5c14d8cbc640d49a5c8a0eeaadac851
Description-en: Network Time Protocol documentation
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, NIST or similar time signals.
 .
 This package contains HTML documentation for the ntp packages (ntp,
 ntpdate).  Since there are no substantive man pages for ntp's
 programs and configuration files, this package is desirable for any
 setup beyond the simple default configuration.

Package: ntpdate
Description-md5: 130a5677fa1358ddd81dff4fc2738d51
Description-en: client for setting system time from NTP servers (deprecated)
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, NIST or similar time signals.
 .
 ntpdate is deprecated. Please use sntp instead for manual or scripted
 NTP queries/syncs.

Package: ntpsec
Description-md5: 95a8d09202caa65253f30fb1db647bdf
Description-en: Network Time Protocol daemon and utility programs
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, or similar time signals.
 .
 This package contains the NTP daemon and utility programs.  An NTP
 daemon needs to be running on each host that is to have its clock
 accuracy controlled by NTP.  The same NTP daemon is also used to
 provide NTP service to other hosts.
 .
 This is the NTPsec version of NTP.  NTPsec is a secure, hardened,
 and improved implementation derived from the original NTP project.
 .
 For more information about the NTP protocol and NTP server
 configuration and operation, install the package "ntpsec-doc".

Package: ntpsec-doc
Description-md5: 305615088e9512416c11e16403af0a23
Description-en: Network Time Protocol documentation
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, or similar time signals.
 .
 This package contains HTML documentation for the ntpsec packages (ntpsec,
 ntpsec-ntpdate).
 .
 This is part of NTPsec.  NTPsec is a secure, hardened, and improved
 implementation derived from the original NTP project.

Package: ntpsec-ntpdate
Description-md5: 71e2f5537b567c32013b184c9137b5ef
Description-en: client for setting system time from NTP servers
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, or similar time signals.
 .
 ntpdate is a simple NTP client that sets a system's clock to match
 the time obtained by communicating with one or more NTP servers.  It
 is not sufficient, however, for maintaining an accurate clock in the
 long run.  ntpdate by itself is useful for occasionally setting the
 time on machines that do not have full-time network access, such as
 laptops.
 .
 This is the NTPsec version of ntpdate.  NTPsec is a secure, hardened,
 and improved implementation derived from the original NTP project.
 .
 If the full NTP daemon from the package "ntpsec" is installed, then
 ntpsec-ntpdate is not necessary.

Package: ntpsec-ntpviz
Description-md5: 0eaae42373fa30a1293b94825738d9bd
Description-en: NTP statistics graphing utility
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, or similar time signals.
 .
 ntpviz analyzes NTP log files and generates statistical plots from
 them.  The output is in the form of HTML with images.  If Apache is
 installed, it will be served at: /ntpviz
 .
 This is part of NTPsec.  NTPsec is a secure, hardened, and improved
 implementation derived from the original NTP project.

Package: ntpstat
Description-md5: 124055280a787a3f7b5910300c2e44d8
Description-en: show network time protocol (ntp) status
 This program uses an NTP mode 6 control message, which is the same as that
 used by the ntpq command. The ntpdc command uses NTP mode 7, details of
 which are elusive. For details on the format of NTP control message, see
 http://www.eecis.udel.edu/~mills/database/rfc/rfc1305/rfc1305b.ps.

Package: nudoku
Description-md5: fc2e5867e3a0dc944d74b16c0be9d3b0
Description-en: ncurses based sudoku games
 This is an ncurses based Sudoku variant.

Package: nuget
Description-md5: bd78805c4699e7408fc40d09bc39f06d
Description-en: Package manager for NuGet repos - executable
 NuGet is the package manager for the Microsoft
 development platform including .NET. The NuGet client
 tools provide the ability to produce and consume
 packages. The NuGet Gallery is the central package
 repository used by all package authors and consumers.
 .
 This package contains the main NuGet binary.

Package: nulib2
Description-md5: 2b5b53231b1c24ca3091eb2c55a0f1f1
Description-en: NuFX and Binary II archive utility
 NuLib2 is a command-line archive utility for NuFX and Binary II
 archives, as commonly used on Apple II systems. It can handle files
 produced by ShrinkIt. Typical extensions for the files it supports
 are SHK, SDK, BXY, BSE, SEA, BNY, and BQY.
 .
 It handles file types, resource forks, comments, large archives,
 wrappers...

Package: nullidentd
Description-md5: 206808abf393bc24cb44069d61d93c92
Description-en: small, fast identd daemon
 nullidentd is a small, fast and secure identd daemon. It returns a static
 string for every query.

Package: nullmailer
Description-md5: cf5bb13c21a01ffa34dc0048e9689c33
Description-en: simple relay-only mail transport agent
 Nullmailer is a replacement MTA for hosts, which relay to a fixed set of
 smart relays. It is designed to be simple to configure and especially
 useful on slave machines and in chroots.

Package: num-utils
Description-md5: 6dcbe247a8bd0700680524a0a64d8f2f
Description-en: programs for dealing with numbers from the command line
 The 'num-utils' are a set of programs for dealing with numbers from the
 Unix command line. Much like the other Unix command line utilities like
 grep, awk, sort, cut, etc. these utilities work on data from both
 standard in and data from files.
 .
 Includes these programs:
  * numaverage: A program for calculating the average of numbers.
  * numbound: Finds the boundary numbers (min and max) of input.
  * numinterval: Shows the numeric intervals between each number in a sequence.
  * numnormalize: Normalizes a set of numbers between 0 and 1 by default.
  * numgrep: Like normal grep, but for sets of numbers.
  * numprocess: Do mathematical operations on numbers.
  * numsum: Add up all the numbers.
  * numrandom: Generate a random number from a given expression.
  * numrange: Generate a set of numbers in a range expression.
  * numround: Round each number according to its value.

Package: numad
Description-md5: 7cd506bb3c02707bfc0e84d476159773
Description-en: User-level daemon that monitors NUMA topology and usage
 Numad is a system daemon that monitors NUMA topology and usage. It will attempt
 to locate processes for optimum NUMA locality and affinity, dynamically
 adjusting to changing system conditions. Numad also provides guidance to assist
 management applications with initial manual binding of CPU and memory resources
 for their processes.

Package: numatop
Description-md5: 3e37fbb17e6b833498b65c6e8828e450
Description-en: NUMA runtime observation tool
 NumaTOP is an observation tool for runtime memory locality characterization
 and analysis of processes and threads running on a NUMA system. It helps the
 user characterize the NUMA behavior of processes and threads and identify
 where the NUMA-related performance bottlenecks reside.

Package: numba-doc
Description-md5: c0dcfd237d66973e0d104bb73c082a8e
Description-en: native machine code compiler for Python (docs)
 Numba compiles native machine code instructions from Python programs at
 runtime using the LLVM compiler infrastructure. Just-in-time compilation with
 Numba could be easily employed by decorating individual computation intensive
 functions in the Python code.
 Numba could significantly speed up the performance of computations, and
 optionally supports compilation to run on GPU processors through Nvidia's
 CUDA platform.
 It integrates well with the Python scientific software stack, and
 especially recognizes Numpy arrays.
 .
 This package contains the documentation and examples.

Package: numbers2ods
Description-md5: d8c07708e8f0a1b3e6647d058bb9c45d
Description-en: Apple Numbers spreadsheet documents to OpenDocument converter
 This package contains a utility for converting Apple Numbers spreadsheet
 document files into OpenDocument spreadsheet documents.

Package: numconv
Description-md5: 799c6e9d959b8dc56c3e21b6af8f5451
Description-en: utility for conversion numbers as Unicode strings
 numconv is an utility handling number system conversions and provides
 a convenient way to delimit numbers generated by other programs without
 delimitation or with delimitation inappropriate for the locale.

Package: numdiff
Description-md5: d9c5cf165ee564bb30ecf8042b26e2a7
Description-en: Compare similar files with numeric fields
 Numdiff is a console application that can be used to compare putatively
 similar files line by line and field by field, ignoring small numeric
 differences or/and different numeric formats. It is similar diff or wdiff,
 but it is aware of floating point numbers including complex and
 multi-precision numbers.
 Numdiff is useful to compare text files containing numerical fields, when
 testing or doing quality control in scientific computing or in numerical
 analysis.

Package: numix-blue-gtk-theme
Description-md5: 23f589ca1c721b11aaab076345dc4f91
Description-en: Variant of the Numix theme with blue highlights
 Numix is a modern flat theme with a combination of light and dark
 elements for any GTK-based desktop environment, including GNOME Shell,
 Unity, MATE, Cinnamon and more.
 .
 This is a variant of it with blue highlights.

Package: numix-gtk-theme
Description-md5: 7ae3aa9f1c019ee94da2212e0c128f5f
Description-en: modern flat theme from the Numix project
 Numix is a modern flat theme with a combination of light and dark
 elements for any GTK-based desktop environment, including GNOME Shell,
 Unity, MATE, Cinnamon and more.

Package: numix-icon-theme
Description-md5: b7d1101ee9c4b9586b234a5df14126ab
Description-en: modern icon theme from the Numix project
 The Numix icon theme is designed to look fresh, swishy and modern using
 white symbols on vividly coloured background for applications and simplistic
 devices, toolbars and status icons.

Package: numix-icon-theme-circle
Description-md5: 90bc74573af35cdba7598b604af15057
Description-en: Circle icon theme from the Numix project
 Numix Circle is an icon theme using simple symbols and vivid backgrounds for a
 fresh, swishy, and modern look. It is developed by the Numix project and
 serves as a companion to the base Numix icon theme (numix-icon-theme).

Package: numlockx
Description-md5: 443457451ab961060ec30ab3c8455978
Description-en: enable NumLock in X11 sessions
 Utilities to enable the keyboard's Numeric Lock during X11
 session initialization or using command line utility.
 .
 The package automatically installs session script to enable numlock
 on session start.

Package: numptyphysics
Description-md5: 435e8e5ac7e02837b3d410d67fc13c52
Description-en: crayon based physics puzzle game
 Numpty Physics is a drawing puzzle game in the spirit (and style?) of
 Crayon Physics using the same excellent Box2D engine. It melds cute
 schoolbook-style graphics with a kind of realistic physics engine.
 .
 It includes a built-in editor so that you may build (and submit) your
 own levels.

Package: numpy-stl
Description-md5: d89bddeb32834aaf4913a44b5920f03e
Description-en: stl file and 3d object handling (tools)
 Numpy-STL is a library for working with STL files (and 3D objects in
 general) in the Python language.
 Due to all operations heavily relying on Numpy this is one of the fastest
 STL editing libraries for Python available.
 .
 This package contains tools to convert between the ascii and binary
 stl file format versions, using Numpy-STL.

Package: nunit
Description-md5: 27fc45f7825387863780f4216b859342
Description-en: Unit test framework for CLI
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 NUnit targets the CLI (Common Language Infrastructure) and supports Mono and
 the Microsoft .NET Framework.
 .
 This is a dummy package that depends on all nunit packages.

Package: nunit-console
Description-md5: 3b1355f439103088243166907e43caef
Description-en: Unit test framework for CLI - Console test runner
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 NUnit targets the CLI (Common Language Infrastructure) and supports Mono and
 the Microsoft .NET Framework.
 .
 This package contains the console front-end.

Package: nunit-gui
Description-md5: 69ec773c7f637d66a0c84c1c42019694
Description-en: Unit test framework for CLI - GUI test runner
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 NUnit targets the CLI (Common Language Infrastructure) and supports Mono and
 the Microsoft .NET Framework.
 .
 This package contains the graphical front-end.

Package: nuntius
Description-md5: 254ea64a31e299e72ca2e2d8d3c8999f
Description-en: share notifications from Android phone or tablet via Bluetooth or LAN
 Deliver notifications from your Android phone or tablet to your
 computer using Bluetooth or LAN.  To use, you will need to install a
 companion tool on your phone or tablet and either pair it via
 Bluetooth or point it at an on-screen QR code.
 .
 You may need to restart your session to auto-start nuntius.
 .
 This is similar to KDE Connect (Debian package kdeconnect), albeit
 much less mature, but uses of GNOME infrastructure instead of KDE
 infrastructure, which under some circumstances can result is a
 significantly smaller total footprint.

Package: nupkg-newtonsoft.json.6.0.8
Description-md5: 1df4ba2c53218fdd1a2a60504104b5fe
Description-en: high-performance JSON framework for .NET -- NuGet package
 Json.NET is a high-performance JSON framework for .NET. It has the following
 features:
  - Flexible JSON serializer for converting between .NET objects and JSON
  - LINQ to JSON for manually reading and writing JSON
  - High performance, faster than .NET's build-in JSON serializers
  - Write indented, easy to read JSON
  - Convert JSON to and from XML
 .
 The JSON serializer is a good choice when the JSN being read or written maps
 closely to a .NET class.
 .
 LINQ to JSON is good for situations in which there is no class to serialize or
 deserialize to, or the JSON is radically different from the class structure and
 manual manipulation is required.
 .
 This package contains a NuGet package, for build systems which rely on NuGet

Package: nupkg-nunit.2.6.4
Description-md5: 958a4bdd41aad582b375a862e9fcddb7
Description-en: Unit test framework for CLI - NuGet package - Framework
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the nunit.framework assembly as a NuGet package,
 for use with the "nuget" command

Package: nupkg-nunit.mocks.2.6.4
Description-md5: b8e5230f9fe83892d5ec29e5a533354c
Description-en: Unit test framework for CLI - NuGet package - Mocks
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the nunit.mocks assembly as a NuGet package, for
 use with the "nuget" command

Package: nupkg-nunit.runners.2.6.4
Description-md5: 3f9ca86ebed36e0971cc23faa671d96c
Description-en: Unit test framework for CLI - NuGet package - Runners
 NUnit is a unit testing framework for all .NET languages. It serves the
 same purpose as JUnit does in the Java world. It supports test
 categories, testing for exceptions and writing test results in plain
 text or XML.
 .
 This package contains the command-line NUnit runner tools and related
 plumbing, as a NuGet package, for use with the "nuget" command

Package: nut-ipmi
Description-md5: 22244eec90efc63afed706a2bcdcc381
Description-en: network UPS tools - IPMI driver
 Network UPS Tools (NUT) is a client/server monitoring system that
 allows computers to share uninterruptible power supply (UPS) and
 power distribution unit (PDU) hardware. Clients access the hardware
 through the server, and are notified whenever the power status
 changes.
 .
 This package currently provides nut-ipmipsu, a driver which allows the user to
 monitor IPMI power supply units (PSU) found in servers of popular brands, such
 as Dell, HP, IBM. It adds an IPMI interface to the core NUT system.

Package: nut-powerman-pdu
Description-md5: 500373d6533c7aa1d84e269db830f47e
Description-en: network UPS tools - PowerMan PDU driver
 Network UPS Tools (NUT) is a client/server monitoring system that
 allows computers to share uninterruptible power supply (UPS) and
 power distribution unit (PDU) hardware. Clients access the hardware
 through the server, and are notified whenever the power status
 changes.
 .
 This package provides powerman-pdu, which allows NUT clients to communicate
 with the PowerMan daemon to support PDUs.

Package: nut-xml
Description-md5: a97484c585aad12b6d8c738d204ae65f
Description-en: network UPS tools - XML/HTTP driver
 Network UPS Tools (NUT) is a client/server monitoring system that
 allows computers to share uninterruptible power supply (UPS) and
 power distribution unit (PDU) hardware. Clients access the hardware
 through the server, and are notified whenever the power status
 changes.
 .
 This package provides netxml-ups, which supports UPS models from
 Eaton or MGE that use an XML/HTTP-based Network Management Card or
 Proxy.

Package: nutcracker
Description-md5: 99c3e59fc9f6f2fa4e364820845e2df5
Description-en: Fast, light-weight proxy for memcached and Redis
 nutcracker, also known as twemproxy (pronounced "two-em-proxy"), is a
 fast and lightweight proxy for the memcached and Redis protocols. It was
 primarily built to reduce the connection count on backend caching
 servers, but it has a number of features, such as:
   * Maintains persistent server connections to backend servers.
   * Enables pipelining of requests and responses.
   * Supports multiple server pools simultaneously.
   * Shard data automatically across multiple servers.
   * Supports multiple hashing modes including consistent hashing and
     distribution.
   * High-availability by disabling nodes on failures.
   * Observability through stats exposed on stats monitoring port.

Package: nutsqlite
Description-md5: 7378f0e51220240d4e7102a98439416c
Description-en: Dietary nutrition analysis software
 NUTsqlite uses the USDA database and stores this along with your personal data
 in a portable SQLite database allowing you to perform analysis and plan for
 your nutrition. Features include:
 .
  * The complete USDA database, your personal data, and the program code all
    stored in a portable SQLite database
  * Foods easy to find and add to daily meals
  * Configurable for 1-19 meals per day and any dietary plan--including
    ketogenic, low carb, zone, low fat
  * Comprehensive meal analysis for any number of consecutive meals
  * Presents both easy-to-read percentage summaries and in-depth nutrient
    analysis, including Omega-3 and Omega-6 essential fatty acids
  * Foods can be weighed in grams or ounces
  * Includes novel meal planning feature: you choose the food, NUT adjusts the
    quantities to your plan
  * Calorie Auto-Set feature uses linear regression on daily scale measurements
    of weight and body fat percentage to find optimal calorie level for
    improved body composition
  * Allows recording of recipes and customary meals for fast data entry
  * Sorts foods richest in each of the 150 nutrients
  * Reveals which foods contribute most to user's nutrition

Package: nuttcp
Description-md5: 89b21c7f70c896e749456d4f61fc51c9
Description-en: network performance measurement tool
 nuttcp is a network performance measurement tool intended for use by network
 and system managers. Its most basic usage is to determine the raw TCP (or UDP)
 network layer throughput by transferring memory buffers from a source system
 across an interconnecting network to a destination system, either transferring
 data for a specified time interval, or alternatively transferring a specified
 number of bytes.

Package: nux-tools
Description-md5: b3c6b45df6daa78bd162d755577c83d1
Description-en: Visual rendering toolkit for real-time applications - tools
 Nux is a graphical user interface toolkit for applications that mixes opengl
 hardware acceleration with high quality visual rendering.
 .
 This package contains various tools for nux

Package: nvchecker
Description-md5: 867d3015947909b4cee8acacbb45b12f
Description-en: new-version checker for software releases
 nvchecker (short for new version checker) is for checking if a new
 version of some software has been released.

Package: nvi
Description-md5: d33b900d5fbc5906f27b67a2e320fec3
Description-en: 4.4BSD re-implementation of vi
 Vi is the original screen based text editor for Unix systems.
 It is considered the standard text editor, and is available on
 almost all Unix systems.
 .
 Nvi is intended as a "bug-for-bug compatible" clone of the original
 BSD vi editor. As such, it doesn't have a lot of snazzy features as do
 some of the other vi clones such as elvis and vim. However, if all
 you want is vi, this is the one to get.

Package: nvi-doc
Description-md5: b5f165286c8e48443b8f63413cd73777
Description-en: 4.4BSD re-implementation of vi - documentation files
 Vi is the original screen based text editor for Unix systems.
 It is considered the standard text editor, and is available on
 almost all Unix systems.
 .
 Nvi is intended as a "bug-for-bug compatible" clone of the original
 BSD vi editor. As such, it doesn't have a lot of snazzy features as do
 some of the other vi clones such as elvis and vim. However, if all
 you want is vi, this is the one to get.
 .
 This package contains the tutorials and the reference manual.

Package: nvidia-common
Description-md5: f11494e618e154235d7240541a9fc755
Description-en: transitional package for ubuntu-drivers-common
 This is a transitional package for ubuntu-drivers-common. You can remove it
 after upgrading.

Package: nvme-cli
Description-md5: 50cd6c8d88aeb616570db136de8ec92d
Description-en: userspace tooling to control NVMe drives
 NVMe is a fast, scalable, direct attached storage interface, accessing
 solid state drives through PCIe.
 .
 The nvme CLI contains core management tools with minimal dependencies.

Package: nvptx-tools
Description-md5: c074f24411f27f544cc61e85d74cb11d
Description-en: collection of tools for use with nvptx-none GCC toolchains
 The tools consist of
  - nvptx-none-as: "assembler" for PTX.
  - nvptx-none-ld: "linker" for PTX.
 Additionally, the following symlinks are installed:
  - nvptx-none-ar: link to the GNU/Linux host system's ar.
  - nvptx-none-ranlib: link to the GNU/Linux host system's ranlib.

Package: nvram-wakeup
Description-md5: 5f55d16bbe4c120e4ece29f7d7c353be
Description-en: Read/write the WakeUp time from/to the BIOS
 nvram-wakeup can read and write the wake up time in the BIOS
 (via /dev/nvram on recent 2.4.x kernels or direct I/O port access).
 On this wake up time the computer will be powered on automatically from
 the soft-off state. For the video disc recorder VDR, nvram-wakeup installs
 a hook script, that allows VDR to set a wake up time, when it powers down.

Package: nvramtool
Description-md5: fd65f59cc167a43d95a2f20d11993113
Description-en: Read/write coreboot-related NVRAM/CMOS information
 nvramtool is a utility for reading/writing coreboot parameters in NVRAM/CMOS
 and displaying information from the so-called 'coreboot table'.
 .
 The coreboot table resides in low physical memory. It is created at
 boot time by coreboot, and contains various system information such as
 the type of mainboard in use. It specifies locations in the NVRAM/CMOS
 (nonvolatile RAM) where the coreboot parameters are stored.
 .
 This program is mostly intended for (x86-based) systems that use coreboot, but
 can also be used for non-coreboot system (e.g. for dumping all NVRAM bytes).
 .
 For information about coreboot, see http://www.coreboot.org/.

Package: nvtv
Description-md5: 0e1850a0a5db541b27c4c751677e14cc
Description-en: tool to control TV chips on NVidia cards under Linux
 This is a program to control the TV encoder chips on NVidia cards under
 Linux, in order to get tv-out with a wide range of resolutions and
 sizes, including "overscan" modes. It does even work with the free nv drivers.

Package: nwall
Description-md5: 49e45bed6f606a974dc9c7713ba19f50
Description-en: version of wall that uses GNU readline
 nwall is a system-local chat program based on BSD wall. It logs chat, as well
 as providing opt-out lockfiles for users who want to be alerted to system
 walls, but don't want to be distracted by the nwall chatter.

Package: nwchem
Description-md5: 899a9172099bec4f0f9b7a3cd72a69ce
Description-en: High-performance computational chemistry software
 NWChem is a computational chemistry program package.  It provides methods
 which are scalable both in their ability to treat large scientific
 computational chemistry problems efficiently, and in their use of available
 parallel computing resources from high-performance parallel supercomputers to
 conventional workstation clusters.
 .
 NWChem can handle:
 .
  * Molecular electronic structure methods using gaussian
    basis functions for high-accuracy calculations of molecules
  * Pseudopotentials plane-wave electronic structure methods for calculating
    molecules, liquids, crystals, surfaces, semi-conductors or metals
  * Ab-initio and classical molecular dynamics simulations
  * Mixed quantum-classical simulations
  * Parallel scaling to thousands of processors
 .
 Features include:
  * Molecular electronic structure methods, analytic second derivatives:
   - Restricted/unrestricted Hartree-Fock (RHF, UHF)
   - Restricted Density Functional Theory (DFT) using many local,
     non-local (gradient-corrected) or hybrid (local, non-local, and HF)
     exchange-correlation potentials
  * Molecular electronic structure methods, analytic gradients:
   - Restricted open-shell Hartree-Fock (ROHF)
   - Unrestricted Density Functional Theory (DFT)
   - Second-order Moeller-Plesset perturbation theory (MP2), using RHF and UHF
     reference
   - MP2 with resolution of the identity approximation (RI-MP2)
   - Complete active space SCF (CASSCF)
   - Time-Dependent Density Functional Theory (TDDFT)
  * Molecular electronic structure methods, single-point energies:
   - MP2 spin-component scaled approach (SCS-MP2)
   - Coupled cluster singles and doubles, triples or pertubative triples
     (CCSD, CCSDT, CCSD(T)), with RHF and UHF reference
   - Configuration interaction (CISD, CISDT, and CISDTQ)
   - Second-order approximate coupled-cluster singles doubles (CC2)
   - State-specific multireference coupled cluster methods (MRCC)
     (Brillouin-Wigner (BW-MRCC) and Mukherjee (Mk-MRCC) approaches)
  * Further molecular electronic structure features:
   - Geometry optimization including transition state searches, constraints
     and minimum energy paths (via the Nudged Elastic Band (NEB) and Zero
     Temperature String methods)
   - Vibrational frequencies
   - Equation-of-motion (EOM)-CCSD, EOM-CCSDT, EOM-CCSD(T), CC2,
     Configuration-Interaction singles (CIS), time-dependent HF (TDHF) and
     TDDFT, for excited states with RHF, UHF, RDFT, or UDFT reference
   - Solvatisation using the Conductor-like screening model (COSMO) for RHF,
     ROHF and DFT, including analytical gradients
   - Hybrid calculations using the two- and three-layer ONIOM method
   - Relativistic effects via spin-free and spin-orbit one-electron
     Douglas-Kroll and zeroth-order regular approximations (ZORA) and
     one-electron spin-orbit effects for DFT via spin-orbit potentials
  * Pseudopotential plane-wave electronic structure:
   - Pseudopotential Plane-Wave (PSPW), Projector Augmented Wave (PAW) or band
     structure methods for calculating molecules, liquids, crystals, surfaces,
     semi-conductors or metals
   - Geometry/unit cell optimization including transition state searches
   - Vibrational frequencies
   - LDA, PBE96, and PBE0 exchange-correlation potentials (restricted and
     unrestricted)
   - SIC, pert-OEP, Hartree-Fock, and hybrid functionals (restricted and
     unrestricted)
   - Hamann, Troullier-Martins and Hartwigsen-Goedecker-Hutter norm-conserving
     pseudopotentials with semicore corrections
   - Wavefunction, density, electrostatic and Wannier plotting
   - Band structure and density of states generation
  * Car-Parrinello ab-initio molecular dynamics (CPMD):
   - Constant energy and constant temperature dynamics
   - Verlet algorithm for integration
   - Geometry constraints in cartesian coordinates
  * Classical molecular dynamics (MD):
   - Single configuration energy evaluation
   - Energy minimization
   - Molecular dynamics simulation
   - Free energy simulation (multistep thermodynamic perturbation (MSTP) or
     multiconfiguration thermodynamic integration (MCTI) methods with options
     of single and/or dual topologies, double wide sampling, and separation-
     shifted scaling)
   - Force fields providing effective pair potentials, first order
     polarization, self consistent polarization, smooth particle mesh Ewald
     (SPME), periodic boundary conditions and SHAKE constraints
  * Mixed quantum-classical:
   - Mixed quantum-mechanics and molecular-mechanics (QM/MM) minimizations and
     molecular dynamics simulations
   - Quantum molecular dynamics simulation by using any of the quantum
     mechanical methods capable of returning gradients.

Package: nwchem-data
Description-md5: f2cac7e572de372c581eaf03e0dd5fc8
Description-en: High-performance computational chemistry software (data files)
 NWChem is a computational chemistry program package.  It provides methods
 which are scalable both in their ability to treat large scientific
 computational chemistry problems efficiently, and in their use of available
 parallel computing resources from high-performance parallel supercomputers to
 conventional workstation clusters.
 .
 This package contains the basis sets, pseudopotentials and AMBER/CHARMM
 parameter files.

Package: nwipe
Description-md5: 4c9098ef9191f65068f0701d3db2f71c
Description-en: Utility to securely erase disks
 Nwipe is a command that will securely erase disks using a variety of
 recognised methods. It is a fork of the dwipe command used by Darik's Boot and
 Nuke (DBAN).

Package: nwrite
Description-md5: 5a53c2cb6627bb8bb20a89a7ea0d5b6e
Description-en: Enhanced replacement for the write command
 Nwrite is a replacement for the standard write program that lets you write
 directly to the terminal of another logged-in user without the overhead of talk
 taking over the full screen or the other user having to respond first.
 .
 Nwrite's advantages over traditional write include the ability to send the same
 message simultaneously to multiple users, and a receiver-configurable message
 format, including a tag at the start of each line that identifies the sender.

Package: nx-x11-common
Description-md5: 0f6a6249e30d3d81043f7dce2e77290d
Description-en: nx-X11 (common files)
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides all runtime architecture-independent files for
 nx-X11 Xserver (aka nxagent).

Package: nx-x11proto-composite-dev
Description-md5: d7d14ffab71a13191236443f2f468ed6
Description-en: nx-X11 Composite extension wire protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol
 for the Composite extension in nx-X11, used to let arbitrary client
 programs control drawing of the final image.

Package: nx-x11proto-core-dev
Description-md5: 071c816849f1b6bbd0468600d7aa8445
Description-en: nx-X11 core wire protocol and auxiliary headers
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol
 for the core nx-X11 protocol, and also provides a number of utility headers,
 used to abstract OS-specific functions.

Package: nx-x11proto-damage-dev
Description-md5: 660ea609f01936937badb51478e6b05f
Description-en: nx-X11 Damage extension wire protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol
 for the Damage extension, used to notify clients of changes made to
 particular areas.

Package: nx-x11proto-randr-dev
Description-md5: 227a74a2c21ee02a87b0b0b70becb0ed
Description-en: nx-X11 RandR extension wire protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol
 for the RandR extension, used to change display properties such as
 resolution, rotation, reflection, et al, on the fly.

Package: nx-x11proto-render-dev
Description-md5: 2bdda02d6c05d81c070a1f1027f6b1cc
Description-en: nx-X11 Render extension wire protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol for
 the Render extension, used to implement Porter-Duff operations within X.

Package: nx-x11proto-scrnsaver-dev
Description-md5: 2d1a950b3cac1ba4c7ffeaa9c1ea9ce3
Description-en: nx-X11 Screen Saver extension wire protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol
 for the MIT-SCREEN-SAVER extension, used to notify the server of client
 screen saver events.

Package: nx-x11proto-xext-dev
Description-md5: 904971b878e80c2ed20a0dde8c1f7afe
Description-en: nx-X11 miscellaneous extension wire protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol
 for various extensions, the client-side libraries of which are provided
 in the Xext library.

Package: nx-x11proto-xfixes-dev
Description-md5: bc306b8de2bc581d62e109b3d72b10b5
Description-en: nx-X11 'xfixes' extension wire protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol
 for the XFIXES extension.

Package: nx-x11proto-xinerama-dev
Description-md5: 116ba2a3837132e5f0d55af6485d1808
Description-en: nx-X11 Xinerama extension wire protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides development headers describing the wire protocol
 for the XINERAMA extension, used to use and manage a multiple-screen
 display.

Package: nxagent
Description-md5: 6901a02eeae40dada3699e11a76435ed
Description-en: Nested Xserver (aka NX Agent) supporting the NX compression protocol
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 nxagent is a nested Xserver providing NX transport of X sessions. The
 application is based on the well known Xnest "nested" server. nxagent,
 like Xnest, is an X server for its own clients, and at the same time, an
 X client for a system's local X server.
 .
 The main scope of nxagent is to eliminate X round-trips or transform
 them into asynchronous replies. nxagent works together with nxproxy.
 nxproxy itself does not make any effort to minimize round-trips
 by itself, this is demanded of nxagent.
 .
 Being an X server, nxagent is able to resolve all the property/atoms related
 requests locally, ensuring that the most common source of round-trips are
 nearly reduced to zero.

Package: nxdialog
Description-md5: 178ff76da4e98925e3d9cac44072832d
Description-en: Dialogs for NX Agent
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 nxdialog adds dialog windows/menus to nxagent. They get triggered by
 certain actions within the NX Agent Xserver.

Package: nxproxy
Description-md5: 535efe982dd954a773c0924b9bd58a62
Description-en: NX proxy
 NX is a software suite which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package provides the NX proxy (client) binary.

Package: nxt-firmware
Description-md5: fd2c67e0dd60909662d7c638c9efd811
Description-en: Improved firmware for LEGO Mindstorms NXT bricks
 The NXT Improved Firmware is a community-based open source project
 around the original LEGO Mindstorms firmware for the NXT
 bricks.
 .
 The firmware can be installed on the robot control bricks of type NXT.
 It is almost identical to the original firmware, meaning that
 all existing software working with the original firmware can be expected
 to work with the improved firmware as well.
 .
 The main differences between the original firmware and the improved
 firmware are the addition of absolute position regulation for motors and
 that it can be built with GCC rather than the non-free toolchain used by
 the LEGO Group.
 .
 This package contains the firmware image file, which can be flashed onto
 the brick with various tools, e.g. fwflash from the libnxt package.

Package: nyacc
Description-md5: ec8d18b3eb5d58dfaad3ab17d1e993f9
Description-en: Not Yet Another Compiler Compiler
 NYACC is set of guile modules for generating parsers and lexical
 analyzers.  It also provides sample parsers and pretty-printers using
 SXML trees as an intermediate representation.

Package: nyancat
Description-md5: f5ebff24db9262cc816f55c977086d97
Description-en: Animated terminal Nyancat
 nyancat is a program to display an animated poptart cat in your terminal.
 .
 This is a standalone program only. To serve nyancat to many users, the
 nyancat-server package is required.

Package: nyancat-server
Description-md5: fc15ea8a0e563418904bd434b811d0ec
Description-en: Animated terminal Nyancat server configurations
 nyancat-server contains the configurations required to quickly set up a nyancat
 server. This allows the animated poptart cat to be served to many users via
 telnet.
 .
 Installing this package will automatically configure and activate a nyancat
 telnet server.

Package: nypatchy
Description-md5: 0ae197deefe1f946a74d5bd754c92d25
Description-en: CERNLIB data analysis suite - patch pre-processor for source code
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package contains the Nypatchy family of programs, the successors to
 Patchy and Ypatchy.  These programs are intended for working with sets of
 patches (for instance, for use on different machine architectures) meant to
 be applied to a source code tree.  The patch sets and common source code are
 maintained as a single text-based Patchy Master file (PAM file).  PAM files
 can contain C, FORTRAN, or assembly code.
 .
 Nypatchy and related utilities can perform actions on a PAM file such as
 updating it; comparing it to an older version; or outputting source code
 suitable for input to a compiler, having selected some subset of available
 patches to use.  These utilities can be used interactively, or can run in
 batch mode from a "cradle" file of commands.
 .
 While Nypatchy is still used in places, mainly high-energy physics, these
 programs are no longer under active development.  They are not recommended
 for use in new projects.

Package: nyquist
Description-md5: 3ebb32cba8c2cdeac408fce8f2b5b4fa
Description-en: language for music composition and sound synthesis
 Unlike score languages that tend to deal only with events, or signal
 processing languages that tend to deal only with signals and synthesis,
 Nyquist handles both in a single integrated system. Nyquist is also flexible
 and easy to use because it is based on an interactive Lisp interpreter
 (XLISP).
 .
 With Nyquist, you can design instruments by combining functions (much as you
 would using the orchestra languages of Music V, cmusic, or Csound). You can
 call upon these instruments and generate a sound just by typing a simple
 expression. You can combine simple expressions into complex ones to create a
 whole composition.

Package: nyx
Description-md5: 3c8b3cd86f0f856af5890016f452c920
Description-en: terminal status monitor for tor
 The anonymizing relay monitor is a terminal status monitor for Tor relays,
 intended for command-line aficionados, ssh connections, and anyone stuck with
 a tty terminal. This works much like top does for system usage, providing real
 time statistics for:
 .
  - bandwidth, cpu, and memory usage
  - relay's current configuration
  - logged events
  - connection details (ip, hostname, fingerprint, and consensus data)
  - etc.
 .
 nyx was previously named tor-arm (which is now a transitional package).

Package: nzbget
Description-md5: b8ec723bd25df07594562de7d51cd5d2
Description-en: command-line based binary newsgrabber for nzb files
 NZBGet is a command-line based binary newsgrabber that uses nzb files as
 described in http://docs.newzbin.com/index.php/Newzbin:NZB_Specs, they are
 commonly used to describe binaries posted in the Usenet that span multiple
 posts.
 .
 nzbget can be run as a standalone tool, in client/server mode or as a daemon,
 which makes it ideal to run on NAS-devices or routers. nzbget supports
 automatic par-check/-repair can use scripts to postprocess downloaded files,
 for example to stream them to an audio/video player.

Package: o-saft
Description-md5: 57c10442e54042c80fbbf7f21b49968b
Description-en: SSL advanced forensic tool
 O-Saft is an easy to use tool to show information about SSL certificates and
 tests the SSL connection according to a given list of ciphers and various SSL
 configurations.
 .
 It's designed to be used by penetration testers, security auditors or server
 administrators. The idea is to show the important information or the special
 checks with a simple call of the tool. However, it provides a wide range of
 options so that it can be used for comprehensive and special checks by
 experienced people.

Package: oaklisp
Description-md5: a7fb163233b0909646062ff67d08cf80
Description-en: Object-oriented dialect of Scheme
 Oaklisp is a dialect of Scheme that combines lexical scoping with
 first-class types.  It uses a byte-coded implementation, but is
 reasonably fast anyway.  Complete with bignums, formatted output,
 transparent delays, RnRS compatibility package; all the luxuries
 except floating point and foreign function calls.

Package: oaklisp-doc
Description-md5: a33eb3e7ab1b239954cf641db55be8eb
Description-en: Object-oriented dialect of Scheme, documentation
 Documentation for the Oaklisp object-oriented dialect of Scheme.
 Oaklisp is a dialect of Scheme that combines lexical scoping with
 first-class types.  It uses a byte-coded implementation, but is
 reasonably fast anyway.  Complete with bignums, formatted output,
 transparent delays, RnRS compatibility package; all the luxuries
 except floating point and foreign function calls.

Package: oar-common
Description-md5: c7cd5e3c702e048f04cbe6e69359a88d
Description-en: OAR batch scheduler common package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This package installs the common part of the OAR batch scheduler

Package: oar-doc
Description-md5: bcd55d8b040d3acf2320444a2346d526
Description-en: OAR batch scheduler documentation package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This package install some documentation for OAR batch scheduler

Package: oar-node
Description-md5: c435efed22a974bf17afaab5e9f95958
Description-en: OAR batch scheduler node package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This package installs the execution node part of the OAR batch scheduler

Package: oar-restful-api
Description-md5: 18590d8b551af947051461c22614dd03
Description-en: OAR web services
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This package installs a RESTful API for oar users.

Package: oar-server
Description-md5: 5575b7bbd1e7d4eee4987123585f17ad
Description-en: OAR batch scheduler server package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This package installs the server part of the OAR batch scheduler

Package: oar-server-mysql
Description-md5: 78288406f9ffac353d50dc51f2244039
Description-en: OAR batch scheduler MySQL server backend package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This empty package installs the MySQL dependencies for the server part of the
 OAR batch scheduler.

Package: oar-server-pgsql
Description-md5: 6e66e7272ea79392820e12ad2058a450
Description-en: OAR batch scheduler PostgreSQL server backend package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This empty package installs the PostgreSQL dependencies for the server part of
 the OAR batch scheduler.

Package: oar-user
Description-md5: 263630e97bfd20d3b07104d3f5a73801
Description-en: OAR batch scheduler user package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This package install the submission and query part of the OAR batch scheduler.

Package: oar-user-mysql
Description-md5: 7b993a35c1bb3b9c0ad5f4552bb55417
Description-en: OAR batch scheduler MySQL user backend package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This empty package installs the MySQL dependencies for the user part of the
 OAR batch scheduler.

Package: oar-user-pgsql
Description-md5: 7fec1aae497c7e86413b8ea1cd474115
Description-en: OAR batch scheduler PostgreSQL user backend package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This empty package installs the PostgreSQL dependences for the user part of
 the OAR batch scheduler.

Package: oar-web-status
Description-md5: 2caa2e99cfc3ef4c1f7f073f7c114bb2
Description-en: OAR batch scheduler visualization tool package
 OAR is a versatile resource and task manager (also called a batch scheduler)
 for clusters and other computing infrastructures
 .
 This package install the OAR batch scheduler web interfaces: drawgantt-svg
 and monika.

Package: oasis
Description-md5: ba097297129a850fb305e2c0b6623270
Description-en: Build-system generation for OCaml projects -- binaries
 OASIS generates a full configure, build and install system for your
 application. It starts with a simple `_oasis` file at the toplevel of your
 project and creates everything required.
 .
 It uses external tools like OCamlbuild and it can be considered as the glue
 between various subsystems that do the job. It should support the following
 tools:
 .
  - OCamlbuild
  - OMake (todo)
  - OCamlMakefile (todo),
  - ocaml-autoconf (todo)
 .
 It also features a do-it-yourself command line invocation and an internal
 configure/install scheme. Libraries are managed through findlib. It has been
 tested on GNU Linux and Windows.
 .
 OASIS supports standard entry points and descriptions. It helps to
 integrates your libraries and software with third parties tools like GODI.
 .
 This package contains command-line tools.

Package: oathtool
Description-md5: b5c897cf2ca08f1204370af7c1036a0c
Description-en: OATH Toolkit oathtool command line tool
 The OATH Toolkit makes it easy to build one-time password
 authentication systems.  It contains shared libraries, command line
 tools and a PAM module.  Supported technologies include the
 event-based HOTP algorithm (RFC4226) and the time-based TOTP algorithm
 (RFC6238).  OATH stands for Open AuTHentication, which is the
 organization that specify the algorithms.  For managing secret key
 files, the Portable Symmetric Key Container (PSKC) format described in
 RFC6030 is supported.
 .
 This package contains the OATH Toolkit "oathtool" command line tool.

Package: obconf
Description-md5: 6dcb61a6c983937a39ae77717f98f7e6
Description-en: preferences manager for Openbox window manager
 ObConf is a small graphical utility which configures the window manager
 Openbox' preferences and configuration settings on the fly.
 .
 If you are an Openbox user, you may want this package.

Package: obconf-qt
Description-md5: 0ed2aa62710a143128ca4ab5fbf3e7e7
Description-en: Openbox configuration with a Qt interface
 obconf-qt is an utility to configure openbox with a Qt interface.
 .
 This package contain the application files.

Package: obconf-qt-l10n
Description-md5: d827aa2dd448659ecf3945ac01ad2cd8
Description-en: Language package for obconf-qt
 This package contains the l10n files needed by the obconf-qt.

Package: obexfs
Description-md5: d42207e7ce337f0fe5ddbe6e616bdd8a
Description-en: mount filesystem of ObexFTP capable devices
 ObexFS uses FUSE to mount filesystems of ObexFTP capable
 devices either manually or in autofs style mode.
 It can handle all devices that the obexftp package can handle,
 connected via serial cable, IrDA, bluetooth or USB.

Package: obexftp
Description-md5: 4e5ed2b1b825c5491076ea2bd0b77783
Description-en: file transfer utility for devices that use the OBEX protocol
 OBEX, the OBject EXchange protocol, can best be described as binary HTTP.
 OBEX is optimized for ad-hoc links and can be used to exchange
 all kind of objects like files, pictures, calendar entries (vCal)
 and business cards (vCard) over bluetooth, IrDA, USB and serial cable
 links.
 .
 This is the command line front-end that fully uses the capabilities of
 libobexftp.

Package: obexpushd
Description-md5: ec9b1a7d80ba4988bfebdcaa601f063d
Description-en: program for receiving files via Bluetooth or IRDA
 This program can be used to receive files with OBEX protocol
 over Bluetooth or IrDA (infrared) connection. This program
 should work with many mobile devices like PDA's and mobile
 phones.

Package: obfs4proxy
Description-md5: fa2d91d7e21407c55f950a3b9437add6
Description-en: pluggable transport proxy for Tor, implementing obfs4
 obfs4proxy is a tool that attempts to circumvent censorship by
 transforming the Tor traffic between the client and the bridge. This way
 censors, who usually monitor traffic between the client and the bridge, will
 see innocent-looking transformed traffic instead of the actual Tor traffic.
 .
 obfs4proxy implements the obfuscation protocols obfs2, obfs3, and obfs4.
 .
 It is written in Go and is compliant with the Tor pluggable transports
 specification, and its modular architecture allows it to support multiple
 pluggable transports.
 .
 This package contains both the client and the bridge in a single program.

Package: obitools
Description-md5: 68ea11346ef1e83a1eff2f3815aee847
Description-en: programs to analyze NGS data in a DNA metabarcoding context
 The OBITools programs aims to help you to manipulate various data and
 sequence files in a convenient way using the Unix command line interface.
 They follow the standard Unix interface for command line program, allowing to
 chain a set of commands using the pipe mechanism.

Package: obs-build
Description-md5: 1e67be374722ca285e615fb1dfe351b0
Description-en: scripts for building RPM/debian packages for multiple distributions
 This package provides scripts for building RPM and debian packages in
 contained environments for various build distributions. These tools
 are use by Open Build Service workers and openSUSE distribution by
 default.

Package: obs-plugins
Description-md5: ad783fd4cc0c51d0ced5e8790fafe384
Description-en: recorder and streamer for live video content (plugins)
 OBS is designed for efficiently recording and streaming live video content. It
 supports live RTP streaming to various streaming sites.
 .
 This package contains the plugins.

Package: obs-studio
Description-md5: cbfc110806d932e7f3e83ac87b6bfc7e
Description-en: recorder and streamer for live video content
 OBS Studio is designed for efficiently recording and streaming live video
 content. It supports live RTP streaming to various streaming sites.
 .
 Other features include:
  * Encoding using H264 (x264) and AAC
  * Unlimited number of scenes and sources
  * File output to MP4 or FLV
  * GPU-based game capture for high performance game streaming
  * Bilinear or lanczos3 resampling
 .
 Note that OBS Studio requires an OpenGL 3.2 compatible video card.

Package: obsession
Description-md5: ca9ff41b7cbb41e1d4d4621d1cae8953
Description-en: Session management helpers for lightweight desktop environments
 This package provides the following tools:
  * obsession-logout displays a dialog where you can choose if you want to quit
    the session, power off, suspend or hibernate the computer.
  * obsession-exit: this is the command line version of obsession-logout.
  * xdg-autostart start automatically programs defined in /etc/xdg/autostart and
    ~/.config/autostart.

Package: obsidian-icon-theme
Description-md5: 0dc8f6be2546f31686b950ecb86c0eb4
Description-en: Intuitive Faenza-like icon theme
 Modern and intuitive Obsidian provides several faenza-like icons
 for panels, toolbars and buttons it also provides squared and colorful
 icons for your applications, folders, files and devices.

Package: oca-core
Description-md5: 86c532afaf641f068d12e0a57a4ee0a6
Description-en: Odoo Community business app
 This package contains the core of the Odoo application, maintained by OCA,
 the Open Community Association.
 .
 Odoo, formerly known as OpenERP, is a suite of open-source business apps. This
 suite of applications covers all business needs, from Website/Ecommerce down
 to manufacturing, inventory and accounting, all seamlessly integrated. Odoo's
 technical features include a distributed server, flexible workflows, an object
 database, a dynamic GUI, customizable reports, and an XML-RPC interface.

Package: ocaml
Description-md5: 04cda173a24e7986d3433da3f1594787
Description-en: ML language implementation with a class-based object system
 Objective Caml (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 OCaml comprises two compilers. One generates bytecode
 which is then interpreted by a C program. This compiler runs quickly,
 generates compact code with moderate memory requirements, and is
 portable to essentially any 32 or 64 bit Unix platform. Performance of
 generated programs is quite good for a bytecoded implementation:
 almost twice as fast as Caml Light 0.7. This compiler can be used
 either as a standalone, batch-oriented compiler that produces
 standalone programs, or as an interactive, toplevel-based system.
 .
 The other compiler generates high-performance native code for a number
 of processors. Compilation takes longer and generates bigger code, but
 the generated programs deliver excellent performance, while retaining
 the moderate memory requirements of the bytecode compiler. It is not
 available on all arches though.
 .
 This package contains everything needed to develop OCaml applications,
 including the graphics libraries.

Package: ocaml-base
Description-md5: 84e550243216cdd5a47f4a5444f96a15
Description-en: Runtime system for OCaml bytecode executables
 Objective Caml (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 This package contains only the runtime system needed to run bytecode
 executables. The 'ocaml' package contains the full development suite of
 Objective Caml.  You may wish to install the 'ocaml-base-nox' package if
 you do not require any graphical capabilities for your runtime.

Package: ocaml-base-nox
Description-md5: bb24dbfee7bb8f2ca497066cab6a4f5f
Description-en: Runtime system for OCaml bytecode executables (no X)
 Objective Caml (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 This package contains only the runtime system needed to run bytecode
 executables that do not use the graphics library. The 'ocaml' package
 contains the full development suite of Objective Caml.

Package: ocaml-batteries-included
Description-md5: a88023fe4e5cebbb27abd017ddbcb508
Description-en: Batteries included: OCaml development platform - metapackage
 Batteries included is a community-driven effort to standardize on an
 uniform, documented, and comprehensive OCaml development platform.
 .
 Batteries included serves the following purposes:
  * define a standard set of libraries which may be expected on every
    compliant installation of OCaml
  * organize these libraries into a hierarchy of modules, with one
    source of documentation
  * define a standard set of language extensions which may be expected
    on every compliant installation of OCaml
  * provide a consistent API for otherwise independent libraries.
 .
 This metapackage is provided for convenience, to install all of
 batteries included at once.

Package: ocaml-compiler-libs
Description-md5: a765ce776aca74df74b073777915c3f1
Description-en: OCaml interpreter and standard libraries
 Objective (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 This package contains several modules used internally by the OCaml
 compilers.  They are not needed for normal OCaml development, but may
 be helpful in the development of certain applications.

Package: ocaml-core
Description-md5: 7040e27026310b4307dc6463f97f0656
Description-en: OCaml core tools (metapackage)
 A metapackage containing dependencies on, and suggestions of packages useful
 for developing in the Objective Caml (OCaml) programming language.
 .
 Includes dependencies on various tools related to OCaml like
 compiler and preprocessor, tuareg mode for the emacs editor, package manager,
 documentation tool and more.

Package: ocaml-dune
Description-md5: 64e99a37284441f470dcebd25a8f5953
Description-en: composable build system for OCaml projects
 Dune is a build system designed for OCaml/Reason projects
 only. It focuses on providing the user with a consistent experience
 and takes care of most of the low-level details of OCaml
 compilation. All you have to do is provide a description of your
 project and Dune will do the rest.

Package: ocaml-findlib
Description-md5: 5266af2e3694f3972f6e03f40368c083
Description-en: management tool for OCaml libraries
 The "findlib" OCaml library provides a scheme to manage reusable
 software components (packages), and includes tools that support this
 scheme. Packages are collections of OCaml modules for which
 metainformation can be stored. The packages are kept in the
 filesystem hierarchy, but with strict directory structure. The
 library contains functions to look the directory up that stores a
 package, to query metainformation about a package, and to retrieve
 dependency information about multiple packages.
 .
 This package contains a tool (ocamlfind) that allows the user to
 enter queries on the command-line. In order to simplify compilation
 and linkage, there are new frontends, all driven by ocamlfind, for
 the various OCaml compilers that can directly deal with packages.

Package: ocaml-interp
Description-md5: 8eec5a948780aa1b1aac7a63aefff1cb
Description-en: OCaml interactive interpreter and standard libraries
 Objective Caml (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 This package contains the files required to run an OCaml shell from the
 command line.

Package: ocaml-libs
Description-md5: 46b81cb4fbdedb1435c10dd3d46384ae
Description-en: OCaml core libraries (metapackage)
 A metapackage containing dependencies for the Objective Caml
 (OCaml) programming language core libraries.
 .
 Includes dependencies on various kinds of OCaml core libraries like
 graphics, networking, compression, and XML parsing.

Package: ocaml-man
Description-md5: 930a14d1a3ad0b961a943bb4654023f5
Description-en: OCaml interpreter and standard libraries (lib manpages)
 Objective (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 This package contains ocamldoc-generated documentation about libraries
 provided by OCaml.

Package: ocaml-mode
Description-md5: 50c216ca3d7ebf2cc1b00dda0fe41b3c
Description-en: transitional package to elpa-caml
 This is a transitional package from the old ocaml-mode to the
 new elpa-caml package. It can be safely removed.

Package: ocaml-nox
Description-md5: 29aa9bd04748d97897bee886074681aa
Description-en: ML implementation with a class-based object system (no X)
 Objective Caml (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 OCaml comprises two compilers. One generates bytecode
 which is then interpreted by a C program. This compiler runs quickly,
 generates compact code with moderate memory requirements, and is
 portable to essentially any 32 or 64 bit Unix platform. Performance of
 generated programs is quite good for a bytecoded implementation:
 almost twice as fast as Caml Light 0.7. This compiler can be used
 either as a standalone, batch-oriented compiler that produces
 standalone programs, or as an interactive, toplevel-based system.
 .
 The other compiler generates high-performance native code for a number
 of processors. Compilation takes longer and generates bigger code, but
 the generated programs deliver excellent performance, while retaining
 the moderate memory requirements of the bytecode compiler. It is not
 available on all arches though.
 .
 This package contains everything needed to develop OCaml applications
 that do not require the graphics library.

Package: ocaml-obuild
Description-md5: 223191fdcc4e1db6a2835d2b451148ff
Description-en: simple package build system for OCaml
 A parallel, incremental and declarative build system for OCaml.
 .
 The goal is to make a very simple build system for users and developers of
 OCaml libraries and programs.
 .
 Obuild acts as a building black box: users only declare what they want to
 build and with which sources; the build system will consistently build it.
 .
 The design is based on Haskell's Cabal and borrows most of the layout and
 way of working, adapting parts where necessary to fully support OCaml.

Package: ocaml-qtest
Description-md5: 35c7c3131bb713325bc9948bcc50712a
Description-en: Inline (Unit) Tests for OCaml (Executable)
 qtest extracts inline unit tests written using a special syntax in
 comments. Those tests are then run using the oUnit framework and the
 qcheck library. The possibilities range from trivial tests -- extremely
 simple to use -- to sophisticated random generation of test cases.

Package: ocaml-source
Description-md5: 3e4d34b4c1b472bafed56141a9ad7a45
Description-en: Sources for Objective Caml
 Objective Caml (OCaml) is an implementation of the ML language, based on
 the Caml Light dialect extended with a complete class-based object system
 and a powerful module system in the style of Standard ML.
 .
 This package contains the sources needed to build some packages which build
 depend on the OCaml source. Notice that if you want to rebuild OCaml, these
 will not work, consider using the source package instead.

Package: ocaml-tools
Description-md5: 2b87a5232e01a1d14faf94951ded1484
Description-en: tools for OCaml developers
 This package collects various tools to help developing Objective Caml
 (OCaml) programs; in particular it contains:
 .
  * ocaml-autoconf: autoconf macros for OCaml
  * omlet: a better OCaml indentation mode for vim
  * a configure.in and Makefile.in template
  * ocamldot: generate program dependency graphs for OCaml programs

Package: ocaml-ulex
Description-md5: 49cea98ad079b73768255f140e6e56fd
Description-en: OCaml lexer generator with Unicode support
 ulex is a lexer generator for the Objective Caml (OCaml) programming
 language.
 .
 It is implemented as a Camlp4 syntax extension: lexer specifications
 are embedded in regular OCaml code.
 .
 Generated lexers work with a new kind of "lexbuf" that supports
 Unicode; a single lexer can work with arbitrary encodings of the input
 stream.

Package: ocaml-ulex08
Description-md5: aac03807567cab29d0af567cdd799ffe
Description-en: OCaml lexer generator with Unicode support - CamlP5 version
 ulex is a lexer generator for the Objective Caml (OCaml) programming
 language.
 .
 It is implemented as a Camlp4 syntax extension: lexer specifications
 are embedded in regular OCaml code.
 .
 Generated lexers work with a new kind of "lexbuf" that supports
 Unicode; a single lexer can work with arbitrary encodings of the input
 stream.
 .
 This package ships the latest release of ulex compatible with Camlp4
 pre OCaml 3.10 (now called CamlP5). Applications which need both ulex
 and the legacy version of Camlp4 might need to use this package instead
 of ocaml-ulex (the latter shipping the latest available ulex release which
 requires Camlp4 >= 3.10)).

Package: ocamlbuild
Description-md5: e743af98df0a68e7641832201c84fb49
Description-en: Build tool for building OCaml libraries and programs
 OCamlbuild is a generic build tool, which has built-in rules for building OCaml
 libraries and programs.
 .
 It was distributed as part of the OCaml distribution for OCaml versions
 between 3.10.0 and 4.02.3. Starting from OCaml 4.03, it is now released
 separately.
 .
 Its job is to determine the sequence of calls to the compiler with the
 right set of command-line flags needed to build your OCaml-centric
 software project.
 .
 It was designed as a generic build system (it is in fact not OCaml-specific),
 but also to be expressive enough to cover the specifics of the OCaml language
 that make writing good Makefiles difficult, such as the dreaded "units Foo and
 Bar make inconsistent assumptions about Baz" error.

Package: ocamldsort
Description-md5: f7a198f0361f5c4f37cc476eb784640a
Description-en: dependency sorter for OCaml source files
 The ocamldsort command scans a set of Objective Caml source files (.ml
 and .mli files), sorts them according to their dependencies and prints
 the sorted files in order to link their corresponding .cmo files.
 .
 ocamldsort can be used to compile and link simple projects with one
 command.
 .
 However for larger projects where separate compilation is desirable,
 ocamldsort can also be used from within a makefile as described in the
 documentation.

Package: ocamlify
Description-md5: 4b83ff6a1ea63621abb53e9cb1491d60
Description-en: include files in OCaml code
 This program allows one to copy files inside OCaml code. It uses a first file
 that describes the other files that must be included and the type of OCaml
 variable it should create. It can also describe dependencies to other files,
 in order to generate .depends files for make.

Package: ocamlmakefile
Description-md5: 90d39daab8b6b111747d3137f4fcb2b3
Description-en: general makefile for the Objective Caml programming language
 OCamlMakefile is a general makefile which allows a programmer to
 create quickly customized makefiles for a project written in the
 Objective Caml programming language. Typically, a customized makefile
 consists of the definition of a few variables, and an inclusion of
 the general makefile provided by this package.

Package: ocamlmod
Description-md5: 6deace54811e8569e2e65bb4f43400ee
Description-en: generate OCaml modules from source files
 Pack a set of OCaml source files into a single file preserving module
 names. It also allows one to exclude certain parts of the file.

Package: ocamlwc
Description-md5: 32ae1f4a1e213a0d136429b97c7b7fb1
Description-en: count the lines of code and comments in OCaml sources
 ocamlwc is a program to count the number of lines of code and documentation
 in OCaml sources.  It assumes the files to be lexically well-formed.

Package: ocamlweb
Description-md5: 6a0aeb56ca87e57229cb0ccccb799b29
Description-en: Literate programming tool for Objective Caml
 Literate programming, as defined by Knuth, means to combine program
 code and documentation into one source document from which program
 code and documentation can be extracted. The approach of ocamlweb is
 that documentation is to be included in the program code as special
 comments. The ocamlweb tool extracts from this the documented program
 code as LaTeX document, while no special preprocessing is required to
 compile the source files.

Package: occt-draw
Description-md5: 39bd0d7a55cc357f4ace70ba888ef881
Description-en: Open CASCADE Technology command interpreter and graphical test system
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 Draw is a command interpreter based on Tcl and a graphical system used to test
 and demonstrate Open CASCADE Technology modeling libraries.

Package: occt-misc
Description-md5: 664300461862bb9dd7c0500a44e1be02
Description-en: OCCT CAE platform shared library miscellaneous files
 Open CASCADE Technology is a suite for 3D surface and solid modeling,
 visualization, data exchange and rapid application development.  It is an
 excellent platform for development of numerical simulation software including
 CAD/CAM/CAE, AEC and GIS, as well as PDM applications.
 .
 This package contains resource files.

Package: oce-draw
Description-md5: 533f6a4b76d456eb78b823615dee7662
Description-en: OpenCASCADE Community Edition CAE platform shared library
 OpenCASCADE is a suite for 3D surface and solid modeling, visualization, data
 exchange and rapid application development.  It is an excellent platform for
 development of numerical simulation software including CAD/CAM/CAE, AEC and
 GIS, as well as PDM applications.
 .
 This package is based on OCE, OpenCASCADE Community Edition, which is
 maintained by a community of developers, and not by OpenCASCADE SAS.
 .
 This package contains the DRAW test harness.

Package: oclgrind
Description-md5: b34014a5ce63ceff0c0e8bb0040fd40c
Description-en: OpenCL device simulator
 Oclgrind is an extensible OpenCL device simulator that provides a
 plugin interface to facilitate the creation of tools to aid analysis
 and development of OpenCL programs. Among the tools that Oclgrind
 provides are various debugging aids, such as out-of-bounds memory
 access checking, data-race detection, and an interactive debugger.
 .
 Oclgrind implements the OpenCL 1.2 runtime API, which makes
 simulating an existing OpenCL program very straightforward - simply
 prefix your usual application command-line with 'oclgrind'. There is
 also a simple interface for simulating individual kernels in
 isolation via the 'oclgrind-kernel' command.

Package: ocp-indent
Description-md5: 44773101fa3ab3075605a52f93ca1e40
Description-en: OCaml indentation tool for emacs and vim - runtime
 ocp-indent is a command-line tool that allows one to indent a whole OCaml
 source code file (or parts of it) either to standard output or in-place.
 A configuration file allows user defaults as well as per-project parameters.
 The ratio of correctly indented lines is comparable with emacs tuareg mode
 while being an order of magnitude faster.
 .
 This package includes the runtime binary and the vim and emacs extensions.

Package: ocplib-simplex-ocaml-dev
Description-md5: c755269fc07de400d1f1731f4582d63e
Description-en: simplex library for solving linear inequalities
 ocplib-simplex is a (fully) functional OCaml implementation of the
 simplex algorithm for solving systems of linear inequalities. The
 implementation is incremental and backtrackable. It is able to
 extract unsat-cores for unsatisfiable problems, and supports linear
 optimization.

Package: ocproxy
Description-md5: b045fcb3a9747aca5114dbad3e3bb2f5
Description-en: SOCKS proxy for openconnect
 ocproxy is a SOCKS and port-forwarding proxy for use with openconnect.
 It implements its own userland IP stack, allowing a non-administrator to
 establish VPN connections without a need for tunnel devices. Instead
 of adding the host machine to the network at the other end of the VPN,
 ocproxy listens for local connections and forwards their traffic over the
 VPN, similar to "ssh -D" and "ssh -L".  Web browsers, remote login utilities
 and other programs can then access resources on the VPN through the
 proxy.  Applications that are unaware of the proxy cannot accidentally send
 traffic over the VPN, and multiple ocproxy instances may be connected to
 different VPNs concurrently.

Package: ocrad
Description-md5: fc39bf0314a34942956b23b481ad19d8
Description-en: optical character recognition program
 GNU Ocrad is an OCR (Optical Character Recognition) program based on a
 feature extraction method. It reads a bitmap image in pgm/pbm format and
 produces text in byte (8-bit) or UTF-8 formats.
 .
 Ocrad includes a layout analyzer able to separate the columns or blocks
 of text normally found on printed pages.
 .
 This package includes the command-line tool.

Package: ocrfeeder
Description-md5: 366687e89d544981c3515fd2c7e7e58d
Description-en: Document layout analysis and optical character recognition system
 OCRFeeder is a document layout analysis and optical character
 recognition system.
 .
 Given the images it will automatically outline its contents,
 distinguish between what's graphics and text and perform OCR over the
 latter. It generates multiple formats being its main one ODT.
 .
 It features a complete GTK+ graphical user interface that allows the
 users to correct any unrecognized characters, defined or correct
 bounding boxes, set paragraph styles, clean the input images, import
 PDFs, save and load the project, export everything to multiple
 formats, etc.

Package: ocrmypdf
Description-md5: 92e84e27a8b71a2a3c36765dc4aab039
Description-en: add an OCR text layer to PDF files
 OCRmyPDF generates a searchable PDF/A file from a regular PDF
 containing only images, allowing it to be searched.
 .
 It uses the Tesseract OCR engine and so supports all the languages
 that Tesseract does.
 .
 Some other main features:
 .
   * Places OCR text accurately below the image to ease copy / paste
   * Keeps the exact resolution of the original embedded images
   * When possible, inserts OCR information as a lossless operation
     without rendering vector information
   * Keeps file size about the same
   * If requested deskews and/or cleans the image before performing OCR
   * Validates input and output files
   * Provides debug mode to enable easy verification of the OCR results
   * Processes pages in parallel when more than one CPU core is
     available
   * Battle-tested on thousands of PDFs, a test suite and continuous
     integration.

Package: ocrmypdf-doc
Description-md5: 12c145a1bdad6136f096d97798164403
Description-en: add an OCR text layer to PDF files - documentation
 OCRmyPDF generates a searchable PDF/A file from a regular PDF
 containing only images, allowing it to be searched.
 .
 It uses the Tesseract OCR engine and so supports all the languages
 that Tesseract does.
 .
 This package includes OCRmyPDF's HTML documentation.

Package: ocserv
Description-md5: 4db510f429382469247db91a4d396ce4
Description-en: OpenConnect VPN server compatible with Cisco AnyConnect VPN
 OpenConnect server (ocserv) is an SSL VPN server. Its purpose is to be a
 secure, small, fast and configurable VPN server. It implements the OpenConnect
 SSL VPN protocol, and has also (currently experimental) compatibility with
 clients using the AnyConnect SSL VPN protocol. The OpenConnect VPN protocol
 uses the standard IETF security protocols such as TLS 1.2, and Datagram TLS to
 provide the secure VPN service. The server is implemented primarily for the
 GNU/Linux platform but its code is designed to be portable to other UNIX
 variants as well.
 .
 Ocserv's main feature is isolation of the VPN users from the main VPN server
 process. Each authenticated user is assigned an unprivileged worker process,
 and a networking (tun) device. That not only eases the control of the resources
 of each user or group of users, but also prevents privilege escalation due to
 any bug on the VPN handling (worker) server. Each VPN user can be authenticated
 using password, PAM, public key (in a smart card or not) or any combination of
 methods.

Package: ocsigenserver
Description-md5: 635eae691583f148ead250733aee1445
Description-en: web server of the Ocsigen project
 The Ocsigen project is aimed at proposing clean and safe tools for
 developing and running client/server Web 2.0 applications.
 .
 Ocsigen Server is a full featured Web server. It implements most
 features of the HTTP protocol, and has a very powerful extension
 mechanism that make very easy to plug your own OCaml modules for
 generating pages. Many extensions are available, like a reverse
 proxy, content compression, access control, authentication, etc.

Package: ocsigenserver-doc
Description-md5: 330c8d9f36fb9ef15f7adea05a714432
Description-en: web server of the Ocsigen project (documentation)
 The Ocsigen project is aimed at proposing clean and safe tools for
 developing and running client/server Web 2.0 applications.
 .
 Ocsigen Server is a full featured Web server. It implements most
 features of the HTTP protocol, and has a very powerful extension
 mechanism that make very easy to plug your own OCaml modules for
 generating pages. Many extensions are available, like a reverse
 proxy, content compression, access control, authentication, etc.
 .
 This package contains documentation: the manual (in wikicreole
 format) and the API reference generated by ocamldoc (in HTML format).

Package: ocsinventory-agent
Description-md5: 985d7c6a819d5adecbca957131518643
Description-en: Hardware and software inventory tool (client)
 Open Computer and Software Inventory Next Generation is an
 application designed to help a network or system administrator to
 keep track of the hardware and software configurations of computers
 that are installed on the network.  It also allows deploying
 software, scripts and files on client computers.
 .
 This package contains the client part.

Package: ocsinventory-reports
Description-md5: 828f52b11c31b23ecfc12ae4a16fed8c
Description-en: Hardware and software inventory tool (Administration Console)
 Open Computer and Software Inventory Next Generation is an application
 designed to help a network or system administrator keep track of the
 computers configuration and software that are installed on the network.
 .
 Information about Hardware and Operating System are collected.
 OCS Inventory is also able to detect all active devices on your network,
 such as switch, router, network printer and unattended devices.
 It also allows deploying software, commands or files on client computers.
 .
 This package contains the 'Administration Console' part.

Package: ocsinventory-server
Description-md5: a7fb9a04b349bbab052f183add58153c
Description-en: Hardware and software inventory tool (Communication Server)
 Open Computer and Software Inventory Next Generation is an application
 designed to help a network or system administrator keep track of the
 computers configuration and software that are installed on the network.
 .
 Information about Hardware and Operating System are collected.
 OCS Inventory is also able to detect all active devices on your network,
 such as switch, router, network printer and unattended devices.
 It also allows deploying software, commands or files on client computers.
 .
 This package contains the 'Communication Server' part.
 Note that this is the XML-RPC/SOAP server, not the web interface (which is
 provided in the ocsinventory-reports package).

Package: octave
Description-md5: 5f06c708891ddbf651939abf93ab4c85
Description-en: GNU Octave language for numerical computations
 Octave is a (mostly Matlab (R) compatible) high-level language, primarily
 intended for numerical computations. It provides a convenient command-line
 interface for solving linear and nonlinear problems numerically.
 .
 Octave can be dynamically extended with user-supplied C++ files.

Package: octave-arduino
Description-md5: 73c3d235fad10cb5938591e1f05ecdf6
Description-en: Octave Arduino Toolkit
 Basic Octave implementation of the matlab arduino extension,
 allowing communication to a programmed arduino board to control its
 hardware.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-bart
Description-md5: 0123d35f768d798d54ad6e6171b47eb8
Description-en: Octave bindings for BART
 The Berkeley Advanced Reconstruction Toolbox (BART) is a free and
 open-source image-reconstruction framework for Computational Magnetic
 Resonance Imaging.
 .
 This package provides Octave bindings for BART.

Package: octave-bim
Description-md5: 48b6db07ba0f7e104116a2785ef19833
Description-en: PDE solver using a finite element/volume approach in Octave
 This package contains scripts for solving Diffusion Advection
 Reaction (DAR) Partial Differential Equations based on the Finite
 Volume Scharfetter-Gummel (FVSG) method a.k.a Box Integration Method
 (BIM) in Octave, a scientific computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-bsltl
Description-md5: 312f4fefb2908af2d01e6052cf01f95f
Description-en: biospeckle laser tool library for Octave
 The BSLTL package is a free collection of routines for working with
 the digital processing of biospeckle laser pattern images in Octave,
 a scientific computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-cgi
Description-md5: 4d89e2ccadc1d94e04ef4668deeb070b
Description-en: Common Gateway Interface for Octave
 This package allow the creation of CGI (Common Gateway Interface)
 objects and the parsing of CGI query string from GET or POST requests.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-common
Description-md5: fd867a95ea2708a233dc7380e8c8a5c9
Description-en: architecture-independent files for octave
 Octave is a (mostly Matlab (R) compatible) high-level language, primarily
 intended for numerical computations. It provides a convenient command-line
 interface for solving linear and nonlinear problems numerically.
 .
 Octave can be dynamically extended with user-supplied C++ files.
 .
 This package provides the architecture-independent files (Octave scripts
 and other helper files).

Package: octave-communications
Description-md5: 38e85a3f8dfb0c75f007c9a8afb39609
Description-en: communications package for Octave
 This package provides functions for Octave, a numerical computation
 software, to perform error analysis on communication signals,
 convert between analog and digital signals, and many more functions
 pertaining to signal analysis.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-communications-common
Description-md5: 4e0e428f8ad8473eb2b7cad8dced6f55
Description-en: communications package for Octave (arch-indep files)
 This package provides functions for Octave, a numerical computation
 software, to perform error analysis on communication signals,
 convert between analog and digital signals, and many more functions
 pertaining to signal analysis.
 .
 This Octave add-on package is part of the Octave-Forge project.
 .
 This package contains the architecture-independent files for the
 octave-communications package.

Package: octave-control
Description-md5: 37aa7bf6c830ebc4a7201fa6987314a8
Description-en: computer-aided control system design (CACSD) for Octave
 This package provides additional functions related to control theory
 in Octave, a numerical computation software. The functions are based on SLICOT
 Fortran library <http://www.slicot.org>.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-data-smoothing
Description-md5: 55574626fc06c3f7c33ff2224f44462d
Description-en: functions to do data smoothing on noisy data
 This package provides functions to do noisy data smoothing in Octave,
 a numerical computation software. It contains functions to calculate
 the divided difference of order d of a vector x (ddmat) and several
 functions to do Tikhonov data manipulation.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-database
Description-md5: 359f6c5bb551c0044ca0b1dea650f7ba
Description-en: interface to SQL databases in Octave
 The database package enables accessing SQL databases from
 Octave, a scientific computation software. Currently, however, this
 package only supports the PostgreSQL database.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-dataframe
Description-md5: 01f45f2ff9987a3302f6d70dec37f801
Description-en: manipulate data in Octave similar to R data.frame
 In the R language, a dataframe object is a way to group tabular data.  The
 functions in this package allow the manipulation of data in a similar way
 in Octave.  Dataframe objects in Octave can be created in a variety of
 ways (from other objects or from tabular data in a file) and then can be
 accessed either as matrix or by column name.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-dicom
Description-md5: 2c088a626de7f3b5e8ab445e3a37f720
Description-en: manipulate DICOM files in Octave
 Digital communications in medicine (DICOM) is an information
 standard, originally created for image transfer, which now deals with
 a large range of medical data.
 .
 This package provides functions to read and (eventually) write DICOM
 files in Octave, a scientific computation software. The functions in
 the package are intended to have similar usage as the dicom functions
 in the Matlab Image Processing Toolbox. In Octave they are separate:
 most image package users will not use dicom, and its dependency might
 be considered troublesome.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-divand
Description-md5: 0509c8b6c073bd16cea24f84615a63e6
Description-en: n-dimensional interpolation for Octave
 This package contains functions for performing multidimensional
 variational analysis in Octave, a scientific computation software.
 They allow the interpolation and analysis of observations on
 curvilinear orthogonal grids in an arbitrary high dimensional space
 by minimizing a cost function.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-doc
Description-md5: 6564187baa7f765dc0e5ed0f748138b6
Description-en: documentation of the GNU Octave language
 Octave is a (mostly Matlab (R) compatible) high-level language, primarily
 intended for numerical computations. It provides a convenient command-line
 interface for solving linear and nonlinear problems numerically.
 .
 This package provides the following documentation in HTML, PDF and Info
 formats:
 - the main user manual
 - a document on the C++ classes used internally by Octave.
 .
 It also includes a reference card in PDF format and in a4, letter and legal
 paper sizes.
 .
 This package is required by the 'help -i' command at the Octave command-line
 and by the help documentation browser in the graphical interface.

Package: octave-doctest
Description-md5: 73a678ea7ab2cfecb933689f20f5214a
Description-en: documentation tests for Octave
 Find and run example code within documentation.
 Formatted blocks of example code are extracted from documentation
 files and executed to confirm their output is correct.  This can be
 part of a testing framework or simply to ensure that documentation
 stays up-to-date during software development.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-econometrics
Description-md5: 77d19b3670c180afc92ddabb5d6ac797
Description-en: econometrics functions for Octave
 This package provides functions to work with econometrics in Octave,
 a numerical computation software. The functions include methods to do
 maximum likelihood (mle_estimate) and general method of moments
 (gmm_estimate) based estimations.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-financial
Description-md5: 1ff876c7ec843b3d8cb54f431c979261
Description-en: financial package for Octave
 This package provides Monte Carlo simulation, options pricing routines,
 financial manipulation, plotting functions and additional date manipulation
 tools for Octave.
 It also provides functions to calculate taxed rates, relative strength
 index, positive volume index, modified internal rate of return amongs many
 more.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-fits
Description-md5: 6da1806e4dbeceaf1b97dbe0a88f9915
Description-en: manipulation of FITS files in Octave
 The Octave-FITS package provides functions for
 reading and writing FITS (Flexible Image Transport System) files.
 This package uses the libcfitsio library.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-fpl
Description-md5: f2d7a5a480c1b02d1e47a8ce60775929
Description-en: plot data on unstructured triangular and tetrahedral meshes in Octave
 FPL is a collection of routines for plotting  data on finite element
 method (FEM) meshes.  It provides routines for outputting files and
 displaying data in OpenDX (IBM Visualization Data Explorer) and VTK
 (Visualization Toolkit) formats.  It allows displays of triangular
 and tetrahedral meshes, as well as scalar and 2D vector fields.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-fuzzy-logic-toolkit
Description-md5: a0d701808afb102615825ec307a54204
Description-en: fuzzy logic toolkit for Octave
 The Octave Fuzzy Logic Toolkit is a toolkit for Octave, a scientific
 computation software, that provides a large MATLAB compatible subset
 of the functionality of the MATLAB Fuzzy Logic Toolbox as well as
 many extensions. The toolkit includes functions that enable the user
 to build, modify, and evaluate Fuzzy Inference Systems (FISs) from
 the command line and from Octave scripts, read/write FISs to/from
 files, and produce graphical output of both the membership functions
 and the FIS outputs.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-ga
Description-md5: 04e0fcc7a56a7341e2633d3e9dee98f9
Description-en: genetic optimization code for Octave
 This package provides function to work with genetic algorithms in Octave, a
 numerical computation software. It provides the ga() function, which works
 similarly to other optimization functions in Octave.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-gdf
Description-md5: d7e17ef14839d528b5bbe1b56d64790a
Description-en: IO library for the GDF -- Octave interface
 GDF (General Dataformat for Biosignals) is intended to provide a generic
 storage for biosignals, such as EEG, ECG, MEG etc.
 .
 This package provides Octave bindings for libgdf.

Package: octave-general
Description-md5: 6ee1125e031cae2f4701442add790453
Description-en: provide extra general functions for Octave
 This package provides additional general functions for Octave, a
 numerical computation software. It contains functions to transpose
 complex matrixes (ctranspose), check if a string is sorted
 (issorted), take the non-conjugate transpose of a matrix (transpose),
 and a function to generate a symmetric matrix from the lower
 triangular elements of a vector (unvech), among others.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-geometry
Description-md5: b8410f32cdd6cc724922742192b2a48e
Description-en: geometric computing functions for Octave
 This package extends the MatGeom functions for Octave, a scientific
 computing software.  It is useful to create, transform, manipulate and
 display geometric primitives in 2D.  It also contains functions for
 performing boolean operations between two polygons and to manipulate files
 in SVG and gmsh formats.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-gsl
Description-md5: baae6a89a99bc471c70ac16055639245
Description-en: GSL binding for Octave
 This package provides bindings to the GNU Scientific Library for
 Octave, a numerical computation software. The GSL is a collection
 of routines for numerical analysis.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-htmldoc
Description-md5: 3fe7e5333bec81c827429125754977fd
Description-en: transitional package for Octave HTML documentation
 This is a transitional dummy package for the Octave HTML
 documentation. It can be safely removed.

Package: octave-image
Description-md5: cb3f73f74fdb27b78faf30e3a0c8756e
Description-en: image manipulation for Octave
 This package provides functions for processing images. The package
 also provides functions for feature extraction, image statistics,
 spatial and geometric transformations, morphological operations,
 linear filtering, and much more.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-image-acquisition
Description-md5: f166189e388ead9b1081acb8d26e3205
Description-en: image acquisition package for Octave
 The Octave-forge Image Acquisition package provides functions
 to capture images from connected devices. Currently only v4l2 is supported.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-info
Description-md5: 26418373bec7d4fd7e21533d384236f2
Description-en: transitional package for Octave info documentation
 This is a transitional dummy package for the for Octave info
 documentation. It can be safely removed.

Package: octave-instrument-control
Description-md5: fbbe7b778112fbb1228bc5cd56ba2ce5
Description-en: instrument control for Octave
 This package contains Low level input/output functions for serial,
 i2c, parallel, tcp, gpib, vxi11 and usbtmc interfaces for use in
 Octave, a scientific computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-interval
Description-md5: 46eb5c8150267b8394348904c9db0e31
Description-en: real-valued interval arithmetic for Octave
 The interval package for real-valued interval arithmetic allows
 one to evaluate functions over subsets of their domain.  All results are
 verified, because interval computations automatically keep track of any
 errors.
 .
 These concepts can be used to handle uncertainties, estimate arithmetic errors
 and produce reliable results.  Also it can be applied to computer-assisted
 proofs, constraint programming, and verified computing.
 .
 The implementation is based on interval boundaries represented by binary64
 numbers and is conforming to IEEE Std 1788-2015, IEEE standard for interval
 arithmetic.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-interval-doc
Description-md5: ef30b0d3df1dd62264bbe9a08bac33d0
Description-en: real-valued interval arithmetic for Octave (arch-indep files)
 The interval package for real-valued interval arithmetic allows
 one to evaluate functions over subsets of their domain.  All results are
 verified, because interval computations automatically keep track of any
 errors.
 .
 These concepts can be used to handle uncertainties, estimate arithmetic errors
 and produce reliable results.  Also it can be applied to computer-assisted
 proofs, constraint programming, and verified computing.
 .
 The implementation is based on interval boundaries represented by binary64
 numbers and is conforming to IEEE Std 1788-2015, IEEE standard for interval
 arithmetic.
 .
 This Octave add-on package is part of the Octave-Forge project.
 .
 This package provides documentation in HTML format for the octave-interval
 package.

Package: octave-io
Description-md5: 29ec64680d54f6ae4cefaa476f5d0214
Description-en: input/output data functions for Octave
 This package provides functions to input/output data in different
 formats in Octave, a numerical computation software. It contains
 functions to add variables to existing save files (append_save), read
 and write matrixes to a text file (dlmwrite, csvread, csvwrite) and
 read Excel spreadsheet (xlsread) and OpenDocument spreadsheet (odsread).
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-level-set
Description-md5: 8d5908123584b520c512f01af9bd1b9f
Description-en: level-set toolbox for Octave
 This package contains routines for calculating the time-evolution of
 the level-set equation and extracting geometric information from the
 level-set function in Octave, a scientific computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-linear-algebra
Description-md5: 187e8c407daf39f0dc12f5988ceff006
Description-en: additional linear-algebra functions for Octave
 This package provides additional functions to work on linear algebra
 code in Octave, a numerical computation software. This package
 includies general singular value methods to factorize a matrix
 (bicg), function to calculate the condition numbers of eigenvalues of
 a matrix (condeig), a matrix equivalent of the Octave function name
 (funm) and trigonometric/hyperbolic functions for square matrixes.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-lssa
Description-md5: f4c27be4e993dea3ed85c6ab7df596de
Description-en: least squares spectral analysis for Octave
 This package implementing tools to compute spectral decompositions of
 irregularly-spaced time series in Octave, a scientific software
 package.  Currently includes functions based off the Lomb-Scargle
 periodogram and Adolf Mathias' implementation for R and C.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-ltfat
Description-md5: 561580245aa31e8a5aeff798288c3794
Description-en: Large Time/Frequency Analysis Toolbox
 This package provides a Matlab/Octave toolbox for working with
 time-frequency analysis, wavelets and signal processing. It is
 intended both as an educational and a computational tool. The toolbox
 provides a large number of linear transforms including Gabor and
 wavelet transforms along with routines for constructing windows
 (filter prototypes) and routines for manipulating coefficients.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-ltfat-common
Description-md5: 0759a5f8d12559add347fb0bb9899020
Description-en: Large Time/Frequency Analysis Toolbox (arch-indep files)
 This package provides a Matlab/Octave toolbox for working with
 time-frequency analysis, wavelets and signal processing. It is
 intended both as an educational and a computational tool. The toolbox
 provides a large number of linear transforms including Gabor and
 wavelet transforms along with routines for constructing windows
 (filter prototypes) and routines for manipulating coefficients.
 .
 This Octave add-on package is part of the Octave-Forge project.
 .
 This package contains the architecture-independent files for the
 octave-ltfat package.

Package: octave-mapping
Description-md5: 6f310eaf1b8da3255315a040917b5763
Description-en: geographical mapping functions for Octave
 This package contains simple mapping and GIS .shp and raster file
 functions for Octave, a numerical computation software.  It includes
 functions for computing angular distances and displacements between
 points on a sphere, as well as the great circle azimuth.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-miscellaneous
Description-md5: ae355b1517c7562ea62f66aa419429bd
Description-en: miscellaneous tools for Octave
 This package provides miscellaneous tools for Octave, a numerical
 computation program. Included are functions to create a LaTeX file
 from a comma separated data file (csv2latex), map matrices and
 cells (map), a match filter that resembles the filter in Python
 (match) and many more.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-missing-functions
Description-md5: dba87e69ab7661a8d303aadce3a5bd41
Description-en: finds functions that are in Matlab but not in Octave
 This package finds functions that are in Matlab but not in Octave, a
 numerical calculation software. This package should just be
 interesting to those who are willing to implement the missing
 functions and provide them to the Octave project.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-msh
Description-md5: 071151b77a10927001957a9a1c2ef898
Description-en: create and manage meshes for FE or FV solvers in Octave
 This package creates and manages triangular and tetrahedral meshes
 for Finite Element or Finite Volume PDE solvers in Octave, a
 scientific computation software. It uses a mesh data structure
 compatible with pdetool.  It relies on gmsh for unstructured mesh
 generation.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-mvn
Description-md5: be8af51379220e3fc05194967324a42c
Description-en: multivariate normal distribution clustering for Octave
 This package contains multivariate normal distribution clustering and
 utility functions for Octave, a scientific computation software. It
 implements different divergence techniques for similarity computation
 and also include a k-means clustering method for the multivariate
 Gaussians and a method to compute native self-organizing maps for
 multivatiate Gaussians and their divergences.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-nan
Description-md5: 692a5316947b9609b85b39d3f1cd7472
Description-en: handles data with and without missing values in Octave
 This package allows the handling of data with and without missing
 values by using NaN in Octave. Features of this package includes:
 implement of the statistical tools, supports DIM argument, supports
 unbiased estimation significance test, confidence intervals and
 Spearman`s rank correlation included in CORRCOEF.
 .
 This package changes the way NaNs are handled in Octave. In order to use
 its functions, the user must explicitly ask Octave to load the package.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-ncarray
Description-md5: c80a87878018a3be1941b5f098fb300d
Description-en: access NetCDF files as a multi-dimensional array in Octave
 This package contains functions for accessing a single or a
 collection of NetCDF files as a multi-dimensional array in Octave, a
 scientific computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-netcdf
Description-md5: 2dca8df0b3d6d0e1f6fdb3c597587f5b
Description-en: Matlab compatible NetCDF interface for Octave
 This package contains the Octave binding to the NetCDF library,
 allowing the creation, the reading, and the display of meta-data of
 NetCDF files.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-nlopt
Description-md5: 7e1bbf23c1724dc0b1d4abe8be838080
Description-en: nonlinear optimization library -- GNU Octave package
 NLopt is a free/open-source library for nonlinear optimization, providing
 a common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms. Its features include:
 .
    * Callable from C, C++, Fortran, GNU Octave, Python, GNU Guile, GNU R.
    * A common interface for many different algorithms
    * Support for large-scale optimization.
    * Both global and local optimization algorithms.
    * Algorithms using function values only (derivative-free) and also
      algorithms exploiting user-supplied gradients.
    * Algorithms for unconstrained optimization, bound-constrained optimization,
      and general nonlinear inequality/equality constraints.
 .
 This package contains the module for the GNU Octave.

Package: octave-nurbs
Description-md5: 5bb28ce7d0f117c11371604570d4b2c6
Description-en: non-uniform rational B-splines for Octave
 This package contains a collection of routines for the creation and
 manipulation of Non-Uniform Rational B-Splines (NURBS) using Octave,
 a scientific computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-octclip
Description-md5: fa7af333d7aa69d566774091df03c297
Description-en: boolean operations with polygons in Octave
 The octclip package contain functions for performing boolean
 operations (intersection, union, difference, and exclusive or) between
 two polygons in Octave using the Greiner-Hormann algorithm.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-octproj
Description-md5: 7983e8dbbb43e653dbbab978ffd62dc5
Description-en: GNU Octave bindings to PROJ.4
 OctPROJ allows one to perform cartographic projections in Octave, a
 scientific computation software, using the PROJ.4 library. The
 available functions provide forward and inverse computation between
 geodetic and projected coordinates, including altitude.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-openems
Description-md5: 1bbf7e2a1e54ab9116510441649f6404
Description-en: Octave interface for openems
 This package contains the octave-files needed for
 openEMS. The are installed such that they can be used
 after running "pkg load openems" or "pkg load csxcad".
 .
 openEMS is a simulator for electromagnetic problems using
 the FDTD algorithm.

Package: octave-optics
Description-md5: 7de7dfc3b3e5c7b3f26ece07750a590b
Description-en: optics functions for Octave
 This package covers various aspects of optics in Octave, a scientific
 computation software.  It contains functions for manipulating Jones,
 Mueller, and Stokes matrices and for computing Zernike polynomials.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-optim
Description-md5: cd6f2c1dffb632b311fa7b836e5f0814
Description-en: unconstrained non-linear optimization toolkit for Octave
 Unconstrained Non-linear Optimization toolkit for Octave, a numerical
 computation software. Included are functions to do linear least
 square fitting on data points, nonlinear regression using the
 Levenberg-Marquardt algorithm, Prony's method for non-linear exponent
 fitting and many more.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-optiminterp
Description-md5: dab6749ab72457bbe99db45f944ff015
Description-en: optimal interpolation package for Octave
 This package is an optimal interpolation package for Octave, a
 numerical computation software. This package provides functions to do
 n-dimensional optimal interpolations of arbitrarily distributed data
 points.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-parallel
Description-md5: e6bccc5e5b445665505bb13fbed10f9f
Description-en: parallel execution of Octave in clusters of computers
 This is a package to add parallel computing functions to Octave. The
 parallel computing functions are supported without any additional parallel
 computing libraries such as MPI and PVM.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-pfstools
Description-md5: e70318b67b9c2f5a91a7931e373082d1
Description-en: octave bindings for pfstools
 PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate
 existing file formats by providing a simple data format that can be used to
 exchange data between applications.
 .
 This package contains octave bindings to read and write PFS files.

Package: octave-plplot
Description-md5: bc07b1f0ee609531a62179d58e7d7ea2
Description-en: Octave support for PLplot, a plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the Octave bindings for PLplot.  It provides an
 almost drop-in replacement for the traditional Gnuplot graphical
 interface in Octave. Examples are also provided.

Package: octave-psychtoolbox-3
Description-md5: 642f4b65e7359409b36cca4e74238de0
Description-en: toolbox for vision research -- Octave bindings
 Psychophysics Toolbox Version 3 (PTB-3) is a free set of Matlab and
 GNU/Octave functions for vision research. It makes it easy to
 synthesize and show accurately controlled visual and auditory stimuli
 and interact with the observer.
 .
 The Psychophysics Toolbox interfaces between Matlab or Octave and the
 computer hardware. The Psychtoolbox's core routines provide access to
 the display frame buffer and color lookup table, allow
 synchronization with the vertical retrace, support millisecond
 timing, allow access to OpenGL commands, and facilitate the
 collection of observer responses. Ancillary routines support common
 needs like color space transformations and the QUEST threshold
 seeking algorithm.
 .
 See also http://www.psychtoolbox.org/UsingPsychtoolboxOnUbuntu for
 additional information about systems tune-up and initial configuration.
 .
 This package contains bindings for Octave.

Package: octave-quaternion
Description-md5: 9134ecdd595c996e2a4dd314f6a6d4ac
Description-en: quaternion package for Octave
 This package implements the manipulation of quaternions used for
 frame transformation.  It runs under Octave, a scientific computation
 software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-queueing
Description-md5: f6edf7b7fd07b602759acf5042a9e233
Description-en: Queueing Networks and Markov chains analysis for Octave
 The queueing package provides functions for queueing
 networks and Markov chains analysis. This package can be used to
 compute steady-state performance measures for open, closed and mixed
 networks with single or multiple job classes. Mean Value Analysis
 (MVA), convolution, and various bounding techniques are
 implemented. Furthermore, several transient and steady-state
 performance measures for Markov chains can be computed, such as state
 occupancy probabilities, mean time to absorption, time-averaged
 sojourn times and so forth. Discrete- and continuous-time Markov
 chains are supported.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-secs1d
Description-md5: b55096d10a314133664a815638733698
Description-en: semi conductor simulator in 1D for Octave
 This package contains functions for running a drift-diffusion
 simulator for 1d semiconductor devices in Octave, a scientific
 computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-secs2d
Description-md5: b25c02886526cbf43a8e10c47e0d04b4
Description-en: semi conductor simulator in 2D for Octave
 This package contains functions for running a drift-diffusion
 simulator for 2d semiconductor devices in Octave, a scientific
 computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-secs3d
Description-md5: 9f0de83041878ffca5a1ba44f0fc2fc8
Description-en: Drift-Diffusion simulator for 3d semiconductor devices in Octave
 This package provides functions for Drift-Diffusion simulation of 3d
 semiconductor devices in Octave, a scientific computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-signal
Description-md5: b29c0d79511d18e8631672041b99928d
Description-en: signal processing functions for Octave
 This package provides signal processing tools including filtering,
 windowing and display functions in octave.
 .
 Blackman-Harris, Blackman-Nuttall and Bohman are among the windowing functions
 and filters include Chebyshev type filters and butterworth filters.
 .
 Additionally, some wavelet functions are included.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-sockets
Description-md5: c936fa6902af671fb16804e74144551f
Description-en: communication through Internet sockets in Octave
 This package provides functions to work with sockets in octave,
 mimicking the Unix socket API (socket, connect, disconnect,
 gethostbyname, send, recv, bind, listen, and accept)
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-sparsersb
Description-md5: 853fbd7d72a77e93d42a7455aba4a851
Description-en: RSB sparse matrix manipulation for Octave
 This package contains an interface to the librsb package implementing
 the Recursive Sparse Blocks (RSB) sparse matrix format for fast
 shared-memory sparse matrix computations in Octave, a scientific
 computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-specfun
Description-md5: f2a90395580d884409b85c4682ab2a8c
Description-en: special mathematical functions for Octave
 This package provides special mathematical functions for octave,
 including elliptic functions, sine integral, exponential integrals, Dirac
 delta distribution, and the Riemann zeta function.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-splines
Description-md5: be4c899e3cf283ea6a8a5d4e26df82e7
Description-en: cubic spline functions for Octave
 This package provides functionality to work with additional cubic
 spline functions in Octave.  It contains interpolation functions
 using cubic splines with various end conditions as well as functions
 for differentiating splines and plotting them.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-statistics
Description-md5: 1ba7cc3e126607a956ddf79bfa0eb2b2
Description-en: additional statistical functions for Octave
 This package provides additional statistical functions for Octave, including
 mean and variance for several distributions (geometric, hypergeometric,
 exponential, lognormal and others).
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-stk
Description-md5: e6004d11f34cbec00601c2f2e7820c4b
Description-en: (not so) Small Toolbox for Kriging
 The STK is a (not so) Small Toolbox for Kriging. Its primary
 focus is on the interpolation/regression technique known as kriging, which
 is very closely related to Splines and Radial Basis Functions, and can be
 interpreted as a non-parametric Bayesian method using a Gaussian Process
 (GP) prior. The STK also provides tools for the sequential and
 non-sequential design of experiments. Even though it is, currently, mostly
 geared towards the Design and Analysis of Computer Experiments (DACE), the
 STK can be useful for other applications areas (such as Geostatistics,
 Machine Learning, Non-parametric Regression, etc.).
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-strings
Description-md5: 6651e64f63fe665e8d5fa39ff8fe7513
Description-en: additional string manipulation functions for Octave
 This package provides additional functions to manipulate strings in Octave,
 including the possibility to decode from and encode into base64, and using
 Perl-compatible regular expressions.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-struct
Description-md5: b818bfd4b3e5760829a15bc2fa1013eb
Description-en: additional structure manipulation functions for Octave
 This package provides additional structure manipulation functions for
 octave.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-symbolic
Description-md5: 79f50f3adee6962841ce3f86737a2279
Description-en: symbolic package for Octave
 Adds symbolic calculation features to GNU Octave.  These
 include common Computer Algebra System tools such as algebraic operations,
 calculus, equation solving, Fourier and Laplace transforms, variable
 precision arithmetic and other features.  Compatibility with other symbolic
 toolboxes is intended.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-tsa
Description-md5: 564508159dc8968577bbd78123dbfc48
Description-en: time series analysis in Octave
 The TSA toolbox is useful for analysing Time Series.  The methods are
 based on stochastic concepts and maximum entropy methods. The
 programs should be compatible to Matlab as well as Octave.  It
 includes Stochastic Signal processing, Autoregressive Model
 Identification, maximum entropy spectral estimation, and much more.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-vibes
Description-md5: 84932829318338d5caff56992e890f4b
Description-en: VIBes API to easily display results in Octave
 The VIBes API allows one to easily display results (boxes, pavings)
 from interval methods.
 .
 VIBes consists in two parts: (1) the VIBes application that features viewing,
 annotating and exporting figures, and (2) the VIBes API that enables your
 program to communicate with the viewer in order to draw figures.
 .
 This package integrates the VIBes API into Octave. The VIBes application
 is required for operation and must be installed separately. Data types from
 third-party interval arithmetic libraries for Octave are also supported.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-video
Description-md5: dd9b0ca53a4eb86159841fb5d7343374
Description-en: wrapper for libavformat and libavcodec in Octave
 A wrapper for ffmpeg's libavformat and libavcodec, implementing
 addframe, avifile, aviinfo and aviread.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-vrml
Description-md5: 69177de5218eb00b5455d4e5d631ee99
Description-en: VRML functions for Octave
 This package provides functions to do 3D graphics using VRML in
 Octave a numerical computation software. It allows the visualization
 of sets of 3D points, 3D curves, surfaces, etc using Octave, in
 conjunction with the VRML browser FreeWRL.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-zenity
Description-md5: 399c1d8f8e8110ec09288bf062e84b8b
Description-en: simple graphical user interfaces using zenity in Octave
 This package provides a set of functions for creating simple graphical
 user interfaces for Octave. It is currently possible to create calendar
 windows, text entries, file selection dialogs, lists, message
 windows, icons in the notification area, and windows for large amount
 of text.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octave-zeromq
Description-md5: a17b17a6aaa0362f0d63335a1326a010
Description-en: ZeroMQ binding for Octave
 ZeroMQ is a library which extends the standard socket interfaces,
 providing an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless
 access to multiple transport protocols and more.  This package
 contains the binding to the ZeroMQ library for use in Octave, a
 scientific computation software.
 .
 This Octave add-on package is part of the Octave-Forge project.

Package: octavia-api
Description-md5: 01e546b6b69faa22a522b17e196818f6
Description-en: OpenStack Load Balancer as a Service - API frontend
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package provides the API frontend.

Package: octavia-common
Description-md5: 16d65a348f916d0e7de2076830e11066
Description-en: OpenStack Load Balancer as a Service - Common files
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package contains elements that are needed by all parts of Octavia.

Package: octavia-doc
Description-md5: 886ebdf57771f9e775b31a309b0d4015
Description-en: OpenStack Load Balancer Service - documentation
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package contains the documentation for Octavia.

Package: octavia-driver-agent
Description-md5: 94acc38dc4f7735c786db2a808e4265d
Description-en: OpenStack Load Balancer Service - Driver Agent
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package provides Octavia Driver Agent.

Package: octavia-health-manager
Description-md5: d555b76bd67011fbc52c34079212f752
Description-en: OpenStack Load Balancer Service - Health manager
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package provides Octavia Health Manager.

Package: octavia-housekeeping
Description-md5: aba98cdbcbff625c3a9dc8853e9ddbcd
Description-en: OpenStack Load Balancer Service - Housekeeping manager
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package provides Octavia Housekeeping Manager.

Package: octavia-worker
Description-md5: 2df211da506b3c86b813b3fd27729eac
Description-en: OpenStack Load Balancer Service - Worker
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package provides Octavia worker.

Package: octicons
Description-md5: 64a417848a431d90b9245976f41a19bf
Description-en: GitHub's icons webfont
 GitHub's "octicons" webfont, you can use over 100 icons with this web font.
 .
 See https://octicons.github.com/ for examples.

Package: octocatalog-diff
Description-md5: b77f7cfb3fa6ce0b6b534105a6a4cc26
Description-en: compile and compare Puppet catalogs from 2 branches, versions, etc
 octocatalog-diff is a tool to detect and display differences in Puppet
 catalogs compiled from different environments. The catalogs may be
 obtained through any of the following means:
  - Compilation using a local puppet instance
  - Puppet master 3.x/4.x HTTP API
  - PuppetDB HTTP API
  - Precompiled JSON catalogs
 .
 octocatalog-diff filters out attributes or resources with no effect
 (e.g. tags) and displays only state differences between the two
 catalogs. It does not require a working Puppet master (or puppetserver),
 making it suitable for development use and use in Continuous Integration
 environments.

Package: octomap-tools
Description-md5: 26a2c458f0f064ef393992b47e7495d8
Description-en: Tools for 3D occupancy grid mapping
 The OctoMap library implements a 3D occupancy grid mapping approach,
 providing data structures and mapping algorithms in C++ particularly suited
 for robotics. The map implementation is based on an octree and is designed
 to meet the following requirements: Full 3D model, Updatable, Flexible and
 Compact. This package contains tools to use with Octomap library.

Package: octopussy
Description-md5: cb3127bdf79eeb3f5c138a980246ccff
Description-en: log analyzer, alerter & reporter
 Octopussy is a log analyzer, alerter & reporter with Web interface. It
 has the following features:
 .
  * LDAP support for Octopussy Users & Contacts
  * Send alerts by email, IM(Jabber), NSCA(Nagios) & Zabbix_sender
  * Export reports by Email, FTP & SCP
  * Create map to show your architecture
  * Input & output plugins for reports
  * Reports scheduling
  * Syslog activity graphs
  * Wide range of service support
  * Wizard to easily create new Message/Service definitions for
    unrecognized logs
  * Online updates for services, tables & multilanguage
  * Multilanguage support
  * Themable interface & report

Package: octovis
Description-md5: 35f7993f3b1bb41f160b632d2708bfd7
Description-en: Visualization tool for OctoMap
 Visualization library for the OctoMap library based on Qt and libQGLViewer.
 This package contains the tool for visualization. It's a viewer that lets
 you view an OctoMap.

Package: odb
Description-md5: 57a79256ab6743393c8f481e3a79e989
Description-en: C++ Object-Relational Mapping (ORM)
 ODB is an open-source, cross-platform and cross-database object-relational
 mapping (ORM) system for C++. It allows you to persist C++ objects to a
 relational database without having to deal with tables, columns, or SQL and
 without manually writing any mapping code.

Package: odbc-mariadb
Description-md5: 1caed7558e1f2b538fb3bd787b8791c2
Description-en: ODBC driver for MariaDB
 This package provides a driver that allows ODBC-enabled applications to
 access MariaDB databases. ODBC is an abstraction layer that allows
 applications written for that layer to access databases in a manner that is
 relatively independent of the particular database management system.

Package: odbc-mdbtools
Description-md5: adbbf5e7276666b028b26a318ba16b8c
Description-en: MDB tools ODBC driver
 This is the software driver to access JET / MS Access database (MDB) files
 through ODBC.
 This also contains the installation interface for unixodbc.

Package: odbc-postgresql
Description-md5: ca9991df6514508e654fa440ff1560cd
Description-en: ODBC driver for PostgreSQL
 This package provides a driver that allows ODBC-enabled applications to
 access PostgreSQL databases.  ODBC is an abstraction layer that allows
 applications written for that layer to access databases in a manner
 that is relatively independent of the particular database management
 system.
 .
 You need to install this package if you want to use an application that
 provides database access through ODBC and you want that application to
 access a PostgreSQL database.  This package would need to be installed
 on the same machine as that client application; the PostgreSQL database
 server can be on a different machine and does not need any additional
 software to accept ODBC clients.
 .
 If you want to write software that can access a database through the
 ODBC abstraction layer, you need to install the unixODBC driver manager
 development package unixodbc-dev, and possibly additional packages for
 language bindings.  This driver package is only used at run time.

Package: oddjob
Description-md5: 7fd4ef3c68114263f89dca00f0326b8c
Description-en: D-Bus service which runs odd jobs -- daemon
 Oddjob is a D-Bus service which performs particular tasks for clients which
 connect to it and issue requests using the system-wide message bus.
 .
 This package contains the oddjob daemon.

Package: oddjob-mkhomedir
Description-md5: 13dd268db1568b4edc539be9773386f1
Description-en: Oddjob helper which creates and populates home directories
 Oddjob is a D-Bus service which performs particular tasks for clients which
 connect to it and issue requests using the system-wide message bus.
 .
 This package contains the oddjob helper which can be used by the
 pam_oddjob_mkhomedir module to create a home directory for a user
 at login-time.

Package: odil
Description-md5: e44be4546f6416a41a6f596d65f86cd8
Description-en: C++11 library for the DICOM standard (application)
 Odil leverages C++ constructs to provide a user-friendly API of the
 different parts of the DICOM standard. Included in Odil are exception-based
 error handling, generic access to datasets elements, standard JSON and XML
 representation of datasets, and generic implementation of messages, clients
 and servers for the various DICOM protocols.
 .
 This package contains the command-line application.

Package: odin
Description-md5: 0c9638c86adbc3f16a228295c6f1dfba
Description-en: develop, simulate and run magnetic resonance sequences
 ODIN is a framework for magnetic resonance imaging (MRI).
 It covers the whole toolchain of MRI, from low-level data acquisition
 to image reconstruction. In particular, it aims at rapid prototyping
 of MRI sequences. The sequences can be programmed using a high-level,
 object oriented, C++ programming interface.  It provides advanced
 sequence analysis tools, such as interactive plotting of k-space
 trajectories, a user interface for a fast compile-link-test cycle
 and a powerful MRI simulator which supports different virtual samples.
 For fast and flexible image reconstruction, ODIN contains a highly
 customizable, multi-threaded data-processing framework.

Package: ods2tsv
Description-md5: c5ba7cbf1ce962ca4dd27f186b80a6ec
Description-en: ODS to TSV file converter
 Simple command line interface utility that takes one or more ods files
 as input and convert them into tabulated separated value (simple text files).
 Each ods file will create an output directory of the same name, containing all
 the spreadsheets (one tsv file per spreadsheet).

Package: odt2txt
Description-md5: 889f9d0a89df89c5d287a270032123e0
Description-en: simple converter from OpenDocument Text to plain text
 odt2txt is a command-line tool which extracts the text out of OpenDocument
 Texts, as produced by OpenOffice.org, KOffice, StarOffice and others.
 .
 odt2txt can also extract text from some file formats similar to OpenDocument
 Text, such as OpenOffice.org XML (*.sxw), which was used by OpenOffice.org
 version 1.x and older StarOffice versions. To a lesser extend, odt2txt may
 be useful to extract content from OpenDocument spreadsheets (*.ods) and
 OpenDocument presentations (*.odp).
 .
 It is small and fast, can output the document in many encodings and
 adopts to your locale.

Package: oem-config-kde
Description-md5: 97a76af3c01b8626949160b1d8f526ba
Description-en: KDE frontend for end-user post-OEM-install configuration
 The oem-config script re-asks a number of questions that are normally asked
 during installation, and reconfigures the system accordingly. This allows a
 vendor to install a skeleton system, clone it onto a large number of
 machines, and ship it to end users, while still allowing end users to set
 up their own username and password, language, timezone, and so on.
 .
 This is a KDE frontend to oem-config.

Package: oem-config-remaster
Description-md5: b888546fc6f218e1af4c6b8e46901fd5
Description-en: Remaster a CD with additional oem-config functionality
 This script remasters a CD with additional packages and optional oem-config
 preseed data.

Package: oem-config-slideshow-ubuntu-budgie
Description-md5: 6eb379be27372ad118cb353d35a88523
Description-en: OEM slideshow for Ubuntu Budgie
 A slideshow intended for the post-OEM-install configuration, designed
 as a quick introduction to Ubuntu Budgie.

Package: oem-config-slideshow-ubuntu-mate
Description-md5: b7538d7401ad5a20b0d080bc8cb9ae04
Description-en: OEM slideshow for Ubuntu MATE
 A slideshow intended for the post-OEM-install configuration, designed
 as a quick introduction to Ubuntu MATE.

Package: offlineimap
Description-md5: eabce055511e2ef73277b8e17d848d55
Description-en: IMAP/Maildir synchronization and reader support
 OfflineIMAP is a tool to simplify your e-mail reading.  With
 OfflineIMAP, you can:
 .
  * Read the same mailbox from multiple computers, and have your
    changes (deletions, etc.) be automatically reflected on
    all computers
 .
  * Use various mail clients to read a single mail box
 .
  * Read mail while offline (on a laptop) and have all changes
    synchronized when you get connected again
 .
  * Read IMAP mail with mail readers that do not support IMAP
 .
  * Use SSL (secure connections) to read IMAP mail even if your reader
    doesn't support SSL
 .
  * Synchronize your mail using a completely safe and fault-tolerant
    algorithm.
 .
  * Customize which mailboxes to synchronize with regular expressions
    or lists.
 .
  * Synchronize your mail two to four times faster than with other tools
    or other mail readers' internal IMAP support.
 .
 In short, OfflineIMAP is a tool to let you read mail how YOU want to.

Package: ofono
Description-md5: 424c82f98ad60ebfb090dca93af81717
Description-en: Mobile telephony stack (daemon)
 oFono is a stack for mobile telephony devices on Linux.  oFono supports
 speaking to telephony devices through specific drivers, or with generic
 AT commands.
 .
 oFono also includes a low-level plug-in API for integrating with other
 telephony stacks, cellular modems and storage back-ends. The plug-in API
 functionality is modeled on public standards, in particular
 3GPP TS 27.007 "AT command set for User Equipment (UE)."
 .
 This package includes the core daemon.

Package: ofono-dev
Description-md5: 144644b11747a939d416d7c282e0e0e5
Description-en: Mobile telephony stack (development files)
 oFono is a stack for mobile telephony devices on Linux.  oFono supports
 speaking to telephony devices through specific drivers, or with generic
 AT commands.
 .
 oFono also includes a low-level plug-in API for integrating with other
 telephony stacks, cellular modems and storage back-ends. The plug-in API
 functionality is modeled on public standards, in particular
 3GPP TS 27.007 "AT command set for User Equipment (UE)."
 .
 This package includes the header files for building oFono plugins.

Package: ofono-scripts
Description-md5: 277aef1d813c6ac0a723a9b785d7d80d
Description-en: Mobile telephony stack (test and maintenance script files)
 oFono is a stack for mobile telephony devices on Linux.  oFono supports
 speaking to telephony devices through specific drivers, or with generic
 AT commands.
 .
 oFono also includes a low-level plug-in API for integrating with other
 telephony stacks, cellular modems and storage back-ends. The plug-in API
 functionality is modeled on public standards, in particular
 3GPP TS 27.007 "AT command set for User Equipment (UE)."
 .
 This package includes test and maintenance scripts.

Package: ofx
Description-md5: b9c42216667dedcbe4e11debf57bc224
Description-en: Open Financial Exchange programs
 This package contains programs that implement a primitive interface for
 exchanging financial information using Open Financial Exchange. More
 sophisticated access is normally done through programs using the libofx
 library rather than with the programs here.

Package: ofxstatement
Description-md5: 402bc94f465cf3da0e31218cdc03c570
Description-en: tool to convert proprietary bank statement to OFX format
 Most internet banking systems are capable of exporting account transactions to
 some sort of computer readable formats, but few supports standard data formats,
 like OFX. On the other hand, personal accounting tools, such as GnuCash support
 standard formats only, and will probably never support proprietary statement
 formats of online banking systems.
 .
 Ofxstatement is a tool to convert proprietary bank statement to OFX format,
 suitable for importing to GnuCash

Package: ofxstatement-plugins
Description-md5: 9dc295adc12a057cf299d77ff51a8404
Description-en: set of plugins for ofxstatement
 Most internet banking systems are capable of exporting account transactions to
 some sort of computer readable formats, but few supports standard data formats,
 like OFX. On the other hand, personal accounting tools, such as GnuCash support
 standard formats only, and will probably never support proprietary statement
 formats of online banking systems.
 .
 This package provides most of plugins referenced on ofxstatement's homepage:
 ofxstatement-germany
 ofxstatement-airbankcz
 ofxstatement-austrian
 ofxstatement-be-argenta
 ofxstatement-be-ing
 ofxstatement-be-kbc
 ofxstatement-be-keytrade
 ofxstatement-be-triodos
 ofxstatement-betterment
 ofxstatement-bubbas
 ofxstatement-de-ing
 ofxstatement-de-triodos
 ofxstatement-consors
 ofxstatement-czech
 ofxstatement-intesasp
 ofxstatement-is-arionbanki
 ofxstatement-iso20022
 ofxstatement-latvian
 ofxstatement-lfs
 ofxstatement-lithuanian
 ofxstatement-mbank-sk
 ofxstatement-otp
 ofxstatement-paypal
 ofxstatement-polish
 ofxstatement-postfinance
 ofxstatement-raiffeisencz
 ofxstatement-russian
 ofxstatement-seb
 ofxstatement-simple
 ofxstatement-unicreditcz
 banking.statements.osuuspankki
 banking.statements.nordea
 ofxstatement-fineco
 ofxstatement-al_bank
 ofxstatement-lansforsakringar

Package: ogamesim
Description-md5: 7304ed073eb6c164f99342aeac7f4640
Description-en: Console Ogame Simulator
 Console battles simulator for the  online MMORG Ogame (strategic
 space simulation game): http://ogame.org. It allows to perform the
 battles simulation between one player and another one player
 (Multi-combat mode is not supported).
 The simulator can integrate in a script bot or can be used just
 for the battle result calculation.

Package: ogamesim-www
Description-md5: 68576e9e32bd2062f078e6c7aad1f4ca
Description-en: WWW GUI for ogamesim
 CGI frontend for the console battles simulator.
 Contains:
  * The probe report parser for the automatical input form filling;
  * The task editor for the simulator;
  * The calculation results report parser;
  * The multilanguage interface.

Package: ogdi-bin
Description-md5: f5c8ea6d0900dec6f0c9c97b97424023
Description-en: Open Geographic Datastore Interface Library -- utilities
 OGDI is the Open Geographic Datastore Interface. OGDI is an application
 programming interface (API) that uses  a standardized access methods to
 work  in  conjunction  with  GIS software  packages  (the  application)
 and  various  geospatial  data  products.  OGDI  uses  a  client/server
 architecture  to  facilitate  the   dissemination  of  geospatial  data
 products over  any TCP/IP  network, and  a driver-oriented  approach to
 facilitate access to several geospatial data products/formats.
 .
 This package contains some useful tools based on the OGDI library

Package: oggfwd
Description-md5: 172f143a2120138be7c35a42fbcb308c
Description-en: basic icecast source client for audio and video streaming
 oggfwd is a simple source client to forward an ogg file to an Icecast 2
 streaming server. It forwards audio or video ogg files read from stdin.

Package: oggvideotools
Description-md5: a9f83dd17ba224a7185cd41f45fc4a7d
Description-en: toolbox for manipulating and creating Ogg video files
 Contains the following command line tools:
 .
   * oggCat - concatenates two ogg video files
   * oggCut - extracts parts of an ogg file
   * oggDump
   * oggJoin - multiplexes ogg streams
   * oggLength
   * oggTranscode - resizes ogg files in multiple ways
   * oggScroll
   * oggSilence
   * oggSlideshow - creates slideshows from pictures
   * oggSplit - demultiplexes ogv files
   * oggThumb - creates thumbnails from an ogg video file

Package: oggvideotools-dbg
Description-md5: 85ce088bff6caa677bb55ea40baa9c68
Description-en: toolbox for manipulating and creating Ogg video files (debug symbols)
 Contains the following command line tools:
 .
   * oggCat - concatenates two ogg video files
   * oggCut - extracts parts of an ogg file
   * oggDump
   * oggJoin - multiplexes ogg streams
   * oggLength
   * oggTranscode - resizes ogg files in multiple ways
   * oggScroll
   * oggSilence
   * oggSlideshow - creates slideshows from pictures
   * oggSplit - demultiplexes ogv files
   * oggThumb - creates thumbnails from an ogg video file
 .
 This package contains debugging symbols.

Package: oggz-tools
Description-md5: b6c38a74616de5d5632bcbec29400b87
Description-en: utilities for mangling Ogg streams
 Oggz provides a simple programming interface for reading and writing
 Ogg files and streams.
 .
 This package contains some utilities useful for debugging and tweaking
 Ogg streams, using liboggz

Package: ogmrip
Description-md5: 06392e58670dd3db42c6c6bb26e10245
Description-en: Application for ripping and encoding DVD
 ogmrip is an application and a set of libraries for ripping and encoding
 DVD into AVI, OGM MP4 or Matroska files using a wide variety of codecs. It
 relies on mplayer, mencoder, ogmtools, mkvtoolnix, oggenc, lame and faac to
 perform its tasks.
  o transcodes from DVD or files
  o outputs ogm, avi, mp4 or matroska files
  o calculates video bitrate for a given filesize
  o calculates cropping parameters and scaling factors
  o supports multiple audio and subtitles streams encoding
  o lots of codecs (vorbis, mp3, pcm, ac3, dts, aac, xvid, lavc, x264, theora)
  o uses maximum quality codec switches
  o rips contiguous chapters

Package: ogmrip-ac3
Description-md5: 5f442b4348d390263148b1b0476eaf63
Description-en: AC3 support for ogmrip
 This plugin provides support for the AC3 audio codec. It can be useful to
 keep a multi-channels AC3 or DTS stream but with a lower bitrate to take
 less space.

Package: ogmrip-doc
Description-md5: 8ae99338c48102023f1bbaeb74dab473
Description-en: Application for ripping and encoding DVD - Documentation files
 ogmrip is an application and a set of libraries for ripping and encoding
 DVD into AVI, OGM MP4 or Matroska files using a wide variety of codecs. It
 relies on mplayer, mencoder, ogmtools, mkvtoolnix, oggenc, lame and faac to
 perform its tasks.
  o transcodes from DVD or files
  o outputs ogm, avi, mp4 or matroska files
  o calculates video bitrate for a given filesize
  o calculates cropping parameters and scaling factors
  o supports multiple audio and subtitles streams encoding
  o lots of codecs (vorbis, mp3, pcm, ac3, dts, aac, xvid, lavc, x264, theora)
  o uses maximum quality codec switches
  o rips contiguous chapters
 .
 This package contains the documentation files.

Package: ogmrip-plugins
Description-md5: c09a9d4083be64fa639aad0685f1bd88
Description-en: Application for ripping and encoding DVD - plugins
 ogmrip is an application and a set of libraries for ripping and encoding
 DVD into AVI, OGM MP4 or Matroska files using a wide variety of codecs. It
 relies on mplayer, mencoder, ogmtools, mkvtoolnix, oggenc, lame and faac to
 perform its tasks.
 .
 This package provides the plugins set for OGMRip.

Package: ogmrip-video-copy
Description-md5: 7ff3766ac24806a71072cd1f0af29ac7
Description-en: ogmrip plugin to extract DVD track
 This plugin should be used to extract a title from a DVD without any
 encoding.

Package: ogmtools
Description-md5: d682648ccbca193f293d5e24607815e9
Description-en: Tools for manipulating Ogg multimedia streams
 These tools manipulate Ogg media streams:
  * ogminfo  - displays stream information
  * ogmdemux - demuxes an ogm stream into its component media streams
  * ogmsplit - splits an ogm stream into chunks
  * ogmmerge - joins media streams into an ogm stream
  * dvdxchap - extracts chapter information from DVDs

Package: ogre-1.12-doc
Description-md5: b592ab3f09cd7be17a51bdfdd2b45a0c
Description-en: 3D Object-Oriented Graphics Rendering Engine (documentation)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains the documentation.

Package: ogre-1.12-tools
Description-md5: 584c8d5813c6f43723ee55303096a361
Description-en: 3D Object-Oriented Graphics Rendering Engine (tools)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains tools used to convert from and to OGRE's native mesh
 format.

Package: ogre-1.9-doc
Description-md5: b592ab3f09cd7be17a51bdfdd2b45a0c
Description-en: 3D Object-Oriented Graphics Rendering Engine (documentation)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains the documentation.

Package: ogre-1.9-tools
Description-md5: 584c8d5813c6f43723ee55303096a361
Description-en: 3D Object-Oriented Graphics Rendering Engine (tools)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains tools used to convert from and to OGRE's native mesh
 format.

Package: ohai
Description-md5: e7614c4a2f2143a1d06c4d3a646fc455
Description-en: Detects data about your operating system and reports it in JSON
 Information about your system is collected and returned as multidimensional
 attributes in JSON format. The following information is captured:
   * Operating system and kernel
   * Filesystems in use
   * Network configuration and statistics
   * Available languages
   * Virtualization

Package: ohcount
Description-md5: e187d8d164da42616f03e955eb4e690d
Description-en: Source code line counter
 Ohcount supports over 70 popular programming languages.
 Ohcount does more than just count lines of code. It can also detect
 popular open source licenses such as GPL within a large directory of source
 code. It can also detect code that targets a particular programming API,
 such as Win32 or KDE.
 Ohcount is the line counter which powers http://www.openhub.net/

Package: ohcount-doc
Description-md5: 48239a7db26bcc2dc61ea929e6a460f9
Description-en: Source code line counter - Documentation
 Ohcount supports over 70 popular programming languages.
 Ohcount does more than just count lines of code. It can also detect
 popular open source licenses such as GPL within a large directory of source
 code. It can also detect code that targets a particular programming API,
 such as Win32 or KDE.
 Ohcount is the line counter which powers http://www.openhub.net/
 .
 This package contains the documentation.

Package: oidentd
Description-md5: 65a257a29a6dffd112177197d356192b
Description-en: replacement ident daemon
 An ident (rfc1413) daemon for IPv4 and IPv6.
 .
 Oidentd allows users, given the proper permission, to specify the
 identd response that the server will output when a successful lookup
 is completed.  Oidentd also allows for pseudo-random strings
 (either a prefix, such as "user," followed by a number between 0 and
 99999, or 10 pseudo-random characters of the  set 0-9A-Za-z) to be
 returned  upon the completion of a successful lookup instead of a
 username or a UID.
 .
 Oidentd supports IPv4 masqueraded connections, including netfilter.

Package: oidua
Description-md5: aa4a9c780927fc0303104373b9955274
Description-en: audio file metadata lister
 audiofile metadata lister for directory trees. What information and how it is
 presented is customizable. Supported file formats are MP3, OGG, FLAC and
 MusePack.

Package: oinkmaster
Description-md5: af45601e05b758779d7fdc0675c6f7f5
Description-en: Snort rules manager
 A simple script to manage and update Snort rules with the
 capability of disabling, modifying and enabling specific
 rules after an update. Oinkmaster will tell you exactly what
 has changed since your last update, giving you good
 control of your rules. It also can be used to manage updates
 from both the official provider (www.snort.org) and from
 other rulesets (www.emergingthreats.net)

Package: okteta
Description-md5: b06625c8948cc51e09c5c4ed626d013c
Description-en: hexadecimal editor for binary files
 Okteta is a simple editor for the raw data of files. This type of program is
 also called hexadecimal editor or binary editor.
 .
 The data is displayed in two variants: as the numeric values of the bytes
 and as the character assigned to the values. Values and characters can be
 shown either in two columns (the traditional display in hex editors) or in
 rows with the value on top of the character. Editing can be done both for
 the values and for the characters.
 .
 Besides the usual editing capabilities Okteta also brings a small set of
 tools, like a table listing decodings into common simple data types, a table
 listing all possible bytes with their character and value equivalents, an
 info view with a statistic, a checksum calculator, a filter tool and a string
 extraction tool.

Package: okteta-dev
Description-md5: 651ac4c2f15e43e2045ca5dbcefb6194
Description-en: Development files for Okteta
 Okteta is a simple editor for the raw data of files. This type of program is
 also called hexadecimal editor or binary editor.
 .
 This package contains the development files for Okteta.

Package: okular
Description-md5: 75272e4095f34cff684d0901fe0a40b6
Description-en: universal document viewer
 Okular is a universal document viewer with support for advanced document
 features, such as annotations, forms, and embedded files.
 .
 This package provides the document viewer application, plus plugins for a wide
 variety of document formats such as:
  * PostScript (PS)
  * Portable Document Format (PDF)
  * OpenDocument Text (ODT)
  * TeX Device independent file format (DVI)
  * XML Paper Specification (XPS)
  * G3 fax
  * various electronic book formats: ComicBook, FictionBook, and Plucker
 .
 Additional document formats are in the okular-extra-backends package.
 .
 This package is part of the KDE graphics module.

Package: okular-backend-odp
Description-md5: b35a667ad1ed048d126b2bff8bf907af
Description-en: Okular backend for ODP documents
 This package provides a backend for Okular to view OpenDocument Presentation
 (ODP) documents, but also PowerPoint and PPTX documents.
 .
 This package is part of the Calligra Suite.

Package: okular-backend-odt
Description-md5: 4cdcf0582561c0f594bb6ce736fd3e58
Description-en: Okular backend for ODT documents
 This package provides a backend for Okular to view OpenDocument Text
 (ODT) documents, but also DOC, DOCX, RTF and WPD documents.
 .
 This package is part of the Calligra Suite.

Package: okular-dev
Description-md5: d9fdff4db8a819b1e47db69dd4a8064e
Description-en: development files for the Okular libraries
 This package contains development files for building Okular document format
 backends.
 .
 This package is part of the KDE graphics module.

Package: okular-extra-backends
Description-md5: 0ad1c37046100e34320dbf043899e1cf
Description-en: additional document format support for Okular
 These plugins allow Okular to view additional document formats:
  * DeJaVu Format
  * TIFF Image Format
  * Microsoft CHM Format
  * EPUB
  * Markdown
 .
 This package is part of the KDE graphics module.

Package: okular-mobile
Description-md5: 916313dc3668b67e5ff1eaf62535f78b
Description-en: mobile support for Okular
 These plugins allow Okular to view additional document formats for mobile:
  * Portable Document Format (PDF)
  * PostScript
  * Device Independent Format (DVI)
  * DeJaVu Format
  * Comic Books
  * Images (JPEG, PNG, GIF, and many more)
  * TIFF Image Format
  * FictionBook Format
  * Plucker Format
  * OpenDocument Text Format
  * Microsofts CHM Format
  * Microsofts XML Document Format
 .
 This package is part of the KDE graphics module.

Package: olive-editor
Description-md5: cd289eb379563fe5a0bc0cbdfa74444e
Description-en: Professional open-source NLE video editor
 This is a free non-linear video editor. It provides sensible cutting tools,
 and basic FX animation. There are solic color and title generators, for cutting
 it has tools like Razor, Ripple, Slide, and Slip.

Package: olpc-kbdshim
Description-md5: 9e201b7653bd989a0098116d30a0da75
Description-en: OLPC XO keyboard support daemon
 The olpc-kbdshim daemon is used to handle keyboard events on the somewhat
 unique OLPC XO hardware.  It does this by monitoring the keyboard and
 touchpad, enabling the XO "grab" keys and touchpad rotation (to match
 screen rotation), and reporting user (in)activity.  It can also bind the XO
 screen rotate, brightness, and volume keys to appropriate commands (which are
 provided).

Package: olpc-powerd
Description-md5: 1cb9b83905db91ca54403311d9a7869e
Description-en: OLPC XO power management support daemon
 The powerd daemon can function as an easily customizable replacement for ohmd
 (independent of X, dbus, and hald).  This package provides the powerd
 and olpc-switchd daemons, and related utilities.  The olpc-switchd daemon
 simply provides notifications about lid and switch events.  The powerd daemon
 controls display dimming, automatically putting an XO to sleep after a period
 of idleness, etc.

Package: olpc-xo1-hw
Description-md5: 85c75414e6d3a31d4b63195f64ed38a4
Description-en: Support for OLPC XO-1 hardware
 This package contains necessary scripts and other things to support OLPC's
 XO-1 hardware.  This hardware is a mix between a laptop and an embedded
 device, and it has some pretty quirky hardware.  Thus, it needs some
 special handling.

Package: omake
Description-md5: e58aca17ee05cbcfe560fcf1443da512
Description-en: build system with automated dependency analysis
 OMake is a build system designed to scale from small projects to very
 large projects spanning many directories. OMake uses a syntax similar
 to GNU make, with many additional features.  It contains
 specifications for easily building C, OCaml, and LaTeX programs, but
 can be used to build projects with other languages as well.
 .
 OMake includes an accurate, automated dependency analysis based on
 MD5 digests.  It also includes a stand-alone command-line
 interpreter, osh, that can be used as an interactive shell for
 debugging the build files.  OMake's syntax is a full object oriented
 language.  Features of the OMake language include integer and
 floating point arithmetic, scoped expressions, higher order
 functions, multiple inheritance, pattern matching, runtime
 exceptions, lexers, and LALR(1) parsers.  Users can easily produce
 architecture independent builds as omake provides a uniform interface
 to its standard library on Linux/Unix, Win32, and OS X.

Package: omake-doc
Description-md5: 3154c1b0b8dcfca4b2337648b0dc5b2f
Description-en: documentation for OMake
 OMake is a build system designed to scale from small projects to very
 large projects spanning many directories. OMake uses a syntax similar
 to GNU make, with many additional features.  It contains
 specifications for easily building C, OCaml, and LaTeX programs, but
 can be used to build projects with other languages as well.
 .
 This package contains documentation for OMake in html and pdf
 formats.

Package: omega-rpg
Description-md5: d851c86bce2a3c1248823b1f7a592512
Description-en: text-based roguelike game
 Omega is a complex rogue-style game of dungeon exploration. Unlike other such
 games, there are a number of ways to "win", depending on various actions
 taken during play. The ways you can get your name on the high score board
 include becoming the highest ranked head of a guild, sect, college, etc., as
 well as gaining the most points figured from possessions and experience. The
 game (via the oracle) may impose some structure on your exploration, but you
 need not follow all of the oracle's advice. There *is* a "total winner"
 status, by the way.

Package: omegat
Description-md5: 2bd50a76402079ac13a1c2ab4aef2931
Description-en: Computer Assisted Translation (CAT) tool
 OmegaT's main features are
  - multiple source texts handling, retaining complex folder
    hierarchies
  - fuzzy matching with other segments in the source file(s)
    or TMX files from previous projects
  - easy glossary terms management
  - flexible regex-based sentence segmenting (using an
    SRX-like method)
  - powerful regex-based searches along with the facility to
    apply a filter to display search results in the editor
  - ability to batch process documents from the command line
  - extended project statistics
  - easy-to-understand documentation and tutorial
  - plugin architecture with separate Lucene stemmer
    (recognition of inflected forms) and LanguageTool (style
     and grammar checker) plugins
  - integration with Hunspell for spelling checking
  - simple API to access source/target/selection textual data
 .
 OmegaT supports 24 formats, including documentation formats such as
 OpenDocument, Open XML (MS Office 2007), DocBook and (x)HTML, and
 also localization formats such as Java properties and PO files. An
 Okapi plugin can further extend the supported formats, for example to
 include TTX (TradosTag).

Package: omins
Description-md5: 11579166163b215f3e55ef38cbd2b0f2
Description-en: collection of LADSPA plugins aimed at modular synthesizers
 These plugins are provided:
  - Range translator
  - Formant filter
  - AD Envelope
  - DAHDSR Envelope
  - Hz to V/Oct converter
  - Comparison
  - Fast Crossfade
  - Masher, Multiplexer
  - Power, Probability Switch
  - Sample and Hold
  - Signal Absolute Value
  - Slew Limiter
  - Slide
  - Waveguide Mesh

Package: omnidb-common
Description-md5: 7e7a93032671da2f3229e8820c60865b
Description-en: Web tool for database management
 OmniDB is a web tool that simplifies database management focusing on
 interactivity, designed to be powerful and lightweight. Check-out some
 characteristics:
 .
  * Web Tool: Accessible from any platform, using a browser as a medium
  * Responsive Interface: All available functions in a single page
  * Unified Workspace: Different technologies managed in a single workspace
  * Simplified Editing: Easy to add and remove connections
  * Safety: Multi-user support with encrypted personal information
  * Interactive Tables: All functionalities use interactive tables, allowing
    copying and pasting in blocks
  * Smart SQL Editor: Contextual SQL code completion
  * Beautiful SQL Editor: You can choose between many available color themes
  * Tabbed SQL Editor: Easily add, rename or delete editor tabs
 .
 Supported DBMS: PostgreSQL, Oracle, MySQL, MariaDB
 .
 This package contains shared files.

Package: omnidb-server
Description-md5: ad2452a6726d9a6c07832081d3698404
Description-en: Web tool for database management
 OmniDB is a web tool that simplifies database management focusing on
 interactivity, designed to be powerful and lightweight. Check-out some
 characteristics:
 .
  * Web Tool: Accessible from any platform, using a browser as a medium
  * Responsive Interface: All available functions in a single page
  * Unified Workspace: Different technologies managed in a single workspace
  * Simplified Editing: Easy to add and remove connections
  * Safety: Multi-user support with encrypted personal information
  * Interactive Tables: All functionalities use interactive tables, allowing
    copying and pasting in blocks
  * Smart SQL Editor: Contextual SQL code completion
  * Beautiful SQL Editor: You can choose between many available color themes
  * Tabbed SQL Editor: Easily add, rename or delete editor tabs
 .
 Supported DBMS: PostgreSQL, Oracle, MySQL, MariaDB
 .
 This package runs OmniDB as system service on http://127.0.0.1:8000.

Package: omnievents
Description-md5: 7f7570b06a28ca093fff04ef592a7c19
Description-en: omniORB event service
 omniEvents enables CORBA applications to communicate through
 asynchronous broadcast channels rather than direct method calls. It
 is a small, efficient implementation of the Object Management Group's
 Event Service specification designed to work with omniORB.
 .
 This package contains the CORBA Event Service daemon as a standalone
 executable, and the associated tools.

Package: omnievents-doc
Description-md5: 303c8d38d5a344c1c5572c1a9e51e371
Description-en: omniORB event service documentation
 This package contains omniEvents manual and doxygen generated documentation.
 .
 For more information on omniEvents see the omnievents package.

Package: omniidl
Description-md5: a8e06996f13a2f88746e05d35b22e0b8
Description-en: omniORB IDL to C++ and Python compiler
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes the idl compiler.

Package: omniidl-python
Description-md5: bfc9ed45c4012ff22c620adfd54c7572
Description-en: omniidl backend to compile Python stubs from IDL files
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes the Python backend for omniidl to compile IDL to
 Python stubs.

Package: omniorb
Description-md5: a9e34d634d148a07a3e0a1f18e59e2aa
Description-en: IOR and naming service utilities for omniORB
 omniORB is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.

Package: omniorb-doc
Description-md5: 4db839d78e2e1ab7fd3585bf2ae2f7d7
Description-en: omniORB documentation
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes the documentation.

Package: omniorb-idl
Description-md5: 122028cc6ec172f0d83311cf803dd3fb
Description-en: omniORB CORBA services idl files
 omniORB is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes the idl files.

Package: omniorb-nameserver
Description-md5: bbb43248de37b94cbfb0aea4ee67baf8
Description-en: omniORB naming service
 omniORB is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This includes the CORBA nameserver.

Package: ompl-demos
Description-md5: 7f1cf404448da644db293aa2e4c8ad85
Description-en: Open Motion Planning Library (OMPL) demos
 The Open Motion Planning Library is a set of sampling-based motion
 planning algorithms. The content of the library is limited to these
 algorithms, which means there is no environment specification, no
 collision detection or visualization. The library is designed so it
 can be easily integrated into systems that provide the additional
 needed components.  This package contains a set of demos of the
 library.

Package: ompl-plannerarena
Description-md5: 5b5195bb6e6f83e236f7c1db478da3a8
Description-en: Open Motion Planning Library (OMPL) plannerarena
 The Open Motion Planning Library is a set of sampling-based motion
 planning algorithms. The content of the library is limited to these
 algorithms, which means there is no environment specification, no
 collision detection or visualization. The library is designed so it
 can be easily integrated into systems that provide the additional
 needed components.
 .
 This package contains an script that launches a web server locally
 to analyze SQLite3 benchmark databases. The benchmark databases are
 created with ompl_benchmark_statistics library.

Package: onak
Description-md5: b07ee0944ed64e74989d68180a00ef17
Description-en: OpenPGP Key Server
 onak is an OpenPGP compatible keyserver which supports multiple subkeys,
 photo ids and path finding between keys. It can provide an HKP
 compatible interface for use with the --keyserver option of gnupg as
 well as including a command line tool for querying the key database.

Package: onboard
Description-md5: 992df5dcbe49f556b8ff95ed642f02e7
Description-en: Simple On-screen Keyboard
 On-screen Keyboard with macros, easy layout creation and word suggestion.
 .
 This on-screen keyboard can be useful for tablet PC users, as well as
 for mobility impaired users.

Package: onboard-common
Description-md5: aebb7adfc5815f1f2d8b80bab8a83670
Description-en: Simple On-screen Keyboard (common files)
 On-screen Keyboard with macros, easy layout creation and word suggestion.
 .
 This package ships the architecture independent files of the onboard
 on-screen keyboard.

Package: onboard-data
Description-md5: 72141681144dc4aa8a33d010e1c05b1f
Description-en: Language model files for the word suggestion feature of Onboard
 On-screen Keyboard with macros, easy layout creation and word suggestion.
 .
 This package installs default language model files for various languages.
 The word suggestion feature of Onboard uses these files (and if available
 also custom user language model files) to compute the word completion
 suggestions and the word prediction suggestions.

Package: ondir
Description-md5: c44736d3d1db1af49364e423866e2ba3
Description-en: Automate tasks specific to certain directories in the shell
 ondir is a small program to automate tasks specific to certain
 directories. It works by executing scripts in directories when you
 enter and leave them. It may be used to set environment variables or
 change the umask depending on the current working directory.
 .
 This program is similar to the direnv package. In contrast to direnv,
 which expects the per-directory settings to be stored in the directory
 itself, ondir uses a central configuration file in the user's home
 directory, and can match directories based on patterns.
 .
 ondir supports bash, zsh and fish out of the box.

Package: onedrive
Description-md5: 07bdb72dcd0366485618913dd6ae927b
Description-en: folder synchronization with OneDrive
 OneDrive is the cloud storage system of Microsoft. This package provides
 the command line client specialising in synchronizing with OneDrive cloud
 storage.

Package: oneisenough
Description-md5: 5a0551e9ed45f1f0093bdad9112291f7
Description-en: 2D platform game about the epic struggle of balls
 One Is Enough, a 2D platform game in which a communist ball needs to
 convert capitalist balls into fellow communist balls. More and more
 capitalist balls will be issued by the bank, creating new enemies.

Package: oneko
Description-md5: a6d0fafd4e2bc7643a6173a29d66d82d
Description-en: cat chases the cursor (now a mouse) around the screen
 A cat (neko) chases the cursor (now a mouse) around the screen while
 you work.  Alternatively, a dog chases a bone. There are menu options
 for starting and stopping the program easily on cat and dog modes.
 Other variations and detailed configurations are available through
 command line options.

Package: oneliner-el
Description-md5: 30feef48bd46e88b77e5b8a28dba04e5
Description-en: extensions of Emacs standard shell-mode
 oneliner-el provides nice extensions for UNIX shell masters who
 love one-line scripts.  This package has the following functions:
 .
  - You can easily connect standard input or output of a command to
    an Emacs buffer.
  - You can sync the current directory of shell-mode and a shell
    process.
  - Oneliner-el can beep when command execution terminates.
  - Oneliner-el handles control codes.

Package: onesixtyone
Description-md5: c767318672a36862e82e845b297a657e
Description-en: fast and simple SNMP scanner
 onesixtyone is a simple SNMP scanner which sends SNMP requests for the
 sysDescr value asynchronously with user-adjustable sending times and
 then logs the responses which gives the description of the software
 running on the device.
 .
 Running onesixtyone on a class B network (switched 100Mbs with 1Gbs
 backbone) with -w 10 gives a performance of 3 seconds per class C, with
 no dropped packets, and all 65536 IP addresses were scanned in less than
 13 minutes.

Package: onetime
Description-md5: d8aba02f405ff285dc7df6bda307ae33
Description-en: command-line encryption using the "one-time pad" method
 OneTime is an encoder/decoder that uses the one-time pad algorithm.
 .
 OneTime is a simple one-time pad encryption program that takes care
 of some of the pad-management bureaucracy for you.  It avoids
 re-using pad data (except when decrypting the same encrypted message
 twice, of course) by maintaining records of pad usage.
 .
 If you keep your ~/.onetime configuration area under version control
 with Subversion or CVS, OneTime will automatically update it to get
 the latest pad usage records before using a pad, and will commit new
 records after using a pad.  Thus, by sharing a single configuration
 area via version control, you and your interlocutors can more easily
 avoid the sin of pad range reuse.
 .
 See http://www.red-bean.com/onetime for more information about OneTime,
 or run 'onetime --help' for detailed usage.  For more information about
 one-time pads in general, see http://en.wikipedia.org/wiki/One-time_pad.

Package: onionbalance
Description-md5: 94e94e84bcdb8b01750e341b248cc0b1
Description-en: Tor hidden service load balancer
 The onionbalance tool provides load-balancing and redundancy for Tor
 hidden services by distributing user requests to multiple backend
 Tor instances.

Package: onioncircuits
Description-md5: 4bc1d66d8630a2cc6b75f529c6af7dce
Description-en: GTK+ application to display Tor circuits and streams
 Onion Circuits is a GTK+ application to display Tor circuits and streams. It
 allows the user to inspect the circuits the locally running Tor daemon has
 built, along with some metadata for each node.

Package: only
Description-md5: 3497965590cdce5710eb176f8a8dfac3
Description-en: grep-like tool for filtering on words or lines
 The 'only' command-line tool lets you search based
 on word patterns or line patterns like never before! Not only can
 you search with 'only -l patt' but you can select the n-th match
 with '-l n/patt/' and the next 3 lines with '-l /patt/0:3'.

Package: onscripter
Description-md5: b988cf76ce9ad16e28c28ae7c68134cc
Description-en: Visual novel games engine compatible to NScripter
 ONScripter is a game engine compatible to NScripter, which is used to create
 and perform visual novel games.
 .
 This package provides both the standard version which is for Japanese and the
 1-byte version which is able to run English text games.

Package: ontospy
Description-md5: f0c6cc75e0db9a5897f1bbc5e77c17a1
Description-en: query, inspect and visualize RDF/OWL ontologies - CLI tool
 Ontospy is a lightweight Python library and command line tool
 for inspecting and visualizing vocabularies
 encoded using W3C Semantic Web standards,
 that is, RDF or any of its dialects (RDFS, OWL, SKOS).
 .
 Ontospy can be used to generate HTML documentation
 for an ontology pretty easily.
 .
 This package provides the Ontospy command-line tool.

Package: oomd
Description-md5: 377193180584539d8732e9d040a938b4
Description-en: userspace Out-Of-Memory (OOM) killer for Linux systems
 oomd leverages PSI and cgroupv2 to monitor a system holistically. oomd then
 takes corrective action in userspace before an OOM occurs in kernel space.
 Corrective action is configured via a flexible plugin system, in which custom
 code can be written. By default, this involves killing offending processes.
 This enables an unparalleled level of flexibility where each workload can have
 custom protection rules. Furthermore, time spent livedlocked in kernelspace is
 minimized.

Package: ooo-thumbnailer
Description-md5: a4b011e02bad67ce711dfcdd5da8a8d8
Description-en: thumbnailer for OpenOffice.org documents
 ooo-thumbnailer is a lightweight OpenOffice.org document thumbnailer that can
 be used by Nautilus to create thumbnails for your documents, spreadsheets,
 presentations and drawings.

Package: ooo2dbk
Description-md5: 2b04e0d5517d90d69e7487545f1994f7
Description-en: converts OpenOffice.org SXW documents to DocBook XML
 OOo2Dbk allows to convert documents from OpenOffice-Writer SXW format to
 a simplified DocBook XML format.
 .
 OOo2Dbk is made of an XSLT stylesheet and a set of Python scripts that
 permit direct processing of SXW documents and extraction of the pictures
 included in the document.
 .
 It can also be used autonomously in order to convert OpenOffice-Writer
 XML documents produced with a FlatXML filter.
 .
 The original version of ooo2dbk is ooo2sdbk, written
 by Eric Bellot. This version can still be found at
 http://www.chez.com/ebellot/ooo2sdbk/
 .
 OOo2Dbk can at the moment only process files generated by the
 OpenOffice.org 1.1.x series.
 .
 Future versions of OOo2Dbk will process files generated by the
 OpenOffice.org 2.x series.

Package: opa-address-resolution
Description-md5: a2a53bb206a1d5d47550fc3a4ae9098b
Description-en: Omni-Path fabric address resolution manager
 This package contains the ibacm distributed SA provider (dsap) for name and
 address resolution on an Intel(R)  Omni-Path Architecture platform.
 It also contains tools to access the shared memory database exported by dsap.

Package: opa-basic-tools
Description-md5: 6eda396c67d388dc5674335ed3e38ba4
Description-en: Tools to manage an Omni-Path Architecture fabric
 This package contains basic tools for the management of an Intel(R)
 Omni-Path Architecture fabric.

Package: opa-fastfabric
Description-md5: 7b69232f38968cc95ebfb6ad286da965
Description-en: Management node tools for an Omni-Path Architecture fabric
 This package contains tools for the management of an Intel(R)
 Omni-Path Architecture fabric on a management node.

Package: opa-fm
Description-md5: 5712656f3cd8468a34abe6a87c0234c5
Description-en: Intel Omni-Path Fabric Management Software
 The Intel Omni-Path Fabric Management Software contains Intel Omni-Path
 fabric management applications. This includes: the Subnet Manager,
 Baseboard Manager, Performance Manager, Fabric Executive, and some fabric
 management tools.

Package: opal-utils
Description-md5: 823cb617c843f8ab7056ef7c0a68c0be
Description-en: OPAL firmware utilities
 This package contains utility programs.
 .
 The 'gard' utility, can read, parse and clear hardware gard partitions on
 OpenPower platforms.

Package: opalmod
Description-md5: 9a7ac46885be2dd0b80b1c42ed1ef6ae
Description-en: A set of Perl modules for various tasks
 OpaL Perl Modules is a set of perl modules used for various tasks:
  * Exception handle emulation.
  * Simplified file and command read functions.
  * Simplified debugging support.

Package: opam
Description-md5: b7e2edf25a84d3f9167f3c7e2c06f192
Description-en: package manager for OCaml
 OPAM stands for OCaml PAckage Manager. It aims to suit to a vast number
 of users and use cases, and has unique features:
  * Powerful handling of dependencies: versions constraints, optional
    dependencies, conflicts, etc.
  * Multiple repositories backends: HTTP, rsync, git
  * Ease to create packages and repositories
  * Ability to switch between different compiler versions
 .
 Typically, OPAM will probably make your life easier if you recognize
 yourself in at least one of these profiles:
  * You use multiple versions of the OCaml compiler, or you hack the compiler
    yourself and needs to frequently switch between compiler versions.
  * You use or develop software that needs a specific and/or modified version
    of the OCaml compiler to be installed.
  * You use or develop software that depends on a specific version of an OCaml
    library, or you just want to install a specific version of a package, not
    just the latest one.
  * You want to create your own packages yourself, put them on your own
    repository, with minimal effort.

Package: opam-doc
Description-md5: c0cff1c2a1a1c600eda6112ca7c376b5
Description-en: package manager for OCaml (documentation)
 OPAM stands for OCaml PAckage Manager. It aims to suit to a vast number
 of users and use cases, and has unique features:
  * Powerful handling of dependencies: versions constraints, optional
    dependencies, conflicts, etc.
  * Multiple repositories backends: HTTP, rsync, git
  * Ease to create packages and repositories
  * Ability to switch between different compiler versions
 .
 Typically, OPAM will probably make your life easier if you recognize
 yourself in at least one of these profiles:
  * You use multiple versions of the OCaml compiler, or you hack the compiler
    yourself and needs to frequently switch between compiler versions.
  * You use or develop software that needs a specific and/or modified version
    of the OCaml compiler to be installed.
  * You use or develop software that depends on a specific version of an OCaml
    library, or you just want to install a specific version of a package, not
    just the latest one.
  * You want to create your own packages yourself, put them on your own
    repository, with minimal effort.
 .
 This package contains manpages and general documentation about OPAM.

Package: opam-installer
Description-md5: b6569f2595a8ac200a695f8aaa08a8fc
Description-en: Utility handling (un)installation of Opam .install files
 opam-installer is a small utility, part of Opam, that can interpret
 Opam's .install files and take the appropriate actions.
 .
 OPAM stands for OCaml PAckage Manager. It aims to suit to a vast number
 of users and use cases, and has unique features:
  * Powerful handling of dependencies: versions constraints, optional
    dependencies, conflicts, etc.
  * Multiple repositories backends: HTTP, rsync, git
  * Ease to create packages and repositories
  * Ability to switch between different compiler versions

Package: opari
Description-md5: 69096c9c1641fd3c0f61bb0e5b7ea2b6
Description-en: OpenMP Pragma And Region Instrumentor - translation tool
 OPARI is a source-to-source translation tool which automatically adds all
 necessary calls to the pomp runtime measurement library which allows one to
 collect runtime performance data of Fortran, C, or C++ OpenMP applications.
 .
 This package contains the translation tool.

Package: opari2
Description-md5: 3d575c8d0872d3c9b6e81ad1313fbec9
Description-en: OpenMP Pragma And Region Instrumentor - translation tool
 OPARI2 is a source-to-source translation tool which automatically adds all
 necessary calls to the pomp runtime measurement library which allows one to
 collect runtime performance data of Fortran, C, or C++ OpenMP applications.
 .
 This is the successor of opari (1), with a completely reworked API
 .
 This package contains the translation tool.

Package: open-adventure
Description-md5: d5cb3758770f334ab3fb410c7c69d4a9
Description-en: colossal cave adventure, the 1995 430-point version
 This is the last descendent of the original 1976 Colossal Cave
 Adventure worked on by the original authors -- Crowther & Woods.
 It has sometimes been known as Adventure 2.5. The original PDP-10
 name 'advent' is used for the built program to avoid collision with
 the BSD Games version.

Package: open-cobol
Description-md5: 8fc56713aae2c720fabf5b3a61bb2698
Description-en: transitional dummy package for gnucobol
 This transitional package allows one to migrate from open-cobol to gnucobol
 .
 It can be safely removed after the upgrade.

Package: open-font-design-toolkit
Description-md5: 1f6825128b350aadf9898b524dba7217
Description-en: metapackage for open font design
 This package provides all tools needed to design, adapt, improve and
 hack on open fonts, including recommendations for the ones needed to
 do font design in a collaborative manner using VCS (version control
 systems).
 .
 A growing number of high-quality fonts are released under the Open Font
 License (or similar recognized font-specific licenses) to allow distributing,
 modifying, improving and branching by others including adding new glyphs and
 smart behaviours, extending the Unicode coverage, improving hinting and
 allowing new languages to be supported on the free desktop and, of course,
 fixing bugs.
 .
 The various OFL'ed fonts are listed at http://scripts.sil.org/OFL_fonts and at
 http://unifont.org/fontguide
 .
 Further resources, tools and documentation about font design, writing systems
 implementations and packaging can be found on these website from the open font
 community:
  - the Unifont.org fontguide: http://unifont.org
  - the Debian fonts task force website: https://pkg-fonts.alioth.debian.org
  - the Ubuntu fonts team website: https://launchpad.net/~fonts
  - the Fedora font SIG : https://fedoraproject.org/wiki/Category:Fonts_SIG
  - SIL's Non-Roman Script Initiative website: http://scripts.sil.org
  - the Open Font Library: https://fontlibrary.org
  - the ScriptSource community website: http://scriptsource.org

Package: open-infrastructure-apache-icons
Description-md5: f871dc024cb71685fbbedf8d901c64e9
Description-en: Additional utilities for Apache (transitional package)
 Package to ease upgrading from older open-infrastructure-apache-icons package
 to the new open-infrastructure-apache-tools package.
 .
 This package can be purged at anytime once the open-infrastructure-apache-tools
 package has been installed.

Package: open-infrastructure-apache-tools
Description-md5: 8d419b0f9241e04868d50a56abf281c0
Description-en: Additional utilities for Apache
 The Apache HTTP Server is the world's most used web server software.
 .
 apache-icons provides alternative icons for Apache autoindex:
 .
   * tango-png: Tango Icon Theme based, PNG format
   * tango-svg: Tango Icon Theme based, SVG format
   * awesome-png: Font Awesome based, PNG format
   * awesome-svg: Font Awesome based, SVG format

Package: open-infrastructure-ceph-tools
Description-md5: d0ed458a56e97c3286b3d55dbce484ca
Description-en: Additional utilities to manage Ceph
 ceph-tools contains the following tools:
 .
   * ceph-log: store Ceph cluster log as a logfile
   * ceph-info: show Ceph cluster information as a HTML page
   * cephfs-snap: create CephFS snapshots periodically
   * cephfs-remove-osd: remove a Ceph OSD instance completely

Package: open-infrastructure-container-tools
Description-md5: e0e42b20fbf48e5715bc5300a499d38d
Description-en: manage systemd-nspawn containers
 A Linux container is an operating-system-level virtualization environment for
 running multiple isolated Linux systems (containers) on a single Linux control
 host.
 .
 container-tools provides the system integration for managing containers using
 systemd-nspawn.

Package: open-infrastructure-storage-tools
Description-md5: dfa059af66db7cacb751b7f834684270
Description-en: Additional utilities to manage Ceph (transitional package)
 Package to ease upgrading from older open-infrastructure-storage-tools package
 to the new open-infrastructure-ceph-tools package.
 .
 This package can be purged at anytime once the open-infrastructure-ceph-tools
 package has been installed.

Package: open-infrastructure-system-boot
Description-md5: aa4fd71f06ecf5fe8ab1b28bf94c1d3b
Description-en: Boot Debian-based system images
 system-boot provides the system integration for booting from a read-only root
 filesystem such as a live system.

Package: open-infrastructure-system-build
Description-md5: 63e5dc5c97509adbd1e116f16223bc29
Description-en: Build Debian-based system images
 system-build is a tool to build system images such as a live system from a
 configuration directory.

Package: open-infrastructure-system-config
Description-md5: b0e6fc1d1ad5a20734c39375f7fd00fa
Description-en: Configure Debian-based system images
 system-config provides the system integration for configuring a read-only root
 filesystem such as a live system.

Package: open-infrastructure-system-images
Description-md5: 56db09f3ea145ee6f3f7612483a50ba8
Description-en: Debian-based system images
 system-images contains the configuration directories for system-build to
 build live system images.

Package: open-invaders
Description-md5: 9058f1215626f6e550f52030d2640445
Description-en: Space Invaders clone
 Space Invaders is one of the earliest arcade shoot 'em up games. The player
 can move horizontally across the bottom of the screen and must shoot at an
 incoming wave of aliens before they reach the bottom.
 .
 Open Invaders is a clone of the legendary 70's classic, but with
 completely new graphics, several bonus levels, etc.

Package: open-invaders-data
Description-md5: 6b26299150aabf39d4761c5755bdbcc3
Description-en: Space Invaders clone (data package)
 Space Invaders is one of the earliest arcade shoot 'em up games. The player
 can move horizontally across the bottom of the screen and must shoot at an
 incoming wave of aliens before they reach the bottom.
 .
 Open Invaders is a clone of the legendary 70's classic, but with
 completely new graphics, several bonus levels, etc.
 .
 This package contains the data used by the game.

Package: open-isns-discoveryd
Description-md5: a3bd1b0f0465f54bd6b984e1e651f0fd
Description-en: Internet Storage Name Service - iSNS discovery daemon
 Open-iSNS is an implementation of the Internet Storage Name Service
 (iSNS), according to RFC 4171, which facilitates automated discovery,
 management, and configuration of iSCSI and Fibre Channel devices on a
 TCP/IP network.
 .
 This package contains the isnsdd discovery daemon, handling all the
 server communications required to register a node, its portals, and to
 maintain the registration. In addition, it uses the iSNS State Change
 Notification framework to learn of new targets or initiators coming
 online and informs local services (such as the iSCSI initiator daemon)
 about these changes.

Package: open-isns-server
Description-md5: b2e77e8b80254254682b2b4f595a0168
Description-en: Internet Storage Name Service - iSNS server
 Open-iSNS is an implementation of the Internet Storage Name Service
 (iSNS), according to RFC 4171, which facilitates automated discovery,
 management, and configuration of iSCSI and Fibre Channel devices on a
 TCP/IP network.
 .
 This package contains the isnsd server, supporting persistent storage
 registrations.

Package: open-isns-utils
Description-md5: 9d5cdca02aa00857b9327d6f80362183
Description-en: Internet Storage Name Service - client utilities
 Open-iSNS is an implementation of the Internet Storage Name Service
 (iSNS), according to RFC 4171, which facilitates automated discovery,
 management, and configuration of iSCSI and Fibre Channel devices on a
 TCP/IP network.
 .
 This package contains the isnsadm client utility for managing
 Open-iSNS servers.

Package: open-jtalk
Description-md5: 212dee292ad124dfe9bf71dd09848cc1
Description-en: Japanese text-to-speech system
 Open JTalk is a Japanese text-to-speech system based on HTS engine. This
 program uses Mecab and its dictionary which is optimized for Open JTalk
 to analyse texts and gets pronunciation.

Package: open-jtalk-mecab-naist-jdic
Description-md5: 8b939fafc2b32532882d3f26bde51471
Description-en: NAIST Japanese Dictionary for Open JTalk
 This package provides NAIST Japanese Dictionary converted for Mecab used
 by Open JTalk.
 .
 This is based on ipadic, however it is released under BSD style license
 now by NAIST (Nara Institute of Science and Technology, Japan).

Package: openafs-client
Description-md5: 2d948f9c8a661ee8bc5d31e3f8cd9962
Description-en: AFS distributed filesystem client support
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides basic client support to mount and manipulate
 AFS.  If your site uses Kerberos v5 authentication for AFS, you will also
 want to install openafs-krb5.

Package: openafs-dbserver
Description-md5: 900518e8d991aca7a295eb31861c8d53
Description-en: AFS distributed filesystem database server
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides AFS database server binaries.  It should be
 installed on the servers that will act as volume location and
 protection servers.

Package: openafs-doc
Description-md5: dfed3aa1cb0c1548af7ed6d6191c122f
Description-en: AFS distributed filesystem documentation
 AFS is a distributed filesystem allowing cross-platform sharing of files
 among multiple computers.  Facilities are provided for access control,
 authentication, backup and administrative management.
 .
 This package contains the OpenAFS user's and reference manuals, some
 protocol documentation, and other OpenAFS documentation.

Package: openafs-fileserver
Description-md5: 6f505856f48ff78dd8989e4474f85129
Description-en: AFS distributed filesystem file server
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides the AFS fileserver binaries.  It should be
 installed on any machine that will export files into AFS.

Package: openafs-fuse
Description-md5: 5b93a5360d9c82b3edf7a7962b3ba594
Description-en: AFS distributed file system experimental FUSE client
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides an experimental FUSE implementation of the AFS
 client as afsd.fuse.  This currently only provides read-only access to
 AFS, doesn't work with all of the normal AFS client programs, and must be
 started manually, but may be of interest in situations where read-only
 access is sufficient and the kernel module cannot be built.

Package: openafs-krb5
Description-md5: f601778f8cbfe2c4f9aa8e886d6408dc
Description-en: AFS distributed filesystem Kerberos 5 integration
 AFS is a distributed filesystem allowing cross-platform sharing of files
 among multiple computers.  Facilities are provided for access control,
 authentication, backup and administrative management.
 .
 This package provides aklog, a utility for authenticating to AFS using
 Kerberos 5.  It also provides asetkey, a utility to set AFS server keys
 from a Kerberos keytab, and ka-forwarder, a utility to forward kaserver
 requests to a KDC using fakeka.

Package: openafs-modules-dkms
Description-md5: 959c8c641c8855622b3e660d47f8f210
Description-en: AFS distributed filesystem kernel module DKMS source
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package contains the source for the AFS kernel module, packaged with
 appropriate configuration for DKMS to build new modules dynamically.

Package: openafs-modules-source
Description-md5: 01e11a95da0fec3f0bbe15d0a3897346
Description-en: AFS distributed filesystem kernel module source
 AFS is a distributed filesystem allowing cross-platform sharing of
 files among multiple computers.  Facilities are provided for access
 control, authentication, backup and administrative management.
 .
 This package provides the source to the AFS kernel module in a form
 suitable for use by module-assistant or kernel-package.

Package: openal-info
Description-md5: a8f56d7c85cd29cecff54c795e5c93c3
Description-en: Informational utility for the OpenAL audio API
 This utility is useful in diagnosing OpenAL related issues and for
 displaying helpful informationa about what is supported on your system
 with OpenAL.
 .
 This package installs the OpenAL Soft openal-info utility.

Package: openarena
Description-md5: 5c88c938032f434f395e780475e66fe0
Description-en: fast-paced 3D first-person shooter
 OpenArena is an open-source content package for ioQuake3 licensed under the
 GPL, effectively creating a free stand-alone game. Though OpenArena is a free
 replacement for id Software Inc.'s Quake 3 Arena, it is NOT compatible with
 the proprietary game!
 .
 OpenArena might be considered unsuitable for children.
 .
 This package installs the OpenArena client.

Package: openarena-081-maps
Description-md5: 1c2667c66c3fbcab6119d9f2f5d5e51f
Description-en: OpenArena game data - maps from 0.8.1
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 In Debian, OpenArena game data are divided into several packages.
 This package contains maps from OpenArena 0.8.1.

Package: openarena-081-misc
Description-md5: 6e259eaefea8014d3baf8931f5835957
Description-en: OpenArena game data - miscellaneous files from 0.8.1
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 In Debian, OpenArena game data are divided into several packages.
 This package contains sounds, bot data, demos, and team-game powerups from
 OpenArena 0.8.1.

Package: openarena-081-players
Description-md5: 2f3f9fae6cf703c715434bedf71ea6ec
Description-en: OpenArena game data - player graphics from 0.8.1
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 In Debian, OpenArena game data are divided into several packages.
 This package contains player graphics from OpenArena 0.8.1.

Package: openarena-081-players-mature
Description-md5: 7e94687dadc443390b96eb7b64240e06
Description-en: OpenArena game data - "mature" player graphics from 0.8.1
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 In Debian, OpenArena game data are divided into several packages, to
 fit better onto CD releases.
 .
 This package contains player graphics from OpenArena 0.8.1 which contain
 partial nudity (the Angelyss, Arachna, Ayumi and Sorceress models).
 In OpenArena 0.8.1 these player models were optional, but the structure
 of the 0.8.5 patch means they cannot be removed from 0.8.5 or later
 versions.

Package: openarena-081-textures
Description-md5: c0db0e821efc1070211f942fceaa9288
Description-en: OpenArena game data - textures from 0.8.1
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 In Debian, OpenArena game data are divided into several packages.
 This package contains textures from OpenArena 0.8.1.

Package: openarena-085-data
Description-md5: b900232bdf8c2a3d887ff8246713ff5a
Description-en: OpenArena game data - 0.8.5 update
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 In Debian, OpenArena game data are divided into several packages.
 This package contains the OpenArena 0.8.5 update.

Package: openarena-088-data
Description-md5: b0b25c5fd2e52ea60b787c39eb3306cc
Description-en: OpenArena game data
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 In Debian, OpenArena game data are divided into several packages.
 This package contains the non-code parts of the OpenArena 0.8.8 update.
 It is ignored by older OpenArena packages.

Package: openarena-data
Description-md5: 3d3f4a2095b70047ebd6b197e5149a9a
Description-en: OpenArena game data
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 In Debian, OpenArena game data are divided into several packages.
 This package contains the basic game content from OpenArena 0.8.1,
 and depends on the rest of the game data from OpenArena 0.8.1 and 0.8.5.

Package: openarena-oacmp1
Description-md5: d3091e58d0453a6780ae1153e94333f7
Description-en: OpenArena Community Map Pack 1
 OpenArena is an open-source content package for the Quake III
 engine, effectively creating a free stand-alone game similar to
 Quake III Arena.
 .
 This package contains additional maps (levels) released in 2014
 by the OpenArena community.

Package: openarena-server
Description-md5: b5aee37b93113cab3fd625b8fc9b2625
Description-en: server and game logic for the game OpenArena
 OpenArena is an open-source content package for ioQuake3 licensed under the
 GPL, effectively creating a free stand-alone game. Though OpenArena is a free
 replacement for id Software Inc.'s Quake 3 Arena, it is NOT compatible with
 the proprietary game!
 .
 This package installs the OpenArena dedicated server and its init script.

Package: openbabel
Description-md5: 9d391ce1a3230895a2568f94e8575839
Description-en: Chemical toolbox utilities (cli)
 Open Babel is a chemical toolbox designed to speak the many languages of
 chemical data. It allows one to search, convert, analyze, or store data from
 molecular modeling, chemistry, solid-state materials, biochemistry, or related
 areas.  Features include:
 .
  * Hydrogen addition and deletion
  * Support for Molecular Mechanics
  * Support for SMARTS molecular matching syntax
  * Automatic feature perception (rings, bonds, hybridization, aromaticity)
  * Flexible atom typer and perception of multiple bonds from atomic coordinates
  * Gasteiger-Marsili partial charge calculation
 .
 File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL
 Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC.
 .
 This package includes the following utilities:
  * obabel: Convert between various chemical file formats
  * obenergy: Calculate the energy for a molecule
  * obminimize: Optimize the geometry, minimize the energy for a molecule
  * obgrep: Molecular search program using SMARTS pattern
  * obgen: Generate 3D coordinates for a molecule
  * obprop: Print standard molecular properties
  * obfit: Superimpose two molecules based on a pattern
  * obrotamer: Generate conformer/rotamer coordinates
  * obconformer: Generate low-energy conformers
  * obchiral: Print molecular chirality information
  * obrotate: Rotate dihedral angle of molecules in batch mode
  * obprobe: Create electrostatic probe grid

Package: openbabel-gui
Description-md5: 5dbd1d7b9cdd1829cf84bdb7c03f9c3e
Description-en: Chemical toolbox utilities (graphical user interface)
 Open Babel is a chemical toolbox designed to speak the many languages of
 chemical data. It allows one to search, convert, analyze, or store data from
 molecular modeling, chemistry, solid-state materials, biochemistry, or related
 areas.  Features include:
 .
  * Hydrogen addition and deletion
  * Support for Molecular Mechanics
  * Support for SMARTS molecular matching syntax
  * Automatic feature perception (rings, bonds, hybridization, aromaticity)
  * Flexible atom typer and perception of multiple bonds from atomic coordinates
  * Gasteiger-Marsili partial charge calculation
 .
 File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL
 Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC.
 .
 This package contains the openbabel GUI based on wxWidgets.

Package: openbios-ppc
Description-md5: 37889ec88f7e621b697a1e121e78d2e6
Description-en: PowerPC Open Firmware
 OpenBIOS is a free, portable implementation of IEEE 1275-1994
 (Open Firmware).
 .
 This package contains the PowerPC 32-bit versions of OpenBIOS.

Package: openbios-sparc
Description-md5: 27b7ae8ccf7121f95fdc402bf8d140a8
Description-en: SPARC Open Firmware
 OpenBIOS is a free, portable implementation of IEEE 1275-1994
 (Open Firmware).
 .
 This package contains the SPARC 32-bit and SPARC 64-bit versions
 of OpenBIOS.

Package: openbox
Description-md5: a149da1397ca2f3f11e63c95dce5ef4d
Description-en: standards-compliant, fast, light-weight and extensible window manager
 Openbox works with your applications, and makes your desktop easier to manage.
 This is because the approach to its development was the opposite of what seems
 to be the general case for window managers. Openbox was written first to
 comply with standards and to work properly. Only when that was in place did
 the team turn to the visual interface.
 .
 Openbox is fully functional as a stand-alone working environment, or can be
 used as a drop-in replacement for the default window manager in the GNOME or
 KDE desktop environments.
 .
 Openbox 3 is a completely new breed of window manager. It is not based upon
 any existing code base, although the visual appearance has been based upon
 that of Blackbox. Openbox 2 was based on the Blackbox 0.65.0 codebase.
 .
 Some of the things to look for in Openbox are:
 .
  * ICCCM and EWMH compliance!
  * Very fast
  * Chainable key bindings
  * Customizable mouse actions
  * Window resistance
  * Multi-head Xinerama support!
  * Pipe menus

Package: openbox-dev
Description-md5: c4c1c6dc43a98aaed1a0c4558280f752
Description-en: development files for the openbox window manager
 Openbox works with your applications, and makes your desktop easier to manage.
 This is because the approach to its development was the opposite of what seems
 to be the general case for window managers. Openbox was written first to
 comply with standards and to work properly. Only when that was in place did
 the team turn to the visual interface.
 .
 Openbox is fully functional as a stand-alone working environment, or can be
 used as a drop-in replacement for the default window manager in the GNOME or
 KDE desktop environments.
 .
 Openbox 3 is a completely new breed of window manager. It is not based upon
 any existing code base, although the visual appearance has been based upon
 that of Blackbox. Openbox 2 was based on the Blackbox 0.65.0 codebase.
 .
 This package contains the development headers for building openbox stuff.

Package: openbox-gnome-session
Description-md5: 2a9bbcdb426b9c980a758b893e537118
Description-en: command line utility to run Openbox as GNOME session
 openbox-gnome-session can provide GNOME session with openbox as
 a default window manager. It can replace the original window manager
 in GNOME Shell and GNOME Fallback sessions.

Package: openbox-kde-session
Description-md5: c225f2cf8568cc9031fd39ad3e4174a9
Description-en: command line utility to run Openbox as KDE SC session
 openbox-kde-session can provide KDE SC session with openbox as
 a default window manager. Can replace the original window manager
 called KWin.

Package: openbox-lxde-session
Description-md5: 5475097460208980d4b5d0d46ed3a34c
Description-en: LXDE session manager and configuration files
 LXDE (the Lightweight X11 Desktop Environment) is a project aimed
 to provide a desktop environment which is lightweight and fast.
 .
 It's not designed to be powerful and bloated, but to be usable and slim
 enough, and keep the resource usage low. Different from other desktop
 environments, LXDE doesn't tightly integrate every component. Instead,
 LXDE tries to make all components independent, and each of them can be
 used independently with fewer dependencies.
 .
 Features:
 .
  * Lightweight, runs with reasonable memory usage
  * Fast, runs well even on older machines produced in 1999
  * Good-looking, GTK+ 2 internationalized user interface
  * Easy-to-use, the user interface is simple, but usable enough
  * Desktop independent (surprise! Every component can be used without LXDE)
  * Standard compliant, follows the specs on freedesktop.org
 .
 This package depends on minimal set of components (lxsession, openbox,
 and lxde-screenlock.desktop file), and contains all the session-specific
 configuration files required to start a LXDE desktop session from a
 display manager such as GDM.

Package: openbox-menu
Description-md5: 4a0202e8c139a63483f53621a1723f1f
Description-en: openbox pipe-menu to display entries in *.desktop files
 openbox-menu is a pipemenu for the openbox window manager. It provides
 a dynamic menu listing of installed applications. Most of the work is
 done by the LXDE library menu-cache.

Package: openbsc-dev
Description-md5: 348c65312b3b2c95b9fd641b24f7fd19
Description-en: Header file needed by tools tightly integrated
 Some other programs depend on gsm_data_shared.h and gsm_data_shared.c
 from OpenBSC. This package installs these files to your file system so
 that the other packages can build-depend on this package.
 .
 The header file is installed to /usr/include/osmocom/openbsc, while
 the .c file is installed to /usr/src/osmocom/openbsc.

Package: openbsd-inetd
Description-md5: b20479fa95f74ec492f9a2481993d90f
Description-en: OpenBSD Internet Superserver
 The inetd server is a network daemon program that specializes in managing
 incoming network connections. Its configuration file tells it what
 program needs to be run when an incoming connection is received. Any
 service port may be configured for either of the tcp or udp protocols.
 .
 This is a port of the OpenBSD daemon with some debian-specific features.
 This package supports IPv6, built-in libwrap access control, binding to
 specific addresses, UNIX domain sockets and socket buffers tuning.

Package: opencaster
Description-md5: 358cc677b969f28911a8484191752a09
Description-en: MPEG2 transport stream data generator and packet manipulator
 OpenCaster is a collection of tools for Linux system to play out and
 multiplex MPEG2 transport stream format.
 .
 Use-cases:
  - MHP, MHEG5, HbbTv and others interactive tv standards object carousel
    broadcast
  - Playout of locally stored, offline encoded audio and video for non-live
    tv and radio stations
  - Multiplexing of input multicasted UDP transport stream to output
    multicasted UDP transport stream
  - VOD system based on mpeg2 transport stream over IP or over DVB for
    walled garden network like hotels with coax or ethernet.
  - DVB-SSU update
  - Data casting
  - IP encapsualtor
  - PSI/SI generator
  - EPG generator
 .
 OpenCaster output has been successfully integrated with a long list of
 dvb products, among them there are products by Cisco/Scientific Atlanta,
 Ericsson/Tandberg, Dektec, Eurotek, Harmonic/Scopus, MainConcept, Mitan,
 Screen Service, Adtec, Wellav, Sr-Systems, Deltacast, ...
 Integration is tested and available on the Avalpa Broadcast Server
 .
 OpenCaster has been tested with Rohde & Schwarz DVM100L, Rohde & Schwarz
 DVM 400, Dektec StreamXpert and a countless number of set top boxes,
 digital receivers and flat panels
 .
  - PSI generation (PAT, PMT, NIT, SDT, TDT, AIT, TOT, ...)
  - EPG/EIT generation
  - Object and data carousel generation and receivement (MHP, MHEG5, HbbTv,
    DVB-SSU, DSMCC, ...)
  - third party software AC-3, MPEG2 audio, MPEG2 video and H264 video
    encoders support
  - Audio Description generation support
  - IP encapsulation (MPE)
  - CBR transport stream muxing
  - PCR stamping
  - Null packet replacement
  - PID filtering
  - PID remapping
  - Null packet insertion
  - Video buffer verifier
  - PCR timing verifier
  - PES headers analyzer
  - MPEG2 video and MPEG2/AC-3 audio ES header analyzer
  - M2TS file generation from TS file

Package: opencc
Description-md5: e1eea6dcca0142c8716dad48b07bb04c
Description-en: simplified-traditional Chinese conversion tool
 opencc is a library for converting character between traditional Chinese and
 simplified Chinese.
 .
 This package includes the conversion tool.

Package: openchrome-tool
Description-md5: 6dd578cc7d02212d87bf660700b12de8
Description-en: Tool for debugging the OpenChrome display driver
 via_regs_dump is a registers dumper tool for debugging the OpenChrome driver.
 This tool can read and write registers and display some others information
 useful for debugging the driver.

Package: opencity
Description-md5: 086e2a882c755dca20287a78dfaea7e9
Description-en: 3D city simulator game
 OpenCity is 3D city construction simulator. You can build some zones of
 housing, trading and industry, electric plant and roads. Besides you can also
 raise or lower the terrain.
 OpenCity is similar in spirit to other city construction games, heading
 towards a classic SimCity feeling, although with some additional features.
 It is not intended to be a clone of any famous city simulator.

Package: opencity-data
Description-md5: b62db9f1f20228874e43fdc3b5d2684e
Description-en: data for opencity, a 3D city simulator game
 OpenCity is 3D city construction simulator. You can build some
 zones of housing, trading and industry, electric plant and roads.
 .
 This package contains the architecture-independent data for opencity. For more
 information, see the opencity package.

Package: opencl-1.2-html-doc
Description-md5: 1f2bbde8b1b106ded1c0229114983a01
Description-en: OpenCL 1.2 documentation - html pages
 OpenCL (Open Computing Language) is an open royalty-free standard for general
 purpose parallel programming across CPUs, GPUs and other processors, giving
 software developers portable and efficient access to the power of these
 heterogeneous processing platforms.
 .
 OpenCL supports a wide range of applications, ranging from embedded and
 consumer software to HPC solutions, through a low-level, high-performance,
 portable abstraction. By creating an efficient, close-to-the-metal programming
 interface, OpenCL will form the foundation layer of a parallel computing
 ecosystem of platform-independent tools, middleware and applications.
 .
 OpenCL consists of an API for coordinating parallel computation across
 heterogeneous processors; and a cross-platform programming language with a
 well-specified computation environment. The OpenCL standard:
 .
  - Supports both data- and task-based parallel programming models
  - Utilizes a subset of ISO C99 with extensions for parallelism
  - Defines consistent numerical requirements based on IEEE 754
  - Defines a configuration profile for handheld and embedded devices
  - Efficiently interoperates with OpenGL, OpenGL ES, and other graphics APIs
 .
 The specification is divided into a core specification that any OpenCL
 compliant implementation must support; a handheld/embedded profile which
 relaxes the OpenCL compliance requirements for handheld and embedded devices;
 and a set of optional extensions that are likely to move into the core
 specification in later revisions of the OpenCL specification.
 .
 This is exactly the documentation that can be found at:
 https://www.khronos.org/registry/OpenCL/sdk/1.2/docs/man/xhtml/

Package: opencl-1.2-man-doc
Description-md5: 65fd5e52498edc18a4e4e7ae91e3bb05
Description-en: OpenCL 1.2 documentation - man pages (experimental)
 OpenCL (Open Computing Language) is an open royalty-free standard for general
 purpose parallel programming across CPUs, GPUs and other processors, giving
 software developers portable and efficient access to the power of these
 heterogeneous processing platforms.
 .
 OpenCL supports a wide range of applications, ranging from embedded and
 consumer software to HPC solutions, through a low-level, high-performance,
 portable abstraction. By creating an efficient, close-to-the-metal programming
 interface, OpenCL will form the foundation layer of a parallel computing
 ecosystem of platform-independent tools, middleware and applications.
 .
 OpenCL consists of an API for coordinating parallel computation across
 heterogeneous processors; and a cross-platform programming language with a
 well-specified computation environment. The OpenCL standard:
 .
  - Supports both data- and task-based parallel programming models
  - Utilizes a subset of ISO C99 with extensions for parallelism
  - Defines consistent numerical requirements based on IEEE 754
  - Defines a configuration profile for handheld and embedded devices
  - Efficiently interoperates with OpenGL, OpenGL ES, and other graphics APIs
 .
 The specification is divided into a core specification that any OpenCL
 compliant implementation must support; a handheld/embedded profile which
 relaxes the OpenCL compliance requirements for handheld and embedded devices;
 and a set of optional extensions that are likely to move into the core
 specification in later revisions of the OpenCL specification.
 .
 This is experimental man page package until support gets integrated upstream.

Package: opencl-clhpp-headers
Description-md5: 5883f386280d436fba392049606cb330
Description-en: C++ headers for OpenCL development
 OpenCL (Open Computing Language) is a multi-vendor open standard for
 general-purpose parallel programming of heterogeneous systems that include
 CPUs, GPUs and other processors.
 .
 This package provides the C++ development header files for the OpenCL API
 as published by The Khronos Group Inc. The corresponding specification and
 documentation can be found on the Khronos website.

Package: opencl-clhpp-headers-doc
Description-md5: dbc7eebe78722277d9b2b75d742101d4
Description-en: documentation for C++ OpenCL headers
 OpenCL (Open Computing Language) is a multi-vendor open standard for
 general-purpose parallel programming of heterogeneous systems that include
 CPUs, GPUs and other processors.
 .
 This package provides the documentation of the C++ development header files
 for the OpenCL API as published by The Khronos Group Inc.

Package: opencl-headers
Description-md5: 6d11af62fe085fbe0f444907729774d5
Description-en: OpenCL (Open Computing Language) header files
 OpenCL (Open Computing Language) is a multi-vendor open standard for
 general-purpose parallel programming of heterogeneous systems that include
 CPUs, GPUs and other processors.
 .
 This metapackage depends on packages providing the C and C++ headers files
 for the OpenCL API as published by The Khronos Group Inc.  The corresponding
 specification and documentation can be found on the Khronos website.

Package: openclipart
Description-md5: 072611e96db6eed945e4f9d401d4eb8b
Description-en: Open Clip Art Library
 The Open Clip Art Library is a collection of 100% license-free,
 royalty-free, and restriction-free art that you can use for any purpose.
 .
 The clip art in this package is sorted by subject (e.g. sports). Openclipart 2
 is sorted by artist (who created the clip art) and is much larger.
 .
 This package is a metapackage installing both the SVG and PNG (converted
 from SVG) versions.

Package: openclipart-libreoffice
Description-md5: b87a847e071a5b73e68c308e327cb508
Description-en: clip art for OpenOffice.org/LibreOffice gallery
 The Open Clip Art Library is a collection of 100% license-free,
 royalty-free, and restriction-free art that you can use for any purpose.
 .
 The clip art in this package is sorted by subject (e.g. sports). Openclipart 2
 is sorted by artist (who created the clip art) and is much larger.
 .
 This package contains the OpenOffice.org/LibreOffice Gallery info files.

Package: openclipart-png
Description-md5: fa5bc7a53cee03b29481ca9973d6d22f
Description-en: clip art in PNG format
 The Open Clip Art Library is a collection of 100% license-free,
 royalty-free, and restriction-free art that you can use for any purpose.
 .
 The clip art in this package is sorted by subject (e.g. sports). Openclipart 2
 is sorted by artist (who created the clip art) and is much larger.
 .
 This package contains the images in Portable Network Graphics
 (PNG) format.

Package: openclipart-svg
Description-md5: 92c04cc70d1d7f8b16be82aae8b39eb8
Description-en: clip art in SVG format
 The Open Clip Art Library is a collection of 100% license-free,
 royalty-free, and restriction-free art that you can use for any purpose.
 .
 The clip art in this package is sorted by subject (e.g. sports). Openclipart 2
 is sorted by artist (who created the clip art) and is much larger.
 .
 This package contains the images in Scalable Vector Graphic
 (SVG) format, which is an XML format approved by the W3C.

Package: openclonk
Description-md5: e1a2f698d76a35ed34080336a3a8b6ba
Description-en: multiplayer game of strategy, action and skill
 OpenClonk is a free multiplayer action game where you control clonks,
 small but witty and nimble humanoid beings. The game is mainly about
 mining, settling and fast-paced melees. OpenClonk is also not just a
 game but also a versatile 2D game engine that offers countless
 possibilities to make own mods.
 .
 This package contains the OpenClonk engine.

Package: openclonk-data
Description-md5: e03ab98c63678a4a11d15210f934ca95
Description-en: multiplayer game of strategy, action and skill - data
 OpenClonk is a free multiplayer action game where you control clonks,
 small but witty and nimble humanoid beings. The game is mainly about
 mining, settling and fast-paced melees. OpenClonk is also not just a
 game but also a versatile 2D game engine that offers countless
 possibilities to make own mods.
 .
 This package contains the game data.

Package: opencollada-dev
Description-md5: 08e85e7a22c4f2d3a12490c4900e61a6
Description-en: Stream based reader and writer library for COLLADA files (development files)
 OpenCOLLADA is a project dedicated to join efforts using COLLADA within
 various DCC tools like 3ds Max, Maya, Blender and a fast SDK for reading
 and writing COLLADA files.
 .
 This package contains the static library and headers used to build programs
 that use OpenCOLLADA.

Package: opencollada-tools
Description-md5: ae65de1c9f587a7f8304d8ade5029e0d
Description-en: Command line tool for validating COLLADA files
 OpenCOLLADA is a project dedicated to join efforts using COLLADA within
 various DCC tools like 3ds Max, Maya, Blender and a fast SDK for reading
 and writing COLLADA files.
 .
 This package contains an OpenCOLLADA based validator for COLLADA files.

Package: opencolorio-doc
Description-md5: b1629da7dbb65516e43a415a2315c224
Description-en: complete color management solution - documentation
 OpenColorIO (OCIO) is a complete color management solution geared
 towards motion picture production with an emphasis on visual effects
 and computer animation. OCIO provides a straightforward and consistent
 user experience across all supporting applications while allowing for
 sophisticated back-end configuration options suitable for high-end
 production usage. OCIO is compatible with the Academy Color Encoding
 Specification (ACES) and is LUT-format agnostic, supporting many
 popular formats.
 .
 OpenColorIO is released as version 1.0 and has been in development
 since 2003. OCIO represents the culmination of years of production
 experience earned on such films as SpiderMan 2 (2004), Surf's Up
 (2007), Cloudy with a Chance of Meatballs (2009), Alice in Wonderland
 (2010), and many more. OpenColorIO is natively supported in commercial
 applications like Katana, Mari, Silhouette FX, and others coming soon.
 .
 OpenColorIO is free and is one of several open source projects
 actively sponsored by Sony Imageworks.
 .
 This package provides the documentation.

Package: opencolorio-tools
Description-md5: 0b32487fc177aba74b40cce10069e053
Description-en: complete color management solution - utilities
 OpenColorIO (OCIO) is a complete color management solution geared
 towards motion picture production with an emphasis on visual effects
 and computer animation. OCIO provides a straightforward and consistent
 user experience across all supporting applications while allowing for
 sophisticated back-end configuration options suitable for high-end
 production usage. OCIO is compatible with the Academy Color Encoding
 Specification (ACES) and is LUT-format agnostic, supporting many
 popular formats.
 .
 OpenColorIO is released as version 1.0 and has been in development
 since 2003. OCIO represents the culmination of years of production
 experience earned on such films as SpiderMan 2 (2004), Surf's Up
 (2007), Cloudy with a Chance of Meatballs (2009), Alice in Wonderland
 (2010), and many more. OpenColorIO is natively supported in commercial
 applications like Katana, Mari, Silhouette FX, and others coming soon.
 .
 OpenColorIO is free and is one of several open source projects
 actively sponsored by Sony Imageworks.
 .
 This package provides some utilities.

Package: openconnect
Description-md5: c11aef69d31f0172dadbd4bc3375d349
Description-en: open client for Cisco AnyConnect, Pulse, GlobalProtect VPN
 OpenConnect is an SSL VPN client initially created to support Cisco's
 AnyConnect SSL VPN. It has since been extended to support the Pulse Connect
 Secure VPN (formerly known as Juniper Network Connect or Junos Pulse) and
 the Palo Alto Networks GlobalProtect SSL VPN.
 .
 A corresponding OpenConnect VPN server implementation can be found in the
 ocserv package.

Package: opencpn
Description-md5: a6806f4419c635843fbf689a11f1ce21
Description-en: Open Source Chartplotter and Marine GPS Navigation Software
 Chart Plotter and Navigational software program for use underway
 or as a planning tool. Developed by a team of active sailors using real
 world conditions for program testing and refinement.
 By default supports raster and vector formats like BSB and S63. Support for
 many other formats are available in plugins. Other plugins provides
 support for e. g., AIS, radar and weather maps.
 Application has language support in 20+ languages.
 .
 This package contains programs, libraries and some support files.

Package: opencpn-data
Description-md5: c5b38ade4afd27eaae1e628fb50aa8e7
Description-en: Open Source Chartplotter and Marine GPS Navigation Software (data)
 Chart Plotter and Navigational software program for use underway
 or as a planning tool. Developed by a team of active sailors using real
 world conditions for program testing and refinement.
 By default supports raster and vector formats like BSB and S63. Support for
 many other formats are available in plugins. Other plugins provides
 support for e. g., AIS, radar and weather maps.
 Application has language support in 20+ languages.
 .
 This package contains architecture independent data files.

Package: opencpn-plugins
Description-md5: f4f0b7afef2de0d29d2fa202cc1038eb
Description-en: Open Source Chartplotter and Marine GPS Navigation Software (transition)
 This package is a transitional, empty package.

Package: opencryptoki
Description-md5: 56b253ffe8e457a6f3acbdd3c6a66a12
Description-en: PKCS#11 implementation (daemon)
 openCryptoki is a PKCS#11 Cryptographic Token Interface Standard
 implementation.  It includes drivers and libraries to enable IBM cryptographic
 hardware such as Trusted Computing Platform (TPM) cryptographic devices as well
 as a software token for testing.
 .
 This package contains the daemon.

Package: openctm-doc
Description-md5: 58c82dcfae93269ac320c129ea4ca84a
Description-en: Documentation for OpenCTM library and tools
 OpenCTM — the Open Compressed Triangle Mesh file format — is a file format,
 a software library and a tool set for compression of 3D triangle meshes.
 The geometry is compressed to a fraction of comparable file formats,
 and the format is easily accessible through a simple, portable API.
 .
 This package contains the OpenCTM documentation.

Package: openctm-tools
Description-md5: 87284bdd37703e0153a1dda7c8116be0
Description-en: Tools for compression of 3D triangle meshes
 OpenCTM — the Open Compressed Triangle Mesh file format — is a file format,
 a software library and a tool set for compression of 3D triangle meshes.
 The geometry is compressed to a fraction of comparable file formats,
 and the format is easily accessible through a simple, portable API.
 .
 This package contains the programs ctmconv and ctmview, used to convert
 and view OpenCTM files.

Package: opencubicplayer
Description-md5: 17a4ad3459dac27a5d2b9f5686c47639
Description-en: UNIX port of Open Cubic Player
 This is a port of the Open Cubic Player to UNIX from DOS. Provides a
 nice textbased frontend, with some few optional features in graphical.
 Plays modules, sids, wave, audio CD, midi, ogg, flac, adlib, mp3, ahx,
 hvl, and ym.

Package: opencubicplayer-doc
Description-md5: 83d8a38a20fc52d1a5fe170708dd2b24
Description-en: Documentation for UNIX port of Open Cubic Player
 This is the documentation for the  port of the Open Cubic Player to
 UNIX from DOS. Provides a nice textbased frontend, with some few
 optional features in graphical. Plays modules, sids, wave, audio CD,
 midi, ogg, flac, adlib, mp3, and ym.

Package: opencv-data
Description-md5: 6309a2225945477162fd5b5b25620a83
Description-en: development data for opencv
 This package contains some architecture independent files useful for
 development with OpenCV.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: opencv-doc
Description-md5: ee64a89ad004eac0997a2fd96a5627e5
Description-en: OpenCV documentation and examples
 This package contains the OpenCV documentation and example programs.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: opendbx-doc
Description-md5: b1c4ffbc2595f49aa5c498bc71bda14a
Description-en: Opendbx documentation
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package provides html documentation for OpenDBX

Package: opendbx-utils
Description-md5: bf06b8a5213770edc5e3a4d23a8951cb
Description-en: Utility applications using libopendbx
 OpenDBX provides a simple and lightweight API for interfacing native
 relational database APIs in a consistent way. By using the OpenDBX API you
 don't have to adapt your program to the different database APIs by yourself.
 .
 This package provides the odbx-sql utility application for accessing database
 content directly via libopendbx and the opendbx test suite for verifying that
 various backends are working

Package: opendkim
Description-md5: 2a8d3d05a38f69beb50f972b34db79dc
Description-en: Milter implementation of DomainKeys Identified Mail
 The OpenDKIM Project is a community effort to develop and maintain a C library
 for producing DKIM-aware applications and an open source milter for providing
 DomainKeys Identified Mail (DKIM) service.
 .
 DKIM provides a way for senders to confirm their identity when sending email
 by adding a cryptographic signature to the headers of the message.
 .
 This package contains the OpenDKIM mail filter (Milter) for plugging into
 Milter-aware MTAs. It implements both signing and verification.

Package: opendkim-tools
Description-md5: de237ff9f973205d53616aac2183c8a2
Description-en: Set of command line tools for OpenDKIM
 The OpenDKIM Project is a community effort to develop and maintain a C library
 for producing DKIM-aware applications and an open source milter for providing
 DomainKeys Identified Mail (DKIM) service.
 .
 This package contains command line tools for the OpenDKIM mail filter
 (Milter).  The following tools are included:
   - opendkim-genkey
   - miltertest
   - opendkim-stats
   - opendkim-genzone
   - opendkim-spam
   - opendkim-testkey
   - opendkim-atpszone
   - opendkim-convert-keylist
   - opendkim-testmsg

Package: opendmarc
Description-md5: af28805f94a51eaf0ac0775f9fa23601
Description-en: Milter implementation of DMARC
 Domain-based Message Authentication, Reporting and Conformance (DMARC),
 builds on the successes of technologies such as DomainKeys Identified Mail
 (DKIM) and the Sender Policy Framework (SPF) to create an infrastructure that
 enforces policy on domain names that are visible to end users, and creates a
 feedback framework for identifying and tracking fraudulent use of domain
 names in email.  It uses OpenDBX as an interface layer to various SQL back
 ends.
 .
 It provides the following new capabilities:
 .
 A binding between the domain name seen in the From: field of a message and
 one or both of the domain names verified by DKIM and SPF;
 .
 The capability to request that receivers enforce strict message
 authentication policy published by the author; and Comprehensive reporting,
 both forensic and aggregate, regarding suspect messages.
 .
 This package contains the OpenDMARC mail filter (Milter) for plugging into
 Milter-aware MTAs. It implements support for both message reject and DMARC
 failure reporting.
 .
 It also provides various example scripts which can be used for enhancing
 opendmarc.

Package: opendnssec
Description-md5: 7444047a717c33b51140f131e35efef7
Description-en: dependency package to install full OpenDNSSEC suite
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 This metapackage depends on the standard distribution of OpenDNSSEC.

Package: opendnssec-common
Description-md5: 10ee8cdcabbed2f1de2f5b21bcf74060
Description-en: common configuration files for OpenDNSSEC suite
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 This package contains common configuration files and creates default
 user, group and opendnssec directories.

Package: opendnssec-doc
Description-md5: 058a1aada2a75cbeca0de35542a84cf5
Description-en: documentation for OpenDNSSEC suite
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 This package contains doxygen documentation for OpenDNSSEC.

Package: opendnssec-enforcer
Description-md5: 2a8775485ba075e7ae59c0b13fe1822a
Description-en: tool to prepare DNSSEC keys (common package)
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 OpenDNSSEC Enforcer, which is a tool to make sure that there are
 enough keys for all of the zones, and take the policy and key
 information from the KASP database and turn it into an xml file that
 the signer can use.
 .
 The package contains OpenDNSSEC Enforcer documentation, manpages and
 it depends on either sqlite3 or MySQL backend package with binaries.

Package: opendnssec-enforcer-mysql
Description-md5: 3c9753017a0db1aa09b764fca47ce8d8
Description-en: tool to prepare DNSSEC keys (MySQL backend)
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 OpenDNSSEC Enforcer, which is a tool to make sure that there are
 enough keys for all of the zones, and take the policy and key
 information from the KASP database and turn it into an xml file that
 the signer can use.
 .
 The package contains OpenDNSSEC Enforcer binaries with MySQL backend.

Package: opendnssec-enforcer-sqlite3
Description-md5: bb5604863c8cb8d2017e5b112b4a4fc8
Description-en: tool to prepare DNSSEC keys (sqlite3 backend)
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 OpenDNSSEC Enforcer, which is a tool to make sure that there are
 enough keys for all of the zones, and take the policy and key
 information from the KASP database and turn it into an xml file that
 the signer can use.
 .
 The package contains OpenDNSSEC Enforcer binaries with sqlite3 backend.

Package: opendnssec-signer
Description-md5: 83118e821dd444e5d9a75fa1a5bf9a28
Description-en: daemon to sign DNS zone files periodically
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 This package contains the OpenDNSSEC Signer Engine.  The task of the
 signer engine is to schedule signing operation on DNS zones.  Taking
 input from the KASP, it will automatically sign zones and keep their
 signatures up-to-date.

Package: opendrim-lmp-baseserver
Description-md5: b692533af36a004c051eea6e98b5b893
Description-en: OpenDRIM Base Server provider
 Provides basic information about the server
 capabilities.
 .
 Compliant with the DMTF Base Server Profile v1.0.0 (DSP1004).

Package: opendrim-lmp-battery
Description-md5: 42b59fa7f93d4c31aa3c1a8d676d93b8
Description-en: OpenDRIM Battery provider
 Provides information about the computer system battery
 capabilities.
 .
 Compliant with the DMTF Battery Profile v1.0.0 (DSP1030).

Package: opendrim-lmp-boot
Description-md5: eb14e39f802bd4319c6d4a43c6ed22c0
Description-en: OpenDRIM Boot provider
 Provides information about the computer system boot
 configurations.
 .
 Compliant with the DMTF Boot Control Profile v1.0.1 (DSP1012).

Package: opendrim-lmp-cpu
Description-md5: f912f7946c6ca0c26cd3ed2a8d4f4563
Description-en: OpenDRIM CPU provider
 Provides information about the computer system CPU
 capabilities.
 .
 Compliant with the DMTF CPU Profile v1.0.0 (DSP1022).

Package: opendrim-lmp-dhcp
Description-md5: 1e00562ce01076480f9ad974de7dec6c
Description-en: OpenDRIM DHCP provider
 Provides information about the system DHCP client
 configuration and capabilities.
 .
 Compliant with the DMTF DHCP Client Profile v1.0.1 (DSP1037).

Package: opendrim-lmp-dns
Description-md5: 007e1a2e8104fec25af560ade20cd3a4
Description-en: OpenDRIM DNS provider
 Provides information about the computer system
 DNS client capabilities.
 .
 Compliant with the DMTF DNS Client Profile v1.0.1 (DSP1038).

Package: opendrim-lmp-ethernetport
Description-md5: 51835a9d2ccbab20b883578c9aacf004
Description-en: OpenDRIM Ethernet Port provider
 Provides information about the computer system's
 ethernet port capabilities.
 .
 Compliant with the DMTF Ethernet Port Profile v1.0.0 (DSP1014).

Package: opendrim-lmp-ip
Description-md5: 32a31b72e82cb6631b474233025e1048
Description-en: OpenDRIM IP provider
 Provides information about the computer system's
 IP interface capabilities.
 .
 Compliant with the DMTF IP Interface Profile v1.0.1 (DSP1036).

Package: opendrim-lmp-os
Description-md5: 3090532f1aba22f2546fea72dbcdada8
Description-en: OpenDRIM OS provider
 Provides information about the computer system's OS
 capabilities.
 .
 Compliant with the DMTF OS Status Profile v1.0.0 (DSP1029).

Package: opendrim-lmp-pci
Description-md5: 5be8c494eb0a017e1f8953433643e9e4
Description-en: OpenDRIM PCI provider
 Provides information about the computer system
 PCI devices capabilities.
 .
 Compliant with the DMTF PCI Profile v1.0.0 (DSP1075).

Package: opendrim-lmp-physicalasset
Description-md5: 6b91a3e734a9b034cb1d157710dff28d
Description-en: OpenDRIM Physical Asset provider
 Provides information about the computer system's
 physical asset capabilities.
 .
 Compliant with the DMTF Physical Asset Profile v1.0.2 (DSP1011).

Package: opendrim-lmp-powermanagement
Description-md5: 23e57e2ec3c0eaf12ceecb68c66a51c2
Description-en: OpenDRIM Power Management provider
 Provides information about the computer power management
 capabilities.
 .
 Compliant with the DMTF Power Management Profile v1.0.1 (DSP1027).

Package: opendrim-lmp-powersupply
Description-md5: c94bc1b78362fcb1538681cda6491467
Description-en: OpenDRIM Power Supply provider
 Provides information about the computer power supply
 capabilities.
 .
 Compliant with the DMTF Power Supply Profile v1.1.0 (DSP1015).

Package: opendrim-lmp-recordlog
Description-md5: e6f7b5719bde8c0700b6c073dd3869d2
Description-en: OpenDRIM Record Log provider
 Provides information about the computer system's
 logs capabilities and entries.
 .
 Compliant with the DMTF Record Log Profile v1.0.1 (DSP1010).

Package: opendrim-lmp-sensors
Description-md5: 27413a1c7840f7e064f031017823a368
Description-en: OpenDRIM Sensors provider
 Provides information about the computer system sensors
 capabilities.
 .
 Compliant with the DMTF Sensors Profile v1.0.2 (DSP1009).

Package: opendrim-lmp-simpleidentitymanagement
Description-md5: c8984c8a88b6f20f1f2cf57de5fa6df9
Description-en: OpenDRIM Simple Identity Management provider
 Provides information about the computer system
 identity managementcapabilities.
 .
 Compliant with the DMTF Simple Identity Management Profile v1.0.1 (DSP1034).

Package: opendrim-lmp-softwareinventory
Description-md5: f05841f94bf54bfd0028285d325eb56e
Description-en: OpenDRIM Software Inventory provider
 Provides information about the computer system
 software inventory.
 .
 Compliant with the DMTF Software Inventory Profile v1.0.1 (DSP1023).

Package: opendrim-lmp-softwareupdate
Description-md5: c5c7a2c37a7604788a86fd419d5f1e4a
Description-en: OpenDRIM Software Update provider
 Provides information about the computer system software update
 capabilities.
 .
 Compliant with the DMTF Software Update Profile v1.0.0 (DSP1025).

Package: opendrim-lmp-systemmemory
Description-md5: 5a2782a69843d172fb1254e3bfacf445
Description-en: OpenDRIM System Memory provider
 Provides information about the computer system memory
 capabilities.
 .
 Compliant with the DMTF System Memory Profile v1.0.0 (DSP1026).

Package: openems
Description-md5: 0ecb542e790b1eafb2846be95a393727
Description-en: Electromagnetic simulator
 This is a simulator for electromagnetic problems using the FDTD
 (Finite-difference time-domain method)  algorithm.
 .
 The FDTD algorithm is a popular algorithm for solving electromagnetic problems
 in the time domain.

Package: openexr
Description-md5: df2e7dd14eff4a12449119460fbd674c
Description-en: command-line tools for the OpenEXR image format
 OpenEXR is a high dynamic-range (HDR) image file format developed by
 Industrial Light & Magic for use in computer imaging applications.
 .
 OpenEXR's features include:
    * Higher dynamic range and colour precision than existing 8- and
      10-bit image file formats.
    * Support for the "half" 16-bit floating-point pixel format.
    * Multiple lossless image compression algorithms. Some of the
      included codecs can achieve 2:1 lossless compression ratios on
      images with film grain.
    * Extensibility. New compression codecs and image types can easily
      be added by extending the C++ classes included in the OpenEXR
      software distribution. New image attributes (strings, vectors,
      integers, etc.) can be added to OpenEXR image headers without
      affecting backward compatibility with existing OpenEXR
      applications.
 .
 This package contains the following tools:
    * exrheader, a utility for dumping header information.
    * exrstdattr, a utility for modifying OpenEXR standard attributes.
    * exrmaketiled, for generating tiled and rip/mipmapped images.
    * exrenvmap, for creating OpenEXR environment maps.
    * exrmakepreview, for creating preview images for OpenEXR files.

Package: openexr-doc
Description-md5: c8baf45f50905173b1b5840781491106
Description-en: documentation and examples for the OpenEXR image format
 OpenEXR is a high dynamic-range (HDR) image file format developed by
 Industrial Light & Magic for use in computer imaging applications.
 .
 OpenEXR's features include:
    * Higher dynamic range and colour precision than existing 8- and
      10-bit image file formats.
    * Support for the "half" 16-bit floating-point pixel format.
    * Multiple lossless image compression algorithms. Some of the
      included codecs can achieve 2:1 lossless compression ratios on
      images with film grain.
    * Extensibility. New compression codecs and image types can easily
      be added by extending the C++ classes included in the OpenEXR
      software distribution. New image attributes (strings, vectors,
      integers, etc.) can be added to OpenEXR image headers without
      affecting backward compatibility with existing OpenEXR
      applications.
 .
 This package contains documentation for the format and examples

Package: openexr-viewers
Description-md5: 0e80ab3870556d3e1f73ff19fdc037bc
Description-en: viewer for images in the OpenEXR format
 OpenEXR is a high dynamic-range (HDR) image file format developed by
 Industrial Light & Magic for use in computer imaging applications.
 .
 This package contains the following utility:
  - exrdisplay      : simple viewer for EXR images

Package: openfoam
Description-md5: e91ed9b59d4c516338bfb7abacb6a50a
Description-en: Open source toolbox for Computational Fluid Dynamics (CFD) - binaries
 OpenFOAM is the free, open source CFD software released and developed
 primarily by OpenCFD Ltd since 2004. It has a large user base across most
 areas of engineering and science, from both commercial and academic
 organisations. OpenFOAM has an extensive range of features to solve anything
 from complex fluid flows involving chemical reactions, turbulence and heat
 transfer, to acoustics, solid mechanics and electromagnetics.
 .
 Package contains binaries.

Package: openfoam-examples
Description-md5: f2dc83772e264f96543d2039484246d7
Description-en: Open source toolbox for Computational Fluid Dynamics (CFD) - examples
 OpenFOAM is the free, open source CFD software released and developed
 primarily by OpenCFD Ltd since 2004. It has a large user base across most
 areas of engineering and science, from both commercial and academic
 organisations. OpenFOAM has an extensive range of features to solve anything
 from complex fluid flows involving chemical reactions, turbulence and heat
 transfer, to acoustics, solid mechanics and electromagnetics.
 .
 Package contains examples and tutorials.

Package: openfortivpn
Description-md5: 476669bb49dcf159f5d9762d8a96e3a4
Description-en: Fortinet client for PPP+SSL VPN tunnel services
 openfortivpn is a client for PPP+SSL VPN tunnel services. It spawns a pppd
 process and operates the communication between the gateway and this process.
 .
 It is compatible with Fortinet VPNs.

Package: opengcs
Description-md5: 3567b534575a1a58390413720f96dd35
Description-en: Guest Compute Service for Linux Hyper-V Container
 Open Guest Compute Service is a Linux-based open source project to
 further the development of a production quality implementation of
 Linux Hyper-V container on Microsoft Windows (LCOW).
 It's designed to run inside a custom Linux OS for supporting Linux
 container payload.

Package: opengl-4-html-doc
Description-md5: bde6c2fb656888a719b1292b8b78546d
Description-en: OpenGL 4 documentation - html pages
 This is the OpenGL API man pages.
 .
 The ARB Ecosystem TSG maintains an up-to-date version of the OpenGL man pages.
 .
 The documentation is exactly what is available from:
 http://www.opengl.org/sdk/docs/man4/xhtml/

Package: opengl-4-man-doc
Description-md5: 238f76d0684b60599229e80c1ccd3d69
Description-en: OpenGL 4 documentation - man pages (experimental)
 This is the OpenGL API man pages.
 .
 The ARB Ecosystem TSG maintains an up-to-date version of the OpenGL man pages.
 .
 This is experimental man page package until support gets integrated upstream.

Package: openguides
Description-md5: d1b7f169082c45e8de25864b49d85631
Description-en: web application for managing a collaboratively-written city guide
 The OpenGuides software provides the framework for a collaboratively-written
 city guide.  It is similar to a wiki but provides somewhat more structured
 data storage allowing you to annotate wiki pages with information such as
 category, location, and much more.  It provides searching facilities
 including "find me everything within a certain distance of this place".
 Every page includes a link to a machine-readable (RDF) version of the page.

Package: openhackware
Description-md5: c0c388f129ffb30a23488a4cd5a2d992
Description-en: OpenFirmware emulator for PowerPC
 OpenHackWare is an OpenFirmware emulator intended to be used on PowerPC
 machines. It is not a real OpenFirmware as it knows nothing about Forth.
 It emulates the OpenFirmware boot time interface as well as the RTAS
 interface. It also emulates some known "interpret" strings, to make it
 able to launch known OSes.

Package: openigtlink-doc
Description-md5: 1224078a23f02542f4e2f2e1c52c9503
Description-en: Open IGT Link is a simple network protocol - documentation
 Open IGT Link is a simple network protocol intended for trackers,
 robots and other devices to send data to the main application.
 Some devices might also accept commands.
 .
 For example applications may include:
  * Stereotactic surgical guidance using optical position sensor and
    medical image visualization software.
  * Intraoperative image guidance using real-time MRI and medical image
    visualization software
  * Robot-assisted intervention using robotic device and surgical planning
    software
 .
 This package contains documentation files for OpenIGTLink.

Package: openigtlink-examples
Description-md5: 0287bc304fbf4da0ec35f1001be36d20
Description-en: Open IGT Link is a simple network protocol - examples
 Open IGT Link is a simple network protocol intended for trackers,
 robots and other devices to send data to the main application.
 Some devices might also accept commands.
 .
 For example applications may include:
  * Stereotactic surgical guidance using optical position sensor and
    medical image visualization software.
  * Intraoperative image guidance using real-time MRI and medical image
    visualization software
  * Robot-assisted intervention using robotic device and surgical planning
    software
 .
 This package contains examples from the OpenIGTLink source.
 .
 Consult /usr/share/doc/libopenigtlink-dev/README.Debian for details.

Package: openimageio-tools
Description-md5: d60271d28e5671478e8fa63d2ad0ee9e
Description-en: Library for reading and writing images - command line tools
 OpenImageIO is a library for reading and writing images, and a bunch of
 related classes, utilities, and applications. Main features include:
 .
  * Extremely simple but powerful ImageInput and ImageOutput APIs for reading
    and writing 2D images that is format agnostic -- that is, a "client app"
    doesn't need to know the details about any particular image file formats.
    Specific formats are implemented by DLL/DSO plugins.
 .
  * Format plugins for TIFF, JPEG/JFIF, OpenEXR, PNG, HDR/RGBE, Targa,
    JPEG-2000, DPX, Cineon, FITS, BMP, ICO, RMan Zfile, Softimage PIC, DDS,
    SGI, PNM/PPM/PGM/PBM, Field3d, WebP. More coming! The plugins are really
    good at understanding all the strange corners of the image formats, and
    are very careful about preserving image metadata (including Exif, GPS, and
    IPTC data).
 .
  * An ImageCache class that transparently manages a cache so that it can access
    truly vast amounts of image data (thousands of image files totaling hundreds
    of GB) very efficiently using only a tiny amount (tens of megabytes at most)
    of runtime memory. Additionally, a TextureSystem class provides filtered
    MIP-map texture lookups, atop the nice caching behavior of ImageCache.
 .
  * Several image tools based on these classes, including iinfo (print detailed
    info about images), iconvert (convert among formats, data types, or modify
    metadata), idiff (compare images),igrep (search images for matching
    metadata). Because these tools are based on ImageInput/ImageOutput, they
    work with any image formats for which ImageIO plugins are available.
 .
  * A really nice image viewer, iv, also based on OpenImageIO classes (and so
    will work with any formats for which plugins are available).
 .
  * Supported on Linux, OS X, and Windows.
 .
  * All available under the BSD license, so you may modify it and use it in both
    open source or proprietary apps.
 .
 This package contains command line tools, including iv image viewer.

Package: openjade
Description-md5: b35e1d7af5f6e1c8a8527523612f49d2
Description-en: Implementation of the DSSSL language
 OpenJade is an implementation of the ISO/IEC 10179:1996 standard
 DSSSL language.  It is based on James Clark's Jade software.
 .
 The OpenJade processor, in conjunction with a DSSSL style sheet, is
 capable of translating SGML documents into other formats.  Output
 formats currently supported are RTF, HTML, MIF, JadeTeX, or an XML
 representation of the flow object tree.  Using its own non-standard
 system, it is also capable of transforming one SGML or XML DTD to
 another.
 .
 Author:   The OpenJade Team <jade-devel@infomansol.com>

Package: openjdk-11-demo
Description-md5: 8c587e17f25fb354ef51e27e56507756
Description-en: Java runtime based on OpenJDK (demos and examples)
 OpenJDK Java runtime

Package: openjdk-11-jre-dcevm
Description-md5: 68e6b77fa629f8c7692e213584cd125f
Description-en: Alternative VM for OpenJDK 11 with enhanced class redefinition
 The Dynamic Code Evolution Virtual Machine (DCE VM) is a modification of
 the Java HotSpot VM that allows unlimited redefinition of loaded classes
 at runtime. The current hotswapping mechanism of the HotSpot VM allows
 only changing method bodies. This enhanced VM allows adding and removing
 fields and methods as well as changes to the super types of a class.
 .
 This alternative VM is enabled by adding the -dcevm option when invoking
 the java command.

Package: openjdk-11-jre-zero
Description-md5: c714861c802c9808def07d5329c6ed78
Description-en: Alternative JVM for OpenJDK, using Zero
 The package provides an alternative runtime using the Zero VM. Built on
 architectures in addition to the Hotspot VM as a debugging aid for those
 architectures which don't have a Hotspot VM.
 .
 The VM is started with the option `-zero'. See the README.Debian for details.

Package: openjdk-8-dbg
Description-md5: 62cbbd2d3e6bc94279f18db4f735142b
Description-en: Java runtime based on OpenJDK (debugging symbols)
 OpenJDK is a development environment for building applications,
 applets, and components using the Java programming language.
 .
 This package contains the debugging symbols.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjdk-8-demo
Description-md5: c2a5624aa60e4de3025a249886fa82d7
Description-en: Java runtime based on OpenJDK (demos and examples)
 OpenJDK Java runtime
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjdk-8-doc
Description-md5: c1aebd5e8058a6cce0d5690571eddb7b
Description-en: OpenJDK Development Kit (JDK) documentation
 OpenJDK is a development environment for building applications,
 applets, and components using the Java programming language.
 .
 This package contains the API documentation.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjdk-8-jdk
Description-md5: eaff50443f15582a8beb0901034b62cf
Description-en: OpenJDK Development Kit (JDK)
 OpenJDK is a development environment for building applications,
 applets, and components using the Java programming language.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjdk-8-jdk-headless
Description-md5: d1221d55e35c3f9b061c79f97661bd96
Description-en: OpenJDK Development Kit (JDK) (headless)
 OpenJDK is a development environment for building applications,
 applets, and components using the Java programming language.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjdk-8-jre
Description-md5: adca808a02a80aed4051cc95b7fe5f37
Description-en: OpenJDK Java runtime, using Hotspot JIT
 Full Java runtime environment - needed for executing Java GUI and Webstart
 programs, using Hotspot JIT.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjdk-8-jre-headless
Description-md5: 20eb08d56f9496cba7ae5bc1fc762f93
Description-en: OpenJDK Java runtime, using Hotspot JIT (headless)
 Minimal Java runtime - needed for executing non GUI Java programs,
 using Hotspot JIT.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjdk-8-jre-zero
Description-md5: ca0db1fb38a237b982a1de5e6d632720
Description-en: Alternative JVM for OpenJDK, using Zero/Shark
 The package provides an alternative runtime using the Zero VM and the
 Shark Just In Time Compiler (JIT).  Built on architectures in addition
 to the Hotspot VM as a debugging aid for those architectures which don't
 have a Hotspot VM.
 .
 The VM is started with the option `-zero'. See the README.Debian for details.

Package: openjdk-8-source
Description-md5: 328263bb6fbf81f04f5d459d67244ea0
Description-en: OpenJDK Development Kit (JDK) source files
 OpenJDK is a development environment for building applications,
 applets, and components using the Java programming language.
 .
 This package contains the Java programming language source files
 (src.zip) for all classes that make up the Java core API.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjfx
Description-md5: c81023d9b26926c1219881c76843995a
Description-en: JavaFX/OpenJFX - Rich client application platform for Java
 JavaFX/OpenJFX is a set of graphics and media APIs that enables Java developers
 to design, create, test, debug, and deploy rich client applications that
 operate consistently across diverse platforms.

Package: openjfx-source
Description-md5: c01ab725de38eaf161e002db642eda0e
Description-en: JavaFX/OpenJFX - Rich client application platform for Java (sources)
 JavaFX/OpenJFX is a set of graphics and media APIs that enables Java developers
 to design, create, test, debug, and deploy rich client applications that
 operate consistently across diverse platforms.
 .
 This package contains the source archive to be used with an IDE.

Package: openkim-models
Description-md5: 1e92db083dbf75686389f77123df4bcd
Description-en: Models and model-drivers for KIM-API
 The KIM API is an Application Programming Interface for atomistic simulations.
 The API provides a standard for exchanging information between atomistic
 simulation codes (molecular dynamics, molecular statics, lattice dynamics,
 Monte Carlo, etc.) and interatomic models (potentials or force fields).
 It also includes a set of library routines for using the API with
 bindings for:
 .
 FORTRAN 77, Fortran 90/95, Fortran 2003
 C, C++
 .
 Atomistic simulation codes that support the KIM API work seamlessly with the
 KIM-compliant interatomic models (KIM Models) distributed on this website.
 The interface is computationally efficient and often requires relatively minor
 changes to existing codes.
 .
 This package contains models and model-drivers for KIM-API

Package: openlp
Description-md5: e1bb0aaa265da68d7f9e7aefb0baba27
Description-en: Church lyrics projection application
 OpenLP is free church presentation software, or lyrics projection software,
 used to display slides of songs, Bible verses, videos, images, and even
 presentations for church worship using a computer and a data projector.

Package: openmcdf
Description-md5: 626f053aaf700aac0c4c5df070e8e585
Description-en: Structured Storage Explorer
 OpenMCDF is a 100% managed CLI component that allows client applications to
 manipulate COM structured storage files, also known as Microsoft Compound
 Document Format files.
 .
 This file format is used under the hood by a lot of applications: the files
 created by Microsoft Office until the 2007 product release are all structured
 storage files. They include multiple streams of information (document summary,
 user data) in a single physical container (the file). Also the omnipresent
 Thumbs.db, used by Windows as thumbnails cache, is a structured storage file.
 .
 OpenMCDF makes available to the developer an easy interface to read, write, add
 and remove structured storage primitives. Structured storage items are
 organized in a hierarchical tree where 'storage' nodes act like a directory and
 'stream' nodes like a file. Developers can use OpenMCDF to view storages and
 streams, traverse hierarchical trees of items, explore existing compound file
 and modify them or create a new compound file from scratch.

Package: openmolcas
Description-md5: 8c077a6871ec491072530378e16f6759
Description-en: Quantum chemistry software package
 The key feature of OpenMolcas is the multiconfigurational approach to the
 electronic structure.

Package: openmolcas-data
Description-md5: c654fc6edad78e8dc515a61b5b348b18
Description-en: Quantum chemistry software package (data files)
 The key feature of OpenMolcas is the multiconfigurational approach to the
 electronic structure.
 .
 This package contains data files.

Package: openmpi-bin
Description-md5: 1a00d4dd7be41a0a9fd2a922b4135736
Description-en: high performance message passing library -- binaries
 Open MPI is a project combining technologies and resources from several other
 projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best
 MPI library available. A completely new MPI-3.1 compliant implementation, Open
 MPI offers advantages for system and software vendors, application developers
 and computer science researchers.
 .
 Features:
  * Full MPI-3.1 standards conformance
  * Thread safety and concurrency
  * Dynamic process spawning
  * High performance on all platforms
  * Reliable and fast job management
  * Network and process fault tolerance
  * Support network heterogeneity
  * Single library supports all networks
  * Run-time instrumentation
  * Many job schedulers supported
  * Internationalized error messages
  * Component-based design, documented APIs
 .
 This package contains the Open MPI utility programs.

Package: openmpi-common
Description-md5: b52283604f1697ba67223f6535351fda
Description-en: high performance message passing library -- common files
 Open MPI is a project combining technologies and resources from several other
 projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best
 MPI library available. A completely new MPI-3.1 compliant implementation, Open
 MPI offers advantages for system and software vendors, application developers
 and computer science researchers.
 .
 This package contains platform independent files for Open MPI.

Package: openmpi-doc
Description-md5: 430b4b29fb2456337a6cdb19e1a4a64b
Description-en: high performance message passing library -- man pages
 Open MPI is a project combining technologies and resources from several other
 projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best
 MPI library available. A completely new MPI-3.1 compliant implementation, Open
 MPI offers advantages for system and software vendors, application developers
 and computer science researchers.
 .
 This package contains man pages describing the Message Passing Interface
 standard.

Package: openmpt123
Description-md5: 17a3e8f54ede46a3d84e40bd51c54566
Description-en: module music library based on OpenMPT -- music player
 libopenmpt is a cross-platform C++ and C library to decode tracked music
 files (modules) into a raw PCM audio stream. It is based on the player code
 of the OpenMPT project, a descendant of the original ModPlug Tracker.
 .
 This package contains the openmpt123 command-line module player.

Package: openms
Description-md5: 1680992c26afae25b0ca399cdfebd8ee
Description-en: package for LC/MS data management and analysis
 OpenMS is a package for LC/MS data management and analysis. OpenMS
 offers an infrastructure for the development of mass
 spectrometry-related software and powerful 2D and 3D visualization
 solutions.
 .
 TOPP (the OpenMS proteomic pipeline) is a pipeline for the analysis
 of HPLC/MS data. It consists of a set of numerous small applications
 that can be chained together to create analysis pipelines tailored
 for a specific problem.
 .
 This package is a metapackage that depends on both the libopenms
 library package (libOpenMS and libOpenMS_GUI) and the OpenMS
 Proteomic Pipeline (topp) package.

Package: openms-common
Description-md5: d024c0e31d412b508c3657880fc2da5d
Description-en: package for LC/MS data management and analysis - shared data
 OpenMS is a package for LC/MS data management and analysis. OpenMS
 offers an infrastructure for the development of mass
 spectrometry-related software and powerful 2D and 3D visualization
 solutions.
 .
 OpenMS offers analyses for various quantitation protocols, including
 label-free quantitation, SILAC, iTRAQ, SRM, SWATH…
 .
 It provides built-in algorithms for de-novo identification and
 database search, as well as adapters to other state-of-the art tools
 like X!Tandem, Mascot, OMSSA…
 .
 OpenMS supports the Proteomics Standard Initiative (PSI) formats for
 MS data and supports easy integration of tools into workflow engines
 like Knime, Galaxy, WS-Pgrade, and TOPPAS via the TOPPtools concept
 and a unified parameter handling.
 .
 This package brings data shared by the different binaries of the
 OpenMS software package (libOpenMS and libOpenMS_GUI libraries and
 the OpenMS Proteomic Pipeline binary tools).

Package: openms-doc
Description-md5: d3d76c44d2b05809d9e019c4098fbe24
Description-en: package for LC/MS data management and analysis - documentation
 OpenMS is a package for LC/MS data management and analysis. OpenMS
 offers an infrastructure for the development of mass
 spectrometry-related software and powerful 2D and 3D visualization
 solutions.
 .
 OpenMS offers analyses for various quantitation protocols, including
 label-free quantitation, SILAC, iTRAQ, SRM, SWATH…
 .
 It provides built-in algorithms for de-novo identification and
 database search, as well as adapters to other state-of-the art tools
 like X!Tandem, Mascot, OMSSA…
 .
 OpenMS supports the Proteomics Standard Initiative (PSI) formats for
 MS data and supports easy integration of tools into workflow engines
 like Knime, Galaxy, WS-Pgrade, and TOPPAS via the TOPPtools concept
 and a unified parameter handling.
 .
 This package brings full documentation for both the libopenms library
 package (libOpenMS and libOpenMS_GUI) and the OpenMS Proteomic
 Pipeline (topp) package.

Package: openmsx
Description-md5: 1c28ad28f21e2173c30bddc98600c585
Description-en: MSX emulator that aims for perfection
 openMSX is an open source MSX emulator that uses a unique emulation model,
 which tries to achieve the highest level of accuracy possible. It allows
 emulating a large number of different MSX machines. Combined with the
 C-BIOS ROM-files that are available in a separate package, you can run game
 ROM-files without the need for non-free BIOS ROM files.

Package: openmsx-catapult
Description-md5: 630a46e975f360d336cd0148ca5c90a6
Description-en: GUI for openMSX
 OpenMSX catapult is a graphical frontend for starting the openMSX emulator.

Package: openmsx-data
Description-md5: 1498a54f65310a49db916f259f799c5c
Description-en: datafiles for openMSX, an MSX emulator
 openMSX is an open source MSX emulator that uses a unique emulation model,
 which tries to achieve the highest level of accuracy possible.
 .
 This package provides data that openmsx needs to run.

Package: openmsx-debugger
Description-md5: 9fad2e46b2af933859fe71fa9eee6892
Description-en: Graphical debugger for openMSX
 The openMSX debugger is a separate program that interfaces with
 openMSX and controls its debugger from within a graphical user
 interface.

Package: openmx
Description-md5: 5058437646b0e4a8649ba0a918c68454
Description-en: package for nano-scale material simulations
 OpenMX (Open source package for Material eXplorer) is a program package for
 nano-scale material simulations based on density functional theories (DFT),
 norm-conserving pseudopotentials and pseudo-atomic localized
 basis functions. Since the code is designed for the realization of
 large-scale ab initio calculations on parallel computers, it is anticipated
 that OpenMX can be a useful and powerful tool for nano-scale material sciences
 in a wide variety of systems such as biomaterials, carbon nanotubes, magnetic
 materials, and nanoscale conductors.

Package: openmx-data
Description-md5: ca6d9b6914e20aa6b2a33c1f341020f1
Description-en: package for nano-scale material simulations (data)
 OpenMX (Open source package for Material eXplorer) is a program package for
 nano-scale material simulations based on density functional theories (DFT),
 norm-conserving pseudopotentials and pseudo-atomic localized
 basis functions. Since the code is designed for the realization of
 large-scale ab initio calculations on parallel computers, it is anticipated
 that OpenMX can be a useful and powerful tool for nano-scale material sciences
 in a wide variety of systems such as biomaterials, carbon nanotubes, magnetic
 materials, and nanoscale conductors.
 .
 This package contains the 2013 database of fully relativistic pseudopotentials
 (VPS) and pseudo-atomic orbitals (PAO), generated by ADPACK.

Package: opennebula-context
Description-md5: 67ba05cd8dd922ba19a90287f501adfe
Description-en: contextualization package
 Contextualization is for use in OpenNebula virtual images.
 It sets up network and init scripts based on context variables
 passed in VM template.
 This package must be installed only on virtual machines targeted at
 OpenNebula clouds. Installing it on a Desktop/server may break your
 network configuration.

Package: openni-doc
Description-md5: 273fd6067fdea4db7ef8a08d4ab05f9a
Description-en: developer documentation for OpenNI frameworks
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI
 framework, which then produce sensory data. OpenNI also allows selection of
 different hardware and middleware modules.
 .
 This package provides developer documentation for developing using the OpenNI
 frameworks.

Package: openni-utils
Description-md5: b8fda61e416914ff6fb85f4a2d6c113b
Description-en: debug and test utilities OpenNI framework
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI
 framework, which then produce sensory data. OpenNI also allows selection of
 different hardware and middleware modules.
 .
 This package provides a number of related utilities for debugging, testing,
 and view the results of the sensor modules.

Package: openni2-doc
Description-md5: e6d78aeadfec508f47f80a3b5db846b9
Description-en: developer documentation for OpenNI frameworks
 OpenNI is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI
 framework, which then produce sensory data. OpenNI also allows selection of
 different hardware and middleware modules.
 .
 This package provides developer documentation for developing using the OpenNI2
 frameworks.

Package: openni2-utils
Description-md5: d36fd534b8d0006a60dce6c8049828e2
Description-en: debug and test utilities OpenNI2 framework
 OpenNI2 is a framework for getting data to support 'Natural Interaction',
 i.e. skeleton tracking, gesture tracking, and similar ways of getting data
 from humans. OpenNI2 provides the interface for physical devices and for
 middleware components. The API enables modules to be registered in the OpenNI2
 framework, which then produce sensory data. OpenNI2 also allows selection of
 different hardware and middleware modules.
 .
 This package provides a number of related utilities for debugging, testing,
 and view the results of the sensor modules.

Package: opennlp
Description-md5: 636b0384c0f1924c910d76426971fcdf
Description-en: wrapper for Apache OpenNLP natural language text processing toolkit
 The Apache OpenNLP library is a machine learning based toolkit for the
 processing of natural language text. It supports the most common NLP tasks,
 such as tokenization, sentence segmentation, part-of-speech tagging, named
 entity extraction, chunking, parsing, and coreference resolution. These tasks
 are usually required to build more advanced text processing services. OpenNLP
 also included maximum entropy and perceptron based machine learning.
 .
 This package contains the command line wrapper.

Package: openntpd
Description-md5: 4e4924a4bc4b10b5f382ae2c8b1824c5
Description-en: OpenBSD NTP daemon
 NTP, the Network Time Protocol, is used to keep the computer clocks
 synchronized. It provides the ability to sync the local clock to remote NTP
 servers and can act as NTP server itself, redistributing the local clock.
 .
 This is an alternative implementation of the NTP software, made by the OpenBSD
 Project. It makes use of privilege separation, only implements a subset of the
 NTP protocol, adjusting the rate of the clock to synchronize the local clock.
 .
 Alternative packages which provide similar functionality are ntp and chrony.

Package: openocd
Description-md5: 3846dda8ec5ba34e07da3b1d9ee73c0a
Description-en: Open on-chip JTAG debug solution for ARM and MIPS systems
 OpenOCD is an on-chip debugging, in-system programming and boundary-scan
 testing tool for ARM and MIPS systems.
 .
 The debugger uses an IEEE 1149-1 compliant JTAG TAP bus master to access
 on-chip debug functionality available on ARM based microcontrollers or
 system-on-chip solutions. For MIPS systems the EJTAG interface is supported.
 .
 User interaction is realized through a telnet command line interface,
 a gdb (the GNU debugger) remote protocol server, and a simplified RPC
 connection that can be used to interface with OpenOCD's Jim Tcl engine.
 .
 OpenOCD supports many different types of JTAG interfaces/programmers.

Package: openoffice.org-hyphenation
Description-md5: 72fd4a4b7c63aad5dc99087b4aef3633
Description-en: Hyphenation patterns for OpenOffice.org - dummy transitional package
 This package contains hyphenation patterns for English (CA), Finnish, Irish
 and Indonesian.
 .
 This package is here just to allow the transition to hyphen-en-ca, hyphen-fi,
 hyphen-ga and hyphen-id; it can be safely removed once installed.

Package: openorienteering-mapper
Description-md5: 84378dd82001be3ab66dc16d938f4bba
Description-en: Orienteering map drawing application
 OpenOrienteering Mapper is an application to draw maps for forest,
 sprint, MTB and radio orienteering races. It comes with predefined
 symbol sets implementing the IOF standards ISOM (1:15000, 1:10000)
 and ISSOM (1:5000, 1:4000). It is easy to implement additional symbol
 sets.
 .
 It provides a Free alternative to existing proprietary map drawing
 applications and supports multiple platforms. It is available for
 Android, Windows, Mac OS X and Linux.
 .
 While it is under continuous development and considered in beta
 state, it has been used to produce maps for orienteering races. All
 required functions for drawing maps are implemented and the program
 works very stable. So it can be considered ready for productive use,
 although it is like always a good idea to keep backups of your files.

Package: openoverlayrouter
Description-md5: 50d2487296dd05662f2c23dcadf386f9
Description-en: deploy programmable overlay networks
 The OpenOverlayRouter (OOR) project aims to deliver a flexible and modular
 open-source implementation to deploy programmable overlay networks. It
 leverages on encapsulating overlay-specific packets into underlay-compatible
 packets at the edges of the instantiated overlay and route them over the
 physical underlying infrastructure. In order to do so, it maps overlay
 identifiers to underlay locators and keeps those mappings updated over
 time. In the current version, OOR uses the LISP protocol for the
 control-plane (e.g. mapping retrieval and updating, etc), NETCONF/YANG for
 the management-plane (e.g. overlay identifiers provisioning, etc) and can
 use both LISP and VXLAN-GPE headers for encapsulation.

Package: openpgp-applet
Description-md5: df96248f30421abb9db47de514db799f
Description-en: GNOME applet for OpenPGP text encryption
 OpenPGP Applet is a graphical frontend on top of GnuPG.
 .
 It allows encryption and decryption of the clipboard's content either
 with public key cryptography, or with a symmetric cipher using a passphrase.
 Creating and verifying clear text signatures is also supported.
 .
 Note: OpenPGP Applet does not handle passphrase input. Since it also does not
 offer terminal interaction unless explicitly run from there, it relies in
 practice on GnuPG agent to be running and configured to use a passphrase entry
 dialog, such as pinentry-gnome3.

Package: openpref
Description-md5: beae3cb882f5cef62b44f6682d511dae
Description-en: card game against two virtual players
 OpenPref is open source implementation of European trick-taking game
 Preferans against two virtual players. Two alternative AI algorithms
 are available.

Package: openrazer-daemon
Description-md5: 1815948141db962d7bbb282f74f11156
Description-en: OpenRazer peripheral drivers (daemon)
 OpenRazer is a collection of GNU/Linux drivers for the Razer devices.
 Supported devices include keyboards, mice, mouse-mats, headsets and
 various other devices.
 .
 This package provides a user-space daemon used to interface with the driver.
 It contains a systemd user unit and an AppStream file.

Package: openrazer-doc
Description-md5: a0ec3f78d05e17c516cb274575c3f755
Description-en: OpenRazer peripheral drivers (documentation)
 OpenRazer is a collection of GNU/Linux drivers for the Razer devices.
 Supported devices include keyboards, mice, mouse-mats, headsets and
 various other devices.
 .
 This package contains scripts on how to interact with the daemon and
 the driver.

Package: openrazer-driver-dkms
Description-md5: 7d405851056343f52bb907a59691d54a
Description-en: OpenRazer peripheral drivers (DKMS)
 OpenRazer is a collection of GNU/Linux drivers for the Razer devices.
 Supported devices include keyboards, mice, mouse-mats, headsets and
 various other devices.
 .
 This package provides the source code for the OpenRazer kernel module to be
 build with dkms. Kernel sources or headers are required to compile this
 module.
 .
 Please read the Troubleshooting Guide in
 /usr/share/doc/openrazer-driver-dkms/README.Debian.

Package: openrazer-meta
Description-md5: d711d58e2ae1d7a398e04812de3708a2
Description-en: OpenRazer peripheral drivers (metapackage)
 OpenRazer is a collection of GNU/Linux drivers for the Razer devices.
 Supported devices include keyboards, mice, mouse-mats, headsets and
 various other devices.
 .
 This package is a metapackage which depends on the OpenRazer driver and
 userspace daemon, plus a Python library and documentation.

Package: openresolv
Description-md5: 6e7537951e253b4f50975d1c54aa3407
Description-en: management framework for resolv.conf
 Allows multiple daemons to manage resolv.conf and configures
 local resolvers such as dnsmasq and unbound.
 .
 This package may require some manual configuration.
 Please read resolvconf(8) and resolvconf.conf(5) for detailed instructions.

Package: openrocket
Description-md5: 040f7f659b2626c7f67699949fc7e5e1
Description-en: Model Rocket Simulator
 OpenRocket is a free, fully featured model rocket simulator that allows
 you to design and simulate your rockets before actually building and
 flying them.
 .
 OpenRocket features a full six-degree-of-freedom simulation, realistic
 wind modeling, a multitude of different components including free-form
 and canted fins, clustering and staging.
 .
 This package therefore downloads the pre-built OpenRocket .jar file from
 the upstream site and installs it.

Package: opensaml-schemas
Description-md5: b26c003cef6419de1f46460bc48d9d6f
Description-en: Security Assertion Markup Language library (XML schemas)
 OpenSAML is an open source implementation of the OASIS
 Security Assertion Markup Language Specification. It contains a set of open
 source C++ classes that support the SAML 1.0, 1.1, and 2.0 specifications.
 .
 This package contains the XML schema files used by the OpenSAML library.

Package: opensaml-tools
Description-md5: 73097387389fa3e4008186a0be3e8e3c
Description-en: Security Assertion Markup Language command-line tools
 OpenSAML is an open source implementation of the OASIS
 Security Assertion Markup Language Specification. It contains a set of open
 source C++ classes that support the SAML 1.0, 1.1, and 2.0 specifications.
 .
 This package contains samlsign, a command-line tool for signing and
 verifying SAML assertions.

Package: opensaml2-schemas
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: opensaml2-tools
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: opensbi
Description-md5: cc70088e806b8014899740847aee4963
Description-en: RISC-V Open Source Supervisor Binary Interface
 An open-source reference implementation of the RISC-V SBI
 specifications for platform-specific firmwares executing in M-mode.
 .
 The following firmware platforms are provided:
 qemu/virt
 sifive/fu540

Package: opensc
Description-md5: dd2ad5a60d89b751725f27f8b92414df
Description-en: Smart card utilities with support for PKCS#15 compatible cards
 OpenSC provides a set of libraries and utilities to access smart
 cards.  It mainly focuses on cards that support cryptographic
 operations. It facilitates their use in security applications such as
 mail encryption, authentication, and digital signature. OpenSC
 implements the PKCS#11 API. Applications supporting this API, such as
 Iceweasel and Icedove, can use it. OpenSC implements the PKCS#15
 standard and aims to be compatible with all software that does so as
 well.
 .
 Before purchasing any cards, please read carefully documentation in
 https://github.com/OpenSC/OpenSC/wiki - only some cards are
 supported. Not only does card type matters, but also card version,
 card OS version and preloaded applet. Only a subset of possible
 operations may be supported for your card. Card initialization may
 require third party proprietary software.
 .
 This package contains tools for interacting with smart cards.

Package: opensc-pkcs11
Description-md5: 4bfd632319e7965d997cb39daf7d24ac
Description-en: Smart card utilities with support for PKCS#15 compatible cards
 OpenSC provides a set of libraries and utilities to access smart
 cards.  It mainly focuses on cards that support cryptographic
 operations. It facilitates their use in security applications such as
 mail encryption, authentication, and digital signature. OpenSC
 implements the PKCS#11 API. Applications supporting this API, such as
 Iceweasel and Icedove, can use it. OpenSC implements the PKCS#15
 standard and aims to be compatible with all software that does so as
 well.
 .
 Before purchasing any cards, please read carefully documentation in
 https://github.com/OpenSC/OpenSC/wiki - only some cards are
 supported. Not only does card type matters, but also card version,
 card OS version and preloaded applet. Only a subset of possible
 operations may be supported for your card. Card initialization may
 require third party proprietary software.
 .
 This package contains the pkcs11 module.

Package: openscad
Description-md5: 3c8e504bb46e7536b6d63f034d87d42d
Description-en: script file based graphical CAD environment
 OpenSCAD is a software for creating solid 3D CAD objects. It focuses on CAD
 aspects rather than artistic ones.
 .
 OpenSCAD is not an interactive modeller. Instead it is something like a
 3D-compiler that reads in a script file that describes the object and renders
 the 3D model from this script. This gives the designer full control over the
 modelling process and enables him to easily change any step in the modelling
 process or make designes that are defined by configurable parameters.

Package: openscad-mcad
Description-md5: 1a6c24f0c4ffefaf553ced54ca763cb5
Description-en: library for the OpenSCAD 3D modeling software
 The MCAD library is a collection of modules and functions for OpenSCAD. It
 contains boxes, gears, screws, and several generic shapes.
 .
 The library is a kind of a standard library for OpenSCAD.

Package: openscad-testing
Description-md5: c94853fcbdb474f2130fc7f72b14c9b7
Description-en: script file based graphical CAD environment (test suite)
 OpenSCAD is a software for creating solid 3D CAD objects. It focuses on CAD
 aspects rather than artistic ones.
 .
 This package contains all programs required to run the test suites.

Package: openscad-testing-data
Description-md5: 4b06367d2d66f15a3fce27da710c8390
Description-en: script file based graphical CAD environment (test suite data)
 OpenSCAD is a software for creating solid 3D CAD objects. It focuses on CAD
 aspects rather than artistic ones.
 .
 This package contains the data required to run the test suites.

Package: openscap-daemon
Description-md5: 172383b9c05ccb93d70abaeb8da23357
Description-en: Daemon for infrastructure continuous SCAP compliance checks
 This service is a daemon that allows periodic compliance scans of a complete
 infrastructure, supporting local checks, remote checks, VM or Docker checks.
 The daemon is associated with a CLI allowing easy configuration of targets and
 security profiles to evaluate and easy results and reports management

Package: openscenegraph
Description-md5: 27966e992343026df0aa45a868c88816
Description-en: 3D scene graph, utilities and examples (binaries)
 A portable, high level graphics toolkit for the development of high performance
 graphics applications such as flight simulators, games, virtual reality or
 scientific visualization.  Providing an object orientated framework on top of
 OpenGL, it frees the developer from implementing and optimizing low level
 graphics calls, and provide many additional utilities for rapid development of
 graphics applications.
 .
 This package contains utilities and examples (binaries).

Package: openscenegraph-doc
Description-md5: 4331c0bf73a1158c62d9f2f247402d5e
Description-en: 3D scene graph, documentation
 A portable, high level graphics toolkit for the development of high performance
 graphics applications such as flight simulators, games, virtual reality or
 scientific visualization.  Providing an object orientated framework on top of
 OpenGL, it frees the developer from implementing and optimizing low level
 graphics calls, and provide many additional utilities for rapid development of
 graphics applications.
 .
 This package contains the documentation.

Package: openscenegraph-examples
Description-md5: 07eed8e92dbd876b829ff3687c6e11a8
Description-en: 3D scene graph, examples (sources)
 A portable, high level graphics toolkit for the development of high performance
 graphics applications such as flight simulators, games, virtual reality or
 scientific visualization.  Providing an object orientated framework on top of
 OpenGL, it frees the developer from implementing and optimizing low level
 graphics calls, and provide many additional utilities for rapid development of
 graphics applications.
 .
 This package contains examples (sources).

Package: openscenegraph-plugin-osgearth
Description-md5: 59ff123d84dafad7967ad2c22db3b568
Description-en: OpenSceneGraph plugins for osgEarth
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains the OpenSceneGraph plugins.

Package: opense-basic
Description-md5: 4100a3f7bdf50934ac4126afa10e54ba
Description-en: Free software ROM for the Sinclair ZX Spectrum
 OpenSE BASIC is a replacement ROM for the ZX Spectrum for use with
 emulators or real machines.
 .
 Some of the highlights include a faster and better version of
 Sinclair BASIC, an enhanced editor, AY support, ULAplus support,
 improved SCREEN$ handling for UDGs and 8-bit character sets and a
 faster and more accurate floating-point library.
 .
 OpenSE BASIC remains compatible with the majority of Spectrum
 software and hardware.
 .
 It can replace the original ROM in 16K/48K models out of the box.
 It's also possible to run it in 128K models, but note that it does
 not include many functionalities present in the original ROMs of
 those machines, such as the 128K menu, editor and +3DOS.

Package: openshift-imagebuilder
Description-md5: 9316ebf92cfcd89c2ac6d7170c242e8e
Description-en: Builds container images using Dockerfile as imput
 This package supports using the Dockerfile syntax to build OCI & Docker
 compatible images, without invoking a container build command such
 as buildah bud or docker build. It is intended to give clients more
 control over how they build container images, including:
  - Instead of building one layer per line, run all instructions in the
    same container
  - Set HostConfig settings like network and memory controls that are
    not available when running container builds
  - Mount external files into the build that are not persisted as part
    of the final image (i.e. "secrets")
  - If there are no RUN commands in the Dockerfile, the container is
    created and committed, but never started.  The final image should be
    99.9% compatible with regular container builds, but bugs are always
    possible.
 .
 This package provides the imagebuilder command-line utility.

Package: openshot
Description-md5: 2192122ebffb7641f11e1b151cbe1ac3
Description-en: create and edit videos and movies (transitional package)
 This is a transitional package. It can safely be removed.

Package: openshot-doc
Description-md5: c6345288186f70e48e035f4a843a07a7
Description-en: documentation for openshot-qt (transitional package)
 This is a transitional package. It can safely be removed.

Package: openshot-qt
Description-md5: d0035544cec02915d34bb77bba1b62d4
Description-en: create and edit videos and movies
 OpenShot Video Editor is a free, open-source, non-linear video editor. It
 can create and edit videos and movies using many popular video, audio, and
 image formats. Create videos for YouTube, Flickr, Vimeo, Metacafe, iPod,
 Xbox, and many more common formats!
 .
 Features include:
  * Multiple tracks (layers)
  * Compositing, image overlays, and watermarks
  * Support for image sequences (rotoscoping)
  * Key-frame animation
  * Video and audio effects (chroma-key)
  * Transitions (lumas and masks)
  * 3D animation (titles and physics simulations)
  * Chroma key (green screen & blue screen)
  * Transcode (convert video encodings)
  * Upload videos (YouTube and Vimeo supported)

Package: openshot-qt-doc
Description-md5: b61b439d2cbb6c63efcbc386db269fb0
Description-en: documentation for openshot-qt
 This is the official help manual for OpenShot Video Editor, a free,
 open-source, non-linear video editor. Learn how to edit videos, add
 watermarks, apply effects such as chroma key, and transition between
 videos. Also, learn how to become a developer, with step by step
 instructions.

Package: openslide-tools
Description-md5: 95935d7deadada0e6c92dc5a2cf77f40
Description-en: Manipulation and conversion tools for OpenSlide
 OpenSlide is a C library that provides a simple interface to read whole-slide
 images also known as virtual slides.
 .
 Whole-slide images, also known as virtual slides, are large, high resolution
 images used in digital pathology. Reading these images using standard image
 tools or libraries is a challenge because these tools are typically designed
 for images that can comfortably be uncompressed into RAM or a swap file.
 Whole-slide images routinely exceed RAM sizes, often occupying tens of
 gigabytes when uncompressed. Additionally, whole-slide images are typically
 multi-resolution, and only a small amount of image data might be needed at a
 particular resolution.
 .
 This library currently supports:
  - Aperio (.svs, .tif)
  - Hamamatsu (.vms, .vmu, .ndpi)
  - Leica (.scn)
  - MIRAX (.mrxs)
  - Sakura (.svslide)
  - Trestle (.tif)
  - Generic tiled TIFF (.tif)
 .
 This package contains command line tools for file manipulation.

Package: opensm
Description-md5: aa0213ccb9da6844f500ef5a01217d72
Description-en: InfiniBand subnet manager
 OpenSM provides an implementation of an InfiniBand Subnet Manager (SM) and
 Administrator (SA). One Subnet Manager is required to run on each InfiniBand
 subnet in order to initialize the InfiniBand hardware.

Package: opensm-doc
Description-md5: 78901d656671befe9c6fc794bd3fa2d7
Description-en: Documentation for the InfiniBand subnet manager
 OpenSM provides an implementation of an InfiniBand Subnet Manager (SM) and
 Administrator (SA). One Subnet Manager is required to run on each InfiniBand
 subnet in order to initialize the InfiniBand hardware.
 .
 This package contains the documentation for the opensm InfiniBand subnet
 manager.

Package: opensmtpd
Description-md5: be8df8944be13df6be34d92bf71cf048
Description-en: secure, reliable, lean, and easy-to configure SMTP server
 The OpenSMTPD server seeks to be
  * as secure as possible, and uses privilege separation to mitigate
    possible security bugs
  * as reliable as possible: any accepted email must not be lost
  * lean: it covers typical usage cases instead of every obscure one
  * easy to configure, with a configuration syntax reminiscent of the OpenBSD
    Packet Filter's (PF)
  * fast and efficient: it can handle large queues with reasonable performance

Package: opensmtpd-extras
Description-md5: 530a8eae9cfabd19d898e3f388bdcb98
Description-en: addons for the OpenSMTPD SMTP server
 This package provides a subset of addons for OpenSMTPD, including
 experimental ones. Please see each for a description of additional
 dependencies.
  * Tables:
    + ldap: support lookup against LDAP
    + mysql: support lookup against MySQL
    + passwd: support lookup in passwd-format tables
    + postgres: support lookup against PostgreSQL
    + redis: support lookup against a Redis server
    + socketmap: support lookups against sockets
    + sqlite: support lookup in SQLite tables

Package: opensp
Description-md5: fabc6e3cb88f70debefafddb3ad99079
Description-en: OpenJade group's SGML parsing tools
 This package is a collection of SGML/XML tools called OpenSP.
 It is a fork from James Clark's SP suite.
 .
 These tools are used to parse, validate, and normalize SGML and XML
 files.  The central programs included in this package are 'onsgmls',
 which replaces sgmls, 'ospam', 'ospent', 'osgmlnorm', and 'osx'.

Package: openssh-client-ssh1
Description-md5: 0a3748ca6a712bbffcc838280a2dede7
Description-en: secure shell (SSH) client for legacy SSH1 protocol
 This is the portable version of OpenSSH, a free implementation of
 the Secure Shell protocol as specified by the IETF secsh working
 group.
 .
 Ssh (Secure Shell) is a program for logging into a remote machine
 and for executing commands on a remote machine.
 It provides secure encrypted communications between two untrusted
 hosts over an insecure network. X11 connections and arbitrary TCP/IP
 ports can also be forwarded over the secure channel.
 It can be used to provide applications with a secure communication
 channel.
 .
 This package provides the ssh1 and scp1 clients and the ssh-keygen1
 utility, all built with support for the legacy SSH1 protocol. This
 protocol is obsolete and should not normally be used, but in some cases
 there may be no alternative way to connect to outdated servers.
 .
 In some countries it may be illegal to use any encryption at all
 without a special permit.
 .
 ssh replaces the insecure rsh, rcp and rlogin programs, which are
 obsolete for most purposes.

Package: openssh-known-hosts
Description-md5: db2ad9b96486aea2524619295d95d863
Description-en: download, filter and merge known_hosts for OpenSSH
 This package allows you to download public hostkeys from multiple sources,
 filter the hostnames coming with them and merge them together into one
 file for use by OpenSSH. Plugins included:
  * curl (optional GnuPG verification)
  * rsync (optional GnuPG verification)
  * psql
  * symlink
 New plugins can easily be written.

Package: openssh-tests
Description-md5: bb7db5bf0b75d064182f67ac05d849c9
Description-en: OpenSSH regression tests
 This package provides OpenSSH's regression test suite.  It is mainly
 intended for use with the autopkgtest system, though can also be run
 directly using /usr/lib/openssh/regress/run-tests.

Package: openssn
Description-md5: 1ac1eccb14c5ebddcd8de81b790d66c4
Description-en: modern submarine tactical simulator
 OpenSSN is a submarine simulation (subsim) which tries to emulate the
 behaviour of modern submarines. The player is placed in command of a
 submarine and is able to move about in a deep ocean environment.

Package: openssn-data
Description-md5: 16c4c34bfaaa3e69d5638bdd237c659e
Description-en: modern submarine tactical simulator (data)
 OpenSSN is a submarine simulation (subsim) which tries to emulate the
 behaviour of modern submarines. The player is placed in command of a
 submarine and is able to move about in a deep ocean environment.
 .
 This package contains data files for OpenSSN.

Package: opensta
Description-md5: 53fb91b7d0b6ff9d67b0bc0671ec6551
Description-en: Gate-level Static Timing Analyzer
 After synthesis, place and route of a digital circuit, it is necessary to
 verify the timing of the design. OpenSTA is a tool for doing exactly that. It
 has a Tcl interface for entering commands for analysing designs.
 .
 It typically takes as input a verilog netlist, a liberty file, and other
 parasitics information from the placed and routed design.

Package: opensta-dev
Description-md5: c2754e7c6a1fb7fc47fd67164412c022
Description-en: Gate-level Static Timing Analyzer - development files
 After synthesis, place and route of a digital circuit, it is necessary to
 verify the timing of the design. OpenSTA is a tool for doing exactly that. It
 has a Tcl interface for entering commands for analysing designs.
 .
 It typically takes as input a verilog netlist, a liberty file, and other
 parasitics information from the placed and routed design.
 .
 This package contains the header files and some libraries for development.

Package: openstack-dashboard-ubuntu-theme
Description-md5: 40d46eeff72f593d414a29c360430556
Description-en: Transitional dummy package for Ubuntu theme for Horizon
 This transitional package is safe to remove and use openstack-dashboard
 instead, which now contains the Ubuntu theme.

Package: openstack-debian-images
Description-md5: c48d8568bd43f0c48775fea58b90acaf
Description-en: script to build a Debian image for OpenStack
 This package contains a script which is helpful for creating images to be used
 with the OpenStack IaaS cloud software suite. It uses only tools available
 within Debian.

Package: openstack-pkg-tools
Description-md5: ae8454f95e7cf3f18fe425bb45af1fe8
Description-en: Tools and scripts for building Openstack packages in Debian
 This package contains some useful shell scripts and helpers for building the
 OpenStack packages in Debian, including:
 .
  * shared code for maintainer scripts (.config, .postinst, ...).
  * init script templates to automatically generate init scripts for sysv-rc and
    systemd.
  * tools to build backports using sbuild and/or Jenkins based on gbp workflow.
  * utility to maintain git packaging (to be included in a debian/rules).
 .
 Even if this package is maintained in order to build OpenStack packages, it is
 of a general purpose, and it can be used for building any package.

Package: openstereogram
Description-md5: fd1ddec4c2c3ed4e7f2185671dd19eaa
Description-en: Stereogram ("Magic Eye") generator with GUI
 A desktop application written in Java to generate autosterograms,
 made popular through the book "Magic Eye." Users create images which appear to
 pop out in 3D when looked at cross-eyed or wall-eyed (parallel vision).

Package: opensubdiv-tools
Description-md5: fb728ea66350749ed112181c5f0da0eb
Description-en: high performance subdivision surface (subdiv) library - tools
 OpenSubdiv is a set of open source libraries that implement high
 performance subdivision surface (subdiv) evaluation on massively
 parallel CPU and GPU architectures. This codepath is optimized for
 drawing deforming subdivs with static topology at interactive
 framerates. The resulting limit surface matches Pixar's Renderman to
 numerical precision.
 .
 This package provides the official tools.

Package: opensurgsim-doc
Description-md5: fe9f22cdcb7d5c1e8329deb2af496848
Description-en: Free platform for surgical simulation - documentation
 OpenSurgSim is C++ toolkit for developing real-time surgical simulations. It
 lets you build simulators using the included building blocks, such as input
 device support, haptic feedback, graphics, collision detection and physics
 simulation.
 .
 This package contains the Doxygen documentation files.

Package: opensysusers
Description-md5: ee000ab61e60a76c37f8d350c55acd8a
Description-en: processes sysusers.d directory to create system users
 Opensysusers uses the files from sysusers.d directory to create system users
 and groups and to add users to groups, at package installation or boot time.
 This tool may be used to allocate system users and groups only, it is not
 useful for creating non-system (i.e. regular, "human") users and groups, as it
 accesses /etc/passwd and /etc/group directly, bypassing any more complex user
 databases, for example any database involving NIS or LDAP.
 .
 Opensysusers is an alternative implementation of systemd-sysusers that may
 work on systems with or without systemd installed.

Package: openthesaurus-de-text
Description-md5: 4de8b905136a8386f3a4965ffb17db2f
Description-en: German Text Thesaurus for e.g. ding
 This package contains the German OpenThesaurus (www.openthesaurus.de) thesaurus
 for usage with e.g. ding.

Package: opentmpfiles
Description-md5: 5ed19d39ffb4ba202d01b32a18573d26
Description-en: standalone utility written to process systemd-style tmpfiles.d files
 This is a standalone utility written to process systemd-style tmpfiles.d files
 so that they can be handled on systems with or without systemd installed.

Package: openttd
Description-md5: 28535931167e1de8391caf9d3d65b989
Description-en: reimplementation of Transport Tycoon Deluxe with enhancements
 OpenTTD is a reimplementation of the Microprose game "Transport
 Tycoon Deluxe" with lots of new features and enhancements.
 .
 OpenTTD is playable with the free graphics files from the openttd-opengfx
 package and optional sound files from the openttd-opensfx package (which is in
 non-free). Alternatively, OpenTTD can use the graphics files from the original
 Transport Tycoon Deluxe game (See README.Debian on how to set this up).

Package: openttd-data
Description-md5: e6838370e9aa4c3125f970976271d1b3
Description-en: common data files for the OpenTTD game
 This package contains data files like language files, scripts and some
 auxiliary graphics.  It does not include any full graphics or sound sets,
 which still need to be installed separately to play OpenTTD.

Package: openttd-opengfx
Description-md5: 426f836f9a1d1ff242341d8d29d479c8
Description-en: free graphics set for use with the OpenTTD game
 OpenGFX is a graphics set for the OpenTTD game that has been built from
 scratch under an open license. It allows the OpenTTD game to be played without
 needing the graphics files from the original Transport Tycoon Deluxe game.

Package: openttd-openmsx
Description-md5: 784f9300db7e19cb22711232cd1c51c9
Description-en: free music set for use with the OpenTTD game
 OpenMSX is a music set for the OpenTTD game that has been built from
 scratch under an open license. It allows the OpenTTD game to be played
 without needing the music files from the original Transport Tycoon
 Deluxe game.

Package: openturns-examples
Description-md5: 0c98424113e5c392e4007c850dc8a0d6
Description-en: examples of OpenTURNS functionalities
 OpenTURNS is a powerful and generic tool to treat and quantify
 uncertainties in numerical simulations in design, optimization and
 control. It allows both sensitivity and reliability analysis studies:
  * defining the outputs of interest and decision criterion;
  * quantify and model the source of uncertainties;
  * propagate uncertainties and/or analyse sensitivity and
  * rank the sources of uncertainty
 .
 Targeted users are all engineers who want to introduce the
 probabilistic dimension in their so far deterministic studies.
 .
 This package provides C++ and Python examples.

Package: openuniverse
Description-md5: 393ccb0f77edc9401d3c6305619b9ee7
Description-en: 3D Universe Simulator
 OpenUniverse (OU for short) is a fun, fast and free OpenGL space simulator. It
 currently focuses on the Solar System and lets you visit all of its planets,
 most major moons and a vast collection of smaller bodies in colorful, glorious
 and realtime 3D. If you've ever had a chance to visit Mercury or asteroid
 Geographos, here you'll find them looking exactly the same way, following
 exactly the same path as when you've left them.
 .
 Notice that OpenUniverse is not actively being maintained anymore and that
 users are recommended to use Celestia instead.

Package: openuniverse-common
Description-md5: b91f3ac9f323056d52afa5c430426477
Description-en: 3D Universe Simulator data files
 OpenUniverse (OU for short) is  a fun, fast and free OpenGL space simulator.
 It currently focuses on the Solar System and lets you visit all of its
 planets, most major moons and a vast collection of smaller bodies in colorful,
 glorious and realtime 3D.
 .
 This package contains all the data that the program needs to run
 (textures, star data catalogues, 3D models, fonts) or enhances it (manuals)
 under /usr/share/openuniverse.

Package: openvanilla-imgeneric
Description-md5: 43e39edf68db3603c2136c4063b80b78
Description-en: libraries of openvanilla input method
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package contains openvanilla's library files.

Package: openvanilla-imgeneric-data-all
Description-md5: 06823b4ae237440a8d8634a6663f02ba
Description-en: libraries of openvanilla input method - data files meta package
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package pulls in openvanilla-imgeneric-data-* data files.

Package: openvanilla-imgeneric-data-ja
Description-md5: dcf72b402deb6286e5a9813c9e5d951e
Description-en: libraries of openvanilla input method - Japanese data files
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package contains openvanilla's Japanese data files.

Package: openvanilla-imgeneric-data-ko
Description-md5: 9050bebfe014d7166e33954cb4208ce6
Description-en: libraries of openvanilla input method - Korean data files
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package contains openvanilla's Korean data files.

Package: openvanilla-imgeneric-data-th
Description-md5: ad62cfbd148829b067a587bee1d9b0b3
Description-en: libraries of openvanilla input method - Thai data files
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package contains openvanilla's Thai data files.

Package: openvanilla-imgeneric-data-vi
Description-md5: 4de6997bbeeab7444e8b2029ab0d41b9
Description-en: libraries of openvanilla input method - Vietnamese data files
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package contains openvanilla's Vietnamese data files.

Package: openvanilla-imgeneric-data-zh-cn
Description-md5: fec55c1eccfc151dd76dc7f616e72c59
Description-en: libraries of openvanilla input method - Chinese (Simplified) data files
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package contains openvanilla's Chinese (Simplified) data files.

Package: openvanilla-imgeneric-data-zh-hk
Description-md5: fdc9f4d691cd6339d2b0c2231945850c
Description-en: libraries of openvanilla input method - Chinese (Hongkong) data files
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package contains openvanilla's Chinese (Hongkong) data files.

Package: openvanilla-imgeneric-data-zh-tw
Description-md5: 128290320799e7890ae2a8fd4f63bf8a
Description-en: libraries of openvanilla input method - Chinese (Traditional) data files
 OpenVanilla is a collection of popular CJK and symbol input methods
 and language tools.
 .
 This package contains openvanilla's Chinese (Traditional) data files.

Package: openvas
Description-md5: 913fe707b486671f7cc65e37ee266a1d
Description-en: remote network security auditor - dummy package
 The Open Vulnerability Assessment System is a modular security auditing tool,
 used for testing remote systems for vulnerabilities that should be fixed.
 .
 It is made up of several parts: a manager i.e. the main server openvasmd.
 A scanner openvassd that execute vulnerability checking scripts. A cli and
 a web interface called Greenbone Security Assistant gsad.

Package: openvas-cli
Description-md5: 65873c18c6cd508820f257204d0afe65
Description-en: Command Line Tools for OpenVAS
 OpenVAS-CLI collects command line tools
 to handle with the OpenVAS services via the respective
 protocols.

Package: openvas-manager
Description-md5: 1b3e51ba0ecbb561709fff5c455cf2c2
Description-en: Manager Module of OpenVAS
 The OpenVAS-Manager is a layer between OpenVAS-Scanner and various client
 applications such as OpenVAS-Client or Greenbone Security Assistant.  Among
 other features, it adds server-side storage of scan results and it makes it
 unnecessary for scan clients to keep connection until a scan finishes.

Package: openvas-manager-common
Description-md5: f7d0fd428dae9cfe6e4a21ceed6763b6
Description-en: architecture independent files for openvas-manager
 The OpenVAS-Manager is a layer between OpenVAS-Scanner and various client
 applications such as OpenVAS-Client or Greenbone Security Assistant.  Among
 other features, it adds server-side storage of scan results and it makes it
 unnecessary for scan clients to keep connection until a scan finishes.
 .
 This package contains the common openvas-manager
 files shared by all architectures.

Package: openvas-nasl
Description-md5: 71b33ccf582e07e9c0e51e9397686d7a
Description-en: remote network security auditor - nasl tool
 The Open Vulnerability Assessment System is a modular security auditing
 tool, used for testing remote systems for vulnerabilities that should be
 fixed.
 .
 It is made up of two parts: a server, and a client. The server/daemon,
 openvasd, is in charge of the attacks, whereas the client,
 OpenVAS-Client, provides an X11/GTK+ user interface.
 .
 This package contains the openvas-nasl tool used to execute NASL scripts
 against hosts.

Package: openvas-scanner
Description-md5: 17ee7b6b33d14ca813b688eacbe5ada3
Description-en: remote network security auditor - scanner
 The Open Vulnerability Assessment System is a modular security auditing
 tool, used for testing remote systems for vulnerabilities that should be
 fixed.
 .
 It is made up of two parts: a scan server, and a client. The scanner/daemon,
 openvassd, is in charge of the attacks, whereas the client,
 OpenVAS-Client, provides an X11/GTK+ user interface.
 .
 This package provides the scanner.

Package: openvpn-auth-ldap
Description-md5: e1e09ddd84686d578add3979f29be545
Description-en: OpenVPN LDAP authentication module
 A plugin that implements username/password authentication via
 LDAP for OpenVPN 2.x. It features:
 .
  * Simple Apache-style configuration file.
  * LDAP group-based access restrictions.
  * Will authenticate against any LDAP server that supports LDAP
    simple binds -- including Active Directory.

Package: openvpn-auth-radius
Description-md5: b921300e532e25170ff387519a03bf6b
Description-en: OpenVPN RADIUS authentication module
 A plugin for OpenVPN 2.x that implements authentication of users against a
 RADIUS server. It features:
 * RADIUS authentication and accounting support for OpenVPN
 * analysis of RADIUS attributes:
   o framed ip address
   o framed routes
   o acct interim interval
   o vendor specific attributes by additional scripts

Package: openvpn-systemd-resolved
Description-md5: c526cf1da064266c33bdd7c104c80419
Description-en: integrates OpenVPN with systemd-resolved
 This is a helper script designed to integrate OpenVPN with the
 systemd-resolved service via DBus instead of trying to override
 /etc/resolv.conf, or manipulate systemd-networkd configuration files.
 .
 Since systemd-229, the systemd-resolved service has an API available via DBus
 which allows directly setting the DNS configuration for a link. This script
 makes use of busctl from systemd to send DBus messages to systemd-resolved to
 update the DNS for the link created by OpenVPN.

Package: openvswitch-pki
Description-md5: 7bc9647531060a37f72077a192bd67e9
Description-en: Open vSwitch public key infrastructure dependency package
 Open vSwitch is a production quality, multilayer, software-based,
 Ethernet virtual switch. It is designed to enable massive network
 automation through programmatic extension, while still supporting
 standard management interfaces and protocols (e.g. NetFlow, IPFIX,
 sFlow, SPAN, RSPAN, CLI, LACP, 802.1ag). In addition, it is designed
 to support distribution across multiple physical servers similar to
 VMware's vNetwork distributed vswitch or Cisco's Nexus 1000V.
 .
 openvswitch-pki provides PKI (public key infrastructure) support for
 Open vSwitch switches and controllers, reducing the risk of
 man-in-the-middle attacks on the Open vSwitch network infrastructure.

Package: openvswitch-source
Description-md5: 9c0e76c547ece8b74742dcb3cd0aadc2
Description-en: Open vSwitch source code
 Open vSwitch is a production quality, multilayer, software-based,
 Ethernet virtual switch. It is designed to enable massive network
 automation through programmatic extension, while still supporting
 standard management interfaces and protocols (e.g. NetFlow, IPFIX,
 sFlow, SPAN, RSPAN, CLI, LACP, 802.1ag). In addition, it is designed
 to support distribution across multiple physical servers similar to
 VMware's vNetwork distributed vswitch or Cisco's Nexus 1000V.
 .
 This package contains the full Open vSwitch source code to support
 use with the Open Virtual Network (OVN) build.

Package: openvswitch-test
Description-md5: 5e96c70d93748d31d15d453a8ccec079
Description-en: Open vSwitch test package
 Open vSwitch is a production quality, multilayer, software-based,
 Ethernet virtual switch. It is designed to enable massive network
 automation through programmatic extension, while still supporting
 standard management interfaces and protocols (e.g. NetFlow, IPFIX,
 sFlow, SPAN, RSPAN, CLI, LACP, 802.1ag). In addition, it is designed
 to support distribution across multiple physical servers similar to
 VMware's vNetwork distributed vswitch or Cisco's Nexus 1000V.
 .
 This package contains utilities that are useful to diagnose
 performance and connectivity issues in Open vSwitch setup.

Package: openvswitch-testcontroller
Description-md5: 55827d9f036c6bc8e9b4979e18054a4e
Description-en: Simple controller for testing OpenFlow setups
 This controller enables OpenFlow switches that connect to it to act
 as MAC-learning Ethernet switches.  It can be used for initial
 testing of OpenFlow networks.  It is not a necessary or desirable
 part of a production OpenFlow deployment.

Package: openvswitch-vtep
Description-md5: be45108f46f34bd9625673e3854b516b
Description-en: Open vSwitch VTEP utilities
 Open vSwitch is a production quality, multilayer, software-based, Ethernet
 virtual switch. It is designed to enable massive network automation through
 programmatic extension, while still supporting standard management interfaces
 and protocols (e.g. NetFlow, sFlow, SPAN, RSPAN, CLI, LACP, 802.1ag). In
 addition, it is designed to support distribution across multiple physical
 servers similar to VMware's vNetwork distributed vswitch or Cisco's Nexus
 1000V.
 .
 This package provides utilities that are useful to interact with a
 VTEP-configured database and a VTEP emulator.

Package: openwince-include
Description-md5: f51a24d4ef6fd83423691b33011e63bf
Description-en: Common include files for the open wince project
 The openwince-include package contains all common include files
 for the open wince project. (http://openwince.sourceforge.net/)

Package: openwince-jtag
Description-md5: 5a16ccd73a199d6a526974d13dc0afde
Description-en: allows programming jtag capable devices such as CPUs or FPGAs
 The openwince-jtag package contains the jtag tool which allows
 working with JTAG aware hardware devices and boards using a JTAG adaptor.
 It's possible to program new firmware into flash chips or download bitstreams
 to FPGAs with this tool.

Package: openwsman
Description-md5: f8201248a0493602de7cc25be9fff901
Description-en: Open Web Services Manager
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides a basic WS Manager daemon.

Package: openyahtzee
Description-md5: c083d7c172dd0c6af5aea037edbd8d80
Description-en: classic dice game of Yahtzee
 Open Yahtzee is a version of the classic dice game
 Yahtzee. Also known as Knubbel or Pasch.
 .
 Open Yahtzee is built to be a full-featured Yahtzee
 implementation, with a user-friendly interface
 including animated dice rolls.
 .
 For other implementations see the gtali game in the
 gnome-games package.

Package: opgpcard
Description-md5: ee67ca8feaaf2108c842df9b4c04ede3
Description-en: tool to create printable business cards including OpenPGP
 Tool to create VCard, QR code and/or SVG printable business cards
 including OpenPGP key and fingerprint.

Package: ophcrack
Description-md5: 8750a0827df1da0092229592f99f7337
Description-en: Microsoft Windows password cracker using rainbow tables (gui)
 Ophcrack is a Windows password cracker based on a time-memory trade-off
 using rainbow tables. This is a new variant of Hellman's original trade-off,
 with better performance. It recovers 99.9% of alphanumeric passwords in
 seconds.
 .
 It works for Windows NT/2000/XP/Vista/7.
 .
 This package contains ophcrack with QT4 based graphical UI.
 Please note that it can be used in command line as well.

Package: ophcrack-cli
Description-md5: f9dc6f2893138861b1bd88b5c5b5f44f
Description-en: Microsoft Windows password cracker using rainbow tables (cmdline)
 Ophcrack is a Windows password cracker based on a time-memory trade-off
 using rainbow tables. This is a new variant of Hellman's original trade-off,
 with better performance. It recovers 99.9% of alphanumeric passwords in
 seconds.
 .
 It works for Windows NT/2000/XP/Vista/7.
 .
 This package contains ophcrack with command line interface only.

Package: oping
Description-md5: 3576bf590bd8db05a970e07cf571c091
Description-en: sends ICMP_ECHO requests to network hosts
 oping uses ICMP packages (better known as "ping packets") to test the
 reachability of network hosts. It supports pinging multiple hosts in parallel
 using IPv4 and/or IPv6 transparently.
 .
 This package contains two command line applications: "oping" is a replacement
 for tools like ping(1), ping6(1) and fping(1). "noping" is an ncurses-based
 tool which displays statistics while pinging and highlights aberrant
 round-trip times.

Package: opl3-soundfont
Description-md5: d60f462c7f7351b9301bcaa034eadc7d
Description-en: OPL3 SoundFont that simulates the sound of an OPL3 chip
 This package provides a soundfont (sf2) that can be used by FluidSynth,
 Timidity and WildMidi to play MIDI (and MIDI-like files) using the samples
 created by the OPL3 (SB16/YM262) chip. This also allows applications like
 DOSBox, ZDoom and others to play back MIDI without having to emulate the
 OPL3, which can be costly in terms of CPU usage to emulate accurately.
 .
 This package will be installed into /usr/share/sounds/sf2/.

Package: oprofile
Description-md5: 549ceaf3c0f6d8cbcd8c7e246e46f1d1
Description-en: system-wide profiler for Linux systems
 OProfile is a performance profiling tool for Linux systems, capable
 of profiling all running code at low overhead.  It consists of a
 daemon for collecting sample data, plus several post-profiling tools
 for turning data into information.
 .
 OProfile leverages the hardware performance counters of the CPU to
 enable profiling of a wide variety of interesting statistics, which
 can also be used for basic time-spent profiling. All code is profiled:
 hardware and software interrupt handlers, kernel modules, the kernel,
 shared libraries, and applications (the only exception being the
 OProfile interrupt handler itself). Note that different architectures
 can use different hardware mechanisms to collect data.
 .
 OProfile is currently in alpha status; however it has proven stable over
 a large number of differing configurations. As always, there is no warranty.

Package: opt
Description-md5: 2febe217b921608e897447070314bbcf
Description-en: Options Parsing Tool library
 opt is a subroutine library which facilitates the convenient input
 of parameters to a C program. Parameters are parsed from a command
 line, with further facilities for reading options from files, from
 environment strings, or from an interactive environment. The aim of
 the opt package is to permit programs to be both user- and
 programmer- friendly. The package attempts to on the one hand
 provide a direct and relatively full-featured input interface to the
 ultimate user of the program, and at the same time impose a minimal
 amount of work on the programmer to "attach" the package to his or
 her software. It is similar to GNU's (and AT&T's old) getopts
 package, but with a different interface that might be easier to use.

Package: optcomp
Description-md5: 111e370f48844286f7955382438da84f
Description-en: syntax extension for optional compilation with cpp-like directives (tools)
 Optcomp is a syntax extension which handles #if, #else, ... directives
 in OCaml source files. Compared to cpp:
  * it does not interpret //, /*, and */ as comment delimiters
  * it does not complains about missing '
  * it is easier to integrate in the build process when using other
    camlp4 syntax extensions
  * it does not do macro expansion while cpp does
 Compared to pa_macro, it does not require code that will be dropped to
 be valid OCaml code. This can be useful for code that optionnally uses
 GADTs, but can be compiled with older versions of OCaml.
 .
 This package contains command-line tools.

Package: optgeo
Description-md5: 406067502a81ef12f52608251407b9fc
Description-en: simulator for geometrical optics
 optgeo is a program to plot the movement of rays through an optic
 equipment. Many pieces, like lenses, mirrors, curved mirrors can be
 assembled.

Package: opticalraytracer
Description-md5: 9d5556a3498d491d9eef067dfdceeb06
Description-en: Virtual lens/mirror design workshop
 OpticalRayTracer is an application that analyzes systems of lenses and mirrors.
 It uses optical principles and a virtual optical bench to predict the behavior
 of many kinds of ordinary and exotic lens types as well as flat and curved
 mirrors. OpticalRayTracer includes an advanced, easy-to-use interface that
 allows the user to rearrange the optical configuration by dragging objects
 around using the mouse.
 .
 OpticalRayTracer fully analyzes lens optical properties, including refraction
 and dispersion. The dispersion display uses color-coded light beams to simplify
 interpretation of the results.
 .
 OpticalRayTracer allows the creation of mirrors, flat and curved. In modern
 optical designs, mirrors often produce better results than lenses, for example
 in astronomical instruments. Such instruments can be roughed out in
 OpticalRayTracer's virtual workbench.

Package: optimir
Description-md5: 759e6ff9eaeea6644852b82160c2085c
Description-en: Integrating genetic variations in miRNA alignment
 OptimiR is a miRSeq data alignment workflow. It integrates genetic information
 to assess the impact of variants on miRNA expression.
 .
 OptimiR: A bioinformatics pipeline designed to detect and quantify miRNAs,
 isomiRs and polymiRs from miRSeq data, & study the impact of genetic
 variations on polymiRs' expression.

Package: opus-tools
Description-md5: 81a2ab9e7504c6a5243563d545841309
Description-en: Opus codec command line tools
 This package provides the reference implementations of encoder and decoder
 utilities for libopus.  People implementing their own support for Opus are
 encouraged to check their code for interoperability with these tools.

Package: opus-tools-dbg
Description-md5: 60729331b2bd0e4102c3582944caf82e
Description-en: debugging symbols for opus-tools
 This package provides the detached debug symbols for opus-tools.

Package: orage
Description-md5: 28c052fed7c9a56336bef38b89e46ec7
Description-en: Calendar for Xfce Desktop Environment
 This package contains a calendar for the Xfce4 desktop environment.
 It integrates itself nicely into the desktop environment, is highly
 configurable and supports alerts based on dates. If you are looking
 for a good graphical calendar, you will probably want to try out
 orage. It stores its data in iCal format.

Package: orage-data
Description-md5: f663224f099a355211802dc8b4a09a2f
Description-en: Calendar for Xfce Desktop Environment (data files)
 This package contains architecture-independant files for Orage, a calendar for
 the Xfce4 desktop environment.

Package: orbital-eunuchs-sniper
Description-md5: 08ade70fb0fe68887932ba5a9da98f35
Description-en: anti-terrorist, pro-Eunuchs, satellite sniping game
 Orbital Eunuchs Sniper is an overhead shooting game. You (the Eunuch on
 the orbital laser platform) are tasked with protecting the VIPs (blue)
 from the terrorists (red)!

Package: orbital-eunuchs-sniper-data
Description-md5: 5f8d77c35d706810ee3c4239f38f9235
Description-en: game data files for the game Orbital Eunuchs Sniper
 Orbital Eunuchs Sniper is an overhead shooting game. You (the Eunuch on
 the orbital laser platform) are tasked with protecting the VIPs (blue)
 from the terrorists (red)!
 .
 This package includes the game image, sound, and music files.

Package: orca-sops
Description-md5: bfb0a1a80928a0eb0d3d14f569261d38
Description-en: simple orca plugin system
 The simple orca plugin system is an interface to enhance Orca with custom
 commands. The simple interface allows the usage of any executable (including
 scripts such as shell scripts) to provide information to the user, while the
 more advanced API allows for modification of all parts of the screen reader by
 accessing its internal state. Plugins are called using a configurable key
 stroke.
 .
 This package comes with two example plugins, one to speak the current clip
 board content and another to announce the current desktop work space.

Package: oregano
Description-md5: 6dad0b3e28b4583be5431abcea54d90f
Description-en: tool for schematical capture of electronic circuits
 Oregano is a GNOME application for schematic capture and printing of
 electronic circuits. It can simulate the circuits using Gnucap,
 ng-spice or Berkeley spice.

Package: org-mode
Description-md5: ec2a60b381f7be933b614f16df540152
Description-en: Transition Package, org-mode to elpa-org
 The Org emacs addon has been elpafied. This dummy package
 helps ease transition from org-mode to elpa-org.

Package: origami
Description-md5: c68aa71d52ad18dedf56355f0bc6716b
Description-en: command-line management tool for Folding @ Home clients
 origami allows you to install, monitor, archive or restore Folding @
 Home data on your local machine.  It also allows for central
 deployment, monitoring, archiving, restoration and updating the
 username and team data via the network to ssh-accessible machines.
 origami supports cron-based scheduling, 32bit or 64bit and network
 proxy settings.

Package: origami-pdf
Description-md5: 21cc9dffc94fd066f30cd4bf106815eb
Description-en: PDF analysis and manipulation tool
 Origami is a PDF-compliant parser. It provides a scripting tool to generate
 and analyze malicious PDF files. It can also be used to create on-the-fly
 customized PDFs, or to inject (evil) code into already existing documents.
 .
 This package contains command line tools to analyse and manipulate PDF's as
 well as a GUI tool to explore the structure of PDF files.

Package: original-awk
Description-md5: 7a3c565b081bc0f03d9e79a6fd87fe27
Description-en: The original awk described in "The AWK Programming Language"
 This is the version of awk described in "The AWK Programming Language",
 by Al Aho, Brian Kernighan, and Peter Weinberger
 (Addison-Wesley, 1988, ISBN 0-201-07981-X).

Package: oroborus
Description-md5: b03254885506e0a88e063179fdc72a32
Description-en: A lightweight themeable windowmanager for X
 Oroborus is a small and simple window manager which does exactly what
 it says it does, manage windows. There is no fancy doc, clip, wharf, or a
 root menu for that matter. These utilities can be provided by other programs
 such as "deskmenu". Oroborus has support for Gnome and session management,
 or can be run as a stand-alone window manager. This package has also been
 compiled with Xinerama support.
 .
 Oroborus is small, about 72Kb at the time of this package. It has full
 keyboard control and is very themeable.

Package: orpie
Description-md5: 48b89e891aa76f9b82dc00be32d84fe8
Description-en: RPN calculator for the terminal
 Orpie is a fullscreen RPN calculator for the console. Its operation is
 similar to that of modern HP calculators, but data entry has been optimized
 for efficiency on a PC keyboard. Features include:
 .
   * real and complex numbers and matrices
   * extensive function library
   * command completion of function names
   * base conversions
   * units and conversion factor handling
   * exact integer arithmetic, with unlimited integer size
   * visible stack, with browsing/modification capability
   * user-defined variables
   * user-configurable keybindings, via a Mutt-like rcfile
   * context-sensitive help

Package: orthanc
Description-md5: 583441f4d2fe9fa37be1da8d3a612ce1
Description-en: Lightweight, RESTful DICOM server for medical imaging
 Orthanc aims at providing a simple, yet powerful DICOM server for
 medical imaging. Orthanc can turn any computer running Windows or
 Linux into a Vendor Neutral Archive (in other words, a mini-PACS
 system). Its architecture is lightweight, meaning that no complex
 database administration is required, nor the installation of
 third-party dependencies.
 .
 What makes Orthanc unique is the fact that it provides a RESTful
 API. Thanks to this major feature, it is possible to drive Orthanc
 from any computer language. The DICOM tags of the stored medical
 images can be downloaded in the JSON file format. Furthermore,
 standard PNG images can be generated on-the-fly from the DICOM
 instances by Orthanc.
 .
 Orthanc lets its users focus on the content of the DICOM files,
 hiding the complexity of the DICOM format and of the DICOM protocol.

Package: orthanc-dev
Description-md5: 545d7289c7e94b1fa49dc24eb840c275
Description-en: Orthanc development files
 Orthanc aims at providing a simple, yet powerful DICOM server for
 medical imaging. Orthanc can turn any computer running Windows or
 Linux into a Vendor Neutral Archive (in other words, a mini-PACS
 system). Its architecture is lightweight, meaning that no complex
 database administration is required, nor the installation of
 third-party dependencies.
 .
 This package includes the header files to develop C/C++ plugins
 for Orthanc.

Package: orthanc-dicomweb
Description-md5: 008eee1651074d2383236573398105da
Description-en: Plugin to extend Orthanc with support of WADO and DICOMweb
 Orthanc DICOMweb is a plugin to Orthanc, the lightweight, RESTful Vendor
 Neutral Archive for medical imaging. It extends the Orthanc core with
 support of the WADO (now known as WADO-URI) and DICOMweb (QIDO-RS,
 STOW-RS, WADO-RS) standards.

Package: orthanc-doc
Description-md5: d340a0c2a2945d99eddc0d7cc3d7641e
Description-en: Documentation of Orthanc
 Orthanc aims at providing a simple, yet powerful DICOM server for
 medical imaging. Orthanc can turn any computer running Windows or
 Linux into a Vendor Neutral Archive (in other words, a mini-PACS
 system). Its architecture is lightweight, meaning that no complex
 database administration is required, nor the installation of
 third-party dependencies.
 .
 This package includes the documentation and the sample codes
 available for Orthanc. It also includes the documentation
 to develop C/C++ plugins for Orthanc.

Package: orthanc-imagej
Description-md5: 8d273ba496e01f76aa13b08e9b2bdc53
Description-en: ImageJ plugin to import images from Orthanc
 This ImageJ plugin allows ImageJ to browse the content of an Orthanc
 server, then import 2D/3D DICOM images from Orthanc into ImageJ.
 Orthanc is a lightweight, RESTful DICOM server for healthcare and
 medical research.
 .
 This plugin simplifies the indexation of DICOM images if using
 ImageJ (e.g. for quality control of DICOM modalities, or for
 pedagogical use). There is also no need to carry on any complex
 network configuration, since the plugin directly uses the REST
 API of Orthanc. This makes its installation and its use
 quite straightforward.

Package: orthanc-mysql
Description-md5: 674137b9a32bb391ef919442b3b31010
Description-en: Plugins to use MySQL or MariaDB as a database back-end to Orthanc
 Orthanc MySQL is a set of two plugins to Orthanc, a lightweight,
 RESTful Vendor Neutral Archive for medical imaging. These plugins
 override the default SQLite engine of Orthanc with a MySQL or
 MariaDB back-end. They bring scalability to Orthanc, making it
 enterprise-ready.

Package: orthanc-postgresql
Description-md5: ffb04dd3ae0f999becaa7a8e08151999
Description-en: Plugins to use PostgreSQL as a database back-end to Orthanc
 Orthanc PostgreSQL is a set of two plugins to Orthanc, a lightweight,
 RESTful Vendor Neutral Archive for medical imaging. These plugins
 override the default SQLite engine of Orthanc with a PostgreSQL
 back-end. They bring scalability to Orthanc, making it
 enterprise-ready.

Package: orthanc-webviewer
Description-md5: aabf928a28dc9019b4d69bc1f5d36bdf
Description-en: Web viewer of medical images for Orthanc
 Orthanc Web Viewer is a plugin to Orthanc, a lightweight, RESTful Vendor
 Neutral Archive for medical imaging. It extends Orthanc with an integrated
 Web viewer of DICOM images.

Package: orthanc-wsi
Description-md5: bd141f06e0ab6800074b343d8428d178
Description-en: Whole-slide imaging support for Orthanc (digital pathology)
 Orthanc-WSI brings support of whole-slide imaging for digital
 pathology into Orthanc, the lightweight, RESTful Vendor Neutral
 Archive for medical imaging.
 .
 This package contains two command-line tools to convert whole-slide
 images to and from DICOM. Support for proprietary file formats is
 available through OpenSlide. The package also contains an Orthanc
 plugin to display such DICOM images by any standard Web browser. The
 implementation follows DICOM Supplement 145.

Package: orville-write
Description-md5: 03cb11b567b597411d4ce8bdfdd2816e
Description-en: An alternative to the standard write program
 Orville Write is an alternative to the default write program.  It has many
 features which are not present in the default write including helper
 flags, telegrams, access control, and more.
 .
 The Orville Write home page is at:
 http://www.unixpapa.com/write.html

Package: osc
Description-md5: f3099430b6ad52b2d9c81077f623f927
Description-en: Open Build Service commander
 Commandline client for the Open Build Service, which allows one to access
 repositories in the Open Build Service in similar way as Subversion
 repositories.
 .
 The Open Build Service is service that allows developers to package
 software for all major Linux distributions.

Package: osc-plugins-dput
Description-md5: a7e35796662f520a7759e33c56d401ef
Description-en: dput plugin for OpenSUSE (buildsystem) commander
 This package contains the dput plugin for OpenSUSE (buildsystem) commander.
 .
 This dput plugin is designed to be able to quickly upload a random
 debian source package without the need of doing a checkout, adding
 the source, removing the old files and committing it again.
 .
 See 'osc help dput' for details.

Package: oscar
Description-md5: 7af6effd866bbd674421a6ad4984812f
Description-en: Open Source CPAP Analysis Reporter (OSCAR)
 OSCAR is a Free Software, cross platform research tool for
 exploring data produced by CPAP (Continuous Positive Airway Pressure)
 machines, and related equipment, which are used in the treatment of
 sleep apnea and other sleep disorders.
 .
 OSCAR is a derivative of SleepyHead version 1.1.0, created when that
 was abandoned by Mark Watkins.

Package: osdclock
Description-md5: 596edb8f87aa42092920c892cfd20f89
Description-en: Clock using the XOSD library
 A clock which uses the X On-Screen Display library to display itself.
 .
 It supports a strftime(3)-style format parameter and can be configured to show
 up only at specific intervals.

Package: osdlyrics
Description-md5: 3e4f7053e0f208702103a34025e5b584
Description-en: Show synchronized lyrics with various media players
 OSD Lyrics is a standalone desktop application to view lyrics. It is
 compatible with various media players. It shows lyrics on your desktop
 in the style similar to KaraOK. It also provides the feature to download
 lyrics from the Internet automatically.

Package: osdsh
Description-md5: 5009b5cf3b5f6c6e5bdb52d6558db15f
Description-en: overlays your screen with various system information
 OSDsh is a little program that overlays system information using
 the XOSD library. OSDsh was originally based on osdd and provides
 features like:
 .
  * It is able to display a clock.
  * Shows the volume levels of the soundcard when changing.
  * Tells you if you are on- or off-line, and the time you were connected.
  * Shows the battery status and
  * shows any message you want it to.

Package: osgearth
Description-md5: 8283191ec9be0aa9a8d534bae7f15276
Description-en: Dynamic 3D terrain rendering toolkit for OpenSceneGraph (binaries)
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains binaries.

Package: osgearth-data
Description-md5: db28caa46913656ebd11fa3e6794c596
Description-en: Dynamic 3D terrain rendering toolkit for OpenSceneGraph (data)
 osgEarth is a scalable terrain rendering toolkit for OpenSceneGraph
 (OSG), an open source, high performance, 3D graphics toolkit. Just create a
 simple XML file, point it at your imagery, elevation, and vector data, load it
 into your favorite OSG application, and go! osgEarth supports all kinds of
 data and comes with lots of examples to help you get up and running quickly
 and easily.
 .
 This package contains data files.

Package: osinfo-db
Description-md5: 6efa0e85594d3c825a34c7b1cd77db4a
Description-en: Operating system database files
 libosinfo is a GObject based library API for managing information about
 operating systems, hypervisors and the (virtual) hardware devices they
 can support. It provides APIs to match/identify optimal devices for deploying
 an operating system on a hypervisor.
 .
 This package contains the actual database files.

Package: osinfo-db-tools
Description-md5: 429f2279755e3dae275a6ca03c0de8b7
Description-en: libosinfo database tools
 libosinfo is a GObject based library API for managing information about
 operating systems, hypervisors and the (virtual) hardware devices they
 can support. It provides APIs to match/identify optimal devices for deploying
 an operating system on a hypervisor.
 .
 This package contains the tools for managing the installation, bundling and
 distribution of libosinfo's database files.

Package: osm2pgrouting
Description-md5: b94cdc2f00fdbb2ec511fdc427e4b7ef
Description-en: Tool to import OpenStreetMap data into a pgRouting database
 osm2pgrouting is a command line tool that makes it easy to import
 OpenStreetMap data into a pgRouting database. It builds the routing
 network topology automatically and creates tables for feature types and
 road classes. pgRouting has to be installed to be able to run
 osm2pgrouting.

Package: osm2pgsql
Description-md5: 90d71a17cb11022105b2ed19601badf4
Description-en: OpenStreetMap data to PostgreSQL converter
 Convert OSM planet snapshot data to SQL suitable for loading
 into a PostgreSQL database with PostGIS geospatial extensions. This
 database may then be used to render maps with Mapnik or for other
 geospatial analysis.

Package: osmcoastline
Description-md5: 23790320b0c69c0ead1f669c45378d51
Description-en: Extract coastline data from OpenStreetMap planet file
 OSMCoastline extracts the coastline from an OSM planet file and assembles all
 the pieces into polygons for use in map renderers etc.
 .
 OSMCoastline relies on the Osmium library for its OpenStreetMap data handling.
 .
 The Osmium library has extensive support for all types of OSM entities: nodes,
 ways, relations, and changesets. It allows reading from and writing to OSM
 files in XML and PBF formats, including change files and full history files.
 Osmium can store OSM data in memory and on disk in various formats and using
 various indexes. Its easy to use handler interface allows you to quickly write
 data filtering and conversion functions. Osmium can create WKT, WKB, OGR, GEOS
 and GeoJSON geometries for easy conversion into many GIS formats and it can
 assemble multipolygons from ways and relations.
 .
 This package contains the OSMCoastline utilities.

Package: osmctools
Description-md5: cc7a0b55f07df5464a06a04670e9a39d
Description-en: Some tools to manipulate OpenStreetMap files
 Small collection of basic OpenStreetMap tools, include converter, filter and
 updater files.
 .
 Programs include:
  osmconvert - Converter of OSM files
  osmfilter - The experimental OSM filters data
  osmupdate - Update OSM files.

Package: osmium-tool
Description-md5: 8ddd7ee7c6373e8286b081b6a5ce95c7
Description-en: Command line tool for working with OpenStreetMap data
 Osmium Tool is a multipurpose command line tool based on the Osmium library.
 .
 With the Osmium Tool you currently can:
 .
  * Get information about an OSM file
  * Convert OSM files from one format into another (supports all XML and PBF
    formats)
  * Merge and apply change files to an OSM file (with or without history)
  * Extract data from OSM history files for a given point in time or a time
    range
 .
 The Osmium library has extensive support for all types of OSM entities: nodes,
 ways, relations, and changesets. It allows reading from and writing to OSM
 files in XML and PBF formats, including change files and full history files.
 Osmium can store OSM data in memory and on disk in various formats and using
 various indexes. Its easy to use handler interface allows you to quickly write
 data filtering and conversion functions. Osmium can create WKT, WKB, OGR, GEOS
 and GeoJSON geometries for easy conversion into many GIS formats and it can
 assemble multipolygons from ways and relations.

Package: osmo
Description-md5: 5c5b27d85c5a365939379323a1f30993
Description-en: personal organizer for GTK+
 Osmo is a personal organizer, which includes calendar, task
 manager and address book modules. It aims to be easy to use and
 configurable to meet the user's preferences.

Package: osmo-bsc
Description-md5: f92dd940224938657a28f718742af712
Description-en: GSM Base Station Controller
 This is the BSC-only version of OpenBSC. It requires a Mobile Switching Center
 (MSC) to operate.
 .
 This package replaces osmocom-bsc.

Package: osmo-bsc-bs11-utils
Description-md5: 6291ff235a48c635c04fd8b03885fd3f
Description-en: Command line utilities for Siemens BS-11 BTS
 There is a tool in this package for configuring the Siemens BS-11 BTS.
 Additionally, it contains one tool for making use of an ISDN-card and the
 public telephone network as frequency standard for the E1 line.

Package: osmo-bsc-ipaccess-utils
Description-md5: 95a64c651224f4ee0d1b70436423cc91
Description-en: Command line utilities for ip.access nanoBTS
 This package contains utilities that are specific for nanoBTS when being used
 together with OpenBSC. It contains mainly three tools: ipaccess-find,
 ipaccess-config and ipaccess-proxy.

Package: osmo-bsc-meas-utils
Description-md5: 058572607ee119ca875e792b5a9fbb0d
Description-en: Command line utilities to manage measurement reports
 This package is part of osmo-bsc.
 .
 It converts measurement report feed into JSON feed printed to stdout. Each
 measurement report is printed as a separate JSON root entry. All measurement
 reports are separated by a new line.

Package: osmo-bsc-mgcp
Description-md5: 9b784357efacf473ad3687624f91b4c3
Description-en: Osmocom's Legacy Media Gateway
 OsmoMGW, the Osmocom Media Gateway, is a Media Gateway for handling user
 plane (voice) traffic in cellular networks.
 .
 OsmoMGW is the generalized version of an earlier much more special-purpose
 implementation called osmo-bsc_mgcp.
 .
 This package contains deprecated software, please use osmo-mgw instead.

Package: osmo-bts
Description-md5: 7a47ded6106272a7034570003a3e62c0
Description-en: Base Transceiver Station for GSM
 OsmoBTS is a software implementation of Layer2/3 of a BTS. It implements the
 following protocols/interfaces:
    LAPDm (GSM 04.06)
    RTP
    A-bis/IP in IPA multiplex
    OML (GSM TS 12.21)
    RSL (GSM TS 08.58)
 .
 OsmoBTS is modular and has support for multiple back-ends. A back-end talks to
 a specific L1/PHY implementation of the respective BTS hardware. Based on this
 architecture, it should be relatively easy to add a new back-end to support
 so-far unsupported GSM PHY/L1 and associated hardware.

Package: osmo-fl2k
Description-md5: c5f0aaa959cfbdc75256946890ce9060
Description-en: use a VGA USB adapter as DAC and SDR transmitter
 This software allows one to use USB 3.0 to VGA adapters based on the
 Fresco Logic FL2000 chip as general purpose DACs and SDR transmitter
 generating a continuous stream of samples by avoiding the HSYNC and
 VSYNC blanking intervals.

Package: osmo-gbproxy
Description-md5: 2dff9b50841680a3b512feb2d6201e67
Description-en: GPRS Gb Interface Proxy
 The purpose of the Gb proxy is to aggregate the Gb links of multiple
 BSS's and present them in one Gb link to the SGSN.
 .
 The SGSN implements
  - the Gb interface to the BSS's (like the OsmoPCU or an ip.access nanoBTS)
  - the Gp interface with its GTP protocol to one or more Gateway GPRS Support
    Node (GGSN) like OsmoGGSN.
  - the IuPS interface with its RANAP protocol to onre or more RNCs or HNB-GWs
    like OsmoHNBGW
  - the GSUP Interface/Protocol towards OsmoHLR

Package: osmo-ggsn
Description-md5: 8496aea9df82da67a7d2e4292d200a40
Description-en: Osmocom Gateway GPRS Support Node (GGSN)
 OsmoGGSN is a Gateway GPRS Support Node (GGSN). It is used by mobile
 operators as the interface between the Internet and the rest of the
 mobile network infrastructure.

Package: osmo-gtphub
Description-md5: 9063be5cbe050e24ec7329b7668117c7
Description-en: Proxy for GTP traffic between multiple SGSNs and GGSNs
 This package is part of osmo-sgsn and provides a proxy for GTP traffic
 between multiple SGSNs and GGSNs.
 .
 The SGSN implements
  - the Gb interface to the BSS's (like the OsmoPCU or an ip.access nanoBTS)
  - the Gp interface with its GTP protocol to one or more Gateway GPRS Support
    Node (GGSN) like OsmoGGSN.
  - the IuPS interface with its RANAP protocol to onre or more RNCs or HNB-GWs
    like OsmoHNBGW
  - the GSUP Interface/Protocol towards OsmoHLR

Package: osmo-hlr
Description-md5: d85ab36106a48b58584678c1aaa86df9
Description-en: Osmocom Home Location Register
 OsmoHLR is a Osmocom implementation of HLR (Home Location Registrar)
 which works over GSUP protocol. The subscribers are store in sqlite DB.
 It supports both 2G and 3G authentication.

Package: osmo-hnbgw
Description-md5: b9f41d02d64422567005a5aa664ce870
Description-en: osmocom Home Node B Gateway
 An Open Source implenentation of a HNB-GW (HomeNodeB-Gateway),
 implementing the Iuh, IuCS and IuPS interfaces. It aggregates
 the Iuh links from femtocells (hNodeBs) and presents them as
 regular IuCS and IuPS towards MSC and SGSN (such as OsmoMSC
 and OsmoSGSN). It uses M3UA as signaling transport.

Package: osmo-libasn1c-dev
Description-md5: 62a3cd067625312577c93d87d91edee9
Description-en: asn1c runtime code as shared library - development files
 Lev Walkins's asn1c runtime, as a shared library and with modifications
 for Osmocom.
 .
 Compiles ASN.1 data structures into C source structures that can be
 simply (un)marshalled from and to: BER, DER, CER, BASIC-XER, CXER,
 EXTENDED-XER, PER.
 .
 This package contains the files needed for development.

Package: osmo-libasn1c1
Description-md5: 86cef5fd3f7a83a01ed47d439d4a64ce
Description-en: asn1c runtime code as shared library
 Lev Walkins's asn1c runtime, as a shared library and with modifications
 for Osmocom.
 .
 Compiles ASN.1 data structures into C source structures that can be
 simply (un)marshalled from and to: BER, DER, CER, BASIC-XER, CXER,
 EXTENDED-XER, PER.

Package: osmo-mgw
Description-md5: 5485252e0f2f80d984fab7036f53f469
Description-en: Osmocom's Media Gateway for 2G and 3G circuit-switched mobile networks
 OsmoMGW, the Osmocom Media Gateway, is a Media Gateway for handling user
 plane (voice) traffic in cellular networks.
 .
 OsmoMGW supports the IETF MGCP (Media Gateway Control Protocol) for
 control from Call Agents, such as those present in OsmoBSC and OsmoMSC.
 .
 At the moment (October 2017), OsmoMGW only implements RTP proxy / RTP
 bridge type endpoints, to each of which two RTP connections can be
 established.
 .
 OsmoMGW is the generalized version of an earlier much more special-purpose
 implementation called osmo-bsc_mgcp.

Package: osmo-msc
Description-md5: 7ff8fa1832917cff051ddbe566208323
Description-en: Osmocom's Mobile Switching Center for 2G and 3G mobile networks
 The Mobile Switching Center (MSC) is the heart of 2G/3G
 circuit-switched services.  It terminates the A-interface links from the
 Base Station Controllers (BSC) and handles the MM and CC sub-layers of
 the Layer 3 protocol from the phones (MS).
 .
 This Osmocom implementation of the MSC handles A interfaces via 3GPP
 AoIP in an ASP role.  It furthermore implements IETF MGCP against an
 external media gateway, such as OsmoMGW.  It does *not* implement MAP
 towards a HLR, but the much simpler Osmocom GSUP protocol, which can
 be translated to MAP if needed.

Package: osmo-pcu
Description-md5: 186ba922bda08b4096974c9a7872dbde
Description-en: Osmocom GPRS/EDGE Packet Control Unit (PCU)
 The GPRS Packet Control Unit is co-located with the GSM BTS or GSM BSC
 in order to provide packet-switched services for 2G (2.5G, 2.75G)
 networks.  OsmoPCU is the Osmcoom implementation of this network
 element. It interfaces to osmo-bts via the PCU socket of OsmoBTS
 and via Gb (NS-over-IP) interface with the SGSN such as OsmoSGSN.

Package: osmo-sdr
Description-md5: 0374a37e5b2691d980daa37e56eaa910
Description-en: Software defined radio support for OsmoSDR hardware (tools)
 OsmoSDR is a 100% Free Software based small form-factor inexpensive
 SDR (Software Defined Radio) project.
 .
 The hardware part of OsmoSDR brings information from an antenna connector
 to a USB plug.
 .
 This package is the software that provides control of the USB hardware
 and an API to pass data to software defined radio applications on the host.
 .
 This package contains a set of command line utilities:

Package: osmo-sgsn
Description-md5: 96278fdf873839436ce25169e576b6ff
Description-en: Serving GPRS Support Node for 2G and 3G networks
 For setting up a 2G network with data network support, this package is needed
 .
 The SGSN implements
  - the Gb interface to the BSS's (like the OsmoPCU or an ip.access nanoBTS)
  - the Gp interface with its GTP protocol to one or more Gateway GPRS Support
    Node (GGSN) like OsmoGGSN.
  - the IuPS interface with its RANAP protocol to onre or more RNCs or HNB-GWs
    like OsmoHNBGW
  - the GSUP Interface/Protocol towards OsmoHLR

Package: osmo-stp
Description-md5: c20181d822a19c75db734751541a8061
Description-en: Osmocom SIGTRAN STP (Signaling Transfer Point)
 This is the Osmocom (Open Source Mobile Communications) implementation of a
 Signaling Transfer Point (STP) for SS7/SIGTRAN telecommunication networks. At
 this point it is a very minimal implementation, missing lots of the
 functionality usually present in a STP, such as Global Title Routing, Global
 Title Translation.

Package: osmo-trx
Description-md5: 0d147a2be02a4a1d765f13af9e1e3150
Description-en: SDR transceiver that implements Layer 1 of a GSM BTS
 OsmoTRX is a software-defined radio transceiver that implements the Layer 1
 physical layer of a BTS comprising the following 3GPP specifications:
 .
 TS 05.01 "Physical layer on the radio path"
 TS 05.02 "Multiplexing and Multiple Access on the Radio Path"
 TS 05.04 "Modulation"
 TS 05.10 "Radio subsystem synchronization"
 .
 In this context, BTS is "Base transceiver station". It's the stations that
 connect mobile phones to the mobile network.
 .
 3GPP is the "3rd Generation Partnership Project" which is the collaboration
 between different telecommunication associations for developing new
 generations of mobile phone networks. (post-2G/GSM)

Package: osmocom-bs11-utils
Description-md5: 6291ff235a48c635c04fd8b03885fd3f
Description-en: Command line utilities for Siemens BS-11 BTS
 There is a tool in this package for configuring the Siemens BS-11 BTS.
 Additionally, it contains one tool for making use of an ISDN-card and the
 public telephone network as frequency standard for the E1 line.

Package: osmocom-bsc
Description-md5: 71688aa865b393ce9a21ebf47572deca
Description-en: GSM Base Station Controller
 This is the BSC-only version of OpenBSC. It requires a Mobile Switching Center
 (MSC) to operate.
 .
 You might rather prefer to use osmocom-nitb which is considered a
 "GSM Network-in-a-Box" and does not depend on a MSC.

Package: osmocom-bsc-nat
Description-md5: f9679185bc1553ee1389e3750a59f7e2
Description-en: Osmocom Base Station Controller Network Address Translation
 This NAT is useful for masquerading multiple BSCs behind one. It listens
 for incoming BSCs on port 5000 and connects to a specified Mobile Switching
 Center (MSC).
 .
 This package is part of OpenBSC and closely related to osmocom-bsc.

Package: osmocom-ipaccess-utils
Description-md5: 95a64c651224f4ee0d1b70436423cc91
Description-en: Command line utilities for ip.access nanoBTS
 This package contains utilities that are specific for nanoBTS when being used
 together with OpenBSC. It contains mainly three tools: ipaccess-find,
 ipaccess-config and ipaccess-proxy.

Package: osmocom-nitb
Description-md5: 4a93f34d676f474b43745a561a234e40
Description-en: GSM Network-in-a-Box, implements BSC, MSC, SMSC, HLR, VLR
 This is the Network-in-a-Box version of OpenBSC. It has all the GSM network
 components bundled together. When using osmocom-nitb, there is no need for a
 Mobile Switching Center (MSC) which is needed when using osmocom-bsc.

Package: osmose-emulator
Description-md5: 4e3e541a611cb701a6190990d6b73ba5
Description-en: Sega Master System and Game Gear console emulator
 A multi-machine emulator for platforms of Sega consoles
 (Master System and Game Gear) and compatible for all games.
 .
 Simulates hardware extremely accurately which ensures that these
 classic games are represented exactly like they were on the real systems.
 .
 Osmose has a clean graphical user interface based on QT and a simplified setup
 process, and supports ROM archives in the SMS and GG formats.

Package: osmosis
Description-md5: 0bcff98541a9e5e349a10a6422bcbd12
Description-en: Command line OpenStreetMap data processor
 Osmosis consists of a series of components that can be chained together
 in order to perform operations on an OpenStreetMap database or
 OpenStreetMap XML or PBF files. Such operations include:
  * Generate and load dumps from and to a database.
  * Produce changesets comparing databases and files and applying
    them to other databases and files.
  * Sort data contained in a file.
  * Extract data contained in a bounding box or in a polygon.

Package: osmpbf-bin
Description-md5: c959ce0180319fc4be04f5bc53c9ad58
Description-en: OpenStreetMap PBF file format library - tools
 Osmpbf is a Java/C library to read and write OpenStreetMap PBF files.
 PBF (Protocol buffer Binary Format) is a binary file format for OpenStreetMap
 data that uses Google Protocol Buffers as low-level storage.
 .
 This package provides various tools to deal with OpenStreetMap PBF file
 format.

Package: ospics
Description-md5: 0e1332cc547eff7589abe0bcfe6dabae
Description-en: Some images of operating system logos/mascots
 This package contains some operating system logos / mascots
 .
 It contains:
  - Tux (black/white)
  - "Baby Tux" (black/white and color)
  - Debian swirl (black/white and color)

Package: osptoolkit
Description-md5: b8c244a1be44a4f722867802dc68c5af
Description-en: Open source client side development kit for Open Settlement Protocol
 The Open Settlement Protocol (OSP) standard defined by the European
 Telecommunications Standards Institute (ETSI TS 101 321) www.etsi.org.
 .
 The OSP Toolkit is an open source implementation of the OSP peering protocol
 and is freely available from www.sourceforge.net. It enables applications for
 secure multi-lateral peering.
 .
 This package contains OSP Toolkit documentation, enroll and test tools.
 .
 The enroll program is a utility application for establishing a trusted
 relationship between an OSP client and OSP servers.

Package: oss-compat
Description-md5: bda05940fba28a152a4c13a58ad86e74
Description-en: Open Sound System (OSS) compatibility package
 This package ensures that Open Sound System support is provided in
 some way. On Linux, it enables the ALSA compatibility modules. On
 other kernels where OSS is the default interface, no action is
 taken.
 .
 The purpose of this package is for applications that only support OSS
 to depend on it, hence preventing common "/dev/dsp not found" errors
 that would confuse unexperienced users.
 .
 On Linux platforms a better approach nowadays is to use osspd, which
 emulates OSS devices using ALSA or PulseAudio.

Package: oss-preserve
Description-md5: 58ab19ec33bc4352dca8a17defea437b
Description-en: Program to save/restore OSS mixer settings
 This package saves the Open Sound System (OSS) mixer settings into a
 file when the machine is shut down, and restores them from the file
 when it is restarted.  It can optionally restore from a file of fixed
 settings.

Package: oss4-base
Description-md5: 0d56cd4a203c4dc1e4fd1d26c1be5b38
Description-en: Open Sound System - base package
 Open Sound System (OSS) is an attempt in unifying
 the digital audio architecture for UNIX.
 .
 This package contains various utilities for configuring
 and using OSS
 .
 For OSS to work on a system with a given sound card, there must be
 an OSS driver for that card in the kernel. For Linux,
 a custom oss4-modules package can be built from the sources
 in the oss4-source package using the module-assistant utility.

Package: oss4-dev
Description-md5: b51bd9a3e28e7022064236c66cd80481
Description-en: Open Sound System - development files
 This package provides the header for OSS 4.x development.
 You need this file if you want to build programs which use
 the OSS 4.x API.

Package: oss4-dkms
Description-md5: f6a908efac09eb6cf3b2a93184b2014a
Description-en: Open Sound System - DKMS module sources
 This package contains the source for the OSS4 kernel modules,
 packaged with approriate configuration for DKMS to build new
 modules dynamically.

Package: oss4-gtk
Description-md5: 81aca108082a237a188bfaad401886e7
Description-en: Open Sound System - simple GTK2-based mixer control
 Open Sound System (OSS) is an attempt in unifying
 the digital audio architecture for UNIX.
 .
 This package contains a GTK2-based mixer control program.

Package: oss4-source
Description-md5: 319c93ac7a728c354fdd083fd4aea4ca
Description-en: Open Sound System - drivers sources
 This package provides the source code for the OSS4 kernel modules,
 in a form suitable for use by module-assistant.

Package: ossim-core
Description-md5: a91ca5396487a384bc79b460cc6672d9
Description-en: OSSIM core utilities
 Open Source Software Image Map (OSSIM) is a high performance engine for
 remote sensing, image processing, geographical information systems and
 photogrammetry. It has been actively developed since 1996.
 .
 Designed as a series of high performance software libraries, it is
 written in C++ employing the latest techniques in object-oriented
 software design.
 .
 The library provides advanced remote sensing, image processing, and
 geo-spatial functionality. A quick summary of OSSIM functionality
 includes ortho-rectification, precision terrain correction, rigorous
 sensor models, very large mosaics, and cross sensor fusions, a wide
 range of map projections and datums, and a large range of commercial
 and government data formats. The architecture of the library supports
 parallel processing with mpi (not enabled), a dynamic plugin architecture,
 and dynamically connectable objects allowing rapid prototyping of custom
 image processing chains.
 .
 This package includes core tools that use the OSSIM library to
 perform some basic tasks.

Package: osslsigncode
Description-md5: 837e4ef94713f3972d543052b2150a63
Description-en: Authenticode signing tool
 osslsigncode is an Authenticode signing tool for PE binaries
 (Windows executables, DLLs, drivers...), CAB archives and MSI
 installation packages. It also supports timestamping using
 Authenticode and RFC-3161.

Package: osspd
Description-md5: d7ac15bb4572a62032e48c6a9cafc78c
Description-en: OSS Proxy Daemon: Userland OSS emulation
 OSS Proxy Daemon is a Linux userland OSS sound device (/dev/[a]dsp and
 /dev/mixer) implementation using CUSE. Currently it supports
 forwarding OSS sound streams to PulseAudio and ALSA.
 .
 Actually emulating the OSS devices makes for a more robust emulation compared
 to OSS wrappers using LD_PRELOAD, like aoss and padsp. It also works better
 when running foreign-architecture applications or using old libc versions for
 compatibility reasons.

Package: osspd-alsa
Description-md5: b7a1f3818df02903703285718e5eb492
Description-en: OSS Proxy Daemon: ALSA backend (experimental)
 OSS Proxy Daemon is a Linux userland OSS sound device (/dev/[a]dsp and
 /dev/mixer) implementation using CUSE. Currently it supports
 forwarding OSS sound streams to PulseAudio and ALSA.
 .
 This package contains the experimental ALSA backend for osspd.

Package: osspd-pulseaudio
Description-md5: d5e5ec062dd26d73ccb9b9a0e215800f
Description-en: OSS Proxy Daemon: PulseAudio backend
 OSS Proxy Daemon is a Linux userland OSS sound device (/dev/[a]dsp and
 /dev/mixer) implementation using CUSE. Currently it supports
 forwarding OSS sound streams to PulseAudio and ALSA.
 .
 This package contains the PulseAudio backend for osspd.

Package: ostinato
Description-md5: 0e5ce05b5f19cdf9d8f7f87318164e30
Description-en: Packet/Traffic Generator and Analyzer
 Ostinato is an open-source, cross-platform network packet crafter/traffic
 generator and analyzer with a friendly GUI. Craft and send packets of several
 streams with different protocols at different rates. Ostinato aims to be
 "Wireshark in Reverse" and become complementary to Wireshark.
 .
 Features:
  * Open, edit, replay and save PCAP files
  * Support for the most common standard protocols
    - Ethernet/802.3/LLC SNAP
    - VLAN (with QinQ)
    - ARP, IPv4, IPv6, IP-in-IP IP Tunnelling (6over4, 4over6, 4over4, 6over6)
    - TCP, UDP, ICMPv4, ICMPv6, IGMP, MLD
    - Any text based protocol (HTTP, SIP, RTSP, NNTP etc.)
  * Modify any field of any protocol (some protocols allow changing packet
    fields with every packet at run time e.g. changing IP/MAC addresses)
  * User provided Hex Dump - specify some or all bytes in a packet
  * User defined script to substitute for an unimplemented protocol
    (EXPERIMENTAL)
  * Stack protocols in any arbitrary order
  * Create and configure multiple streams
  * Configure stream rates, bursts, no. of packets
  * Single client can control and configure multiple ports on multiple
    computers generating traffic
  * Exclusive control of a port to prevent the OS from sending stray packets
    provides a controlled testing environment
  * Statistics Window shows real time port receive/transmit statistics and rates
  * Capture packets and view them (needs Wireshark)
  * Framework to add new protocol builders easily

Package: ostree
Description-md5: d767cb08238abbe08c2671b5e44f6d0b
Description-en: content-addressed filesystem for operating system binaries
 libostree provides a library and tools for managing bootable, immutable,
 versioned filesystem trees. It is like git in that it checksums
 individual files and has a content-addressed object store; unlike git,
 it "checks out" the files using hardlinks into an immutable directory
 tree. This can be used to provide atomic upgrades with rollback, history
 and parallel-installation, particularly useful on "fixed purpose"
 systems such as embedded devices.
 .
 This package contains the executables used to manage and create
 filesystem trees. It does not affect the boot process for the system
 on which it is installed.

Package: ostree-boot
Description-md5: 419ce2b81fe149a7b6112972cafbcbd4
Description-en: libostree boot loader and initramfs integration
 libostree provides a library and tools for managing bootable, immutable,
 versioned filesystem trees. See the ostree package's description for
 more details.
 .
 This package contains configuration snippets and executables needed to
 boot a Debian derivative from a libostree filesystem deployment. This
 integration currently has some limitations:
 .
  - the initramfs must have been made using dracut
  - /boot must be a separate partition
  - the boot loader must be one that is supported by libostree, currently
    GNU GRUB 2, syslinux/extlinux or Das U-Boot

Package: ostree-tests
Description-md5: d7b28e54f9327d4a658e4e5bdbf03edf
Description-en: content-addressed filesystem for operating system binaries - tests
 libostree provides a library and tools for managing bootable, immutable,
 versioned filesystem trees. See the ostree package's description for
 more details.
 .
 This package contains automated tests.

Package: otags
Description-md5: 3a26760bc7bf4850aac66d54e1000fe8
Description-en: tags file generator for OCaml
 Otags generates "tags" files for emacs and vi/vim to give these
 editors the ability to directly jump to function and value
 definitions. Otags is based on Camlp4. It can load all Camlp4 syntax
 extensions and can therefore parse all sources that Camlp4 can parse
 (including standard and revised syntax).

Package: otb-bin
Description-md5: b5645f2a8705b2701aa22466fbd96bb6
Description-en: ORFEO Toolbox command line applications
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the command line application launcher than can
 run any OTB application provided by libotb-apps package.

Package: otb-bin-qt
Description-md5: bdd08adf8ac547969cdc693909e8248e
Description-en: ORFEO Toolbox graphical user interface applications
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the GUI launcher that can run any OTB application
 provided by libotb-apps package.

Package: otb-i18n
Description-md5: 6523ba62e00535ec5c83848a5920aac9
Description-en: ORFEO Toolbox translations
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the translations for OTB applications and libraries.

Package: otb-qgis
Description-md5: 38cb08fa2c3a15c75c5a6c6d6ca07876
Description-en: ORFEO Toolbox library - otbQgisDescriptor
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the otbQgisDescriptor application.

Package: otb-testdriver
Description-md5: f30a5862faaf23e1f3f4a410e7aef802
Description-en: ORFEO Toolbox library - OTBTestDriver
 ORFEO Toolbox (OTB) is distributed as an open source library of image
 processing algorithms. OTB is based on the medical image processing library
 ITK and offers particular functionalities for remote sensing image processing
 in general and for high spatial resolution images in particular.
 .
 This package contains the OTBTestDriver application.

Package: otcl-dbg
Description-md5: 833142ba61a6baf7479ecdcd9db5e867
Description-en: debug symbol of OTcl (libotcl1 and otcl-shells)
 OTcl, short for MIT Object Tcl, is an extension to Tcl/Tk for
 object-oriented programming. It shouldn't be confused with the
 IXI Object Tcl extension by Dean Sheenan. (Sorry, but both of them
 like the name and have been using it for a while.)
 .
 Some of OTcl's features as compared to alternatives are:
   designed to be dynamically extensible, like Tcl, from the ground up
   builds on Tcl syntax and concepts rather than importing another language
   compact yet powerful object programming system
   fairly portable implementation (2000 lines of C, without core hacks)
 .
 OTcl was created by David Wetherall as part of the VUsystem project
 at MIT. Since 1997, OTcl has been maintained as part of the Mash and
 VINT/ns efforts (with David's blessing).
 .
 This package contains debug symbol of OTcl (for libotcl1 and otcl-shells).

Package: otcl-shells
Description-md5: 158306bc5ff9c6412e86e0c06c18e6ca
Description-en: OTcl shells
 OTcl, short for MIT Object Tcl, is an extension to Tcl/Tk for
 object-oriented programming. It shouldn't be confused with the
 IXI Object Tcl extension by Dean Sheenan. (Sorry, but both of them
 like the name and have been using it for a while.)
 .
 Some of OTcl's features as compared to alternatives are:
   designed to be dynamically extensible, like Tcl, from the ground up
   builds on Tcl syntax and concepts rather than importing another language
   compact yet powerful object programming system
   fairly portable implementation (2000 lines of C, without core hacks)
 .
 OTcl was created by David Wetherall as part of the VUsystem project
 at MIT. Since 1997, OTcl has been maintained as part of the Mash and
 VINT/ns efforts (with David's blessing).
 .
 This package contains two bin files.

Package: otf-trace
Description-md5: aea5744f7c5f1387b6ca334d0fee6594
Description-en: Open Trace Format support library - development files
 OTF is a standard trace format used by several high-performance tools,
 using an ASCII encoding, which supports multiple streams. The libotf
 provides support for reading/writing them.
 .
 This package contains programs to manipulate otf files.

Package: otf2bdf
Description-md5: 78a18ff9e4e0d117cd863efd39b157ee
Description-en: generate BDF bitmap fonts from OpenType outline fonts
 otf2bdf is a command line utility that uses the FreeType 2 font rendering
 library to generate BDF bitmap fonts from OpenType outline fonts at
 different sizes and resolutions.

Package: otp
Description-md5: 98841fa857f52c2ad64211d92f12e915
Description-en: Generator for One Time Pads or Passwords
 otp  creates  key  and password lists for verification and
 security purposes in a variety of formats.  Keys can be of
 any length, consist of digits or letters (capital or lower
 case), and alphabetic passwords  can  either  be  entirely
 random (most secure) or obey the digraph statistics of
 English text (easier to remember when transcribing, but less
 secure).
 .
 For  computer  applications,  for  example  one-time login
 passwords, otp can create a file containing the MD5
 signature of each of the generated keys.  This permits the
 computer to verify keys without the need to store the keys in
 plaintext.

Package: otpw-bin
Description-md5: 3d18ee3f5abde3a0c8f56630fae70919
Description-en: OTPW programs for generating OTPW lists
 OTPW is a one-time password system which is robust against
 the password list being stolen and race for the last digit
 attacks.
 .
 This package contains the programs used to setup OTPW for
 a user and generate the password lists.

Package: otrs
Description-md5: eeb3231e0d9a99762a6f513c16559375
Description-en: Open Ticket Request System (OTRS 6)
 OTRS is an Open source Ticket Request System (also well known as
 trouble ticket system) with many features to manage customer telephone
 calls and e-mails. The system is built to allow your support, sales,
 pre-sales, billing, internal IT, helpdesk, etc. department to react
 quickly to inbound inquiries.
 .
 This package depends on the otrs2 6.x series package.

Package: otrs2
Description-md5: 702244d37cb366f756c89c1eed605bc9
Description-en: Open Ticket Request System
 OTRS is an Open source Ticket Request System (also well known as
 trouble ticket system) with many features to manage customer telephone
 calls and e-mails. The system is built to allow your support, sales,
 pre-sales, billing, internal IT, helpdesk, etc. department to react
 quickly to inbound inquiries.
 .
 This package ships version 6 of OTRS.

Package: outguess
Description-md5: 293b82856c86c721d6be9d15c0027a87
Description-en: universal steganographic tool
 OutGuess is a universal tool for steganography that allows the insertion
 of hidden information into the redundant bits of data sources. The nature
 of the data source is irrelevant to the core of OutGuess.
 .
 The program relies on data specific handlers that will extract redundant
 bits and write them back after modification. The supported formats are
 JPEG, PPM and PNM.
 .
 This package is useful in forensics investigations and security actions.

Package: overgod
Description-md5: f8d593fdaf572de02dfc08dd2535881a
Description-en: bi-directional scrolling arcade game
 Overgod is an arcade game with bi-directional scrolling where you need to
 destroy all enemies in an area in a given time. The game is a mix of the
 classic arcade games Asteroids and Thrust with a lot of additional features.
 .
 Overgod can also be played in two-player duel or cooperative modes, or in
 a special "Time Attack" version where enemies endlessly appear.

Package: overgod-data
Description-md5: 69663ff2d0f06d03ec455a56e06430f2
Description-en: graphics and audio data for overgod
 Overgod is an arcade game with bi-directional scrolling where you need to
 destroy all enemies in an area in a given time. The game is a mix of the
 classic arcade games Asteroids and Thrust with a lot of additional features.
 .
 Overgod can also be played in two-player duel or cooperative modes, or in
 a special "Time Attack" version where enemies endlessly appear.
 .
 This package contains the architecture-independent data for overgod. For more
 information, see the overgod package.

Package: overlay-scrollbar
Description-md5: 951bbad3f14ccc5b15ac8d6ccaddada2
Description-en: Scrollbar overlay - configuration
 Overlay scrollbar is a GtkModule enabling a dynamic overlay behavior.
 .
 This package contains GSettings schemas and startup scripts for overlay
 scrollbars.

Package: overlay-scrollbar-gtk2
Description-md5: 1d3f21e2d8af7452b22c5b3bf608dfba
Description-en: GTK 2 module for overlay scrollbars
 Overlay scrollbar is a GtkModule enabling a dynamic overlay behavior.

Package: ovn-controller-vtep
Description-md5: cb37ad1b93f4ced40b54aea4ed082bdb
Description-en: OVN vtep controller
 ovn-controller-vtep is the local controller daemon in
 OVN, the Open Virtual Network, for VTEP enabled physical switches.
 It connects up to the OVN Southbound database over the OVSDB protocol,
 and down to the VTEP database over the OVSDB protocol.
 .
 ovn-controller-vtep provides the ovn-controller-vtep binary for controlling
 vtep gateways.

Package: ovn-docker
Description-md5: 79920f3b11526b699266eb2c6f47af5f
Description-en: OVN Docker drivers
 OVN, the Open Virtual Network, is a system to support virtual network
 abstraction.  OVN complements the existing capabilities of OVS to add
 native support for virtual network abstractions, such as virtual L2 and L3
 overlays and security groups.
 .
 ovn-docker provides the docker drivers for OVN.

Package: ovn-ic
Description-md5: 23bb002db1c80d152aa81ff8db12e7bf
Description-en: Open Virtual Network interconnection controller
 OVN IC, the Open Virtual Network interconnection controller, is a
 centralized daemon which communicates with global interconnection
 databases to configure and exchange data with local OVN databases for
 interconnection with other OVN deployments.
 .
 This package provides the ovn-ic daemon which should be run alongside
 ovn-central services in each OVN deployment zone.

Package: ovn-ic-db
Description-md5: e1756c7a1817eee8f875d6750f9b4a45
Description-en: Open Virtual Network interconnection controller databases
 OVN IC, the Open Virtual Network interconnection controller, is a
 centralized daemon which communicates with global interconnection
 databases to configure and exchange data with local OVN databases for
 interconnection with other OVN deployments.
 .
 This package provides the global OVN IC southbound and northbound
 OVSDB databases.

Package: ow-shell
Description-md5: 01967c728ed3cacc988e20e9e07a924c
Description-en: shell utilities to talk to an 1-Wire owserver
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package has several command line programs to talk to an owserver.
 Included programs are :
  * owdir: list 1-wire devices or properties
  * owread: read 1-wire value
  * owget: combines owdir and owread
  * owwrite: set a 1-wire value
  * owexist: check if a 1-wire server is reachable
  * owpresent: check if a 1-wire device is connected

Package: ow-tools
Description-md5: aa2272e2b244742565fa7a356b26c1bd
Description-en: tools to monitor or inspect a ow-server link
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package includes two TclTk tools:
  * owtap: inspect network transmission of the owserver protocol
  * owmon: show statistics and setting for an owserver

Package: owfs
Description-md5: 85f58415f844b21010e2fe7aa3c17cad
Description-en: Dallas 1-wire support
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package is a metapackage depending on various other OWFS packages.
 Installing this package gets you a FUSE daemon, server arbitrates access
 to the bus from multiple client processes, small ftp and webserver.

Package: owfs-common
Description-md5: 9b7f1b4731125f87fe086245d2a0f458
Description-en: common files used by any of the OWFS programs
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 This package contains the common files that are used by any of the
 OWFS programs.

Package: owfs-doc
Description-md5: 523601a707a6da4696f65c3de4e9e757
Description-en: Dallas 1-wire support: Documentation for owfs
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 Documentation for OWFS in 'man' format.
 This package contains the manpages for 1-Wire, including the
 device-specific manpages.

Package: owfs-fuse
Description-md5: e426e83c2528e744987d0d744498f817
Description-en: 1-Wire filesystem
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 OWFS is a userspace virtual filesystem exposing all 1-Wire properties
 mapped into a filesystem.

Package: owftpd
Description-md5: 2a40ef45df35d5fb62e9f03d1085ec05
Description-en: FTP daemon providing access to 1-Wire networks
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 FTP daemon providing access to 1-Wire networks.

Package: owhttpd
Description-md5: 70410bc668b88ee80f4bcdd09bf3fb77
Description-en: HTTP daemon providing access to 1-Wire networks
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 HTTP daemon providing access to 1-Wire networks.

Package: owncloud-client
Description-md5: a754a2b9b06d1c7c880afd05aa24e101
Description-en: folder synchronization with an ownCloud server - GUI
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 owncloud-client provides the graphical client specialising in
 synchronizing with cloud storage provided by ownCloud.

Package: owncloud-client-cmd
Description-md5: 2c1075ef378c7609418a341fe82309ff
Description-en: folder synchronization with an ownCloud server - cmd client
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides the command line client specialising in
 synchronizing with cloud storage provided by ownCloud.

Package: owncloud-client-data
Description-md5: db479d2c6ebc6d79b3d4940817520d7d
Description-en: ownCloudSync folder synchronization - shared data
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides data that is shared between different packages.

Package: owncloud-client-doc
Description-md5: eedae8caabc6ba03d31012f99c4139e9
Description-en: ownCloudSync folder synchronization - documentation
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides the documentation.

Package: owncloud-client-l10n
Description-md5: 5e894b08c5dafd9438d2e46d63086621
Description-en: ownCloudSync folder synchronization - localization
 The ownCloudSync system lets you always have your latest files wherever
 you are. Just specify one or more folders on the local machine to and a server
 to synchronize to. You can configure more computers to synchronize to the same
 server and any change to the files on one computer will silently and reliably
 flow across to every other.
 .
 This package provides the localization.

Package: owserver
Description-md5: 443db857ab57ec2980c1d17a485ad4be
Description-en: Backend server for 1-Wire control
 The 1-Wire bus is a cheap low-speed bus for devices like weather
 sensors, access control, etc. It can be attached to your system via
 serial, USB, I2C, and other interfaces.
 .
 OWserver arbitrates access to the 1-Wire bus from multiple client processes.
 The physical bus is usually connected to a serial or USB port,
 and other processes connect to owserver over network sockets (tcp port).
 Communication can be local or over a network.

Package: owslib-doc
Description-md5: e7b053e8f7c5c16086cf707930363b98
Description-en: Client library for Open Geospatial (OGC) web services (Documentation)
 OWSLib is a Python package for client programming with Open Geospatial
 Consortium (OGC) web service (hence OWS) interface standards, and their
 related content models.
 .
 Full documentation is available at https://geopython.github.io/OWSLib
 .
 OWSLib provides a common API for accessing service metadata and wrappers
 for numerous OGC Web Service interfaces.
 .
 This package provides the documentation of the library.

Package: owx
Description-md5: f39f9908b58f5e3c9a6f6dbaf1b51cdc
Description-en: utility to program Wouxun dual-band handheld radios
 Open Wouxun (OWX) is a portable, open-source, command-line utility
 designed to program Wouxun dual-banders under any modern UNIX
 operating system. It supports KG-UV2D, KG-UVD1P and possibly other
 radios that identify as KG669V (such as Navcomm TK-890, Midland
 TK-790, Albrecht DB-270, Dynascan DB-48 and other brands).
 .
 Utility has five functions. They are used to:
 .
  - check radio connection
  - download binary data from radio
  - upload binary data to radio
  - export human-readable spreadsheet from binary data file
  - import edited spreadsheet into existing binary data file
 .
 Binary data contains everything that can be changed in the radio - all
 settings, channels, current modes of operation etc.

Package: oxref
Description-md5: 5680beed26bda09d6f78efc6f8f48aaa
Description-en: cross reference utility
 The program oxref writes to the standard output stream a cross reference of
 symbols defined in unstripped object files and/or libraries.
 .
 It demangles C++ symbols and can (probably) be used for a large range of
 languages.
 .
 Oxref does not interpret the object files and libraries itself, but calls
 objdump(1) to do so. The output produced by objdump is then filtered by
 oxref, generating the cross reference listing.

Package: oxygen-cursor-theme
Description-md5: 4c5002a4e592e5cc90c51c07857a8cde
Description-en: Oxygen mouse cursor theme
 This package contains the Oxygen cursor theme,
 originally created for KDE 4.
 .
 The cursors are available in numerous colors to
 match the style of any desktop.

Package: oxygen-cursor-theme-extra
Description-md5: 7bf7b82f1ad312760833c12442716fa0
Description-en: Oxygen mouse cursor theme - extra colors
 This package contains the Oxygen cursor theme,  originally created for KDE 4.
 .
 This package contains extra cursor colors: black, blue, brown,
 emerald, green, grey, hot_orange, navy, red, red-argentina, purple
 sea_blue, violet, viorange and yellow.

Package: oxygen-icon-theme
Description-md5: 1c9ecb27b72c298d63cfbecaaa57c213
Description-en: Oxygen icon theme
 Oxygen is the standard icon theme for KDE 4, but follows the freedesktop.org
 icon schemes, so it can be used in other desktop environments using this
 specification.

Package: oxygen-molecule
Description-md5: 9edcca94e179a5d5f5d48e83d04bfde6
Description-en: GTK+ theme to match the Oxygen widget style
 Oxygen-Molecule is a theme for GTK+ applications to provide
 a uniform look when used under the KDE desktop environment,
 as long as the Oxygen style is used.
 .
 It was originally based on the kde4-oxygen theme.

Package: oxygen-sounds
Description-md5: ca38ca5b54b2efe967e80405bf80d7ea
Description-en: Sounds for the Oxygen desktop theme
 The Oxygen desktop theme is used by Plasma and other KDE Software.
 .
 This package contains the sounds.

Package: oz
Description-md5: dbde2e0278cfa373fee6d021af24714a
Description-en: install virtual machine guest OSs with minimal input the user
 Oz is a tool for automatically installing guest OSs with only minimal
 up-front input from the user.
 .
 For each type of guest operating system, Oz supports up to three
 operations: operating system installation (oz-install), operating
 system customization (oz-customize), and ICICLE manifest generation
 (oz-generate-icicle).
 .
 Currently many versions of popular operating systems are supported,
 including Debian, Ubuntu, RHEL/CentOS, Fedora, openSUSE, Mandrake,
 and FreeBSD.  See also virt-install(1) and virt-builder(1) from the
 virtinst and libguestfs-tools packages.

Package: p0f
Description-md5: b01e13ebb1f82cf062a8228dde42d66e
Description-en: Passive OS fingerprinting tool
 p0f performs passive OS detection based on SYN packets. Unlike nmap
 and queso, p0f does recognition without sending any data.
 Additionally, it is able to determine the distance to the remote
 host, and can be used to determine the structure of a foreign or
 local network. When running on the gateway of a network it is able
 to gather huge amounts of data and provide useful statistics. On a
 user-end computer it could be used as powerful IDS add-on. p0f
 supports full tcpdump-style filtering expressions, and has an
 extensible and detailed fingerprinting database.

Package: p10cfgd
Description-md5: 69167e5c2c6a54f81c4cc397d70299e6
Description-en: Remote configuration daemon for Gracilis Packeten
 The 'p10cfgd' daemon provides support for the 'rmtcfg' command in the
 Gracilis Packeten amateur radio network packet switch.  With this daemon,
 and appropriate entries in the non-volatile configuration memory of a
 Packeten, it is possible to have the switch load commands and information
 at boot time.  Further, this daemon appends a command which sets the date
 and time in the clock on the Packeten.

Package: p7zip
Description-md5: a0431f39711e498d6462ffa98530d64b
Description-en: 7zr file archiver with high compression ratio
 p7zip is the Unix command-line port of 7-Zip, a file archiver that
 handles the 7z format which features very high compression ratios.
 .
 p7zip provides:
  - /usr/bin/7zr
    a standalone minimal version of the 7-zip tool that only handles
    7z, LZMA and XZ archives. 7z compression is 30-50% better than ZIP
    compression.
  - /usr/bin/p7zip
    a gzip-like wrapper around 7zr.
 .
 p7zip can be used with popular compression interfaces (such as File
 Roller or Nautilus).
 .
 Another package, p7zip-full, provides 7z and 7za which support more
 compression formats.

Package: p7zip-full
Description-md5: fc8c37a9a6d36c9a9277427ce669f01b
Description-en: 7z and 7za file archivers with high compression ratio
 p7zip is the Unix command-line port of 7-Zip, a file archiver that
 handles the 7z format which features very high compression ratios.
 .
 p7zip-full provides utilities to pack and unpack 7z archives within
 a shell or using a GUI (such as Ark, File Roller or Nautilus).
 .
 Installing p7zip-full allows File Roller to use the very efficient 7z
 compression format for packing and unpacking files and directories.
 Additionally, it provides the 7z and 7za commands.
 .
 List of supported formats:
   - Packing / unpacking: 7z, ZIP, GZIP, BZIP2, XZ and TAR
   - Unpacking only: APM, ARJ, CAB, CHM, CPIO, CramFS, DEB, DMG, FAT,
     HFS, ISO, LZH, LZMA, LZMA2, MBR, MSI, MSLZ, NSIS, NTFS, RAR (only
     if non-free p7zip-rar package is installed), RPM, SquashFS, UDF,
     VHD, WIM, XAR and Z.
 .
 The dependent package, p7zip, provides 7zr, a light version of 7za,
 and p7zip, a gzip-like wrapper around 7zr.

Package: p910nd
Description-md5: faa4c6d6ab167d17824d473f56b25d43
Description-en: small printer daemon intended for diskless workstations
 p910nd is a small daemon that copies any data received on the port it
 is listening on to the corresponding printer port.
 .
 It is primarily intended for diskless Linux hosts running as printer
 drivers but there is no reason why it could not be used on diskful hosts.
 .
 Port 9100 is copied to /dev/lp0, 9101 to /dev/lp1 and 9102 to /dev/lp2.
 The default is port 9100 to /dev/lp0.

Package: pacemaker-remote
Description-md5: 7435d0ad3daf9d3c185461492d734fd0
Description-en: cluster resource manager proxy daemon for remote nodes
 At its core, Pacemaker is a distributed finite state
 machine capable of co-ordinating the startup and recovery of inter-related
 services across a set of machines.
 .
 Pacemaker understands many different resource types (OCF, SYSV, systemd) and
 can accurately model the relationships between them (colocation, ordering).
 .
 It can even use technology such as Docker to automatically isolate the
 resources managed by the cluster.
 .
 This package contains the Pacemaker proxy daemon, which simulates cluster
 services on a node not running the cluster stack.  Such "remote" nodes can
 run resources but don't participate in the quorum.  This package is
 mutually exclusive with Pacemaker proper.

Package: pachi
Description-md5: dd13e6b8d4d8ad80c044506b562d6afc
Description-en: Platform game featuring Pachi el marciano
 Pachi came from Mars and crashlanded in our planet because of an accident
 with his U.F.O.
 .
 Pachi el marciano is a cool 2D platform game inspired by games from the
 80s like Manic Miner, Jet set Willy, and others.

Package: pachi-data
Description-md5: 390769ae6022bbac4b109bb94e2a91e8
Description-en: Platform game featuring Pachi el marciano (data files)
 Pachi came from Mars and crashlanded in our planet because of an accident
 with his U.F.O.
 .
 Pachi el marciano is a cool 2D platform game inspired by games from the
 80s like Manic Miner, Jet set Willy, and others.
 .
 This package contains the architecture-independent game data, sound and
 music files.

Package: package-update-indicator
Description-md5: 5b13c05959a82b13398d2b4248aae629
Description-en: Notify about available software updates
 This small utility which regularly checks for software updates and notifies
 the user about available updates using desktop notifications and either
 a status notifier icon or a system tray icon.
 .
 It is primarily intended for desktops which do not already have this
 functionality built-in, such as Xfce.

Package: packagekit-command-not-found
Description-md5: f46269a57fdaac0b45bc1962744cdaf3
Description-en: Offer to install missing programs automatically
 PackageKit allows performing simple software management tasks over a DBus
 interface e.g refreshing the cache, updating, installing and removing
 software packages or searching for multimedia codecs and file handlers.
 .
 This package contains a simple handler for command_not_found that offers to
 install missing packages on the command line using PackageKit.

Package: packagekit-gtk3-module
Description-md5: b4094b413124ff3eeddd6dcdb91ab037
Description-en: Install fonts automatically using PackageKit
 PackageKit allows performing simple software management tasks over a DBus
 interface e.g. refreshing the cache, updating, installing and removing
 software packages or searching for multimedia codecs and file handlers.
 .
 The PackageKit GTK+ module allows any Pango application to install
 fonts from configured repositories using PackageKit.

Package: packagesearch
Description-md5: 1f85f884193a2bc4b9c2e1a1bbc4a376
Description-en: GUI for searching packages and viewing package information
 This tool is aimed to help you search the packages you need. It should
 make the task of searching a pleasant experience.
 .
 Search can be done by
  * pattern
  * tags (based on the debtags system)
  * files
  * installed status
  * orphaned packages
 Additionally a lot of information about the packages is displayed,
 including screenshots and the files within a package.
 .
 It is possible to install or remove packages.

Package: packaging-dev
Description-md5: 69fde7bf0682bc5dae673fd770d8eefa
Description-en: convenient tools to develop packages
 This metapackage depends on common packages useful for the development of
 Debian-format packages, including patch management systems, build systems,
 packaging macros, helpful scripts for developers, and tools for building and
 testing packages.
 .
 This metapackage provides tools for packaging, rather than the development of
 software. No other package should depend or build-depend on this package.

Package: packaging-tutorial
Description-md5: 3fc7e477d7af7935c21078aeb2dc6c45
Description-en: introduction to Debian packaging
 This tutorial is an introduction to Debian packaging. It teaches prospective
 developers how to modify existing packages, how to create their own packages,
 and how to interact with the Debian community. In addition to the main
 tutorial, it includes three practical sessions on modifying the 'grep'
 package, and packaging the 'gnujump' game and a Java library.

Package: packer
Description-md5: ffeec1df4bb7d2193e9c0c34c90da994
Description-en: tool for creating machine images for multiple platforms
 Packer is an open source tool for creating identical machine images for
 multiple platforms from a single source configuration. Packer is lightweight,
 runs on every major operating system, and is highly performant, creating
 machine images for multiple platforms in parallel. Packer does not replace
 configuration management like Chef or Puppet. In fact, when building images,
 Packer is able to use tools like Chef or Puppet to install software onto the
 image.
 .
 A machine image is a single static unit that contains a pre-configured
 operating system and installed software which is used to quickly create new
 running machines. Machine image formats change for each platform. Some
 examples include AMIs for EC2, VMDK/VMX files for VMware, OVF exports for
 VirtualBox, etc.
 .
 For the documentation of Packer, please see <https://www.packer.io/docs>.

Package: packeth
Description-md5: caf8a8ea9236fcb826b1ea090ee2c6cb
Description-en: Ethernet packet generator
 packeth is a GUI packet generator tool for Ethernet.
 It allows you to create and send any possible packet
 or sequence of packets via Ethernet. It also
 supports the PCAP format, so you can load packets
 from any other program (i.e. wireshark).
 .
 You can create and send any Ethernet packet. The
 supported protocols are: Ethernet II, Ethernet
 802.3, 802.1q, QinQ, ARP, IPv4, UDP, TCP, ICMP,
 IGMP, RTP.

Package: packit
Description-md5: dc11a6dc47422fc1f62b6f3b45a73aa9
Description-en: network packet generator and capture tool
 Packit (PACket toolKIT) is a network auditing tool. It uses libpcap
 and can make real packages (frames) that are able to travel in a
 network. Packit also allows one to add personalized payloads. Other
 good feature is the possibility to read dump files created by
 tcpdump.
 .
 Packit has an ability to customize, inject, monitor and manipulate IP
 traffic. By allowing you to define (spoof) nearly all TCP, UDP, ICMP,
 IP, ARP, RARP, and Ethernet header options, Packit can be useful for
 the following scenarios:
    - tests in firewalls;
    - tests in Intrusion Detection Systems (IDS);
    - tests in Intrusion Prevention Systems (IPS);
    - tests in proxies;
    - tests in port scanning detectors;
    - network traffic simulations;
    - security tests; and
    - general TCP/IP auditing and pentests.
 .
 Packit is also an excellent tool for learning TCP/IP. However, this
 program does not support IPv6.

Package: packmol
Description-md5: 287daca65cb06ebd34c8faf7098f3a51
Description-en: Initial configurations for Molecular Dynamics Simulations
 Initial configurations for Molecular Dynamics Simulations by packing
 optimization.
 .
 Packmol creates an initial point for molecular dynamics simulations by
 packing molecules in defined regions of space. The packing guarantees
 that short range repulsive interactions do not disrupt the
 simulations.
 .
 The great variety of types of spatial constraints that can be
 attributed to the molecules, or atoms within the molecules, makes it
 easy to create ordered systems, such as lamellar, spherical or tubular
 lipid layers.
 .
 The user must provide only the coordinates of one molecule of each
 type, the number of molecules of each type and the spatial constraints
 that each type of molecule must satisfy.
 .
 The package is compatible with input files of PDB, TINKER, XYZ and
 MOLDY formats.
 .
 See http://m3g.iqm.unicamp.br/packmol for more information.
 .
 References
 .
 Please always cite one of the following references in publications for
 which Packmol was useful:
 .
 L Martinez, R Andrade, EG Birgin, JM Martinez, Packmol: A package for
 building initial configurations for molecular dynamics simulations.
 Journal of Computational Chemistry, 30, 2157-2164, 2009.
 (http://www3.interscience.wiley.com/journal/122210103/abstract)
 .
 JM Martinez, L Martinez, Packing optimization for the automated
 generation of complex system's initial configurations for molecular
 dynamics and docking. Journal of Computational Chemistry, 24, 819-825,
 2003. (http://www3.interscience.wiley.com/journal/104086246/abstract)

Package: packup
Description-md5: 00d1f4f5805e71cbd6c8b8a6251f8e13
Description-en: CUDF solver based on pseudo-Boolean constraints
 packup is a solver for the package upgradability problem specified in
 the CUDF format. It repeatedly invokes an optimization pseudo-Boolean
 solver in order to solve the problem. By default minisat+ is used for
 that purpose but a different solver can be used by specifying the
 pertaining command line option.

Package: pacman
Description-md5: e8ad66b84567c64aa92c6c4ab220eefe
Description-en: Chase Monsters in a Labyrinth
 You are Pacman, and you are supposed to eat all the small dots to get to
 the next level. You are also supposed to keep away from the ghosts,
 if they take you, you lose one life, unless you have eaten a large dot,
 then you can, for a limited amount of time, chase and eat the ghosts.
 There is also bonus available, for a limited amount of time.
 An X gives just points, but a little pacman gives an extra life.

Package: pacman4console
Description-md5: 41c96ef1617cdff6eac3342f18dceca3
Description-en: ncurses-based pacman game
 Pacman4Console is a simple pacman game for the terminal.
 It is played on the command-line, with ASCII character graphics.
 .
 It has nine levels by default, and you can make your own with
 its own level editor.

Package: paco
Description-md5: 8e26e3092bccad638dee9514fb4943ed
Description-en: Transitional package to pull in porg
 The paco program has been renamed to porg. This is the transitional dummy
 package to get upgrading systems to install porg.
 .
 You can safely remove this dummy package once nothing depends on it
 anymore.

Package: pacpl
Description-md5: 97a65d33b37e89a4fc1a4c8cdb4478ee
Description-en: multi-purpose audio converter/ripper/tagger script
 Perl Audio Converter is a tool for converting multiple audio types from one
 format to another using various external encoders/decoders.
 .
 It supports the following audio formats (but the backend program that handles
 a given format might not be packaged in Debian): 3G2, 3GP, 8SVX, AAC, AC3,
 ADTS, AIFF, AL, AMB, AMR, APE, AU, AVR, BONK, CAF, CDR, CVU, DAT, DTS, DVMS,
 F32, F64, FAP, FLA, FLAC, FSSD, GSRT, HCOM, IMA, IRCAM, LA, MAT, MAUD, MAT4,
 MAT5, M4A, MP2, MP3, MP4, MPC, MPP, NIST, OFF, OFR, OFS, OPUS, OGA, OGG, PAF,
 PRC, PVF, RA, RAM, RAW, RF64, SD2, SF, SHN, SMP, SND, SOU, SPX, SRN, TAK, TTA,
 TXW, VOC, VMS, VQF, W64, WAV, WMA, and WV.
 .
 It can also convert audio from the following video extensions: RM, RV, ASF,
 DivX, MPG, MKV, MPEG, AVI, MOV, OGM, OGV, QT, VCD, SVCD, M4V, NSV, NUV, PSP,
 SMK, VOB, FLV, WEBM, and WMV.
 .
 Pacpl also features parallel processing, a CD ripping function with CDDB
 support, batch conversion, tag preservation for most supported formats and
 independent tag reading & writing. Service menus for KDE (Dolphin/Konqueror),
 GNOME Nautilus script, and Nemo action script are also provided (see
 README.Debian).
 .
 You can write your own modules in order to add support for new file formats.

Package: pacvim
Description-md5: d34f4b2face1e039d0bd49cc49acc83e
Description-en: pacman game concept with vim command
 PacVim is a game that teaches you vim commands. You must
 move pacman (the green cursor) to highlight each word on
 the gameboard while avoiding the ghosts (in red).
 .
 Vim is a great tool to write and edit code, but many people, including me,
 struggled with the steep learning curve. I did not find a fun, free way to
 learn about the vim commands in-depth, and thus, PacVim was born. Inspired by
 the classic, PacMan, PacVim is a game that'll give anyone plenty of practice
 with the vim commands while being a ton of fun to play.

Package: pads
Description-md5: 0fb99b49cad9a3a1cf6c4cdec2e6f4e0
Description-en: Passive Asset Detection System
 Pads is a signature based detection engine used to passively
 detect network assets. It can determine which systems are
 alive in the network as well as the services they make use
 of. It is designed to complement IDS technology by providing
 context to IDS alerts. Unlike other tools, like nmap, it will
 not generate any network traffic which makes it useful to
 run both on network capture files and promiscuous mode
 interfaces.

Package: padthv1
Description-md5: ba0229309504d98d4d0eaeef40ae4631
Description-en: old-school polyphonic additive synthesizer - standalone app
 padthv1 is an old-school polyphonic additive synthesizer
 with stereo effects. It is provided in both forms
 of a LV2 plugin and a pure stand-alone JACK
 client with JACK-session and both JACK MIDI and ALSA
 MIDI input support.
 .
 This package provides the standalone app.

Package: padthv1-common
Description-md5: 31c8ef21be8f68f5f5debf2d11261780
Description-en: old-school polyphonic additive synthesizer - common files
 padthv1 is an old-school polyphonic additive synthesizer
 with stereo effects. It is provided in both forms
 of a LV2 plugin and a pure stand-alone JACK
 client with JACK-session and both JACK MIDI and ALSA
 MIDI input support.
 .
 This package provides files shared by both the LV2 plugin and the standalone
 application.

Package: padthv1-lv2
Description-md5: 7e8d996b3bfc72f14f4bd060c45e2087
Description-en: old-school polyphonic additive synthesizer - LV2 plugin
 padthv1 is an old-school polyphonic additive synthesizer
 with stereo effects. It is provided in both forms
 of a LV2 plugin and a pure stand-alone JACK
 client with JACK-session and both JACK MIDI and ALSA
 MIDI input support.
 .
 This package provides the LV2 plugin.

Package: paexec
Description-md5: f5db60b5f571f73ba3094b1bda2b5de4
Description-en: execute tasks in parallel
 paexec is a tool to execute tasks in parallel.
 .
 paexec reads tasks from the standard input and distributes
 them over a number of nodes using a specified transport
 protocol.

Package: page-crunch
Description-md5: fc0931ed9e162de6df6308c28d78c844
Description-en: PDF and PS manipulation for printing needs
 Converts PDF and PS files to new PDF and PS documents with several
 sheets on the same page, or with resized or rotated sheets. It also
 can generate a book ready to print.
 .
 It acts as a graphical frontend to psutils programs, like psnup and
 psbook, and runs viewers such as xpdf and gv for preview once the
 processing is done.  The GUI is made in Tcl/Tk.

Package: pagein
Description-md5: 7041379318195e9c66db1d51a71149e1
Description-en: tool to force swapped out pages to be resident in memory
 pagein will attempt to swap in pages that are currently swapped out
 to make the pages resident in memory. It is mainly useful for testing
 the VM subsystem.

Package: pagemon
Description-md5: afa2c2a415ee499b4baf05d9fad3d1fd
Description-en: interactive memory/page monitoring tool
 pagemon is a ncurses based interactive memory/page monitoring tool
 allowing one to browse the memory map of an active running process
 on Linux.

Package: pages2epub
Description-md5: 26f63712b6e30f07dfc00ce0171fbebe
Description-en: Apple Pages to EPUB converter
 This package contains a utility for converting Apple Pages text document
 files into EPUB documents.

Package: pages2odt
Description-md5: 89a5e073903bae9d70c55536644ca149
Description-en: Apple Pages text documents to OpenDocument converter
 This package contains a utility for converting Apple Pages text document
 files into OpenDocument text documents.

Package: pagetools
Description-md5: 5c10e94341863e96146c4d3901f53d98
Description-en: Automatic deskew and bounding box determination for scanned page images
 This program determines the skew angle for text, and works with
 black/white images in TIFF or PBM format. To achieve this, it uses
 an original algorithm based on a fast implementation of the Radon
 transform. This program is part of the Page Layout Detection Tools
 project, which aims to automate the layout detection in scanned
 page images.

Package: pagure
Description-md5: 8a6e0923a185fa097780bc98198b13ee
Description-en: git-centered forge using pygit2
 Pagure is a git-centered forge, Python based using pygit2.
 .
 With pagure you can host your project with its documentation, let your
 users report issues or request enhancements using the ticketing system
 and build your community of contributors by allowing them to fork your
 projects and contribute to it via the now-popular pull-request
 mechanism.
 .
 You may be also interesed in other packages to enhance the
 functionality of pagure:
  * pagure-ev-server
  * pagure-milters
  * pagure-webhook
  * pagure-ci
  * pagure-loadjson
  * pagure-logcom
  * pagure-mirror

Package: pagure-ci
Description-md5: 710a329a132d829d7dab03412a728adc
Description-en: git-centered forge using pygit2 - CI integration server
 Pagure is a git-centered forge, Python based using pygit2.
 .
 pagure-ci is a service integrating the results of Continuous
 Integration (CI) services, such as jenkins, into pull-requests opened
 against your project on pagure.

Package: pagure-doc
Description-md5: 45002ee4d590a4f8112b79131b0d5f89
Description-en: git-centered forge using pygit2 (documentation)
 Pagure is a git-centered forge, Python based using pygit2.
 .
 With pagure you can host your project with its documentation, let your
 users report issues or request enhancements using the ticketing system
 and build your community of contributors by allowing them to fork your
 projects and contribute to it via the now-popular pull-request
 mechanism.
 .
 This is the common documentation package.

Package: pagure-ev-server
Description-md5: 4158a53411d1dbc3381239c01239b653
Description-en: git-centered forge using pygit2 - EventSource server
 Pagure is a git-centered forge, Python based using pygit2.
 .
 pagure-ev-server used to allow live-refreshing of a page when someone
 is viewing it. For example, while you are reading a ticket if someone
 comments on it, the comment will automatically show up on the page
 without the need for you to reload the entire page.

Package: pagure-loadjson
Description-md5: 8347eddf3ef34d3ac2a84f9f31cd4184
Description-en: git-centered forge using pygit2 - JSON load server
 Pagure is a git-centered forge, Python based using pygit2.
 .
 This service loads into the database the JSON files representing
 issues (and in the future also the pull-requests).
 .
 It is triggered by a git hook, which sends a notification that a push
 happened.  This service receives the notification, finds the list
 of files that changed and loads them into the database.

Package: pagure-logcom
Description-md5: b8a05ada8bee7a4c063dea30517d99aa
Description-en: git-centered forge using pygit2 - commit log server
 Pagure is a git-centered forge, Python based using pygit2.
 .
 This service logs in the user's commits to be displayed in the
 database.
 .
 It is triggered by a git hook, which sends a notification that a push
 happened.  This service receives the notification, goes over all of
 the commits that got pushed and logs the activity corresponding to
 that user.

Package: pagure-milters
Description-md5: a40dec39deb9d2d2a4290a8d108f7833
Description-en: git-centered forge using pygit2 - milters (mail filters)
 Pagure is a git-centered forge, Python based using pygit2.
 .
 pagure-milters is used to allow replying on a comment of a ticket or a
 pull-request by directly replying to the notification sent.  No need
 to go to the page anymore to reply to a comment someone made.
 .
 It integrates with an MTA such as postfix or sendmail that you will
 have running and have access to in order to change its configuration.

Package: pagure-mirror
Description-md5: 9e26f8150a61c6aee7a5df670f06c2fe
Description-en: git-centered forge using pygit2 - mirror
 Pagure is a git-centered forge, Python based using pygit2.
 .
 pagure-mirror is the service mirroring projects that asked for it
 outside of this pagure instance.

Package: pagure-webhook
Description-md5: 84d109186d4b643f978b8039839489ec
Description-en: git-centered forge using pygit2 - web-hook server
 Pagure is a git-centered forge, Python based using pygit2.
 .
 pagure-webhook sends notifications to third party services using POST
 http requests.

Package: painintheapt
Description-md5: 09408221635774a41f57d6b4c1453840
Description-en: Pester people about available package updates by email or jabber
 Pain in the APT pesters people about available package upgrades,
 just like apticron or cron-apt. However, it does so by SMTP and XMPP
 (direct, MUC/groupchat, or pubsub), but also by calling mailx.

Package: paje.app
Description-md5: 800deb7070ff3e83b0de754ed62a2b14
Description-en: generic visualization tool (Gantt chart and more)
 Paje is a graphical tool that displays traces produced during the
 execution of multithreaded programs. Other programs can also generate
 traces for this tool.
 .
 Key Features
   * Supports multi threaded programs
      o each thread of the analysed program can be individually displayed,
        or multiple threads can be combined, to reduce screen space usage.
   * Interactivity
      o each entity represented on the screen can be interrogated for
        more information,
      o related entities are highlighted as mouse cursor passes over
        some representation

Package: pajeng
Description-md5: dfe362d1288519fba33731582b3460ae
Description-en: space-time view and associated tools for Paje trace files
 PajeNG (Paje Next Generation) is a re-implementation (in C++) and
 direct heir of the well-known Paje visualization tool for the
 analysis of execution traces (in the Paje File Format) through trace
 visualization (space/time view). Auxiliary tools are also available
 to dump to CSV and display gantt charts out of Paje trace files.

Package: pakcs
Description-md5: 0ed781f09b55416cf4fd5eb5941653e1
Description-en: Portland Aachen Kiel Curry Compiler
 PAKCS is an implementation of the multi-paradigm declarative language
 Curry jointly developed by the Portland State University, the Aachen
 University of Technology, and the University of Kiel. Although this is
 not a highly optimized implementation but based on a high-level
 compilation of Curry programs into Prolog programs, it is not a toy
 implementation: PAKCS has been used for a variety of applications so far
 (e.g., graphical programming environments, an object-oriented front-end
 for Curry, partial evaluators, database applications, HTML programming
 with dynamic web pages, prototyping embedded systems). The size of all
 current Curry applications implemented with PAKCS amounts to more than
 150,000 lines (or 8 mbytes) of program code.

Package: pal
Description-md5: 0f3dd48e4be9f98a2333513024893cb5
Description-en: command-line calendar program that can keep track of events
 pal is a command-line calendar program for Unix/Linux systems that can keep
 track of events. It has similarities with the Unix cal command, the more
 complex GNU gcal program, and the calendar program distributed with the BSDs.
 .
 Some of pal's main features are:
  * A cal-like calendar that highlights days that have events.
  * Assign different colors to different types of events.
  * Search events with regular expressions (-s).
  * Includes calendars for US holidays, Christian holidays, world holidays,
    historical events and more.
  * One-time events and a variety of recurring events are supported (daily,
    weekly, monthly, yearly). Recurring events can have start and end dates.
  * Easy-to-use interface for interactively adding, editing and deleting
    events (-m).
  * Automated deletion of old events (-x).
  * Option to generate an HTML calendar (--html).
  * Option to generate a LaTeX calendar suitable for printing (--latex).
 .
 Ways to use pal effectively include:
  * Create your own calendar files and be reminded of upcoming meetings,
    deadlines, and events.
  * Remind yourself daily of your "To Do" list by using the special TODO event
    type.
  * Run pal in your shell initialization file (such as ~/.bash_profile) to see
    your calendar whenever you open a new terminal.
  * Set up a cron job that emails you and/or others the output of pal every
    morning (--mail).
  * View the calendars of other pal users on the same system.

Package: pal2nal
Description-md5: 25753df4c3a4fc9a63c6a6f3e62be437
Description-en: converts proteins to genomic DNA alignment
 PAL2NAL is a program that converts a multiple sequence alignment
 of proteins and the corresponding DNA (or mRNA) sequences into
 a codon-based DNA alignment. The program automatically assigns
 the corresponding codon sequence even if the input DNA sequence
 has mismatches with the input protein sequence, or contains UTRs,
 polyA tails. It can also deal with frame shifts in the input
 alignment, which is suitable for the analysis of pseudogenes.
 The resulting codon-based DNA alignment can further be subjected
 to the calculation of synonymous (Ks) and non-synonymous (Ka)
 substitution rates.

Package: palapeli
Description-md5: 9b5055f9646035d40c1947dfd313f8dd
Description-en: jigsaw puzzle game
 Palapeli is a jigsaw puzzle game. Unlike other games in that genre,
 you are not limited to aligning pieces on imaginary grids. The
 pieces are freely moveable.
 .
 Palapeli is the Finnish word for jigsaw puzzle.
 .
 This package is part of the KDE games module.

Package: palapeli-data
Description-md5: 2811626a70f5a6f6a7203531a31dea98
Description-en: data files for palapeli jigsaw puzzle game
 Data files (puzzles) for the palapeli puzzle game.
 .
 Palapeli is a jigsaw puzzle game. Unlike other games in that genre,
 you are not limited to aligning pieces on imaginary grids. The
 pieces are freely moveable.
 .
 Palapeli is the Finnish word for jigsaw puzzle.
 .
 This package is part of the KDE games module.

Package: palbart
Description-md5: 72ac4f140f917e5e4ca507adda24e4c6
Description-en: Enhanced version of the PAL PDP8 assembler
 PALBART is an enhanced version of the pdp8 PAL assembler.
 This is a PDP8 cross assembler.  It's useful for the users of SIMH or
 any other PDP8 emulator.
 .
 To quote that web page, "This enhancement was written by Gary
 Messenbrink to support BART's fleet of PDP-8 systems."

Package: palo
Description-md5: 7bba46af057c185e784bc82bebac1b40
Description-en: Linux boot loader for HP PA-RISC
 PALO is the boot loader for HP PA-RISC machines. This package contains
 both the actual boot loader called iplboot as well as a boot media
 management tool after which bears the name PALO. While iplboot
 can be used on PA-RISC machines only, the PALO media management tool
 runs on any architecture and is used to create boot media for
 HP PA-RISC machines.

Package: palp
Description-md5: c646e0ea8e7a5a2731eb3a7b4c2e5174
Description-en: Package for Analyzing Lattice Polytopes
 This package contains various tools to work with lattice polytopes:
 .
  - mori.x computes star triangulations of a polytope
  - cws.x creates weight systems and combined weight systems
  - class.x classifies reflexive polytopes
  - poly.x computes data of a polytope
  - nef.x computes Hodge numbers of nef-partitions

Package: pamix
Description-md5: 62c851ed83625ebfb501431c9644255c
Description-en: Pulseaudio terminal mixer based in pavucontrol
 PAMix is an ncurses/curses pulseaudio mixer in C++ similar to pavucontrol
 designed to run in the terminal, it allows you to control both the volume of
 hardware devices and of each playback stream separately.

Package: paml
Description-md5: ea4a5e854840c2f3fb0be17d0120191b
Description-en: Phylogenetic Analysis by Maximum Likelihood (PAML)
 PAML is a package of programs for phylogenetic analyses of DNA or
 protein sequences using maximum likelihood. PAML is not good for tree
 making. It may be used to estimate parameters and test hypotheses to
 study the evolutionary process, when you have reconstructed trees using
 other programs such as PAUP*, PHYLIP, MOLPHY, PhyML, RaxML, etc.

Package: paml-doc
Description-md5: 25ca90fb0e679e33c45ba724d1f4b5c9
Description-en: Documentation for PAML
 PAML is a package of programs for phylogenetic analyses of DNA or
 protein sequences using maximum likelihood. PAML is not good for tree
 making. It may be used to estimate parameters and test hypotheses to
 study the evolutionary process, when you have reconstructed trees using
 other programs such as PAUP*, PHYLIP, MOLPHY, PhyML, RaxML, etc.
 .
 The source code comes with a series of PDF files to help with
 further insights for the working of, and with, PAML.

Package: pamtester
Description-md5: 312d15e77b318b92f3a0e9b75bf5c971
Description-en: utility program to test the PAM facility
 Pamtester is a tiny utility program to test the pluggable authentication
 modules (PAM) facility, which is a de facto standard of unified
 authentication management mechanism in many unices and similar OSes
 including Solaris, HP-UX, *BSD, MacOSX and Linux.
 .
 While specifically designed to help PAM module authors to test their
 modules, that might also be handy for system administrators interested
 in building a centralised authentication system using common standards
 such as NIS, SASL and LDAP.

Package: pamu2fcfg
Description-md5: 576150b27d240bfbfc2f80d126363a17
Description-en: universal 2nd factor (U2F) PAM module command-line helper tool
 Universal 2nd Factor (U2F) is an authentication mechanism that strengthen
 other authentications, and through this PAM module it can be used to
 require a U2F device in order to authenticate.
 .
 This package contains the command-line tool pamu2fcfg which is used
 to generate the information needed by libpam-u2f to perform an U2F
 authentication.

Package: pan
Description-md5: 34f136ca10b50a3de557761acc5559f1
Description-en: newsreader based on GTK2, which looks like Forte Agent
 Pan is a newsreader, loosely based on Agent and Gravity, which attempts to be
 pleasant to use for new and advanced users alike. It has all the typical
 features found in newsreaders and also supports offline newsreading,
 sophisticated filtering, multiple connections, and a number of extra features
 for power users and alt.binaries fans.

Package: pandoc
Description-md5: ed5e885dbbdc531abe9e9c505080b2dd
Description-en: general markup converter
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 It can read several dialects of Markdown and (subsets of) HTML,
 reStructuredText, LaTeX, DocBook,
 JATS, MediaWiki markup, TWiki markup, TikiWiki markup, Creole 1.0,
 Haddock markup, OPML, Emacs Org-mode, Emacs Muse,
 txt2tags, Vimwiki, Word Docx, ODT, EPUB, FictionBook2,
 roff man, and Textile,
 and it can write Markdown, reStructuredText, XHTML, HTML 5,
 LaTeX (including rendering as plain PDF or beamer slide shows),
 ConTeXt, DocBook, JATS, OPML, TEI,
 OpenDocument, ODT, Word docx, PowerPoint pptx, RTF,
 MediaWiki, DokuWiki, ZimWiki, Textile, roff man, roff ms, GNU Texinfo,
 plain text, Emacs Org-Mode, AsciiDoc, Haddock markup,
 EPUB (v2 and v3), FictionBook2, InDesign ICML,
 Muse, LaTeX beamer slides, PowerPoint,
 and several kinds of HTML/javaScript slide shows
 (S5, Slidy, Slideous, DZSlides, reveal.js).
 .
 In contrast to most existing tools for converting Markdown to HTML,
 pandoc has a modular design:
 it consists of a set of readers, which parse text in a given format
 and produce a native representation of the document,
 and a set of writers,
 which convert this native representation into a target format.
 Thus,
 adding an input or output format requires only adding a reader or writer.
 .
 This package contains the pandoc tool.
 .
 Some uses of Pandoc require additional packages:
  * SVG content in PDF output requires librsvg2-bin.
  * YAML metadata in TeX-related output requires texlive-latex-extra.
  * *.hs filters not set executable requires ghc.
  * *.js filters not set executable requires nodejs.
  * *.php filters not set executable requires php.
  * *.pl filters not set executable requires perl.
  * *.py filters not set executable requires python.
  * *.rb filters not set executable requires ruby.
  * *.r filters not set executable requires r-base-core.
  * LaTeX output, and PDF output via PDFLaTeX, require
    texlive-latex-recommended.
  * XeLaTeX output, and PDF output via XeLaTeX, require texlive-xetex.
  * LuaTeX output, and PDF output via LuaTeX, require texlive-luatex.
  * ConTeXt output, and PDF output via ConTeXt, require context.
  * PDF output via wkhtmltopdf requires wkhtmltopdf.
  * Roff man and roff ms output, and PDF output via roff ms, require
    groff.
  * MathJax-rendered equations require libjs-mathjax.
  * KaTeX-rendered equations require node-katex.

Package: pandoc-citeproc
Description-md5: a6f9b60f4b0516c760c6c5d60ab8fe9b
Description-en: Pandoc support for Citation Style Language - tools
 pandoc-citeproc is a Haskell implementation of the Citation Style Language
 (CSL).
 .
 This package also contains an executable: pandoc-citeproc, which works as a
 pandoc filter (pandoc >= 1.12), and also has a mode for converting
 bibliographic databases a YAML format suitable for inclusion in pandoc YAML
 metadata.

Package: pandoc-citeproc-preamble
Description-md5: 7a2404ccfd213fcf385f483783864eeb
Description-en: insert a preamble before pandoc-citeproc's bibliography
 pandoc-citeproc-preamble is a JSON filter for Pandoc which inserts a
 preamble before the output that the pandoc-citeproc filter appends to
 the document. This preamble might include a heading
 (e.g. "Bibliography") and raw markup to format the bibliography for
 the output format.
 .
 Since pandoc-citeproc doesn't provide any facility to add formatting
 control code to its output, pandoc-citeproc-preamble is necessary to
 avoid the user being forced to add their control code to the end of
 their input files, thereby losing the input file's agnosticity with
 regard to output format.

Package: pandoc-data
Description-md5: 1f663ec141c8763efd50555dd386394c
Description-en: general markup converter - data files
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 It can read several dialects of Markdown and (subsets of) HTML,
 reStructuredText, LaTeX, DocBook,
 JATS, MediaWiki markup, TWiki markup, TikiWiki markup, Creole 1.0,
 Haddock markup, OPML, Emacs Org-mode, Emacs Muse,
 txt2tags, Vimwiki, Word Docx, ODT, EPUB, FictionBook2,
 roff man, and Textile,
 and it can write Markdown, reStructuredText, XHTML, HTML 5,
 LaTeX (including rendering as plain PDF or beamer slide shows),
 ConTeXt, DocBook, JATS, OPML, TEI,
 OpenDocument, ODT, Word docx, PowerPoint pptx, RTF,
 MediaWiki, DokuWiki, ZimWiki, Textile, roff man, roff ms, GNU Texinfo,
 plain text, Emacs Org-Mode, AsciiDoc, Haddock markup,
 EPUB (v2 and v3), FictionBook2, InDesign ICML,
 Muse, LaTeX beamer slides, PowerPoint,
 and several kinds of HTML/javaScript slide shows
 (S5, Slidy, Slideous, DZSlides, reveal.js).
 .
 In contrast to most existing tools for converting Markdown to HTML,
 pandoc has a modular design:
 it consists of a set of readers, which parse text in a given format
 and produce a native representation of the document,
 and a set of writers,
 which convert this native representation into a target format.
 Thus,
 adding an input or output format requires only adding a reader or writer.
 .
 This package contains the data files for pandoc.

Package: pandoc-plantuml-filter
Description-md5: 59f467ba38e322517fdb7eb3f09ae1ed
Description-en: Pandoc filter: converts PlantUML code blocks to PlantUML images
 This filter for pandoc uses the pandocfilters library and the PlantUML
 executable for converting PlantUML code embedded into documents into UML
 diagrams.
 .
 This installs the Python3 version of the program.

Package: pandoc-sidenote
Description-md5: 06f1e93fe3e487563dc6b11ff7c6ff49
Description-en: footnotes-to-sidenotes converter for Pandoc
 pandoc-sidenote is a simple Pandoc filter
 to convert footnotes into a format that can be consumed by Tufte CSS.
 .
 Pandoc is a Haskell library for converting
 from one markup format to another,
 and a command-line tool that uses this library.
 .
 This package provides the filter to be used with the pandoc executable.

Package: pandora-build
Description-md5: b7836466d918c857f69b8b588965334e
Description-en: autotools made better, faster stronger
 pandora-build supplies common checks for compiler flags, warnings and other
 portability issues encountered using autoconf on those projects.
 .
 On systems which have a version of quickly, it provides a set of quickly
 templates for managing pandora-build-based projects.
 .
 Currently, pandora-build is used in drizzle, libmemcached, gearman and
 haildb, as well as numerous other smaller projects.

Package: pandorafms-agent
Description-md5: 894463e4540bec0affb22dc0b2b28338
Description-en: Pandora FMS - The Flexible Monitoring System (agent)
 Pandora FMS is a monitoring application to watch systems and applications.
 Pandora FMS allows you to know the status of any element of your bussiness
 systems.  Pandora FMS watches your hardware, your software, your multilayer
 system and, of course, your Operating System. Pandora FMS can detect a
 network interface down or the movement of any NASDAQ new technology market
 value. If you wish, Pandora FMS can send a SMS message when your system
 or your application fails... or when Google stock value drops below 330 US$.
 .
 Pandora FMS will adjust, like an octopus, to your systems and requirements,
 because it has been designed to be open, modular, multiplattform and easy to
 customize. Pandora FMS is developed for system administrators.
 .
 Pandora FMS Agent is a agent program for Pandora FMS.  You should install
 this package into every target servers.

Package: pango1.0-tests
Description-md5: ff557306e029dcb08687d43f495b0d47
Description-en: Layout and rendering of internationalized text - installed tests
 Pango is a library for layout and rendering of text, with an emphasis
 on internationalization. Pango can be used anywhere that text layout is
 needed. however, most of the work on Pango-1.0 was done using the GTK+
 widget toolkit as a test platform. Pango forms the core of text and
 font handling for GTK+-2.0.
 .
 Pango is designed to be modular; the core Pango layout can be used with
 four different font backends:
  - Core X windowing system fonts
  - Client-side fonts on X using the Xft library
  - Direct rendering of scalable fonts using the FreeType library
  - Native fonts on Microsoft backends
 .
 This package contains test programs, designed to be run as part of a
 regression testsuite.

Package: pangoterm
Description-md5: 16938464f6128d592a8746c34d61861a
Description-en: GTK/Pango-based terminal
 A minimal GTK/Pango-based terminal which that uses libvterm to provide
 terminal emulation.

Package: pangzero
Description-md5: 5bba78b5e1f9e2b31d9b8c2c87ea5543
Description-en: action game that involves popping balloons with a harpoon
 Pang Zero is a clone and enhancement of Super Pang, a fast-paced action
 game that involves popping balloons with a harpoon.
 .
 The intention is to create a fun, open-source game that many (currently
 up to 6) people can play together. You can play Pang Zero alone, but it's
 a lot more fun to play with your friends.

Package: panicparse
Description-md5: 9973ed570a9c9069c895f5dd164f438f
Description-en: Crash your app in style (Golang)
 panicparse Parses panic stack traces, densifies and deduplicates
 goroutines with similar stack traces. Helps debugging crashes and
 deadlocks in heavily parallelized process.

Package: panko-api
Description-md5: f34917e307584206e5804f0b9392eaec
Description-en: Event storage dispatcher for Ceilometer
 Event storage dispatcher for Ceilometer.
 .
 This package container the api service

Package: panko-common
Description-md5: f1f5ce4dbcd021b21f5175ef7725a837
Description-en: panko common files
 Event storage dispatcher for Ceilometer.
 .
 This package contains files that are needed for all parts of panko.

Package: panoramisk
Description-md5: adfda512b6360ae4e5da273245585f3a
Description-en: asyncio based library to play with asterisk (Python 3 binary)
 Panoramisk is a library based on python’s AsyncIO to play with Asterisk’s
 manager.
 It uses the TCP manager server to listen to events and send actions.
 .
 This is the command line package.

Package: paperkey
Description-md5: 21f2ad1a0e1388e85e36e76979bfa51b
Description-en: extract just the secret information out of OpenPGP secret keys
 A reasonable way to achieve a long term backup of OpenPGP (GnuPG, PGP,
 etc) keys is to print them out on paper.  The reasoning behind this is
 that paper and ink has amazingly long retention qualities - far longer
 than the magnetic or optical means that are generally used to back up
 computer data.
 .
 Due to metadata and redundancy, OpenPGP secret keys are significantly
 larger than just the "secret bits".  In fact, the secret key contains
 a complete copy of the public key.  Since the public key generally
 doesn't need to be escrowed (most people have many copies of it on
 various keyservers, web pages, etc), only extracting the secret parts
 can be a real advantage.
 .
 Paperkey extracts just those secret bytes and prints them.  To
 reconstruct, you re-enter those bytes (whether by hand or via OCR) and
 paperkey can use them to transform your existing public key into a
 secret key.

Package: paperwork-backend
Description-md5: c97754e1411c569bb8acdd7c39725f58
Description-en: Personal document manager
 This is the backend part of Paperwork. It manages: The work directory / Access
 to the documents, Indexing, Searching, Suggestions, Import, Export.
 .
 Paperwork is a personal document manager. It manages scanned documents and
 PDFs.
 It's designed to be easy and fast to use. The idea behind Paperwork
 is "scan & forget": You can just scan a new document and
 forget about it until the day you need it again.
 In other words, let the machine do most of the work for you.

Package: paperwork-gtk
Description-md5: 01cd0612bb11ed042efdc6c13e1808f4
Description-en: Paperwork is a personal document manager - GTK3 frontend
 This is the GTK3 frontend part of Paperwork. It manages: The work directory /
 Access to the documents, Indexing, Searching, Suggestions, Import, Export.
 .
 Paperwork is a personal document manager. It manages scanned documents and
 PDFs.
 It's designed to be easy and fast to use. The idea behind Paperwork
 is "scan & forget": You can just scan a new document and
 forget about it until the day you need it again.
 In other words, let the machine do most of the work for you.

Package: paperwork-gtk-l10n-de
Description-md5: 6d349ca45758eba89133f3c4e5c84c04
Description-en: Gui for paperwork-backend - German localization
 This is the GTK3 frontend part of Paperwork. It manages: The work directory /
 Access to the documents, Indexing, Searching, Suggestions, Import, Export.
 .
 Paperwork is a personal document manager. It manages scanned documents and
 PDFs.
 It's designed to be easy and fast to use. The idea behind Paperwork
 is "scan & forget": You can just scan a new document and
 forget about it until the day you need it again.
 In other words, let the machine do most of the work for you.
 .
 This package installs German localized dependencies.

Package: paperwork-gtk-l10n-en
Description-md5: e81e9a33dcd5866c46949599c0d5c156
Description-en: Gui for paperwork-backend - French localization
 This is the GTK3 frontend part of Paperwork. It manages: The work directory /
 Access to the documents, Indexing, Searching, Suggestions, Import, Export.
 .
 Paperwork is a personal document manager. It manages scanned documents and
 PDFs.
 It's designed to be easy and fast to use. The idea behind Paperwork
 is "scan & forget": You can just scan a new document and
 forget about it until the day you need it again.
 In other words, let the machine do most of the work for you.
 .
 This metapackage installs English localized dependencies.

Package: paperwork-gtk-l10n-es
Description-md5: b1499f807971826ab06586a168e69413
Description-en: Gui for paperwork-backend - Spanish localization
 This is the GTK3 frontend part of Paperwork. It manages: The work directory /
 Access to the documents, Indexing, Searching, Suggestions, Import, Export.
 .
 Paperwork is a personal document manager. It manages scanned documents and
 PDFs.
 It's designed to be easy and fast to use. The idea behind Paperwork
 is "scan & forget": You can just scan a new document and
 forget about it until the day you need it again.
 In other words, let the machine do most of the work for you.
 .
 This package installs Spanish localized dependencies.

Package: paperwork-gtk-l10n-fr
Description-md5: 573ed6caf0622eaacb94e39a44e4102c
Description-en: Gui for paperwork-backend - English localization
 This is the GTK3 frontend part of Paperwork. It manages: The work directory /
 Access to the documents, Indexing, Searching, Suggestions, Import, Export.
 .
 Paperwork is a personal document manager. It manages scanned documents and
 PDFs.
 It's designed to be easy and fast to use. The idea behind Paperwork
 is "scan & forget": You can just scan a new document and
 forget about it until the day you need it again.
 In other words, let the machine do most of the work for you.
 .
 This package installs French localized dependencies.

Package: paperwork-gtk-l10n-uk
Description-md5: fe893509ef5670171c21171807fbd200
Description-en: Gui for paperwork-backend - Ukrainian localization
 This is the GTK3 frontend part of Paperwork. It manages: The work directory /
 Access to the documents, Indexing, Searching, Suggestions, Import, Export.
 .
 Paperwork is a personal document manager. It manages scanned documents and
 PDFs.
 It's designed to be easy and fast to use. The idea behind Paperwork
 is "scan & forget": You can just scan a new document and
 forget about it until the day you need it again.
 In other words, let the machine do most of the work for you.
 .
 This package installs Ukrainian localized dependencies.

Package: papi-examples
Description-md5: d3939076a0d259521f6a49d2cec2149e
Description-en: PAPI example files and test programs
 Performance Application Programming Interface (PAPI) provides the tool
 designer and application engineer with a consistent interface and methodology
 for use of the performance counter hardware found in most major
 microprocessors. PAPI enables software engineers to see, in near real time,
 the relation between software performance and processor events.
 .
 In addition Component PAPI provides access to a collection of components that
 expose performance measurement opportunites across the hardware and software
 stack.
 .
 This package provides examples and tests of the PAPI software.

Package: papi-tools
Description-md5: 9117a66b6f1ada209483a00e0ee7a709
Description-en: PAPI utilities
 Performance Application Programming Interface (PAPI) provides the tool
 designer and application engineer with a consistent interface and methodology
 for use of the performance counter hardware found in most major
 microprocessors. PAPI enables software engineers to see, in near real time,
 the relation between software performance and processor events.
 .
 In addition Component PAPI provides access to a collection of components that
 expose performance measurement opportunites across the hardware and software
 stack.
 .
 This package provides utilities provided by the PAPI software.

Package: papirus-icon-theme
Description-md5: ec88774cd9d5387eb86e27b33f9d48e4
Description-en: Papirus open source icon theme for Linux
 Papirus is a SVG-based icon theme, drawing inspiration from Material Design
 and flat design.
 .
 This package contains the following icon themes:
  - Papirus
  - Papirus-Dark
  - Papirus-Light
  - ePapirus

Package: paprefs
Description-md5: 42f00216f7a81cc0e4548a67a7dd6802
Description-en: PulseAudio Preferences
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 PulseAudio Preferences (paprefs) is a simple GTK+ based configuration dialog
 for the PulseAudio sound server.

Package: paps
Description-md5: 710fad0de3310ea1ccf39c08ea2906eb
Description-en: UTF-8 to PostScript converter using Pango
 Paps reads a UTF-8 encoded file and generates a PostScript language rendering
 of the file. The rendering is done by creating outline curves through the
 pango ft2 backend.

Package: par
Description-md5: 71660063a9c5d8d7c0aae26ef5f56aeb
Description-en: Paragraph reformatter
 Greatly enhanced fmt type program by Adam M. Costello.
 .
 Can be used within vi or other editor to automatically reformat text
 in a variety of ways.
 .
 Perfect for use with email & usenet messages as it correctly handles
 multiple levels of quoting characters.
 .
 This version includes a patch allowing multi-byte and multi-width character
 encodings.

Package: par2
Description-md5: 2d3e451789cca65ec69945efa5d76da1
Description-en: PAR 2.0 compatible file verification and repair tool
 par2cmdline is a command line utility for creating and using PAR2 files
 to detect and repair damage in data files. It is most commonly used as
 part of backup systems as well as on Usenet.
 .
 In case files in a recovery set get damaged (e.g. while transmitted over
 a network or stored on a faulty disk) the program can read the damaged
 files and the (possibly damaged) PAR2 files, and regenerate the original
 input files.

Package: paraclu
Description-md5: f98735ee4cee799306e80fba3779244f
Description-en: Parametric clustering of genomic and transcriptomic features
 Paraclu finds clusters in data attached to sequences.  It was first
 applied to transcription start counts in genome sequences, but it
 could be applied to other things too.
 .
 Paraclu is intended to explore the data, imposing minimal prior
 assumptions, and letting the data speak for itself.
 .
 One consequence of this is that paraclu can find clusters within
 clusters.  Real data sometimes exhibits clustering at multiple scales:
 there may be large, rarefied clusters; and within each large cluster
 there may be several small, dense clusters.

Package: parafly
Description-md5: 8ef4ff4899144b46bd4534db86dd09db
Description-en: parallel command processing using OpenMP
 ParaFly provides a simple mechanism for running a predefined list of unix
 commands in parallel using multithreading. Failed processes are captured and
 reported. Successfully executed processes are noted. If the process is rerun,
 only those previously incompleted or failed processes will be executed.

Package: parallel
Description-md5: 8a851a81a172dd16a4bcbeb1f8f3c4ab
Description-en: build and execute command lines from standard input in parallel
 GNU Parallel is a shell tool for executing jobs in parallel using one
 or more machines. A job is typically a single command or a small
 script that has to be run for each of the lines in the input. The
 typical input is a list of files, a list of hosts, a list of users, or
 a list of tables.
 .
 If you use xargs today you will find GNU Parallel very easy to use. If
 you write loops in shell, you will find GNU Parallel may be able to
 replace most of the loops and make them run faster by running jobs in
 parallel. If you use ppss or pexec you will find GNU Parallel will
 often make the command easier to read.
 .
 GNU Parallel also makes sure output from the commands is the same
 output as you would get had you run the commands sequentially. This
 makes it possible to use output from GNU Parallel as input for other
 programs.

Package: paraview
Description-md5: 5c47a88e770ddcce5a6db0dc85eef2b5
Description-en: Parallel Visualization Application
 ParaView is an open-source, multi-platform application designed to
 visualize data sets of size varying from small to very large. The
 goals of the ParaView project include the following:
 .
     * Develop an open-source, multi-platform visualization application.
     * Support distributed computation models to process large data sets.
     * Create an open, flexible, and intuitive user interface.
     * Develop an extensible architecture based on open standards.
 .
 ParaView runs on distributed and shared memory parallel as well as
 single processor systems and has been successfully tested on Windows,
 Mac OS X, Linux and various Unix workstations, clusters and
 supercomputers. Under the hood, ParaView uses the Visualization
 Toolkit as the data processing and rendering engine and has a user
 interface written using Qt.

Package: paraview-dev
Description-md5: e46950631e29ad4c2f5ffe589134054a
Description-en: Parallel Visualization Application. Development header files
 ParaView is an open-source, multi-platform application designed to
 visualize data sets of size varying from small to very large. The
 goals of the ParaView project include the following:
 .
     * Develop an open-source, multi-platform visualization application.
     * Support distributed computation models to process large data sets.
     * Create an open, flexible, and intuitive user interface.
     * Develop an extensible architecture based on open standards.
 .
 ParaView runs on distributed and shared memory parallel as well as
 single processor systems and has been successfully tested on Windows,
 Mac OS X, Linux and various Unix workstations, clusters and
 supercomputers. Under the hood, ParaView uses the Visualization
 Toolkit as the data processing and rendering engine and has a user
 interface written using Qt. Development header files

Package: paraview-doc
Description-md5: 6d16fc150b1d49d713d90a74008b9ab5
Description-en: Parallel Visualization Application. Comprehensive documentation
 ParaView is an open-source, multi-platform application designed to
 visualize data sets of size varying from small to very large. The
 goals of the ParaView project include the following:
 .
     * Develop an open-source, multi-platform visualization application.
     * Support distributed computation models to process large data sets.
     * Create an open, flexible, and intuitive user interface.
     * Develop an extensible architecture based on open standards.
 .
 ParaView runs on distributed and shared memory parallel as well as
 single processor systems and has been successfully tested on Windows,
 Mac OS X, Linux and various Unix workstations, clusters and
 supercomputers. Under the hood, ParaView uses the Visualization
 Toolkit as the data processing and rendering engine and has a user
 interface written using Qt. Comprehensive documentation.

Package: parcellite
Description-md5: df6ebfddce8a12472826bff39f539d99
Description-en: lightweight GTK+ clipboard manager
 Parcellite is a stripped down, basic-features-only clipboard manager
 with a small memory footprint for those who like simplicity.
 .
 Features:
 .
  * Keeps a clipboard history.
  * Various view options to display items the way you like it.
  * Daemon mode; guard your clipboard contents when you close applications.
  * Perform custom commands using clipboard contents.

Package: parchive
Description-md5: 9ec70caf843765d98cd8502299b71d5f
Description-en: Use PAR files to reconstruct missing parts of multi-part archives
 This utility applies the data-recovery capability concepts of RAID-like
 systems to the posting and downloading of multi-part archives on USENET by
 providing the ability to create and use redundant recovery records.  It
 supports the 'Reed-Soloman Code' implementation that allows for recovery of
 any 'X' volumes for 'X' parity volumes present.  It is popularly used on
 USENET postings, but is not limited to this use.
 .
 The Debian parchive package supports "legacy" version 1.0 PAR files.
 The Debian par2 package supports version 2.0 PAR files.
 .
 Upstream source: http://parchive.sourceforge.net/

Package: parchives
Description-md5: b54d77dcc2f6db047b63a167c29a2877
Description-en: Peony qt plugin for file compress and uncompress
 Parchives is an archive manager for the UKUI environment. You can use
 this plugin to compress or uncompress file or folder.
 .
 This package adds extended functionality to the Peony file manager.

Package: parcimonie
Description-md5: b23eb2472035e873113abd9811486bcf
Description-en: privacy-friendly helper to refresh a GnuPG keyring
 parcimonie is a daemon that slowly refreshes a gpg public keyring
 from a keyserver.
 .
 Its refreshes one OpenPGP key at a time; between every key update,
 parcimonie sleeps a random amount of time, long enough for the
 previously used Tor circuit to expire.
 .
 This process is meant to make it hard for an attacker to correlate
 the multiple performed key update operations.
 .
 See the included design document to learn more about the threat
 and risk models parcimonie attempts to help coping with.
 .
 parcimonie also ships a deprecated desktop applet that allows one
 to monitor the background daemon's activities with a graphical
 user interface. It may or may not work for you, depending on
 your desktop environment.

Package: paredit-el
Description-md5: ed610ead796cef6d1a8799cf384b07f7
Description-en: transitional dummy package for elpa-paredit
 This transitional package is safe to remove.

Package: pari-doc
Description-md5: dfadd26902a41b9c609b7a6b4951b407
Description-en: PARI/GP Computer Algebra System documentation
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices,
 polynomials, power series, algebraic numbers etc., and a lot of
 transcendental functions. PARI is also available as a C library to allow
 for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas
 with the help of many volunteer contributors.
 .
 This package contains the documentation and the extended online help.

Package: pari-elldata
Description-md5: 7645f9f9f08476e608fe9b307acc2235
Description-en: PARI/GP Computer Algebra System elliptic curves (elldata)
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices, polynomials,
 power series, algebraic numbers, etc., and a lot of transcendental functions.
 PARI is also available as a C library to allow for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas with the
 help of many volunteer contributors.
 .
 This package contains the optional PARI package elldata which provides
 the Elliptic Curve Database of J. E. Cremona Elliptic which can be queried by
 ellsearch and ellidentify.

Package: pari-galdata
Description-md5: 55c1c233c6651917943e96149eaf99ff
Description-en: PARI/GP Computer Algebra System Galois resolvents (galdata)
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices, polynomials,
 power series, algebraic numbers, etc., and a lot of transcendental functions.
 PARI is also available as a C library to allow for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas with the
 help of many volunteer contributors.
 .
 This package contains the optional PARI package galdata which provides
 the Galois resolvents for the polgalois function, for degrees 8 through 11.

Package: pari-galpol
Description-md5: ee9ee4a3317fccbfb064b2a4805d33cd
Description-en: PARI/GP Computer Algebra System Galois polynomial database
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices, polynomials,
 power series, algebraic numbers, etc., and a lot of transcendental functions.
 PARI is also available as a C library to allow for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas with the
 help of many volunteer contributors.
 .
 This package contains the Galois polynomials database accessible with the GP
 function galoisgetpol.

Package: pari-gp
Description-md5: f3f3e19e97fc49d96307dd88d802d215
Description-en: PARI/GP Computer Algebra System binaries
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices,
 polynomials, power series, algebraic numbers etc., and a lot of
 transcendental functions. PARI is also available as a C library to allow
 for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas
 with the help of many volunteer contributors.
 .
 This package contains the GP calculator.

Package: pari-gp2c
Description-md5: 2ce2b494b96876d0ffa2f879ec54a3d1
Description-en: PARI/GP GP to C compiler
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices,
 polynomials, power series, algebraic numbers, etc., and a lot of
 transcendental functions. PARI is also available as a C library to allow
 for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas
 with the help of many volunteer contributors.
 .
 This package contains the G2PC compiler that converts GP scripts to C
 libpari modules and the helper scripts gp2c-run and gp2c-dbg.

Package: pari-seadata
Description-md5: 9ed99862bdfde5edac2986501e9f55a8
Description-en: PARI/GP Computer Algebra System modular polynomials (seadata)
 PARI/GP is a widely used computer algebra system designed for fast
 computations in number theory (factorizations, algebraic number theory,
 elliptic curves...), but also contains a large number of other useful
 functions to compute with mathematical entities such as matrices, polynomials,
 power series, algebraic numbers, etc., and a lot of transcendental functions.
 PARI is also available as a C library to allow for faster computations.
 .
 Originally developed by Henri Cohen and his co-workers (University Bordeaux I,
 France), PARI is now under the GPL and maintained by Karim Belabas with the
 help of many volunteer contributors.
 .
 This package contains the optional PARI package seadata which provides
 the modular polynomials for prime level up to 500 needed by the GP function
 ellap.

Package: paris-traceroute
Description-md5: e8cedf0c175dad60b0c4b92c0437770b
Description-en: multipath traceroute
 Paris traceroute is a new version of the well-known network diagnosis
 and measurement tool.  It addresses problems caused by load balancers
 with the initial implementation of traceroute.

Package: parlatype
Description-md5: ab4f88bf97bedbfe3dc6e45831f842dc
Description-en: Minimal audio player for manual speech transcription
 Plays audio sources to transcribe them in your favourite text application.
 Speed of playback can be changed without changing the pitch and on pause it
 will rewind for a few seconds according to your preferences. Parlatype can be
 controlled with the "Play" button from your keyboard even if its window is not
 focused.

Package: parlatype-libreoffice-helpers
Description-md5: e2375182517be26e2d1487784be107da
Description-en: Set of macros for LibreOffice
 Controls Parlatype from within LibreOffice. The most important ones are to
 insert time stamps into the document and to jump to that position in Parlatype.
 Also adds a help page to Parlatype itself.

Package: parley
Description-md5: 769f928bdf87f6769769af5f59fd623f
Description-en: vocabulary trainer
 Parley is a utility to help train vocabulary when learning a foreign language.
 It is intended as a replacement for flash cards.
 .
 This package is part of the KDE education module.

Package: parley-data
Description-md5: 614ae2eaf246ab60c755f198acd7f30e
Description-en: data files for the Parley vocabulary trainer
 This package contains architecture-independent data files for the Parley
 vocabulary trainer.
 .
 This package is part of the KDE education module.

Package: parole
Description-md5: 4483a597da4d512da23e2a9ae41ea0f8
Description-en: media player based on GStreamer framework
 Parole is a media player for the Xfce desktop environment, written using the
 GStreamer framework.
 .
 Parole features playback of local media files, including video with subtitles
 support, DVD/CD and live streams; it is also extensible via plugins.
 .
 This package contains Parole media player.

Package: parole-dev
Description-md5: 858cb60f4abee31b03b1cf9f24e32d60
Description-en: development files for Parole media player
 Parole is a media player for the Xfce desktop environment, written using the
 GStreamer framework.
 .
 Parole features playback of local media files, including video with subtitles
 support, DVD/CD and live streams; it is also extensible via plugins.
 .
 This package contains development files for Parole's plugin interface.

Package: parprouted
Description-md5: 3603a8eb047d339e3920cf6358aebe1f
Description-en: transparent IP (Layer 3) proxy ARP bridging tool
 This is useful for creation of transparent firewalls and bridging
 networks with different MAC protocols. Also, unlike standard
 bridging, proxy ARP bridging allows one to bridge Ethernet networks
 behind wireless nodes without using WDS or layer 2 bridging.

Package: parsec47
Description-md5: 79eed1b32dafd00093aa08a51c47ce46
Description-en: retromodern hispeed shmup
 PARSEC47 is a retromodern hi-speed shoot 'em up where you get to defeat
 retro enemies modernly. The player must destroy enemies while avoiding
 their projectiles and collecting green clusters of boxes for points.
 .
 PARSEC47 is another gem among many by Kenta Cho.

Package: parsec47-data
Description-md5: 0ccbd9154db9a79384ed6dacdcf1804e
Description-en: retromodern hispeed shmup - game data
 PARSEC47 is a retromodern hi-speed shoot 'em up where you get to defeat
 retro enemies modernly. The player must destroy enemies while avoiding
 their projectiles and collecting green clusters of boxes for points.
 .
 This package includes the architecture-independent data for the game PARSEC47.

Package: parser3
Description-md5: 281433133b551d8a2f944ae5ae78b83f
Description-en: Parser 3, HTML-embedded scripting language (metapackage)
 This is a metapackage that, when installed, guarantees that you have
 at least one of the server-side versions of the Parser 3 interpreter
 installed. Removing this package won't remove Parser 3 from your
 system, but it may remove other packages that depend on this one.
 .
 Parser 3 - simple and convenient object-oriented language which
 allows creating good sites in short time.
 .
 Available features:
  * XML, XSL, XPath and DOM support
  * Available in documented source code
  * Uniformed database support
  * Support of object-oriented programmers
  * Detailed language documentation (160 pages!)
  * UTF-8 support

Package: parser3-cgi
Description-md5: 301967d7b1e44dcb97933c3edd210bd2
Description-en: Parser 3, HTML-embedded scripting language (CGI binary)
 This package provides the /usr/lib/cgi-bin/parser3 CGI interpreter built
 for use in Apache 2 with mod_actions, or any other CGI httpd that
 supports a similar mechanism.
 .
 Parser 3 - simple and convenient object-oriented language which
 allows creating good sites in short time.
 .
 Available features:
  * XML, XSL, XPath and DOM support
  * Available in documented source code
  * Uniformed database support
  * Support of object-oriented programmers
  * Detailed language documentation (160 pages!)
  * UTF-8 support

Package: parser3-common
Description-md5: c6f80aeff2227c10d299b4358008f2d4
Description-en: Common files for packages built from the Parser 3 source
 This package contains the documentation and example files relevant to
 all the other packages built from the Parser 3 source.
 .
 Parser 3 - simple and convenient object-oriented language which
 allows creating good sites in short time.
 .
 Available features:
  * XML, XSL, XPath and DOM support
  * Available in documented source code
  * Uniformed database support
  * Support of object-oriented programmers
  * Detailed language documentation (160 pages!)
  * UTF-8 support

Package: parser3-dev
Description-md5: a725a0bc7f47f404c259fd0acf1acc7e
Description-en: Files for Parser 3 module development
 This package provides the files from the Parser 3 source needed for
 compiling additional modules.
 .
 Parser 3 - simple and convenient object-oriented language which
 allows creating good sites in short time.
 .
 Available features:
  * XML, XSL, XPath and DOM support
  * Available in documented source code
  * Uniformed database support
  * Support of object-oriented programmers
  * Detailed language documentation (160 pages!)
  * UTF-8 support

Package: parser3-mysql
Description-md5: d75b039dd00d2183a76eef0a76a72fcc
Description-en: MySQL driver for Parser 3
 This package provides driver for MySQL database connections directly
 from Parser 3 scripts.
 .
 Parser 3 - simple and convenient object-oriented language which
 allows creating good sites in short time.
 .
 Available features:
  * XML, XSL, XPath and DOM support
  * Available in documented source code
  * Uniformed database support
  * Support of object-oriented programmers
  * Detailed language documentation (160 pages!)
  * UTF-8 support

Package: parsero
Description-md5: a2b6e3ec22a2d33737a5182668ace747
Description-en: Audit tool for robots.txt of a site
 Parsero is a free script written in Python which reads the Robots.txt file
 of a web server through the network and looks at the Disallow entries. The
 Disallow entries tell the search engines what directories or files hosted
 on a web server mustn't be indexed. For example, "Disallow: /portal/login"
 means that the content on www.example.com/portal/login it's not allowed to
 be indexed by crawlers like Google, Bing, Yahoo... This is the way the
 administrator have to not share sensitive or private information with the
 search engines.
 .
 Parsero is useful for pentesters, ethical hackers and forensics experts.
 It also can be used for security tests.

Package: parsewiki
Description-md5: 8c4b01a90af2d5cf39416260444694c4
Description-en: Documentation System Based on ASCII Text
 Perl script that can be used to produce HTML, XHTML, Latex or DocBook/XML
 from a simple ASCII text source document, with minimum syntax rules.
 .
 The text markup is quite simple and allows us to create documents in
 an easy and fast way.
 .
 The tool is not suitable for complex documents, but can be used to create
 an initial version which can be further developed working on the generated
 LaTeX or DocBook file.

Package: parsinsert
Description-md5: 7932309d9f86de803c7a9173a3437530
Description-en: Parsimonious Insertion of unclassified sequences into phylogenetic trees
 ParsInsert efficiently produces both a phylogenetic tree and taxonomic
 classification for sequences for microbial community sequence analysis. This
 is a C++ implementation of the Parsimonious Insertion algorithm.

Package: parsinsert-testdata
Description-md5: 2da48b5e85ad1d44815c105a5a567346
Description-en: Test data for parsinsert
 ParsInsert efficiently produces both a phylogenetic tree and taxonomic
 classification for sequences for microbial community sequence analysis. This
 is a C++ implementation of the Parsimonious Insertion algorithm.
 .
 This package provides test data enabling users to reproduce autopkgtest
 on a local installation.

Package: parsnp
Description-md5: e2e6d59e8a521577f278267009bb94b4
Description-en: rapid core genome multi-alignment
 Parsnp was designed to align the core genome of hundreds to thousands of
 bacterial genomes within a few minutes to few hours. Input can be both
 draft assemblies and finished genomes, and output includes variant (SNP)
 calls, core genome phylogeny and multi-alignments. Parsnp leverages
 contextual information provided by multi-alignments surrounding SNP
 sites for filtration/cleaning, in addition to existing tools for
 recombination detection/filtration and phylogenetic reconstruction.

Package: partclone
Description-md5: bf78f383fbe1b3a56ce2f96b44635b76
Description-en: Utility to clone and restore a partition
 Partclone is a project like the well-known backup utility
 "Partition Image" a.k.a. partimage.
 .
 Partclone provides utilities to back up used blocks and
 design for highest compatibility with file system using
 supported libraries like e2fslibs.
 .
 check the project website for more details
 http://partclone.org

Package: partimage
Description-md5: 7457cb83fb686ae50320d85c1411ad30
Description-en: backup partitions into a compressed image file
 Partition Image is a partition imaging utility. It has support for the
 following file systems:
  * Ext2/3, the Linux standard
  * ReiserFS, a journalised and powerful file system
  * FAT16/32, DOS and Windows file systems
  * HPFS, IBM OS/2 file system
  * JFS, journalised file system, from IBM, used on AIX
  * XFS, another journalised and efficient file system, from SGI, used on Irix
  * UFS (beta), Unix file system
  * HFS (beta), MacOS File system
  * NTFS (experimental), Windows NT, 2000 and XP
 Only used blocks are copied and stored into an image file.
 The image file can be compressed in the GZIP/BZIP2 formats to save disk space,
 and split into multiple files to be copied onto removable media (ZIP for
 example), burned on a CD-R, etc.
 .
 This makes it possible to save a full Linux/Windows system with a single
 operation. In case of a problem (virus, crash, error, etc.), you just have
 to restore, and after several minutes, your entire system is restored
 (boot, files, etc.), and fully working.
 .
 This is very useful when installing the same software on many machines: just
 install one of them, create an image, and restore the image on all other
 machines.

Package: partimage-doc
Description-md5: 6e664af0e30047ee3cf42f3a8aa90f82
Description-en: Partition Image User Documentation
 This package contains documentation about Partition Image.
 .
 Partition Image is a partition imaging utility. It has support for the
 following file systems:
  * Ext2/3, the Linux standard
  * ReiserFS, a journalised and powerful file system
  * FAT16/32, DOS and Windows file systems
  * HPFS, IBM OS/2 file system
  * JFS, journalised file system, from IBM, used on AIX
  * XFS, another journalised and efficient file system, from SGI, used on Irix
  * UFS (beta), Unix file system
  * HFS (beta), MacOS File system
  * NTFS (experimental), Windows NT, 2000 and XP
 Only used blocks are copied and stored into an image file.
 The image file can be compressed in the GZIP/BZIP2 formats to save disk space,
 and split into multiple files to be copied onto removable media (ZIP for
 example), burned on a CD-R, etc.

Package: partimage-server
Description-md5: 5b10c4c362563b3b4faa60fff0ad9f9d
Description-en: server to use partimage across a network
 Partition Image is a partition imaging utility. It lets you backup up your
 partitions from a client to a server.
 .
 All data will be transferred encrypted using SSL.

Package: partitionmanager
Description-md5: ffe5be844a4980fe679ad72279803cb3
Description-en: file, disk and partition management for KDE
 Partition Manager is a utility program to help you manage the disk devices,
 partitions and file systems on your computer. It allows you to easily create,
 copy, move, delete, resize without losing data, backup and restore partitions.
 .
 Partition Manager supports a large number of file systems, including ext2/3/4,
 reiserfs, NTFS, FAT16/32, jfs, xfs and more. Note that to gain support for a
 specific file system other than ext2/3/4, you should install the corresponding
 suggested package.
 .
 Partition Manager is based on libparted (like gparted) and makes use of the
 KDE libraries for its user interface.

Package: pasaffe
Description-md5: ac0609f4d1342d74e22e8414b34cfd18
Description-en: Password manager for GNOME
 Pasaffe is an easy to use password manager for GNOME. You can use it
 to safely store all your usernames and passwords into a single encrypted
 database protected by as master password. The database is encrypted with
 Twofish and is PasswordSafe v3 compatible.

Package: pasco
Description-md5: 84f00698b3205245a24ece8369a8c139
Description-en: Internet Explorer cache forensic analysis tool
 Pasco is a forensic tool that examines the content of cache files (index.dat)
 produced by Microsoft Internet Explorer.
 .
 It parses the file and outputs a field separated that can be loaded in a
 spreadsheet.
 .
 This package is useful in forensics investigations.

Package: pasdoc
Description-md5: 981f9378932a5196b703eba08932556a
Description-en: documentation tool for Pascal source code
 Pasdoc generates documentation for Pascal units. It takes descriptions from
 comments within the source code. Documentation output formats include HTML and
 LaTeX. Object Pascal, FreePascal and Delphi specific features are supported.
 .
 Pasdoc has the follow features:
  * Understands code written in any Pascal and Object Pascal dialect
  * Understands modern Object Pascal language, as found in latest FreePascal
    and Delphi versions
  * Many output formats: HTML, HTMLHelp, LaTeX (PDF, PS), latex2rft, XML
  * Command-line (in batch mode) and GUI interface
  * Documentation from comments in the source code and/or provided in separate
    file
  * Arbitrary (even optional) comment markers
  * Special @-tags for formatting the documentation
  * Whole pages (like introduction to the docs) can be written using @-tags
  * Easy search box in HTML output using Tipue
  * Classes and unit dependency graphs
  * Optional automatic linking of identifiers
  * Spell checking
  * Cache for generating documentation fast

Package: pasmo
Description-md5: 89401d47d8b77939a09db5f5d62049bc
Description-en: easy to use Z80 cross-assembler
 A Z80 assembler capable of generating object code in formats suitable
 for many Z80 machines and emulators.  Pasmo generates fixed position
 code, can not be used to create relocatable object files for use with
 linkers. Pasmo is compatible with the syntax used in several old
 assemblers, by supporting several styles of numeric and string literals
 and by providing several names of the most used directives.

Package: pass
Description-md5: 718ed6d939359d07ceeb7dd7a13aca00
Description-en: lightweight directory-based password manager
 Stores, retrieves, generates, and synchronizes passwords securely using
 gpg and git.

Package: pass-extension-otp
Description-md5: 1d1455c05fe43c47185023387ac0623c
Description-en: pass extension for managing one-time-password tokens
 An extension for the password manager pass that allows adding one-time-password
 (OTP) secrets, generating OTP codes, and displaying secret key URIs using the
 standard otpauth:// scheme.

Package: pass-extension-tail
Description-md5: d08712f79b59688f9efb052c46a0e0d2
Description-en: password-store extension to avoid printing the password
 An extension for the password store that allows users to display and
 edit password meta data without displaying the password itself to
 bystanders

Package: pass-extension-tomb
Description-md5: 87d415d72b259061073cd078f191655a
Description-en: lightweight directory-based password manager (tomb extension)
 Stores, retrieves, generates, and synchronizes passwords securely using
 gpg and git.
 .
 This package provides an extension for the password store that allows one to
 use tomb to keep the password tree encrypted when it is not used.

Package: pass-extension-tomb-basic
Description-md5: 3b9053f6944d62ae47599a7daf76fc11
Description-en: lightweight directory-based password manager (tomb extension)
 Stores, retrieves, generates, and synchronizes passwords securely using gpg and
 git.
 .
 This extension allows one to create and manage multiple LUKS filesystems
 embedded in a file.

Package: pass-git-helper
Description-md5: 88b59e0a857c8be4e8260f88de61b024
Description-en: Git credential helper interfacing with pass
 Maps hostnames to pass(1) keys to retrieve passwords and
 optional usernames.

Package: passage
Description-md5: 03e45fa5671a2415c0fb4fd09765fd55
Description-en: game about the passage through life
 Passage is a short, autobiographical pixelated art game by
 Jason Rohrer. It can be explored in approximately 5 minutes.
 Passage is meant to be memento mori game. If you enjoy the
 game, you may want to try the followup, "Gravitation". If
 you do not, please read the game creators statement on the
 website and the experiences of other people linked from the
 website.

Package: passenger
Description-md5: c4a13beb899287700f5e0b97e0d84998
Description-en: Rails and Rack support
 Phusion Passenger — a.k.a. mod_rails or mod_rack — makes
 deployment of Ruby web applications, such as those built on the
 revolutionary Ruby on Rails web framework, a breeze.

Package: passenger-doc
Description-md5: fcdcaf31ad62fe3ea32abdbb30448331
Description-en: Rails and Rack support for Apache2 - Documentation
 Phusion Passenger — a.k.a. mod_rails or mod_rack — makes
 deployment of Ruby web applications, such as those built on the
 revolutionary Ruby on Rails web framework, a breeze.
 .
 This package provides the documentation for Phusion Passenger.

Package: passwdqc
Description-md5: 109adb60752f84a6d23aea351683e0e5
Description-en: password strength checking and policy enforcement toolset
 passwdqc is a password/passphrase strength checking and policy enforcement
 toolset, including a PAM module (libpam-passwdqc), command-line programs
 (pwqcheck and pwqgen), and a library (libpasswdqc0).
 .
 This package provides pwqcheck and pwqgen, which are standalone
 password/passphrase strength checking and random passphrase generator
 programs, respectively, which are usable from scripts.

Package: password-gorilla
Description-md5: 02b42779ed4ded489b8a75ef40143e3c
Description-en: cross-platform password manager
 The Password Gorilla helps you manage your logins. It stores all your
 user names and passwords, along with login information and other
 notes, in a securely encrypted file. A single "master password" is
 used to protect the file. This way, you only need to remember the
 single master password, instead of the many logins that you use.
 .
 If you want to log in to a service or Web site, the Password Gorilla
 copies your user name and password to the clipboard, so that you can
 easily paste it into your Web browser or other application. Because
 the password does not appear on the screen, Password Gorilla is safe
 to use in the presence of others.
 .
 The convenience of Password Gorilla allows you to choose different,
 non-intuitive passwords for each service. An integrated random
 password generator can provide one-time passwords, tunable to various
 services' policies.
 .
 Password Gorilla is a tcl/tk application which can run on Linux and
 Windows, and the files written are supposed to be compatible between
 platforms. This is important for collaboration in heterogenous
 environments.

Package: passwordmaker-cli
Description-md5: c05311ad93aa7a22f18a4e90afbe5659
Description-en: creates unique, secure passwords - CLI version
 One Password to Rule Them All!
 .
 A small, lightweight, free, extension for Internet Explorer, Firefox,
 Mozilla, Netscape, Flock, and Yahoo! Widgets which creates unique,
 secure passwords that are very easy for you to retrieve but no one
 else. Nothing is stored anywhere, anytime, so there's nothing to be
 hacked, lost, or stolen.
 .
 This is the Command Line Version.
 .
 Other versions are at https://www.passwordmaker.org

Package: passwordsafe
Description-md5: 3f932b857804f74dbb307d52b5bb180f
Description-en: Simple & Secure Password Management
 Password Safe allows you to safely and easily create a secured and
 encrypted user name/password list. With Password Safe all you have to do
 is create and remember a single "Master Password" of your choice in order
 to unlock and access your entire user name/password list.
 .
 This is the GNU/Linux version of the popular PasswordSafe password
 manager, originally designed by the renowned security technologist
 Bruce Schneier and open sourced in 2002.
 .
 Compatible with 1.x, 2.x and 3.x versions of the database format.
 .
 Note: This is a BETA release for Linux, therefore some functionality
 may not yet be implemented.

Package: passwordsafe-common
Description-md5: 4fbec01bec553e6b5dad541e35098705
Description-en: architecture independent files for Password Safe
 Password Safe allows you to safely and easily create a secured and
 encrypted user name/password list. With Password Safe all you have to do
 is create and remember a single "Master Password" of your choice in order
 to unlock and access your entire user name/password list.
 .
 This is the GNU/Linux version of the popular PasswordSafe password
 manager, originally designed by the renowned security technologist
 Bruce Schneier and open sourced in 2002.
 .
 Compatible with 1.x, 2.x and 3.x versions of the database format.
 .
 This package contains the parts of Password Safe that are common
 to all architectures, including in-program help files.
 .
 Note: This is a BETA release for Linux, therefore some functionality
 may not yet be implemented.

Package: pasystray
Description-md5: 78050a00bcb794d68f7d0b439e66f42d
Description-en: PulseAudio controller for the system tray
 Pasystray enables control of various PulseAudio server settings from the X11
 system tray. It can:
    * adjust the volume of streams and sinks/sources
    * transfer streams between sinks/sources
    * switch the default sink/source
    * set the default server (PULSE_SERVER)
    * detect network PulseAudio services
    * rename devices
 .
 The commands associated with the suggested dependencies (paman, paprefs,
 pavucontrol, and pavumeter) may be launched from the menu provided by
 pasystray. If a command is not available, that particular menu item is
 disabled. pulseaudio-module-zeroconf enables detection and management of
 network PulseAudio services.

Package: patat
Description-md5: 5003da4b37358afab312d8f87298a5ba
Description-en: Terminal-based presentations using Pandoc
 patat (*P*resentations *A*top *T*he *A*NSI *T*erminal) is a small tool that
 allows you to show presentations using only an ANSI terminal.  It does not
 require `ncurses`.

Package: patator
Description-md5: 114c79780f41e367642a9665ccd5d259
Description-en: Multi-purpose brute-forcer
 Patator is a multi-purpose brute-forcer, with a modular
 design and a flexible usage.
 .
 Currently it supports the following modules:
  + ftp_login     : Brute-force FTP
  + ssh_login     : Brute-force SSH
  + telnet_login  : Brute-force Telnet
  + smtp_login    : Brute-force SMTP
  + smtp_vrfy     : Enumerate valid users using SMTP VRFY
  + smtp_rcpt     : Enumerate valid users using SMTP RCPT TO
  + finger_lookup : Enumerate valid users using Finger
  + http_fuzz     : Brute-force HTTP
  + ajp_fuzz      : Brute-force AJP
  + pop_login     : Brute-force POP3
  + pop_passd     : Brute-force poppassd (http://netwinsite.com/poppassd/)
  + imap_login    : Brute-force IMAP4
  + ldap_login    : Brute-force LDAP
  + smb_login     : Brute-force SMB
  + smb_lookupsid : Brute-force SMB SID-lookup
  + rlogin_login  : Brute-force rlogin
  + vmauthd_login : Brute-force VMware Authentication Daemon
  + mssql_login   : Brute-force MSSQL
  + mysql_login   : Brute-force MySQL
  + mysql_query   : Brute-force MySQL queries
  + rdp_login     : Brute-force RDP (NLA)
  + pgsql_login   : Brute-force PostgreSQL
  + vnc_login     : Brute-force VNC
  + dns_forward   : Forward DNS lookup
  + dns_reverse   : Reverse DNS lookup
  + snmp_login    : Brute-force SNMP v1/2/3
  + ike_enum      : Enumerate IKE transforms
  + unzip_pass    : Brute-force the password of encrypted ZIP files
  + keystore_pass : Brute-force the password of Java keystore files
  + umbraco_crack : Crack Umbraco HMAC-SHA1 password hashes
  + tcp_fuzz      : Fuzz TCP services
  + dummy_test    : Testing module

Package: patchelf
Description-md5: 824f52851ea97d75c91ab6435745910e
Description-en: modify properties of ELF executables
 PatchELF is a simple utility for modifying existing ELF executables and
 libraries.  In particular, it can do the following:
 .
  * Change the dynamic loader ("ELF interpreter") of executables
  * Change the RPATH of executables and libraries
  * Remove declared dependencies on dynamic libraries
    (DT_NEEDED entries)

Package: pathogen
Description-md5: 47c216f36ce5340b79a56c3abf38eea4
Description-en: Puzzle game about matching 3D model structures
 Pathogen Warrior is a puzzle game about matching 3D model structures with
 a 2D hexagon map. It was one of the entrants to the 4th Ludum Dare 48-hour
 game development competition. The theme of the competition was "Infection".
 In Pathogen Warrior, the player's task is to match rotating 3D pathogen
 structures with a 2D model using a hexagon map. The time to accomplish
 the task is limited, and after successfully completing one pathogen, the
 player is advanced to next level, which has a more challenging pathogen.

Package: pathological
Description-md5: 00cf1d2d4996e78e1622536cf6e20a2d
Description-en: puzzle game involving paths and marbles
 Pathological is an enriched clone of the game "Logical" by Rainbow Arts.
 To solve a level, fill each wheel with four marbles of matching color.
 Various board elements such as teleporters, switches, filters, etc., make
 the game interesting and challenging.  New levels can be created using your
 favorite text editor.

Package: pathspider
Description-md5: 792045bc0ec774f27eb6f70947d9e8de
Description-en: Internet path transparency measurement tool
 PATHspider is a tool for performing experimental path transparency
 measurements and is extensible using a plugin architecture. The
 PATHspider distribution includes a set of plugins for measuring path
 transparency to signalling used by explicit-congestion notification and
 TCP Fast Open.

Package: patman
Description-md5: 1ab99bd112c0ec1ecd180cac2fa27a91
Description-en: rapid alignment of short sequences to large databases
 Patman searches for short patterns in large DNA databases, allowing
 for approximate matches. It is optimized for searching for many small
 pattern at the same time, for example microarray probes.

Package: patool
Description-md5: 63a672757ff0b42105500878a4372ef4
Description-en: command line archive file manager
 Various archive formats can be created, extracted, tested, listed,
 compared, searched and repacked by patool. The archive format is
 determined with file and as a fallback by the archive file extension.
 .
 patool supports 7z (.7z), ACE (.ace), ADF (.adf), ALZIP (.alz), AR (.a),
 ARC (.arc), ARJ (.arj), BZIP2 (.bz2), CAB (.cab), compress (.Z), CPIO (.cpio),
 DEB (.deb), DMS (.dms), FLAC (.flac), GZIP (.gz), ISO (.iso), LZH (.lha, .lzh),
 LZIP (.lz), LZMA (.lzma), LZOP (.lzo), RAR (.rar), RPM (.rpm), RZIP (.rz),
 SHAR (.shar), SHN (.shn), TAR (.tar), XZ (.xz), ZIP (.zip, .jar) and
 ZOO (.zoo) formats.
 .
 It relies on helper applications to handle those archive formats
 (for example bzip2 for BZIP2 archives).
 .
 The archive formats TAR, ZIP, BZIP2 and GZIP
 are supported natively and do not require helper applications to be
 installed.

Package: patroni
Description-md5: 500d92dec4fc214fc4d04ff56b7d221b
Description-en: PostgreSQL High Availability with ZooKeeper, etcd, Consul, or Kubernetes
 Patroni is a template for a customized, high-availability PostgreSQL solution
 using Python and a distributed configuration store (ZooKeeper, etcd or
 Consul), or Kubernetes. It provides a REST API on each Postgres node of the
 cluster allowing for remote management and monitoring.

Package: patroni-doc
Description-md5: ba8197d3956a299b4dae037bf2de7967
Description-en: PostgreSQL High Availability (documentation)
 Patroni is a template for a customized, high-availability PostgreSQL solution
 using Python and a distributed configuration store (ZooKeeper, etcd or
 Consul), or Kubernetes. It provides a REST API on each Postgres node of the
 cluster allowing for remote management and monitoring.
 .
 This package provides the documentation.

Package: paulstretch
Description-md5: 582644991c0163aa14cc9bc53b858434
Description-en: Extreme sound time-stretch
 This is a program for stretching the audio.
 It is suitable only for extreme sound stretching of the audio (like 50x)
 and for applying special effects by "spectral smoothing" the sounds.
 It can transform any sound/music to a texture.
 .
 It produces high quality extreme sound stretching. While most sound
 stretching software sounds bad when trying to stretch the sounds a lot,
 this one is optimized for extreme sound stretching.
 .
 The stretch amount is unlimited.
 You can play the stretched sound in real-time (including the possibility
 to "freeze" the sound) or you can render the whole sound or a
 part of it to audio files.
 .
 It has many post-processing effects, like: filters, pitch/frequency shifters
 Support for WAV, OGG VORBIS files and MP3 files.

Package: pavucontrol
Description-md5: c43956d9d08801fbaa1a405d7b6a9e6b
Description-en: PulseAudio Volume Control
 PulseAudio Volume Control (pavucontrol) is a simple GTK+ based volume
 control tool (mixer) for the PulseAudio sound server. In contrast to
 classic mixer tools this one allows you to control both the volume of
 hardware devices and of each playback stream separately. It also allows
 you to redirect a playback stream to another output device without
 interrupting playback.

Package: pavucontrol-qt
Description-md5: 23d75c026ee434bccef3a8e54cd8772c
Description-en: Qt port of volume control pavucontrol
 Qt port of volume control pavucontrol of sound server PulseAudio. As such it
 can be used to adjust all controls provided by PulseAudio as well as some
 additional settings.
 .
 The software belongs to the LXQt project but its usage isn't limited to this
 desktop environment.
 .
 This package contain the application files.

Package: pavucontrol-qt-l10n
Description-md5: 116dbde3553dcd35b1f8a974820a8796
Description-en: Language package for pavucontrol-qt
 This package contains the l10n files needed by the pavucontrol-qt.

Package: pavumeter
Description-md5: 01f0ddd12753fe52f4b6bbdc3c3e9b61
Description-en: PulseAudio Volume Meter
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 A simple volume meter for the PulseAudio sound server.

Package: paw
Description-md5: 548b16e5e15d1e0bda22194741d22a60
Description-en: Physics Analysis Workstation - a graphical analysis program
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 PAW is an interactive program providing interactive graphical presentation
 and statistical and mathematical analysis tools.  It is designed to work
 on objects familiar to physicists such as histograms, event files (Ntuples),
 vectors, etc.
 .
 The program is linked statically against the CERN libraries on 64-bit
 architectures in order to function properly, as its design is not
 very 64-bit clean.

Package: paw++
Description-md5: 0dc389638b29172a0205b42b75b95151
Description-en: Physics Analysis Workstation (Lesstif-enhanced version)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes Paw++, an interactive program for use in analysis and
 graphical presentation.  Paw++ is the same program as PAW (in the "paw"
 package), but with a more user-friendly Motif-based GUI, compiled against
 Lesstif in Debian.
 .
 The program is linked statically against the CERN libraries on
 64-bit architectures in order to function properly, as its design is not
 very 64-bit clean.

Package: paw-common
Description-md5: 4f45296b0cd0f511626bc6ee6f9c86b5
Description-en: Physics Analysis Workstation (common files)
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes arch-independent files for PAW, an interactive
 program for use in analysis and graphical presentation.  This package
 is useless without also installing the paw or paw++ package.

Package: paw-demos
Description-md5: fad2deaf29bc2ee4f06fc5e05df48c36
Description-en: Physics Analysis Workstation examples and tests
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package includes example scripts for use by PAW or Paw++, and test
 scripts to make sure that the PAW or Paw++ programs behave correctly.  You
 may run the examples and tests with the included paw-demos program.

Package: pawserv
Description-md5: d34af7dd01c1c1d7feeb24ca9bb2f2d0
Description-en: CERNLIB data analysis suite - distributed PAW and file transfer servers
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 This package contains the server daemon for remote PAW clients. PAW
 is the Physics Analysis Workstation, a graphical analysis program.
 Included in the same binary is zserv, the server daemon for the ZFTP protocol;
 zserv is a macro-extensible file transfer program which supports the
 transfer of formatted, unformatted and ZEBRA RZ files (CMZ, HBOOK, etc.).
 It is similar to a standard FTP daemon, although it listens on a different
 port. Both servers operate through inetd.
 .
 Since these servers accept passwords in clear text and do not support SSL
 or other encryption methods, you should probably only install this package
 within a trusted LAN. It should be seen as equivalent to vanilla telnetd
 in terms of security (or lack thereof).

Package: pax-britannica
Description-md5: 27ba094ab5975acf4cd3f182ad6f0d5b
Description-en: one-button multi-player real-time strategy game
 Pax Britannica is a one-button real-time strategy game by No Fun Games.
 Up to four players battle it out underwater, struggling to be the last
 one standing!
 .
 Each player uses one button to spawn ships that fight automatically using
 the latest in artificial aquatelligence technology. Gamepads are also
 supported.  The player who keeps their factory ship alive wins!
 .
 This package contains the game binary.

Package: pax-britannica-data
Description-md5: 843b7ab67c90ede391d6ee0fc7554476
Description-en: data files for pax-britannica game
 Pax Britannica is a one-button real-time strategy game by No Fun Games.
 Up to four players battle it out underwater, struggling to be the last
 one standing!
 .
 Each player uses one button to spawn ships that fight automatically using
 the latest in artificial aquatelligence technology. Gamepads are also
 supported.  The player who keeps their factory ship alive wins!
 .
 This package contains the game data and media files.

Package: pax-utils
Description-md5: d71b978a17d13187f2f09bb9f71446a7
Description-en: Security-focused ELF files checking tool
 This is a small set of various PaX aware and related utilities for ELF
 binaries. It can check ELF binary files and running processes for
 issues that might be relevant when using ELF binaries along with PaX,
 such as non-PIC code or executable stack and heap.
 .
 This package installs: dumpelf, lddtree, pspax, scanelf, scanmacho
 and symtree.

Package: paxctl
Description-md5: a0b4bcaf736a0206a04eb6db8534022b
Description-en: new PaX control program for using the PT_PAX_FLAGS marking
 paxctl may be used to control PaX flags on a per-binary basis. PaX is a set
 of kernel security patches to enhance a system's security. It is part of
 grsecurity (linux-patch-grsecurity2), among others.

Package: paxctld
Description-md5: 8dfe4f13d53217bbead62afec32d0226
Description-en: Daemon to automatically set appropriate PaX flags
 paxctld automatically sets appropriate PaX flags on binaries on the system
 using user extended attributes.  The flags are maintained across any
 updates made to the binaries listed in the paxctld configuration file.

Package: paxtest
Description-md5: f5f8241cd3ba2c88c5b37dfb583ce7a1
Description-en: Test suite for the PaX kernel patch
 PaX is a Linux kernel patch which adds much stricter control on how memory
 is being used by applications. A normal Linux kernel leaves the control to the
 application and does not implement any enforcement. Especially buffer overflow
 attacks benefit from the absence of kernel enforced memory control. PaX tries
 to do its best to enforce this control of memory used by applications, thereby
 making it harder to successfully exploit buffer overflows.
 .
 Paxtest provides a regression test suite that covers most (but not all)
 of PaX functionality. It can also be used to test other memory protection
 patches.

Package: pbbamtools
Description-md5: afdb13e91de367a0f73c3217b67ffb09
Description-en: processing Pacific Biosciences binary alignment/map files
 The BAM format is a binary, compressed, record-oriented container format
 for raw or aligned sequence reads. The associated SAM format is a text
 representation of the same data. The specifications for BAM/SAM are maintained
 by the SAM/BAM Format Specification Working Group.
 .
 PacBio-produced BAM files are fully compatible with the BAM specification,
 but makes use of the extensibility mechanisms of the BAM specification to
 encode PacBio-specific information.
 .
 This package provides command-line utilities for working with PacBio BAM
 files.

Package: pbdagcon
Description-md5: 95465f5fc6fbaa2db477a48c78b7cd82
Description-en: sequence consensus using directed acyclic graphs
 pbdagcon is a tool that implements DAGCon (Directed Acyclic Graph Consensus)
 which is a sequence consensus algorithm based on using directed acyclic
 graphs to encode multiple sequence alignment.
 .
 It uses the alignment information from blasr to align sequence reads to a
 "backbone" sequence. Based on the underlying alignment directed acyclic graph
 (DAG), it will be able to use the new information from the reads to find the
 discrepancies between the reads and the "backbone" sequences. A dynamic
 programming process is then applied to the DAG to find the optimum sequence
 of bases as the consensus. The new consensus can be used as a new backbone
 sequence to iteratively improve the consensus quality.
 .
 While the code is developed for processing PacBio(TM) raw sequence data,
 the algorithm can be used for general consensus purpose. Currently, it only
 takes FASTA input. For shorter read sequences, one might need to adjust the
 blasr alignment parameters to get the alignment string properly.
 .
 The code and the underlying graphical data structure have been used for some
 algorithm development prototyping including phasing reads and pre-assembly.

Package: pbhoney
Description-md5: 10f81042bd82750e013162caaa443ce8
Description-en: genomic structural variation discovery
 PBHoney is an implementation of two variant-identification
 approaches designed to exploit the high mappability of long reads
 (i.e., greater than 10,000 bp). PBHoney considers both intra-read
 discordance and soft-clipped tails of long reads to identify
 structural variants.
 .
 PBHoney is part of the PBSuite.

Package: pbjelly
Description-md5: 1dcf62cceabd18bf69fbdacd73caa835
Description-en: genome assembly upgrading tool
 PBJelly is a highly automated pipeline that aligns long sequencing
 reads (such as PacBio RS reads or long 454 reads in fasta format)
 to high-confidence draft assembles. PBJelly fills or reduces as
 many captured gaps as possible to produce upgraded draft genomes.
 .
 PBJelly is part of the PBSuite.

Package: pbsim
Description-md5: dded62dc1453f70445810541a02fa07e
Description-en: simulator for PacBio sequencing reads
 PacBio DNA sequencers produce two types of characteristic reads: CCS
 (short and low error rate) and CLR (long and high error rate), both of
 which could be useful for de novo assembly of genomes. PBSIM simulates
 those PacBio reads from a reference sequence by using either a model-based
 or sampling-based simulation. Simulated reads are useful, for example, when
 developing or evaluating sequence assemblers targeted at PacBio data.

Package: pbsuite
Description-md5: ff8ccb949cd3eb854a02e196473aab76
Description-en: software for Pacific Biosciences sequencing data
 The PBSuite contains two projects created for analysis of
 Pacific Biosciences long-read sequencing data.
  * PBJelly - genome upgrading tool
  * PBHoney - structural variation discovery

Package: pbuilder
Description-md5: a8198b8566f5ca30b1c95b4df04af8ce
Description-en: personal package builder for Debian packages
 pbuilder constructs a chroot system, and builds a package inside the
 chroot.
 It is an ideal system to use to check
 that a package has correct build-dependencies.
 It uses apt extensively, and a local mirror, or a fast connection
 to a Debian mirror is ideal, but not necessary.
 .
 "pbuilder create" uses debootstrap to create a chroot image.
 .
 "pbuilder update" updates the image to the current state of
 testing/unstable/whatever
 .
 "pbuilder build" takes a *.dsc file and builds a binary in the chroot
 image.
 .
 pdebuild is a wrapper for Debian Developers, to allow running
 pbuilder just like "debuild", as a normal user.

Package: pbuilder-scripts
Description-md5: dd89527f637d20ab7a8ef9f0b01d16fb
Description-en: Wrapper scripts for pbuilder
 pbuilder-scripts are a collection of wrapper scripts to make working with
 many simultaneous pbuilder projects easier.

Package: pbzip2
Description-md5: dff6f148708c0a74546b0e7ad93ddf40
Description-en: parallel bzip2 implementation
 pbzip2 is a parallel implementation of the bzip2 block-sorting file
 compressor that uses pthreads and achieves near-linear speedup on SMP
 machines. The output of this version is fully compatible with bzip2
 v1.0.2 (ie: anything compressed with pbzip2 can be decompressed with
 bzip2).

Package: pcal
Description-md5: ed203dec4c5ab8132edd7a79c2d1d206
Description-en: generate Postscript calendars without X
 "Pcal" is a program to print PostScript calendars for any month and year.
 By default, it looks for a file in the home directory named "calendar"
 for entries with leading dates matching dates on the calendar, and prints
 any following text under the appropriate day.

Package: pcalendar
Description-md5: 838688e878996632102a18ff5db0875e
Description-en: track menstrual cycles and predict fertility periods
 Periodic Calendar is a GUI application which assists in women menstrual
 cycles tracking and fertility periods prediction. This information can
 be used as supportive either for conception or contraception planning.
 .
 Periodic Calendar provides support for BBT and sympto-thermal methods
 which have the highest reliability in fertility periods prediction. User
 can choose any subset of the features to be used or even fall to the generic
 calendar method (which if used alone is very unreliable).
 .
 Authors of the Periodic Calendar still insist on not being an equal
 substitute to the fertility planning consultants or doctors. Before
 using this application please talk to your doctor or read a good book
 on the subject.
 .
 THE PREDICTIONS MADE BY THIS PROGRAM CAN BE NEVER BE CONSIDERED AS FINAL. THE
 METHODS USED ARE NOT 100% EFFECTIVE FOR ALL WOMEN.

Package: pcapfix
Description-md5: ca1894e2a9b74596f788a20d3c631a6a
Description-en: repairs broken pcap and pcapng files
 libpcap (Packet CAPture) provides a portable framework for low-level network
 monitoring. Network dumps based on libpcap can be made by tcpdump, wireshark
 and other tools. Sometimes those dumps can get corrupted by several reasons.
 Examples of this are a copy from Linux to Windows with a conversion to DOS/
 Windows text file line ending (CR-LF) or a transfer over FTP in ASCII mode
 instead of BINARY mode.
 .
 pcapfix tries to repair your broken pcap files, fixing the global header and
 recovering the packets by searching and guessing the packet headers.
 .
 pcapfix will first step through the packets top down until it recognizes a
 corrupted one by using plausibility checks. After that the tool will brute
 force further pcap packet headers by reading the file byte by byte. If another
 proper packet is found, pcapfix restores the data in between by adding a
 well-formed pcap packet header.
 .
 The PCAP Next Generation Dump File Format (or pcapng for short) is an attempt
 to overcome the limitations of the currently widely used (but limited) libpcap
 format. Since 1.0.0 version, pcapfix works with pcapng format too.

Package: pcaputils
Description-md5: 6acccad0677b2c8241797f17da053203
Description-en: specialized libpcap utilities
 pcaputils includes the following libpcap-based utilities:
  - pcapip: filters an input pcap file based on a file containing IP addresses
  - pcappick: picks specific frames out of a pcap by number
  - pcapuc: prints unique src IPs, dst IPs, or {src, dst} IP pairs witnessed
  - pcapdump: a dedicated packet capture utility similar to dumpcap, but with
    these features:
  * logs packet dump and drop rates
  * can run as a daemon
  * can dynamically reload its configuration without dropping packets
  * can be signalled to immediately rotate its capture output file
  * can partition its output based on time intervals (e.g., start of hour or
    start of day)
  * can strip application data from the trace file (output headers only)
  * can sample the packet stream (e.g., only dump every 1 in 10 or 1 in 100
    packets)

Package: pcb
Description-md5: 1c2fcf2aa9b34af58806b1efaa6a1bf8
Description-en: printed circuit board (pcb) design program - metapackage
 PCB is an interactive printed circuit board editor for the X11 window
 system. PCB includes a rats nest feature, design rule checking, and can
 provide industry standard RS-274-X (Gerber), NC drill, and centroid data
 (X-Y data) output for use in the board fabrication and assembly process.
 PCB offers high end features such as an autorouter and trace optimizer
 which can tremendously reduce layout time.

Package: pcb-common
Description-md5: c2607b12f4e69e56937a39980091b0d7
Description-en: printed circuit board (pcb) design program - common files
 PCB is an interactive printed circuit board editor for the X11 window
 system. PCB includes a rats nest feature, design rule checking, and can
 provide industry standard RS-274-X (Gerber), NC drill, and centroid data
 (X-Y data) output for use in the board fabrication and assembly process.
 PCB offers high end features such as an autorouter and trace optimizer
 which can tremendously reduce layout time.
 .
 This package contains the common files.

Package: pcb-gtk
Description-md5: e1fef0526900cadcc8a659acda2b95a9
Description-en: printed circuit board (pcb) design program - GTK+ interface
 PCB is an interactive printed circuit board editor for the X11 window
 system. PCB includes a rats nest feature, design rule checking, and can
 provide industry standard RS-274-X (Gerber), NC drill, and centroid data
 (X-Y data) output for use in the board fabrication and assembly process.
 PCB offers high end features such as an autorouter and trace optimizer
 which can tremendously reduce layout time.
 .
 This package contains the GTK+ user-interface for pcb.

Package: pcb-lesstif
Description-md5: b102ea0fe5ef35fc8089f0b738cb7961
Description-en: printed circuit board (pcb) design program - LessTif interface
 PCB is an interactive printed circuit board editor for the X11 window
 system. PCB includes a rats nest feature, design rule checking, and can
 provide industry standard RS-274-X (Gerber), NC drill, and centroid data
 (X-Y data) output for use in the board fabrication and assembly process.
 PCB offers high end features such as an autorouter and trace optimizer
 which can tremendously reduce layout time.
 .
 This package contains the LessTif user-interface for pcb.

Package: pcb-rnd
Description-md5: 4e45af6b8fbbc21a66dc061ceaa14dee
Description-en: Standard installation of pcb-rnd
 pcb-rnd is a highly modular PCB (Printed Circuit Board) layout tool
 with a rich set of plugins for communicating with various external
 design tools and other EDA/CAD packages.
 .
 Feature highlights:
   - subcircuits, pad stacks
   - flexible footprint model; unrestricted pad shapes
   - arbitrary copper, silk, paste and soldermask objects
   - sophisticated, flexible layer model
   - flexible/universal polygon model
   - any object, even polygons, can have a clearance within a polygon
   - advanced mil and mm grid, with support for mixed unit design
   - strong CLI support
   - static footprints and parametric (generated) footprints
   - query language for advanced search & select
   - layout optimizers such as teardrops and a trace puller
   - footprint library from local file systems, HTTP and board files
   - netlist management: imported and as-built; back annotation
   - design rule checker
 .
 File formats and compatibility:
   - text based, tree structured native file format
   - gerber (export)
   - KiCad, s-expr format boards (read, write)
   - KiCad, s-expr format elements (write)
   - KiCad, legacy text format boards (write)
   - KiCad, eeschema netlist+footprint info (import)
   - tEDAx footprints (read, write)
   - tEDAx netlist (read)
   - Autotrax (protel) pcb boards (read, write)
   - Eagle XML format boards and libraries (read)
   - Eagle binary format boards and libraries (read)
   - Eagle DRU files (read)
   - Mentor Graphics Hyperlynx (read/write)
   - gEDA/PCB (read, write)
   - Specctra DSN (partial import/export, for freerouting.net)
   - flat EDIF netlist (import)
   - HPGL plot files (import)
   - openSCAD colored 3d model script (export)
   - ltspice netlist+footprint info (import)
   - Mentor Graphics Design Capture netlist+footprint info (import)
   - MUCS unixplot (partial import: lines, vias)
   - gEDA/gschem netlist+footprint info (import)
   - TinyCAD netlist+footprint info (import)
   - breadboard assembly drawing png (export)
   - BoM (Bill of Materials; export)
   - dxf, 2d drawings (export)
   - fidocadj board (export)
   - gcode (export)
   - PNG, JPEG, GIF (export with photo-realistic render option)
   - postscript: ps, eps (export)
   - svg (export)
   - xy: template based, multi-format footprint/coord list (export)

Package: pcb-rnd-auto
Description-md5: 446405936a3a12ae5363652407ea1b62
Description-en: Autoroute and autoplace.
 Feature plugins for automated component placing and track routing

Package: pcb-rnd-cloud
Description-md5: 859d6a2c53982005317e756bfac33d21
Description-en: Networking plugins.
 'Cloud' footprint access plugin that integrates edakrill and
 gedasymbols.org.

Package: pcb-rnd-core
Description-md5: 9502e23486e81eb83d648a5df1171d1c
Description-en: pcb-rnd executable with the core functionality
 Includes the data model, the most common action commands, the native
 file format and the CLI (batch HID). Does not contain GUI. Can be
 used in headless mode or batch/scripted mode for automated processing.

Package: pcb-rnd-debug
Description-md5: adbbc03339c6b5ffb8961c31bc885c31
Description-en: Debug and diagnostics.
 Extra action commands to help debugging and diagnostize problems and
 bugs.

Package: pcb-rnd-doc
Description-md5: 53b6674e7916a351e2637bf57cff8078
Description-en: Documentation for pcb-rnd
 User manual (html) and manual pages.

Package: pcb-rnd-export
Description-md5: d8cf77f8a18952d6b036d2bffe2d57ec
Description-en: Common export plugins.
 Export the board in vector graphics (svg, ps, eps), raster graphics
 (png, jpeg, etc.), gerber, 3d model in openscad, xy for pick and
 place, BoM, etc.

Package: pcb-rnd-export-extra
Description-md5: 654686c033ad6a364cc8601bd58be672
Description-en: Export formats: special/extra
 Less commonly used export formats: fidocadj, ipc-356-d, direct
 printing with lpr.

Package: pcb-rnd-export-sim
Description-md5: a22b2a04b5d291080bf71d16b692b837
Description-en: Export plugins to simulators
 Export the board in formats that can be used for simulation: openems.

Package: pcb-rnd-extra
Description-md5: a3efb3bd038251fcf9ddca3e97c32f13
Description-en: Extra action commands and optional functionality.
 Align objects in grid, optimize tracks, font editor, combine
 polygons, renumber subcircuits, apply vendor drill mapping.

Package: pcb-rnd-hid-gtk2-gdk
Description-md5: 65f58e7335678282bf61eaf0378578ea
Description-en: GUI: gtk2, software render
 Software rendering on gtk2, using the gdk API.

Package: pcb-rnd-hid-gtk2-gl
Description-md5: 5794d4e95dc672886a83ca77263b170a
Description-en: GUI: gtk2, opengl
 Hardware accelerated (opengl) rendering on gtk2.

Package: pcb-rnd-hid-lesstif
Description-md5: 0e9f185dd5b1da152683803323f1b183
Description-en: GUI: motif/lesstif, software render
 Lightweight GUI and software rendering using the motif (lesstif)
 toolkit.

Package: pcb-rnd-import-geo
Description-md5: a38e46d1c7217009a9dd54275e533da4
Description-en: Geometry import plugins.
 Import geometry from HPGL plots. HPGL can be produced (plotted) with
 most mechanical cads.

Package: pcb-rnd-import-net
Description-md5: 1fbf5e96856afbabfcf0f17698de5554
Description-en: Netlist/schematics import plugins.
 Import netlist and footprint information from edif, ltspice, mentor
 graphics, gschem and tinycad.

Package: pcb-rnd-io-alien
Description-md5: 81b54acbcbbd793966e22f38ed365535
Description-en: File format compatibility with other PCB layout designers.
 Load and/or save boards in file formats supported by other EDA tools,
 such as KiCAD, Eagle, protel/autotrax, etc.

Package: pcb-rnd-io-standard
Description-md5: 84bd19563fbd411a8ae90f8ad086d7d0
Description-en: Commonly used non-native board and footprint file formats
 Plugins for tEDAx footprint format and the gEDA/PCB file formats
 (footprint and board).

Package: pcb-rnd-lib-gl
Description-md5: fbe0c3324e566b874d126baa0279dfdb
Description-en: Support library for rendering with opengl.
 Provides plugins for driving an opengl output, rendering pcb-rnd
 views on opengl.

Package: pcb-rnd-lib-gtk
Description-md5: ce186e0f6206653947906216f772a72d
Description-en: Support library for building the GUI with gtk.
 Provides the common gtk code (e.g. dialog box engine, input handling)
 for any gtk based HID plugin.

Package: pcb-rnd-lib-gui
Description-md5: 2e1dcc757749be91fb239872a520d909
Description-en: Support library for building the GUI.
 Provides pcb-rnd specific dialog boxes (e.g. fontsel) and top window
 GUI elements.

Package: pcb-rnd-lib-io
Description-md5: 78c6ae8a45216ac39f2e76f549bfeed0
Description-en: Support library for alien file formats.
 Provides generic, low level parsers (such as s-expression parser) for
 I/O plugins that need to deal with alien file formats.

Package: pcb2gcode
Description-md5: a1804076de194502f4591d36b6d2f7ae
Description-en: command-line tool for engraving PCBs using CNCs
 pcp2gcode is a command-line tool for isolation routing and
 drilling PCBs that provides full support for both single- and
 double-sided boards. It generates G-code (RS-274 code) for
 engraving and drilling from Gerber and Excellon files.

Package: pcb2gcode-dbg
Description-md5: 566e65e6fc261645e85284f1389097b5
Description-en: debugging symbols for pcb2gcode
 pcp2gcode is a command-line tool for isolation routing and
 drilling PCBs that provides full support for both single- and
 double-sided boards. It generates G-code (RS-274 code) for
 engraving and drilling from Gerber and Excellon files.
 .
 This package contains the debugging symbols for pcb2gcode.

Package: pcc
Description-md5: 41d18a47cfe78437987d2409541736b8
Description-en: Portable C Compiler
 PCC is a small, simple, fast and understandable C99 compiler based on the
 original Portable C Compiler by S. C. Johnson, written in the late 1970s.
 Focus on being able to compile and run on a PDP11, even if it may not happen
 in reality, keeps it lean; abstinence from over-complicated algorithms leads
 to quick reliable code generation. Even though much of the compiler has been
 rewritten, some of the basics still remain.

Package: pccts
Description-md5: b52b76990a557c0fabc8da38fe85da79
Description-en: The Purdue Compiler Construction Tool Set (PCCTS).
 PCCTS consists of ANother Tool for Language Recognition (ANTLR), a
 DFA-based Lexical analyzer Generator (DLG) and assorted other utilities
 designed for the construction of compilers and other language translators.
 ANTLR is a parser generator which generates recursive descent parsers which
 are easier to debug than the table driven bottom-up parsers created by YACC.
 It also provides support for the automatic generation of Abstract Syntax
 Trees (AST's).
 Many projects have stopped using PCCTS and moved on to antlr, which is
 also packaged for Debian.  New projects should probably look there first.
 However PCCTS will be maintained in Debian as long as there is interest in
 doing so.

Package: pcf2bdf
Description-md5: c12f958eea214c2b213330674fb91549
Description-en: convert X11 font from PCF to BDF format
 Pcf2bdf is a font de-compiler.  It converts an X11 font from Portable
 Compiled Format (PCF) to Bitmap Distribution Format (BDF).
 .
 FONTBOUNDINGBOX in a BDF file is not used by bdftopcf, so pcf2bdf
 generates irresponsible values.

Package: pchar
Description-md5: 23839ff675a2cb5eeb56f20986070d7b
Description-en: Characterize the bandwidth, latency and loss on network links
 pchar is a reimplementation of the pathchar utility, written by Van
 Jacobson.  Both programs attempt to characterize the bandwidth,
 latency, and loss of links along an end-to-end path through the
 Internet.  pchar works in both IPv4 and IPv6 networks.

Package: pcl-tools
Description-md5: 6e1d2aa8ca6b9b434f4af48dd9decfe2
Description-en: Point Cloud Library - point cloud processing tools
 The Point Cloud Library (PCL) is a standalone, large scale, open
 project for 2D/3D image and point cloud processing.
 .
 The PCL framework contains numerous state-of-the art algorithms
 including filtering, feature estimation, surface reconstruction,
 registration, model fitting and segmentation.
 .
 This package gathers tools bundled with PCL to process point clouds.

Package: pcmanfm
Description-md5: 771afbcda12ceeee164ea2b0f50c6901
Description-en: extremely fast and lightweight file manager
 PCMan File Manager is a GTK+ based file manager, featuring:
 .
  * Extremely fast and lightweight
  * Can be started in one second on normal machine
  * Tabbed browsing (similar to Firefox)
  * Drag & Drop support
  * Files can be dragged among tabs
  * Load large directories in reasonable time
  * File association support (Default application)
  * Basic thumbnail support
  * Bookmarks support
  * Handles non-UTF-8 encoded filenames correctly
  * Provide icon view and detailed list view
  * Standard compliant (Follows FreeDesktop.org)
  * Clean and user-friendly interface (GTK+ 2)
  * Support GVFS for auto-mount handling on removable devices

Package: pcmanfm-dbg
Description-md5: 62e0cfdfad04b582e769e2900dd36879
Description-en: extremely fast and lightweight file manager (debug)
 PCMan File Manager is a GTK+ based file manager. It is a primary file
 manager for the LXDE but can be used in any other desktop environment.
 .
 This package contains the debugging symbols.

Package: pcmanfm-qt
Description-md5: fc0ac99ce2ff7362f28a5deef8b8159a
Description-en: extremely fast and lightweight file and desktop icon manager
 PCManFM-Qt is the Qt port of the LXDE file manager PCManFM.
 .
 Libfm-Qt is a companion library providing components to build desktop file
 managers.

Package: pcmanfm-qt-l10n
Description-md5: b08268a6cc6511c6fe538cecb51cac24
Description-en: Language package for pcmanfm-qt
 This package contains the l10n files needed by pcmanfm-qt.

Package: pcmanx-gtk2
Description-md5: 8241c5aba090f6c216a28d78467ab26c
Description-en: user-friendly telnet client mainly targets BBS users
 PCManX is a newly developed GPL'd version of PCMan, a full-featured
 famous BBS client. It aimed to be an easy-to-use yet full-featured telnet
 client facilitating BBS browsing with the ability to process double-byte
 characters. Some handy functions like tabbed-browsing, auto-login and
 a built-in ANSI editor enabling colored text editing are also provided.
 .
 This version is developed with pure gtk2 and xft, thus has much low
 dependency.

Package: pconsole
Description-md5: 86980d903f9587312989be058fc8ff97
Description-en: parallel interactive shell console
 pconsole allows you to connect to each node of your cluster (e.g. via
 ssh or rsh) simultaneously by spawning one terminal per host or
 job. Alternatively you can even connect to already existing terminal
 sessions.
 .
 You can type your administrative commands either in a specialized
 window that 'multiplies' the input to each of the connections you
 have opened or use each of the connected terminals in case one host
 or job needs some additional commands to be typed.
 .
 pconsole is best run from within X Window, although it is possible to
 employ it without X (in console mode) as well.  You need to install
 pconsole on only 1 machine in the cluster, this would usually be your
 central administrative node.
 .
 pconsole's X automatisms work well with window-managers which offer a
 smart placement mode without resizing new windows or with a tiling
 mode which resizes all windows to the same size.
 .
 It is known to work very well with FVWM and has proven less usable
 with Awesome or Ratpoison. For usage with window-managers focussed on
 full-screen applications like ratpoison, for parallel interactive SSH
 sessions MultiSSH (package "mssh") is probably be the better choice
 due to managing all SSH sessions in one application window.

Package: pcp
Description-md5: cf73bbf0bd78441a37224ae34c61d9a9
Description-en: System level performance monitoring and performance management
 Performance Co-Pilot (PCP) is a framework and services to
 support system-level performance monitoring and performance
 management.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: pcp-conf
Description-md5: 748f7ed63a2950c45ec8df5541a3d05e
Description-en: Performance Co-Pilot runtime configuration
 The pcp-conf package contains important runtime configuration
 files required by all programs and libraries that make up the
 Performance Co-Pilot (PCP) toolkit.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: pcp-doc
Description-md5: 58750a1e66ce330d190b8baeae7798ae
Description-en: Documentation and tutorial for the Performance Co-Pilot
 The pcp-doc package provides useful information on using and
 configuring the Performance Co-Pilot (PCP) toolkit for system
 level performance management.  It includes tutorials, HOWTOs,
 and other detailed documentation about the internals of core
 PCP utilities and daemons, and the PCP graphical tools.

Package: pcp-export-pcp2graphite
Description-md5: 80bfa76245a88fa7c4d2c5150635e08f
Description-en: Tool for exporting data from PCP to Graphite
 Performance Co-Pilot (PCP) front-end tool for exporting data from PCP
 into designated Graphite (Carbon/Whisper) databases.

Package: pcp-export-pcp2influxdb
Description-md5: af068dbe056f391c4f7a27f855c84cfc
Description-en: Tool for exporting data from PCP to InfluxDB
 Performance Co-Pilot (PCP) front-end tools for exporting metric values
 to InfluxDB (https://influxdata.com/time-series-platform/influxdb).

Package: pcp-export-zabbix-agent
Description-md5: 2e4ff7e9c18fcf7726a52f8bdede9f8a
Description-en: Module for exporting PCP metrics to Zabbix agent
 Performance Co-Pilot (PCP) module for exporting metrics from PCP to
 Zabbix via the Zabbix agent - see zbxpcp(3) for further details.

Package: pcp-gui
Description-md5: a0f3653733de3b4ed29fb8a206a7176f
Description-en: Visualisation tools for the Performance Co-Pilot toolkit
 The PCP GUI package primarily includes visualisation tools for
 monitoring systems using live and archived Performance Co-Pilot
 (PCP) sources.
 .
 These tools have dependencies on graphics libraries which may or
 may not be installed on server machines, so PCP GUI is delivered
 as a separate package to the core PCP infrastructure.

Package: pcp-import-collectl2pcp
Description-md5: f116fa51cf4190505218b49a5f979204
Description-en: Tool for importing data from collectl into PCP archive logs
 Performance Co-Pilot (PCP) front-end tool for importing data from collectl
 into standard PCP archive logs for replay with any PCP monitoring tool.
 (such as pmie, pmlogsummary, pmchart or pmdumptext).

Package: pcp-import-ganglia2pcp
Description-md5: cc2e92d62cb55665f0c09a0bd9630204
Description-en: Tool for importing data from ganglia into PCP archive logs
 Performance Co-Pilot (PCP) front-end tool for importing data from ganglia
 into standard PCP archive logs for replay with any PCP monitoring tool
 (such as pmie, pmlogsummary, pmchart or pmdumptext).

Package: pcp-import-iostat2pcp
Description-md5: 62f8f331e7e7c8fb19e2c912b251339f
Description-en: Tool for importing data from iostat into PCP archive logs
 Performance Co-Pilot (PCP) front-end tool for importing data from iostat
 into standard PCP archive logs for replay with any PCP monitoring tool.
 (such as pmie, pmlogsummary, pmchart or pmdumptext).

Package: pcp-import-mrtg2pcp
Description-md5: 2844f3b28ba90230f9b91673cc6047b5
Description-en: Tool for importing data from MRTG into PCP archive logs
 Performance Co-Pilot (PCP) front-end tool for importing data from MRTG
 (the Multi Router Traffic Grapher tool) into standard PCP archive logs
 for replay with any PCP monitoring tool (such as pmie, pmlogsummary,
 pmchart or pmdumptext).

Package: pcp-import-sar2pcp
Description-md5: e9c3ec82b67c8536b300124a04ba550f
Description-en: Tool for importing data from sar into PCP archive logs
 Performance Co-Pilot (PCP) front-end tool for importing data from sar
 into standard PCP archive logs for replay with any PCP monitoring tool
 (such as pmie, pmlogsummary, pmchart or pmdumptext).

Package: pcp-import-sheet2pcp
Description-md5: d906c7893e4aaa613398f74eec6bb2f7
Description-en: Tool for importing data from a spreadsheet into PCP archive logs
 Performance Co-Pilot (PCP) front-end tool for importing spreadheet data
 into standard PCP archive logs for replay with any PCP monitoring tool.
 (such as pmie, pmlogsummary, pmchart, or pmdumptext).

Package: pcp-manager
Description-md5: f0a9eb7546df5dd3abbc1ff496414d62
Description-en: Performance Co-Pilot (PCP) manager daemon
 An optional daemon (pmmgr) that manages a collection of pmlogger and
 pmie daemons, for a set of discovered local and remote hosts running
 the performance metrics collection daemon (pmcd).  It ensures these
 daemons are running when appropriate, and manages their log rotation
 needs (which are particularly complex in the case of pmlogger).
 .
 The base PCP package provides comparable functionality through cron
 scripts which predate this daemon but do still provide effective and
 efficient log management services.
 .
 The pcp-manager package aims to aggressively enable new PCP features
 and as a result may not be suited to all production environments.

Package: pcp-testsuite
Description-md5: 5bdb83208b81ad57ac913ab0daefa470
Description-en: Performance Co-Pilot (PCP) Test Suite
 Quality assurance test suite for Performance Co-Pilot (PCP).
 .
 Provides a series of tests that exercise the many utilities and daemon
 processes that form the PCP toolkit.  Regular users should never have
 any need to install this package, it is for developers and testers only.

Package: pcre2-utils
Description-md5: 5c6f2de58901d73fa452428724542daf
Description-en: New Perl Compatible Regular Expression Library - utilities
 This is PCRE2, the new implementation of PCRE, a library of functions
 to support regular expressions whose syntax and semantics are as
 close as possible to those of the Perl 5 language. New projects
 should use this library in preference to the older library,
 confusingly called pcre3 in Debian.
 .
 This package contains the utilities pcre2grep (like grep with PCRE)
 and pcre2test (a test program for the library, but also useful for
 experimenting with regular expressions). Both programs are also
 useful examples of programming with libpcre2.

Package: pcregrep
Description-md5: b0a601c5f8b647ddc42b4beaba17e22e
Description-en: grep utility that uses perl 5 compatible regexes.
 Perl-style regexps have many useful features that the standard POSIX ones
 don't; this is basically the same as grep but with the different
 regexp syntax.
 .
 The other reason for the existence of pcregrep is that its source code is an
 example of programming with libpcre.

Package: pcs
Description-md5: 9591f3d4966c2a44acf5838689dcc0c6
Description-en: Pacemaker Configuration System
 pcs is a corosync and pacemaker configuration tool. It permits
 users to easily view, modify and create pacemaker based clusters.
 .
 pcs also provides pcsd, which operates as a GUI and remote server
 for pcs. Together pcs and pcsd form the recommended configuration
 tool for use with pacemaker.

Package: pcs-snmp
Description-md5: 6fbceb85c0388ccbe279bece6a40961a
Description-en: Pacemaker Configuration System SNMP agent
 SNMP agent which connects to the master agent (snmpd)
 via AgentX protocol and provides information about
 the status of a corosync/pacemaker cluster.

Package: pcsc-tools
Description-md5: 9d0d30be77f76fda6a8e2b6a43c6d748
Description-en: Some tools to use with smart cards and PC/SC
 This archive contains some tools useful for a PC/SC user. The tools
 provided are:
 .
 pcsc_scan(1) scans available smart card readers and print detected
 events: card insertion with ATR, card removal;
 .
 ATR_analysis(1) analyses a smart card ATR (Answer To Reset)
 .
 scriptor(1) Perl script to send commands to a smart card using a
 batch file or stdin;
 .
 gscriptor(1) the same idea as scriptor.pl(1) but with Perl-Gtk GUI.

Package: pcscd
Description-md5: 40f2879e95ee03b8541cb267e0af1f29
Description-en: Middleware to access a smart card using PC/SC (daemon side)
 The purpose of PC/SC Lite is to provide a Windows(R) SCard interface
 in a very small form factor for communicating to smart cards and
 smart cards readers.
 .
 The PC/SC daemon is used to dynamically allocate/deallocate reader
 drivers at runtime and manage connections to the readers.

Package: pcsxr
Description-md5: a807c86d8c63129ed19641e951f5a0da
Description-en: Sony PlayStation emulator
 PCSX is an advanced PlayStation (PSX) emulator, which uses a plugin
 architecture to provide full support for all components of the PSX.
 It has full emulation support for gamepads, videos, sound, memory cards,
 and other important PSX components, and is able to play many games
 without problems.
 .
 This package contains PCSX-Reloaded, which is based on PCSX-df 1.9 which
 is in turn based on the original PCSX.

Package: pct-scanner-scripts
Description-md5: ee2bf87b601c0d79e29047da138dd1d4
Description-en: scan and create merged DjVu and PDF documents for archiving
 This package contains a script and configuration system to easily
 scan documents and create digital archives. It can create DjVu
 PDF and JPEG outputs, in merged and individual files. It also has
 a configuration file system where different configurations can be
 defined, making it easy to switch between configurations.
 .
 Features:
  - auto archiving in merged and individual DjVu, PDF, and JPEG files
  - auto and manual resume when scanning somehow fails
  - cascading configuration system, for easy customizing
  - easy command-line-style bash program, with useful options
  - archiving made possible with both ADF and flatbed scanners
  - built for productivity and daily use
  - uses bash, scanadf and other mainstream processing tools
  - multilanguage commandline output

Package: pd-3dp
Description-md5: 95d7b8ce8686521a01bd252b49cd6771
Description-en: openGL extensions for PDP
 PDP is an extension library for Pd that allows you to manipulate movies
 and live video data in realtime. PDP stands for Pd Packet, the data
 container that is used for images in PDP.
 .
 This library extends PDP with texture and render context packets,
 to use some of the power of current video hardware.
 .
 Warning: this is still experimental and incomplete!

Package: pd-ableton-link
Description-md5: 096e32cfa7ca75c6e41d6599fe212e8f
Description-en: integration of Ableton Link into Pure Data
 [abl_link~] is a Pd object that integrates Ableton Link into Pure Data (Pd). It
 has four outlets, which emit the index of the current step (at the beginning of
 each step), the current phase and beat time on each DSP tick, as well as the
 tempo on tempo changes. Phase and beat time are Link concepts. The purpose of
 the step feature is to generate events in Pd at a given rate (measured in steps
 per beat).

Package: pd-ambix
Description-md5: 996a9ab7ee79b6de526b6fc1975b9b48
Description-en: AMBIsonics eXchange objects for Pure Data
 libambix is a library of C routines for reading and writing files following the
 "ambix" (AMBIsonics eXchange) conventions.
 .
 Ambisonics is a periphonic (3D) surround sound technique, with a scalable
 spatial resolution (put simply: "the more audio channels you use, the better").
 The ambix convention defines an extensible format for exchanging soundfiles
 containing Higher Order Ambisonics data.
 .
 This package contains a set of Pure Data (Pd) objects to interact with
 ambix-files:
  - [ambix_info]: information about ambix files
  - [ambix_read~]: play an ambix file (simple mode)
  - [ambix_readX~]: play an ambix file (extended mode)
  - [ambix_write~]: record an ambix file

Package: pd-arraysize
Description-md5: 9f7ebc0a78f0d62e1a70e95d530c1e33
Description-en: Pd object to report the size of an array
 This provides a simple object for Pure Data that reports the size of an array
 by name. For historical reasons, it is packaged as a standalone object.  It
 is a very simple object, but has always been distributed by itself.  It is
 packaged alone in keeping with the one-library-per-package style of all other
 pd libraries.
 .
 This is a tiny package that might otherwise be lumped into a 'goodies'
 package, but it is the only tiny one like this, so a 'goodies' package would
 end up being just this single object anyway.
 .
 Since Pd>=0.47, this object can be replaced with the built-in [array size].

Package: pd-autopreset
Description-md5: 5c8223de1abe143ae0adc842fac6f39a
Description-en: simple state saving for Pure Data
 AutoPreset is a simple state saving system (like rradical/ssad, among other
 ones). It aims to be simple and yet powerful.
 .
 One particularity of this system is to be able to interpolate float parameters
 between different settings (parameter morphing).

Package: pd-bassemu
Description-md5: 69ff3fdcf948f91b9f1d0fbff7d5b48b
Description-en: Pd object for transistor bass emulation
 bassemu~ is an object that simulates a transistor-based electronic
 synthesizer. This serves as a emulation of an analogue bass synth. It
 includes the obligatory filter, saw/rect/tri/sine waveform select, an
 extra hi-pass filter. You can also feed another signal to its left
 inlet, and filter that, either alone or mixed to the vco.
 .
 Also included is a small, messy gop abstraction to resemble a 16-step
 sequencer.

Package: pd-beatpipe
Description-md5: 78aed545e83c6d5a3ce3706ae4047fa6
Description-en: realtime scheduler/event-delay/quantizer object for Pd
 This object is a realtime scheduler, event-delay, and quantizer object for
 Pure Data.  It is used for making beats and other rhythmic sequences. Any
 list starting with a number T sent to the left inlet, will be sent to the
 output after T beats, quantized with tpq (tick per quarter) and stripped of
 the leading beat number. The tempo can be changed dynamically on the right
 inlet The quantification can be set at any time with a set-tpq message.

Package: pd-boids
Description-md5: 3f8fca8675ce77f1612b06878fc90d2f
Description-en: Pd library for the "boids" flocking simulator algorithm
 Boids is a bird flight and animal flock simulator. It is based on the
 same algorithm which was used in Jurassic Park for the herding
 dinosaurs.  Boids takes an integer argument which is the number of
 boids. Each time Boids receives a bang, it calculates and outputs the
 new positions of the boids. The output consists of thew coordiantes
 for each boid, the number and type depending on the mode.
 .
 The flight parameters can be changed with messages. Use the 'dump'
 message to output a list of the current parameter settings.
 .
 For more information about the Boids algorithm, see Craig Reynolds'
 Web site at http://www.red3d.com/cwr/

Package: pd-bsaylor
Description-md5: 6b8042bdbfc5e8a8bf962416d7c0bd21
Description-en: library of FFT-based Pd objects by Ben Saylor
 bsaylor is a library of Pure Data objects by Benjamin Saylor:
 .
 pvoc~: a phase vocoder based on Pd's 09.pvoc.pd example patch. Advantages
 over the abstraction include (reportedly) faster execution, instantaneous
 response to input, and adjustable phase locking. It requires FFTW3.
 .
 susloop~: sample player with various loop methods (ping-pong, ... ) like a
 classic tracker interface.
 .
 svf~: a signal-controlled port of Steve Harris' state variable filter
 LADSPA plugin (http://plugin.org.uk).
 .
 zhzhx~: Turns the input signal into a staticky, distorted mess. Comes with
 tone control

Package: pd-chaos
Description-md5: 78496987a8a1e05183b4cbfca8609b7a
Description-en: Pd library for calculating various chaotic attractors
 chaos is a library of Pd objects for calculating various chaotic attractors,
 including: lorenz, rossler, henon, ikeda, attract1, base, base3, dejong,
 gingerbreadman, hopalong, latoocarfian, latoomutalpha, latoomutbeta,
 latoomutgamma, logistic, lotka_volterra, martin, mlogistic, pickover,
 popcorn, quadruptwo, standardmap, strange1, tent, three_d, threeply,
 tinkerbell and unity.
 .
 The package includes 1, 2 and 3 dimensional attractors. There are outlets for
 each dimension, starting from the left, followed by three outlets for
 attractor data (see the help patches for details). The scale of the values
 vary between the different attractors.
 .
 Some of the algorithms were derived from other projects, including Julian
 C. Sprott's attract.java and algorithms by Cliff Pickover.

Package: pd-cmos
Description-md5: c372e04b37f59870c37a36e9f9d73134
Description-en: digital logic emulator objects for Pure Data (Pd)
 This is a collection of small objects that emulate logic CMOS components,
 namely the CD4000 series.
 It currently contains 25 objects of the cd4000 series.
 .
 The emulation assumes idealized components, and works in the message domain.

Package: pd-comport
Description-md5: 92a79ed4bda714893ae7a579c969fd8f
Description-en: Pd object for reading and writing to serial ports
 comport is a cross-platform object for Pure Data that allows you to read and
 write bytes and lists of data to /dev/tty* devices including serial port,
 USB-serial devices, Bluetooth-serial, etc.

Package: pd-creb
Description-md5: 9988be0e24e616debcfc65727c69a7cd
Description-en: Tom's bag of trick for Pure Data (Pd)
 CREB - compl. red. ext. blk.
 .
 CREB is a collection of general purpose externals for Pd by Tom Schouten.
 It includes among other things:
  - frequency and time domain dynamic wavetable synthesis (scanned synthesis
    in the framework of linear and nonlinear state space models)
  - several virtual analog oscillators and filters
  - oscillators based on explicit complex and quaternion mathematics
  - building blocks for the discrete wavelet transform and other spectral
    transform tools, smallband oscillators and formant synthesis
  - several chaotic oscillators

Package: pd-csound
Description-md5: 8f5a1f81ced2e5f48ce1d28388176088
Description-en: Csound external for Pure Data
 This is the csound6~ object for Pure Data (Pd).
 It allows you to run and interact with Csound scores/instruments from within
 Pd.
 .
 For more information on Csound or Pd, see the respective packages.

Package: pd-cxc
Description-md5: a7338f4d05c27c133e5bc66fbdb1f460
Description-en: Pd library for working with patterns and numbers
 cxc is a library of Pd objects for random numbers, number patterns and a
 couple errant system tools.
 .
  * ixprint: print data on console without prefix (needed for ascwave)
  * binshift: binary shift objects (<<,>>)
  * ascseq: ascii-sequencer: input anything, which is output again
            sequentially character by character with a given delay
  * ascwave: print funny ascii constructions on console
  * bfilt: re-output every argumen-th event, useful for modulo-sequencers
  * bfilt2: features internal counter, output just bangs
  * cxc_counter: a clone of the counting object from pd-markex
  * reson: an interpolating reson filter
  * cxc_prepend: prepend stuff with another symbol
  * cxc_split: split incoming string at specified delimiter
  * utime: output seconds since epoch and microsecond fraction
  * random1, random_fl, random_icg, random_tw, dist_normal: PRNG algorithms
  * random1~, random_fl~, random_icg~: signal version of above algorithms
  * ENV: get and set environment variables and certain defines like RAND_MAX
  * proc: get stuff out of the Linux proc directory (so far:
          cpuinfo, loadavg, version, uptime)
  * delta~: emit distance to last sample as signal
  * cx.mean, cx.avgdev, cx.stddev: calculate mean, standard and average
                                   deviation of a signal in an array

Package: pd-cyclone
Description-md5: 58f6a7cd129cc2d2c61cc9235c854cdd
Description-en: Pd library of clones of Max/MSP 4.5 objects
 Cyclone is a library of PureData classes, bringing some level of
 compatibility between Max/MSP and Pd environments.  Although being
 itself in the early stage of development, it is meant to eventually
 become part of a much larger project, aiming at unification and
 standardization of computer musician's tools.
 .
 In its current form, cyclone is mainly for people using both Max and
 Pd, and thus wanting to develop cross-platform patches.  In this
 respect, cyclone has much in common with Thomas Grill's flext, and
 flext-based externals.  While flext enables developing new
 cross-platform classes, cyclone makes existing classes cross-platform.
 .
 Cyclone also comes handy, somewhat, in the task of importing Max/MSP
 patches into Pd.  Do not expect miracles, though, it is usually not an
 easy task.

Package: pd-deken
Description-md5: 92dc840f7e116673e65424f0a8440fde
Description-en: Externals wrangler for Pure Data
 deken is a simple and easy to use package manager for Pure Data (Pd).
 .
 The deken-plugin integrates with the Pd user interface, where you can search
 for externals and install them with a single click.
 Since Pd-0.47, the deken-plugin is integrated into Pure Data (but the Debian
 package provides an updated plugin)
 .
 Available backends that provide packages are:
  - puredata.info (downloads packages via the Pure Data community website and
    installs them into the per-user installation directory (~/pd-externals))
  - apt (installs Pd packages the Debian way; packaged as "pd-deken-apt")

Package: pd-deken-apt
Description-md5: 409781c90d6f5ef0a13c6caf8ccaa6cd
Description-en: Externals wrangler for Pure Data (APT backend)
 deken is a simple and easy to use package manager for Pure Data (Pd).
 .
 The deken-plugin integrates with the Pd user interface, where you can search
 for externals and install them with a single click.
 .
 This addon allows one to additionally use 'apt' for installing Debian provided
 packages from within the deken-plugin (rather than downloading binaries from
 the Pure Data community website).

Package: pd-earplug
Description-md5: 03e6b913c1cac7e648cafabe9e4b5b6b
Description-en: binaural filter based on KEMAR impulse measurement for Pd
 earplug~ is a realtime binaural filter based on KEMAR impulse measurement.
 It allows you to spatialize a sound in realtime. It basically takes the KEMAR
 data set, and interpolates 366 locations where HRTF measurement exists in a
 spherical surface. you get azimuth control 0-360 and elevation -40 - 90.

Package: pd-ekext
Description-md5: 8267f8b5e2b51f3e606718295a2ad61b
Description-en: Pd objects for music information retrieval and polyphony control
 This library is a collection of objects for analyzing audio to get musical
 information, like spectrum and peak information, to generate sound based on
 analysis, like Linear-Predictive Coding, and for working with polyphony.

Package: pd-ext13
Description-md5: bf438270d435b03d380059411e2aace9
Description-en: collection of file and message objects for Pd by dieb13
 ext13 is a collection of various externals for Pd
 .
  * catch13~, throw13~, send13~, receive13~: alternate versions that
        accept a set msg
  * mandelbrot, mandelbrot~: calculcate mandelbrot data
  * wavinfo: get info from WAV files
  * strippath: strip the path from a filename
  * filesize: get the size of a file
  * openpatch: open a patch file with a message
  * ftos: convert a float to symbol
  * ossmixer: control the OSS mixer
  * cdplayer: control the CDROM drive
  * kalashnikov: send out many bangs

Package: pd-extendedview
Description-md5: 97ee4a845fa46eedb484844f7ba986ef
Description-en: toolkit for panoramic image creation and projection mapping
 Extended View Toolkit is a set of Pure Data (Pd) abstractions for combining
 multiple video or image sources into a panoramic image and for projection
 setups with multiple projectors or projection environments with challenging
 geometric forms, better known as video mapping.
 .
 Multiple input media (e.g. camera input, video files, image files, 3D
 renderings) can be processed. It is possible to create imagery or video by
 either stitching multiple inputs to one continuous, or by unwrapping a
 360-degree image taken with a special optical lens system. Such processed media
 input can then be projected onto even irregular shaped surfaces. It is possible
 to blend smoothly between multiple projectors, to create seamless immersive
 media environments.

Package: pd-fftease
Description-md5: 5c89db644a956b9117cf42b3f0bc743b
Description-en: live spectral sound processors for Pd
 FFTease is a collection of Pd objects implementing various forms of
 spectral sound processing. These include an additive-synthesis phase vocoder,
 noise reduction, cross synthesis, and more unusual forms of spectral
 processing.
 .
  * bthresher~ similar to thresher~ but with more control
  * burrow~ a cross-referenced filtering object
  * cavoc~ an 8-rule cellular automata that generates spectra
  * cavoc27~ a 27-rule cellular automata object
  * centerring~ a spectral modulation object
  * codepend~ a classic block convolution object
  * crossx~ a cross synthesis object with gating
  * dentist~ a partial knockout object
  * disarrain~ an interpolating version of disarray~
  * disarray~ a spectral redistribution object
  * drown~ a noise reduction (or increase) object
  * ether~ another spectral compositing object
  * leaker~ a sieve-based cross fader
  * mindwarp~ a spectral formant warping object
  * morphine~ a morphing object
  * multyq~ a four band filter
  * presidency~ a spectral sampler with pitch control
  * pvcompand~ a spectral compressor/expander object
  * pvgrain~ a spectrum analyzer for granular resynthesis
  * pvharm~ a harmonizer
  * pvoc~ an additive synthesis phase vocoder
  * pvtuner~ a spectrum quantizer for tuning to arbitrary scales
  * pvwarp~ a non-linear frequency warper
  * reanimator~ an audio texture mapper
  * resent~ similar to residency~ but with independent bin control
  * residency~ a spectral sampler useful for time scaling
  * residency_buffer~ a spectral sampler that writes to an MSP buffer
  * scrape~ a noise reduction (or increase) object with frequency control
  * shapee~ a frequency shaping object
  * swinger~ a phase swapping object
  * taint~ a cross synthesis object
  * thresher~ an amplitude/frequency sensitive gating object
  * vacancy~ a spectral compositing object
  * xsyn~ a cross synthesis with compression object
 .
 FFTease 2.5 objects will reconfigure themselves in response to changes in FFT
 size or signal vector size. Therefore, they may now be used in MaxMSP poly~
 objects with downsampling or upsampling. Changes in vector size remain
 subject to limitations imposed by the current poly~ (and Pluggo)
 architecture.
 .
 Caveat: these objects are CPU intensive. A few of these objects in a patch
 could push your computer to its limits. Be very careful with playback volume
 as some of the objects produce dramatically different (lower or higher)
 overall levels.

Package: pd-flext-dev
Description-md5: 2dbc859edc02b2c0e181193adc34a468
Description-en: Flext C++ external layer for Pd (development files)
 Flext is a C++ layer for programming externals for Pure Data (Pd)
 as well as for the proprietary Max/MSP.
 It provides an object oriented abstraction layer to writing Pd objects.
 .
 This package provides the files necessary to compile a Pd external
 using Flext.

Package: pd-flext-doc
Description-md5: 4f2656a5e56c5676f356785657166596
Description-en: Flext C++ external layer for Pd (API documentation)
 Flext is a C++ layer for programming externals for Pure Data (Pd)
 as well as for the proprietary Max/MSP.
 It provides an object oriented abstraction layer to writing Pd objects.
 .
 This package provides the API documentation for developing externals
 using Flext.

Package: pd-flite
Description-md5: 41c6ad55f56da62c69641e1651c8a710
Description-en: Speech synthesis for Pd
 The flite external contains a single Pd class
 which provides a high-level text-to-speech interface for English based
 on the 'libflite' library by Alan W Black and Kevin A. Lenzo.

Package: pd-freeverb
Description-md5: 10bf8d08f5e57412dd08a12a2c0917fa
Description-en: studio-quality Schroeder/Moorer reverb as a Pd object
 Freeverb is a simple implementation of the standard Schroeder/Moorer
 reverb model. It uses 8 comb filters on both the left and right
 channels. Note that this version of Freeverb doesn't contain
 predelay, or any EQ.  It is implemented as a Pd object, so it can
 easily be inserted into a Pd patch.

Package: pd-ggee
Description-md5: 489bb6c4abb73d45cf6f9a82cdbf2d98
Description-en: Pd library of GUI controls, synths, filters, and more
 ggee is a library for Pure Data by Guenter Geiger with five sections:
 control, experimental, filters, gui, and signal.
  * objects for controlling things:, constant, rl, serial_ms, sl, getdir, rtout
    serial_mt, stripdir, inv, serial_bird, shell, unserialize, qread, serialize,
    sinh, unwonk
  * experimental synths:, fofsynth~, tabwrite4~, pvocfreq
  * objects for controlling filters:, bandpass, highpass, hlshelf, lowshelf,
    notch, equalizer, highshelf, lowpass, moog~
  * GUI objects:, button, fatom, image, sliderh, ticker, envgen, gcanvas,
    slider, state, toddle
  * manipulating signals:, atan2~, mixer~, sfwrite~, streamin~, streamout~

Package: pd-gil
Description-md5: 32429d1d67a7728fbb6a43894a740695
Description-en: Geometry Interaction Library for Pure Data / Gem
 This library provides abstractions to create interactive elements
 within a 3D-environment (Pd/Gem).
 .
 Currently supported visual elements include:
  - lines
  - circles
  - polygons
 Interaction is mostly clicking, selecting and drag&drop.

Package: pd-hcs
Description-md5: 68a6a3125c0fe9aa0d4c4ab86de2cde1
Description-en: Pd library of experiments in UNIX, the Pd GUI, and more
 The 'hcs' library is a random grabbag of objects that are experiments that
 sometimes lead to full-fledged libraries.  There are objects for getting UNIX
 file and permissions information (group, gid2group_name, group_name2gid,
 uid2username, username2uid, hostname, stat, uname, setenv), Pd GUI
 manipulation (canvas_name, window_name, sys_gui, tcl_version, ce_path,
 tkconsole, pointer_position, cursor), handling filenames (file_type,
 folder_list, helppath, split_path), and more.

Package: pd-hexloader
Description-md5: c1b6a63301146ad62cb7d9478662e49b
Description-en: enable Pd-objects with funny characters
 The default external objects loader of Pure Data (Pd) maps object names to
 filenames and C-function names.
 Unfortunately both filenames and C-function names have restrictions (like the
 impossibility to include a '/').
 .
 This library adds an escaping mechanism based on hexadecimal encoding that
 allows one to write and use objects that would otherwise be impossible.

Package: pd-hid
Description-md5: 432cc85f72def8e7376146875d4859c7
Description-en: Pd object for getting data from USB HID devices
 [hid] is a Pure Data object for reading data from USB HID devices like
 keyboards, mice, joysticks, gamepads, keypads, and all sorts of other
 esoteric controllers like USB knobs, touchscreens, Apple IR Remotes, etc. It
 represents the data with a cross-platform message scheme which is then
 translated to the underlying native API for input devices (Linux input.h or
 Mac OS X HID Utilities).

Package: pd-iem
Description-md5: 33bec2ff5c0deb57b33ddea4f1a28735
Description-en: Pure Data - the IEM flavour
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 .
 This package installs all packages necessary for the "iem" flavour, a
 Pd-vanilla based distribution.

Package: pd-iemambi
Description-md5: 119ef3ed82b3a41c5db48d07cca3beb6
Description-en: Pd-objects for Ambisonics sound spatialization
 This library contains 3 objects, for calculating Ambisonics encoder
 matrices, rotation matrices and decoder matrices from 1st to 4th order
 in 3D, or from 1st to 12th order in 2D.

Package: pd-iemguts
Description-md5: 3fc4dca18ec1a3603786e7092c6dc10c
Description-en: Pd-Objects for meta patching
 This library contains a collection of very low-level objects for Pd, that are
 usually used to build higher-level abstractions for dynamic patching and live
 coding by adding reflection capabilities to the patcher language.
 .
 This library is famous for allowing Pd-objects to move around in the patch.

Package: pd-iemlib
Description-md5: 60753743a485a197ff2a63d29cd83fb9
Description-en: collection of general purpose objects and filters for Pure Data
 iemlib is a vast (180+) collection of objects dealing with
  - digital signal processing and filters.
  - message handling utilities targeted at CPU-efficiency.
  - abstractions to provide easy to use objects (mainly filters).
  - sample-accurate message scheduling.
  - mp3-playing capabilities to Pd.

Package: pd-iemmatrix
Description-md5: 366e5ff32c1d7f5695c181811d336247
Description-en: Pd-objects for simple matrix operations
 This library contains about 100 objects for matrix manipulation within
 the dataflow language Pure Data.
 .
 The syntax is based on the matrix operators in Octave (and thus
 Matlab®).

Package: pd-iemnet
Description-md5: df615cf9dab0e1ce6e3ac6adf5744bf3
Description-en: Pd library for low-level networking (IEM implementation)
 This is a re-implementation of the original pd-net library by Martin Peach,
 focussing on reliability and performance.
 .
 This library adds Pure Data objects that allow one to build a networking
 infrastructure within Pd on OSI-Layer 4 (transport layer).
 The objects use threads to allow for non-blocking network communication within
 an audio-realtime environment.
 .
  - [tcpreceive], [tcpsend]: unidirectional communication over TCP/IP
  - [tcpserver], [tcpclient]: bidirectional communication over TCP/IP
  - [udpreceive], [udpsend]: unidirectional communication over UDP
  - [udpclient]: bidirectional communication over UDP
 .
 Since these objects only send/receive lists of bytes, you will most likely need
 to add some glue to implement higher-level protocols (and transmit meaningful
 data).

Package: pd-iemutils
Description-md5: fa338149d0a0cade85e247fe4d1583e9
Description-en: collection of general purpose objects for Pure Data
 pd-iemutils consists of a number of independent libraries
  - iem_adaptfilt (adaptive filters)
  - iem_roomsim (room simluation)
  - iem_spec2 (optimized frequency domain processing)
  - iem_tab (table manipulation)
  - iem16 (16-bit tables and delay lines)
 and a number of GUI plugins:
  - kiosk (run a patch in fullscreen without menubars)
  - patch2svg (export a Pd patch as an SVG graphics)
  - patcherize (helps with refactoring patches into abstractions/subpatches)
  - tclprompt (adds an interactive Tcl/Tk prompt to the Pd screen)
  - triggerize (insert [triggers] to avoid fan-outs)
  - doublechord (duplicate connections just like objects)

Package: pd-jmmmp
Description-md5: c4c3b164fa737b79b10bae356cd26718
Description-en: collection of Pd GUI objects for musical performance
 jmmmp is a collection of Pure Data GUI objects for musical
 performance, including controlling the DAC, volume, debugging,
 linking up with other programs, etc.
 .
  * array-edit - edit properties of arrays
  * but - Monochrome bang button
  * clock - Chronometer with display in seconds
  * dacm~ - Mono dac~ for lazy people
  * datei-o - Sends the message "open ../../"
  * datei-r - Sends the message "read ../../"
  * datei-w - Sends the message "write ../../"
  * dsp01 - DSP switch
  * f+ - Counter with variable increment
  * gui-edit - edit standard GUI objects fast
  * lbang - loadbang which can be triggered more often
  * liner~ - practical implementation of [line~]
  * liner+~ - practical implementation of signal envelopping
  * mat~ - Level meter with amplitude control
  * maat~ - Level meter with amplitude control, stereo
  * met~ - Level meter with amplitude control, with VU
  * metrum - Metro with GUI
  * m-i - Automatic conversion of MIDI controller
  * mk - shows the controller number and MIDI value
  * oscD - Counts received OSC messages
  * oscS - Interface for sendOSC
  * pd-colors - Pd color palettes (Data Structures + Tcl/Tk)
  * rec-name - Automatic naming for a record/playback engine
  * sguigot - spigot GUI implementation
  * sliders - GUI for incoming midi data
  * snaps~ - snapshot~ GUI implementation
  * spectrogram~ - Spectrogram with 512 bins resolution
  * stoppuhr - Chronometer with two layers
  * tastin - Gate for keyboard input
  * uhr - Shows the time

Package: pd-jsusfx
Description-md5: f2c8efd9dbff9ffa8dc3177b854bc081
Description-en: Jesusonic FX scripting language for audio DSP (Pure Data)
 jsusfx is an Open Source implementation of the JSFX scripting language that was
 created by Cockos and is made available with Reaper (a commercial multitrack
 editor and recorder).
 .
 This package provides the Pd support for jsusfx.

Package: pd-kollabs
Description-md5: 6f39b0f1564de1e917bccb54efab528b
Description-en: data management and state saving for Pure Data
 KOLLABS is an abstraction library for Pure Data, that covers data management,
 OSC-, MIDI- and DMX-communication and state saving.
 .
 The included state engine allows complex scene management as well as fully
 programmable scene transitions.

Package: pd-lib-builder
Description-md5: 3521df32fb38cb270384ed8ffd42f2ef
Description-en: common build system for Pure Data externals
 Makefile based build-system for Pure Data external libraries, with the
 following characteristics:
  - defines build settings based on autodetected OS and architecture
  - defines rules to build Pd class- or lib executables from C or C++ sources
  - defines rules for libdir installation
  - defines convenience targets for developer and user
  - evaluates implicit dependencies for non-clean builds

Package: pd-libdir
Description-md5: b8f5af6d393b302cc1c7252cd6d909a8
Description-en: provides support for the libdir library format for Pd
 The 'libdir' loader is a Pure Data loader which supports the libdir
 library format.  The libdir library format aims to be a common
 library format for Pd which works with objects written in any
 language, including Pd. This library format was designed to be easy
 to create, install, and use. It should work when installed into the
 global path (i.e. pd/extra) or when copied locally into a project
 folder. It should work with objects written in any supported language
 (i.e. binaries, .pd, and the various loaders like pdlua and tclpd).

Package: pd-list-abs
Description-md5: 9992131b13bfa6c6dd0a196354f93dac
Description-en: library of list operations for Pd
 list-abs is a Pd library of all sorts of operations for lists of data,
 ranging from mathematics, sorting, ranging, etc.  All of the objects are
 implemented using Pd, and for the most part only using objects included in
 Pd-vanilla.

Package: pd-log
Description-md5: c2a10881e728eb77a60e68c2ae8b147e
Description-en: small Pure Data library for logging
 This library adds objects to Pure Data (Pd) that allow one to create printout
 at various verbosity levels.
 .
 The objects can be used as drop-in replacement for the standard
 [print] object, but the printed messages can be filtered and
 have a colourful appearance.

Package: pd-lua
Description-md5: 98c22e257ba005b7726edf5e87b934b1
Description-en: Lua bindings for Pure Data
 pd-lua adds a new loader to Pure Data (Pd), that allows one to load
 objectclasses written in the popular Lua programming language.
 .
 Some programming problems are complicated to express in graphical
 dataflow languages like Pd, requiring the user to ressort to objectclasses
 written in more general purpose languages.
 For those who do not want to use compiled languages like C/C++, this package
 offers the possibility to write first-class objectclasses in the lightweight
 programming language "Lua".

Package: pd-lyonpotpourri
Description-md5: cb924feae34a535eaeaa6ba96608ccdd
Description-en: potpourri of Pd objects for synthesizing with audio
 This Pd library is a potpourri of objects for working with audio created by
 Eric Lyon.  Here is a listing of all the included objects:
 .
  * adsr~ a simple ADSR envelope that can be click triggered
  * bashfest~ a click driven buffer player with randomized DSP
  * buffet~ provides operations on a stored buffer
  * bvplay~ selective playback from a stored buffer with enveloping and
    increment control
  * channel~ access to a precise address in the signal vector
  * chopper~ munging loop playback from a buffer
  * clean_selector~ like selector~ but crossfades when switching channels
  * click2float~ translates a signal click to a float message
  * clickhold~ sample and hold a click
  * distortion~ lookup function distortion
  * dmach~ pattern based sample accurate drum machine prototype
  * expflam~ converts a click to an exponential flam click pattern
  * flanjah~ simple flanger
  * granola~ granular pitch scaling
  * granulesf~ granular synthesis module reading from a soundfile in a buffer
  * granule~ granular synthesis module reading from a stored waveform in a
    buffer
  * impulse~ converts a bang to a click
  * kbuffer~ low sampling rate buffer to capture gestures
  * killdc~ DC block filter
  * magfreq_analysis~ transforms a time domain signal to a magnitude/frequency
    spectrum
  * markov~ implements a first order Markov chain
  * mask~ a click driven pattern sequencer
  * oscil~ oscillator with flexible waveform specification
  * player~ click driven buffer player that can sustain multiple iterations
  * phasemod~ phase modulated waveform
  * pulser~ pulse wave generated by additive synthesis
  * rtrig~ generates random click triggers
  * samm~ sample accurate multiple metronomes, with click signal articulation
  * sigseq~ signal level numerical sequencer
  * stutter~ a basic buffer stuttering player
  * vdb~ a delay line using an MSP buffer for storage (no vector limit on
    feedback delaytime)
  * vdel~ a delay line with built in feedback and oscillator
  * vdp~ a more efficient pointer version of vd~
  * vd~ a simple, self -contained delay unit
  * waveshape~ a Chebychev function lookup waveshaper

Package: pd-mapping
Description-md5: 06f4ef80e5dd768d22442caa9e742f53
Description-en: Pd library for creatively mapping data
 The Mapping Library for Pd is a library of mapping primitives for mapping
 data to controls. Also included are techniques for conditioning sensor data
 to make it usable in the context of instrument design. It is something like a
 set of unit generators for mapping, plotting out the most basic building
 blocks for creating instrument mappings.

Package: pd-markex
Description-md5: 2f645654f7dd05889d9e17f7c7c292fb
Description-en: Pd library of misc objects from Mark Danks
 Markex is an external library of miscellaneous objects that was begun in 1994
 and originally included in GEM.  It is largely here for the sake of old
 projects that might still rely on it.  The included functionality has been
 improved in other libraries.

Package: pd-maxlib
Description-md5: 39f6cf28bab4e082a3e1cd361aa63bbd
Description-en: Pd library for analysing musical performance
 maxlib is a library of non-tilde externals for Pd. The objects can be very
 useful to analyse any musical performance. Some of the objects are 'borrowed'
 from Max (they are not ported but rewritten for Pd cheap immitations). maxlib
 has recently been extended by objects of more general use and some which can
 be use for composition purposes. It also includes some networking objects for
 writing Pd patches that act as network clients and servers.

Package: pd-mediasettings
Description-md5: 2a57dbf8e9a33cb307e5049d9e216e12
Description-en: programmatically modify the audio and MIDI settings from within Pd
 This library adds a programmatic interface to Pd (Pure Data) to query the
 currently available audio and MIDI backends (like alsa, jack,...) and
 interfaces (like built-in soundcard, virtual devices,...), and allows one to
 enable and configure them from within a Pd patch.

Package: pd-mjlib
Description-md5: 89a4e26159637d14aaba1d9bd2a9a977
Description-en: library of Pd objects for composing music
 A library of objects for composing music written by Mark Williamson.
 .
 There are currently five objects:
  * pin~ - randomly delivers the input signal to either the right
           or left outlet with a given probability
  * metroplus - allows complex timing bangs to be delivered
  * prob - generates random events with a given probability
  * monorhythm - basic rhythm pattern building blocks that allows
                 polyrhthms to be generated quickly and easily
  * about - delivers a number that is "about" the same as the input number

Package: pd-moonlib
Description-md5: 38054d851e519f0212af204cb7a13dd5
Description-en: library of Pd objects related to GUI control
 Moonlib includes three sub-sections: nilib, which is a kind of wrapper
 between Pd and Gtk, sublib, which is a collection of gui control objects, and
 other, which are miscellaneous objects:
 .
  * tabenv: like env~, an enveloppe follower, but computing on a table,
            so possibly much speeder than real-time env~'s computation
  * tabsort, tabsort2: returns the indices of the sorted table,
                       tabsort2 is bidimentionnal
  * gamme: one octave of a piano keyboard used to filter/choose
           notes in a selected scale
  * absolutepath/relativepath: to use files (sounds, texts, presets,
                               images, programs...) nested in the patch's
                               directory (and in subdirs)
  * sarray and slist: to creates shared dynamic arrays or lists with symbols
  * sfread2~ and readsfv~: to pitch the direct-from-disk reading of sound files
  * dinlet~: an inlet~ with a default value (when nothing is connected to it)
  * mknob: a round knob ala iemgui vslider (with its "properties" window)
  * dispatch: creates one bus name for many buttons' buses: from the
              N pairs (slider1-snd/slider1-rcv) ... (sliderN-snd/sliderN-rcv),
              creates only one pair of buses named (slider-snd/slider-rcv),
              in which datas are prepended by the number of the "sub-bus"
  * joystik: an improvement of Joseph A. Sarlo's joystick
  * image: an improvement  of Guenter Geiger's one. Same name, but it's
           compatible. Here you can share images through different objects,
           preload a list of images, and animate this list.

Package: pd-motex
Description-md5: d788a3b5b445c1384adf1ff398ac5311
Description-en: random collection of Pd objects by Iain Mott
 A collection of externals from Iain Mott that mostly provide some
 math functions for Pure Data:
 .
  * polygate~ - switch between multiple signal inputs, variable
                fade rate both linear & equal power
  * shuffle - a no-repeat random generator, outputs numbers within a set range
  * pan~ - equal power stereo panning
  * system - sends a system message to the console
  * ln~ - natural log + inverse
  * rec2pol~ - convert rectangular coordinates to polar eg. can be used
               to convert sine & cosine rfft~ output to phase & magnitude
  * pol2rec~ - inverse of rec2pol~
  * getenv - Sends value of an environment variable argument on bang

Package: pd-mrpeach
Description-md5: 18deabc6c80bed634cd668d1570d8872
Description-en: bag of tricks for Pure Data (Pd)
 mrpeach is a collection of general purpose objects:
  - [op~] signal comparison
  - [rc~] resistor-capacitor circuit
  - [rcosc~] resistor-capacitor oscillator
  - [rojo~] red noise generator
  - [sqosc~] bandlimited pulse generator
  - [runningmean] moving average filter
  - [tabfind] find a number in a table
  - [str] strings
  - [f2b] float32 → bytes converter
  - [b2f] bytes → float32 converter
  - [life2x] game of life
  - [which] output path to a Pd-object
 .
  - [midifile] play and record MIDI files
  - [binfile] read/write raw data files

Package: pd-mrpeach-net
Description-md5: e18100fddf69eb2fe7d4f71055d08ac5
Description-en: Pd library for low-level networking
 This library adds Pure Data (Pd) objects that allow one to build a networking
 infrastructure within Pd on OSI-Layer 4 (transport layer).
 The objects use threads to allow for non-blocking network communication within
 an audio realtime environment.
  - [tcpreceive], [tcpsend]: unidirectional communication over TCP/IP
  - [tcpserver], [tcpclient]: bidirectional communication over TCP/IP
  - [udpreceive], [udpsend]: unidirectional communication over UDP
  - [udpsndrcv]: bidirectional communication over UDP
  - [udpsend~], [udpreceive~]: transmit uncompressed signals via UDP
 Since these objects only send/receive lists of bytes, you will most likely need
 to add some glue to implement higher-level protocols (and transmit meaningful
 data).

Package: pd-nusmuk
Description-md5: 969fe325eb14da893c8b1215aa03acdf
Description-en: random collection of useful Pd objects
 The nusmuk library contains object targeted at high-quality audio synthesis
 like band-limited synthesizers, audio filters and effects and interpolating
 table lookups.
 It also includes a number of general purpose utilities, ranging from simple
 shorthand abstractions to higher mathematics (random generators).

Package: pd-osc
Description-md5: 61cfc21603c4d84a15e24bb569f6d187
Description-en: Open Sound Control for Pd
 This library allows one to construct and parse OSC messages within Pd.  It
 includes support for ordinary OSC-messages, typetags, timetags and bundles.
 .
 These objects are transport-agnostic, so you can use them to transmit OSC over
 UDP, TCP/IP, serial port, or in fact whatever you want.  However, you will have
 to install additional libraries that will handle the transport layer (like
 pd-net or pd-comport).
 .
 Note however, that OSC is a packet-based protocol, so if you intend to use it
 with a stream-based transport (like TCP/IP or the serial line), you must use
 a packetizer (the OSC-specifications suggest to use SLIP, as provided by
 pd-slip).

Package: pd-pan
Description-md5: 79e5863b6992bcf60df4ebfc29301464
Description-en: library of stereo panning algorithms for Pd
 A library of stereo panning algorithms from various sources, including equal
 power panning and linear panning.  Panning objects include: equal_power_pan~,
 gogins_pan~, gpan~, linear_pan~, square_root_pan~, and pan_core~.  pan_core~
 can be used as a "core" for objects that implement other pan algorithms.  All
 of these objects are implemented in Pd.

Package: pd-pddp
Description-md5: 7afae9547376cb2fa9413c5a078da334
Description-en: support library for the Pure Data Documentation Project
 This library provides a collection of objects for Pd for making documentation
 easier and more interactive, providing standard ways of outputting audio,
 controlling the DSP, and making it possible to embed clickable links.

Package: pd-pdogg
Description-md5: 0f6e422d34c0738ba1966c1730b4512c
Description-en: collection of Ogg/Vorbis objects for Pd
 pdogg is a library of objects for Pd for reading and writing Ogg/Vorbis
 streams and files.  There is a stream player for multichannel audio streams
 and a streaming source client to send Ogg/Vorbis encoded audio streams over
 LAN or internet using JRoar, Icecast2 or PeerCast.  There are also objects
 for reading and writing Ogg/Vorbis files.

Package: pd-pdp
Description-md5: 5f682db80e1182f66964fb1e138a7e53
Description-en: Graphics system for Pd
 PDP is an extension library for Pd that allows you to manipulate movies
 and live video data in realtime. PDP stands for Pd Packet, the data
 container that is used for images in PDP.

Package: pd-pdstring
Description-md5: bf8486150ad8fceb880206eac05fa5da
Description-en: Pd-objects for string manipulation
 This is a collection of Pure Data external classes to ease the handling with
 strings by providing a way to convert Pd messages to lists of floats and
 vice versa.
 Support for wide character strings is provided together with the locale
 external.

Package: pd-pduino
Description-md5: c61a2a7211c953ba9dfa8ed016d61177
Description-en: interfacing with the Arduino from within Pure Data (Pd)
 This package includes a Pd object and a matching Arduino firmware.  It allows
 you to control the Arduino board from Pd without having to program in Arduino's
 C++.
 .
 WARNING!  This version of the [arduino] object for Pd will only work with 2.1
 versions of Firmata or newer!  It will not work with older versions of the
 firmware!
 Firmata-2.2 is inluded in the documentation.

Package: pd-plugin
Description-md5: b777830b73276beae34595b81f4224e0
Description-en: LADSPA and VST plug-in hosting for Pd
 This is a Pd tilde object for hosting LADSPA audio plug-ins. The
 LADSPA plug-in interface is supported completely. The object will
 search your LADSPA path for plugins, which are loadable by name as an
 argument to the plugin~ object.

Package: pd-pmpd
Description-md5: 7eecaa40590e6c60b7b41cd9f099f97c
Description-en: physical modeling library for Pd
 A library for Pd for modeling the interactions of the physical world. These
 objects provide real-time simulations, specially physical behaviors. pmpd can
 be used to create natural dynamic systems, like a bouncing ball, string
 movement, Brownian movement, chaos, fluid dynamics, sand, gravitation, and
 more. It can also be used to create displacements thus allowing a completely
 dynamic approach of pd computing.

Package: pd-pool
Description-md5: 91da079287de4b7a41e047b56d48af68
Description-en: Hierarchical data storage for Pure Data
 [pool] can store and retrieve key/value pairs, where a key can be any atom and
 the value can be any list of atoms. [pool] can manage folders, a folder name
 can be any atom. [pool] objects can be named and then share their data space.
 Clipboard operations are possible in a pool or among several pools.
 File operations can load/save data from disk.

Package: pd-puremapping
Description-md5: 2003eb664eebb5abbe10ab058ba43ccf
Description-en: Pd library for complex data mappings
 This collection of abstractions for Pure Data (Pd)are made to facilitate the
 use of sensors and to create complex relations between input and output of a
 dynamic system.
 .
 Puremapping is based on the (discontinued) 'la-kitchen' library, and the
 'mapping' library (shipped in Debian as 'pd-mapping').
 Most object of puremapping should be compatible with the 'mapping' library.

Package: pd-purepd
Description-md5: 366f819544ca5a321dfc757188a85f07
Description-en: library of standard objects re-implemented using Pd-vanilla
 This is a library focused on re-implementing existing objects written in C
 using only objects that are included in puredata.  The idea is to provide
 drop-in replacements for the binary objects usable on any platform that are
 also understandable to any Pd programmer.

Package: pd-purest-json
Description-md5: 58c7dc021626eba24444a10bfa063fbe
Description-en: Pd library for working with JSON data and RESTful webservices
 PuREST JSON is a library for Pure Data (Pd) for decoding and encoding JSON
 data.
 The library can issue HTTP statements, so consumation of RESTful services is
 possible, e.g. CouchDB.

Package: pd-readanysf
Description-md5: 5e5e535b34f4919c168ab0acfc5fc8fa
Description-en: Pd object for reading multiple audio file formats
 This Pure Data object supports reading from disk as well as from web-
 resources and decodes a huge variety of audio codecs. Sources with multiple
 channels and sampling rates different from Pd's can be played back as well.
 .
 Check the help-patch for more usage information.

Package: pd-rtclib
Description-md5: d5714c02eb8e4e0ce742f5e38deab048
Description-en: Real Time Composition Library for Pure Data (Pd)
 This software library offers the possibility to experiment with a number of
 compositional techniques, such as serial procedures, permutations and
 controlled randomness.
 .
 Most of these objects are geared towards straightforward processing of data.
 By using these specialized objects together in a patch, programming becomes
 much more clear and easy.
 .
 Many functions that are often useful in algorithmic composition are provided
 with this library - therefore the composer could concentrate rather on the
 composition than the programming aspects.

Package: pd-scaf
Description-md5: 7e3d7a05e83cb2fca8545175a3177a4d
Description-en: Simple Cellular Automaton Forth for PDP
 PDP is an extension library for Pd that allows you to manipulate movies
 and live video data in realtime. PDP stands for Pd Packet, the data
 container that is used for images in PDP.
 .
 This is a PDP extension lib that contains modules for cellular
 automata built on a (very) minimal forth-like virtual system
 (scaf - simple cellular automaton forth) to define update rules.
 A compiler is included to produce 'scafo' object code that can be
 dynamically loaded into the [pdp_ca] module. So it is possible to
 add/change rules without restarting Pd.

Package: pd-sigpack
Description-md5: b46f88e329ab6ed1c4565ece17f004c8
Description-en: signal processing effects library for Pd
 A collection of objects for Pd from weiss-archiv that mostly provide some
 signal processing functions like limiting, distortion, etc. They are called
 chop~, decimate~, diode~, foldback~, foldover~, freqdiv~, freqshift~,
 harmgen~, rectify~, round~, saturate~, sieve~, split~, ustep~, and vowel~.

Package: pd-slip
Description-md5: f2633f4fba9ad3894ccea03e2834f605
Description-en: SLIP encoder/decoder for Pure Data (Pd)
 This library implements the Serial Line Internet Protocol (SLIP),
 a simple protocol for encapsulating packets within a streaming transport
 protocol such as TCP/IP or on the serial port.
 .
 You will need this if you plan to transmit e.g. OSC messages via TCP/IP or
 the serial line.

Package: pd-smlib
Description-md5: 2b8edc3d1bef35b4508810f878bb1d9f
Description-en: Pd library for mapping DSP data to controls
 Signal processing for Mapping - a LIBrary with vector and number stream
 processing objects for Pd, enhancing this language with objects for rapid
 prototyping of gesture analysis.  It complements Pd with a set of objects for
 vector processing, vector analysis, vector synthesis, number stream analysis,
 number stream filters.

Package: pd-syslog
Description-md5: 859de8d0e13863762112c86ff9a04d64
Description-en: syslog facilities for Pd
 [syslog] takes care of sending arbitrary messages from Pure Data to syslog,
 tagged with a syslog level you feel appropriate.
 As such, [syslog] is a replacement for the normal [print] object.

Package: pd-tclpd
Description-md5: d6e160ca6e209bad35291063beddcb88
Description-en: Tcl objects for Pure Data (Pd)
 This library allows one to write externals for Pd using the Tcl language.
 It wraps the Pd API quite closely, and provides a small library of helper
 functions to be used for writing externals.
 .
 Using Tcl for writing objects in Pd has the advantage, the Tcl/Tk is already
 used as Pd's GUI toolkit, so no additional dependencies are required.

Package: pd-testtools
Description-md5: 1e69c1065ce0797662418228c81db2fd
Description-en: unit test framework for Pure Data (Pd)
 testtools is a collection of objects that help the developer with creating unit
 tests for Pure Data patches, both in the message domain and in the signal
 domain.

Package: pd-unauthorized
Description-md5: 45cf6161f1778317e47c962e6cfba5bc
Description-en: library of Pd objects for streaming and GUI control
 unauthorized is a Pure Data library of GUI objects and a handful of objects
 for working with streaming and MP3s.
  - audience~: a 2-dimensional audience simulation
  - beatify~: modulates amplitude of a sound
  - blinkenlight: a blinken lights films player (but also a pixel grid)
  - compressor~: a compressor of audio signals
  - cooled: a micro sound editor
  - disto~: a kind of effect used in pop music, use it elsewhere
  - exciter: a bang-events sequencer
  - filterbank~: outputs frequency response for a range of filters
  - formant~: a formant synthesis generator external for Pd
  - grid: a 2-dimensional control object, ala "kaospad"
  - mp3amp~: an MPEG-1 Layer III (MP3) Icecast/SHOUTcast client
  - mp3cast~: an MPEG-1 Layer III (MP3) streaming external
  - mp3streamout~: peer-to-peer MP3 streaming out
  - mp3fileout~: peer-to-peer MP3 streaming to a file
  - mp3streamin~: peer-to-peer MP3 stream receiving
  - mp3write~: an MPEG-1 Layer III (MP3) file writer
  - pianoroll: a graphical sequencer controller
  - playlist: choose a file in 1 click with space, numeric, characters
  - probalizer: outputs integer values according to a drawn probability curve
  - samplebox~: an opaque box to record and playback a sound
  - scratcher~: records a sound and lets you scratch it with your mouse
  - scrolllist: displays and scrolls a text in a patch window
  - sonogram~: displays, plays back and lets you modify a recorded sonogram
  - speexin~: a voice quality stream receiver using Speex library
  - speexout~: a voice quality streamer using Speex library
  - spigot~: a signal router
  - wahwah~: a kind of effect used in psychedelic music, use it elsewhere

Package: pd-upp
Description-md5: fb05a78297d401336502ff2286e687b3
Description-en: Universal Polyphonic Player for Pure Data
 The Universal Polyphonic Player (short UPP) is an infrastructure for all kinds
 of polyphonic events – be it note-like events, other generated sounds or short
 grains in granular synthesis. The strengths of the system are a modular, easily
 extendible design, almost unlimited polyphony, ease of control, DSP load
 scaling and sample-accurate timing.

Package: pd-vbap
Description-md5: 1267b8ee1f6655c81014f44b0256cdd5
Description-en: Pd library for Vector Base Amplitude Panning spatialization
 This a library for Pure Data that provides objects for realtime Vector Base
 Amplitude Panning.  Vector Base Amplitude Panning (VBAP) is a method for
 positioning virtual sources to arbitrary directions using a setup of multiple
 loudspeakers. In VBAP the number of loudspeakers can be arbitrary, and they
 can be positioned in an arbitrary 2-D or 3-D setups. VBAP produces virtual
 sources that are as sharp as is possible with current loudspeaker
 configuration and amplitude panning methods, since it uses at one time the
 minimum number of loudspeakers needed, one, two, or three.
 .
 With 2-D setups VBAP is a reformulation of the existing pair-wise panning
 method. Different from other panning algorithms, VBAP can be generalized for
 3-D loudspeaker setups as a triplet-wise panning method. A sound signal is
 then applied to one, two, or three loudspeakers simultaneously. VBAP has
 certain advantages compared to earlier virtual source positioning methods in
 arbitrary layouts. Previous methods either used all loudspeakers to produce
 virtual sources, which results in some artefacts, or they used loudspeaker
 triplets with a non-generalizable 2-D user interface.
 .
 The directional qualities of virtual sources generated with VBAP can be
 stated as follows. Directional coordinates used for this purpose are the
 angle between a position vector and the median plane (θcc), and the angle
 between a projection of a position vector to the median plane and frontal
 direction (Φcc). The perceived θcc direction of a virtual source coincides
 well with the VBAP panning direction when a loudspeaker set is near the
 median plane. When the loudspeaker set is moved towards a side of a listener,
 the perceived θcc direction is biased towards the median plane. The perceived
 Φcc direction of an amplitude-panned virtual source is individual and cannot
 be predicted with any panning law.

Package: pd-wiimote
Description-md5: f49d005af7eb2b5cdaa3daa9400340f3
Description-en: Pd object for accessing the wiimote controller
 This Pure Data object adds access to the sensor data from Nintendo's
 wiimote controller. Also it provides an interface to control the controller's
 actuators such as LED 1-4 and the rumble vibrator. Furthermore, it supports
 some of the extensions of the wiimote, such as Nunchuk, Motion Plus, Classic
 Control.
 .
 Check the help-patch for more usage information.

Package: pd-windowing
Description-md5: 543f58fb0dcdc10be774efe30eaf19bb
Description-en: library of windowing functions in Pd
 The windowing library provides Pd objects for a list of standard windowing
 functions, which are applied per block with each DSP tick: Hanning, Hamming,
 Blackman, Cosine, Connes, Bartlett, Welch, Lanczos, Gaussian, and Kaiser.

Package: pd-xbee
Description-md5: 77586099e5d31cd47e80598dbd66408a
Description-en: interfacing with your XBee from within Pure Data (Pd)
 This library allows you to interact with your XBee (a small form factor radio
 module).
 It provides objects for encoding and decoding XBee commands/responses.
 .
 The underlying communication is handled by other objects, usually [comport].

Package: pd-xsample
Description-md5: 0f4788671c3bbcafc452fd0ef3adc252
Description-en: extended sample objects for Pure Data
 This is a collection of efficient buffer-based sampling objects for Pure Data
 (Pd) and Max.
  - [xgroove~]: variable-speed interpolating player
  - [xplay~]: the index-driven sample player
  - [xrecord~]: sample-accurate recorder

Package: pd-zexy
Description-md5: 88d542d2a3d30a56d34baa5b88d80b2c
Description-en: General Purpose addon library for Pd
 zexy is "the swiss army knife for Pure Data".
 .
 It provides several addon objects for the Pd computer music system,
 including (but not limited to) objects for message handling
 (construction, parsing, control flow), signal handling (synthesis,
 analysis, comparison) et al.

Package: pd.build-cmake-module
Description-md5: 825c400704e639e8fe9c7ff48a6e8a02
Description-en: Pure Data CMake Module
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 It can be easily extended with so called 'externals'
 .
 This package contains a CMake Module for building such externals.

Package: pdal
Description-md5: b90f9ef0b0074a2559462ec452c0e512
Description-en: Point Data Abstraction Library
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the PDAL utilities.

Package: pdal-doc
Description-md5: 8c8554079a326d2f1abeb9e9d188ab16
Description-en: Point Data Abstraction Library - documentation
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the PDAL documentation.

Package: pdd
Description-md5: 7f912ae0434d1a181e89fe3700b16401
Description-en: Tiny date, time diff calculator
 pdd (python3 date diff) is a small cmdline utility to calculate date and
 time difference. If no program arguments are specified it shows the current
 date, time and timezone.
 .
 Feature
 .
  - calculate date difference
  - calculate time difference
  - calculate diff from today and now
  - add, subtract duration (timeslice) to/from date (time)
  - show current date, time and timezone
  - minimal dependencies

Package: pdepend
Description-md5: 00be3436575781cb4e427a2efae82194
Description-en: design quality metrics for PHP packages
 PDepend is an adaption of the Java design quality metrics software
 JDepend and the NDepend metric tool.

Package: pdf-presenter-console
Description-md5: 5df0b8af9ac469320876a53b4d65aa23
Description-en: multi-monitor presentation tool (ala Keynote) for PDF files
 PPC is a viewer for PDF which uses Keynote-like multi-monitor output
 to provide meta information to the speaker.  It can show a normal
 presentation window on one screen and a more sophisticated overview
 on the other, with information like a picture of the next slide and a
 clock with the time remaining.

Package: pdf-redact-tools
Description-md5: 20c977a3356a6a197a52bd8bb6a4d9e1
Description-en: PDF Redact Tools helps with securely redacting and stripping
 PDF Redact Tools helps with securely redacting and stripping metadata
 from documents before publishing.

Package: pdf.js-common
Description-md5: 8fc394426ac7d37f1d7b54a8992286bf
Description-en: Portable Document Format (PDF) viewer - common files
 PDF.js is a general-purpose, web standards-based platform for parsing and
 rendering PDFs.
 .
 This package contains files needed for the web viewer and the browser
 extension.

Package: pdf2djvu
Description-md5: 1b5c4ea408188ed5e228f2fc36acbc58
Description-en: PDF to DjVu converter
 pdf2djvu creates DjVu files from PDF files. It's able to extract:
  - graphics,
  - text layer,
  - hyperlinks,
  - document outline (bookmarks),
  - metadata (including XMP metadata).

Package: pdf2svg
Description-md5: b23d77b084d7bb4e03a9c67c061e8050
Description-en: converts PDF documents to SVG files (one per page)
 pdf2svg is a tiny command-line utility using Cairo and Poppler
 to convert PDF documents into SVG files.  Multi-page PDF can be split up to
 one SVG per page by passing a file naming specification.

Package: pdfarranger
Description-md5: 569aad641415877efcad85a755e5878d
Description-en: merge, split and re-arrange pages from PDF documents
 PDF Arranger is a small application which allows one to merge or split pdf
 documents and rotate, crop and rearrange their pages using an interactive
 and intuitive graphical interface.
 .
 PDF Arranger was formerly known as PDF-Shuffler.

Package: pdfchain
Description-md5: 0832d7e1b46b657b6326faabaa941a23
Description-en: graphical user interface for the PDF Tool Kit
 The package includes features designed to handle PDF files in a easy
 way. Basically it can merge, split, add backgrounds or stamps and add
 attachments. There are some tools for extended needs, too.
 .
 The GUI is written in GTKmm, a C++ library for GTK+.

Package: pdfcrack
Description-md5: 2c611408aaf6851d1453180acafa50b4
Description-en: PDF files password cracker
 PDFCrack is a simple tool for recovering passwords from pdf-documents.
 .
 It should be able to handle all pdfs that uses the standard security handler
 but the pdf-parsing routines are a bit of a quick hack so you might stumble
 across some pdfs where the parser needs to be fixed to handle.
 .
 The main PDFCrack features are:
 .
   - Supports the standard security handler (revision 2, 3 and 4) on all known
     PDF-versions.
   - Supports cracking both owner and userpasswords.
   - Both wordlists and bruteforcing the password are supported.
   - Simple permutations (currently only trying first character as Upper Case).
   - Save and load a running job.
   - Simple benchmarking.
   - Optimised search for owner-password when user-password is known.
 .
 This program can be used in forensics investigations or similar activities,
 to legal password crack.

Package: pdfcube
Description-md5: 70d17878194f6f4814a6f2b98f466537
Description-en: PDF document viewer with 3D effects
 PDF Cube is an OpenGL API-based 3D PDF viewer that adds a compiz/Keynote-like
 spinning cube transition effect to your PDF presentations. You can also zoom on
 5 predefined areas of any presentation page with a smooth zooming effect.

Package: pdfcube-dbg
Description-md5: ee4bc0804ca1d64c6da958c63b4cbf24
Description-en: PDF document viewer with 3D effects - debug symbols
 This package contains the debug symbols for the pdfcube PDF viewer.
 .
 They are used to debug program crashes and can help in getting them fixed.

Package: pdfgrep
Description-md5: 8c8a5397f782d81d957740280eb8f352
Description-en: search in pdf files for strings matching a regular expression
 Pdfgrep is a tool to search text in PDF files. It works similar to
 `grep'.
 .
 Features:
  - search for regular expressions.
  - support for some important grep options, including:
    + filename output.
    + page number output.
    + optional case insensitivity.
    + count occurrences.
  - and the most important feature: color output!

Package: pdfminer-data
Description-md5: 484de8b5717a96861e4ddddb6bc1092a
Description-en: PDF parser and analyser (encoding data)
 PDFMiner is a tool for extracting information from PDF documents, which
 focuses entirely on getting and analyzing text data.
 .
 This package contains the encoding data needed to read some PDF documents in
 CJK (Chinese, Japanese, Korean) languages.

Package: pdfmod
Description-md5: 1e267e41cb7766e18f7ed1c657d4d690
Description-en: simple tool for modifying PDF documents
 PDF Mod is a simple tool for modifying PDF documents. It can rotate, extract,
 remove and reorder pages via drag and drop. Multiple documents may be combined
 via drag and drop. You may also edit the title, subject, author and keywords of
 a PDF document using PDF Mod.

Package: pdfmod-dbg
Description-md5: c0ff2751ecd74e7e828185137dd6135a
Description-en: simple tool for modifying PDF documents -- debugging symbols
 PDF Mod is a simple tool for modifying PDF documents. It can rotate, extract,
 remove and reorder pages via drag and drop. Multiple documents may be combined
 via drag and drop. You may also edit the title, subject, author and keywords of
 a PDF document using PDF Mod.
 .
 This package contains debugging symbols for PDF Mod.

Package: pdfposter
Description-md5: f19df41e1d3a7cf09a4d73d81b5157d1
Description-en: scale and tile PDF images/pages to print on multiple pages
 Pdfposter can be used to create a large poster by building it from
 multiple pages and/or printing it on large media. It expects as input a
 PDF file, normally printing on a single page. The output is again a
 PDF file, maybe containing multiple pages together building the
 poster. The input page will be scaled to obtain the desired size.
 .
 This is much like poster does for Postscript files, but working with PDF.
 Since sometimes poster does not like your files converted from PDF. :-)
 Indeed pdfposter was inspired by poster.  For more information please refer
 to the manpage or visit the project homepage

Package: pdfproctools
Description-md5: e2766efb61fb00308389a269498c94d0
Description-en: PDF Processing Tools
 This package contains tools for PDF file processing.
 .
 SetPDFMetadata updates the metadata of a PDF file. In particular, it can be
 used to add outlines (bookmarks) to a document. Furthermore, it can set the
 document properties (e.g. author, title, keywords, creator, producer).
 .
 PDFEmbedFonts embeds all referenced fonts into a PDF file. Optionally, it
 can also linearize the PDF file for online publication
 ("fast web view", "optimized").

Package: pdfresurrect
Description-md5: 28356ad64392b79013f325e95dba079a
Description-en: tool for extracting/scrubbing versioning data from PDF documents
 PDFResurrect is a tool for analyzing and manipulating revisions to
 PDF documents (sometimes known as Adobe Acrobat files). The PDF format
 allows for previous changes to be retained in a revised version of the
 document, thereby keeping a running history of revisions to the document.
 .
 This tool extracts all previous revisions while also producing a summary
 of changes between revisions. It can also "scrub" or write data over the
 original instances of PDF objects that have been modified or deleted, in
 an effort to disguise information from previous versions that might not
 be intended for anyone else to read.

Package: pdfsam
Description-md5: 91b6582b463e9d90cd973f46a1e383ba
Description-en: PDF Split and Merge
 PDF Split and Merge is a very simple, easy to use, free, open source utility
 to split and merge pdf files. It has a simple graphical interface to let the
 user choose pdf files, split or merge them.

Package: pdfsandwich
Description-md5: fad5987145b5c53d17bb2b7fa770242e
Description-en: Tool to generate "sandwich" OCR pdf files
 pdfsandwich generates "sandwich" OCR pdf files, i.e. pdf files which contain
 only images (no text) will be processed by optical character recognition (OCR)
 and the text will be added to each page invisibly "behind" the images.
 pdfsandwich is a command line tool which is supposed to be useful to OCR
 scanned books or journals.
 .
 It is able to recognize the page layout even for multicolumn text.
 .
 Essentially, pdfsandwich is a wrapper script which calls the following
 binaries: convert, unpaper, gs (only for psd resizing), hocr2pdf (for
 tesseract < 3.03), and tesseract.

Package: pdfshuffler
Description-md5: 4303385d321d622eb1965002434400fc
Description-en: merge, split and re-arrange PDF documents - transitional package
 PDF Arranger is a small application which allows one to merge or split pdf
 documents and rotate, crop and rearrange their pages using an interactive
 and intuitive graphical interface.
 .
 This package is here to ensure smooth upgrades. It can be removed when
 you see fit.

Package: pdftk
Description-md5: 62f11b9202356ca04087aafbe9219017
Description-en: transitional package for pdftk, a tool for manipulating PDF documents
 PDFtk is no longer available in Debian. This package enables an upgrade to
 pdftk-java, a port of PDFtk to Java. This package can be safely removed.
 .
 If PDF is electronic paper, then PDFtk is an electronic stapler-remover,
 hole-punch, binder, secret-decoder-ring, and X-Ray-glasses. PDFtk is a
 simple tool for doing everyday things with PDF documents. Keep one in the
 top drawer of your desktop and use it to:
  - Merge PDF documents
  - Split PDF pages into a new document
  - Decrypt input as necessary (password required)
  - Encrypt output as desired
  - Fill PDF Forms with FDF Data and/or Flatten Forms
  - Apply a Background Watermark
  - Report PDF on metrics, including metadata and bookmarks
  - Update PDF Metadata
  - Attach Files to PDF Pages or the PDF Document
  - Unpack PDF Attachments
  - Burst a PDF document into single pages
  - Uncompress and re-compress page streams
  - Repair corrupted PDF (where possible)

Package: pdftk-java
Description-md5: 6fff77dcb4c8f5ed9baceb2df80441f0
Description-en: pdftk port to java - a tool for manipulating PDF documents
 If PDF is electronic paper, then PDFtk is an electronic stapler-remover,
 hole-punch, binder, secret-decoder-ring, and X-Ray-glasses. PDFtk is a
 simple tool for doing everyday things with PDF documents. Keep one in the
 top drawer of your desktop and use it to:
  - Merge PDF documents
  - Split PDF pages into a new document
  - Decrypt input as necessary (password required)
  - Encrypt output as desired
  - Fill PDF Forms with FDF Data and/or Flatten Forms
  - Apply a Background Watermark
  - Report PDF on metrics, including metadata and bookmarks
  - Update PDF Metadata
  - Attach Files to PDF Pages or the PDF Document
  - Unpack PDF Attachments
  - Burst a PDF document into single pages
  - Uncompress and re-compress page streams
  - Repair corrupted PDF (where possible)

Package: pdftoipe
Description-md5: 8dcdde8db214b83e252eccdae579db8d
Description-en: converts arbitrary PDF file to XML file readable by Ipe
 Ipe is a drawing editor for creating figures, provided in package ipe.
 .
 This package contains a tool to convert drawings in PDF format to
 XML that can be used by ipe editor.

Package: pdi2iso
Description-md5: b848faf0b4ea4813ab80950fc54d0584
Description-en: Instant Copy image to ISO image file converter
 pdi2iso is a very simple utility to convert an instant copy bin image
 to the standard ISO-9660 format.

Package: pdl
Description-md5: 7ef15f0d151a92db7b679c164361028e
Description-en: perl data language: Perl extensions for numerics
 PDL gives standard perl the ability to COMPACTLY
 store and SPEEDILY manipulate the large N-dimensional data arrays
 which are the bread and butter of scientific computing.  The idea
 is to turn perl in to a free, array-oriented, numerical language
 in the same sense as commercial packages like IDL and MatLab. One
 can write simple perl expressions to manipulate entire numerical arrays
 all at once. For example, using PDL the perl variable $a can hold a
 1024x1024 floating point image, it only takes 4Mb of memory to store
 it and expressions like $a=sqrt($a)+2 would manipulate the whole image
 in a few seconds.
 .
 A simple interactive shell (perldl) is provided for command line use
 together with a module (PDL) for use in perl scripts.

Package: pdlzip
Description-md5: 78478e887ec110c115f7c08db4266a0f
Description-en: data compressor based on the LZMA algorithm (simple version)
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 .
 Lzip decompresses almost as fast as gzip and compresses better than bzip2,
 which makes it well suited for software distribution and data archiving.
 .
 This package contains a simpler implementation, put into the public domain.

Package: pdmenu
Description-md5: 0549b43915c719959fff29fcafa0e032
Description-en: simple console menu program
 A full screen console menu program, intended to be comfortable login shell
 for inexperienced users.
 .
 Pdmenu interfaces with Debian's menu system, to provide
 automatically-generated lists of installed programs.

Package: pdns-backend-bind
Description-md5: 102c9606b65f82d362adffd1fbf33801
Description-en: BIND backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the bind backend for the PowerDNS nameserver.
 Zone data will be read from flat BIND-style zone files, and the list
 of zones needs to be given in a named.conf-style file.

Package: pdns-backend-geoip
Description-md5: e7179ddae048f01d1db157ce7ddd97ea
Description-en: GeoIP backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the GeoIP backend for the PowerDNS nameserver. This
 backend balances the load throughout the world so that people access the
 geographically nearest available server based on a zonefile written in
 YAML.

Package: pdns-backend-ldap
Description-md5: 4377905e3dbcdb557368f97cf2ebd506
Description-en: LDAP backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the LDAP backend for the PowerDNS nameserver.

Package: pdns-backend-lua
Description-md5: 39c96aabb34213de4010baa301fa990b
Description-en: Lua backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the Lua backend for the PowerDNS
 nameserver.

Package: pdns-backend-mydns
Description-md5: df77637fc2d8525be900a90450c07333
Description-en: MyDNS compatibility backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the MyDNS compatibility backend for the PowerDNS
 nameserver.

Package: pdns-backend-mysql
Description-md5: 2408f9d9ae1d9b880b7db925e7e4e956
Description-en: MySQL backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the MySQL backend for the PowerDNS
 nameserver. It has configurable SQL statements.

Package: pdns-backend-odbc
Description-md5: aea35375028432f8d6de498767d272e0
Description-en: UnixODBC backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains a UnixODBC backend for the PowerDNS
 nameserver. It has configurable SQL statements.

Package: pdns-backend-pgsql
Description-md5: 501ecb3681ef432ff021a62b9e943751
Description-en: PostgreSQL backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the PostgreSQL backend for the PowerDNS
 nameserver. It has configurable SQL statements.

Package: pdns-backend-pipe
Description-md5: 96ac7ab1bf36aade3437bee6809e2d08
Description-en: pipe/coprocess backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the pipe backend for the PowerDNS nameserver. This
 allows PowerDNS to retrieve domain info from a process that accepts
 questions on stdin and returns answers on stdout.

Package: pdns-backend-remote
Description-md5: 2f76a0e5c0b0f37a802e55878594d3af
Description-en: remote backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the remote backend for PowerDNS. It is suitable
 for serving data acquired from an AF_UNIX, pipe, or HTTP connector.

Package: pdns-backend-sqlite3
Description-md5: 06163408d209318afb0bd43ef103bd2f
Description-en: sqlite 3 backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the SQLite 3 backend for the PowerDNS
 nameserver.

Package: pdns-backend-tinydns
Description-md5: 30cea6209a213c57e7a1c02415b6f86b
Description-en: tinydns compatibility backend for PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains the tinydns compatibility backend for the PowerDNS
 nameserver.

Package: pdns-ixfrdist
Description-md5: 21f89928b6b404f67525436bd19ef002
Description-en: Redistribute DNS zones over AXFR/IXFR
 Transfers zones from an authoritative DNS server and serves them
 over AXFR and IXFR, caching multiple versions as needed on disk.
 This tool does not require any part of the PowerDNS server
 components to work.

Package: pdns-recursor
Description-md5: f3a31cef06b361a15135f2262ae08934
Description-en: PowerDNS Recursor
 High-performance resolving name server, utilizing multiple
 processor and including Lua scripting capabilities.

Package: pdns-server
Description-md5: 706123beff0f5ffe2c854a5c12af298e
Description-en: extremely powerful and versatile nameserver
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This is the authoritative nameserver that answers questions about
 domains that it knows about. You also need at least one backend installed to
 serve data.

Package: pdns-tools
Description-md5: c11200f5c83e2fb8477a0045d6934601
Description-en: Tools for DNS debugging by PowerDNS
 PowerDNS is a versatile nameserver which supports a large number
 of different backends ranging from simple zonefiles to relational
 databases and load balancing/failover algorithms.
 PowerDNS tries to emphasize speed and security.
 .
 This package contains several tools to debug DNS issues. These tools do not
 require any part of the PowerDNS server components to work.
 .
   * dnsbulktest: A resolver stress-tester
   * dnsgram: Show per 5-second statistics to study intermittent resolver issues
   * dnsreplay: Replay a pcap with DNS queries
   * dnsscan: Prints the query-type amounts in a pcap
   * dnsscope: Calculates statistics without replaying traffic
   * dnstcpbench: Perform TCP benchmarking of DNS servers
   * dnswasher: Clean a pcap of identifying IP information
   * ixplore: Explore diffs from IXFRs
   * nsec3dig: Calculate the correctness of NSEC3 proofs
   * saxfr: AXFR zones and show extra information

Package: pdqsort-dev
Description-md5: 670e4baa653723f4b2d14a9e6976dca5
Description-en: pattern-defeating quicksort compile-time c++ library
 Pattern-defeating quicksort (pdqsort) is a novel sorting algorithm that
 combines the fast average case of randomized quicksort with the fast worst
 case of heapsort, while achieving linear time on inputs with certain patterns.
 pdqsort is an extension and improvement of David Mussers introsort.
 .
 This package provides c++ header with drop-in replacement for std::sort.

Package: pdsh
Description-md5: 83ab4853fa8b6884bd4380641e7ea649
Description-en: Efficient rsh-like utility, for using hosts in parallel
 Pdsh is a high-performance, parallel remote shell utility, similar to dsh.
 It has built-in, thread-safe clients for rsh. Pdsh uses a "sliding window"
 parallel algorithm to conserve socket resources on the initiating node and
 to allow progress to continue while timeouts occur on some connections.
 .
 It makes all parallel connections from one client machine, and attempts to
 keep 32 (default, can be changed on command line) connections to remote
 machines at any given time.  It can run single commands or as an interactive
 shell.

Package: pdudaemon
Description-md5: b36e427f64b5ab1830fe61038dc8bca7
Description-en: daemon for controlling PDUs
 Pdudaemon provides a standard way of controlling power controllers,
 which can either be local devices or networked PDUs. On top of that it ensures
 the control commands to the PDU are executed sequentially to avoid issues with
 many PDUs in handling multiple parallel requests.
 .
 This package provides the daemon

Package: pdudaemon-client
Description-md5: 119a3f539ab60621f8afc216b28cadf9
Description-en: client for pdudaemon
 Pdudaemon provides a standard way of controlling power controllers,
 which can either be local devices or networked PDUs. On top of that it ensures
 the control commands to the PDU are executed sequentially to avoid issues with
 many PDUs in handling multiple parallel requests.
 .
 This package provides the client

Package: peace-gdm-theme
Description-md5: 6a516dd657561ac969351b32875f81e9
Description-en: Peace look - GDM theme
 The Peace theme.
 .
 This package contains the GDM theme.

Package: peace-look
Description-md5: 86bddcca1868d9a66fde9e0617fe7ab2
Description-en: Peace look - metapackage
 The Peace theme.
 .
 This is a meta package.

Package: peace-session-splashes
Description-md5: 8b5eb95a3a1300bdf9cc2a2f4d29c081
Description-en: Peace look - Session splashes
 The Peace theme.
 .
 This package contains the Peace Session splashes.

Package: peace-theme
Description-md5: 2ba320f2de634e73e0233f8b30f92b45
Description-en: Peace look - GTK and Metacity theme
 The Peace theme.
 .
 This package contains the GTK and Metacity configuration for the Peace
 look.

Package: peace-wallpapers
Description-md5: 310b56a640f79e32a78f52058e10946b
Description-en: Peace look - Wallpapers
 The Peace theme.
 .
 This package contains the Peace Wallpapers.

Package: pear-channels
Description-md5: f015a5a8bdbaefe984fcca9d853fb6ef
Description-en: PEAR channels for various projects
 This package provides the PEAR channel registry entries for various
 projects:
  * Andrewsville
  * AWS
  * Guzzle
  * Horde
  * HTML Purifier
  * Michel Fortin
  * nikic
  * phpDocumentor
  * Phing
  * Symfony (versions 1 and 2)
  * Twig
 .
 PEAR is a framework and distribution system for reusable PHP
 components. A PEAR channel is a website that provides package for
 download and a few extra meta-information for files.

Package: peco
Description-md5: b3cc55b2863011dd1d94bd8a54c6b3c3
Description-en: Simplistic interactive filtering tool
 peco Simplistic interactive filtering tool
 .
 peco can be a great tool to filter stuff like logs, process stats, find
 files, because unlike grep, you can type as you think and look through
 the current results.

Package: pecomato
Description-md5: cce08362190ad8273bbb1510f85a8fdf
Description-en: Picture-embedded contents manipulation tool
 PECoMaTo is a metadata processor designed to display any kind
 of information embedded in picture files, as well as checking,
 filtering, extracting, removing, adding and fixing such
 information.
 .
 It supports the following file formats: JPEG/JFIF, Adobe PSD,
 FFO and raw IPTC. And it knows about the following metadata
 formats: JFIF, IPTC, Exif, Adobe and Fotostation.
 .
 One of its main goals is to check the validity of parsed
 metadata as well as optionally check the strict compliance
 to official standards. On another hand, it aims to provide
 ways of fixing broken or not compliant chunks as well as
 providing general basic functions to manipulate the metadata.

Package: peek
Description-md5: 5e96e4d86551f27c6e1ededed714f3e0
Description-en: Simple animated GIF screen recorder with GUI
 Peek is a simple screen recorder. It is optimized for generating
 animated GIFs but you can also directly record to WebM or MP4
 if you prefer.

Package: peewee
Description-md5: df5de7437664ee7c83ebd9e7c26f0955
Description-en: Command line Tools for python peewee (Python 3)
 Peewee is a simple and small ORM. It has few (but expressive)
 concepts, making it easy to learn and intuitive to use.
 .
   * A small, expressive ORM
   * Written in python with support for versions 2.6+ and 3.2+.
   * built-in support for sqlite, mysql and postgresql numerous
     extensions available (postgres hstore/json/arrays, sqlite
     full-text-search, schema migrations, and much more).

Package: peg
Description-md5: f666813e4c9b35c72547511e2afc44a0
Description-en: recursive-descent parser generators for C
 This package provides peg and leg, two utilities that read a Parsing
 Expression Grammar (PEG) and generate a recursive-descent parser for
 it.
 .
 Unlike lex and yacc, peg and leg support unlimited backtracking,
 provide ordered choice as a means for disambiguation, and can combine
 scanning (lexical analysis) and parsing (syntactic analysis) into a
 single activity.

Package: peg-e
Description-md5: 645008265e3eff59144ae937c4de65f4
Description-en: peg elimination solitaire game
 Peg-E is a peg solitaire game in which you jump over pieces in order to
 remove them from the board, ultimately trying to eliminate all but one.
 The boards are randomly generated, with 100 levels of difficulty. The game
 auto-saves, and has undo-redo capability. Pieces can move horizontally,
 vertically, and diagonally.

Package: peg-go
Description-md5: 6128081c6d2cab9a4914d98ade23fcaf
Description-en: implementation of a Packrat parser generator in Go
 Peg, Parsing Expression Grammar, is an implementation of a Packrat
 parser generator. A Packrat parser is a descent recursive parser capable
 of backtracking. The generated parser searches for the correct parsing
 of the input.
 .
 This is the main package used to generate code.

Package: peg-solitaire
Description-md5: 42c2b3104a9a1d79c96244eee4f27179
Description-en: Board game for one player with pegs
 Peg solitaire is a board game for one player involving movement of pegs
 on a board with holes. The program includes over 330 solitaire problems.
 The program looks for new solutions and it is possible to raise new
 problems and games.

Package: pegsolitaire
Description-md5: 4f6d86c929b5da313b8d873b0298b83a
Description-en: education game similar to Hi-Q
 Peg Solitaire is a board game for one player involving movement of pegs on a
 board with holes. The game fills the entire board with pegs except for the
 central hole. The objective is, making valid moves, to empty the entire board
 except for a solitary peg in the central hole.

Package: pegtl-dev
Description-md5: d0da64164b381e750e586f4cbc62fb69
Description-en: Parsing Expression Grammar Template Library
 The Parsing Expression Grammar Template Library (PEGTL) is a zero-dependency
 C++11 header-only library for creating parsers according to a Parsing
 Expression Grammar (PEG).

Package: pekka-kana-2
Description-md5: 5a79a72aa3ebcc00a310e99fb1dc6e2e
Description-en: 2D Oldschool platform game where you control a rooster
 Your mission in Pekka Kana 2 is to save Pekka's chicken friends
 from an evil crow.
 .
 The simple goal in each level is to find the exit sign, which is usually
 not that simple as it sounds because of enemies, traps, and quirky puzzles.

Package: pekka-kana-2-data
Description-md5: 4eba75811a4feb505330f792a3f6016d
Description-en: 2D Oldschool platform game where you control a rooster (data file)
 Your mission in Pekka Kana 2 is to save Pekka's chicken friends
 from an evil crow.
 .
 The simple goal in each level is to find the exit sign, which is usually
 not that simple as it sounds because of enemies, traps, and quirky puzzles.
 .
 This package contains data files required by the game Pekka Kana 2.

Package: pekwm
Description-md5: e4869ea68a202201902ec34f8c046bca
Description-en: very light window manager
 The Pek Window Manager is written by Claes Nästen,
 the code is based on the aewm++ window manager,
 but it has evolved enough that it no longer resembles aewm++ at all.
 It has a much expanded feature-set, including window grouping
 (similar to ion, pwm, or fluxbox), autoproperties, xinerama,
 keygrabber that supports keychains, and much more.

Package: pekwm-themes
Description-md5: e59dc712c6e77a8b93315973b30020d3
Description-en: themes for the pekwm window manager
 This package contains various themes for the pekwm window
 manager, including several that mimic popular themes for Xfce, KDE,
 and GNOME. The themes support tab separators, shadows, and hover events
 on the title buttons.

Package: pelican
Description-md5: dc0d61e22622de92c244a1cd4f14313c
Description-en: blog aware, static website generator
 Pelican is a static site generator, written in Python.  It allows you
 to write your weblog entries directly with your editor of choice in
 reStructuredText or Markdown, and generates completely static output
 that is easy to host anywhere.  Pelican includes a simple CLI tool to
 (re)generate the weblog and it is easy to interface with DVCSes and web
 hooks.

Package: pelican-doc
Description-md5: c2c54ce968a4297370a9aaf1556b4159
Description-en: blog aware, static website generator (documentation)
 Pelican is a static site generator, written in Python.  It allows you
 to write your weblog entries directly with your editor of choice in
 reStructuredText or Markdown, and generates completely static output
 that is easy to host anywhere.  Pelican includes a simple CLI tool to
 (re)generate the weblog and it is easy to interface with DVCSes and web
 hooks.
 .
 This package provides documentation for Pelican.

Package: pem
Description-md5: 461f4d60bda699ef0834f9b79fbf3bf0
Description-en: command line personal expense manager
 This is a handy tool to help you keep track of your personal income
 and expenses. It is a command line alternative to other personal
 finance and money managers.
 .
 On GNU/Linux and other Unix-like systems, Pem works by storing the
 details in a CSV file placed in the ~/.pem directory under your $HOME
 directory. Each such file is named after the current month, and is
 automatically created by Pem when you enter the first  record for a
 month. It is not advisable to edit these files by hand.

Package: pen
Description-md5: d1ddb2db717fff1e47c71b28e34fba1c
Description-en: Load balancer for "simple" tcp and udp based protocols
 pen works for udp, and tcp based protocols such as dns, http or smtp.
 It allows several servers to appear as one to the outside and automatically
 detects servers that are down and distributes clients among the available
 servers. This gives high availability and scalable performance.

Package: pencil2d
Description-md5: ff6d1fbcbcc1ba936c66481bcb152f5b
Description-en: Create hand-drawn animation using both bitmap and vector graphics
 Pencil2D is an animation/drawing software for Mac OS X, Windows, and
 Linux. It lets you create traditional hand-drawn animation (cartoon) using
 both bitmap and vector graphics.
 .
 The basic features of Pencil2D are:
  + layers support (separated layer for bitmap, vector and soud part)
  + bitmap drawing
  + vector drawing
  + sound support

Package: penguin-command
Description-md5: e361327231d0c3399f04a0b726d7ee93
Description-en: missile command clone
 This is a clone of the classic "Missile Command" Game,
 but it has better graphics and music. You have to
 defend cities by shooting at missiles, flyers and
 smart bombs.

Package: pente
Description-md5: 498b9a03a2e8e520133706221423c5ba
Description-en: five in a row game for X and the console
 Pente is the English name for the Asian game ni-nuki, which itself
 is a version of the game go-moku. The game is a variant of the well
 known five in a row. Placing five stones in a row is one way to win,
 the other is to capture five pairs of the opponents stones.
 .
 Pente can run in three different modes: X, curses or text. You can
 play against the computer or another human, and there is also support
 for playing over a network.

Package: pentium-builder
Description-md5: 27547ca07fd85ccdc7854b0c84ee46b3
Description-en: force pentium optimized compilation
 Replaces gcc, cc, and g++ with scripts that build pentium optimized code.
 (Other processors can be optimized for as well.)
 .
 By default, after installing this package, the compilers will behave
 normally. However, if the environment variable DEBIAN_BUILDARCH=pentium
 is set, they will enter pentium optimized compile mode.

Package: pentobi
Description-md5: ee0ad87ef77cb3f973e71d34c52de804
Description-en: clone of the strategy board game Blokus
 Pentobi is a strategy board game played on a square grid board using playing
 pieces in the shapes of polyominoes, similar to Tetris pieces.  The aim of the
 game is to place all of your pieces while trying to block your opponent from
 placing all of theirs.
 .
 The game ends when no one can place any more pieces and the winner is
 determined by calculating the score based on the points of any remaining
 playing pieces. Bonus points are added for playing every piece and additional
 bonus points are added if the monomino, the 1x1 square piece, is played last.

Package: pentobi-kde-thumbnailer
Description-md5: 496e3acb71fcc6468e9642f9a2ef6d2a
Description-en: clone of the strategy board game Blokus - KDE thumbnailer
 Pentobi is a strategy board game played on a square grid board using playing
 pieces in the shapes of polyominoes, similar to Tetris pieces.  The aim of the
 game is to place all of your pieces while trying to block your opponent from
 placing all of theirs.
 .
 This package contains a KDE plugin to display thumbnails of Pentobi game files.

Package: peony
Description-md5: cd3fd986af56594381928a32945ae229
Description-en: file Manager for the UKUI desktop
 Peony is the official file manager for the UKUI desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the UKUI
 desktop. It works on local and remote filesystems.

Package: peony-common
Description-md5: c2cdc7cc8e01984455c7ac570a8ab0a3
Description-en: file manager for the UKUI desktop (common files)
 Peony is the official file manager for the UKUI desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the UKUI
 desktop. It works on local and remote filesystems.
 .
 This package contains the architecture independent files.

Package: peony-open-terminal
Description-md5: 074550d2ba7ecc43bd614232543cfd74
Description-en: Peony plugin for opening terminals in arbitrary local paths
 Peony is the official file manager for the UKUI desktop. This
 package adds extended functionality to the Peony file manager.
 .
 peony-open-terminal is a proof-of-concept Peony extension
 which allows you to open a terminal in arbitrary local folders.

Package: peony-share
Description-md5: 64e8e96e947ec73d38a2bdbfe549b260
Description-en: Allows one to quickly share a folder from the Peony file manager
 Peony is the official file manager for the UKUI desktop. This
 package adds extended functionality to the Peony file manager.
 .
 The Peony share extension allows you to quickly share a folder from the
 Peony file manager without requiring root access. It uses Samba, so your
 folders can be accessed by any operating system.

Package: pep8
Description-md5: dad89c3cb10021b0b8d698048ded57ef
Description-en: Python PEP 8 code style checker - transitional package
 pep8 script was renamed to pycodestyle.
 .
 This is transitional package which provides symlink for pep8 script

Package: pep8-simul
Description-md5: 67b1ce5816741c4459e95b0efb68eb44
Description-en: Pep/8 assembler and simulator
 The Pep/8 computer is a 16-bit complex instruction set
 computer (CISC). It is designed to teach computer
 architecture and assembly language programming principles.

Package: pepper
Description-md5: 8616e67ee55cf10a88f33074bcb5d475
Description-en: Source code repository statistics and report tool
 pepper is a flexible command-line tool for retrieving statistics and
 generating reports from source code repositories. It ships with
 several graphical and textual reports, and is easily extendable using
 the Lua scripting language. pepper includes support for multiple
 version control systems, including Git and Subversion. Using native
 language bindings, multi-threading and a local revision cache, it
 provides fast access to repository data.

Package: perceptualdiff
Description-md5: 1a5136b84e9c311d65c3b751655e004c
Description-en: perceptual image comparison tool
 PerceptualDiff is an image comparison utility that makes
 use of a computational model of the human visual system to
 compare two images.

Package: percol
Description-md5: 55c5fbb25e2fb06e9aa676aa4556deab
Description-en: interactive selection for UNIX pipes
 An interactive grep tool in your terminal. Percol does the following:
 .
 1. receives input lines from stdin or a file,
 2. lists up the input lines,
 3. waits for your input that filter/select the line(s),
 4. and finally outputs the selected line(s) to stdout.
 .
 Since percol just filters the input and output the result to stdout,
 it can be used in command-chains with | in your shell (UNIX
 philosophy!).

Package: percona-toolkit
Description-md5: fce380dfcf83d3da9c5380e7d11cf899
Description-en: Command-line tools for MySQL and system tasks
 Percona Toolkit is a collection of advanced command-line tools to
 perform a variety of MySQL and system tasks that are too difficult
 or complex to perform manually, including:
 .
  - Verify master and replica data consistency
  - Efficiently archive rows
  - Find duplicate indexes
  - Summarize MySQL servers
  - Analyze queries from logs and tcpdump
  - Collect vital system information when problems occur

Package: perdition
Description-md5: 890bb4cc6f2a9407881c10eaa055ac0c
Description-en: POP3 and IMAP4 Proxy server
 Perdition allows users to connect to a content-free POP3 or IMAP4
 server that will redirect them to their real POP3 or IMAP4 server. This
 enables mail retrieval for a domain to be split across multiple backend
 servers on a per user basis. This can also be used to as a POP3 or IMAP4
 proxy especially in firewall applications. Perdition supports arbitrary
 library based map access to determine the server for a user. POSIX
 Regular Expression, GDBM, Berkeley DB, MySQL, PostgreSQL, ODBC and LDAP
 libraries ship with the distribution.

Package: perdition-ldap
Description-md5: 84347dcb38faf3238f989c9bd1d72126
Description-en: Library to allow perdition to access LDAP based popmaps
 Perdition allows for arbitrary user database access through
 shared libraries much in the manner of NSS in glibc. This package
 allows a user database to be sourced from LDAP

Package: perdition-mysql
Description-md5: a0263c2ce764db6498dcfe0c03282f10
Description-en: Library to allow perdition to access MySQL based popmaps
 Perdition allows for arbitrary user database access through
 shared libraries much in the manner of NSS in glibc. This package
 allows a user database stored in a MySQL database.

Package: perdition-odbc
Description-md5: 433301e7bd22d4e934a2c86a3535e9a1
Description-en: Library to allow perdition to access ODBC based popmaps
 Perdition allows for arbitrary user database access through
 shared libraries much in the manner of NSS in glibc. This package
 allows a user database stored in a database accessed via ODBC.

Package: perdition-postgresql
Description-md5: 3e692953665ee2a5730c661a39a85da8
Description-en: Library to allow perdition to access PostgreSQL based popmaps
 Perdition allows for arbitrary user database access through
 shared libraries much in the manner of NSS in glibc. This package
 allows a user database stored in a PostgreSQL database.

Package: perf-tools-unstable
Description-md5: 6d4101544882e50b5c7b3342d5598a72
Description-en: DTrace-like tools for Linux
 A miscellaneous collection of in-development and unsupported
 performance analysis tools for Linux perf_events, aka the "perf"
 command, and ftrace. Both perf_events and ftrace are core Linux
 tracing tools, and are included in the Linux kernel source.
 .
 These tools are designed to be simple to use, easy to install, and
 provide advanced performance observability. This collection was
 written by Brendan Gregg (author of the DTraceToolkit).
 .
 Many of these tools employ workarounds so that functionality is
 possible on existing Linux kernels. Because of this, many tools have
 caveats (see man pages), and their implementation should be
 considered a placeholder until future kernel features, or new tracing
 subsystems, are added.

Package: perforate
Description-md5: f12c66d8427be49df567b504dc826195
Description-en: Utilities to save disk space
 GNU cp used to detect files that contain 0-filled holes and save disk space
 by skipping them with lseek when writing a file and thus not allocating
 disk blocks. Unfortunately it does no longer. So here is program to make
 holes in existing files.
 .
 Also there are some scripts that help cleaning up the hard disk
 (finding duplicated and/or unstripped files).

Package: performous
Description-md5: f1215dccc406e8ddb584eb942c3f7637
Description-en: karaoke game that allows user supplied songs
 A karaoke, band and dancing game where one or more players perform a song
 and the game scores their performances. Supports songs in UltraStar,
 Frets on Fire and StepMania formats. Microphones and instruments from
 SingStar, Guitar Hero and Rock Band as well as some dance pads are
 autodetected.

Package: performous-composer
Description-md5: 7a1f4c81eb1773b4af4d63cf3dd200b5
Description-en: song editor for creating (and converting) notes for music games
 Composer is a song editor for creating (and converting) notes for music
 games in various formats.  It attempts to make the process easy by
 automating as much as possible while providing a simple and attractive
 interface to do the remaining manual work.
 .
 Key features of Composer include:
 .
  * Song pitch analysis based on the esteemed algorithms from Perfomous.
  * Zoomable interface to quickly get an overview or doing very precise timing.
  * Possibility to synthesize the notes to get a feel of their "sound".
  * Import/export in various formats including:
    * SingStar XML
    * UltraStar TXT
    * Frets on Fire MIDI
 .
 Composer has a rather distinguished workflow: for example, the lyrics are
 imported as a whole and each time you manually put a note in place, the
 others automatically adjust to take use of the new information in providing
 a better guess of the pitch and timing.  In a sense, you are not actually
 creating a song, but fixing and tuning the result of what the computer
 thinks the notes should be like.

Package: performous-tools
Description-md5: e0ae62395b2a5a864689d78999d470a9
Description-en: karaoke game that allows user supplied songs - tools
 A karaoke, band and dancing game where one or more players perform a song
 and the game scores their performances. Supports songs in UltraStar,
 Frets on Fire and StepMania formats. Microphones and instruments from
 SingStar, Guitar Hero and Rock Band as well as some dance pads are
 autodetected.
 .
 This package includes some tools for converting original Singstar DVDs to
 the format used by Performous.

Package: perftest
Description-md5: 7a3cfd7c53893b957ea5ba6d5a42368d
Description-en: Infiniband verbs performance tests
 This is a collection of tests written using Infiniband verbs intended for
 use as a performance micro-benchmark. The tests can measure the latency
 and bandwidth of Infiniband fabrics.

Package: perl-byacc
Description-md5: 1aca904a8d70af00698621d6e745057f
Description-en: Berkeley LALR parser generator, Perl version
 Yacc reads the grammar specification in a file
 and generates an LR(1) parser for it. The parsers consist
 of a set of LALR(1) parsing tables and a driver routine
 written in the C programming language.
 This version is able to produce Perl programs.

Package: perl-cross-debian
Description-md5: e314dc28e4693b504172786c19c2e3ae
Description-en: Cross build support for Debian perl configurations
 This package provides the configuration values for selected
 versions of perl. Each set is compatible with the Debian
 configuration of that version of perl, to circumvent the use of
 compiled test binaries which will fail during a cross-build.
 .
 Also provides a helper script to push the relevant configuration
 files into the cross-build using support in debian/rules within
 perl.

Package: perl-depends
Description-md5: 648e3c7bed8c2c4937f06c62bd98ed44
Description-en: rough indicator of Perl module dependencies
 perl-depends is a tool to show roughly what modules a program uses. Perl
 evaluates "use" commands at compile time, storing the information about
 loaded modules in the %INC variable. Comparing that list with the
 standard Perl modules gives an estimate of the external module
 dependencies.
 .
 The dependency information can be used to determine what external
 modules have to be installed before the program can be used.

Package: perl-doc-html
Description-md5: 9a6f0dcc5bd248c0f8f089f37aeaaf06
Description-en: Perl documentation suitable for viewing with a web browser
 This is the same documentation as provided by the perl-doc package.
 However, it has been formatted into HTML, making it suitable for viewing
 with a local web browser or for serving up on an intranet for multiple
 users.

Package: perl-stacktrace
Description-md5: 418f511c86cbd21c133ace531860d912
Description-en: Create stack traces of a running perl processes
 perl-stacktrace prints Perl stack traces of Perl threads for a given
 Perl process. For each Perl frame, the full file name and line number
 are printed.

Package: perl-tk
Description-md5: 4b85d3a774205640dd14fdee9cb4f6e9
Description-en: Perl module providing the Tk graphics library
 Perl/Tk (also known as pTk or ptk) is a collection of modules and
 code that attempts to wed the easily configured Tk widget toolkit
 to the powerful lexigraphic, dynamic memory, I/O, and object-oriented
 capabilities of Perl 5. In other words, it is an interpreted
 scripting language for making widgets and programs with Graphical
 User Interfaces (GUI).
 .
 A good place to get started is by running the "widget" demo that's
 installed with this package.

Package: perl6
Description-md5: 5531faecf0c951c5e2e0e38329adc771
Description-en: Perl6 Compiler
 Perl 6 is a programming language, member of the Perl family. Like Perl 5, her
 world-famous big sister, Perl 6 intends to carry forward the high ideals of
 the Perl community and is currently being developed by a team of dedicated and
 enthusiastic volunteers.
 .
 perl6 package is a meta package that aims to depend on a Perl6
 compiler and on the Perl6 core modules shipped with rakudo-star.
 These modules will be added once they are available on Debian.
 .
 Perl6 version number represents the version of the language specification.
 The version of the compiler is another matter.

Package: perl6-readline
Description-md5: 88e79a4111e8f87128f8f2a0e4e4ca55
Description-en: Readline binding for Perl 6
 This package provides Perl6 bindings to the native readline library,
 supporting history and recalling of text input. A prominent example
 of this is the Perl 6 REPL itself.

Package: perl6-tap-harness
Description-md5: 3c50267127eada60bd432161c5054f00
Description-en: TAP test harness for Perl 6
 Provides a TAP based test suite and report printing, in and for Perl 6.
 .
 TAP is the Test Anything Protocol used to Perl and other languages to
 communicate results between unit tests and the test harness.

Package: perl6-zef
Description-md5: 69c3db07ea52f088b032c2974d85d870
Description-en: Perl 6 package manager
 Zef is a Perl 6 package (module) manager. It can be used to download and install
 Perl 6 modules in your home directory or as a system-wide module.

Package: perlbal
Description-md5: ef66b554e47c2ee5d9b46caf5c1ce1ad
Description-en: Perl-based reverse proxy load balancer and web server
 Perlbal is a single-threaded event-based server supporting HTTP load
 balancing, web serving, and a mix of the two. Perlbal can act as either a web
 server or a reverse proxy.
 .
 One of the defining things about Perlbal is that almost everything can be
 configured or reconfigured on the fly without needing to restart the software.
 A basic configuration file containing a management port enables you to easily
 perform operations on a running instance of Perlbal.
 .
 Perlbal can also be extended by means of per-service (and global) plugins that
 can override many parts of request handling and behavior.

Package: perlbrew
Description-md5: a5a2acff288bfaae2d99daf5815b87fa
Description-en: script to manage perl installations in your $HOME
 perlbrew is a program to automate the building and installation of perl
 in the users HOME. At the moment, it installs everything to ~/perl5/perlbrew,
 and requires you to tweak your PATH by including a bashrc/cshrc file it
 provides, in your shell configuration file. You then can benefit from not
 having to run 'sudo' commands to install cpan modules because those are
 installed inside your HOME too. It's a completely separate perl environment.

Package: perlconsole
Description-md5: eb2d73e75d62e6e73aebfa003f16bebe
Description-en: small program that lets you evaluate Perl code interactively
 Perl Console is a light program that lets you evaluate Perl code
 interactively. It uses Readline for grabing input and provides completion
 with all the namespaces loaded during your session.
 .
 This is pretty useful for Perl developers that write modules.
 You can load a module in your session and test a function exported by the
 module.

Package: perlindex
Description-md5: cae36b291fe149ff731f54255b015a87
Description-en: Perl manual pages index and query application
 Perlindex is a program to index and search the perl documentation.
 .
 An index database must be built that will store keywords for *all*
 perl and installed modules man pages.
 .
 Then perlindex can be run and will present the user the most likely
 choice for documentation.

Package: perlmagick
Description-md5: 1f334da72af0f0bfe00d5b5136fed526
Description-en: Perl interface to ImageMagick -- dummy package
 PerlMagick is an objected-oriented Perl interface to ImageMagick.
 Use the module to read, manipulate, or write an image or image sequence from
 within a Perl script. This makes it very suitable for Web CGI scripts.
 .
 This is a transitional package to help migrate systems to the new
 libimage-magick-perl perl library.
 .
 This is a dummy package.  You can safely purge or remove it.

Package: perlprimer
Description-md5: 6fd3f0ffca7f9984532cb92d18ed7340
Description-en: Graphical design of primers for PCR
 PerlPrimer is a free, open-source GUI application written in Perl that designs
 primers for standard Polymerase Chain Reaction (PCR), bisulphite PCR,
 real-time PCR (QPCR) and sequencing. It aims to automate and simplify the
 process of primer design.
 .
 If operated online, the tool nicely communicates with the Ensembl
 project for further insights into the gene structure, i.e., allowing
 for taking the location of exons and introns into account for the design
 of the primers.  The sequences themselves can be retrieved, too.

Package: perlprimer-doc
Description-md5: ba2f0490e5ab1cd8ec2c69359d1db48e
Description-en: Tutorial to perlprimer
 PerlPrimer is a free, open-source GUI application written in Perl that designs
 primers for standard Polymerase Chain Reaction (PCR), bisulphite PCR,
 real-time PCR (QPCR) and sequencing. It aims to automate and simplify the
 process of primer design.
 .
 If operated online, the tool nicely communicates with the Ensembl
 project for further insights into the gene structure, i.e., allowing
 for taking the location of exons and introns into account for the design
 of the primers.  The sequences themselves can be retrieved, too.
 .
 An HTML tutorial explaining the use of the user interface and
 utilisation of online resources.

Package: perlrdf
Description-md5: 10fd8306c6a8b7092c7980b1a87365b6
Description-en: RDF command line utils
 Resource Description Framework (RDF) is a standard model for data
 interchange on the Web.
 .
 perlrdf is a single front-end to various RDF functionality available
 for Perl. It includes commands to create and manipulate quad stores
 (i.e. databases for RDF data); and commands to parse, serialise,
 convert and validate RDF data in various formats.

Package: perltidy
Description-md5: ac1c04dd6c5ca63f121d18e84c2466d3
Description-en: Perl script indenter and reformatter
 Perltidy is a Perl script which indents and reformats Perl
 scripts to make them easier to read.
 .
 The formatting can be controlled with command line parameters.
 The default parameter settings approximately follow the
 suggestions in the Perl Style Guide.
 .
 Perltidy can also generate formatted HTML output from POD, and can
 even generate colored output in HTML for scripts. See examples at
 http://perltidy.sourceforge.net/

Package: perm
Description-md5: 5be90b2a7421a50cedfb5f9482a076fe
Description-en: efficient mapping of short reads with periodic spaced seeds
 PerM is a software package which was designed to perform highly efficient
 genome scale alignments for hundreds of millions of short reads produced by
 the ABI SOLiD and Illumina sequencing platforms. Today PerM is capable of
 providing full sensitivity for alignments within 4 mismatches for 50bp SOLID
 reads and 9 mismatches for 100bp Illumina reads.

Package: persepolis
Description-md5: 9991a3e0bd645807606c8e0a3c17a1d3
Description-en: Graphical download manager based on Aria2
 Persepolis is a graphical user interface on the Aria2 download manager.
 .
 Aria2 is a high speed download utility which is capable of making several
 connections to download files. It will boost the download in some cases,
 specially if you have a limited network connection.
 .
 Persepolis makes the usage of Aria2 easier by providing a graphical
 interface for it and in addition, it includes some extra functionalities
 like scheduled downloads.

Package: persistent-cache-cpp-dev
Description-md5: aaeefa44055667515b30020bbcf17240
Description-en: Cache of key-value pairs with persistent storage for C++ 11
 A persistent cache for arbitrary (possibly large amount of data, such as
 image files) that is fast, scalable, and crash-proof.

Package: persistent-cache-cpp-doc
Description-md5: 9f653f1b6e63af7882ff2aab8f785efb
Description-en: Documentation for persistent-cache-cpp-dev
 Examples and API reference.

Package: peruse
Description-md5: 98dca21b1e9aff8b0000908256c5c132
Description-en: comic book reader
 Peruse was created as a way to make exactly that as easy and pleasant
 as possible, and to simply get out of the way and let you read your
 comic books.  One could say that it allows you to peruse your comic
 books at your leisure, but that would be a silly way of putting it -
 so, peruse your comic books at your leisure!

Package: peruse-common
Description-md5: 083e504193a05110c7b530948f5481c2
Description-en: common files for peruse
 Peruse was created as a way to make exactly that as easy and pleasant
 as possible, and to simply get out of the way and let you read your
 comic books.  One could say that it allows you to peruse your comic
 books at your leisure, but that would be a silly way of putting it -
 so, peruse your comic books at your leisure!
 .
 This package contains architecture-independent peruse data files.

Package: pescetti
Description-md5: 6381c9678409d0d1be2c567f3b3c2b08
Description-en: Bridge Pseudo-duplimate generator
 Generates random bridge hands or hands matching a certain specification with a
 given probability. Produces hand records and dealing sheets to allow
 duplication of the hands without a duplimate machine.
 .
 Provides conversion or import from dds-format and pbn files.

Package: pesign
Description-md5: 87991b05a983a426538311a4a0347cbd
Description-en: Signing utility for UEFI binaries
 This package contains the pesign utility for signing UEFI binaries (PE-COFF
 format) as well as other associated tools. It is meant to follow the PE and
 Authenticode specifications. It is analogous to the tool described at
 http://msdn.microsoft.com/en-us/library/8s9b9yaz%28v=vs.80%29.aspx

Package: petitboot
Description-md5: 280b7da8852eec1982897447dba6cf8f
Description-en: ncurses version of petitboot, a kexec based bootloader
 Petitboot is a platform independent bootloader based on the Linux kexec warm
 reboot mechanism. Petitboot supports loading kernel and initrd image files
 from any mountable Linux device, plus can load image files from the network
 using TFTP, NFS, HTTP, HTTPS, and SCP. Petitboot can boot any operating
 system supported by kexec.

Package: petitboot-twin
Description-md5: d886d16895a18d56ed4783387f3ccb96
Description-en: Twin GUI version of petitboot, a kexec based bootloader
 Petitboot is a platform independent bootloader based on the Linux kexec warm
 reboot mechanism. Petitboot supports loading kernel and initrd image files
 from any mountable Linux device, plus can load image files from the network
 using TFTP, NFS, HTTP, HTTPS, and SCP. Petitboot can boot any operating
 system supported by kexec.

Package: petname
Description-md5: 8139f42c67ecba1d9fe85c7d32a9e454
Description-en: RFC1178 implmentation to generate pronouncable, perhaps even memorable, pet names
 This utility will generate "pet names", consisting of a random
 combination of an adverb, adjective, and an animal name.  These are
 useful for unique hostnames, VMs, or containers, for instance.

Package: petri-foo
Description-md5: 7d9348fc62ea473639cc33ab7ccc79fa
Description-en: MIDI controllable audio sampler - successor of specimen
 A simple but very useful midi controlled sampler for ALSA/JACK featuring:
  * 64 notes of polyphony, spread across up to 64 patches.
  * fast, high quality pitch scaling, linear ADSR volume envelopes.
  * low pass filter with resonance; a variety of direction-independent.
  * playback modes and zoomable sample editor for loop and play points.
 .
 Petri-Foo is a fork of the Specimen Sampler project.

Package: petris
Description-md5: 755b90a1c6cb995f33491236ced71085
Description-en: Peter's Tetris - a Tetris(TM) clone
 Petris supports colours and even features a highscore list.

Package: petsc-dev
Description-md5: 3f2d51ee4293c9884962bdd029114979
Description-en: Virtual package depending on latest PETSc development package
 PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite
 of data structures and routines for the scalable (parallel) solution of
 scientific applications modeled by partial differential equations.  It employs
 the MPI standard for all message-passing communication.  Several sample
 scientific applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This metapackage installs libpetsc-real-dev, which will always depend
 on the most recent version of PETSc with real number support. So if
 you install it then you will get upgrades automatically.

Package: petsc3.12-doc
Description-md5: d0b30eaf1de30b0ef68cbdec97f00def
Description-en: Documentation and examples for PETSc
 PETSc is the "Portable Extensible Toolkit for Scientific
 Computation", a suite of data structures and routines for the
 scalable (parallel) solution of scientific applications modeled by
 partial differential equations.  It employs the MPI standard for all
 message-passing communication.  Several sample scientific
 applications, as well as various papers and talks, demonstrate the
 features of the PETSc libraries.
 .
 This package contains documentation for developing with PETSc 3.12.

Package: pev
Description-md5: 51f1e3fb853b68b5c385aea2394e77e7
Description-en: text-based tool to analyze PE files
 pev is a tool to get information of PE32/PE32+
 executables (EXE, DLL, OCX etc) like  headers,
 sections, resources and more.

Package: pex
Description-md5: 3574a1e80ea92f572d28e5e7845385cf
Description-en: library for generating Python executable zip files
 pex is a library for generating .pex (Python EXecutable) files which
 are executable Python environments in the spirit of virtualenvs.  pex
 is an expansion upon the ideas outlined in PEP 441 and makes the
 deployment of Python applications as simple as cp.  pex files may even
 include multiple platform-specific Python distributions, meaning that
 a single pex file can be portable across Linux and OS X.
 .
 pex files can be built using the pex tool.  Build systems such as
 Pants and Buck also support building .pex files directly.
 .
 This is the command line package.

Package: pexec
Description-md5: 8f7182200bb0a546b2c00fb7cd09e9a0
Description-en: Executing commands in parallel
 The main purpose of pexec is to execute the given command in parallel on
 the local host or on remote hosts, while some of the execution
 parameters, namely the redirected standard input, output or error and
 environmental variables can be varied. The capabilities of the program
 are extended with additional features, such as allowing to define mutual
 exclusions, do atomic command executions and implement higher level
 resource and job control. The maximum number of simultaneous tasks can
 be controlled by a hypervisor daemon: with such a daemon, concurrent
 pexec instances can be launched without an unexpectedly high load.

Package: pfb2t1c2pfb
Description-md5: ccfc0214278f092cd4bdf365392794f6
Description-en: convert pfb into more compressible format and back
 The package contains the two small tools pfb2t1c and t1c2pfb. pfb file
 (PostScript Type1) can be converted into t1c and back without losing
 information. The t1c files have the same size but are more compressible.
 .
 This feature is very useful when packaging PostScript Type1 fonts.

Package: pff-tools
Description-md5: 5a818de45325f5e080916bc6055ed2e5
Description-en: utilities for MS Outlook PAB, PST and OST files
 Libpff is a library to access Personal Folder File (PFF) and Offline Folder
 File (OFF) formats. Those files formats are used by MS Outlook in PAB
 (Personal Address Book), PST (Personal Storage Table) and OST
 (Offline Storage Table) files.
 .
 This package contains tools to exports PAB, PST and OST files.

Package: pflogsumm
Description-md5: fe4b1891c7ec5b6fea14a57ba9ec28e4
Description-en: Postfix log entry summarizer
 pflogsumm is designed to provide an over-view of postfix
 activity, with just enough detail to give the administrator
 a "heads up" for potential trouble spots.

Package: pfm
Description-md5: 7c93b1c796e338e5848ced0cde7ccc36
Description-en: PostgreSQL graphical client using Tcl/Tk
 Postgres Forms (pfm) is a client application with a graphical user
 interface for the PostgreSQL data base server. It enables the user:
 to design forms for adding, modifying or deleting records of data
 base tables;
 .
   - to design links (one to many relationships) from one form
     to another, for navigating in the data base;
 .
   - to design and to generate reports based on the data in a
     table or view;
 .
   - to edit and to execute SQL statements.
 .
 Postgres Forms is implemented in Tcl/Tk, but there is no need for the
 user to program anything in Tcl/Tk. The user only has to use SQL for
 creating tables and views and for designing forms, links and reports.
 .
 Postgres Forms makes no attempt to hide the underlying SQL. On the
 contrary, in most cases, it shows both the SQL statements it sends to
 the PostgreSQL server and the results it gets back.
 .
 The PostgreSQL server can be remote or local.

Package: pfqueue
Description-md5: 4b2dd76b1631ca7de5e24334008b3ade
Description-en: interactive console-based tool to control MTA queues
 pfqueue is a queue manager for different MTAs (currently postfix and exim),
 allowing to delete, hold, release, or requeue messages.

Package: pfqueue-dbg
Description-md5: 1aa05b276a41c6e12b631e8a7dcd1fa4
Description-en: interactive console-based tool to control MTA queues (debug)
 pfqueue is a queue manager for different MTAs (currently postfix and exim),
 allowing to delete, hold, release, or requeue messages.
 .
 This package contains the debugging symbols.

Package: pfsglview
Description-md5: d4cdbf3a6ea3b49b20a2b79466a61948
Description-en: command line HDR manipulation programs (OpenGL/GLUT viewer)
 PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate
 existing file formats by providing a simple data format that can be used to
 exchange data between applications.
 .
 This package contains a viewer implemented in OpenGL/GLUT.

Package: pfstmo
Description-md5: 4355e6f7c5362c117e8861b80c91e40d
Description-en: set of tone mapping operators
 This package contains a set of state-of-the-art tone mapping operators. Tone
 mapping is a technique used to approximately map the appearance of
 high-dynamic range (HDR) images to media with more limited dynamic range. The
 operators are suitable for processing of both static images and animations.
 .
 The operators are embedded in a flexible framework (pfstools) which provides
 a unified input/output mechanism and a modular architecture for the filtering
 of the image data. Various file formats for static images and video are
 transparently supported and the High Dynamic Range data are processed
 modularly through a Unix piping mechanism.

Package: pfstools
Description-md5: ec09e8e4995f763bcb9b3916caf728e9
Description-en: command line HDR manipulation programs
 pfstools is a set of command line (and two GUI) programs for reading,
 writing, manipulating and viewing high-dynamic range (HDR) images and video
 frames. All programs in this package exchange data using a simple generic
 file format (pfs) for HDR data. It is an attempt to integrate existing file
 formats by providing a simple data format that can be used to exchange data
 between applications.
 .
 The concept of pfstools is similar to netpbm for low-dynamic range images.

Package: pfsview
Description-md5: 9bbe0c942e5a402f685231c125067757
Description-en: command line HDR manipulation programs (Qt viewer)
 PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate
 existing file formats by providing a simple data format that can be used to
 exchange data between applications.
 .
 This package contains a viewer implemented in Qt.

Package: pftools
Description-md5: 4e95fd2926a532a35d8b09eb65b029b1
Description-en: build and search protein and DNA generalized profiles
 The pftools package contains all the software necessary to build protein
 and DNA generalized profiles and use them to scan and align sequences,
 and search databases.
 .
 File formats used by the pftools
  * Generalized profiles format and syntax.
  * The multiple sequence alignment format (PSA).
  * The extended header multiple sequence alignment format (XPSA).
 .
 Programs to build generalized profiles
  pfmake
    Build a profile from a multiple sequence alignment.
  pfscale
    Fit parameters of an extreme-value distribution to a profile score list.
  pfw
    Weight sequences of a multiple sequence alignment to correct for
    sampling bias.
 .
 Programs to search with generalized profiles
  pfsearch / pfsearchV3
    Search a protein or DNA sequence library for sequence segments matching
    a profile (V3 is the new version of this tool).
  pfscan
    Scan a protein or DNA sequence with a profile library
 .
 Conversion programs
  psa2msa
    Reformat PSA file to Pearson/Fasta multiple sequence alignment file.
  ptof
    Convert a protein profile into a frame-search profile to search DNA
    sequences. To be used with 2ft.
  2ft
    Converts both strands of DNA into so-called interleaved
    frame-translated DNA sequences to search with protein profiles. To be
    used with ptof.
  6ft
    Translates all six reading frames of a double-stranded DNA sequence
    into individual protein sequences.
  pfgtop
    Convert a profile in GCG format into PROSITE format.
  pfhtop
    Convert a HMMER1 ASCII-formatted HMM into an equivalent PROSITE profile.
  ptoh
    Converts a generalized profile into an approximately equivalent HMM
    profile in HMMER1 format (can be read by the hmmconvert program from
    the HMMER2 package).

Package: pg-activity
Description-md5: 14f45fb2e930ade8542e931db7c4ecc0
Description-en: Realtime PostgreSQL database server monitoring tool
 PgActivity is a curses-based realtime utility to display information from a
 running PostgreSQL database server.
 .
 It is modelled after the standard 'top' utility, and displays information such
 as:
   - the running, waiting and blocking queries
   - cpu, memory and i/o usage of each query
   - the queries execution time
 .
 It also provides interactive commands to sort, filter, scroll and extend the
 queries list displayed.

Package: pg-backup-ctl
Description-md5: 3f48cd7fa1838c1ad305b1fb257abe56
Description-en: backup and recover PostgreSQL using log archiving
 pg_backup_ctl is a tool to simplify the steps needed to make a full
 transaction log archival backup of PostgreSQL clusters to enable
 Point-in-Time Recovery (PITR).

Package: pg-checksums-doc
Description-md5: 34b1beebadbbe2a791aea976a934f437
Description-en: Activate/deactivate/verify PostgreSQL data checksums (Documentation)
 Data checksums allow the PostgreSQL database server to identify I/O failures
 when reading data from storage. The checksums stored in the page header of
 each data page are compared to the computed checksum of the read data.
 .
 Data checksums need to be activated at instance creation time, all current
 versions of PostgreSQL including v11 do not allow activating (or deactivating)
 checksums afterwards.
 .
 pg_checksums can activate or deactivate data checksums as long at the database
 cluster is shutdown cleanly. Activating checksums requires all database blocks
 to be read and all page headers to be updated, so can take a long time on a
 large database. Deactivating checksums only requires the cluster control file
 to be updated so is quick.
 .
 In addition, pg_checksums can verify the checksums in an offline cluster.
 .
 This package contains the manpage.

Package: pg-cloudconfig
Description-md5: 77334becd25d690b027982cf66ae7deb
Description-en: Set optimized defaults for PostgreSQL in virtual environments
 Tool to set optimized defaults for PostgreSQL in virtual environments.
 (changes settings without asking for confirmation).
 This is used to change the static defaults of PostgreSQL with potential
 more useful alternatives calculated based on available resources or previous
 settings.

Package: pg-rage-terminator-12
Description-md5: a438cfbc9073672d7702619e743eb5ee
Description-en: PostgreSQL background worker that kill random sessions.
 pg-rage-terminator is a custom background worker which is able to kill random
 PostgreSQL backends.

Package: pgadmin3
Description-md5: 1edbc965943dabe3ff64844635bb8577
Description-en: graphical administration tool for PostgreSQL
 pgAdmin III is a database design and management application for use with
 PostgreSQL. The application can be used to manage PostgreSQL 7.3 and above
 and has been tested with PostgreSQL 10.
 .
 pgAdmin III is designed to answer the needs of all users, from writing
 simple SQL queries to developing complex databases. The graphical
 interface supports all PostgreSQL features and makes administration
 easy. The application also includes a syntax highlighting SQL editor, a
 server-side code editor, an SQL/batch/shell job scheduling agent,
 support for the Slony-I replication engine and much more. Server
 connection may be made using TCP/IP or Unix Domain Sockets (on *nix
 platforms), and may be SSL encrypted for security. No additional
 drivers are required to communicate with the database server.

Package: pgadmin3-data
Description-md5: 743c869844e7d638d8b83820c98397f5
Description-en: graphical administration tool for PostgreSQL - documentation
 pgAdmin III is a database design and management application for use with
 PostgreSQL.
 .
 This package contains the documentation for pgAdmin III in English language.
 This package is mandatory to get the pgAdmin III help system to run smoothly.

Package: pgagent
Description-md5: aef7af89f4b17e7465fbb2df1a2b8e47
Description-en: job scheduling engine for PostgreSQL
 pgAgent is a job scheduling agent for PostgreSQL, capable of running
 multi-step batch/shell and SQL tasks on complex schedules.

Package: pgbackrest
Description-md5: 5f2d2bfe77ddb4d5cc07e62e055f8983
Description-en: Reliable PostgreSQL Backup & Restore
 pgBackRest is a simple, reliable backup and restore system for PostgreSQL
 that can seamlessly scale up to the largest databases and workloads.
 .
 This package contains all required binaries, scripts and libraries.

Package: pgbackrest-doc
Description-md5: a796065dc61b3cd0ddc47c2e58441e94
Description-en: Documentation package for pgbackrest
 pgBackRest is a simple, reliable backup and restore system for PostgreSQL
 that can seamlessly scale up to the largest databases and workloads.
 .
 The pgBackRest documentation contains detailed information about the
 configuration and usage of pgBackRest.

Package: pgbadger
Description-md5: 7b0d87554f6685b91f6e59b85c33d9df
Description-en: Fast PostgreSQL log analysis report
 pgBadger is a PostgreSQL log analyzer built for speed with fully detailed
 reports from your PostgreSQL log file. It's a single and small Perl script
 that outperforms any other PostgreSQL log analyzer. It is written in pure Perl
 and uses a JavaScript library to draw graphs that are zoomable and can be
 saved as PNG images.
 .
 pgBadger is able to autodetect the log file format (syslog, stderr or csvlog).
 It is designed to parse huge log files as well as compressed files.

Package: pgbouncer
Description-md5: 01a8a881cdb553661c7e49106374895e
Description-en: lightweight connection pooler for PostgreSQL
 PgBouncer is a lightweight connection pooler for PostgreSQL providing the
 following features:
 .
  * Several levels of brutality when rotating connections: session pooling,
    transaction pooling, statement pooling.
  * Low memory requirements.
  * It is not tied to one backend server, the destination databases
    can reside on different hosts.
  * Supports online reconfiguration for most of the settings.
  * Supports online restart/upgrade.

Package: pgcli
Description-md5: 8f650c5ea7c3a1c9a97dcc172a5d2fab
Description-en: CLI for Postgres
 pgcli is a command line interface for PostgreSQL  with
 auto-completion and syntax highlighting. The CLI is also capable of pretty
 printing tabular data.

Package: pgcluu
Description-md5: 475ae114371b6f7c1e08d30922f7d386
Description-en: PostgreSQL performance monitoring and auditing tool
 pgCluu is a PostgreSQL performance monitoring and auditing tool. It is a Perl
 program used to perform a full audit of PostgreSQL cluster and system
 performance. It is divided in three parts:
 .
 pgcluu_collectd: collector used to grab statistics of the PostgreSQL cluster
 using the psql command line utility and sar from the sysstat package
 .
 pgcluu: generates static HTML output containing charts and graphs
 .
 pgcluu.cgi: generates dynamic HTML output containing charts and graphs

Package: pgdbf
Description-md5: 9910aba71dc6b145b4bb2b56888376d0
Description-en: converter of XBase / FoxPro tables to PostgreSQL
 PgDBF converts XBase databases, particularly FoxPro tables with  memo files,
 into a format that PostgreSQL can directly import. It has no dependencies
 other than standard Unix libraries.
 .
 PgDBF is designed to be incredibly fast and as efficient as possible.

Package: pgformatter
Description-md5: 1214317c4e851f4f5f784d53fe04ef1a
Description-en: PostgreSQL SQL syntax beautifier
 This SQL formatter/beautifier supports keywords from SQL-92, SQL-99,
 SQL-2003, SQL-2008, SQL-2011 and PostgreSQL specifics keywords. May
 works with any other databases too.
 .
 pgFormatter can work as a console program or as a CGI. pg_format will
 automatically detect its environment and output as text or as HTML
 following the context.

Package: pgloader
Description-md5: c3276f31c13a9fa328d545c55fb29249
Description-en: extract, transform and load data into PostgreSQL
 pgloader imports data from different kind of sources and COPY it into
 PostgreSQL.
 .
 The command language is described in the manual page and allows one to
 describe where to find the data source, its format, and to describe data
 processing and transformation.
 .
 Supported source formats include CSV, fixed width flat files, dBase3 files
 (DBF), and SQLite and MySQL databases. In most of those formats, pgloader
 is able to auto-discover the schema and create the tables and the indexes
 in PostgreSQL. In the MySQL case it's possible to edit CASTing rules from
 the pgloader command directly.

Package: pgmodeler
Description-md5: 839e2f7e583019af48a1e9f80e72b0b1
Description-en: PostgreSQL database modeler GUI interface
 pgModeler is a GUI for modelling PostgreSQL databases.
 It is easy to use, allowing the creation and modification of
 database models with an intuitive interface.
 .
 This package provides the graphical and command line interfaces.

Package: pgmodeler-common
Description-md5: 25313500840c3bfe261b31dec2279412
Description-en: pgmodeler's binary independent common files
 pgModeler is a GUI for modelling PostgreSQL databases.
 It is easy to use, allowing the creation and modification of
 database models with an intuitive interface.
 .
 This package provides the binary independent common files for pgmodeler.

Package: pgn-extract
Description-md5: e1696e26fceb8278b1d3731b631441dd
Description-en: Portable Game Notation (PGN) extractor
 Pgn-extract is a program to extract selected games from a collection of
 chess games in PGN format. There are several ways to specify the criteria
 on which to extract: textual move sequences, the position reached after a
 sequence of moves, information in the tag fields, and material balance in
 the ending.

Package: pgn2web
Description-md5: 9f82196dc2cb7e5af4a3cc519cb4f391
Description-en: convert PGN chess game files into webpages
 conversion tool to generate webpages from chess "portable game notation"
 PGN files. The webpages allow the replaying of chess games within a web
 browser. It has a commandline client and a gtk graphical user interface
 for convenience. The html output is highly customisable, it is using
 javascript and the webpages are standards compliant and working with
 all major browsers.

Package: pgpdump
Description-md5: 39148b4f72637f69982d6426857cf7e9
Description-en: PGP packet visualizer
 pgpdump displays the sequence of OpenPGP or PGP version 2 packets from
 a file.
 .
 The output of this command is similar to the one of GnuPG's `list
 packets' command, however, pgpdump produces a more detailed and easier
 to understand.

Package: pgpgpg
Description-md5: dc210e097fc480a3fce595396db4f958
Description-en: Wrapper for using GnuPG in programs designed for PGP
 Pgpgpg is a wrapper around Gnu Privacy Guard which takes PGP 2.6
 command line options, translate them and then call GnuPG (Gnu Privacy
 Guard) to perform the desired action.

Package: pgpool2
Description-md5: db1da7136cd7647f1ce4a0a590fc0046
Description-en: connection pool server and replication proxy for PostgreSQL
 pgpool-II is a middleware that works between PostgreSQL servers and a
 PostgreSQL database client. It provides the following features:
 .
  * Connection Pooling
  * Replication
  * Load Balance
  * Limiting Exceeding Connections
  * Parallel Query
 .
 pgpool-II talks PostgreSQL's backend and frontend protocol, and relays a
 connection between them. Therefore, a database application (frontend) thinks
 that pgpool-II is the actual PostgreSQL server, and the server (backend) sees
 pgpool-II as one of its clients. Because pgpool-II is transparent to both the
 server and the client, an existing database application can be used with
 pgpool-II almost without a change to its sources.
 .
 This is version 3 of pgpool-II, the second generation of pgpool.

Package: pgqd
Description-md5: 84f5c20928790b58bdde69e97e9b84ec
Description-en: Queue maintenance daemon for PgQ
 PgQ provides generic queues for PostgreSQL. It allows multiple queues in one
 database, each queue can be consumed by multiple consumers.
 .
 This package contains the queue maintenance daemon pgdq.

Package: pgreplay
Description-md5: 07e5d94ae8adeb7a60dc70723a60ce62
Description-en: replay PostgreSQL log files
 Reads a PostgreSQL log file (*not* a WAL file), extracts the SQL statements and
 executes them in the same order and with the original timing against a
 PostgreSQL database.
 .
 If the execution of statements gets behind schedule, warning messages are
 issued that indicate that the server cannot handle the load in a timely
 fashion.
 .
 A final report gives you a useful statistical analysis of your workload and its
 execution.
 .
 The idea is to replay a real-world database workload as exactly as possible.
 .
 This is useful for performance tests, particularly in the following situations:
 - You want to compare the performance of your PostgreSQL application on
   different hardware or different operating systems.
 - You want to upgrade your database and want to make sure that the new database
   version does not suffer from performance regressions that affect you.

Package: pgstat
Description-md5: 5e531455b6de51a07c0e944602e62b54
Description-en: Collects PostgreSQL statistics the same way as a vmstat tool
 pgstat is a client utility to gather statistical information from a PostgreSQL
 instance in real time, and acts like vmstat or sar.
 .
 Supported statistics include archiver, bgwriter, connections, databases,
 tables, tables I/O, indexes, functions, archives wals, temporary files,
 and pgBouncer.

Package: pgtap
Description-md5: 50170ec311f4050d5b482f11ba024adb
Description-en: Unit testing framework for PostgreSQL - metapackage
 pgTAP is a suite of database functions that make it easy to write
 TAP-emitting unit tests in psql scripts suitable for harvesting,
 analysis, and reporting by a TAP harness, such as those used in Perl
 and PHP applications.
 .
 TAP is the Test Anything Protocol (http://testanything.org/).
 .
 This metapackage depends on the PostgreSQL extension and the package
 providing pg_prove.

Package: pgtap-doc
Description-md5: 113d752c356863b6698597eb4834a3c2
Description-en: Unit testing framework for PostgreSQL - documentation
 pgTAP is a suite of database functions that make it easy to write
 TAP-emitting unit tests in psql scripts suitable for harvesting,
 analysis, and reporting by a TAP harness, such as those used in Perl
 and PHP applications.
 .
 TAP is the Test Anything Protocol (http://testanything.org/).
 .
 This package contains the documentation for pgTAP.

Package: pgtop
Description-md5: ba091052deee8842ca9a58796ef92f19
Description-en: PostgreSQL performance monitoring tool akin to top
 pg_top is a console-based tool for monitoring a PostgreSQL database.
 .
 With pg_top you can:
   * View currently running SQL statement of a process
   * View query plan of a currently running SELECT statement
   * View locks held by a process
   * View user table statistics
   * View user index statistics

Package: pgxnclient
Description-md5: e5c40e3bfd00006cf338db68d6f26b0f
Description-en: command line client for the PostgreSQL Extension Network
 The PGXN Client is a command line tool designed to interact with the
 PostgreSQL Extension Network at https://pgxn.org/ allowing searching,
 compiling, installing, and removing extensions in PostgreSQL databases.

Package: phalanx
Description-md5: 909ed67cb7e8de223d222acf2e98619e
Description-en: Chess playing program
 Phalanx is a simple chess playing program of conventional design.
 It is xboard compatible.  The main aim is to write a slow thinker
 with a lot of chess specific knowledge.  Current version plays
 risky, active chess and shows quite good tactical performance.

Package: phamm
Description-md5: 2b7d8ab194c3e24dfa08eb909eb43573
Description-en: PHP front-end to manage virtual services on LDAP - main package
 Phamm is a useful PHP front-end providing role-based administrative
 access to virtual services using an LDAP back-end.
 .
 If you are looking for a Postfix LDAP Front-end Phamm could be a good solution.
 Other MTA's can be used like qmail-ldap, Courier, Exim and Dovecot.
 .
 Phamm works with a plug-in system: the typical service is a mail
 server with virtual mail domain support, but plug-ins are also
 available for FTP, web proxying, and so on. The use of XML descriptor
 files simplifies creating new plugins or customizing existing ones.

Package: phamm-ldap
Description-md5: edc54d226ed8b0801bf9d4bc408cd32e
Description-en: PHP front-end to manage virtual services on LDAP - back-end files
 Phamm is a useful PHP front-end providing role-based administrative
 access to virtual services using an LDAP back-end.
 .
 This package provides Phamm's own LDAP schema file and custom ACL.

Package: phamm-ldap-amavis
Description-md5: fdc72984b33fc17d465f42a797170311
Description-en: PHP front-end to manage virtual services on LDAP - back-end files
 Phamm is a useful PHP front-end providing role-based administrative
 access to virtual services using an LDAP back-end.
 .
 This package provides Amavis LDAP schema file.

Package: phamm-ldap-vacation
Description-md5: 3c9f613cdd8721b3d7eff149583425b3
Description-en: PHP front-end to manage virtual services on LDAP - back-end files
 Phamm is a useful PHP front-end providing role-based administrative
 access to virtual services using an LDAP back-end.
 .
 This package provides Phamm's vacation LDAP schema file.

Package: phantomjs
Description-md5: 5809ddf66b735c46f187f892740cd9bb
Description-en: minimalistic headless WebKit-based browser with JavaScript API
 PhantomJS is a headless WebKit with JavaScript API. It has fast and native
 support for various web standards: DOM handling, CSS selector, JSON, Canvas,
 and SVG.
 .
 PhantomJS is an optimal solution for headless testing of web-based
 applications, site scraping, pages capture, SVG renderer, PDF converter
 and many other use cases.

Package: phasex
Description-md5: 285b22a2d0261da5e19d2495f0928d84
Description-en: Phase Harmonic Advanced Synthesis EXperiment
 PHASEX is an experimental JACK audio / ALSA MIDI softsynth for Linux
 with a synth engine built around flexible phase modulation and
 flexible oscillator/LFO sourcing.
 .
 Modulations include AM, FM, offset PM, and wave select.
 PHASEX comes equipped with a 12db/octave filter with two distortion
 curves, a stereo crossover delay and chorus with phaser, ADSR envelopes
 for amplifier and filter, realtime audio input processing capabilities,
 velocity/aftertouch sensitivity, and more.

Package: phast
Description-md5: 5260b2251e211c62f521fa0303e12a8d
Description-en: phylogenetic analysis with space/time models
 PHAST is a software package for comparative and evolutionary genomics.
 It consists of about half a dozen major programs, plus more than a dozen
 utilities for manipulating sequence alignments, phylogenetic trees, and
 genomic annotations. For the most part, PHAST focuses on two kinds of
 applications: the identification of novel functional elements, including
 protein-coding exons and evolutionarily conserved sequences; and
 statistical phylogenetic modeling, including estimation of model
 parameters, detection of signatures of selection, and reconstruction of
 ancestral sequences.
 .
 PHAST does not support phylogeny reconstruction or sequence alignment,
 and it is designed for use with DNA sequences only (see Comparison).

Package: phat-utils
Description-md5: d2846c89c9907b0e511c3b02cb608d77
Description-en: Utilities from the PHAT library
 PHAT is a header-only C++ library for performing the filtered Z/2Z
 (co)boundary matrix operations commonly needed when computing
 (persistent) (co)homology in topological data analysis.
 .
 This package contains some standalone utilities built using the PHAT
 library, such as persistent homology of a given filtered boundary
 matrix.

Package: phing
Description-md5: 8fde61d1a5a2926bded6dfcd51471e04
Description-en: PHP5 project build system based on Apache Ant
 PHing Is Not GNU make; it's a project build system based on Apache Ant.
 You can do anything with it that you could do with a traditional build system
 like GNU make, and its use of
 simple XML build files and extensible PHP "task" classes make it an
 easy-to-use and highly flexible build framework.
 Features include file transformations (e.g. token replacement, XSLT
 transformation, Smarty template transformations,
 etc.), file system operations, interactive build support, SQL execution, and
 much more.
 .
 Phing provides the following features:
  * Simple XML buildfiles
  * Rich set of provided tasks
  * Easily extendable via PHP classes

Package: phipack
Description-md5: e153aba60d07145154853c706e973d36
Description-en: PHI test and other tests of recombination
 The PhiPack software package implements a few tests for recombination
 and can produce refined incompatibility matrices as well. Specifically,
 PHIPack implements the 'Pairwise Homoplasy Index', Maximum Chi2 and the
 'Neighbour Similarity Score'. The program Phi can be run to produce a
 p-value of recombination within a data set and the program profile can
 be run to determine regions exhibiting strongest evidence mosaicism.

Package: phlipple
Description-md5: 652dfd0252c0729033792245e7b85d8b
Description-en: reduce 3D shapes to a single square
 Phlipple is a unique puzzle game. The goal of every level is to reduce
 a 3D shape to a single square. Elimination of squares is done by flipping
 edges around just like in a cardboard box. It starts off relatively easy
 to teach the basics just to later on serve hours of brain tickling fun.
 It's a great way to train memory as well as orientation in 3D.

Package: phlipple-data
Description-md5: aa54e3cbed089c042c20c52f197dbbbf
Description-en: reduce 3D shapes to a single square - game data
 Phlipple is a unique puzzle game. The goal of every level is to reduce
 a 3D shape to a single square. Elimination of squares is done by flipping
 edges around just like in a cardboard box. It starts off relatively easy
 to teach the basics just to later on serve hours of brain tickling fun.
 It's a great way to train memory as well as orientation in 3D.
 .
 This package contains the game data.

Package: phnxdeco
Description-md5: e51838b203c719d6d6d957f11a952780
Description-en: Decompress flashfiles equipped with a PHOENIX BIOS
 Phnxdeco is a program which can decompress BIOS images which
 contain a PHOENIX BIOS.

Package: phonon-backend-gstreamer-common
Description-md5: c808c90a526e327c013885603fb0224c
Description-en: Phonon GStreamer 1.0.x backend icons
 This package contains GStreamer 1.0.x backend for Phonon multimedia
 framework. It transparently adapts and reroutes all requests from Phonon
 applications to the GStreamer framework which in turn performs requested
 audio/video decoding/capture tasks.
 .
 You should install gstreamer1.0-plugins-good to get support for playing
 popular free multimedia formats and gstreamer1.0-plugins-ugly to get support
 for popular MPEG audio formats like MP3.
 .
 This package contains icons used by Phonon and Phonon4Qt5 backends.

Package: phonon4qt5
Description-md5: 6ec618e47bd546b2174eb47e9eaa7ccf
Description-en: multimedia framework from KDE using Qt 5 - metapackage
 Phonon Qt 5 is a multimedia API, which provides a task-oriented abstraction
 layer for capturing, mixing, processing, and playing audio and video content.
 .
 This metapackage ensures that the system has a working Phonon configuration
 installed (the core library and at least one back-end).

Package: phonon4qt5-backend-gstreamer
Description-md5: be7875e4b4dcdedfc7696a12607ba5ed
Description-en: Phonon Qt5 GStreamer 1.0 backend
 This package contains Qt5 GStreamer 1.0 backend for Phonon multimedia
 framework. It transparently adapts and reroutes all requests from Phonon
 applications to the GStreamer framework which in turn performs requested
 audio/video decoding/capture tasks.
 .
 You should install gstreamer1.0-plugins-good to get support for playing
 popular free multimedia formats and gstreamer1.0-plugins-ugly to get support
 for popular MPEG audio formats like MP3.

Package: phonon4qt5-backend-null
Description-md5: 7347ddf30e5c793e684530bd2624a139
Description-en: multimedia framework from KDE using Qt 5 - null back-end (no real back-end)
 Phonon is a Qt 5 multimedia API, which provides a task-oriented abstraction
 layer for capturing, mixing, processing, and playing audio and video content.
 .
 This package does not provide any real Phonon back-end. It can be used to
 disable Phonon audio/video capabilities. Please note that Phonon behavior
 with this package installed has not been well tested.

Package: phonon4qt5-backend-vlc
Description-md5: ca1c137ed7fcd89c908f6bc48e4e52c4
Description-en: Phonon4Qt5 VLC backend
 Phonon is the KF5 multimedia API, which provides a task-oriented
 abstraction layer for capturing, mixing, processing, and playing audio
 and video content.
 .
 This package contains VLC backend for Phonon4Qt5.

Package: phonon4qt5settings
Description-md5: 5f6175bcc8a69ed61e6a4c6482a881c4
Description-en: multimedia framework from KDE using Qt 5 - settings application
 Phonon is a Qt 5 multimedia API, which provides a task-oriented abstraction
 layer for capturing, mixing, processing, and playing audio and video content.
 .
 This package contains a graphical configuration for the Phonon cross-platform
 multimedia framework.

Package: photocollage
Description-md5: 9e090a8357980830146f5676afa3857e
Description-en: Graphical tool to make photo collage posters
 PhotoCollage allows you to create photo collage posters. It assembles
 the input photographs it is given to generate a big poster. Photos are
 automatically arranged to fill the whole poster, then you can change the
 final layout, dimensions, border or swap photos in the generated grid.
 Eventually the final poster image can be saved in any size.

Package: photofilmstrip
Description-md5: 61122e96a1bf4ad9e146758e4b4b8305
Description-en: Slideshow creator with Ken Burns effect
 This creates movies out of your pictures in just three steps.
 First select your photos, customize the motion path and render the
 video. There are several output possibilities for VCD, SVCD, DVD up to
 FULL-HD and even 4k.
 .
 The effect of the slideshow is known as "Ken Burns". Comments of the
 pictures are generated into a subtitle file. Furthermore audio files
 can be specified to setup the background music for the slide show.

Package: photoflare
Description-md5: f6fb96f110bfff6cca02b05147240d42
Description-en: Simple but powerful Image Editor
 PhotoFlare is an effort to bring quick, simple but powerful image editing to
 the masses. PhotoFlare is inspired by the image editor PhotoFiltre which is
 available only on another OS. PhotoFlare is designed for beginner users with
 the aim to give them a tool easy to learn and use.

Package: photopc
Description-md5: f471c77ace4333ea723eb02c03320c88
Description-en: Interface to digital still cameras
 This is a library and a command-line frontend to manipulate digital
 still cameras based on Fujitsu chipset and Sierra Imaging firmware. The
 program is known to work with Agfa, Epson and Olympus cameras. Should
 also work with Sanyo, but this is untested.

Package: phototonic
Description-md5: 5ec2d60863bffbedc68a91351145d0ca
Description-en: image viewer and organizer
 Phototonic is an image viewer and organizer for Linux written in C++ and Qt5.
 .
 Features:
   - Light weight with a smooth and clear user interface
   - Does not depend on any desktop environment
   - Supports several customized thumbnail layouts
   - Load thumbnails and browse images recursively on a folder tree
   - Dynamic thumbnails loading, enables fast browsing of very large folders
   - Filter thumbnails by name
   - Image navigation and file management
   - Slide show
   - Transformation: rotation, flipping, cropping, image mirroring and scaling
   - Adjust image brightness, saturation, hue and contrast
   - Keep transformations, zoom and colors while browsing multiple images
   - Extensive automatic and manual zoom options
   - Supported image formats: BMP, GIF, ICO, JPEG, MNG, PBM, PGM, PNG, PPM,
       TGA, XBM, XPM and SVG, SVGZ, TIFF with plugins
   - Supports GIF animations
   - Keyboard shortcuts and mouse behavior customization
   - Load image files or folders from command line
   - Open images with external applications

Package: php-amqp
Description-md5: 6483326e65870ebb51d95c2121230fb2
Description-en: AMQP extension for PHP
 This extension can communicate with any AMQP spec 0-9-1 compatible
 server, such as RabbitMQ, OpenAMQP and Qpid, giving you the ability
 to create and delete exchanges and queues, as well as publish to any
 exchange and consume from any queue.

Package: php-amqplib
Description-md5: ce058f52fdb9ebbcb3c2fbf7db3b454a
Description-en: pure PHP implementation of the AMQP protocol
 Formerly videlalvaro/php-amqplib. This library is a pure PHP implementation of
 the AMQP protocol. It's been tested against RabbitMQ.

Package: php-apcu
Description-md5: 0d7462c8f566c71b65f0d4bcf7806445
Description-en: APC User Cache for PHP
 The APCu is userland caching: APC (Alternative PHP Cache) stripped of
 opcode caching after the deployment of Zend OpCache in PHP 5.5 as the
 primary solution to opcode caching in future versions of PHP.
 .
 The APCu is a fast solution for userland caching (and dumping) of PHP
 variables locally, it is not distributed like MemcacheD, but they can
 be used together for optimal caching.

Package: php-apcu-bc
Description-md5: 880f9399c081ecb4f1a015bfb8defa93
Description-en: APCu Backwards Compatibility Module
 This module provides a backwards APC compatible API using APCu.

Package: php-ast
Description-md5: 89cc81ee7d797c1bec508656b8b3e63c
Description-en: AST extension for PHP 7
 This extension exposes the abstract syntax tree generated by PHP 7.

Package: php-auth-sasl
Description-md5: 4159804010c751d662c839daafc4f730
Description-en: Abstraction of various SASL mechanism responses
 Provides code to generate responses to common SASL mechanisms, including
 Digest-MD5, CramMD5, Plain, Anonymous and Login (Pseudo mechanism).

Package: php-bcmath
Description-md5: 0ee8ca4762871418ec03da8b9c9df916
Description-en: Bcmath module for PHP [default]
 This package provides a Bcmath module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-bz2
Description-md5: 9a95a48d2757dd32c77be3e17524b4f3
Description-en: bzip2 module for PHP [default]
 This package provides a bzip2 module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-cache-integration-tests
Description-md5: e3d86bcfadeb640ecf4bd5ec5c7ef253
Description-en: Integration tests for PSR-6 and PSR-16 cache implementations
 This integration test suite should be used to make sure that an
 implementation of PSR-6 or PSR-16 is correct.

Package: php-cache-lite
Description-md5: 5c40f3008b54a1817fdbef5735fb2c83
Description-en: Fast and Safe little cache system
 This package is a little cache system optimized for file containers. It is
 fast and safe (because it
 uses file locking and/or anti-corruption tests).

Package: php-cache-tag-interop
Description-md5: d03c1a570fedd879124a8c1ceaf47110
Description-en: Framework interoperable interfaces for tags
 This package contain two interfaces for tagging. These interfaces are intended
 to make their way into PHP Fig. Representatives from Symfony, PHP-cache and
 Drupal have worked together to agree on these interfaces.

Package: php-cas
Description-md5: 5804581c0f38de967a25ba754c3c3089
Description-en: Central Authentication Service client library in php
 phpCAS is an authentication library that allows PHP applications to easily
 authenticate users via a Central Authentication Service (CAS) server.
 .
 Please see the phpCAS website for more information:
 .
 https://wiki.jasig.org/display/CASC/phpCAS

Package: php-cboden-ratchet
Description-md5: 9dfc72dc863271d588605b54e5ebf131
Description-en: PHP WebSocket library
 Ratchet is a PHP library for asynchronously serving WebSockets.  Build up
 your application through simple interfaces and re-use your application
 without changing any of its code just by combining different components.

Package: php-cocur-slugify
Description-md5: f762b2d1ea47d4d7192fe0017be46a79
Description-en: Converts a string to a slug for PHP
 This library converts a string to a slug. It includes integrations for
 Symfony (2 and 3), Silex (1 and 2), Laravel, Twig (1 and 2),
 Zend Framework 2, Nette Framework, Latte and Plum.
 .
 Features:
  * Removes all special characters from a string.
  * Provides custom replacements for Arabic, Austrian, Azerbaijani,
    Brazilian Portuguese, Bulgarian, Burmese, Chinese, Croatian, Czech,
    Esperanto, Estonian, Finnish, French, Georgian, German, Greek,
    Hindi, Hungarian, Italian, Latvian, Lithuanian, Macedonian,
    Norwegian, Polish, Romanian, Russian, Serbian, Spanish, Swedish,
    Turkish, Ukrainian and Vietnamese special characters. Instead of
    removing these characters, Slugify approximates them (e.g., ae
    replaces ä).
  * No external dependencies.
  * PSR-4 compatible.
  * Compatible with PHP >= 5.5.9 and PHP 7.

Package: php-codecoverage
Description-md5: c2e74528d6668ec149f102a2671fafd7
Description-en: collection, processing, and rendering for code coverage
 Library that provides collection, processing, and rendering functionality for
 PHP code coverage information
 .
 This package is part of PHPUnit, a unit testing suite for the PHP language,
 modelled on the xUnit testing framework, designed by Kent Beck and Erich
 Gamma.

Package: php-codesniffer
Description-md5: d2f4e8ca2ac266389e17ef558170507b
Description-en: PHP, CSS and JavaScript coding standard analyzer and checker
 PHP_CodeSniffer is a set of two PHP scripts; the main phpcs script that
 tokenizes PHP, JavaScript and CSS files to detect violations of a defined
 coding standard, and a second phpcbf script to automatically correct coding
 standard violations. PHP_CodeSniffer is an essential development tool that
 ensures your code remains clean and consistent.

Package: php-composer-ca-bundle
Description-md5: cde7b4ac1f54331d6513e4f57dff6656
Description-en: utility library to find a path to the system CA bundle
 The ca-bundle library has been split away from Composer.
 .
 Composer helps you declare, manage and install dependencies of PHP projects,
 ensuring you have the right stack everywhere.

Package: php-composer-semver
Description-md5: 75b55ddf1d8313fb85c802337675a2db
Description-en: utilities, version constraint parsing and validation
 The semver (Semantic Versioning) library has been split away from Composer.
 .
 Composer helps you declare, manage and install dependencies of PHP projects,
 ensuring you have the right stack everywhere.

Package: php-composer-spdx-licenses
Description-md5: 30ac3ba3dbf08a6f0b30ddc034e624bb
Description-en: SPDX licenses list and validation library
 This library has been split away from Composer.
 .
 Composer helps you declare, manage and install dependencies of PHP projects,
 ensuring you have the right stack everywhere.

Package: php-composer-xdebug-handler
Description-md5: 6f9701880715df9064d64718bde468b4
Description-en: Restarts a process without Xdebug
 This library has been split away from Composer. It’s used to restart a CLI
 process without loading the xdebug extension.
 .
 Composer helps you declare, manage and install dependencies of PHP projects,
 ensuring you have the right stack everywhere.

Package: php-console-commandline
Description-md5: e38d7381d16c8ac07663a29ab3ebadc0
Description-en: A full featured command line options and arguments parser
 Console_CommandLine is a full featured package for managing command-line
 options and arguments highly inspired from python optparse module, it allows
 the developer to easily build complex command line interfaces.
 .
 Main features:
  * handles sub commands (ie. $ myscript.php -q subcommand -f file),
  * can be completely built from an xml definition file,
  * generate --help and --version options automatically,
  * can be completely customized,
  * builtin support for i18n,
  * and much more...

Package: php-console-table
Description-md5: 78abe2c75b4bb0e1c23699b3d15308be
Description-en: Library that makes it easy to build console style tables
 Provides a Console_Table class with methods such as addRow(), insertRow(),
 addCol() etc. to build console tables with or without headers and with user
 defined table rules, padding, and alignment.

Package: php-constant-time
Description-md5: 45c2eee4707dc8558a8295510b67794f
Description-en: Constant-time Implementations of RFC 4648 Encoding (Base-64, Base-32, Base-16)
 This library aims to offer character encoding functions that do not leak
 information about what you are encoding/decoding via processor cache misses.

Package: php-date
Description-md5: 48453dd8fb24e286087d3edce00e2700
Description-en: Generic date/time handling class for PEAR
 These are generic classes for representation and manipulation of
 dates, times and time zones without the need of timestamps, which is
 a huge limitation for PHP programs. They include time zone data, time
 zone conversions and many date/time conversions.

Package: php-db
Description-md5: 760c4089d6ab5cabfc173e223442a016
Description-en: Database Abstraction Layer
 DB is a database abstraction layer providing:
  * an OO-style query API
  * portability features that make programs written for one DBMS work with
 other DBMS's
  * a DSN (data source name) format for specifying database servers
  * prepare/execute (bind) emulation for databases that don't support it
 natively
  * a result object for each query response
  * portable error codes
  * sequence emulation
  * sequential and non-sequential row fetching as well as bulk fetching
  * formats fetched rows as associative arrays, ordered arrays or objects
  * row limit support
  * transactions support
  * table information interface
  * DocBook and phpDocumentor API documentation
 .
 DB layers itself on top of PHP's existing
 database extensions.
 .
 Drivers for the following extensions pass
 the complete test suite and provide
 interchangeability when all of DB's
 portability options are enabled:
 .
 fbsql, ibase, informix, msql, mssql,
 mysql, mysqli, oci8, odbc, pgsql,
 sqlite and sybase.
 .
 There is also a driver for the dbase
 extension, but it can't be used
 interchangeably because dbase doesn't
 support many standard DBMS features.
 .
 DB is compatible with PHP 5 and PHP 7.

Package: php-db-dataobject
Description-md5: e10cb3b24b36b7b7b719cbdf799447ba
Description-en: PHP PEAR module for object based SQL query building
 DataObject builds SQL statements based on the objects vars and builder
 methods and also acts as a datastore for a table row.  The core class
 is designed to be extended for each of your tables so that you put the
 data logic inside the data classes.
 .
 A generator to create configuration files and base classes is included.

Package: php-deepcopy
Description-md5: c59517e8fd726dc0340daf747fc68b14
Description-en: create deep copies (clones) of objects
 DeepCopy helps you create deep copies (clones) of objects.
 It is designed to handle cycles in the association graph.

Package: php-defuse-php-encryption
Description-md5: 7c3ac07aed63f467b0e1906c0304f48e
Description-en: Simple Encryption in PHP
 This is a library for encrypting data with a key or password in PHP.
 .
 What separates this library from other PHP encryption libraries is,
 firstly, that it is secure. The authors used to encounter insecure PHP
 encryption code on a daily basis, so they created this library to bring
 more security to the ecosystem. Secondly, this library is "difficult to
 misuse." Like libsodium, its API is designed to be easy to use in a
 secure way and hard to use in an insecure way.

Package: php-dflydev-fig-cookies
Description-md5: 4aab841e24109d51bf623eed61d05c1f
Description-en: FIG Cookies for PHP
 Managing Cookies for PSR-7 Requests and Responses.
 .
 FIG Cookies tackles two problems, managing Cookie Request headers and
 managing Set-Cookie Response headers. It does this by way of
 introducing a Cookies class to manage collections of Cookie instances
 and a SetCookies class to manage collections of SetCookie instances.

Package: php-directory-scanner
Description-md5: 0f86bc9889a49248af32d67e428939da
Description-en: recursive directory scanner and filter
 A recursive directory scanner and filter.

Package: php-doctrine-annotations
Description-md5: 4ab7a88038c1309b25020ba359c66ca3
Description-en: Docblock Annotations Parser - Doctrine component
 This package provides a docblock annotations parser library.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-bundle
Description-md5: 080d2f4b9eff7934a5def008148de100
Description-en: bundle library - Doctrine component
 Symfony DoctrineBundle
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-cache
Description-md5: 093a0ca9e0268de496968a0991d805c8
Description-en: cache library - Doctrine component
 PHP Doctrine Cache library is a popular cache implementation that supports
 many different drivers such as redis, memcache, apc, mongodb and others.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-collections
Description-md5: b8430bccc184e887aae4eb9cb8e35ca7
Description-en: Collections Abstraction library - Doctrine component
 PHP Doctrine Collections library that adds additional functionality on top of
 PHP arrays.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-common
Description-md5: d93cae506bf7eace5a993c21e8fd7523
Description-en: common extensions for Doctrine
 PHP Doctrine Common project is a library that provides additional
 functionality that other Doctrine projects depend on such as better reflection
 support, persistence interfaces, proxies, event system and much more.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-data-fixtures
Description-md5: 452e39d45adbed2bf8d188a5a8b68a32
Description-en: Data Fixtures for all Doctrine Object Managers
 This extension aims to provide a simple way to manage and execute the
 loading of data fixtures for the Doctrine ORM or ODM.

Package: php-doctrine-dbal
Description-md5: db46ad479a0b354caf76abc8b8af4552
Description-en: database abstraction layer for Doctrine
 Powerful PHP database abstraction layer (DBAL) with many features for database
 schema introspection and management.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-event-manager
Description-md5: f9976f0b53b3a6aa668aacf1562ab64b
Description-en: Doctrine Event Manager component
 The Doctrine Event Manager is a simple PHP event system that was built to be
 used with the various Doctrine projects.

Package: php-doctrine-inflector
Description-md5: 65ef16f1a99d34a87d225fda2371e46f
Description-en: string manipulations library - Doctrine component
 Common String Manipulations with regard to casing and singular/plural rules.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-instantiator
Description-md5: c4c24e3a44d371f0dc7a0c7f55bbbd11
Description-en: lightweight utility to instantiate objects in PHP
 Doctrine Instantiator provides a simple API to build objects without directly
 relying on the serialization hack that has been explicitly used by all other
 data mappers for quite some time.

Package: php-doctrine-lexer
Description-md5: 6f16993ebb708413932b368e85ec030a
Description-en: base lexer library - Doctrine component
 PHP Doctrine Lexer parser library that can be used in Top-Down, Recursive
 Descent Parsers.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-orm
Description-md5: f86c3f18b698ceab7cbfc164afb58627
Description-en: tool for object-relational mapping
 Object-Relational-Mapper for PHP.
 Doctrine 2 is an object-relational mapper (ORM) for PHP that provides
 transparent persistence for PHP objects. It sits on top of a powerful
 database abstraction layer (DBAL). One of its key features is the
 option to write database queries in a proprietary object oriented SQL
 dialect called Doctrine Query Language (DQL), inspired by Hibernates
 HQL. This provides developers with a powerful alternative to SQL that
 maintains flexibility without requiring unnecessary code duplication.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-persistence
Description-md5: 2fb3d215040c8b166607fb0b227f26d6
Description-en: Doctrine Persistence abstractions
 The Doctrine Persistence project is a set of shared interfaces and
 functionality that the different Doctrine object mappers share.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.

Package: php-doctrine-reflection
Description-md5: c455016be4abda95f9ac595dbcf7c30a
Description-en: Doctrine Reflection component
 The Doctrine Reflection project is a simple library used by the various
 Doctrine projects which adds some additional functionality on top of the
 reflection functionality that comes with PHP. It allows you to get the
 reflection information about classes, methods and properties statically.

Package: php-dompdf
Description-md5: 6821839ce3055066660c3e648448f666
Description-en: HTML to PDF converter
 DOMPDF is a CSS 2.1 compliant HTML to PDF converter. It:
  * handles most CSS 2.1 and a few CSS3 properties, including @import,
    @media & @page rules
  * supports most presentational HTML 4.0 attributes
  * supports external stylesheets, either local or through HTTP/FTP
    (via fopen-wrappers)
  * supports complex tables, including row and column spans, separate
    and collapsed border models, individual cell styling
  * supports images: GIF, PNG (8-, 24- and 32-bit with alpha channel),
    BMP, and JPEG
  * supports inline PHP

Package: php-ds
Description-md5: b49ce0350694b51e44aaa90412ffcd8b
Description-en: PHP extension providing efficient data structures for PHP 7
 An PHP extension providing specialized data structures as efficient
 alternatives to the PHP array.

Package: php-easyrdf
Description-md5: 892c9f562c25c477bda53c6cfbdfecb3
Description-en: PHP library to consume and produce RDF
 EasyRdf is a PHP library to consume and produce RDF.
 Resource Description Framework, RDF, is an official W3C Recommendation
 for Semantic Web data models.
 .
 After parsing EasyRdf builds up a graph of PHP objects that can then be
 walked around to get the data to be placed on the page.
 Dump methods are available to inspect what data is available during
 development.
 .
 Data is typically loaded into a EasyRdf_Graph object from source RDF
 documents, loaded from the web via HTTP.
 The EasyRdf_GraphStore class can load and save data on a SPARQL 1.1
 Graph Store.
 .
 SPARQL queries can be made over HTTP to a Triplestore using the
 EasyRdf_Sparql_Client class.
 SELECT and ASK queries will return an EasyRdf_Sparql_Result object and
 CONSTRUCT and DESCRIBE queries will return an EasyRdf_Graph object.

Package: php-email-validator
Description-md5: 53ac310b7e36356490329535691c2ce8
Description-en: A library for validating emails against several RFCs
 EmailValidator can be used for strict (RFC compliant) email validation.

Package: php-embed
Description-md5: a56fc833e84e2177976696ab0e07f236
Description-en: Get info from any web service or page
 PHP library to get information from any web page (using oembed,
 opengraph, twitter-cards, scrapping the html, etc). It's compatible
 with any web service (youtube, vimeo, flickr, instagram, etc) and
 has adapters to some sites like (archive.org, github, facebook, etc).

Package: php-enchant
Description-md5: b849fcb9f04d0ded18ba69f91a60541a
Description-en: Enchant module for PHP [default]
 This package provides a Enchant module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-evenement
Description-md5: 504095c4bab7b3b7f555ca761c08e54a
Description-en: Very simple event dispatching library for PHP
 Événement is an event dispatching library for PHP, sharing the same
 design goals as Silex and Pimple of being concise and simple. It is
 also strongly inspired by the EventEmitter API in Node.js.

Package: php-excimer
Description-md5: a0a1d48e4591b641fa2bb43395e7bfa6
Description-en: PHP extension that provides a non-static, non-global profiler
 Excimer is a new PHP extension that provides a non-static, non-global
 profiler. It can be used as an alternative to the xhprof and tideways PHP
 extensions.
 .
 Excimer is being designed for usage in MediaWiki, but it has no dependency
 upon MediaWiki and can be used standalone.

Package: php-fabiang-sasl
Description-md5: 0abdbdead3c53098e583b01cfc43af7f
Description-en: PHP SASL2 Authentication Library
 Provides code to generate responses to common SASL mechanisms, including:
 .
  * Digest-MD5
  * Cram-MD5
  * Plain
  * Anonymous
  * Login (Pseudo mechanism)
  * SCRAM
 .
 Full refactored version of the original Auth_SASL2 Pear package.

Package: php-fdomdocument
Description-md5: ff3340251baa4545ff2773ded895d310
Description-en: extension to PHP's standard DOM
 An extension to PHP's standard DOM to add various convenience methods and
 exceptions by default.
 .
 The classes contained within this repository extend the standard DOM to use
 exceptions at all occasions of errors instead of PHP warnings or notices. They
 also add various custom methods and shortcuts for convenience and to simplify
 the usage of DOM.

Package: php-fig-link-util
Description-md5: 1fce911e698128e008528ea9400cd745
Description-en: Common utility implementations for HTTP links
 PSR Http Link Utilities includes common utilities to assist with implementing
 PSR-13. Note that it is not intended as a complete PSR-13 implementation, only
 a partial implementation to make writing other implementations easier.

Package: php-file-iterator
Description-md5: 32e8749e4eac8e7f214337152c01d979
Description-en: FilterIterator implementation for PHP
 FilterIterator implementation that filters files based on a list of suffixes,
 prefixes, and other exclusion criteria used by PHPUnit.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled
 on the xUnit testing framework.

Package: php-finder-facade
Description-md5: 70089dd933b918f74c98d9ab97b2f0e1
Description-en: convenience wrapper for Symfony's Finder component
 Finder provides an intuitive fluent interface to find files and
 directories on a filesystem.
 .
 Symfony is a PHP framework, a set of tools and a development
 methodology.

Package: php-finder-facade-doc
Description-md5: 7dcea08844c84c5ae7cbff0d7ce02a4b
Description-en: convenience wrapper for Symfony's Finder component - documentation
 This package contains the documentation for FinderFacade.
 .
 Finder provides an intuitive fluent interface to find files and
 directories on a filesystem.
 .
 Symfony is a PHP framework, a set of tools and a development
 methodology.

Package: php-font-lib
Description-md5: d061c48a629c8d7d9b213730eccbd572
Description-en: read, parse, export and make subsets of different fonts
 A library to read, parse, export and make subsets of different types of font
 files
 .
 This library can be used to:
  * Read TrueType, OpenType (with TrueType glyphs), WOFF font files
  * Extract basic info (name, style, etc.)
  * Extract advanced info (horizontal metrics, glyph names, glyph
    shapes, etc.)
  * Make an Adobe Font Metrics (AFM) file from a font

Package: php-fpdf
Description-md5: c2decc92c7005e2371e991de944df66b
Description-en: PHP class to generate PDF files
 FPDF is a PHP class that allow generating PDF files with pure PHP,
 that is to say without using the PDFlib library.  FPDF provides
 high-level functions and has the following main features:
 .
  - Choice of measure unit, page format, and margins
  - Page header and footer management
  - Automatic page break
  - Automatic line break and text justification
  - Image support (JPEG and PNG)
  - Colors
  - Links
  - TrueType, Type1, and encoding support
  - Page compression

Package: php-fpm
Description-md5: 7488d27b105840fe34ff9286ba7b7b99
Description-en: server-side, HTML-embedded scripting language (FPM-CGI binary) (default)
 This package provides the Fast Process Manager interpreter that runs
 as a daemon and receives Fast/CGI requests.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on latest stable
 PHP version (currently 7.4).

Package: php-fxsl
Description-md5: 433c05980b5211b6f33257fae8f2a30e
Description-en: XSL wrapper and extension to XSLTProcessor
 An XSL wrapper / extension to the PHP 5.x XSLTProcessor with Exception and
 extended Callback support.
 .
 The fXSL classes extend the standard XSLTProcessor to use exceptions at
 all occasions of errors instead of PHP warnings, notices, or semi
 completed transformations. They also add various custom methods and
 shortcuts for convenience and to allow a nicer API to implement
 callbacks to the PHP stack.

Package: php-gearman
Description-md5: 1ef55b03e1d417f0d32c9a3f0093d948
Description-en: PHP wrapper to libgearman
 This extension uses libgearman library to provide API for
 communicating with gearmand, and writing clients and workers.

Package: php-geoip
Description-md5: 8d8f04009feee6b5df8f3a2d8a2d420c
Description-en: GeoIP module for PHP
 This PHP module allows you to find the location of an IP address -
 City, State, Country, Longitude, Latitude, and other information as
 all, such as ISP and connection type.
 .
 For more info, please visit Maxmind's website:
 http://www.maxmind.com/

Package: php-geos
Description-md5: c817a38d0146238bd3fa8a98a9d2d9be
Description-en: GEOS bindings for PHP
 GEOS provides a spatial object model and fundamental geometric
 functions. It implements the geometry model defined in the
 OpenGIS Consortium Simple Features Specification for SQL.
 .
 This package contains the PHP bindings for GEOS.

Package: php-geshi
Description-md5: b72db1d97d3ef33679635be1b9e8e753
Description-en: Generic Syntax Highlighter
 GeSHi aims  to be a simple but powerful highlighting class,
 with the following goals:
 .
    * Support for a wide range of popular languages
    * Easy to add a new language for highlighting
    * Highly customisable output formats
 .
 GeSHi aims to  do this all as quickly  as possible. Many customisable
 features of GeSHi facilitate speed increases, and you can easily find
 a balance  between the amount of  highlighting done and  the speed in
 which it is done.

Package: php-getid3
Description-md5: 9be39a406637bcd476ed4d03f13ffbe3
Description-en: scripts to extract information from multimedia files
 PHP script that extracts useful information from popular multimedia file
 formats.
 .
 getID3() supports many formats such as ID3v1, ID3v2, Ogg,
 MPC, AVI, etc.

Package: php-gmagick
Description-md5: 6e0b329ef11980ff6dbe315294c05bb6
Description-en: Provides a wrapper to the GraphicsMagick library
 Gmagick is a php extension to create, modify and obtain meta
 information of images using the GraphicsMagick API.

Package: php-gnupg
Description-md5: 390146555a9d62d7c35ba54798d074b0
Description-en: PHP wrapper around the gpgme library
 This PHP extension provides methods to interact with gnupg.

Package: php-google-recaptcha
Description-md5: 9a057c98373c23c70eaa6886826bd8be
Description-en: reCAPTCHA PHP client library
 reCAPTCHA is a free CAPTCHA service that protect websites from spam and
 abuse. This is Google authored code that provides plugins for third-party
 integration with reCAPTCHA.

Package: php-guestfs
Description-md5: 27d330490f3db93326f4e4b662bfef56
Description-en: guest disk image management system - PHP bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the PHP bindings.

Package: php-guzzlehttp-promises
Description-md5: 18b5c287c033c8532be7e816529c0c9a
Description-en: Guzzle promises library
 Promises/A+ implementation that handles promise chaining and resolution
 iteratively, allowing for “infinite” promise chaining while keeping the
 stack size constant.

Package: php-guzzlehttp-psr7
Description-md5: ea778cfd0c3843489c2f70755f5b724d
Description-en: PSR-7 message implementation that also provides common utility methods
 Partial PSR-7 message implementation, several stream decorators, and
 some helpful functionality like query string parsing.

Package: php-hamcrest
Description-md5: 15577c9b94e44fa632b6de8cbe945d0e
Description-en: This is the PHP port of Hamcrest Matchers
 Hamcrest is a matching library originally written for Java, but subsequently
 ported to many other languages. hamcrest-php is the official PHP port of
 Hamcrest and essentially follows a literal translation of the original Java
 API for Hamcrest, with a few Exceptions, mostly down to PHP language barriers.

Package: php-htmlawed
Description-md5: 9fc08222e82006d7e2a383b893b831fd
Description-en: htmLawed PHP code to purify & filter HTML
 htmLawed is a PHP script to process text with HTML markup to make it
 more compliant with HTML standards and administrative policies. It
 works by making HTML well-formed with balanced and properly nested
 tags, neutralizing code that may be used for cross-site scripting
 (XSS) attacks, allowing only specified HTML tags and attributes, and
 so on. Such lawing in of HTML in text used in (X)HTML or XML documents
 ensures that it is in accordance with the aesthetics, safety and
 usability requirements set by administrators.

Package: php-htmlpurifier
Description-md5: 8d49d698ced12857284b8a09cd5ab39a
Description-en: Standards-compliant HTML filter
 HTML Purifier is an HTML filter that will remove all malicious code
 (better known as XSS) with a thoroughly audited, secure yet permissive
 whitelist and will also make sure your documents are standards
 compliant.

Package: php-http
Description-md5: 0b40d8a018799b2b33f6309d412a1f7c
Description-en: PECL HTTP module for PHP Extended HTTP Support
 This HTTP extension aims to provide a convenient and powerful set of
 functionality for one of PHPs major applications.
 .
 It eases handling of HTTP urls, headers and messages, provides means
 for negotiation of a client&apos;s preferred content type, language
 and charset, as well as a convenient way to send any arbitrary data
 with caching and resuming capabilities.
 .
 It provides powerful request functionality with support for parallel
 requests.

Package: php-http-httplug
Description-md5: 649eb78898eb47c8442c3dfcfd7ef57d
Description-en: HTTPlug, the HTTP client abstraction for PHP
 HTTPlug allows one to write reusable libraries that need an HTTP client
 without binding to a specific implementation.

Package: php-http-message-factory
Description-md5: eb0c6d8c6d98f93b82da2cedd83e3acf
Description-en: Factory interfaces for PSR-7 HTTP Message
 HTTP Factories provides an easy way to construct messages.

Package: php-http-promise
Description-md5: aa8a79f43c5f62182f212876d0da1547
Description-en: Promise used for asynchronous HTTP requests
 A promise represents a single result of an asynchronous operation. It is not
 necessarily available at a specific time, but should become in the future.

Package: php-http-psr7-integration-tests
Description-md5: ab831741a6a51ce18901ee3e081b5a93
Description-en: Test suite for PSR7
 Test PSR7 implementations against the specification.

Package: php-http-request
Description-md5: 6d00d980f35850066dd03e05d6e2dafe
Description-en: Provides an easy way to perform HTTP requests
 php-http-request is a PEAR class that implements the HTTP protocol, and allows
 you to perform web queries in a simple way. It supports all the standard forms
 of HTTP queries like GET, POST, HEAD, TRACE, PUT or DELETE, and also can
 use the Basic authentication, Proxy, Proxy Authentication, SSL, file uploads,
 and more etc.

Package: php-http-request2
Description-md5: 8f2681e255f2d09f394880debb83d15e
Description-en: Provides an easy way to perform HTTP requests
 PHP5 rewrite of HTTP_Request package (with parts of HTTP_Client). Provides
 cleaner API and pluggable Adapters:
  * Socket adapter, based on old HTTP_Request code,
  * Curl adapter, wraps around PHP's cURL extension,
  * Mock adapter, to use for testing packages dependent on HTTP_Request2.
 Supports POST requests with data and file uploads, basic and digest
 authentication, cookies, managing cookies across requests, proxies, gzip and
 deflate encodings, redirects, monitoring the request progress with
 Observers...

Package: php-http-webdav-server
Description-md5: bb4bba2eb611ffcdccbb3cc3bd6cb338
Description-en: WebDAV Server Baseclass
 RFC2518 compliant helper class for WebDAV server implementation.
 .
 Web-based Distributed Authoring and Versioning, or WebDAV, is a set of
 extensions to the Hypertext Transfer Protocol (HTTP) that allows
 computer-users to edit and manage files collaboratively on remote World Wide
 Web servers. RFC 4918 defines the extensions.

Package: php-httpful
Description-md5: 7b1a415c89840c91dba8fa0e987c2e02
Description-en: A Readable, Chainable, REST friendly, PHP HTTP Client
 Httpful is a simple HTTP Client library. It contains the following features.
 .
  * Readable HTTP Method Support (GET, PUT, POST, DELETE, HEAD, PATCH
    and OPTIONS)
  * Custom Headers
  * Automatic "Smart" Parsing
  * Automatic Payload Serialization
  * Basic Auth
  * Client Side Certificate Auth
  * Request "Templates"

Package: php-icinga
Description-md5: 48540e3238f351d5ca13c047dab88d5c
Description-en: PHP library to communicate with and use Icinga
 Icinga Web 2 is a very modular, fast and simple web interface for your Icinga
 monitoring environment.
 .
 Icinga is a PHP library providing a PHP API to interact with Icinga's data
 and other core parts of Icinga web 2 that may be used by modules.
 .
 This library is mainly used by Icinga Web 2 to get its data.

Package: php-igbinary
Description-md5: e9c521ff0630ca54a4d3fd9765e09ab3
Description-en: igbinary PHP serializer
 Igbinary is a drop in replacement for the standard PHP serializer.
 Instead of time and space consuming textual representation, igbinary
 stores PHP data structures in a compact binary form.  Savings are
 significant when using memcached or similar memory based storages for
 serialized data.

Package: php-illuminate-container
Description-md5: 0cc08c949c178b3e1d53232c6365fe77
Description-en: Illuminate Database, Container component
 The Illuminate Database component is a full database toolkit for PHP,
 providing an expressive query builder, ActiveRecord style ORM, and
 schema builder. It currently supports MySQL, Postgres, SQL Server, and
 SQLite. It also serves as the database layer of the Laravel PHP
 framework.
 .
 This package contains the Container component.

Package: php-illuminate-contracts
Description-md5: bf1c387895ad8230b54d2016fc39636d
Description-en: Illuminate Database, Contracts component
 The Illuminate Database component is a full database toolkit for PHP,
 providing an expressive query builder, ActiveRecord style ORM, and
 schema builder. It currently supports MySQL, Postgres, SQL Server, and
 SQLite. It also serves as the database layer of the Laravel PHP
 framework.
 .
 This package contains the Contracts component.

Package: php-illuminate-database
Description-md5: 0c165dc5b63da2aa181f910e758d71d9
Description-en: Illuminate Database
 The Illuminate Database component is a full database toolkit for PHP,
 providing an expressive query builder, ActiveRecord style ORM, and
 schema builder. It currently supports MySQL, Postgres, SQL Server, and
 SQLite. It also serves as the database layer of the Laravel PHP
 framework.
 .
 This package contains the main database component.

Package: php-illuminate-support
Description-md5: 56f015d83ec9623ff6fc1e2e467032b5
Description-en: Illuminate Database, Support component
 The Illuminate Database component is a full database toolkit for PHP,
 providing an expressive query builder, ActiveRecord style ORM, and
 schema builder. It currently supports MySQL, Postgres, SQL Server, and
 SQLite. It also serves as the database layer of the Laravel PHP
 framework.
 .
 This package contains the Support component.

Package: php-image-text
Description-md5: a56ad877c85c22cdff2632f482432ff7
Description-en: Image_Text - Advanced text maipulations in images
 .
 .
 Image_Text provides a comfortable interface to
 text manipulations in GD images. Beside common
 Freetype2 functionality it offers to handle
 texts in a graphic- or office-tool like way.
 For example it allows alignment of texts inside
 a text box, rotation (around the top left
 corner of a text box or it's center point) and
 the automatic measurizement of the optimal font
 size for a given text box.

Package: php-imagick
Description-md5: bc43e2599d98ae7eb5833a5ff7056545
Description-en: Provides a wrapper to the ImageMagick library
 Imagick is a native php extension to create and modify images using the
 ImageMagick API.
 This extension requires ImageMagick version 6.2.4+ and PHP 5.1.3+.
 .
 IMPORTANT: Version 2.x API is not compatible with earlier versions.

Package: php-imap
Description-md5: a9c7653dcf51f3739bec4483fec94f48
Description-en: IMAP module for PHP [default]
 This package provides a IMAP module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-interbase
Description-md5: 20beeec4488362186ae25dc0194e6eff
Description-en: Interbase module for PHP [default]
 This package provides a Interbase module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-intl
Description-md5: 18970e739c46c7e0a8e4ab2bb4153c4f
Description-en: Internationalisation module for PHP [default]
 This package provides a Internationalisation module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-invoker
Description-md5: a788d5adae1fb01c2718140a58e674fc
Description-en: Invoke callables with a timeout
 PHP_Invoker is a utility class for invoking callables with a
 timeout used by PHPUnit. PHPUnit is a unit testing suite for
 the PHP language, modelled on the xUnit testing framework.

Package: php-json
Description-md5: 75a773432d210a4dafb57ae2359918db
Description-en: JSON module for PHP [default]
 This package provides a JSON module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-json-schema
Description-md5: 68ab844afeb3e68d916f8151290246a4
Description-en: implementation of JSON schema
 JSON Schema defines the media type "application/schema+json", a JSON
 based format for defining the structure of JSON data. JSON Schema
 provides a contract for what JSON data is required for a given
 application and how to interact with it. JSON Schema is intended to
 define validation, documentation, hyperlink navigation, and interaction
 control of JSON data.
 .
 This package provides a PHP library for validating JSON Structures
 against a given Schema.

Package: php-klogger
Description-md5: 12c6252efa413c41289310809792b2e2
Description-en: simple logging class
 KLogger is a logging class for PHP. It complies with PSR-3, a PHP
 standard for logger interfaces. It is designed to be quickly included
 into a project and work right away.

Package: php-league-commonmark
Description-md5: aa353d3bb7f12b3ff7a2ff3da19365f5
Description-en: Markdown parser based on the CommonMark JS reference implementation
 Highly-extensible PHP Markdown parser which fully supports the CommonMark spec
 and Github-Flavored Markdown (GFM).

Package: php-league-html-to-markdown
Description-md5: 1e575e36278a471ef16ab3dac795899d
Description-en: An HTML-to-markdown conversion helper for PHP
 Library which converts HTML to Markdown.

Package: php-letodms-core
Description-md5: 948d545a4f1da35be0df2559dd00795d
Description-en: Document management system
 LetoDMS is a web based document management system (DMS). This is
 the core of it, providing the basic functionality to create/update and delete
 folders and documents. It also contains a user and group managment

Package: php-libvirt-php
Description-md5: 55b8bd7ef26743a0588775845ab14cd5
Description-en: libvirt bindings for PHP
 libvirt-php is a php module that provides PHP bindings for libvirt
 virtualization toolkit and therefore you can access libvirt directly
 from your PHP scripts with no need to have virt-manager or
 libvirt-based CLI/GUI tools installed.

Package: php-log
Description-md5: 47509651d4ac28f521750fe39a94afbc
Description-en: Logging Framework
 The Log package provides an abstracted logging framework. It includes output
 handlers for log files, databases, syslog, email, Firebug, and the console. It
 also provides composite and subject-observer logging mechanisms.

Package: php-lorenzo-pinky
Description-md5: 9749a31f054369e3761e5beea0d23ef2
Description-en: A Foundation for Emails (Inky) template transpiler
 A PHP Transpiler for Inky, the templating language made for the ZURB's
 Foundation for Email framework.

Package: php-lua
Description-md5: 209ad1ce257335c0c465840ae47f9ed8
Description-en: PHP Embedded lua interpreter
 This extension embeds the lua interpreter and offers an OO-API to lua
 variables and functions.

Package: php-luasandbox
Description-md5: a88a912b9961dad3b4f3736ab1be0369
Description-en: PHP extension that provides a sandboxed Lua environment
 LuaSandbox is a PHP extension that provides a Lua environment which can be used
 to run untrusted code. It provides a performance benefit compared to using a
 standalone Lua binary.
 .
 LuaSandbox was designed for the MediaWiki Scribunto extension, which allows
 users to write Lua code on the wiki, and then have it executed. But it has
 no dependency upon MediaWiki and can be used standalone.

Package: php-mail
Description-md5: f59e72d480ff15d603b5afcee9b730b9
Description-en: Class that provides multiple interfaces for sending emails
 PEAR's Mail package defines an interface for implementing mailers under the
 PEAR hierarchy. It also provides supporting functions useful to multiple
 mailer backends. Currently supported backends include: PHP's native mail()
 function, sendmail, and SMTP. This package also provides a RFC822 email
 address list validation utility class.

Package: php-mail-mime
Description-md5: 40962a7f4ac0f1bfde809167a5677834
Description-en: PHP PEAR module for creating MIME messages
 Provides classes to deal with creation and manipulation of mime messages. It
 allows people to create e-mail messages consisting of:
 .
  - mime.php: Create mime email, with html, attachments, embedded images
    etc.
  - mimePart.php: Advanced method of creating mime messages.
  - xmail.dtd: An XML DTD to acompany the getXML() method of the decoding
    class.
  - xmail.xsl: An XSLT stylesheet to transform the output of the getXML()
    method back to an email

Package: php-mailparse
Description-md5: ed62a80883face93511294d29eb4c656
Description-en: Email message manipulation for PHP
 Mailparse is an extension for parsing and working with email
 messages.
 .
 It can deal with rfc822 and rfc2045 (MIME) compliant messages.

Package: php-mapi
Description-md5: a7837feac7d45ea7d561fd3c9fa93b6e
Description-en: Complete and feature rich groupware solution - PHP MAPI bindings
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 Using this module, you can create PHP programs which use MAPI
 calls to interact with Kopano.

Package: php-mapscript
Description-md5: bde9b356e30f361c89a5f112ad1cd3de
Description-en: php-cgi module for MapServer
 PHP MapScript provides MapServer functions for PHP scripts.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards.
 .
 This package provides the traditional MapScript for PHP.

Package: php-mapscript-ng
Description-md5: 210de78e969a2da6b41ff81117e76f50
Description-en: PHP MapServer module (SWIG)
 PHP MapScript provides MapServer functions for PHP scripts.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards.
 .
 This package provides MapScript for PHP based on SWIG.

Package: php-markdown
Description-md5: 87988ce205abd3472a4a5d42b9f868fc
Description-en: PHP library for rendering Markdown data
 This is a library package that includes the PHP Markdown parser and its
 sibling PHP Markdown Extra with additional features.
 .
 "Markdown" is actually two things: a plain text markup syntax, and a
 software tool that converts the plain text markup to HTML.
 .
 The Markdown syntax allows you to write text naturally and format it
 without using HTML tags. More importantly: in Markdown format, your text
 stays enjoyable to read for a human being, and this is true enough that
 it makes a Markdown document publishable as-is, as plain text. If you
 are using text-formatted email, you already know some part of the
 syntax.

Package: php-masterminds-html5
Description-md5: 897559dc02737d07c04a661741536865
Description-en: An HTML5 parser and serializer
 HTML5 is a standards-compliant HTML5 parser and writer.

Package: php-mbstring
Description-md5: 1841d6c8e5e83675f0623ae12b756076
Description-en: MBSTRING module for PHP [default]
 This package provides a MBSTRING module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-mdb2
Description-md5: 593da95fb58be6b0d2a0e1b2ffafb447
Description-en: database abstraction layer
 PEAR MDB2 is a merge of the PEAR DB and Metabase php database abstraction
 layers.
 .
 It provides a common API for all supported RDBMS. The main difference to most
 other DB abstraction packages is that MDB2 goes much further to ensure
 portability. MDB2 provides most of its many features optionally that
 can be used to construct portable SQL statements:
  * Object-Oriented API
  * A DSN (data source name) or array format for specifying database servers
  * Datatype abstraction and on demand datatype conversion
  * Various optional fetch modes to fix portability issues
  * Portable error codes
  * Sequential and non sequential row fetching as well as bulk fetching
  * Ability to make buffered and unbuffered queries
  * Ordered array and associative array for the fetched rows
  * Prepare/execute (bind) named and unnamed placeholder emulation
  * Sequence/autoincrement emulation
  * Replace emulation
  * Limited sub select emulation
  * Row limit emulation
  * Transactions/savepoint support
  * Large Object support
  * Index/Unique Key/Primary Key support
  * Pattern matching abstraction
  * Module framework to load advanced functionality on demand
  * Ability to read the information schema
  * RDBMS management methods (creating, dropping, altering)
  * Reverse engineering schemas from an existing database
  * SQL function call abstraction
  * Full integration into the PEAR Framework
  * PHPDoc API documentation

Package: php-mdb2-driver-mysql
Description-md5: 4fff9ec1a08fd99a1765340b30ef9998
Description-en: mysql MDB2 driver
 This is the MySQL MDB2 driver.
 .
 MDB2 provides a common API for all supported RDBMS. The main difference
 to most other DB abstraction packages is that MDB2 goes much further
 to ensure portability.

Package: php-mdb2-driver-pgsql
Description-md5: 00270e763f8927078a1348c25b0e66b0
Description-en: pgsql MDB2 driver
 This is the PostgreSQL MDB2 driver.
 .
 MDB2 provides a common API for all supported RDBMS. The main
 difference to most other DB abstraction packages is that MDB2 goes
 much further to ensure portability.

Package: php-memcache
Description-md5: d2ac98f44f310f359463dd42bfbb2873
Description-en: memcache extension module for PHP
 Memcached is a caching daemon designed especially for dynamic web applications
 to decrease database load by storing objects in memory.
 .
 This extension allows you to work with memcached through handy OO and
 procedural interfaces in your php5 applications.
 .
 This is an updated version for PHP 7 with non-blocking I/O taken from
 https://github.com/websupport-sk/pecl-memcache

Package: php-memcached
Description-md5: 8dd75c15765c7e1abc72767ad4d0eaba
Description-en: memcached extension module for PHP, uses libmemcached
 Memcached is a caching daemon designed especially for dynamic web
 applications to decrease database load by storing objects in memory.
 .
 This extension uses libmemcached library to provide API for
 communicating with memcached servers.

Package: php-mf2
Description-md5: 952af6ac0dfc46fb949375659d2cc5c5
Description-en: Microformats2 is the simplest way to markup structured information in HTML
 A pure, generic microformats2 parser - makes HTML as easy to consume
 as a JSON APIMicroformats2 is the simplest way to markup structured
 information in HTML. Microformats2 improves ease of use and
 implementation for both authors (publishers) and developers (parser
 implementers).

Package: php-mikey179-vfsstream
Description-md5: affe2b7c46519c9c6c3548a3bcd13d39
Description-en: Virtual file system to mock the real file system in unit tests
 vfsStream is a PHP stream wrapper for a virtual file system that may be
 helpful in unit tests to mock the real file system. It can be used with
 any unit test framework, like PHPUnit or SimpleTest.

Package: php-mime-type
Description-md5: 0f3a39af7b0efd6c2f617c070c3bea32
Description-en: Utility class for dealing with MIME types
 Provide functionality for dealing with MIME types:
  * Parse MIME type.
  * Supports full RFC2045 specification.
  * Many utility functions for working with and determining info about types.
  * Most functions can be called statically.
  * Autodetect a files mime-type, either with fileinfo extension,
  mime_magic extension, the file command or an in-built mapping list

Package: php-mockery
Description-md5: 6606b7b33a9ed757d9fe7b1ab179f1d5
Description-en: mock object framework for PHPUnit and other testing framework
 Mockery is a simple yet flexible PHP mock object framework.

Package: php-mockery-doc
Description-md5: 4075266648e3bab9fc881351f080b8af
Description-en: mock object framework for PHPUnit - documentation
 This package provides the documentation for php-mockery.
 .
 Mockery is a simple yet flexible PHP mock object framework.

Package: php-mongodb
Description-md5: 019af190a34e0d969ac1807b7a0e3f5a
Description-en: MongoDB driver for PHP
 The purpose of this driver is to provide exceptionally thin glue
 between MongoDB and PHP, implementing only fundemental and
 performance-critical components necessary to build a fully-functional
 MongoDB driver.

Package: php-monolog
Description-md5: 807f0b12016d1df687cf549ea4c34bb5
Description-en: send logs to various destination and web services
 Sends your logs to files, sockets, inboxes, databases and various web services
 .
 This library implements the PSR-3 interface that can be used in other
 libraries and applications to keep a maximum of interoperability, and
 make sure another compatible logger can always be used at a later time.

Package: php-msgpack
Description-md5: 16b5d9a1e0edd6877260e0935e49be18
Description-en: PHP extension for interfacing with MessagePack
 This extension provide API for communicating with MessagePack serialization.

Package: php-nesbot-carbon
Description-md5: 40aa92ae654a67b6dda375e4d5fa2d6a
Description-en: simple PHP API extension for DateTime
 This library contains the Carbon\Carbon class which contains
 extended functions for dealing with dates and timestamps.

Package: php-net-dime
Description-md5: 8523dda4a9ce0fe89fb700a59d48b7ce
Description-en: The Net_DIME package implements DIME encoding and decoding
 The Net_DIME package provides an implementation of DIME as defined at
 http://xml.coverpages.org/draft-nielsen-dime-02.txt

Package: php-net-dns2
Description-md5: 32dea83a2752efc110b61ac73daac887
Description-en: PHP5 Resolver library used to communicate with a DNS server
 Provides (roughly) the same functionality as Net_DNS, but using PHP5 objects,
 exceptions for error handling, better sockets support.
 .
 This release is (in most cases) 2x - 10x faster than Net_DNS, as well as
 includes more RR's (including DNSSEC RR's), and improved sockets and streams
 support.

Package: php-net-ftp
Description-md5: a50d730b3004d7090acc4f8922017201
Description-en: Net_FTP provides an OO interface to the PHP FTP functions plus some additions
 Net_FTP allows you to communicate with FTP servers in a more comfortable way
 than the native FTP functions of PHP do. The class implements everything
 natively
 supported by PHP and additionally features like recursive up- and downloading,
 dircreation and chmodding. It also implements an observer pattern to allow
 for example the view of a progress bar.

Package: php-net-idna2
Description-md5: 48de1ab66dab3c8797f76d55f62c92a4
Description-en: PHP Pear module for handling international domain names
 Punycode is a way to represent Unicode with the limited character
 subset of ASCII supported by the Domain Name System. Punycode is
 intended for the encoding of labels in the Internationalized Domain
 Names in Applications (IDNA) framework.
 .
 This library provides Net\IDNA2 PHP class that can be used to perform
 Punycode encoding and decoding.

Package: php-net-imap
Description-md5: a67e5899443f908aced70513c4334be0
Description-en: Provides an implementation of the IMAP protocol
 Provides an implementation of the IMAP4Rev1 protocol using PEAR's Net_Socket
 and the optional Auth_SASL class.

Package: php-net-ipv6
Description-md5: a2c5b8653a8f8e12a1a6d6312aa9d06d
Description-en: Check and validate IPv6 addresses
 The class allows you to: * check if an address is an IPv6 address *
 compress/uncompress IPv6 addresses * check for an IPv4 compatible
 ending in an IPv6 address * check the assigned address space of an
 IPv6 address * do netmask calculations

Package: php-net-ldap2
Description-md5: 89cc23d2adda643e10aafc8bb8043606
Description-en: Object oriented interface for searching and manipulating LDAP-entries
 Net_LDAP2 is the successor of Net_LDAP which is a clone of Perls Net::LDAP
 object interface to directory servers. It does contain most of Net::LDAPs
 features but has some own too.
 With Net_LDAP2 you have:
  * A simple object-oriented interface to connections, searches entries and
 filters.
  * Support for TLS and LDAP v3.
  * Simple modification, deletion and creation of LDAP entries.
  * Support for schema handling.
 .
 Net_LDAP2 layers itself on top of PHP's existing ldap extensions.

Package: php-net-ldap3
Description-md5: b3f7082e2ccd6cc3f3db9ed143e5eead
Description-en: Object oriented interface for searching and manipulating LDAP entries
 A successor of the PEAR:Net_LDAP2 module providing advanced functionality for
 accessing LDAP directories. It enables access to LDAP directories
 with an object-oriented interface. It layers on top of PEAR:Net_LDAP2.

Package: php-net-nntp
Description-md5: 0218daa6783344b9b43b546f6e4aff28
Description-en: NNTP implementation
 PEAR's package for communication with NNTP/Usenet servers.
 Net_NNTP handles the connection and protocol level commands (RFC977),
 including many commonly implemented non-standard commands (RFC2980).
 Net_NNTP includes an unserfriendly API for intermediate user, who don't want
 to work directly at the command level. However, Net_NNTP does require some
 knowlege about the NNTP protocol (RFC 977), and general knowledge about email
 (RFC(2)822) and MIME (2045-2048), since any handling of both content and
 headers is left up to the user!
 The protocol implementation does not parse the actual article data, but at the
 command level it splits returned data at protocol specified boundaries where
 appropriate.

Package: php-net-publicsuffix
Description-md5: b0341ced770d792fdeb77d3c42a1bfee
Description-en: PHP module for detecting registered domains and public suffixes
 This PHP library provides an interface for detecting registered
 domains and public suffixes of domain names.  This can be useful for
 determining cookie domain boundaries, other same-origin policies,
 domain aggregation for spam scores, etc.

Package: php-net-sieve
Description-md5: b32816c7a6d5e3b5c342cfc7e75a4102
Description-en: Handles talking to a sieve server
 This package provides an API to talk to servers implementing the managesieve
 protocol. It can be used to install and remove sieve scripts, mark them active
 etc.

Package: php-net-smtp
Description-md5: 6680f1b2ea72c9355ca1819f84f15672
Description-en: PHP PEAR module implementing SMTP protocol
 Provides an implementation of the SMTP protocol using PEAR's Net_Socket class.
 The php-net-smtp package supports the SMTP authentication standard (as defined
 by RFC-2554) if you also install the php-auth-sasl package. Net_SMTP then
 supports several authentication methods like DIGEST-MD5, CRAM-MD5, LOGIN and
 PLAIN.

Package: php-net-socket
Description-md5: f67d88389792798ee2b011d85cbfd9f1
Description-en: Network Socket Interface
 Net_Socket is a class interface to TCP sockets. It provides blocking
 and non-blocking operation, with different reading and writing modes
 (byte-wise, block-wise, line-wise and special formats like network
 byte-order ip addresses).

Package: php-net-url
Description-md5: 62f7c49278a81202a7418ad40d4ffa73
Description-en: Easy parsing of Urls
 Provides easy parsing of URLs and their constituent parts.
 .
 NOTE: This module is declared obsolete by its upstream, and superseded
 by the Net::URL2 module

Package: php-net-url2
Description-md5: 0ad9f2798ed72491f38bcb5884c45752
Description-en: Class for parsing and handling URL
 Provides parsing of URLs into their constituent parts (scheme, host, path
 etc.), URL generation, and resolving of
 relative URLs.

Package: php-net-whois
Description-md5: dbde3e1721da082cac2392fc5791e653
Description-en: PHP PEAR module for querying whois services
 The PEAR::Net_Whois class provides a tool to query internet domain name and
 network number directory services.
 .
 This module looks up records in the databases maintained by several Network
 Information Centers (NICs).

Package: php-netscape-bookmark-parser
Description-md5: b414f9171a3f90ff420ad6968d247dd9
Description-en: generic Netscape bookmark parser
 This library provides a generic NetscapeBookmarkParser class that is
 capable of parsing Netscape bookmarks as exported by common Web
 browsers and bookmarking services.

Package: php-nikic-fast-route
Description-md5: f9e7ac1725571427cd65e13be5521104
Description-en: Fast request router for PHP
 Fast HTTP request router for PHP using regular expressions for
 placeholders. Supports route grouping and caching. The route parser
 and dispatcher components can be overridden.

Package: php-nrk-predis
Description-md5: 89d8866579519ce5a826cbe4dcccace1
Description-en: Flexible and feature-complete PHP client library for the Redis key-value store
 The library does not require any additional extension loaded in PHP but it can
 be optionally paired with the phpiredis (https://github.com/nrk/phpiredis)
 C-based extension to lower the overhead of serializing and parsing the Redis
 protocol. Predis is also available in an asynchronous fashion through the
 experimental client provided by the Predis\Async
 (http://github.com/nrk/predis-async) library.
 .
 For a list of frequently asked questions about Predis see our FAQ
 (https://github.com/nrk/predis/blob/master/FAQ.md). More details are available
 on the official wiki (http://wiki.github.com/nrk/predis) of the project.

Package: php-nyholm-psr7
Description-md5: 6810b00c98666a772d1ecabb6d78bc11
Description-en: A fast PHP7 implementation of PSR-7
 Lightweight, strict, and fast PSR-7 implementation.

Package: php-oauth
Description-md5: fb3d073fa1941bfdc8fb470a0bc6a56c
Description-en: OAuth 1.0 consumer and provider extension
 OAuth is an authorization protocol built on top of HTTP which allows
 applications to securely access data without having to store
 usernames and passwords.
 .
 This package provides an API for fetching and serving OAuth
 protected resources.

Package: php-parsedown
Description-md5: a29ea2c303a3af461019f662ff213a5e
Description-en: Parser for Markdown
 Fast and extensible Markdown parser.

Package: php-parser
Description-md5: 2a2ec05f3a827de92af5fe2fc8deffd3
Description-en: convert PHP code into abstract syntax tree
 PHP Parser’s purpose is to simplify static code analysis and
 manipulation. It turns some PHP code into an abstract syntax tree, and
 vice versa.

Package: php-patchwork-utf8
Description-md5: 881cef407c3c75bdaaf97c1e1513814c
Description-en: UTF-8 strings handling for PHP
 Patchwork UTF-8 provides both a portability layer for mbstring, iconv, and
 intl Normalizer and grapheme_* functions, and an UTF-8 grapheme clusters
 aware replica of native string functions.
 .
 Patchwork UTF-8 provides pure PHP implementations for mbstring, iconv, and
 intl. The following set of portability-fallbacks allows an application to run
 on a server even if one or more of those extensions are not enabled:
 .
  * utf8_encode, utf8_decode,
  * mbstring: mb_check_encoding, mb_convert_case, mb_convert_encoding,
    mb_convert_variables, mb_decode_mimeheader, mb_detect_encoding,
    mb_detect_order, mb_encode_mimeheader, mb_encoding_aliases, mb_get_info,
    mb_http_input, mb_http_output, mb_internal_encoding, mb_language,
    mb_list_encodings, mb_output_handler, mb_strlen, mb_strpos, mb_strrpos,
    mb_strtolower, mb_strtoupper, mb_stripos, mb_stristr, mb_strrchr,
    mb_strrichr, mb_strripos, mb_strstr, mb_strwidth, mb_substitute_character,
    mb_substr, mb_substr_count,
  * iconv: iconv, iconv_mime_decode, iconv_mime_decode_headers,
    iconv_get_encoding, iconv_set_encoding, iconv_mime_encode,
    ob_iconv_handler, iconv_strlen, iconv_strpos, iconv_strrpos, iconv_substr,
  * intl: Normalizer, grapheme_extract, grapheme_stripos, grapheme_stristr,
    grapheme_strlen, grapheme_strpos, grapheme_strripos, grapheme_strrpos,
    grapheme_strstr, grapheme_substr.
 .
 The Patchwork\Utf8 class implements the quasi-complete set of native string
 functions that need UTF-8 grapheme clusters awareness. Function names,
 arguments and behavior carefully replicates native PHP string functions.
 .
 Some more functions are also provided to help handling UTF-8 strings:
  * filter(): normalizes to UTF-8 NFC, converting from CP-1252 when needed,
  * isUtf8(): checks if a string contains well formed UTF-8 data,
  * toAscii(): generic UTF-8 to ASCII transliteration,
  * strtocasefold(): unicode transformation for caseless matching,
  * strtonatfold(): generic case sensitive transformation for collation
    matching,
  * strwidth(): computes the width of a string when printed on a terminal,
  * wrapPath(): unicode filesystem access under Windows and other OSes.
 .
 Mirrored string functions are: strlen, substr, strpos, stripos, strrpos,
 strripos, strstr, stristr, strrchr, strrichr, strtolower, strtoupper,
 wordwrap, chr, count_chars, ltrim, ord, rtrim, trim, str_ireplace, str_pad,
 str_shuffle, str_split, str_word_count, strcmp, strnatcmp, strcasecmp,
 strnatcasecmp, strncasecmp, strncmp, strcspn, strpbrk, strrev, strspn, strtr,
 substr_compare, substr_count, substr_replace, ucfirst, lcfirst, ucwords,
 number_format, utf8_encode, utf8_decode, json_decode,
 filter_input, filter_input_array.

Package: php-pclzip
Description-md5: c4ff4d28965865b3bc62aeb0367a2a24
Description-en: ZIP archive manager class for PHP
 This library offers compression and extraction functions for ZIP
 formatted archives (WinZip, PKZIP). It gives you the ability to
 manipulate ZIP formatted archives. You can create, list and extract
 the contents of any ZIP file.
 .
 PclZip defines an object oriented interface to ZIP archives through
 a PHP class. This class manages the archive properties and offers
 access method and actions on it.

Package: php-pcov
Description-md5: ad805c48fd061e54adbf6ee0eca66419
Description-en: Code coverage driver
 A self contained php-code-coverage compatible driver for PHP7.

Package: php-pecl-http
Description-md5: a19fa6179d47a76dc7d0f8a900f91df0
Description-en: pecl_http module for PHP Extended HTTP Support [dummy]
 This is a dummy transitional package and can be safely removed.

Package: php-pecl-http-dev
Description-md5: a19fa6179d47a76dc7d0f8a900f91df0
Description-en: pecl_http module for PHP Extended HTTP Support [dummy]
 This is a dummy transitional package and can be safely removed.

Package: php-phar-io-manifest
Description-md5: 8b1cf949742310e9285c86ae19c8f8fd
Description-en: reading phar.io manifest information from a PHP Archive (Phar)
 This library allows one to parse information from a Phar Manifest file.

Package: php-phar-io-version
Description-md5: 892ee026e5e2f4a80670202c29e3e234
Description-en: handling version information and constraint
 This library allows one to parse version constraints and check discrete
 versions for compliance. It handles both the caret and tilde operators.

Package: php-phpdbg
Description-md5: 8bf8761b36f46494108076fdca33a1b4
Description-en: server-side, HTML-embedded scripting language (PHPDBG binary) (default)
 This package provides the /usr/bin/phpdbg command interpreter, useful for
 stepthrough debugging of PHP code.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on latest stable
 PHP version (currently 7.4).

Package: php-phpdocumentor-reflection-common
Description-md5: 75380b40676c1bc4aad85a540d2fab9e
Description-en: Common reflection classes - phpDocumentor component
 Common reflection classes used by phpdocumentor to reflect the code structure.
 .
 phpDocumentor makes it possible to generate documentation from PHP source code.

Package: php-phpdocumentor-reflection-docblock
Description-md5: dd43b4a0db119bdb960d49b46a14973c
Description-en: DocBlock parser - phpDocumentor component
 The ReflectionDocBlock component of phpDocumentor provides a DocBlock parser
 that is 100% compatible with the PHPDoc standard.
 .
 With this component, a library can provide support for annotations via
 DocBlocks or otherwise retrieve information that is embedded in a DocBlock.
 .
 phpDocumentor makes it possible to generate documentation from PHP source code.

Package: php-phpdocumentor-type-resolver
Description-md5: 8ea888c644881864ea6347b9f8b03c34
Description-en: TypeResolver and FqsenResolver - phpDocumentor component
 This package provides two Resolvers that are capable of:
  * Returning a series of Value Object for given expression while
    resolving any partial class names;
  * Returning an FQSEN object after resolving any partial Structural
    Element Names into Fully Qualified Structural Element names.
 .
 phpDocumentor makes it possible to generate documentation from PHP source code.

Package: php-phpmyadmin-motranslator
Description-md5: 35d4387db443724ddfdfea78de6a7d3a
Description-en: translation API for PHP using Gettext MO files
 This library parses gettext binary .mo files and can mimic the native
 php gettext API. Unlike the native gettext API, this library can use .mo
 files for locales not installed in the system.

Package: php-phpmyadmin-shapefile
Description-md5: 35d4387db443724ddfdfea78de6a7d3a
Description-en: translation API for PHP using Gettext MO files
 This library parses gettext binary .mo files and can mimic the native
 php gettext API. Unlike the native gettext API, this library can use .mo
 files for locales not installed in the system.

Package: php-phpmyadmin-sql-parser
Description-md5: 9ec11490736a7a220011edba0e2ab28e
Description-en: validating SQL lexer and parser
 This library parses and is able to tokenize, lint and syntax-highlight
 SQL statements. It is also able to alter the parsed query.
 .
 This library has a focus on the MySQL dialect.

Package: php-phpseclib
Description-md5: 10e7f76b4666e87ee17d51c011b757e1
Description-en: implementations of an arbitrary-precision integer arithmetic library
 The PHP Secure Communications Library are fully PKCS#1 (v2.1) compliant
 RSA, DES, 3DES, RC4, Rijndael, AES, Blowfish, Twofish, SSH-1, SSH-2, SFTP, and
 X.509 implementations.

Package: php-phpspec-prophecy
Description-md5: 82e4c154c4a88830bc98d025060bb43f
Description-en: object mocking framework - phpspec component
 Prophecy is an opinionated and flexible PHP object mocking framework.
 .
 It was initially created to fulfil phpspec2 needs, but is flexible enough
 to be used inside any testing framework out there with minimal effort.
 .
 phpspec can help write clean and working PHP code using behaviour driven
 development or BDD. BDD is a technique derived from test-first development.

Package: php-pimple
Description-md5: 1d00f8bf801ef3b6fe5f98eb0c5a5e66
Description-en: simple dependency injection container -- class
 Creating a container is a matter of instating the Pimple class.
 .
 As many other dependency injection containers, Pimple is able to manage
 two different kind of data: services and parameters.

Package: php-pinba
Description-md5: 36af076290cf0e7be86d5f4fdaf67e42
Description-en: Pinba module for PHP
 Pinba is a statistics server for PHP using MySQL as a read-only
 interface.
 .
 It accumulates and processes data sent over UDP by multiple PHP
 processes and displays statistics in a nice human-readable form of
 simple "reports", also providing a read-only interface to the raw
 data to enable generation of more sophisticated reports.
 .
 With the Pinba extension, users can also measure particular parts
 of the code using timers with arbitrary tags.
 .
 This package contains a PHP module with the ability to send
 statistics to a Pinba server.

Package: php-propro
Description-md5: 7210cf3319fb666eea80be0f04f81281
Description-en: propro module for PHP
 A reusable split-off of pecl_http's property proxy API.

Package: php-propro-dev
Description-md5: c74b069732eedc02283ef71b7a4a86e1
Description-en: propro module for PHP development headers [dummy]
 This is dummy transitional package and can be safely removed.

Package: php-proxy-manager
Description-md5: 3ded5074384997c0a178f0da0734c93a
Description-en: library providing utilities to operate with Object Proxies
 This library aims at providing abstraction for generating various
 kinds of proxy classes.
 .
 Currently, this project supports generation of Virtual Proxies and
 Smart References. Additionally, it can generate a small
 high-performance Hydrator class to optimize transition of data from
 and into your objects.

Package: php-ps
Description-md5: 061871d3e4266649c7bf030573c35e87
Description-en: ps module for PHP
 ps is an extension similar to the pdf extension but for creating
 PostScript files. Its api is modelled after the pdf extension.
 It has some advanced features like taking kerning into account
 and support for hyphenation. PostScript files can converted to
 pdf files with ghostscript retaining hyperlinks and bookmarks.

Package: php-psr
Description-md5: fb92eb598c5237eb002f23b4816dfda4
Description-en: PSR interfaces for PHP
 This PHP extension provides the interfaces from the PSR standards as
 established by the PHP-FIG group.
 .
 See http://www.php-fig.org/psr/ for more information on PSR standards.

Package: php-psr-cache
Description-md5: feb9bed35a27286d858d7930d26ae5a8
Description-en: Common interface for caching libraries
 This contains all interfaces defined by PSR-6.
 .
 Note that this is not a Cache implementation of its own. It is merely
 an interface that describes a Cache implementation. See the
 specification for more details.
 .
 <http://www.php-fig.org/psr/psr-6/>

Package: php-psr-container
Description-md5: 8d912e195af5b706317534b91c523520
Description-en: Common Container Interface (PHP FIG PSR-11)
 PSR Container holds all interfaces/classes/traits related to PSR-11. Note that
 this is not a container implementation of its own. See the specification for
 more details.

Package: php-psr-event-dispatcher
Description-md5: 8e652635264947b26dfe3f59f64c1633
Description-en: Standard interfaces for event handling
 This repository holds the interfaces related to PSR-14.
 .
 Note that this is not an Event Dispatcher implementation of its own. It
 is merely interfaces that describe the components of an Event
 Dispatcher. See the specification for more details.

Package: php-psr-http-client
Description-md5: 24a54202d4a970438b3b28c1b65ba467
Description-en: Common interface for HTTP clients
 PSR HTTP Client provides all interfaces/classes/traits related to PSR-18.
 This is not an HTTP client implementation of its own. It is merely an
 interface that describes an HTTP client.

Package: php-psr-http-factory
Description-md5: 0ee083fcbe3c3ec655c762f304cb29f7
Description-en: Common interfaces for PSR-7 HTTP message factories
 HTTP Factories provides all interfaces related to PSR-17
 (HTTP Message Factories).

Package: php-psr-http-message
Description-md5: 0d5aaa8632c6405f33706deef9977acc
Description-en: Common interface for HTTP messages
 All interfaces/classes/traits related to PSR-7. Note that this is not
 an HTTP message implementation of its own. It is merely an interface
 that describes a HTTP message. See the specification for more details.

Package: php-psr-link
Description-md5: e8206f782017d0f20aa43d2098f2f6b1
Description-en: Common interfaces for HTTP links
 PSR Http Link holds all interfaces/classes/traits related to PSR-13. Note that
 this is not an HTTP link implementation of its own. It is merely an interface
 that describes an HTTP link. See the specification for more details.

Package: php-psr-log
Description-md5: 61027d449ef97a57be423befc11f2312
Description-en: common interface for logging libraries
 The main goal is to allow libraries to receive a Psr\Log\LoggerInterface object
 and write logs to it in a simple and universal way. Frameworks and CMSs that
 have custom needs MAY extend the interface for their own purpose, but SHOULD
 remain compatible with this document. This ensures that the third-party
 libraries an application uses can write to the centralized application logs.
 .
 The LoggerInterface exposes eight methods to write logs to the eight RFC 5424
 levels (debug, info, notice, warning, error, critical, alert, emergency).
 .
 A ninth method, log, accepts a log level as first argument. Calling this method
 with one of the log level constants MUST have the same result as calling the
 level-specific method. Calling this method with a level not defined by this
 specification MUST throw a Psr\Log\InvalidArgumentException if the
 implementation does not know about the level. Users SHOULD NOT use a custom
 level without knowing for sure the current implementation supports it.
 .
 Note that this is not a logger of its own. It is merely an interface that
 describes a logger. See the specification for more details.

Package: php-psr-simple-cache
Description-md5: ec4147ac7efcdc468acb1fafc64d3cef
Description-en: Common interfaces for simple caching
 PHP FIG Simple Cache PSR holds all interfaces related to PSR-16.
 .
 Note that this is not a cache implementation of its own. It is merely an
 interface that describes a cache implementation. See the specification for
 more details.
 .
 You can find implementations of the specification by looking for packages
 providing the php-psr-simple-cache-implementation virtual package.

Package: php-pubsubhubbub-publisher
Description-md5: 675c01cc573917a66e856cc8ffc49887
Description-en: WebSub publisher library for PHP
 PHP library implementing a WebSub (formerly PubSubHubbub)
 publisher. Connects to a hub and publishes updates for a topic URL. A
 custom HTTP function may be provided for posting to the hub.

Package: php-radius
Description-md5: eccea11415cefff712cca202a9e047ad
Description-en: radius client library for PHP
 This package is based on the libradius of FreeBSD, with some
 modifications and extensions.  This PECL provides full support for
 RADIUS authentication (RFC 2865) and RADIUS accounting (RFC 2866),
 works on Unix and on Windows. Its an easy way to authenticate your
 users against the user-database of your OS (for example against
 Windows Active-Directory via IAS).

Package: php-raintpl
Description-md5: 15f1e6d779304a9893c474d6d969619f
Description-en: “the easiest” Template Engine for PHP
 RainTPL is an easy template engine for PHP that enables designers and
 developers to work better together, it loads HTML template to separate
 the presentation from the logic. Features:
 .
  * Easy for designers, only 10 tags, {$variable}, {#constant#},
    {include}, {loop}, {if}, { comment }, {noparse}, {function}
  * Easy for developers, 5 methods to load and draw templates.
  * Powerful, modifier and operation with variables
  * Extensible, load plugins and register new tags
  * Secure, sandbox with blacklist.
 .
 Note that this is the PHP Composer version of RainTPL version 3; if you
 require the older Rain.TPL (version 2) API, use the Debian package
 “raintpl” instead.

Package: php-random-compat
Description-md5: b60816fca5e7a72ff3497652e4864465
Description-en: PHP 5.x polyfill for random_bytes() and random_int() from PHP 7
 The random_compat polyfill provides simple interfaces for cryptographically
 secure random number generators added in PHP 7.0.0:
  * random_bytes(int) generates an arbitrary number of random bytes
  * random_int(int, int) returns a random integer between two given values
    (inclusive)

Package: php-raphf
Description-md5: 7891f6a21bfd7bdd53474230b6210a3e
Description-en: raphf module for PHP
 A reusable split-off of pecl_http&apos;s persistent handle and
 resource factory API.

Package: php-raphf-dev
Description-md5: b9816d6f3d83ad92c9ea5a9dd1503471
Description-en: raphf module for PHP development headers [dummy]
 This is a transitional dummy package and can be safely removed.

Package: php-ratchet-pawl
Description-md5: be4bd7ecd918020522d0229095efc686
Description-en: Asynchronous WebSocket client for RatchetPHP
 Pawl is a WebSocket client for the RatchetPHP framework. It can
 be used both as a standalone app, or as a library. While implementing
 the standard WebSocket protocol, it can best be used with
 ratchet-rfc6455.

Package: php-ratchet-rfc6455
Description-md5: 12ba74dd874ed988311e4ec6de037412
Description-en: Implementation of the WebSocket protocol for PHP
 This library implements a protocol handler for the RFC6455 (WebSocket)
 specification. It contains components for both server and client side
 handshake and messaging protocol negotiation.
 .
 Aspects that are left open to interpreation in the specification are
 also left open in this library.
 .
 The implementation is independent, framwork-agnostic and does not deal
 with I/O itself. HTTP negotiation is handled with PSR-7 interfaces.

Package: php-react-cache
Description-md5: 384ff977e7ad96a9806e2b41ad46d5be
Description-en: Async, promise-based cache component for ReactPHP
 This library is a cache interface for ReactPHP.
 .
 The cache component provides a promise-based CacheInterface and an
 in-memory ArrayCache implementation of that.  This allows consumers to type
 hint against the interface and third parties to provide alternate
 implementations.

Package: php-react-child-process
Description-md5: 63f1167c128f1258fbbde3ac6ff1fa35
Description-en: Event-driven library for executing child processes with ReactPHP
 This library integrates Program Execution with the EventLoop. Child
 processes launched may be signaled and will emit an exit event upon
 termination. Additionally, process I/O streams (i.e. STDIN, STDOUT,
 STDERR) are exposed as Streams.

Package: php-react-dns
Description-md5: 85f43a7418b68a4d09da92ef9fa08122
Description-en: Asynchronous DNS resolver for ReactPHP
 This library is an asynchronous DNS resolver for ReactPHP.
 .
 The main point of the DNS component is to provide async DNS resolution.
 However, it is really a toolkit for working with DNS messages, and could
 easily be used to create a DNS server.

Package: php-react-event-loop
Description-md5: a1c4a6743d349bfd9f1b5097d1cd5226
Description-en: ReactPHP's core reactor event loop for evented I/O
 This library implements a central event loop for asynchronous
 applications written in ReactPHP.
 .
 In order for async based libraries to be interoperable, they need to use
 the same event loop.  This component provides a common LoopInterface that
 any library can target.  This allows them to be used in the same loop, with
 one single run() call that is controlled by the user.

Package: php-react-http
Description-md5: 5ec09766a3d6bdee59f21009f2285f0e
Description-en: Event-driven, streaming HTTP/HTTPS server for ReactPHP
 This library implements an event-driven, streaming
 plaintext HTTP and secure HTTPS server for ReactPHP.

Package: php-react-promise
Description-md5: 1c96c62d288ad757392142ddb2df7b95
Description-en: lightweight implementation of CommonJS Promises/A for PHP
 React/Promise also provides several other useful promise-related
 concepts, such as joining multiple promises and mapping and reducing
 collections of promises.
 It is part of ReactPHP.

Package: php-react-promise-stream
Description-md5: 5e4465a0c0495a2c1d5c1f62d7112b8d
Description-en: Link between promises and streams in ReactPHP
 This library provides the "missing link" between promises and
 streams in ReactPHP.
 .
 It contains wrappers that provided promises that resolve when
 streams get into various states, like resolving a promise
 once a stream closes.

Package: php-react-promise-timer
Description-md5: 07e5892886dbba50f9788c08cc748750
Description-en: Trivial implementation of timeouts for promises on top of ReactPHP
 The PromiseTimer can be used to set time limits on resolving promises
 in ReactPHP applications. The timer can be used to cancel asynchronous
 operations that take too long to complete.

Package: php-react-socket
Description-md5: d0e8b493f5f75fc92d6f633d9dccba11
Description-en: Asynchronous client and server socket connections for ReactPHP
 This library implements asynchronous TCP/IP client and server connections for
 ReactPHP, both plaintext and TLS-secured.
 .
 The socket library provides re-usable interfaces for a socket-layer server
 and client based on the EventLoop and Stream components. Its server component
 allows one to build networking servers that accept incoming connections from
 networking clients (such as an HTTP server). Its client component allows one
 to build networking clients that establish outgoing connections to
 networking servers (such as an HTTP or database client). This library
 provides async, streaming means for all of this, so you can handle multiple
 concurrent connections without blocking.

Package: php-react-stream
Description-md5: 9906cbbae307a02323f9917efaf7fa85
Description-en: Event-driven streams for non-blocking I/O in ReactPHP
 This library provides event-driven, readable and writable, streams
 for non-blocking I/O in ReactPHP.
 .
 In order to make the EventLoop easier to use, this component introduces the
 powerful concept of "streams".  Streams allow you to efficiently process
 huge amounts of data (such as a multi Gigabyte file download) in small
 chunks without having to store everything in memory at once.  They are very
 similar to the streams found in PHP itself, but have an interface more
 suited for async, non-blocking I/O.

Package: php-readline
Description-md5: d2d194f887de0df5b0cea5c74dd75b4c
Description-en: readline module for PHP [default]
 This package provides a readline module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-redis
Description-md5: b8a3de89cf7f4311899f1f5751dbc60a
Description-en: PHP extension for interfacing with Redis
 This extension allows php applications to communicate with the Redis
 persistent key-value store.  The php-redis module provides an easy
 object oriented interface.

Package: php-remctl
Description-md5: 49d38d34b6d804166e0b5cc79ec75c40
Description-en: PECL module for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the PECL remctl module for PHP 7, which provides
 native PHP bindings for the libremctl client library.

Package: php-respect-validation
Description-md5: 654dbddc2051901200772e68302508b3
Description-en: PHP validation engine
 The most awesome validation engine ever created for PHP.
 .
  * Complex rules made simple:
    v::numeric()->positive()->between(1, 255)->validate($input)
  * Granularity control for advanced reporting.
  * More than 100 (fully tested) validators.
  * A concrete API for non fluent usage.
  * Works on PHP 5.4+ or HHVM 3.3+

Package: php-robmorgan-phinx
Description-md5: 3ec1212781249d7ab56a28e9fd2a3937
Description-en: Simple PHP Database Migrations
 Phinx makes it ridiculously easy to manage the database migrations for
 your PHP app. In less than 5 minutes, you can install Phinx and create
 your first database migration. Phinx is just about migrations without
 all the bloat of a database ORM system or framework.

Package: php-rrd
Description-md5: 76fef5c0491b279d51f3955f0b75ffe8
Description-en: PHP bindings to rrd tool system
 Procedural and simple OO wrapper for rrdtool - data logging and
 graphing system for time series data.

Package: php-sabre-vobject
Description-md5: 5578f1f602c86259c7558101fb403c0a
Description-en: library to parse and manipulate iCalendar and vCard objects
 The SabreTooth VObject library allows one to easily parse and
 manipulate iCalendar and vCard objects using PHP. The goal of the
 VObject library is to create a very complete library, with an easy to
 use API.
 .
 This project is a spin-off from SabreDAV, where it has been used for
 several years.

Package: php-sass
Description-md5: e7b6af03376ede48b691c247fcd5ace0
Description-en: PHP bindings to libsass - fast, native Sass parsing in PHP
 The sass extension for PHP gives you an object-oriented system of parsing Sass
 from within your PHP applications. Under the hood it uses libsass to provide
 super speedy and compatible Sass parsing.

Package: php-seclib
Description-md5: 10e7f76b4666e87ee17d51c011b757e1
Description-en: implementations of an arbitrary-precision integer arithmetic library
 The PHP Secure Communications Library are fully PKCS#1 (v2.1) compliant
 RSA, DES, 3DES, RC4, Rijndael, AES, Blowfish, Twofish, SSH-1, SSH-2, SFTP, and
 X.509 implementations.

Package: php-services-json
Description-md5: b493cb2de352ff70c1bc795d08e02f1d
Description-en: PHP implementaion of json_encode/decode
 JSON (JavaScript Object Notation, http://json.org) is a lightweight
 data-interchange format. It is easy for humans to read and write. It is easy
 for machines to parse and generate. It is based on a subset of the JavaScript
 Programming Language, Standard ECMA-262 3rd Edition - December 1999. This
 feature can also be found in Python. JSON is a text format that is completely
 language independent but uses conventions that are familiar to programmers of
 the C-family of languages, including C, C++, C#, Java, JavaScript, Perl, Tcl,
 and many others. These properties make JSON an ideal data-interchange
 language.
 .
 This package provides a simple encoder and decoder for JSON notation. It is
 intended for use with client-side Javascript applications that make use of
 HTTPRequest to perform server communication functions - data can be encoded
 into JSON notation for use in a client-side javascript, or decoded from
 incoming Javascript requests. JSON format is native to Javascript, and can be
 directly eval()'ed with no further parsing overhead.

Package: php-services-weather
Description-md5: d6402f45916ac61e0dedb90ca89e1727
Description-en: This class acts as an interface to various online weather-services
 Services_Weather searches for given locations and retrieves current
 weather data and, dependent on the used service, also forecasts. Up to
 now, GlobalWeather from CapeScience, Weather XML from EJSE (US only),
 a XOAP service from Weather.com and METAR/TAF from NOAA are supported.
 Further services will get included, if they become available, have a
 usable API and are properly documented.

Package: php-shellcommand
Description-md5: 5462d16adf70539efb557f80d381f37d
Description-en: An object oriented interface to shell commands
 php-shellcommand provides a simple object oriented interface to execute
 shell commands.

Package: php-soap
Description-md5: a06ae54e46b8b7136f46fae96ccce23b
Description-en: SOAP module for PHP [default]
 This package provides a SOAP module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-solr
Description-md5: d404cb885f81e4bef1b57c8bb1c01e9b
Description-en: PHP extension for communicating with Apache Solr server
 The Apache Solr PHP extension is an extremely fast, light-weight,
 feature-rich library that allows PHP applications to communicate
 easily and efficiently with Apache Solr server instances using an
 object-oriented API.
 .
 It effectively simplifies the process of interacting
 with Apache Solr using PHP and it already comes with built-in
 readiness for the latest features.
 .
 The extension has features such as built-in, serializable query
 string builder objects which effectively simplifies the manipulation
 of name-value pair request parameters across repeated requests.  The
 response from the Solr server is also automatically parsed into
 native php objects whose properties can be accessed as array keys or
 object properties without any additional configuration on the
 client-side.
 .
 Its advanced HTTP client reuses the same connection across multiple
 requests and provides built-in support for connecting to Solr servers
 secured behind HTTP Authentication or HTTP proxy servers.  It is also
 able to connect to SSL-enabled containers.
 .
 PECL Solr 2+ is only compatible with Apache Solr Server 4.0+.

Package: php-sql-formatter
Description-md5: bd0489d57efc409ce83770e4c3cf5cc8
Description-en: a PHP SQL highlighting library
 SqlFormatter is a lightweight PHP class to format SQL statements.
 It can automatically indent and add line breaks in addition to syntax
 highlighting.

Package: php-ssh2
Description-md5: 8adc0199b55f9ddf168edba900604566
Description-en: Bindings for the libssh2 library
 Provides PHP bindings to the functions of libssh2 which implements
 the SSH2 protocol.
 .
 WARNING: The PHP 7.0 is an unreleased git snapshot of current ssh2
 that implements support for PHP 7.0. USE WITH CAUTION!

Package: php-stomp
Description-md5: a388bef12448d244d9d25941bc1b0664
Description-en: Streaming Text Oriented Messaging Protocol (STOMP) client module for PHP
 This extension allows php applications to communicate with any STOMP
 (Streaming Text Oriented Messaging Protocol) compliant Message
 Brokers (e.g. Apache ActiveMQ) through easy object oriented and
 procedural interfaces.

Package: php-swiftmailer
Description-md5: 8ad40ec3d3dfe7a8603af503a47dd558
Description-en: Swiftmailer, free feature-rich PHP mailer
 Swift Mailer is component based mailing solution for PHP 5
 Send emails using SMTP, sendmail, postfix or a custom Transport
 implementation of your own. It Supports servers that require username
 and password and/or encryption.
 .
 Features:
  - SMTP authentication
  - event-driven plugins to customize the library
  - MIME compliant HTML/multipart emails
  - large attachments and inline/embedded images with low memory use

Package: php-sybase
Description-md5: 944779b0524c97810b4198fddc5ceada
Description-en: Sybase module for PHP [default]
 This package provides a Sybase module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-symfony
Description-md5: 6bd880529267e45057ec9b7f9914c8cd
Description-en: set of reusable components and framework for web projects
 The Symfony PHP framework allows one to create websites and web
 applications. It’s a set of tools and a development methodology.
 .
 This package depends on every component. Applications depending on
 Symfony should rather depend on individual components actually needed
 by them.

Package: php-symfony-amazon-mailer
Description-md5: 0c125a7fbdde02a81b728de22858d757
Description-en: Symfony Amazon Mailer Bridge
 The Symfony Amazon Mailer Bridge provides Amazon SES integration
 for Symfony Mailer.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-asset
Description-md5: 88a909d373c0ea1ef4bed59e6fdd1714
Description-en: manage asset URLs
 The Symfony Asset Component manages URL generation and versioning of
 web assets such as CSS stylesheets, JavaScript files and image files.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-browser-kit
Description-md5: c68bebb46c44e60ae7ac981ae91b54c7
Description-en: simulate the behavior of a web browser
 The Symfony BrowserKit Component provides only an abstract client.
 It does not provide any "default" backend for the HTTP layer.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-cache
Description-md5: b1cc2d20d3bf89a3b747beea66522714
Description-en: Symfony Cache component with PSR-6, PSR-16, and tags
 The Symfony Cache Component provides an extended PSR-6 implementation for
 adding cache to applications. It is designed to have a low overhead so
 that caching is fastest. It ships with a few caching adapters for the
 most widespread and suited to caching backends. It also provides a
 `doctrine/cache` proxy adapter  to cover more advanced caching needs
 and a proxy adapter for greater interoperability between PSR-6
 implementations.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-cache-contracts
Description-md5: 0915807de730a501890876b50fa60f44
Description-en: Generic abstractions related to caching
 Symfony Contracts can be used to build on semantics that the Symfony components
 proved useful - and that already have battle tested implementations.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-config
Description-md5: 15f26ec519ede2b9680ba325d2402e15
Description-en: load configurations from different data sources
 The Symfony Config Component provides the infrastructure for loading
 configurations from different data sources and optionally monitoring
 these for changes. Additional tools for validating, normalizing and
 handling of defaults are provided.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-console
Description-md5: 1569b7c3031ce5cf720dddb0ed7582d9
Description-en: run tasks from the command line
 The Symfony Console Component can be used to implement recurring
 or long running tasks, such as cronjobs, imports or other batch
 jobs. Additionally it assists the creation of nice looking command
 line interfaces.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-contracts
Description-md5: c038c4470fffa16c77e2c55c496f8bf4
Description-en: A set of abstractions extracted out of the Symfony components
 Symfony Contracts can be used to build on semantics that the Symfony components
 proved useful - and that already have battle tested implementations.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-css-selector
Description-md5: 116c5f752f6f8c12d73cc780f3ee9813
Description-en: convert CSS selectors to XPath expressions
 The Symfony CssSelector Component can be used to convert CSS selectors
 to XPath expressions. It basically is a port of the Python lxml library.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-debug
Description-md5: 0ec77704ff27294fb4b4208315107350
Description-en: tools to make debugging of PHP code easier
 The Symfony Debug Component contains various tools to assist
 PHP code debugging.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-debug-bundle
Description-md5: 2065529ae7d1929a2fdb76f7e59a91a1
Description-en: debugging tools for the Symfony framework
 Symfony DebugBundle allows an application using it to
 dump variables from PHP or Twig in the web debug toolbar.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-dependency-injection
Description-md5: ad53f72bd89233731e3bed605af700b4
Description-en: standardize and centralize construction of objects
 The Symfony DependencyInjection Component standardizes and centralizes
 the way objects are constructed in an application.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-doctrine-bridge
Description-md5: d68f0864d6a4b6aa9fa3b14f3823b097
Description-en: integration for Doctrine with Symfony Components
 The Symfony Doctrine Bridge integrates the Doctrine Project
 with various Symfony Components.
 .
 The Doctrine Project provides several libraries primarily focused on
 database storage and object mapping.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-dom-crawler
Description-md5: 924f5cf04b02920148ecf212ea3cf6eb
Description-en: ease DOM navigation for HTML and XML documents
 The Symfony DomCrawler Component provides methods to query and
 manipulate HTML and XML documents.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-dotenv
Description-md5: 93a097f6177c2ff81767d40edb48694c
Description-en: .env files parser to make environment variables accessible
 The Symfony Dotenv Component parses .env files to make environment variables
 stored in them accessible via getenv(), $_ENV, or $_SERVER.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-event-dispatcher
Description-md5: e19f6f76d41e99d4860e64448d349b37
Description-en: dispatch events and listen to them
 The Symfony EventDispatcher Component implements the mediator pattern
 and thus allows individual parts of an application to communicate
 with each other by dispatching events and listening to them.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-event-dispatcher-contracts
Description-md5: 2e91db8d05f6b1a30ee8b6d6fa8a3d99
Description-en: Generic abstractions related to dispatching event
 Symfony Contracts can be used to build on semantics that the Symfony components
 proved useful - and that already have battle tested implementations.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-expression-language
Description-md5: 61eb76d07c97273dce968594b943678e
Description-en: compile and evaluate expressions
 The Symfony ExpressionLanguage Component provides an engine that can compile
 and evaluate expressions. An expression is a one-liner that returns a value
 (mostly, but not limited to, Booleans).
 .
 By default, the engine implements simple math and logic functions, method
 calls, property accesses, and array accesses.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-filesystem
Description-md5: 8259d68e5d2b27490a550f4be2e51a70
Description-en: basic filesystem utilities
 The Symfony Filesystem Component provides basic utilities to work
 with the filesystem, like creating directories, removing files or
 adding symlinks.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-finder
Description-md5: 66b7bfe07c34cf3c994a2e6c674c5f95
Description-en: find files and directories
 The Symfony Finder Component provides an intuitive interface to
 find files and directories.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-form
Description-md5: ef776c698e81ff530a4e27727d43a105
Description-en: create HTML forms and process request data
 The Symfony Form Component provide tools for defining HTML
 forms, rendering their request data and mapping this to related models.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-framework-bundle
Description-md5: 9a947d91c567199ccb78a5bf72697f1e
Description-en: basic, robust and flexible MVC framework
 Symfony FrameworkBundle contains most of the base framework
 functionality and can be configured by an application using it,
 including settings related to sessions, translation, forms,
 validation, routing and more.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-google-mailer
Description-md5: 5f2e51be8de6bd4974642ba06e0e753d
Description-en: Symfony Google Mailer Bridge
 The Symfony Google Mailer Bridge provides Google Gmail integration
 for Symfony Mailer.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-http-client
Description-md5: 5e0289887a5a15eb6d84e290ad307181
Description-en: methods to fetch HTTP resources synchronously or asynchronously
 The Symfony HttpClient component is a low-level HTTP client
 with support for both PHP stream wrappers and cURL. It also provides
 utilities to consume APIs.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-http-client-contracts
Description-md5: 9ec5255d9a088915983007680a226e72
Description-en: Generic abstractions related to HTTP clients
 Symfony Contracts can be used to build on semantics that the Symfony components
 proved useful - and that already have battle tested implementations.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-http-foundation
Description-md5: d53a90c044793b166e5b9ae8cf6b45b3
Description-en: object-oriented layer for the HTTP specification
 The Symfony HttpFoundation Component provides an object-oriented
 abstraction for dealing with requests, responses,
 uploaded files, cookies and sessions.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-http-kernel
Description-md5: c939e66572524bf5395e9b5e6788d97b
Description-en: building blocks for flexible and fast HTTP-based frameworks
 The Symfony HttpKernel Component provides a structured process for
 converting requests into responses and is flexible enough to build
 full-stack frameworks like Symfony as well as micro frameworks
 like Silex on top of it.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-inflector
Description-md5: f0cf30a23d0a0200e981e81f8b6b3abf
Description-en: words conversion between their singular and plural forms
 The Symfony Inflector Component converts words between their singular
 and plural forms (English only).
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-intl
Description-md5: e5f69f9da5edbf6c511b33a98adf8407
Description-en: limited replacement layer for the PHP extension intl
 The Symfony Intl Component provides a replacement layer for the PHP
 extension intl that is limited to the English locale and thus can
 not fully substitute the PHP extension it intends to replace.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-ldap
Description-md5: 20a0e8ffc7c2454a293593dbe64b209f
Description-en: abstraction layer for the PHP LDAP module
 The Symfony Ldap Component provides an abstraction layer for the
 functions provided by the LDAP module for PHP (php-ldap).
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-lock
Description-md5: 6e6df2e5f63586e04d7404ab7e933140
Description-en: create and manage locks
 The Symfony Lock Component creates and manages locks, a
 mechanism to provide exclusive access to a shared resource.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-mailchimp-mailer
Description-md5: 09b37697c6b302c8905fdc1740b0c819
Description-en: Symfony Mailchimp Mailer Bridge
 The Symfony Mailchimp Mailer Bridge provides Mandrill integration
 for Symfony Mailer.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-mailer
Description-md5: 4025081749f53af1b72394425800796b
Description-en: help sending emails
 The Symfony Mailer Component helps sending emails.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-mailgun-mailer
Description-md5: f1a0e831846549b889aab08d67833dda
Description-en: Symfony Mailgun Mailer Bridge
 The Symfony Mailgun Mailer Bridge provides Mailgun integration
 for Symfony Mailer.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-messenger
Description-md5: 7278cb93ab652fbcd91802004a71ae83
Description-en: send and receive messages
 The Symfony Messenger Component helps application send and receive
 messages to/from other applications or via message queues.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-mime
Description-md5: d1fea01ca1b6d6d8f384d0bd704859db
Description-en: A library to manipulate MIME messages
 The MIME Symfony component allows manipulating the MIME messages used to
 send emails and provides utilities related to MIME types.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-monolog-bridge
Description-md5: 848175192661a328104a676e6dfc1c25
Description-en: integration for Monolog with Symfony Components
 The Symfony Monolog Bridge integrates Monolog with various
 Symfony Components.
 .
 Monolog can be used to send logs to various destination and web services.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-options-resolver
Description-md5: 475754b8f3b3ae26ddd6a4fe2bb3cdc8
Description-en: configure objects with option arrays
 The Symfony OptionsResolver Component allows the configuration of objects
 with option arrays by supporting default values on different levels
 of class hierarchy, option constraints and lazy options whose default
 value depends on the value of another option.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-phpunit-bridge
Description-md5: 77ee802d5294f9b9c892ff8beb50f6e0
Description-en: integration for PHPUnit with Symfony Components
 The Symfony PHPUnit Bridge integrates PHPUnit with various
 Symfony Components.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the
 xUnit testing framework.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-postmark-mailer
Description-md5: 5b6e250a7e086726d22b1ae7e31774b7
Description-en: Symfony Postmark Mailer Bridge
 The Symfony Postmark Mailer Bridge provides Postmark integration
 for Symfony Mailer.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-process
Description-md5: 59c0b81a006da12ce1ef874262e1e20b
Description-en: execute commands in sub-processes
 The Symfony Process Component helps with executing commands in
 sub-processes by providing easy access to standard and error output
 in real-time. It is especially handy for dealing with long running
 sub-processes due to its feature to run them asynchronously, while
 still providing access to their full and incremental output. It
 additionally supports signals, provides the PID of a sub-process
 and allows the definition of process timeouts.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-property-access
Description-md5: 36fcf0d0c66b36cc314f16b24b572c28
Description-en: read from and write to an object or array
 The Symfony PropertyAccess Component provides functions to read from
 and write to an object or array using a simple string notation.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-property-info
Description-md5: bda4c746bb05428d6e7ac86e28dcd771
Description-en: extract information about properties of PHP classes
 The Symfony Property Info Component extracts information about the
 properties of PHP classes using metadata of popular sources like
 Doctrine, PHP Reflection or PHPdoc.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-proxy-manager-bridge
Description-md5: 5f11ff13c631bdc326ba0dce24821029
Description-en: integration for ProxyManager with Symfony Components
 The Symfony ProxyManager Bridge integrates ProxyManager with various
 Symfony Components.
 .
 ProxyManager is a library that provides abstraction for generating various
 kinds of proxy classes.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-routing
Description-md5: c757fffcf018426da9cb7cba87a7e9b9
Description-en: associate a request with code that generates a response
 The Symfony Routing Component makes it easy to map HTTP requests to
 the code of an application that is able to process the request and
 generates a corresponding response. It thus serves as a router for an
 application, but should not be confused with a network router.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-security
Description-md5: 94e3d30300c308f4cd9a51790ccf140c
Description-en: infrastructure for sophisticated authorization systems
 The Symfony Security Component makes it possible to easily separate
 authorization logic from user providers that hold the users credentials.
 It ships with facilities for authenticating using HTTP basic or digest
 authentication, interactive form login or X.509 certificate login.
 Furthermore it optionally also allows an application to implement its
 own authentication strategies.
 In addition the component contains an advanced ACL system and provides
 ways to authorize authenticated users based on their roles.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-security-acl
Description-md5: b41388a185cb2f595f78a1804f7f07b2
Description-en: Symfony Security Component - ACL (Access Control List)
 The Security Component - ACL (Access Control List) is an advanced ACL system
 that provides ways to authorize authenticated users based on their roles.
 .
 The Symfony Security Component provides an infrastructure for sophisticated
 authorization systems, which makes it possible to easily separate the actual
 authorization logic from so called user providers that hold the users
 credentials. It is inspired by the Java Spring framework.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-security-bundle
Description-md5: c24d30656781255a806ab744b355c79b
Description-en: configurable security system for the Symfony framework
 Symfony SecurityBundle allows an application using it to
 configure security related matters like authentication and
 authorization within the Symfony framework.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-security-core
Description-md5: a176c359ac7c648e5336fe605fd9b9c1
Description-en: infrastructure for authorization systems - common features
 The Symfony Security Component - Core Library provides all the common
 security features, from authentication to authorization and from
 encoding passwords to loading users.
 .
 The Symfony Security Component makes it possible to easily separate
 authorization logic from user providers that hold the users credentials.
 It ships with facilities for authenticating using HTTP basic or digest
 authentication, interactive form login or X.509 certificate login.
 Furthermore it optionally also allows an application to implement its
 own authentication strategies.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-security-csrf
Description-md5: 3c0b91eafcffdef0046a3cac7122259b
Description-en: infrastructure for authorization systems - CSRF protection
 The Symfony Security Component - CSRF Library provides a class
 `CsrfTokenManager` for generating and validating CSRF (cross-site
 request forgery) tokens.
 .
 The Security Component makes it possible to easily separate
 authorization logic from user providers that hold the users credentials.
 It ships with facilities for authenticating using HTTP basic or digest
 authentication, interactive form login or X.509 certificate login.
 Furthermore it optionally also allows an application to implement its
 own authentication strategies.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-security-guard
Description-md5: 4c38ff162a0bd13be1308da93093d64b
Description-en: infrastructure for authorization systems - Guard features
 The Symfony Security Component - Guard brings many layers
 of authentication together, making it much easier to create complex
 authentication systems where you have total control.
 .
 The Security Component makes it possible to easily separate
 authorization logic from user providers that hold the users credentials.
 It ships with facilities for authenticating using HTTP basic or digest
 authentication, interactive form login or X.509 certificate login.
 Furthermore it optionally also allows an application to implement its
 own authentication strategies.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-security-http
Description-md5: b7bc72e925efb1528754645739593128
Description-en: infrastructure for authorization systems - HTTP integration
 The Symfony Security Component - HTTP Integration allows one
 to handle HTTP requests and responses.
 .
 The Security Component makes it possible to easily separate
 authorization logic from user providers that hold the users credentials.
 It ships with facilities for authenticating using HTTP basic or digest
 authentication, interactive form login or X.509 certificate login.
 Furthermore it optionally also allows an application to implement its
 own authentication strategies.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-sendgrid-mailer
Description-md5: 8af8682ca2efa4103678687bff5a484e
Description-en: Symfony Sendgrid Mailer Bridge
 The Symfony Sendgrid Mailer Bridge provides Sendgrid integration
 for Symfony Mailer.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-serializer
Description-md5: a54d8e2131ba05cda7ee8c1b198b84b0
Description-en: convert PHP objects into specific formats and vice versa
 The Symfony Serializer Component can be used to serialize PHP objects
 into array structures or other formats like XML and JSON. It also
 supports deserializing of XML and JSON back to PHP objects.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-service-contracts
Description-md5: c1a204e4fe93cefb1cca7fef9649c37c
Description-en: Generic abstractions related to writing services
 Symfony Contracts can be used to build on semantics that the Symfony components
 proved useful - and that already have battle tested implementations.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-stopwatch
Description-md5: 30acc09f66c2e723fc2b5c01c79d1bb0
Description-en: profile PHP code
 The Symfony Stopwatch Component provides an easy and consistent way
 to measure execution time of certain parts of PHP code.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-templating
Description-md5: 9d622e976a5f8614d9c99045d3eca768
Description-en: tools needed to build a template system
 The Symfony Templating Component provides an infrastructure to load
 template files and optionally monitor them for changes. It also provides
 a concrete template engine implementation using PHP with additional tools
 for escaping and separating templates into blocks and layouts.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-translation
Description-md5: aaeb9bb9d9b29bde6e7640fd354967b7
Description-en: tools to internationalize an application
 The Symfony Translation Component provides tools for loading
 translation files in order to generate translated strings
 from them by respecting pluralization.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-translation-contracts
Description-md5: ca3732ab18120c7f9c6439b765dc824c
Description-en: Generic abstractions related to translation
 Symfony Contracts can be used to build on semantics that the Symfony components
 proved useful - and that already have battle tested implementations.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-twig-bridge
Description-md5: 251f86281b349843d33dc81db9a32088
Description-en: integration for Twig with Symfony Components
 The Symfony Twig Bridge integrates Twig with various
 Symfony Components.
 .
 Twig is a flexible, fast, and secure template engine for PHP.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-twig-bundle
Description-md5: 4f5fd8099ab438a94235e8384b314993
Description-en: configurable integration of Twig with the Symfony framework
 Symfony TwigBundle integrates Twig with the Symfony framework
 by allowing an application using it to configure the Twig environment.
 .
 Twig is a flexible, fast, and secure template engine for PHP.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-validator
Description-md5: b5d1d19a1f6bd04079febbb0309c2065
Description-en: tools to validate classes
 The Symfony Validator Component validates PHP objects against
 validation rules defined for their classes, which can be specified
 using XML, YAML, PHP or annotations. It is inspired by the JSR-303.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-var-dumper
Description-md5: e076874e7e61413220095340e5a7a10e
Description-en: Symfony mechanism for exploring and dumping PHP variables
 The Symfony VarDumper Component provides mechanisms to walk through
 any arbitrary PHP variable. Build on top of those, it features a dump()
 function as an improved alternative to the php build-in var_dump().
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-var-exporter
Description-md5: 103c6a70898fada4c9aebb4b64ac8c99
Description-en: export serializable PHP data structure to plain PHP code
 A blend of var_export() + serialize() to turn any serializable data structure
 to plain PHP code.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-web-link
Description-md5: abb773430ffcf10b6fd91809f3888957
Description-en: manage links between resources
 The Symfony WebLink Component manages links between resources. It is
 particularly useful to advise clients to preload and prefetch documents
 through HTTP and HTTP/2 pushes.
 .
 This component implements the HTML5's Links, Preload and Resource Hints W3C's
 specifications. It can also be used with extensions defined in the HTML5 link
 type extensions wiki.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-web-profiler-bundle
Description-md5: 204363f432ac642e05027694f91b814e
Description-en: collect requests information for analysis and debugging
 Symfony WebProfilerBundle collects information about each request
 made to an application using it and stores them for later analysis.
 It includes a visual interface for analyzing profiling data as well as
 a toolbar for instant access to a lot of interesting information for
 debugging purposes.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-web-server-bundle
Description-md5: fcdb59772498e6f3bb87c87e188b153d
Description-en: provide commands for applications using the PHP built-in web server
 Symfony WebServerBundle provides commands for running applications
 using the PHP built-in web server. It simplifies local development setup,
 avoiding the configuration of a proper web server such as Apache or Nginx to
 run an application.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-workflow
Description-md5: 7404984ecb15d1875d059fa222b3c046
Description-en: manage a workflow or finite state machine
 The Symfony Workflow Component provides tools for managing a workflow or
 finite state machine.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-symfony-yaml
Description-md5: 53821297c9974ad1eee5a69ecdb7d9c7
Description-en: convert YAML to PHP arrays and the other way around
 The Symfony Yaml Component converts YAML strings into PHP arrays.
 It also can be used to convert PHP arrays to YAML strings.
 .
 Symfony is a PHP framework, a set of tools and a development methodology.

Package: php-tcpdf
Description-md5: 6540db8ac23f6d39ebc463dc6f843868
Description-en: PHP class for generating PDF files on-the-fly
 TCPDF is a library to generate PDF files that does not require external
 extensions. It also includes a class to extract data from existing PDF
 documents and classes to generate 1D and 2D barcodes in various formats.
 .
 TCPDF has been originally derived from the Public Domain FPDF class by
 Olivier Plathey (https://www.fpdf.org).
 .
 Its main features are:
 .
  * an extensive API to control the generated content and its layout
    (including using XHTML as input);
  * works without external libraries;
  * works with any language (UTF-8 and RTL support);
  * supports TrueTypeUnicode, TrueType, Type1 and CID-0 fonts;
  * supports all page formats (standardized and customized);
  * supports most PDF features:
    - encryption and digital signatures
    - compression
    - bookmarks
    - javascript and forms support
    - PDF annotations, including links, text and file attachments
    - XOBject Templates (layers and object visibility, PDF/A-1b support)
  * supports barcode generation (multiple formats);
  * automatic hyphenation and page break.

Package: php-text-captcha
Description-md5: 6138d5f06c16d59c4921dea3b2d9c246
Description-en: Generation of CAPTCHAs
 .
 Implementation of CAPTCHAs (completely
 automated public Turing test to tell computers
 and humans apart)

Package: php-text-figlet
Description-md5: 27649af27ceddb47f11966c2c47939c1
Description-en: Engine for use FIGlet fonts to rendering text
 The Text_Figlet is an engine for using FIGlet fonts to rendering text. With
 Text_Figlet you can create ASCII-art like text using FIGlet font description
 files (FIGlet fonts). The package supports horizontal smushing, German symbols
 (e.g. äöü), RTL and LTR writing directions as well as incomplete and gzipped
 fonts (as long as php's zlib extension is installed).

Package: php-text-languagedetect
Description-md5: f1df2b55b6f782dc5ba681e96644e80a
Description-en: Language detection class
 Text_LanguageDetect can identify 52 human languages from text samples and
 return confidence scores for each.

Package: php-text-password
Description-md5: 6ffbf4082175f441578dbd9dbea21cda
Description-en: Creating passwords with PHP
 Text_Password allows one to create pronounceable and unpronounceable
 passwords. The full functional range is explained in the manual at
 http://pear.php.net/manual/.

Package: php-text-template
Description-md5: 524e36518a432c647f0097b7d95d6d20
Description-en: Simple template engine
 Text_Template is a simple PHP templating engine used by PHPUnit.
 PHPUnit is a unit testing suite for the PHP language, modelled on the
 xUnit testing framework, designed by Kent Beck and Erich Gamma.

Package: php-text-wiki
Description-md5: 4616702e23b8aede9feea78b923ae665
Description-en: transform Wiki and BBCode markup into XHTML, LaTeX or plain text markup
 The text transformation is done in 2 steps.
 The chosen parser uses markup rules to tokenize the tags and content.
 Renderers output the tokens and text into the requested format.
 The tokenized form replaces the tags by a protected byte value associated to
 an index in an options table. This form shares up to 50 rules by all parsers
 and renderers.
 The package is intented for versatile transformers as well as converters.
 Text_Wiki is delivered with its own parser, which is used by Yawiki or Horde's
 Wicked and three basic renderers: XHTML , LaTeX and plain text.
 Strong sanitizing of XHTML is default.
 Parsers (* and Renderers) exist for BBCode, Cowiki (*), Dokuwiki (*),
 Mediawiki and Tikiwiki (*).
 It is highly configurable and can be easily extended.

Package: php-thrift
Description-md5: 6f7bc6388c2b4aee43e5d7f1d200fc92
Description-en: PHP language support for Thrift
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization. It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package provides the PHP5 language support for Thrift.

Package: php-tideways
Description-md5: 71ce8c8b0e6b853451945195d3e5d48f
Description-en: Tideways PHP Profiler Extension
 The Profiler extension contains functions for finding performance
 bottlenecks in PHP code.  The extension is one core piece of
 functionality for the Tideways Profiler Platform.  It solves the
 problem of efficiently collecting, aggregating and analyzing the
 profiling data when running a Profiler in production.

Package: php-tijsverkoyen-css-to-inline-styles
Description-md5: 9c11b16428db21927fdf3714186d06f9
Description-en: convert HTML into HTML with inline styles
 CssToInlineStyles is a class that enables you to convert HTML-pages/files into
 HTML-pages/files with inline styles. This is very useful when you're sending
 emails.

Package: php-timer
Description-md5: 437ed909504fba359c182045a9e99047
Description-en: Utility class for timing
 PHP_Timer is a utility class for timing used by PHPUnit. PHPUnit is
 a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: php-token-stream
Description-md5: 06a5dbcb02b973ff7f4040b9e2cc69a8
Description-en: Wrapper around PHP's tokenizer extension
 PHP_TokenStream is a wrapper around PHP's tokenizer extension used by
 PHPUnit. PHPUnit is a unit testing suite for the PHP language, modelled
 on the xUnit testing framework, designed by Kent Beck and Erich Gamma.

Package: php-tokenizer
Description-md5: 9b5b761ae146bce4a280355ed8adc623
Description-en: tokenized PHP source to XML converter
 A small library for converting tokenized PHP source code into XML and
 potentially other formats.

Package: php-twig
Description-md5: 25743e06d2c046c909154968fd43beea
Description-en: Flexible, fast, and secure template engine for PHP
 Twig is a fast, secure and flexible template engine for php, implementing an
 own domain specific language which originated from Jinja and Django templates.

Package: php-twig-cssinliner-extra
Description-md5: cfe1e2236ecfe34d080fff7b40753e4b
Description-en: A Twig extension to allow inlining CSS
 Twig CssInliner Extension extension that provides the following:
 .
 - inline_css filter: inlines CSS styles in HTML documents.
 .
 Twig itself is a flexible, fast and secure template engine for PHP.

Package: php-twig-doc
Description-md5: 51bfa57f908d328bdd61bc82f88cf7f3
Description-en: Twig template engine documentation
 This documentation describes the internals of Twig and the use of
 it from the perspective of a template designer as well as from the
 perspective of a developer.
 .
 Twig itself is a flexible, fast and secure template engine for PHP.

Package: php-twig-extensions
Description-md5: fcbfbee51f703d75d52d157625fb8d6f
Description-en: extensions for the Twig template system
 Twig-Extensions contains common additional features for Twig
 that do not directly belong in Twig core.
 .
 For more information on Twig, see the php-twig package.

Package: php-twig-extra-bundle
Description-md5: 3cc1eb6fb52fb553792eb49dd2ac12d0
Description-en: A Symfony bundle for extra Twig extensions
 This package is a Symfony bundle that allows one to use all "extra"
 extensions without any configuration.
 .
 Twig itself is a flexible, fast and secure template engine for PHP.

Package: php-twig-html-extra
Description-md5: dab37a024740389d535d843a51e03f7f
Description-en: A Twig extension for HTML
 Twig HTML extension that provides the following:
 .
 - data_uri filter: generates a URL using the data scheme as defined in
   RFC 2397;
 - html_classes function: returns a string by conditionally joining class
   names together.
 .
 Twig itself is a flexible, fast and secure template engine for PHP.

Package: php-twig-inky-extra
Description-md5: a970317cede22a5bdeac36aae2b17423
Description-en: A Twig extension for the inky email templating engine
 Twig Inky extension that provides the following:
 .
 - inky_to_html filter: processes an inky email template.
 .
 Twig itself is a flexible, fast and secure template engine for PHP.

Package: php-twig-intl-extra
Description-md5: 191d1d230e9a8e938d6530a63465d8e7
Description-en: A Twig extension for Intl
 Twig Intl extension that provides the following:
 .
 - country_name filter: returns the country name given its
   two-letter/five-letter code;
 - currency_name filter: returns the currency name given its three-letter
   code;
 - currency_symbol filter: returns the currency symbol given its
   three-letter code;
 - language_name filter: returns the language name given its
   two-letter/five-letter code;
 - locale_name filter: returns the language name given its
   two-letter/five-letter code;
 - timezone_name filter: returns the timezone name given its identifier;
 - country_timezones filter: returns the timezone identifiers of the given
   country code;
 - format_currency filter: formats a number as a currency;
 - format_number filter: formats a number;
 - format_datetime filter: formats a date time;
 - format_date filter: formats a date;
 - format_time filter: formats a time.
 .
 Twig itself is a flexible, fast and secure template engine for PHP.

Package: php-twig-markdown-extra
Description-md5: 2d1bab6b7522c32fe9b18449a144bf71
Description-en: A Twig extension for Markdown
 Twig Markdown extension that provides the following:
 .
 - markdown_to_html filter: generates HTML from a Markdown block;
 - html_to_markdown filter: generates Markdown from an HTML block.
 .
 Twig itself is a flexible, fast and secure template engine for PHP.

Package: php-uploadprogress
Description-md5: b6da3b2b77eac36b013dad6ad9bc1f8c
Description-en: file upload progress tracking extension for PHP
 An PHP extension to track progress of a file upload.

Package: php-uuid
Description-md5: ff7f565225f60f10e8abbb382116bdff
Description-en: PHP UUID extension
 A thin wrapper around libuuid from the ext2utils project

Package: php-validate
Description-md5: 5387a80d66c2c2dcc071cea7b33238d4
Description-en: validation class
 This package provides a PEAR package for validating data, including:
  * numbers (min/max, decimal or not);
  * email (syntax, domain check, RFC 822 compliance);
  * strings (predefined type alpha upper and/or lowercase, numeric, etc.);
  * dates (min/max, RFC 822 compliance);
  * URIs (RFC 2396 compliance).
 Multiple checks can be performed by a single method call (::multiple).

Package: php-webmozart-assert
Description-md5: 58bae8c0242ba92d78081d7ddcede8ac
Description-en: Assertions to validate method input/output with nice error messages
 This library contains efficient assertions to test the input and output
 of methods. With these assertions, one can greatly reduce the amount of
 coding needed to write a safe implementation.

Package: php-wikidiff2
Description-md5: d726885580a5664f003641d894d4cea4
Description-en: external diff engine for mediawiki
 Wikidiff2 is a PHP module providing external diff
 engine for MediaWiki. It performs word-level
 (space-delimited) diffs on general text, and
 character-level diffs on text composed of characters
 from the Japanese and Thai alphabets and the unified han.

Package: php-wmerrors
Description-md5: 2332c96787cda2e64c5c8c0cd87b272e
Description-en: PHP extension that enhances and customizes handling of PHP errors
 wmerrors allows for customizing how PHP errors are handled and displayed to
 the user. It is specifically designed for situations where userland code is
 insufficient (e.g. out of memory errors), but stacktraces and logging are
 still needed.
 .
 wmerrors is designed for usage in MediaWiki, but it has no dependency upon
 MediaWiki and can be used standalone.

Package: php-xajax
Description-md5: 9fb94eecf34083aa0d954c381a2b9abf
Description-en: A library to develop Ajax applications
 Xajax is an open source PHP class library that allows you to easily create
 powerful, web-based, Ajax applications using HTML, CSS, JavaScript, and PHP.
 Applications developed with xajax can asynchronously call server-side PHP
 functions and update content without reloading the page.

Package: php-xdebug
Description-md5: 0f0798e7431f8c626ceea9d500b3e977
Description-en: Xdebug Module for PHP
 The Xdebug extension helps you debugging your script by providing a lot of
 valuable debug information. The debug information that Xdebug can provide
 includes the following:
 .
    * stack traces and function traces in error messages with:
       - full parameter display for user defined functions
       - function name, file name and line indications
       - support for member functions
    * memory allocation
    * protection for infinite recursions
 .
 Xdebug also provides:
 .
    * profiling information for PHP scripts
    * script execution analysis
    * capabilities to debug your scripts interactively with a debug client

Package: php-xml-htmlsax3
Description-md5: d75de4b5b29d6ad3de749c2959dd5432
Description-en: SAX parser for HTML and other badly formed XML documents
 php-xml-htmlsax3 provides an API very similar to the native
 PHP XML extension (https://www.php.net/xml), allowing handlers
 using one to be easily adapted to the other.
 .
 The key difference is php-xml-htmlsax3 will not break
 on badly formed XML, allowing it to be used for parsing
 HTML documents.

Package: php-xml-rpc2
Description-md5: 32401dfebbd66633ed9d2a998afd7213
Description-en: PHP XML-RPC client/server library
 XML_RPC2 is a pear package providing XML_RPC client and server
 services. XML-RPC is a simple remote procedure call protocol built
 using HTTP as transport and XML as encoding.  As a client library,
 XML_RPC2 is capable of creating a proxy class which exposes the
 methods exported by the server. As a server library, XML_RPC2
 is capable of exposing methods from a class or object instance,
 seamlessly exporting local methods as remotely callable procedures.

Package: php-xml-svg
Description-md5: 478d37e3190d1e00ca98f92f4ce7c9b5
Description-en: XML_SVG API
 This package provides an object-oriented API for building SVG documents.

Package: php-yac
Description-md5: 9b897ced87c56ea48af5722521565ddd
Description-en: YAC (Yet Another Cache) for PHP
 The Yet Another Cache (YAC) is a shared memory user data cache for
 PHP, which provides framework for caching user variables locally.
 This framework completes the missing parts of the Zend OpCache.

Package: php-yaml
Description-md5: 1bfaf65b64a715c72224ee22bc952860
Description-en: YAML-1.1 parser and emitter for PHP
 PHP extension adding support for YAML 1.1 (YAML Ain't Markup
 Language) serialization using the LibYAML library.

Package: php-zend-code
Description-md5: 0ee909da5bb8de06176bc252c3b4a7a2
Description-en: Zend Framework - Code component
 Extensions to the PHP Reflection API, static code scanning, and code
 generation.
 .
 `Zend\Code\Generator` provides facilities to generate arbitrary code using an
 object oriented interface, both to create new code as well as to update
 existing code. While the current implementation is limited to generating PHP
 code, you can easily extend the base class in order to provide code generation
 for other tasks: JavaScript, configuration files, Apache Virtual Hosts, etc.
 .
 Zend is a PHP framework for developing web applications and services.

Package: php-zend-eventmanager
Description-md5: aff21711df9ee6a65f14865e94fa9e05
Description-en: Zend Framework - EventManager component
 The `Zend\EventManager` is a component designed for the following use cases:
 .
  * Implementing simple subject/observer patterns.
  * Implementing Aspect-Oriented designs.
  * Implementing event-driven architectures.
 .
 The basic architecture allows you to attach and detach listeners to named
 events, both on a per-instance basis as well as via shared collections;
 trigger events; and interrupt execution of listeners.
 .
 Zend is a PHP framework for developing web applications and services.

Package: php-zend-stdlib
Description-md5: 1f857ba1a5b54a456b5189da297ca4aa
Description-en: Zend Framework - Stdlib component
 `Zend\Stdlib` is a set of components that implements general purpose utility
 class for different scopes like:
 .
  * array utilities functions;
  * json serializable interfaces;
  * general messaging systems;
  * strin wrappers;
  * etc.
 .
 Zend is a PHP framework for developing web applications and services.

Package: php-zeroc-ice
Description-md5: 1db71b9b612d41111007e21a0a42e596
Description-en: PHP extension for Ice
 This package contains a PHP extension for communicating with Ice.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: php-zeta-base
Description-md5: eda9417b8fc7fca01658af8274c8448b
Description-en: Zeta Components - Base package
 The Base package provides the basic infrastructure that all packages rely on.
 Therefore every component relies on this package.
 .
 Zeta Components are a high quality, general purpose library of loosely
 coupled components for development of applications based on PHP 5.
 Beside typical modules for web application development, like a Template
 engine, Database tools and an MVC component, Zeta Components contain
 command line tools, a chart rendering library, a WebDAV server, a
 universal document conversion component and many more tools to ease
 developers live.

Package: php-zeta-console-tools
Description-md5: 15206a2dc54cfc0636147ab5931ae50b
Description-en: Zeta Components - ConsoleTools package
 A set of classes to do different actions with the console (also called shell).
 It can render a progress bar, tables and a status bar and contains a class for
 parsing command line options.
 .
 Zeta Components are a high quality, general purpose library of loosely
 coupled components for development of applications based on PHP 5.
 Beside typical modules for web application development, like a Template
 engine, Database tools and an MVC component, Zeta Components contain
 command line tools, a chart rendering library, a WebDAV server, a
 universal document conversion component and many more tools to ease
 developers live.

Package: php-zeta-unit-test
Description-md5: 4800480439b6296e037002276171092b
Description-en: Zeta Components - UnitTest package
 UnitTest is an internal component which extends PhpUnit to facilitate
 test running and reports of the components themselves.
 .
 Zeta Components are a high quality, general purpose library of loosely
 coupled components for development of applications based on PHP 5.
 Beside typical modules for web application development, like a Template
 engine, Database tools and an MVC component, Zeta Components contain
 command line tools, a chart rendering library, a WebDAV server, a
 universal document conversion component and many more tools to ease
 developers live.

Package: php-zip
Description-md5: 07531b708a43e2ff6f21e6733cf57aa2
Description-en: Zip module for PHP [default]
 This package provides a Zip module for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.
 .
 This package is a dependency package, which depends on Debian's default
 PHP version (currently 7.4).

Package: php-zmq
Description-md5: 6326bbe8256d4850dafb75ece0300e6c
Description-en: ZeroMQ messaging bindings for PHP
 ZeroMQ is a software library that lets you quickly design and
 implement a fast message-based applications.

Package: php7.4-bcmath
Description-md5: 8f9f425714b203a9b7d1f3678f64c107
Description-en: Bcmath module for PHP
 This package provides the Bcmath module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-bz2
Description-md5: 6221ff838ed81a370f3420140a1ccf42
Description-en: bzip2 module for PHP
 This package provides the bzip2 module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-dba
Description-md5: a1c9cd8c67d752f4aaf0ba88ecb360df
Description-en: DBA module for PHP
 This package provides the DBA module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-enchant
Description-md5: 687582edca33aa141d3f62c1294fcb07
Description-en: Enchant module for PHP
 This package provides the Enchant module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-fpm
Description-md5: 734199a3b4b4d39ae95157bbfb8dd276
Description-en: server-side, HTML-embedded scripting language (FPM-CGI binary)
 This package provides the Fast Process Manager interpreter that runs
 as a daemon and receives Fast/CGI requests.  Note that MOST Apache users
 probably want the libapache2-mod-php7.4 package.
 .
 The following extensions are built in: Core date filter hash libxml openssl
 pcre Reflection session sodium SPL standard zlib.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-imap
Description-md5: 73ce2e5dbb1377bc41524b600892883b
Description-en: IMAP module for PHP
 This package provides the IMAP module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-interbase
Description-md5: 40c18d15eeba50ef5700d72e1e45d586
Description-en: Interbase module for PHP
 This package provides the Interbase module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-intl
Description-md5: 576352b0c1559ceb07b3161367c69f39
Description-en: Internationalisation module for PHP
 This package provides the Internationalisation module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-mbstring
Description-md5: 741a0b4b31628c18e80bdef4f0ec8141
Description-en: MBSTRING module for PHP
 This package provides the MBSTRING module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-phpdbg
Description-md5: b63c3912ccce0ee2bb6442c443ae0fe2
Description-en: server-side, HTML-embedded scripting language (PHPDBG binary)
 This package provides the /usr/bin/phpdbg command interpreter, useful for
 stepthrough debugging of PHP code.
 .
 The following extensions are built in: Core date filter hash libxml openssl
 pcntl pcre Reflection session sodium SPL standard zlib.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-soap
Description-md5: 8ca6ca2ae4fbc6127f6522e73f05005a
Description-en: SOAP module for PHP
 This package provides the SOAP module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-sybase
Description-md5: c5f3c0b4521b40ee671df4ab3452df1d
Description-en: Sybase module for PHP
 This package provides the Sybase module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: php7.4-xsl
Description-md5: 93c8fc5e2935346a001f328e898c3cff
Description-en: XSL module for PHP (dummy)
 This package is a transitional dummy package and can be safely
 removed.

Package: php7.4-zip
Description-md5: e148c478613188b645a0ec42c87f0518
Description-en: Zip module for PHP
 This package provides the Zip module(s) for PHP.
 .
 PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used
 open source general-purpose scripting language that is especially suited
 for web development and can be embedded into HTML.

Package: phpab
Description-md5: 4a0117a0c9010f871d997fa928d22145
Description-en: lightweight PHP namespace aware autoload generator
 The PHP AutoloadBuilder CLI tool phpab is a command line application
 to automate the process of generating an autoload require file with
 the option of creating static require lists as well as phar archives.

Package: phpcpd
Description-md5: 619209b49c6b524266b242808378f4bd
Description-en: copy and paste detector (CPD) for PHP code
 PHPCPD is a tool providing details of duplicated lines inside a PHP project.

Package: phpdox
Description-md5: e0e38a20bd91f3559c85c07a6ecf027d
Description-en: documentation generation framework and tool
 A fast Documentation generator for PHP Code using standard technology (SRC,
 DOCBLOCK, XML and XSLT) with event based processing.

Package: phpldapadmin
Description-md5: 24140705abe05aa0c5eff13404cc56a5
Description-en: web based interface for administering LDAP servers
 phpLDAPadmin is a web-based LDAP client. It provides easy,
 anywhere-accessible, multi-language administration for your LDAP
 server. Its hierarchical tree-viewer and advanced search functionality
 make it intuitive to browse and administer your LDAP directory. Since it
 is a web application, this LDAP browser works on many platforms, making
 your LDAP server easily manageable from any location.
 Usually, you may access PLA by pointing your browser to
 http://<webserver>/phpldapadmin .

Package: phpliteadmin
Description-md5: 1f159926bcae11bccac306430ad5aae9
Description-en: web-based SQLite database admin tool
 phpLiteAdmin is a web-based SQLite database admin tool written in PHP with
 support for SQLite3 and SQLite2 (not in Debian). Following in the spirit of the
 flat-file system used by SQLite, phpLiteAdmin consists of a single source file,
 phpliteadmin.php, that is dropped into a directory on a server and then visited
 in a browser. The available operations, feature set, interface, and user
 experience is comparable to that of phpMyAdmin.
 .
 In this package, you will find phpliteadmin.php already configured to work with
 Apache2. This package provides the main features of the script.

Package: phpliteadmin-themes
Description-md5: 745218d45dcf9dc1dacc4d3d9daa93d0
Description-en: web-based SQLite database admin tool - themes
 phpLiteAdmin is a web-based SQLite database admin tool written in PHP with
 support for SQLite3 and SQLite2 (not in Debian). Following in the spirit of the
 flat-file system used by SQLite, phpLiteAdmin consists of a single source file,
 phpliteadmin.php, that is dropped into a directory on a server and then visited
 in a browser. The available operations, feature set, interface, and user
 experience is comparable to that of phpMyAdmin.
 .
 This package contains styles of themes for phpLiteAdmin.

Package: phploc
Description-md5: e466511258c504bef42864cde2e8cb16
Description-en: tool for quickly measuring the size of a PHP project
 PHPLOC is a tool providing detailed analysis of a PHP project, by
 measuring its code, on quantitative and logical ways.

Package: phpmd
Description-md5: ff69a254a4b870ad31c585d013c29127
Description-en: PHP Mess Detector
 PHPMD is a spin-off project of PHP Depend and aims to be a PHP equivalent of
 the well known Java tool PMD.
 This project uses PHP Depend to measure several software metrics from
 given source code, then it compares the calculated values with
 customizable thresholds and reports all suspect software artifacts.

Package: phpmyadmin
Description-md5: b9abac2fce7719799e194516b6640871
Description-en: MySQL web administration tool
 This package allows administering of MySQL or MariaDB with a web interface.
 .
 It allows administrators to:
  - browse through databases and tables;
  - create, copy, rename, alter and drop databases;
  - create, copy, rename, alter and drop tables;
  - perform table maintenance;
  - add, edit and drop fields;
  - execute any SQL-statement, even multiple queries;
  - create, alter and drop indexes;
  - load text files into tables;
  - create and read dumps of tables or databases;
  - export data to SQL, CSV, XML, Word, Excel, PDF and LaTeX formats;
  - administer multiple servers;
  - manage MySQL users and privileges;
  - check server settings and runtime information with configuration hints;
  - check referential integrity in MyISAM tables;
  - create complex queries using Query-by-example (QBE), automatically
    connecting required tables;
  - create PDF graphics of database layout;
  - search globally in a database or a subset of it;
  - transform stored data into any format using a set of predefined
    functions, such as displaying BLOB-data as image or download-link;
  - manage InnoDB tables and foreign keys;
 and is fully internationalized and localized in dozens of languages.

Package: phppgadmin
Description-md5: cd12ac99b7d1fa5847605ff87c8e78a6
Description-en: web-based administration tool for PostgreSQL
 phpPgAdmin is a web-based administration tool for PostgreSQL. It is perfect
 for PostgreSQL DBAs, newbies and hosting services.
 .
 Features:
  * Administer multiple servers
  * Manage all aspects of:
    * Users & groups
    * Databases
    * Schemas
    * Tables, indexes, constraints, triggers, rules & privileges
    * Views, sequences & functions
    * Advanced objects
    * Reports
  * Easy data manipulation:
    * Browse tables, views & reports
    * Execute arbitrary SQL
    * Select, insert, update and delete
  * Dump table data in a variety of formats: SQL, COPY, XML, XHTML, CSV, Tabbed,
    pg_dump
  * Import SQL scripts, COPY data, XML, CSV and Tabbed
  * Supports the Slony master-slave replication engine
  * Excellent language support:
    * Available in 27 languages
    * No encoding conflicts. Edit Russian data using a Japanese interface!
  * Easy to install and configure

Package: phpqrcode
Description-md5: 907c97c070ff20f9604389ca991d6718
Description-en: PHP library for generating two-dimensional barcodes
 PHP QR Code is a library for generating QR Codes, 2-dimensional barcodes.
 It is based on the libqrencode C library and provides an API for creating
 QR Code barcode images (PNG and JPEG).
 .
 Some of the library features include:
  * Supports QR Code versions (size) 1-40
  * Numeric, Alphanumeric, 8-bit and Kanji encoding.
  * Exports to PNG, JPEG images, also exports as bit-table
  * TCPDF 2-D barcode API integration
  * Easy to configure
  * Data cache for calculation speed-up
  * Debug data dump, error logging, time benchmarking

Package: phpsysinfo
Description-md5: 0893d873babcd4553149129b89b27fa5
Description-en: PHP based host information
 phpSysInfo is a PHP script that displays information about the
 host being accessed.
 .
 It displays information on the system like kernel version, uptime,
 network, disk and memory usage, information on CPU and model,
 attached IDE and SCSI devices and PCI cards and lm-sensors output on
 architectures supporting it.
 .
 It has support for multiple languages and themes.

Package: phpunit
Description-md5: 3f32da5741bf4ad2de864d5b86e5f0ee
Description-en: Unit testing suite for PHP
 Unit testing allows you to write small test methods which verify units of
 functionality in your program.  It is a powerful technique for improving the
 quality of your software, preventing regressions, and allowing confident
 refactoring of your code.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework, designed by Kent Beck and Erich Gamma.  If you've used
 JUnit (for Java), PyUnit (for Python), CxxUnit (for C++), or any of the
 other equivalents for other languages, the API for this package should seem
 fairly familiar.  If you've never written unit tests before, the PHPUnit
 API is simple to learn and use.

Package: phpunit-code-unit-reverse-lookup
Description-md5: 3a11fa3a156055359a609f3f6dc541c3
Description-en: look up what a line of code belongs to - PHPUnit component
 code-unit-reverse-lookup is a PHPUnit extension that provides the
 functionality to look up which function or method a line of code
 belongs to.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-comparator
Description-md5: 5e16a100ec8bde1b07e6bcaee2e4764a
Description-en: functionality to compare PHP values for equality - PHPUnit component
 This component provides functionality that helps to compare PHP values for
 equality.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-diff
Description-md5: 42a8447ce82d0637859c6a1371fc2e7f
Description-en: diff implementation - stand-alone component from PHPUnit
 Diff is a PHPUnit extension that provides comparison and parsing features.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-environment
Description-md5: 4e5831aba97444c62176dd0e78eeac92
Description-en: functionality to handle HHVM/PHP environments - PHPUnit component
 This component provides functionality that helps writing PHP code that has
 runtime-specific (PHP / HHVM) execution paths.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-exporter
Description-md5: c0a6d2e651cfc835c2e33c950e9feee9
Description-en: export variables for visualization - PHPUnit component
 Exporter is a PHPUnit extension that provides the functionality to export
 PHP variables for visualization.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-git
Description-md5: 80f66f2842237bd639326391b73926cf
Description-en: Simple wrapper for Git
 Git is a PHPUnit extension that provides a simple PHP wrapper for Git.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the
 xUnit testing framework.

Package: phpunit-global-state
Description-md5: 4acb65ee8e11e64b4d664704a9376bbd
Description-en: snapshotting of global state - PHPUnit component
 GlobalState is a PHPUnit extension that provides the functionality to
 snapshot a global state.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-object-enumerator
Description-md5: 20b4755c1cb58f4a2b4efb856d64f944
Description-en: enumerate all referenced objects - PHPUnit component
 Object Enumerator is a PHPUnit extension that provides the functionality to
 traverse array structures and object graphs to enumerate all referenced
 objects.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-object-reflector
Description-md5: 41f02b11b7ba80d7a54aa36377eeb45c
Description-en: reflection of object attributes - PHPUnit component
 Object Reflector is a PHPUnit extension that allows reflection of object
 attributes, including inherited and non-public ones.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-recursion-context
Description-md5: 4c2bfc24f783ea094059b01e20807a95
Description-en: recursively process PHP variables - PHPUnit component
 Recursion Context is a PHPUnit extension that provides the functionality to
 recursively process PHP variables.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-resource-operations
Description-md5: c85ad8ce673503941911fff61606c883
Description-en: provide a list of PHP built-in functions that operate on resources
 Resource Operations is a PHPUnit extension that provides a list of PHP
 built-in functions that operate on resources.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-type
Description-md5: 1307a24127c62f203822a1df6c60a0cf
Description-en: Collection of value objects that represent the types of the PHP type system
 This component provides a collection of value objects that represent the types
 of the PHP type system. It is used by PHPUnit.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpunit-version
Description-md5: aa2b6155079b1bbd887f9fe279237d2f
Description-en: Library that helps with managing the version number of Git-hosted PHP projects
 Version is a PHPUnit extension that provides a proper version depending on
 the given release number, and information from the current Git repository
 if there is one, as intended for PHPUnit.
 .
 PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit
 testing framework.

Package: phpwebcounter
Description-md5: e69f40ceaa9c06ad9d5e9b3bfdfed31f
Description-en: simple and light web hit counter
 PHP script to show the number of hits in web pages using ASCII text or
 PNG images. You can use your custom PNG images. PHP Web Counter is a
 very easy-to-configure application.
 .
 It isn't a CGI program and doesn't require special things to work.
 .
 Demo site: http://phpwebcounter.sf.net

Package: phpwebcounter-extra
Description-md5: a2d70884ba3d72b81d99dc84f1149fc7
Description-en: extra graphical numbers to PHP Web Counter
 PHP Web Counter is a PHP script to show the number of the hits in
 web pages. It runs on web server and uses text or PNG images.
 .
 This package has some graphical numbers to use with PHP Web Counter.
 .
 Demo site: http://phpwebcounter.sf.net

Package: phybin
Description-md5: bd5ecd29808dab83aa6049e97e00b573
Description-en: binning/clustering newick trees by topology
 PhyBin is a simple command line tool that classifies a set of Newick
 tree files by their topology. The purpose of it is to take a large set
 of tree files and browse through the most common tree topologies.
 .
 It can do simple binning of identical trees or more complex clustering
 based on an all-to-all Robinson-Foulds distance matrix.
 .
 phybin produces output files that characterize the size and contents of
 each bin or cluster (including generating GraphViz-based visual
 representations of the tree topologies).

Package: phylip
Description-md5: 3fdeb4b0f56b2d6898a24f0f783f47ae
Description-en: package of programs for inferring phylogenies
 The PHYLogeny Inference Package is a package of programs for inferring
 phylogenies (evolutionary trees) from sequences.
 Methods that are available in the package include parsimony, distance
 matrix, and likelihood methods, including bootstrapping and consensus
 trees. Data types that can be handled include molecular sequences, gene
 frequencies, restriction sites, distance matrices, and 0/1 discrete
 characters.

Package: phylip-doc
Description-md5: 81b20d4225185f341d34c0301c426b53
Description-en: package of programs for inferring phylogenies (documentation)
 The PHYLogeny Inference Package is a package of programs for inferring
 phylogenies (evolutionary trees) from sequences.
 Methods that are available in the package include parsimony, distance
 matrix, and likelihood methods, including bootstrapping and consensus
 trees. Data types that can be handled include molecular sequences, gene
 frequencies, restriction sites, distance matrices, and 0/1 discrete
 characters.
 .
 This package contains the HTML documentation

Package: phylonium
Description-md5: a4b999c60e439b64fe841796b996fd33
Description-en: Fast and Accurate Estimation of Evolutionary Distances
 This is the phylonium program for estimating the evolutionary distances
 between closely related genomes. It is much faster than alignment based
 approaches for phylogeny reconstruction and usually more accurate than
 competing alignment-free methods.

Package: phyml
Description-md5: e17d56691eaa63bb88fc849229a6c8a4
Description-en: Phylogenetic estimation using Maximum Likelihood
 PhyML is a software that estimates maximum likelihood phylogenies from
 alignments of nucleotide or amino acid sequences. It provides a wide
 range of options that were designed to facilitate standard phylogenetic
 analyses. The main strengths of PhyML lies in the large number of
 substitution models coupled to various options to search the space of
 phylogenetic tree topologies, going from very fast and efficient methods
 to slower but generally more accurate approaches. It also implements
 two methods to evaluate branch supports in a sound statistical framework
 (the non-parametric bootstrap and the approximate likelihood ratio test).
 .
 PhyML was designed to process moderate to large data sets. In theory,
 alignments with up to 4,000 sequences 2,000,000 character-long can
 be analyzed. In  practice however, the amount of memory required to process
 a data set is proportional of the product of the number of sequences by their
 length. Hence, a large number of sequences can only be processed provided
 that they are short. Also, PhyML can handle long sequences provided that
 they are not numerous. With most standard personal computers, the “comfort
 zone” for PhyML generally lies around 3 to 500 sequences less than 2,000
 character long.
 .
 This package also includes PhyTime.

Package: physamp
Description-md5: 807c3d0c487f6738166e3085fd71cffe
Description-en: sample sequence alignment corresponding to phylogeny
 The PhySamp package currently contains two programs: bppphysamp, which
 samples sequences according to their similarity, and bppalnoptim, which
 samples a sequence alignment by removing sequences in order to maximize
 the number of sites suitable for a given analysis. The bppalnoptim
 program has three running modes:
  * Interactive: the user will be iteratively proposed a set of choices
    for sequence removal, with their corresponding site gains. The
    procedure stops when the user does not want to remove more sequences,
    and the resulting filtered alignment is written.
  * Automatic: the user enters an a priori criterion for stopping
    the filtering procedure (for instance a minimum number of
    sequences to keep).
  * Diagnostic: this mode allows one to plot the trade-off curve, by
    showing the site gain as a function of the number of removed
    sequences.

Package: physlock
Description-md5: 77b5394eb44753f4d7bdc19072f14ef2
Description-en: lightweight Linux console locking tool
 physlock is an alternative to vlock, it is equivalent to
 `vlock -an'. It is written because vlock blocks some Linux
 kernel mechanisms like hibernate and suspend and can therefore
 only be used with some limitations. physlock is designed to be
 more lightweight and it does not have a plugin interface.

Package: phyutility
Description-md5: 02564ec9141da7bc621bad54465431e3
Description-en: simple analyses or modifications on both phylogenetic trees and data matrices
 Phyutility (fyoo-til-i-te) is a command line program that performs
 simple analyses or modifications on both trees and data matrices.
 .
 Currently it performs the following functions (to suggest another
 feature, submit an Issue and use the label Type-Enhancement) :
 .
 Trees
  * rerooting
  * pruning
  * type conversion
  * consensus
  * leaf stability
  * lineage movement
  * tree support
 .
 Data Matrices
  * concatenate alignments
  * genbank parsing
  * trimming alignments
  * search NCBI
  * fetch NCBI

Package: phyx
Description-md5: 7d8e820c88863c5396bb93a7ab61d456
Description-en: UNIX-style phylogenetic analyses on trees and sequences
 phyx provides a convenient, lightweight and inclusive toolkit consisting of
 programs spanning the wide breadth of programs utilized by researchers
 performing phylogenomic analyses. Modeled after Unix/GNU/Linux command
 line tools, individual programs perform a single task and operate on
 standard I/O streams. A result of this stream-centric approach is that, for
 most programs, only a single sequence or tree is in memory at any moment.
 Thus, large datasets can be processed with minimal memory requirements.
 phyx’s ever-growing complement of programs consists of over 35 programs
 focused on exploring, manipulating, analyzing and simulating phylogenetic
 objects (alignments, trees and MCMC logs). As with standard Unix command
 line tools, these programs can be piped (together with non-phyx tools),
 allowing the easy construction of efficient analytical pipelines.

Package: pi
Description-md5: faf9e20d941a71da7aae55e3c561fde9
Description-en: Compute Archimedes' constant Pi to arbitrary precision
 This program computes Archimedes' constant Pi to arbitrary precision.
 It is extremely fast and the precision is only limited by your machine's
 main memory.
 .
 This is a teaser for the CLN library, to which the actual computation is
 delegated.  You may use these decimal digits as random digits or search
 them for hidden messages.  :-)

Package: pia
Description-md5: e68596f915560383572b3e2552c61b8c
Description-en: movie player for xawtv
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides a simple movie player used by xawtv and motv to
 play back movie files which are recorded from TV.

Package: pianobar
Description-md5: 1c5409ef24b15985c58c0646873cd4dc
Description-en: console based player for Pandora radio
 pianobar is a cross-platform console client for the personalized web radio
 Pandora, supporting all important features the official Flash™ client has:
 .
    * Create, delete, rename stations and add more music
    * Rate and temporary ban tracks as well as move them to another station
    * “Shared stations”
 .
 and some that it does not have (yet):
 .
    * last.fm scrobbling
    * Proxy support for use in areas not supported by Pandora (outside the USA)
 .
 You need an account in order to use this player, so please consider
 create one for free before using pianobar at https://pandora.com.

Package: pianobooster
Description-md5: 16a605bbc0f57cc246fcf817c147f014
Description-en: Learn the piano just by playing a game
 A MIDI file player/game that displays the musical notes AND teaches you how
 to play the piano.
 .
 PianoBooster is a fun way of playing along with a musical accompaniment and
 at the same time learning the basics of reading musical notation.
 The difference between playing along to a CD or a standard MIDI file
 is that PianoBooster listens and reacts to what you are playing on a
 MIDI keyboard.
 .
 To run Piano Booster you need a MIDI Piano Keyboard and a MIDI interface
 for the PC. If you don't have a MIDI keyboard you can still try out
 PianoBooster, using the PC keyboard ('x' is middle C), but a MIDI piano
 is really recommended.

Package: picard
Description-md5: 60376330ff6f72c884fe95e026c80dbe
Description-en: Next-Generation MusicBrainz audio files tagger
 Picard is the next generation MusicBrainz tagging application.
 .
 This new tagging concept is album oriented, as opposed to track oriented
 like the others taggers are.

Package: picard-tools
Description-md5: 4210c5f17f12023993b769bb2ea569bb
Description-en: Command line tools to manipulate SAM and BAM files
 SAM (Sequence Alignment/Map) format is a generic format for storing
 large nucleotide sequence alignments. Picard Tools includes these
 utilities to manipulate SAM and BAM files:
  AddCommentsToBam                  FifoBuffer
  AddOrReplaceReadGroups            FilterSamReads
  BaitDesigner                      FilterVcf
  BamIndexStats                     FixMateInformation
  BamToBfq                          GatherBamFiles
  BedToIntervalList                 GatherVcfs
  BuildBamIndex                     GenotypeConcordance
  CalculateHsMetrics                IlluminaBasecallsToFastq
  CalculateReadGroupChecksum        IlluminaBasecallsToSam
  CheckIlluminaDirectory            LiftOverIntervalList
  CheckTerminatorBlock              LiftoverVcf
  CleanSam                          MakeSitesOnlyVcf
  CollectAlignmentSummaryMetrics    MarkDuplicates
  CollectBaseDistributionByCycle    MarkDuplicatesWithMateCigar
  CollectGcBiasMetrics              MarkIlluminaAdapters
  CollectHiSeqXPfFailMetrics        MeanQualityByCycle
  CollectIlluminaBasecallingMetrics MergeBamAlignment
  CollectIlluminaLaneMetrics        MergeSamFiles
  CollectInsertSizeMetrics          MergeVcfs
  CollectJumpingLibraryMetrics      NormalizeFasta
  CollectMultipleMetrics            PositionBasedDownsampleSam
  CollectOxoGMetrics                QualityScoreDistribution
  CollectQualityYieldMetrics        RenameSampleInVcf
  CollectRawWgsMetrics              ReorderSam
  CollectRnaSeqMetrics              ReplaceSamHeader
  CollectRrbsMetrics                RevertOriginalBaseQualitiesAndAddMateCigar
  CollectSequencingArtifactMetrics  RevertSam
  CollectTargetedPcrMetrics         SamFormatConverter
  CollectVariantCallingMetrics      SamToFastq
  CollectWgsMetrics                 ScatterIntervalsByNs
  CompareMetrics                    SortSam
  CompareSAMs                       SortVcf
  ConvertSequencingArtifactToOxoG   SplitSamByLibrary
  CreateSequenceDictionary          SplitVcfs
  DownsampleSam                     UpdateVcfSequenceDictionary
  EstimateLibraryComplexity         ValidateSamFile
  ExtractIlluminaBarcodes           VcfFormatConverter
  ExtractSequences                  VcfToIntervalList
  FastqToSam                        ViewSam

Package: pick
Description-md5: f188d5c8b79cbeb0da99299b2f3894fb
Description-en: utility to choose one option from a set of choices
 The pick utility allows users to choose one option from a set of
 choices using an interface with fuzzy search functionality.
 pick reads a list of choices on stdin and outputs the selected choice
 on stdout. Therefore it is easily used both in pipelines and subshells.

Package: picmi
Description-md5: 6ec9e7a67931cf897558804bce00caf1
Description-en: Number logic game
 Picmi is a number logic game in which cells in a grid have to be colored or
 left blank according to numbers given at the side of the grid to reveal a
 hidden picture.
 .
 This package is part of the KDE games module.

Package: picocom
Description-md5: 8388ab286473c706d22cd40ec72a12a3
Description-en: minimal dumb-terminal emulation program
 picocom was designed to serve as a simple, manual, modem
 configuration, testing, and debugging tool. It has also served (quite
 well) as a low-tech "terminal-window" to allow operator intervention
 in PPP connection scripts (something like the ms-windows "open
 terminal window before / after dialing" feature). It could also prove
 useful in many other similar tasks. It is ideal for embedded systems
 since its memory footprint is minimal.

Package: picolisp
Description-md5: ee1fa4eee1dc69a94b657c564ce6888a
Description-en: Lisp interpreter and application server framework
 Pico Lisp can be viewed from two different aspects: As a general
 purpose programming language, and a dedicated application server
 framework.
 .
 As a programming language, Pico Lisp provides a 1-to-1 mapping of a
 clean and powerful Lisp derivate, to a simple and efficient virtual
 machine. It supports persistent objects as a first class data type,
 resulting in a database system of Entity/Relation classes and a
 Prolog-like query language tightly integrated into the system.
 .
 As an application server framework, Pico Lisp provides for database
 management (including multi-user synchronization, DB garbage collection
 journalling and replication), web interface integrated (and generated
 from) the application data model, and an application server.

Package: picosat
Description-md5: da3bd387c3a4f3fabebfc27b3fad967b
Description-en: SAT solver with proof and core support
 Despite the NP completeness of the satisfiabilty problem of Boolean formulas
 (SAT), SAT solvers are often able to decide this problem in a reasonable time
 frame. As all other NP complete problems are reducible to SAT, the solvers
 have become a general purpose tool for this class of problems.
 .
 PicoSAT is a SAT solver that turned out to be faster on industrial instances
 than MiniSAT 2.0 and also can generate proofs and cores in memory.

Package: picprog
Description-md5: e8cd5e1196480635a8d4ad28fe5de7fd
Description-en: Microchip PIC serial programmer software
 This is Picprog, a Microchip PIC microcontroller programmer software
 for a simple serial port device. This software supports simple
 programmers like JDM writer.

Package: pictor
Description-md5: 5e3668b8575065960615c37db449c530
Description-en: web application for browsing your pictures
 Pictor is a simple web application for browsing your pictures in a
 in a filesystem (without a complicated database schema).  Pictures
 are organized in filesystem directories of any depth.  Albums are
 directories that contain pictures.  Thumbnails are generated and
 cached as necessary, and images are dynamically resized on the fly.
 .
 Pictor is useful as for low-traffic browsing of your pictures on
 a local webserver, but is not necessarily recommended for high
 traffic picture sharing, as Pictor's dynamic image scaling can
 be cpu-intensive.

Package: pictor-unload
Description-md5: 248ad4da6c61187be8e3d48507589505
Description-en: tool for unloading and renaming pictures from flash media
 Pictor-unload is a tool for unloading pictures from one directory
 to another, and renaming them with their timestamp for ordering and
 interleaving.

Package: pid1
Description-md5: 385c1b5ba37bccf1b1e91a890a40c2d3
Description-en: signal handling and orphan reaping for Unix PID1 init processes
 Library/executable for initializing signal handlers, spawning and
 child process, and reaping orphan processes. These are the
 responsibilities that must be fulfilled by the initial process in a
 Unix system, and in particular comes up when running Docker
 containers.
 .
 This library/executable will automatically detect if it is run as some
 process besides PID1 and, if so, use a straightforward exec system
 call instead.

Package: pidgin
Description-md5: 9a28693d26b43c6c3ae4758c17417364
Description-en: graphical multi-protocol instant messaging client
 Pidgin is a graphical, modular instant messaging client capable of using
 multiple networks at once. Currently supported are:
 AIM/ICQ, IRC, Jabber/XMPP/Google Talk, Napster, Zephyr, Gadu-Gadu,
 Bonjour, Groupwise, Sametime, and SIMPLE.
 .
 Some extra packages are suggested to use increased functionality:
  * gnome-panel | kdebase-workspace-bin | docker:
    - To use the system tray icon functionality (minimizing to an icon, having
      the icon blink when there are new messages, etc.)
  * libsqlite3-0:
    - To use Contact Availability Prediction plugin

Package: pidgin-audacious
Description-md5: c1b8392a25da8a37942874a4fa667df5
Description-en: pidgin integration with Audacious
 pidgin-audacious is a plugin for pidgin which provides integration
 with Audacious.
 .
 It supports features like updating your userinfo with your currently
 playing track, and adding your currently playing track to your MSN
 friendly name.

Package: pidgin-awayonlock
Description-md5: 3e4475b1e25294ab0c732363e414b4d5
Description-en: pidgin plugin to set as away on screensaver activation
 Away-on-Lock is a simple plugin for pidgin (or any other libpurple-based
 IM client) to change your status when the screensaver gets activated.
 .
 It currently supports gnome-screensaver and kscreensaver.

Package: pidgin-blinklight
Description-md5: 3328a03ef86cb629137d975a64d29dc9
Description-en: Blinks your ThinkPad's ThinkLight upon new messages
 This plugin for Pidgin will blink your laptops when you get
 new messages, so you notice them even if the conversation window is not up
 front and you don't have or don't see the window list.
 .
 To use this with an Thinkpad's ThinkLight, you need to have the ibm-acpi
 kernel module loaded.
 .
 To use this with an ASUS laptop, you need to have the asus_acpi kernel
 module loaded.

Package: pidgin-bot-sentry
Description-md5: f2acb2b44f8d52d3de0bfa00eb0e59ab
Description-en: pidgin anti spam plugin
 Bot Sentry is a Pidgin (libpurple) plugin to prevent Instant Message (IM)
 spam. It allows you to ignore IMs unless the sender is in your Buddy List,
 the sender is in your Allow List, or the sender correctly answers a
 question you have predefined.

Package: pidgin-data
Description-md5: b04891790b198f3fe5e5df56c5acb3ab
Description-en: multi-protocol instant messaging client - data files
 This package contains architecture-independent supporting data files
 required for use with pidgin, such as documentation, icons, translations,
 and sounds.

Package: pidgin-dev
Description-md5: af83e0e901b4b7dc8524c8bb96a1c5d2
Description-en: multi-protocol instant messaging client - development files
 This package contains the headers and other development files not included in
 the main pidgin package. Install this if you wish to compile your own plugins.
 .
 If you are creating a pidgin plugin package, please be sure to read
 /usr/share/doc/pidgin-dev/README.Debian.dev after installing pidgin-dev.

Package: pidgin-encryption
Description-md5: 389418f4b465959abd8254a2d8f49043
Description-en: pidgin plugin that provides transparent encryption
 Provides transparent encryption to all protocols supported by Pidgin.
 Can be activated on a per user basis or even automatically detected.
 Uses a private/public key system based on Mozilla's NSS.

Package: pidgin-extprefs
Description-md5: 2eb26326883d0b499d1d4085001d9e1f
Description-en: extended preferences plugin for the instant messenger pidgin
 The Pidgin Extended Preferences Plugin adds additional preferences that
 have been commonly called for in the past from Pidgin that are either
 already implemented and hidden, or trivial to implement via a plugin.

Package: pidgin-festival
Description-md5: 39373629bf9f6c94f603d01fd62759ff
Description-en: pidgin plugin to hear incoming messages using voice synthesis
 A plugin for pidgin that interfaces with the popular program festival.
 It allows for instant messages to be spoken by festival so you can
 hear it through your speakers.

Package: pidgin-gnome-keyring
Description-md5: ae739e0ae9a165ae31145391872eafa6
Description-en: integrates pidgin (and libpurple) with the system keyring
 Pidgin usually stores passwords as plaintext with the "save password"
 function. This plugin instead saves all passwords to the system keyring,
 which some would argue is a more secure form of password storage.

Package: pidgin-guifications
Description-md5: de783711ab59c8ce7b5b5be1e6e1f682
Description-en: toaster popups for pidgin
 Guifications are notifications windows styled after those found in msn,
 deadaim, and newer version of aim, yahoo instant messenger, and a lot of
 other applications.  The notifications are user customizable/themable
 and a few example themes ship in the package.

Package: pidgin-hotkeys
Description-md5: 66cfa5798ac46af1090e86296afa7b48
Description-en: Configurable global hotkeys for pidgin
 Global hotkeys that can be configured for toggling buddy list and reading
 queued messages of pidgin.

Package: pidgin-lastfm
Description-md5: c068f2aa440d311f6fe3c63019a9d9bb
Description-en: Last.fm plugin for Pidgin
 This Pidgin plugin displays information from your Last.fm / Audioscrobbler
 profile in your user info on the various IM networks Pidgin supports. The most
 important information might be the most recently scrobbled song.

Package: pidgin-latex
Description-md5: 0fce83ec7ca214dbd69e1f1cb2b65930
Description-en: Pidgin plugin to display LaTeX formulas
 Plugin for Pidgin which translates LaTeX code into images in your
 IM and chat conversations. This plugin looks for $$latex math$$
 patterns and replaces them with the rendered LaTeX output.
 As this is a local conversion only your buddies will have to install this
 plugin too to see the LaTeX rendering.

Package: pidgin-librvp
Description-md5: 06296c6c52e9511e7af8f9e72c1f5c50
Description-en: MS Exchange RVP instant messaging plugin for Pidgin
 librvp is a plugin for Pidgin which implements the RVP protocol
 used by Microsoft Exchange and its Windows Messenger client.
 .
 This is not an MSN Messenger protocol plugin; for that, see the
 main Pidgin package.

Package: pidgin-mpris
Description-md5: 76d9193031c831a5f4f7b7b0d0af2ec7
Description-en: sets your available message to your currently playing track
 The pidgin-mpris plugin sets the title of a currently playing track
 in a user selected MPRIS-compliant media player as your away or
 available message.
 .
 The following players are supported: VLC (VideoLAN), BMPx, Audacious 1.4.

Package: pidgin-mra
Description-md5: e074cc4b83a203be790b61c17518705c
Description-en: Mail.ru Agent protocol plugin for Pidgin IM
 The plugin enables Mail.ru Agent protocol in Pidgin IM.

Package: pidgin-mra-dbg
Description-md5: 7910d5aec791376ec715120e89517505
Description-en: Mail.ru Agent protocol plugin for Pidgin IM
 The plugin enables Mail.ru Agent protocol in Pidgin IM.
 .
 The package contains debug symbols for pidgin-mra.

Package: pidgin-nateon
Description-md5: 300e98edf5b2c0f4a2d1a1b2cab5dfd1
Description-en: Pidgin plugin for NateOn instant messaging service
 This is a plugin for Pidgin which provides connections to NateOn instant
 messaging service by SK Communications, Inc. (http://nateon.nate.com)

Package: pidgin-nateon-dbg
Description-md5: ae67bf2873277475f58e6ae5647f37e7
Description-en: debugging symbols of pidgin-nateon
 This is a plugin for Pidgin which provides connections to NateOn instant
 messaging service by SK Communications, Inc. (http://nateon.nate.com).
 .
 This package contains the debugging symbols. Most people will not need this
 package.

Package: pidgin-otr
Description-md5: 42e4885b6f6b4c8a5f1931f5a0a4a153
Description-en: Off-the-Record Messaging plugin for Pidgin
 OTR allows you to have private conversations over IM by providing:
  - Encryption
    - No one else can read your instant messages.
  - Authentication
    - You are assured the correspondent is who you think it is.
  - Deniability
    - The messages you send do _not_ have digital signatures that are
      checkable by a third party.  Anyone can forge messages after a
      conversation to make them look like they came from you.  However,
      _during_ a conversation, your correspondent is assured the messages
      they see are authentic and unmodified.
  - Perfect forward secrecy
    - If you lose control of your private keys, no previous conversation
      is compromised.
 .
 This is a Pidgin plugin which implements Off-the-Record (OTR) Messaging.

Package: pidgin-plugin-pack
Description-md5: 18577dbe1fca6f6bed00a946e5d8c8f2
Description-en: Collection of Pidgin plugins
 Plugin pack is a collection of many simple-yet-useful plugins for Pidgin.
 A brief description of each is below.
 .
 /exec: execute commands and optionally send their output in an IM/chat window.
 .
 AutoProfile: user profile and status message content generator.
 .
 Album: archives all buddy icons for all buddies in the user's buddy list.
 .
 Auto Reply: auto-replies on any protocol, also can be specific to each buddy.
 .
 Bash.org: provides links to random or select quotes on bash.org and qdb.us.
 .
 Buddy List Options: options to hide buddy list on creation and hide menu in it.
 .
 Colorize: colorizes outgoing message text.
 .
 Conversation Badge: shows the protocol icon in the conversation menu tray.
 .
 DeWYSIWYGification: allows user to type in HTML without it being rendered.
 .
 Dice: simulates the rolling of dice.
 .
 DiffTopic: shows changes when the topic is changed in a chatroom.
 .
 Magic 8 Ball: shows random expressions from a Magic 8 Ball toy.
 .
 Enhanced History: an enhanced version of the history plugin.
 .
 Flip: flips a coin and shows the result in the current conversation.
 .
 gRIM: annoy your buddies with a timed series of messages.
 .
 Google: writes the results of an "I'm feeling lucky" search to a conversation.
 .
 Group IM: send an IM to a group of buddies
 .
 Highlight: adds support for highlighting user specified words.
 .
 Ignore: ignore either all or just chat communication from a specific person.
 .
 InfoPane: use different views for the "details" information  in conversations.
 .
 IRC Helper: Handles the rough edges of the IRC protocol.
 .
 IRC More: adds a couple options to the IRC protocol:
        - Customized default quit/part messages.
        - A CTCP version reply.
 .
 Irssi Features: implements many features of irssi:
        - day change notifications
        - /window, /layout, /lastlog commands
        - auto-text formatting
 .
 List Handler: import and export buddy lists in various formats.
 .
 Chat User List Logging: logs the list of users in a chat when you join.
 .
 My Status Box: per-account status selectors with other features.
 .
 Napster: NAPSTER Protocol Plugin.
 .
 Nick Said: to jump to where your name or other words were said in a chat.
 .
 Old Logger: stores buddy logs in flat files (legacy format).
 .
 Plonkers: announce your ignore list to a chat room and other privacy features.
 .
 Purple Schedule: Schedule reminders at specific times.
 .
 Separate and Tab: adds two new window positioning methods.
 .
 Sim Fix: fixes messages received from buddies using broken SIM clients.
 .
 Simple Network Paging Protocol (SNPP):
     allows sending to pager servers (like sendpage or qpage) via SNPP.
 .
 Message Splitter: splits sent messages into smaller ones of a specified size.
 .
 SSL Info: adds a menu item to display info about the SSL plugin in use.
 .
 Switch Spell: allows changing the spell-check language for each conversation.
 .
 Timelog: view Pidgin logs that fall within specific time ranges.
 .
 XChat Chats: makes Pidgin use XChat's indented view.
 .
 XMPP Priority: Adds account options that allow users to specify the priorities
     used for available and away for XMPP accounts.

Package: pidgin-privacy-please
Description-md5: 200e4e9511ce5e58f6953381b4a4aa9e
Description-en: plugin for enhanced privacy in pidgin
 pidgin privacy please is a pidgin plugin to stop spammers from annoying
 you. You can block messages from certain users, block messages from people
 who are not on your contact list and suppress repeated authorization
 requests. Optionally, senders of blocked messages can be notified with an
 auto-reply.

Package: pidgin-sipe
Description-md5: ddc32628070f4963ad9a0baa69559177
Description-en: Pidgin plugin for Skype for Business and Microsoft Lync
 A third-party plugin for the Pidgin multi-protocol instant messenger.
 It implements the extended version of SIP/SIMPLE used by various products:
 .
     * Microsoft Skype for Business
     * Microsoft Lync
     * Microsoft Office Communications Server (OCS 2007/2007 R2 and newer)
     * Microsoft Live Communications Server (LCS 2003/2005)
     * Reuters Messaging
 .
 With this plugin you should be able to replace your Lync/SfB client with
 Pidgin.

Package: pidgin-themes
Description-md5: 7f3fcfb9b164f91d491b4fbe1a9ed465
Description-en: smiley themes collection for Pidgin
 This package provides some alternative smiley themes
 for the Pidgin instant messaging client.

Package: piespy
Description-md5: 8b2dfad283a859412877cc9085272a33
Description-en: IRC bot to visualize social networks
 PieSpy is an IRC bot that monitors a set of IRC channels. It uses a
 simple set of heuristics to infer relationships between pairs of users.
 These inferrences allow PieSpy to build a mathematical model of a
 social network for any channel. These social networks can be drawn and
 used to create animations of evolving social networks.

Package: piglit
Description-md5: 77da6e5669439c2e4df97e9970676028
Description-en: Open-source test suite for OpenGL and OpenCL implementations
 Piglit is an open-source test suite for OpenGL and OpenCL implementations.

Package: pigpio-tools
Description-md5: 9eb213f115890f29258af661f93cd0d3
Description-en: Client tools for Raspberry Pi GPIO control
 Pigpio is a set of software which allows control of the General Purpose Input
 Outputs (GPIO) locally or remotely. Currently only the client side is Packaged
 in Debian as the server side is incompatible with Debian kernels.
 .
 This package provides the pigs and pig2vcd tools.

Package: pigz
Description-md5: fd286a4db2d19ea76a5ee0f4ee8ce912
Description-en: Parallel Implementation of GZip
 pigz, which stands for Parallel Implementation of GZip, is a fully functional
 replacement for gzip that takes advantage of multiple processors and multiple
 cores when compressing data.

Package: pike8.0
Description-md5: c7e8b7b724388b9f3e4bd4cd782a3379
Description-en: Recommended metapackage for Pike 8.0
 This is a metapackage which depends upon several other Pike
 packages that together make up the recommended Pike installation.
 If you want to install every packaged component of the Pike
 distribution, please install the pike8.0-full package.
 .
 If you want to remove several components this package depends
 upon, you can purge this package without worrying about the
 functionality loss in Pike and then remove the packages you
 don't need on your system.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-bzip2
Description-md5: f0372c31f533b6349ea2716f6f1b0961
Description-en: Bzip2 module for Pike
 This Pike module enables one to use the Bzip2 compression
 algorithm from within the Pike programs.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-core
Description-md5: 0f8998147978a31877de1aeb93aa5ac5
Description-en: Powerful interpreted programming language
 Pike is an interpreted, object-oriented, dynamic programming language
 with a syntax similar to C. It includes many powerful data types and
 a module system that, for instance, provides image manipulation
 together, with support for graphics formats like SVG, JPG, PNG, GIF,
 XCF and many others, database connectivity, advanced cryptography,
 XML/HTML parsers and others. To learn more about pike, please visit
 http://pike.lysator.liu.se/ Note that some of the Pike features are
 found in other pike8.0-* packages (image processing, MySQL,
 PostgreSQL, SANE and more).

Package: pike8.0-dev
Description-md5: 372c9b79571a43e5795affe58d9af6b8
Description-en: Development files for Pike 8.0
 This package contains files you will need to develop C extension modules
 for Pike. The package depends on the recommended set of packages for the
 Pike environment.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-dnssd
Description-md5: eedf15c6f2cd190d2831cc0d3cf5a476
Description-en: DNS Service Discovery (DNS-SD) for Pike
 This Pike module enables one to take advantage of DNS service discovery.
 .
 DNS Service Discovery is a way of using standard DNS programming interfaces,
 servers, and packet formats to browse the network for services.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-doc
Description-md5: f9c8100c6a8d9fb7a24e5623f83a0f6a
Description-en: Pike 8.0 documentation
 This package contains the traditional manual and auto-generated
 reference documentation.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-full
Description-md5: 27f00106b480045523b166f0c04fd27a
Description-en: Metapackage for Pike 8.0
 This package depends on all the components that make up the Pike
 language distribution. It will pull in a LOT of packages the
 Pike components depend upon!
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-fuse
Description-md5: 47688e0a137a9f8c94edc0772f55a61a
Description-en: Filesystem in USErspace support for Pike
 This Pike module enables one to export filesystems to the Linux
 kernel using the FUSE library.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-gdbm
Description-md5: fd3aceb023733b5b9c1893a235179cc6
Description-en: Gdbm module for Pike
 This Pike module provides access to gdbm databases.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-gl
Description-md5: 7ad4214dd7e38a21edc085efa2bd4456
Description-en: Mesa modules for Pike
 This package contains the Pike modules providing access to the Mesa
 3D graphics library: GL, GLU (GL Utilities), and GLUE (GL Universal
 Environment).
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-image
Description-md5: 0bc2882bbfe0bb8287691dd437d047c3
Description-en: Image modules for Pike
 This package provides many powerful image processing functions to
 Pike programs. It contains the modules Image (except Image.SVG),
 Graphics, Colors, and Protocols.X.XImage.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-kerberos
Description-md5: 6d20aea5e75f743b43857741d40654bd
Description-en: Kerberos and GSSAPI modules for Pike
 This package contains the Kerberos and GSSAPI Pike modules (although
 GSSAPI is a generic API; Kerberos is the most common mechanism),
 providing bindings to the MIT Kerberos libraries.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-manual
Description-md5: ff4589eeadcc9d6a28d90657ecb731a7
Description-en: transitional package for merging into pike8.0-doc
 The documentation previously split between pike8.0-manual and
 pike8.0-reference has been merged into pike8.0-doc, since most of the
 size consists of images, which were duplicated in both packages.
 .
 This package can be safely removed after completed upgrade.

Package: pike8.0-mysql
Description-md5: 4486100a343ce26083dda3383dd2607c
Description-en: MySQL modules for Pike
 This package contains Pike modules providing access to MySQL
 databases: Mysql and Sql.mysql(s).
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-odbc
Description-md5: c8b879f4dc3fa0c87ee243079159cdb4
Description-en: ODBC modules for Pike
 This package contains Pike modules providing glue to the ODBC
 interface: Odbc, Sql.odbc, and Sql.odbc_result.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-pcre
Description-md5: 7d90b688d43bbd13c20465fbea84ced8
Description-en: PCRE module for Pike
 This Pike module (Regexp.PCRE) enables one to use the PCRE (Perl
 compatible regular expressions) library from within the Pike
 programs.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-pg
Description-md5: 594188fed9d0e761c99fcb1426253810
Description-en: PostgreSQL modules for Pike
 This package contains Pike module providing access to PostgreSQL
 databases: Postgres, Sql.postgres, and Sql.postgres_result.
 .
 Note: These modules are deprecated in favour of the pure Pike
 Sql.pgsql module, which is included in the core package.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-reference
Description-md5: ff4589eeadcc9d6a28d90657ecb731a7
Description-en: transitional package for merging into pike8.0-doc
 The documentation previously split between pike8.0-manual and
 pike8.0-reference has been merged into pike8.0-doc, since most of the
 size consists of images, which were duplicated in both packages.
 .
 This package can be safely removed after completed upgrade.

Package: pike8.0-sane
Description-md5: 69879b8ca8642c9d0382473f6277242d
Description-en: SANE module for Pike
 This Pike module provides access to SANE.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-sdl
Description-md5: ad7aaf66b99e264294bd0c9d3af1968a
Description-en: SDL module for Pike
 This module provides the Pike interface to the SDL library.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-sqlite
Description-md5: 9cf320f1ffba8643ea505b5188a7bfa4
Description-en: SQLite module for Pike
 This Pike module enables one to use the SQLite embedded database
 library.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-svg
Description-md5: 14571cb0913d570d48243745de689b8a
Description-en: SVG format support for Pike
 This package provides an SVG graphics format module, Image.SVG. It
 has been separated from the pike8.0-image module because of its quite
 extensive dependencies.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pike8.0-web-sass
Description-md5: 4970db75cb3d97127e7a916ff274df02
Description-en: Sass CSS precompiler modules for Pike
 This package contains the Web.Sass module for Pike. Sass is a
 pre-processing language for CSS. It allows you to write cleaner
 stylesheets and makes collaboration on your CSS a breeze.
 .
 Pike is an interpreted programming language, for more information
 see the description of the pike8.0-core package.

Package: pikepdf-doc
Description-md5: 653364ec9d29f33789bf0eefc800a198
Description-en: Python library to read and write PDFs with QPDF - documentation
 pikepdf is a Python library to read and write PDFs with QPDF.
 .
 This package includes pikepdf's HTML documentation.
 .
 See the description for the pikepdf binary package for more
 information about pikepdf.

Package: pikopixel.app
Description-md5: 4b7ba73cec16f15294be3b147cee31a0
Description-en: Pixel-art editor
 PikoPixel is a free, open-source application for drawing & editing
 pixel-art images & icons.
 .
 Features:
  * Easy to use
  * Unlimited undo
  * Supports multiple layers
  * Customizable canvas background & grid patterns
  * Hotkey-activated popup panels
  * Export upscaled images
  * Supports linear (gamma-correct) color blending
 .
 Originally a Mac app, PikoPixel runs natively on GNU/Linux by using
 the GNUstep framework.

Package: piler
Description-md5: 556b70330470edc6cf442053cab3c6d2
Description-en: genomic repeat analysis
 PILER (Parsimonious Inference of a Library of Elementary Repeats)
 searches a genome sequence for repetitive elements. It implements search
 algorithms that identify characteristic patterns of local alignments
 induced by certain classes of repeats.

Package: pilercr
Description-md5: 3cc31eeb503314a7a8165e6988478bc6
Description-en: software for finding CRISPR repeats
 CRISPR elements are short, highly conserved repeats in prokaryotic genomes
 separated by unique sequences of similar length. PILERCR is designed for the
 identification and analysis of CRISPR repeats.

Package: pilon
Description-md5: 3138e4f6f782aef88c113d1dd450fba2
Description-en: automated genome assembly improvement and variant detection tool
 Pilon is a software tool which can be used to:
  * Automatically improve draft assemblies
  * Find variation among strains, including large event detection
 Pilon requires as input a FASTA file of the genome along with one or more
 BAM files of reads aligned to the input FASTA file. Pilon uses read
 alignment analysis to identify inconsistencies between the input genome and
 the evidence in the reads. It then attempts to make improvements to the
 input genome, including:
  * Single base differences
  * Small indels
  * Larger indel or block substitution events
  * Gap filling
  * Identification of local misassemblies, including optional opening
    of new gaps

Package: pilot
Description-md5: 8668e05d1b69959b8cdbf9c13b48d73f
Description-en: Simple file browser from Alpine, a text-based email client
 "pilot" is a simple file browser from Alpine.  It is used in Alpine to
 let the user select attachments.  As with (Al)pine, commands are displayed
 at the bottom of the screen, and context-sensitive help is provided.
 .
 As a stand-alone program, it is useful as a basic file browser, filling the
 same utility as programs like the midnight commander.

Package: pim-data-exporter
Description-md5: 66decaaca403231780d652563e6799d6
Description-en: back up and archive PIM data
 An application to assist you with backing up and archiving PIM data,
 including the necessary configuration, for archival or transfer to another
 computer.

Package: pim-sieve-editor
Description-md5: 67a64ff384d13a09d1f053cda27263f9
Description-en: IMAP Sieve filter editor
 An application to assist with editing IMAP Sieve filters.

Package: pimd
Description-md5: 6fd27404ba342f18f1d79593fa741628
Description-en: multicast routing daemon (PIMv2)
 pimd is implementation of Protocol Independent Multicast routing daemon.
 It supports PIMv2-SM/SSM on FreeBSD, NetBSD, OpenBSD, Linux. Enabled PIM
 support in kernel is needed.

Package: pinball
Description-md5: fcaf9d23344761c89b42e3a284c9abf3
Description-en: Emilia Pinball Emulator
 The Emilia Pinball Project is a pinball simulator for Linux and other Unix
 systems. There are only two levels to play with, but they are very addictive.
 .
 You can play with two types of boards and keep high scores.
 .
 Works with OpenGL and needs hardware acceleration. See requeriments
 on README file.

Package: pinball-data
Description-md5: 448d789f2ef91b8e8208fd4c7b5be0fd
Description-en: Data files for the Emilia Pinball Emulator
 The Emilia Pinball Project is a pinball simulator for Linux and other Unix
 systems. There are only two levels to play with, but they are very addictive.
 .
 This package contains various data files used by the pinball emulator.

Package: pinball-dev
Description-md5: 98260b37513cf164e8c9b798499b92fd
Description-en: Development files for the Emilia Pinball Emulator
 The Emilia Pinball Project is a pinball simulator for Linux and other Unix
 systems. There are only two levels to play with, but they are very addictive.
 .
 This package contains header files and configuration for creating new levels.

Package: pinentry-fltk
Description-md5: ceae4703f77a31605c6ec33ee50e0051
Description-en: FLTK-based PIN or pass-phrase entry dialog for GnuPG
 This package contains a program that allows for secure entry of PINs or
 pass phrases.  That means it tries to take care that the entered
 information is not swapped to disk or temporarily stored anywhere.
 This functionality is particularly useful for entering pass phrases
 when using encryption software such as GnuPG or e-mail clients using
 the same.  It uses an open protocol and is therefore not tied to
 particular software.
 .
 The program contained in this package implements a PIN entry dialog
 using the Fast Light Toolkit version 1.3.  If the X Window System is
 not active then an alternative text-mode dialog will be used.  There
 are sibling packages that implement PIN entry dialogs using other
 tool kits.

Package: pinentry-gtk2
Description-md5: f80a139d64b6c1b0688af51229dc3ca2
Description-en: GTK+-2-based PIN or pass-phrase entry dialog for GnuPG
 This package contains a program that allows for secure entry of PINs or
 pass phrases.  That means it tries to take care that the entered
 information is not swapped to disk or temporarily stored anywhere.
 This functionality is particularly useful for entering pass phrases
 when using encryption software such as GnuPG or e-mail clients using
 the same.  It uses an open protocol and is therefore not tied to
 particular software.
 .
 The program contained in this package implements a PIN entry dialog
 using the GTK+ tool kit version 2.  If the X Window System is not
 active then an alternative text-mode dialog will be used.  There are
 sibling packages that implement PIN entry dialogs using other tool
 kits.

Package: pinentry-qt
Description-md5: 480e061717e70d5bcfc8be66e3b7d9ab
Description-en: Qt-based PIN or pass-phrase entry dialog for GnuPG
 This package contains a program that allows for entry of PINs or pass
 phrases.  It is useful for entering pass phrases when using encryption
 software such as GnuPG or e-mail clients using the same.  It uses an open
 protocol and is therefore not tied to particular software.
 .
 The program contained in this package implements a PIN entry dialog
 using the Qt tool kit, so it is especially suitable for users of KDE.
 If the X Window System is not active then an alternative text-mode
 dialog will be used.  There are sibling packages that implement PIN
 entry dialogs using other tool kits.

Package: pinentry-qt4
Description-md5: ae8bf90c481a1fb70c213fb2071c7f65
Description-en: Qt-based PIN or pass-phrase entry dialog for GnuPG (transitional dummy package)
 Pinentry is a program for secure entry of PINs and passphrases, from
 the GnuPG project.
 .
 This package installs a symbolic link from pinentry-qt4 to
 pinentry-qt.  You should switch to using pinentry-qt instead.

Package: pinentry-tty
Description-md5: fb1b5491849b43150afbc7d00b1d4d4f
Description-en: minimal dumb-terminal PIN or pass-phrase entry for GnuPG
 This package contains a program that allows for secure entry of PINs or
 pass phrases.  That means it tries to take care that the entered
 information is not swapped to disk or temporarily stored anywhere.
 This functionality is particularly useful for entering pass phrases
 when using encryption software such as GnuPG or e-mail clients using
 the same.  It uses an open protocol and is therefore not tied to
 particular software.
 .
 The program contained in this package implements a PIN entry prompt
 that interacts directly with the controlling terminal.  It has
 minimal dependencies, meaning that it is useful for users working
 with dumb terminals or similarly constrained environments.  There are
 sibling packages that implement PIN entry dialogs that use curses for
 friendlier text-mode inputs on non-dumb terminals, and other sibling
 packages that provide PIN entry dialogs using an X tool kit.

Package: pinentry-x2go
Description-md5: 56512fd4e98c77d77795b5d4bff80dc4
Description-en: OpenPGP (smart)card authentication dialog window for X2Go Client
 X2Go is a serverbased computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 This package contains an X2Go Client add-on. The add-on provides a PIN or
 passphrase dialog window for OpenPGP (smart)card authentication with X2Go.

Package: pinfo
Description-md5: 9fa021ef0819bd8beccb80c2cb988eb6
Description-en: user friendly console-based viewer for info-document
 Pinfo is user-friendly, console-based viewer for Info documents.
 .
 Info document is an old (pre-html) hypertextual docuemntation format that is
 tradionally used for documentation of GNU utilities. GNU's default reader for
 info pages (simply called "info") however is not very easy to use due to its
 arcane key bindings.
 .
 Pinfo provides a somewhat more userfriendly interface for reading info pages
 on the console.  It uses regular keybinding (cursor keys do what you expect,
 and navigating though a document is straightforward).

Package: pingus
Description-md5: 8f187cbdfe37306cb6fe8e7d8b3c02a6
Description-en: Free Lemmings(TM) clone
 Pingus is a free clone of the popular Lemmings game.
 .
 Your goal is to guide a horde of penguins through a world full of obstacles
 and penguin traps to safety. Although penguins (unlike lemmings) are rather
 smart, they sometimes lack the necessary overview and now rely on you to
 save them.
 .
 This package contains the main program.

Package: pingus-data
Description-md5: f91ae05891fb77aaf8c6a1ce8ca5ea6a
Description-en: Data files for pingus, a free Lemmings(TM) clone
 Pingus is a free clone of the popular Lemmings game.
 .
 Your goal is to guide a horde of penguins through a world full of obstacles
 and penguin traps to safety. Although penguins (unlike lemmings) are rather
 smart, they sometimes lack the necessary overview and now rely on you to
 save them.
 .
 This package contains the data files.

Package: pink-pony
Description-md5: bfec7f2ff6744f682e675d26877da04d
Description-en: 3D racing game with ponies
 Pink Pony is a Tron­-like multiplayer racing­ game. You control little
 ponies that leave a trail of flowers everywhere they step. You have to
 evade these trails and force other ponies into them. The last pony standing
 wins the game.
 .
 OpenGL 2.0 is needed for Pink Pony to work.

Package: pink-pony-data
Description-md5: 3571f659b53621aef9ae2ceb26d22bb7
Description-en: 3D racing game with ponies - game data
 Pink Pony is a Tron­-like multiplayer racing­ game. You control little
 ponies that leave a trail of flowers everywhere they step. You have to
 evade these trails and force other ponies into them. The last pony standing
 wins the game.
 .
 This package contains architecture-independent game data,

Package: pink-pony-dbg
Description-md5: 081abdbf58f1ae9c5b31802c6c831684
Description-en: 3D racing game with ponies - debug
 Pink Pony is a Tron­-like multiplayer racing­ game. You control little
 ponies that leave a trail of flowers everywhere they step. You have to
 evade these trails and force other ponies into them. The last pony standing
 wins the game.
 .
 This package contains the debugging symbols.

Package: pinot
Description-md5: 713d196c15961f2ec1fcd7a347508bc8
Description-en: meta-search engine for local files and web queries
 Pinot provides a D-Bus service that crawls, indexes your documents and
 monitors them for changes. This service can then be used via a command-line
 interface, or through a GTK-based GUI that enables to query the index built
 by the service and your favourite Web engines, and display and analyze the
 results.
 .
 Pinot also provides a Xesam interface, which can be used through Xesam Query
 Language and Xesam User Language.
 .
 To crawl rpm files, install the packages rpm and file.

Package: pinpoint
Description-md5: d65bb366f05590cf2270259e738e4dfe
Description-en: hacker-friendly presentation program
 Pinpoint is a simple presentation tool that uses a plain text file as input.
 It supports specifying the text position, styling the text, using images or
 videos as background for slides, embedding commands to be run on specific
 slides, a speaker view window, and other nice features.

Package: pinta
Description-md5: 9e67ed40b664e01bcade761ca4f13187
Description-en: Simple drawing/painting program
 Pinta is an easy to use drawing/editing program. Its goal is to
 provide a simplified experience for casual users.
 .
 Features include:
  - Adjustments (Auto level, Black and White, Sepia, …)
  - Effects (Motion blur, Glow, Warp, …)
  - Multiple layers
  - Unlimited undo/redo
  - Drawing tools (Paintbrush, Pencil, Shapes, …)

Package: pinto
Description-md5: 8c61f11b8072b9b2e24f590a25caf9d3
Description-en: application for curating a repository of Perl modules
 Pinto is an application for creating and managing a custom CPAN-like
 repository of Perl modules. The purpose of such a repository is to provide a
 stable, curated stack of dependencies from which you can reliably build,
 test, and deploy applications using the standard Perl tool chain.
 .
 Pinto supports various operations for gathering and managing distribution
 dependencies within the repository, so that you can control precisely which
 dependencies go into applications.

Package: pinyin-database
Description-md5: 3bd462a761b1ee2edbdbbadade0d600c
Description-en: PinYin database used by ibus-pinyin
 This package provide pinyin-database-<version>.tar.bz2 which is required when
 compile ibus-pinyin.

Package: pioneers
Description-md5: deeb6cf8e3c8fc54acce260d013c3e88
Description-en: Settlers of Catan board game
 Pioneers is a computer implementation of the Settlers of Catan board game.
 It can be played over the internet.
 .
 The game includes a server, a client, a computer player, an editor and
 (in a separate package) a metaserver (for locating running servers).

Package: pioneers-console
Description-md5: d291846b09ef1f42eb672853f619feb3
Description-en: Settlers of Catan board game - console parts
 Pioneers is a computer implementation of the Settlers of Catan board game.
 It can be played over the internet.
 .
 This package contains the console-parts of the game: the computer player and
 console server.

Package: pioneers-console-data
Description-md5: 079afffd5dc952d542022658d6e48f3a
Description-en: Settlers of Catan board game - data files for console parts
 Pioneers is a computer implementation of the Settlers of Catan board game.
 It can be played over the internet.
 .
 This package contains architecture independent data for the console parts
 of the game.

Package: pioneers-data
Description-md5: cd26634bce69b5d3e7eb33c1b3adb462
Description-en: Settlers of Catan board game - data files
 Pioneers is a computer implementation of the Settlers of Catan board game.
 It can be played over the internet.
 .
 This package contains architecture independent data for the game.

Package: pioneers-metaserver
Description-md5: abac44689de978bad79879d08d519346
Description-en: Settlers of Catan board game - metaserver
 Pioneers is a computer implementation of the Settlers of Catan board game.
 It can be played over the internet.
 .
 This package contains a metaserver, which can be used to locate running
 servers. Most people will use a central metaserver, and do not need this
 package.

Package: pipebench
Description-md5: 410bd4dfdf0536b5ccbbdc32efe500dd
Description-en: measure the speed of stdin/stdout communication
 Pipebench shows the current throughput and amount of data going
 through a pipe.
 .
 This is useful in forensics investigations and other actions.

Package: pipemeter
Description-md5: 4c8ecdba3f90c0ec54ba81b6a2ab6a6c
Description-en: cli utility that shows the speed of data moving from input to output
 pipemeter can be inserted in a shell command between two programs
 passing data via pipe, or between a file and a program reading from
 stdin, and show the speed and amount of data that has passed through.
 If reading from a regular file, or passed a size, a progress meter is
 also shown.
 .
 Command line switches allow tuning of block size and display interval.
 Size parameters have support for dd style block size specification.
 .
 Pipemeter is intended to be very lightweight, with no dependencies.

Package: pipenightdreams
Description-md5: 7d042c60ae2f422df1ada8160fb80333
Description-en: connect pipes to get the water flowing from inlet to outlet
 If you know the old arcade-game "Pipe Dreams", you'll instantly recognize
 this. The goal is to connect different pipe segments to let the water flow
 from the inlet and through as many pipes as possible, until it finally
 reaches the outlet. This requires an even combination of speed, skill and
 foresight.

Package: pipenightdreams-data
Description-md5: 6b29657bc15d98edd8a30ec1ce13a14d
Description-en: connect pipes to get the water flowing from inlet to outlet (data files)
 If you know the old arcade-game "Pipe Dreams", you'll instantly recognize
 this. The goal is to connect different pipe segments to let the water flow
 from the inlet and through as many pipes as possible, until it finally
 reaches the outlet. This requires an even combination of speed, skill and
 foresight.
 .
 (This package contains just the data files; you'll need the pipenightdreams
 package as well in order to actually play the game.)

Package: pipenv
Description-md5: 4ee0353b5e133782609dde073c72958f
Description-en: Python's officially recommended packaging tool
 Pipenv is the officially recommended Python packaging tool from Python.org.
 .
 Pipenv is a tool that aims to bring the best of all packaging worlds (bundler,
 composer, npm, cargo, yarn, etc.) to the Python world. It automatically
 creates and manages a virtualenv for your projects, as well as adds/removes
 packages from your Pipfile as you install/uninstall packages. It also
 generates the ever–important Pipfile.lock, which is used to produce
 deterministic builds.

Package: piper
Description-md5: 75e9c541e775c9a9514f426f183bc7b2
Description-en: graphical frontend for libratbag
 Piper is a graphical user interface to configure gaming mice. Configuration
 options include changing the resolution (DPI) of the mouse, adding and removing
 profiles, setting LED colors and changing button behaviors.

Package: piperka-client
Description-md5: 07237871ca285c6145cb1bd5bfc7ec0a
Description-en: Mobile oriented web comics reader client
 Piperka is a web comic tracking and bookmarking service with over
 5000 comics listed on it.  It doesn't host any web comics by itself
 but maintains a list of them and an index of their archive pages.
 .
 Piperka Client uses Piperka's database to provide browsing and
 navigation for web comics' archives in a unified manner with an
 embedded browser. It stores user's bookmarks and periodically
 contacts the server to check for any updates to the comics that a
 user reads.
 .
 This program is geared towards mobile use.

Package: pipewalker
Description-md5: b6638323aaf3f05d3833c4e3ccdda829
Description-en: Puzzle game - connect all computers to the net
 This is a puzzle game where pieces of a computer network are to be turned in
 the right direction to make all computers connected to the same network.

Package: pipewire
Description-md5: ea9d109c6940570148645d648d6c274f
Description-en: PipeWire multimedia server
 PipeWire is a server and user space API to deal with multimedia
 pipelines. This includes:
 .
  - Making available sources of video (such as from a capture devices or
    application provided streams) and multiplexing this with clients.
  - Accessing sources of video for consumption.
  - Generating graphs for audio and video processing.
 .
 This package contains the server and command-line utilities.

Package: pipexec
Description-md5: eb226c36765d758f7d2355c388ecff23
Description-en: create a directed graph of processes and pipes
 pipexec creates an arbitrary network (directed graph) of processes and
 pipes in between - even cycles are possible.
 It overcomes the short comings of shells that are typically only able
 to create non cyclic trees.
 .
 pipexec also monitors all its child processes and is able to restart
 the whole network of processes and pipes if one crashes.
 Therefore pipexec can be used in SYSV-init or systemd configuration to
 run a network of processes.
 .
 The package also contains two tools 'ptee' and 'peet' which are the piped
 version of the 'tee' and 'eet' (reverse tee) commands.

Package: pipsi
Description-md5: 28478865a674e053c392e39988d29ca1
Description-en: pip script installer
 pipsi is a wrapper around virtualenv and pip which installs scripts provided by
 python packages into separate virtualenvs to shield them from your system and
 each other.
 .
 In other words: you can use pipsi to install things like pygmentize without
 making your system painful.

Package: pipx
Description-md5: 69db2e14156b65bc6645b8a18d917a33
Description-en: execute binaries from Python packages in isolated environments
 pipx allows you to...
 .
  * Run the latest version of a CLI application from a package
    in a temporary virtual environment,
    leaving your system untouched after it finishes.
  * Install packages to isolated virtual environments,
    while globally exposing their CLI applications
    so you can run them from anywhere.
  * Easily list, upgrade, and uninstall packages
    that were installed with pipx.
 .
 pipx runs with regular user permissions,
 never calling "sudo pip install".

Package: pirs
Description-md5: 3d84b3cc5542c152af8429c0a00353e8
Description-en: Profile based Illumina pair-end Reads Simulator
 The program pIRS can be used for simulating Illumina PE reads, with a
 series of characters generated by Illumina sequencing platform, such as
 insert size distribution, sequencing error(substitution, insertion,
 deletion), quality score and GC content-coverage bias.
 .
 The insert size follows a normal distribution, so users should set the
 mean value and standard deviation. Usually the standard deviation is set
 as 1/20 of the mean value. The normal distribution by Box-Muller method
 is simulated.
 .
 The program simulates sequencing error, quality score and GC content-
 coverage bias according to the empirical distribution profile. Some
 default profiles counted from lots of real sequencing data are provided.
 .
 To simulate reads from diploid genome, users should simulate the diploid
 genome sequence firstly by setting the ratio of heterozygosis SNP,
 heterozygosis InDel and structure variation.

Package: pirs-examples
Description-md5: 68f36220abe2489f38bb06b1e2f43810
Description-en: profile basd Illumina pair-end Reads Simulator (example data)
 The program pIRS can be used for simulating Illumina PE reads, with a
 series of characters generated by Illumina sequencing platform, such as
 insert size distribution, sequencing error(substitution, insertion,
 deletion), quality score and GC content-coverage bias.
 .
 The insert size follows a normal distribution, so users should set the
 mean value and standard deviation. Usually the standard deviation is set
 as 1/20 of the mean value. The normal distribution by Box-Muller method
 is simulated.
 .
 The program simulates sequencing error, quality score and GC content-
 coverage bias according to the empirical distribution profile. Some
 default profiles counted from lots of real sequencing data are provided.
 .
 To simulate reads from diploid genome, users should simulate the diploid
 genome sequence firstly by setting the ratio of heterozygosis SNP,
 heterozygosis InDel and structure variation.
 .
 This package contains some example data.

Package: pirs-profiles
Description-md5: e62a40d96776cf47a96aa407fae2c1c9
Description-en: profile basd Illumina pair-end Reads Simulator (profile data)
 The program pIRS can be used for simulating Illumina PE reads, with a
 series of characters generated by Illumina sequencing platform, such as
 insert size distribution, sequencing error(substitution, insertion,
 deletion), quality score and GC content-coverage bias.
 .
 The insert size follows a normal distribution, so users should set the
 mean value and standard deviation. Usually the standard deviation is set
 as 1/20 of the mean value. The normal distribution by Box-Muller method
 is simulated.
 .
 The program simulates sequencing error, quality score and GC content-
 coverage bias according to the empirical distribution profile. Some
 default profiles counted from lots of real sequencing data are provided.
 .
 To simulate reads from diploid genome, users should simulate the diploid
 genome sequence firstly by setting the ratio of heterozygosis SNP,
 heterozygosis InDel and structure variation.
 .
 This package contains the profile data.

Package: pisg
Description-md5: 61c4737ab0ed6315627f149d29c627a9
Description-en: Perl IRC Statistics Generator
 A Perl script which takes IRC logfiles and turns them into nice looking
 stats, which can be amusing to show to the users of your channel.

Package: pithos
Description-md5: 54e28eb5517f3d5d7ba624c393e40012
Description-en: Pandora Radio client for the GNOME desktop
 Pithos is a cross-platform desktop client for the personalized web
 radio Pandora, supporting all important features the official Flash™
 client has.
 .
 Pithos was based on pianobar, a console client for Pandora. In addition
 to sporting a GTK+ GUI, Pithos has feature-parity with pianobar.
 .
 Out of concern for the longevity of Pandora Media Inc., the
 software authors would recommend subscribing to Pandora One.
 .
 Use of this application requires a Pandora account; one can be created
 for free at https://pandora.com.

Package: pitivi
Description-md5: 23faa5d2e5b4845d687103b38e1a43fd
Description-en: non-linear audio/video editor using GStreamer
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 PiTiVi allows users to easily edit audio/video projects based on the
 GStreamer framework.  PiTIVi provides several ways of creating and
 modifying a timeline.  Ranging from a simple synopsis view (a-la
 iMovie) to the full-blown editing view (aka Complex View) which puts
 you in complete control of your editing.

Package: piu-piu
Description-md5: 448ecf6cd9a05221e072c9601d440b22
Description-en: Horizontal scroller game in bash for cli.
 This is an Old School horizontal scroller 'Shoot Them All' game in bash.
 With multiplayer mode. You have to defeat 100 aliens to fight with Boss.
 Netcat is used for client-server exchange in multiplayer mode.
 So netcat have to be installed on system if you wish to play with friend.
 And terminals on both hosts have to be with equal dimensions.

Package: piuparts
Description-md5: 055e74b6d60140a58563105e6aa821a1
Description-en: .deb package installation, upgrading, and removal testing tool
 piuparts tests that .deb packages (as used by Debian) handle
 installation, upgrading, and removal correctly. It does this by
 creating a minimal Debian installation in a chroot, and installing,
 upgrading, and removing packages in that environment, and comparing the
 state of the directory tree before and after. piuparts reports any
 files that have been added, removed, or modified during this process.
 .
 piuparts is meant as a quality assurance tool for people who create .deb
 packages to test them before they upload them to the Debian package archive.

Package: piuparts-common
Description-md5: b6cd8a6bc1021198534dda4e75433356
Description-en: common piuparts components
 piupartslib, common library used by piuparts-master, -report, -analyze and
 -slave.
 .
 See https://piuparts.debian.org or the piuparts package to learn more about
 piuparts.

Package: piuparts-master
Description-md5: a72d4f4d0638150964428161606fa457
Description-en: piuparts master components
 piuparts is meant as a quality assurance tool for people who create .deb
 packages to test them before they upload them to the Debian package archive.
 A master/slave piuparts installation is used for testing complete archives
 as it is done on https://piuparts.debian.org/.
 .
 This package provides the piuparts-master, which needs one or more slaves to
 operate. It also contains piuparts-report to generate web pages with the
 testing results as well as documentation.

Package: piuparts-master-from-git-deps
Description-md5: 735d6d8347568a1de0e3cce6c0069020
Description-en: dependencies for running piuparts master from git
 piuparts is meant as a quality assurance tool for people who create .deb
 packages to test them before they upload them to the Debian package archive.
 A master/slave piuparts installation is used for testing complete archives
 as it is done on https://piuparts.debian.org/.
 .
 This metapackage provides all the dependencies needed for running a
 piuparts-master instance (including piuparts-report etc.) from git.

Package: piuparts-slave
Description-md5: d75f4e850854faeeee48208dfc4858d4
Description-en: piuparts slave components
 piuparts is meant as a quality assurance tool for people who create .deb
 packages to test them before they upload them to the Debian package archive.
 A master/slave piuparts installation is used for testing complete archives
 as it is done on https://piuparts.debian.org/.
 .
 This package provides the piuparts slave components, which need a piuparts
 master to operate. See the documentation in the piuparts-master package.

Package: piuparts-slave-from-git-deps
Description-md5: fa1ae615143527f6fa7538f24bd65486
Description-en: dependencies for running piuparts slave from git
 piuparts is meant as a quality assurance tool for people who create .deb
 packages to test them before they upload them to the Debian package archive.
 A master/slave piuparts installation is used for testing complete archives
 as it is done on https://piuparts.debian.org/.
 .
 This metapackage provides all the dependencies needed for running a
 piuparts-slave instance from git.

Package: pius
Description-md5: 5ab3db03caa149a498eecd8ec608fe9d
Description-en: Tools to help before and after key-signing parties
 After a key-signing party, pius (the PGP Individual UID Signer) signs each
 uid on a GPG key individually. Each signature is encrypted and mailed to
 the email address associated with that particular uid. As a result of this
 process, the recipient can choose which signatures to import. Also,
 signatures of inactive uids are not delivered. This tool greatly reduces
 time and error when signing keys.
 .
 Other tools herein are useful for organizers: pius-keyring-mgr builds a party
 keyring from a CSV file or by scanning mailboxes, and pius-party-worksheet
 generates a worksheet as a hand out. If someone has not signed your key,
 pius-report can analyze a party keyring and remind them.
 .
 This version supports GPGv2 and uses it by default.

Package: pixelize
Description-md5: 08a00d712e15ff02bc578da2f6ff0fe7
Description-en: Create an image consisting of many small images
 Pixelize is a program that will use many scaled down images to
 try to duplicate, as closely as possible, another image.
 .
 Pixelize works by splitting up the image you want rendered (or
 duplicated) into a grid of small rectangular areas. Each area
 is analyzed, and replaced with an image chosen from a large
 database of images. Pixelize tries to pick images that best
 match each area.

Package: pixelmed-apps
Description-md5: 947dc7a62e621e67e8bc373b7d6b9ba1
Description-en: DICOM implementation containing Image Viewer and a ECG Viewer - cli
 This is a stand-alone DICOM toolkit that implements code for reading
 and creating DICOM data, DICOM network and file support, a database of
 DICOM objects, support for display of directories, images, reports and
 spectra, and DICOM object validation.
 .
 Provide command line applications for using pixelmed

Package: pixelmed-webstart-apps
Description-md5: 0c2f12763392f1fcb31ffc09d935bd18
Description-en: DICOM implementation containing Image Viewer and a ECG Viewer - jnlp
 This is a stand-alone DICOM toolkit that implements code for reading
 and creating DICOM data, DICOM network and file support, a database of
 DICOM objects, support for display of directories, images, reports and
 spectra, and DICOM object validation.
 .
 Provide JNLP applications as command line apps

Package: pixelmed-www
Description-md5: fa2c74db221e2f7fecf5bd24615076e4
Description-en: DICOM implementation containing Image Viewer and a ECG Viewer - web
 This is a stand-alone DICOM toolkit that implements code for reading
 and creating DICOM data, DICOM network and file support, a database of
 DICOM objects, support for display of directories, images, reports and
 spectra, and DICOM object validation.
 .
 This is the web package. Depends on JNLP applications, provides HTML pages

Package: pixiewps
Description-md5: e56375a1d253ed6f75c78724acc32cdc
Description-en: Offline WPS bruteforce tool
 Pixiewps is a tool written in C used to bruteforce offline the WPS pin
 exploiting the low or non-existing entropy of some APs (pixie dust attack).
 It is meant for educational purposes only.

Package: pixmap
Description-md5: 2f780eec7257c1969f19a63caf80bc5d
Description-en: A pixmap editor
 Pixmap is a tool for creating or editing rectangular images made up of colored
 pixels, i.e., pixmaps. Pixmaps are intensively used in X to define window
 backgrounds, icon images, etc.  These are for example used as icons on the
 desktop or in the Debian menu.

Package: pixz
Description-md5: 7e123820a7d60a2adcb2daed2441c2dd
Description-en: parallel, indexing XZ compressor/decompressor
 Pixz is a multithreaded compressor/decompressor XZ fully compatible
 with those provided by xz-utils and busybox. By default it adds
 indexing information when compressing tar files that allows fast
 listing and partial-archive extraction.

Package: pk-update-icon
Description-md5: cd39f98d43dcf5350dc1ad967b998244
Description-en: transitional dummy package for package-update-indicator
 This is a transitional dummy package. It can safely be removed.

Package: pk4
Description-md5: 12a79bced01106d10b2470230cf64166
Description-en: make available the Debian source package producing the specified package
 pk4 resolves the specified argument(s) as either:
 .
 1. the name of a Debian binary package, and selects its Debian source package.
 2. the name of a Debian source package, and selects it.
 3. or a file path, and selects the Debian source package of the owning package.
 .
 The source package version is either the installed version (if any) or the
 installation candidate, as per “apt-cache policy”.
 .
 Then, pk4 downloads the entire selected source package (every file referenced
 by — and including — its .dsc file) and prints the output directory path.
 .
 pk4…
 • caps the disk usage of the checked out packages by deleting the oldest ones
   after crossing a limit (default: 2GiB).
 • allows users to enable supplied or shipped-with-pk4 hooks, e.g. git-init.
 • optimizes for low latency of each operation.
 • respects your APT configuration, i.e. should work in company intranets.
 • comes with tab completion for bash and zsh.
 • tries hard to download source packages, with fallback to snapshot.debian.org.

Package: pkcs11-data
Description-md5: e568f8d003051360b0320bd0a411b694
Description-en: Manage PKCS#11 data objects
 pkcs11-data is a program to manage data objects residing
 on PKCS#11 (Cryptoki) enabled crypto devices.

Package: pkcs11-dump
Description-md5: f5841f53f7d7436ab8033ef96a042bac
Description-en: Dump PKCS#11 token content
 pkcs11-dump is a program to query PKCS#11 (cryptoki) provider
 modules for objects available on a specific crypto device and
 dump them to stdout in a human-readable format.
 .
 This package is mostly interesting for people familiar with
 PKCS#11 who are developing or analyzing a PKCS#11 module or
 like to get a detailed view of objects on a crypto device.

Package: pkg-components
Description-md5: 0d8fcf4e0bf58e4257b9f0b5a9764c5d
Description-en: debhelper tool to help maintain bundles
 Support for additional components in debian/rules
 To be activated one would need to run 'dh --with components $@' and
 also there would have to be a 'debian/components' directory.

Package: pkg-config-aarch64-linux-gnu
Description-md5: 9ecb9d50ad023710b605df2c531efeb5
Description-en: manage compile and link flags for libraries for arm64 architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 arm64 architecture.

Package: pkg-config-alpha-linux-gnu
Description-md5: bff6e495981c7438c0961602c6ecfc06
Description-en: manage compile and link flags for libraries for alpha architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 alpha architecture.

Package: pkg-config-arm-linux-gnueabi
Description-md5: 4a63d184af5fac450919a60073966f04
Description-en: manage compile and link flags for libraries for armel architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 armel architecture.

Package: pkg-config-arm-linux-gnueabihf
Description-md5: b6862e5f57b7572bcaeeedccbc245cca
Description-en: manage compile and link flags for libraries for armhf architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 armhf architecture.

Package: pkg-config-hppa-linux-gnu
Description-md5: 111c60cc41446f6f2fc38b5346cae589
Description-en: manage compile and link flags for libraries for hppa architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 hppa architecture.

Package: pkg-config-i686-linux-gnu
Description-md5: bf5195f54158a7bb7cda8897463f9594
Description-en: manage compile and link flags for libraries for i386 architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 i386 architecture.

Package: pkg-config-m68k-linux-gnu
Description-md5: 55b472015100fec109a54534b38d18cd
Description-en: manage compile and link flags for libraries for m68k architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 m68k architecture.

Package: pkg-config-powerpc-linux-gnu
Description-md5: 64005097e24ff53b6118ae16e358913c
Description-en: manage compile and link flags for libraries for powerpc architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 powerpc architecture.

Package: pkg-config-powerpc64-linux-gnu
Description-md5: c16e4e10ff0cbf46351249d87e103eaa
Description-en: manage compile and link flags for libraries for ppc64 architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 ppc64 architecture.

Package: pkg-config-powerpc64le-linux-gnu
Description-md5: 0637e8bad43ba9b118ab6c60f1963eeb
Description-en: manage compile and link flags for libraries for ppc64el architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 ppc64el architecture.

Package: pkg-config-riscv64-linux-gnu
Description-md5: 148ab61e3da225a46ec66a594051c540
Description-en: manage compile and link flags for libraries for riscv64 architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 riscv64 architecture.

Package: pkg-config-s390x-linux-gnu
Description-md5: 28921186a16a380dd31c3ddbfde7662b
Description-en: manage compile and link flags for libraries for s390x architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 s390x architecture.

Package: pkg-config-sh4-linux-gnu
Description-md5: f8842287525462d4f473b60d6643d67b
Description-en: manage compile and link flags for libraries for sh4 architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 sh4 architecture.

Package: pkg-config-sparc64-linux-gnu
Description-md5: 0587b95096d9a86e079b581333d1c693
Description-en: manage compile and link flags for libraries for sparc64 architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 sparc64 architecture.

Package: pkg-config-x86-64-linux-gnux32
Description-md5: b30901181950e5672a16ca4c9b016aa7
Description-en: manage compile and link flags for libraries for x32 architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 x32 architecture.

Package: pkg-haskell-tools
Description-md5: 0e6e6676d75d315e780189291b81a767
Description-en: Debian Haskell Group tools
 This package provides various convenience tools used by the Debian Haskell
 Group.

Package: pkg-js-autopkgtest
Description-md5: aed57affa4f8d2272a9b78a18b613686
Description-en: collection of autopktest scripts for Nodejs packages
 This package contains test runners
 to be used with the autopkgtest infrastructure for Nodejs packages.
 .
 Packages using the tests with autopkgtests in this package
 can simply set "Testsuite: autopkgtest-nodejs" in debian/control.
 .
 Cf. /usr/share/doc/pkg-js-autopkgtest/README.autopkgtest.md
 for information on the tests and how to tweak them.

Package: pkg-js-tools
Description-md5: a030dfbf6403e59d29291a0fd487e747
Description-en: collection of tools to aid packaging Node modules in Debian
 The Debian Javascript Group
 works on packaging JavaScript libraries for Debian.
 This collection contains the tools
 which help the group with day-to-day work.
 .
 It provides github-debian-upstream, dh-make-node,
 a salsa configuration file and files to add "--with nodejs" to dh.

Package: pkg-kde-tools
Description-md5: b6ae52452f91fb06c346329be5550d5c
Description-en: various packaging tools and scripts for KDE Applications
 This package contains a variety of packaging tools and build scripts that may
 be very useful when packaging KDE applications. Even if you are a maintainer
 of a very small KDE application, this package should be worthwhile checking
 out.
 .
 Main highlights:
  - recommended build flags for building packages based on the KDE Platform;
  - cdbs class for building packages based on the KDE Platform (kde.mk);
  - debhelper build system (--buildsystem=kde) and dh addon (--with kde) for
    building packages based on the KDE Platform;
  - dh_sameversiondep for generating a dependency that is versioned the same
    way as a dependency (coming from the same source) of another reference
    package.
  - dh_movelibkdeinit for moving libkdeinit4_*.so libraries to private
    location;
  - dh_sodeps (and sodeps dh addon) for generating so:Depends substvar for -dev
    packages based to which local packages lib*.so symlinks point to;
  - dh_qmlcdeps (and qmlcdeps dh addon) for generating qmlc:Depends substvar
    for packages shipping QML cache files.
  - pkgkde-symbolshelper tool for efficient management of C and C++ symbol
    files;
  - pkgkde-debs2symbols tool for generating symbol files and symbol file
    patches from pre-built binaries in the deb packages;
  - pkgkde-getbuildlogs tool for downloading build logs for the specified
    package (from buildd.debian.org);
  - pkgkde-override-sc-dev-latest tool for overriding Breaks of the
    kde-sc-dev-latest package;
  - pkgkde-vcs tool for performing common tasks when packaging under VCS.
 .
 NOTE: since this package is cumulative, it does not depend on the packages it
 provides helpers for. I.e. you still need to explicitly build depend on
 debhelper or cdbs in your packages.

Package: pkg-mozilla-archive-keyring
Description-md5: cfb9799deceef1ab467a8854e4312a7c
Description-en: GnuPG archive keys for the Debian Mozilla team package repository
 The Debian Mozilla team package repository contains release and development
 versions of Mozilla related packages. The repository is digitally signed, and
 the corresponding public key, required by APT, is available in this package.

Package: pkg-perl-autopkgtest
Description-md5: 5a5028d53af2894620684c2a2d710a12
Description-en: collection of autopktest scripts for Perl packages
 This package contains test runners to be used with the autopkgtest
 infrastructure for perl packages.
 .
 Packages using the tests with autopkgtests in this package need to
 depend on it in their debian/tests/control file, not in their
 debian/control file, or can simply set "Testsuite: autopkgtest-pkg-perl"
 in debian/control.
 .
 Cf. https://perl-team.pages.debian.net/autopkgtest.html for information on
 the tests and how to tweak them.

Package: pkg-perl-tools
Description-md5: 70289eef0666e6675bed93c4f6c7ba3b
Description-en: collection of tools to aid packaging Perl modules in Debian
 The Debian Perl Group works on packaging Perl modules for Debian. This
 collection contains the tools which help the group with day-to-day work, like
 filing ITPs, forwarding bugs and patches upstream, taking over a package for
 the group, maintaining proper git repository structure and so on.
 .
 Additionally it depends on, recommends or suggests separately
 packaged tools the Debian Perl Group uses.
 .
 It also includes some Debian Perl Group specific lintian checks. Add
 "LINTIAN_PROFILE=pkg-perl" to your ~/.lintianrc to use them after
 installing this package.

Package: pkg-r-autopkgtest
Description-md5: 9c44fe7b62dd777967c5090bcecc1ad7
Description-en: Script for the automatic testing of R packages
 This package contains the pkg-r-autopkgtest script that runs the unit tests
 contained in the source tree from which it is launched. It is intended to be
 used by the support for R packages, which is implemented in autodep8.
 .
 Packages using the tests with autopkgtests in this package need to
 simply set "Testsuite: autopkgtest-pkg-r" in debian/control.

Package: pkgconf
Description-md5: bb6e939dcc5e28020ca3111bf3fb0434
Description-en: manage compile and link flags for libraries
 pkgconf is a replacement for pkg-config, a system for managing library
 compile and link flags that works with automake and autoconf.
 .
 pkgconf does not bundle any third-party libraries or depend on any
 third-party libraries.

Package: pkgdiff
Description-md5: 2034590a768e1f68227fc5f364236862
Description-en: tool for visualizing changes in Linux software packages
 Package changes analyzer is a tool for visualizing changes in Linux
 software packages (RPM, DEB, TAR.GZ, etc).
 .
 The tool is intended for Linux maintainers who are interested in ensuring
 compatibility of old and new versions of packages.
 .
 The tool generates HTML reports to visualize the changes between two packages.

Package: pkgsync
Description-md5: 845dd00e142dcfdcf8a3483a14c8997f
Description-en: automated package list synchronization
 pkgsync is a tool for keeping multiple machines reasonably similar and
 clean. Packages can either be in a `must be installed', `may be
 installed' or `must not be installed' list (which is presumed to be
 distributed separately using a tool such as rdist or cfengine). pkgsync
 will take care of meeting the demands put down in the lists, and then
 removing everything that is not in the `must' or `may' list and is not
 necessary for their operations (as determined by aptitude).

Package: pki-base
Description-md5: 47df3332c67445dfd8245ebf9b33ab4b
Description-en: Certificate System - PKI Framework
 The PKI Framework contains the common and client libraries and utilities.
 .
 This package is a part of the PKI Core used by the Certificate System.

Package: pki-base-java
Description-md5: 98df2ce6051d9542fdef1eb97f3167ce
Description-en: Certificate System - PKI Framework -- java client support
 The PKI Framework contains the common and client libraries and utilities.
 .
 This package is a part of the PKI Core used by the Certificate System.

Package: pki-ca
Description-md5: def88afc35c4e67693852c211226ea71
Description-en: Certificate System - Certificate Authority
 The Certificate Authority (CA) is a required PKI subsystem which issues,
 renews, revokes, and publishes certificates as well as compiling and
 publishing Certificate Revocation Lists (CRLs).
 .
 The Certificate Authority can be configured as a self-signing Certificate
 Authority, where it is the root CA, or it can act as a subordinate CA,
 where it obtains its own signing certificate from a public CA.
 .
 This package is a part of the PKI Core used by the Certificate System.

Package: pki-console
Description-md5: 368476ab509db2c7f88b2dc2e6597861
Description-en: Certificate System - PKI Console
 Certificate System (CS) is an enterprise software system designed
 to manage enterprise Public Key Infrastructure (PKI) deployments.
 .
 The PKI Console is a java application used to administer CS.

Package: pki-javadoc
Description-md5: 6f2f7291b87c12758de66d3bbb717646
Description-en: Certificate System - PKI Framework Javadocs
 This documentation pertains exclusively to version 10 of
 the PKI Framework and Tools.
 .
 This package is a part of the PKI Core used by the Certificate System.

Package: pki-kra
Description-md5: 246648bc4977717e7478d9a034ee965d
Description-en: Certificate System - Data Recovery Manager
 Certificate System (CS) is an enterprise software system designed
 to manage enterprise Public Key Infrastructure (PKI) deployments.
 .
 The Data Recovery Manager (DRM) is an optional PKI subsystem that can act
 as a Key Recovery Authority (KRA).  When configured in conjunction with the
 Certificate Authority (CA), the DRM stores private encryption keys as part of
 the certificate enrollment process.  The key archival mechanism is triggered
 when a user enrolls in the PKI and creates the certificate request.  Using the
 Certificate Request Message Format (CRMF) request format, a request is
 generated for the user's private encryption key.  This key is then stored in
 the DRM which is configured to store keys in an encrypted format that can only
 be decrypted by several agents requesting the key at one time, providing for
 protection of the public encryption keys for the users in the PKI deployment.
 .
 Note that the DRM archives encryption keys; it does NOT archive signing keys,
 since such archival would undermine non-repudiation properties of signing keys.

Package: pki-ocsp
Description-md5: 28c9360dcb77096da580228539aa9826
Description-en: Certificate System - Online Certificate Status Protocol Manager
 Certificate System (CS) is an enterprise software system designed
 to manage enterprise Public Key Infrastructure (PKI) deployments.
 .
 The Online Certificate Status Protocol (OCSP) Manager is an optional PKI
 subsystem that can act as a stand-alone OCSP service.  The OCSP Manager
 performs the task of an online certificate validation authority by enabling
 OCSP-compliant clients to do real-time verification of certificates.  Note
 that an online certificate-validation authority is often referred to as an
 OCSP Responder.
 .
 Although the Certificate Authority (CA) is already configured with an
 internal OCSP service.  An external OCSP Responder is offered as a separate
 subsystem in case the user wants the OCSP service provided outside of a
 firewall while the CA resides inside of a firewall, or to take the load of
 requests off of the CA.
 .
 The OCSP Manager can receive Certificate Revocation Lists (CRLs) from
 multiple CA servers, and clients can query the OCSP Manager for the
 revocation status of certificates issued by all of these CA servers.
 .
 When an instance of OCSP Manager is set up with an instance of CA, and
 publishing is set up to this OCSP Manager, CRLs are published to it
 whenever they are issued or updated.

Package: pki-server
Description-md5: f4b24a35a4c63a42628475c7b1255fc4
Description-en: Certificate System - PKI Server Framework
 The PKI Server Framework is required by the following four PKI subsystems:
 .
     the Certificate Authority (CA),
     the Data Recovery Manager (DRM),
     the Online Certificate Status Protocol (OCSP) Manager, and
     the Token Key Service (TKS).
 .
 This package is a part of the PKI Core used by the Certificate System.
 The package contains scripts to create and remove PKI subsystems.

Package: pki-tks
Description-md5: dc0194814c9b8f13076b9e2632a411df
Description-en: Certificate System - Token Key Service
 Certificate System (CS) is an enterprise software system designed
 to manage enterprise Public Key Infrastructure (PKI) deployments.
 .
 The Token Key Service (TKS) is an optional PKI subsystem that manages the
 master key(s) and the transport key(s) required to generate and distribute
 keys for hardware tokens.  TKS provides the security between tokens and an
 instance of Token Processing System (TPS), where the security relies upon the
 relationship between the master key and the token keys.  A TPS communicates
 with a TKS over SSL using client authentication.
 .
 TKS helps establish a secure channel (signed and encrypted) between the token
 and the TPS, provides proof of presence of the security token during
 enrollment, and supports key changeover when the master key changes on the
 TKS.  Tokens with older keys will get new token keys.
 .
 Because of the sensitivity of the data that TKS manages, TKS should be set up
 behind the firewall with restricted access.

Package: pki-tools
Description-md5: cca3119e0b364843d1edc3523b5fff52
Description-en: Certificate System - PKI Tools
 This package contains PKI executables that can be used to help make
 Certificate System into a more complete and robust PKI solution.
 .
 This package is a part of the PKI Core used by the Certificate System.

Package: pki-tps
Description-md5: 6c98a3d60d33b0b3d2fe7b0c553b4db2
Description-en: Certificate System - Token Processing System
 Certificate System (CS) is an enterprise software system designed
 to manage enterprise Public Key Infrastructure (PKI) deployments.
 .
 The Token Processing System (TPS) is an optional PKI subsystem that acts
 as a Registration Authority (RA) for authenticating and processing
 enrollment requests, PIN reset requests, and formatting requests from
 the Enterprise Security Client (ESC).
 .
 TPS is designed to communicate with tokens that conform to
 Global Platform's Open Platform Specification.
 .
 TPS communicates over SSL with various PKI backend subsystems (including
 the Certificate Authority (CA), the Data Recovery Manager (DRM), and the
 Token Key Service (TKS)) to fulfill the user's requests.
 .
 TPS also interacts with the token database, an LDAP server that stores
 information about individual tokens.

Package: pki-tps-client
Description-md5: 70abecd8ce449268e01bf87f9f824912
Description-en: Certificate System - Token Processing System client
 Certificate System (CS) is an enterprise software system designed
 to manage enterprise Public Key Infrastructure (PKI) deployments.
 .
 The Token Processing System (TPS) is an optional PKI subsystem that acts
 as a Registration Authority (RA) for authenticating and processing
 enrollment requests, PIN reset requests, and formatting requests from
 the Enterprise Security Client (ESC).
 .
 TPS is designed to communicate with tokens that conform to
 Global Platform's Open Platform Specification.
 .
 TPS communicates over SSL with various PKI backend subsystems (including
 the Certificate Authority (CA), the Data Recovery Manager (DRM), and the
 Token Key Service (TKS)) to fulfill the user's requests.
 .
 TPS also interacts with the token database, an LDAP server that stores
 information about individual tokens.
 .
 This client is a test tool that interacts with TPS. It is useful to test
 TPS server configs without risking an actual smart card.

Package: pktanon
Description-md5: 64bda52dd1a7f5f7c61c9dfd8a6932e3
Description-en: profile-based traffic anonymizer
 PKtAnon performs network trace anonymization, e.g. on pcap files.
 It is highly configurable by using anonymization profiles. Anonymization
 profiles allow for mapping of arbitrary anonymization primitives to
 protocol attributes, thus providing high flexibility and easy usability.
 A huge number of anonymization primitives and network protocols are supported
 and ready to use for online and offline anonymization.

Package: pktools
Description-md5: 177b572cce6cadb214af44e7c4e684f1
Description-en: GDAL add-on tools to perform useful raster processing
 Pktools is a collection of programs to perform operations, mostly on
 raster geolocated  images. It  heavily relies  on the  Geospatial Data
 Abstraction Library (GDAL) and OGR.  The programs are similar to the
 GDAL tools (gdalinfo, gdal_translate,  gdal_merge, ...) and some of the
 functionalities provided in pktools already exist in the GDAL tools.
 .
 All utilities in pktools use command line options and have a built
 in help. They include more than thirty binaries to edit, change, crop,
 classify, compare, dump, fill, enhance images and many other
 common operations useful in the remote sensing field of image
 analysis.

Package: pktools-dev
Description-md5: 44bb245236deecdbfa440cca7605a078
Description-en: GDAL add-on tools to perform useful raster processing - development files
 Pktools is a collection of programs to perform operations, mostly on
 raster geolocated  images. It  heavily relies  on the  Geospatial Data
 Abstraction Library (GDAL) and OGR.  The programs are similar to the
 GDAL tools (gdalinfo, gdal_translate,  gdal_merge, ...) and some of the
 functionalities provided in pktools already exist in the GDAL tools.
 .
 All utilities in pktools use command line options and have a built
 in help, and include more than thirty binaries to edit, change, crop,
 classify, compare, dump, fill, enhance images and many other
 common operations useful in the remote sensing field of image
 analysis.
 .
 This package contains the files needed to develop a software that
 uses the pktools libraries.

Package: pktstat
Description-md5: b265fdd1e85454f53c88c406901a6183
Description-en: top-like utility for network connections usage
 pktstat displays a real-time list of active connections seen on a
 network interface, and how much bandwidth is being used by what.
 .
 It partially decodes HTTP and FTP protocols to show what filename is
 being transferred, as well as X11 application names. Entries hang
 around on the screen for a few seconds so you can see what just
 happened.
 .
 It also accepts BPF expressions.

Package: pkwalify
Description-md5: a7b301919b294bed72ba08f0d57765f9
Description-en: perl kwalify validator
 Kwalify is a Perl implementation for validating data structures
 against the Kwalify schema. For a schema definition, see
 http://www.kuwata-lab.com/kwalify/ruby/users-guide.01.html
 .
 Note that there is no support for validator hooks (section 1-7 of the
 user guide document).

Package: placnet
Description-md5: b986c8bedbeeec858c98e5b2c199b819
Description-en: Plasmid Constellation Network project
 Placnet is a new tool for plasmid analysis in NGS projects. Placnet is
 optimized to work with Illumina sequences but it also works with 454,
 Iontorrent or any of the actual sequence technologies.
 .
 The input of placnet is a set of contigs and one or more SAM files with
 the mapping of the reads against the contigs. Placnet obtains a set of
 files, easily opened on Cytoscape software or other network tools.

Package: plait
Description-md5: f82bd5e32374776b53337679e9668f86
Description-en: command-line jukebox
 Plait (pronounced "play") is a command-line jukebox and music player
 front end. It understands brief, easy to type queries that pick a
 single song, mix queries that combine works from multiple artists,
 stream queries that find Shoutcast radio streams, and everything in
 between.
 .
 A variety of filters are available to pick just the music you want
 to hear. In order to actually play the music it finds, Plait
 automatically hands off a play list to one of the supported
 music players (or you can use it manually with any player that
 supports .m3u playlists).

Package: planarity
Description-md5: ed4c5721a6cafc609dde77a549375b94
Description-en: Program for planarity-related graph algorithms
 This package contains a command-line reference implementation of the
 Edge Addition Planarity Algorithm, which is the best linear-time
 method to embed a planar graph and isolate planarity obstructions.

Package: planetblupi
Description-md5: 7130fdcc77856d63e1523e4abd659acc
Description-en: Planet Blupi - A delirious spell-binding game
 Planet Blupi is a strategy and adventure game. It subtly blends action with
 thought-provoking challenges. Behind the quiet and gentle facade, you'll enjoy
 a fascinating diversion full of surprises.

Package: planetblupi-common
Description-md5: 43ab332e664da4c4a9afd5edd092d6b0
Description-en: Planet Blupi - A delirious spell-binding game - data
 Planet Blupi is a strategy and adventure game. It subtly blends action with
 thought-provoking challenges. Behind the quiet and gentle facade, you'll enjoy
 a fascinating diversion full of surprises.
 .
 This package contains the architecture-independent game data.

Package: planetblupi-music-midi
Description-md5: de8b6805b349848bf12c3e7d5861ce96
Description-en: Planet Blupi - A delirious spell-binding game - MIDI music
 Planet Blupi is a strategy and adventure game. It subtly blends action with
 thought-provoking challenges. Behind the quiet and gentle facade, you'll enjoy
 a fascinating diversion full of surprises.
 .
 This package contains the lightweight MIDI music

Package: planetblupi-music-ogg
Description-md5: b86fe5ee02edc555193302411f26500a
Description-en: Planet Blupi - A delirious spell-binding game - Ogg music
 Planet Blupi is a strategy and adventure game. It subtly blends action with
 thought-provoking challenges. Behind the quiet and gentle facade, you'll enjoy
 a fascinating diversion full of surprises.
 .
 This package contains the heavyweight OGG music.

Package: planetfilter
Description-md5: 8ab1d2e08bdde144af1c4a0241574cf0
Description-en: filter for blog aggregators
 PlanetFilter uses a blacklist to filter a blog aggregator feed. It allows
 anyone to subscribe to popular blog aggregators without being overwhelmed by
 the noise.

Package: planets
Description-md5: 05023d50c55480536f54122fb0c8277c
Description-en: Gravitation simulation of planetary bodies
 Planets is a simple interactive program for playing with simulations
 of planetary systems. It is great for teaching gravitation on planet
 level.
 .
 The user interface is aimed at being simple enough for a fairly young
 kid to enjoy it, their is a special kid-mode for this purpose.

Package: planfacile
Description-md5: 7aefed4256c224f2654b5e2605b7a0a6
Description-en: Generate a document from a mindmap
 PlanFacile (Easy plan, in French) is a small tool to help people to
 write a document on a particular subject.

Package: plank
Description-md5: b9109d91a55d0e2aab489b4b792f0107
Description-en: Elegant, simple, clean dock
 Plank is a dock enabling you to start applications and manage your windows.
 .
 Plank is meant to be the simplest dock on the planet. The goal is to
 provide just what a dock needs and absolutely nothing more. It is,
 however, a library which can be extended to create other dock programs
 with more advanced features.

Package: planner
Description-md5: f14cfbad3f7f65dece03c4f5fa5a93eb
Description-en: project management application
 Planner is a Project Management application that supports Gantt charts,
 resource allocation and integration with other GNOME applications.
 .
 Planner was formerly known as Mr.Project.

Package: planner-data
Description-md5: 53069077e17fce80a5213ddb3a4b1885
Description-en: Data files for planner
 Planner is a Project Management application that supports Gantt charts,
 resource allocation and integration with other GNOME applications.
 .
 This package contains data files for planner like images, icons, and
 arch-independent files.

Package: planner-dev
Description-md5: 99148abb999b96ef21ca339d13e1e8d5
Description-en: Planner development library
 Planner is an application to manage projects on the GNOME desktop.
 .
 This package is required to build plug-ins for Planner. Includes headers and
 dynamic libraries links.

Package: planner-doc
Description-md5: ad5cd5745fb9892499aaff8b1b9c705b
Description-en: Documentation for planner
 Planner is a Project Management application that supports Gantt charts,
 resource allocation and integration with other GNOME applications.
 .
 This package contains the html documentation for planner.

Package: plantuml
Description-md5: fe3fe5ee20f1cbdeefe10d6d499e71cb
Description-en: text-to-UML converter
 PlantUML is a program allowing to draw UML diagrams, using a simple
 human readable text description.
 .
 PlantUML supports the following UML diagrams:
   - sequence diagram
   - use case diagram
   - class diagram
   - activity diagram
   - component diagram
   - state diagram
   - object diagram
   - deployment diagram
   - timing diagram
 .
 The following non-UML diagrams are also supported:
   - wireframe graphical interface (Salt)
   - Archimate diagram
   - Specification and Description Language (SDL)
   - Ditaa diagram
   - Gantt diagram
   - mathematics with AsciiMath or JLaTeXMath notation
 .
 Output images can be generated in PNG, in SVG or LaTeX format.
 PlantUML also supports generation of ASCII art diagrams (only for
 sequence diagrams).

Package: plasma-applet-redshift-control
Description-md5: 4c48b321927a12d12732653e2eb5dd08
Description-en: Adjusts the color temperature of your screen
 Plasma applet to control the redshift process, providing simple settings
 and mouse-wheel manual screen temperature controlling.

Package: plasma-browser-integration
Description-md5: 53b2d05e47bc1e0d2ce7dd982411bb92
Description-en: Chromium, Google Chrome, Firefox integration for Plasma
 Plasma Browser Integration is an extension for common browsers
 to closer fit into the Plasma shell. This includes:
    - Media Controls
        Lets you control video and audio players in websites using the
        Media Controller plasmoid, media keys on your keyboard, and
        even remotely from your phone.
    - Send links via KDE Connect
        Adds a context menu entry to links enabling you to send them
        to your phone and other paired devices.
    - Show downloads in and control them from Plasma’s notification area.
    - Find browser tabs in the Run Command (Alt-Space) window
        Make sure the "Browser Tabs" module is enabled in Plasma Search
        (KRunner) settings.

Package: plasma-calendar-addons
Description-md5: ceb1ad05572926a2da8fc70bebf76f65
Description-en: additional calendar plugins for Plasma 5
 This package contains additional Plasma 5 calendar plugins that are used in
 the Plasma desktop.
 .
 Currently, it only includes the astronomical plugin for Plasma's calendar.
 .
 This package is part of the KDE Plasma 5 addons module.

Package: plasma-dataengines-addons
Description-md5: 35fd76d7e26f1dc903adc242c30881c2
Description-en: additional data engines for Plasma
 This package contains additional Plasma data engines shipped in Plasma 5
 addons module. These engines are needed by some Plasma  5 widget shipped with
 plasma-widgets-addons, but they may be useful for any other Plasma widgets too.
 .
 This package is part of the KDE Plasma addons module.

Package: plasma-desktop
Description-md5: 75fe427efb7974561485d1b970aaa5b3
Description-en: Tools and widgets for the desktop
 Plasma Desktop offers a beautiful looking desktop
 that takes complete advantage of modern computing technology.
 Through the use of visual effects and scalable graphics,
 the desktop experience is not only smooth but also pleasant
 to the eye. The looks of Plasma Desktop not only provide beauty,
 they are also used to support and improve your computer
 activities effectively, without being distracting.
 .
 This package is part of the KDE Plasma.

Package: plasma-desktop-data
Description-md5: cad6c75732e5a71a9a37cfb4f253e9f5
Description-en: Tools and widgets for the desktop data files
 Plasma Desktop offers a beautiful looking desktop
 that takes complete advantage of modern computing technology.
 Through the use of visual effects and scalable graphics,
 the desktop experience is not only smooth but also pleasant
 to the eye. The looks of Plasma Desktop not only provide beauty,
 they are also used to support and improve your computer
 activities effectively, without being distracting.
 .
 This package is part of the KDE Plasma.
 .
 This package contains the data files

Package: plasma-desktop-dev
Description-md5: 75fe427efb7974561485d1b970aaa5b3
Description-en: Tools and widgets for the desktop
 Plasma Desktop offers a beautiful looking desktop
 that takes complete advantage of modern computing technology.
 Through the use of visual effects and scalable graphics,
 the desktop experience is not only smooth but also pleasant
 to the eye. The looks of Plasma Desktop not only provide beauty,
 they are also used to support and improve your computer
 activities effectively, without being distracting.
 .
 This package is part of the KDE Plasma.

Package: plasma-discover
Description-md5: 8111f4209298dca825ceca6e9c4b0d0d
Description-en: Discover software management suite
 Discover is a graphical software manager for the Plasma workspace.
 It helps users easily and quickly find applications and other software
 they might want to install.
 .
 By allowing to navigate a software library by search, categories, top lists
 along with detailed application information that includes screenshots
 and reviews, users can more quickly find applications that suit their needs.
 .
 Discover will also keep the system up to date by notifying about updates and
 installing them.

Package: plasma-discover-backend-flatpak
Description-md5: cdd8d9b57583bd55acd9c15059d460ff
Description-en: Discover software management suite - Flatpak backend
 Discover is a graphical software manager for the Plasma workspace.
 It helps users easily and quickly find applications and other software
 they might want to install.
 .
 This package contains a backend for the Flatpak application
 bundling system.

Package: plasma-discover-backend-fwupd
Description-md5: c33b0eb6ff25ba0f371acfed07b17123
Description-en: Discover software management suite - fwupd backend
 Discover is a graphical software manager for the Plasma workspace.
 It helps users easily and quickly find applications and other software
 they might want to install.
 .
 This package contains a backend for the firmware update daemon.

Package: plasma-discover-backend-snap
Description-md5: f76f0631fc4193fd2037c869ac2c3802
Description-en: Discover software management suite - Snap backend
 Discover is a graphical software manager for the Plasma workspace.
 It helps users easily and quickly find applications and other software
 they might want to install.
 .
 This package contains a backend for the Ubuntu Core Snappy application
 bundling system.

Package: plasma-discover-common
Description-md5: ffc34718f68c0332fe13b596308e45ba
Description-en: Discover software manager suite (common data files)
 Discover is a graphical software manager for the Plasma workspace.
 .
 This package contains data files shared by various parts of the
 Discover suite.

Package: plasma-discover-flatpak-backend
Description-md5: ad8ddce6af1ba31fcf1e779dc61cf249
Description-en: Discover Flatpak backend - transitional package
 This transitional package allows one to migrate to the renamed package
 providing the Plasma Discover Flatpak backend.
 .
 It can be safely removed after the installation.

Package: plasma-discover-snap-backend
Description-md5: 895b30881bcf026c6c54c6f0d287fcd0
Description-en: Discover Flatpak backend - transitional package
 This transitional package allows one to migrate to the renamed package
 providing the Plasma Discover Snap backend.
 .
 It can be safely removed after the installation.

Package: plasma-framework
Description-md5: 61b16c772c8c28d3a2ba98cff548c7bd
Description-en: Plasma Runtime components
 Plasma library and runtime components based upon KF5 and Qt 5.

Package: plasma-gmailfeed
Description-md5: 157d920fd813f5813434e5b2991b83f6
Description-en: plasmoid that shows your Gmail feed with notifications
 Gmail Feed is a lightweight plasmoid for Plasma 5.  It provides a
 list of unread emails from your Gmail inbox.  It also notifies you
 when new messages are received.
 .
 Essentially, it is an xbiff that uses an Atom feed rather than POP3
 or IMAP.  At this time it exclusively supports Gmail and does not
 support limiting the feed to labels or sections like Primary, Social,
 Promotions, or Forums.

Package: plasma-integration
Description-md5: af80a22557f65cb87e468cfb3360bd18
Description-en: Qt Platform Theme integration plugins for KDE Plasma
 A plugin to provide Qt Platform Theme integration for the Plasma
 workspace.

Package: plasma-kdevelop
Description-md5: a388750cc99f11e20a016e7a22a5f0e3
Description-en: KDevelop plugins for Plasma
 KDevelop is a Free and Open Source integrated development
 environment (IDE). It provides editing, navigation and debugging features for
 several programming languages, as well as integration with multiple build
 systems and version-control systems, using a plugin-based architecture.
 .
 This package contains the KDevelop plugins for integrating in the Plasma
 desktop environment:
  * sessions data engine
  * sessions widget
  * sessions KRunner module

Package: plasma-marble
Description-md5: 64ac054df03b0c07871f8b256bb40e74
Description-en: Plasma integration for Marble
 Marble is a generic geographical map widget and framework for KDE
 applications. The Marble widget shows the earth as a sphere but does not
 require hardware acceleration.  A minimal set of geographical data is
 included, so it can be used without an internet connection.
 .
 This package contains the Marble integration with Plasma:
  * the Plasma runner
  * the World Clock widget
  * the Plasma wallpaper
 .
 This package is part of the KDE education module.

Package: plasma-nm
Description-md5: 3678847035e415fb0206567e3bef7c22
Description-en: Plasma5 networkmanager library.
 Plasma desktop network manager.
 .
 This package is part of the KDE Plasma.

Package: plasma-pa
Description-md5: 7e74b576013692536ae4f5facc302d79
Description-en: Plasma 5 Volume controller
 Volume controller for Plasma 5

Package: plasma-runner-installer
Description-md5: a17697e3ee56e6894b1f4c55f99ee8b7
Description-en: KRunner plugin for installing packages
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 This KRunner plugin offers the option to install an application if its
 command is typed in to KRunner and the application is not already
 installed.

Package: plasma-runner-telepathy-contact
Description-md5: fb3f5f565fef515fea082be9e66c3f0e
Description-en: Telepathy Contact KRunner plugin
 This package provides a KRunner plugin which allows you to execute actions
 like start a text chat or start an audio/video call with your Telepathy
 IM contacts.
 .
 This package is not meant to be used standalone. It is recommended
 to install the kde-telepathy metapackage instead.

Package: plasma-runners-addons
Description-md5: ca6b160253ced98fd777964f7aa66335
Description-en: additional runners for Plasma 5 and Krunner
 This package contains additional Plasma runners that are used in krunner
 (the "run command" dialog of Plasma) to reveal special search results.
 If you use krunner a lot, you will probably like this package.
 .
 This package contains the following runners:
  * Characters (Special Characters)
  * Converter (Unit Converter)
  * Date and Time
  * Dictionary
  * Kate Sessions
  * Konsole Profiles
  * Media Wiki (Wikipedia, Wikitravel, Techbase, Userbase)
  * Spell Checker
  * More coming soon..
 .
 This package is part of the KDE Plasma 5 addons module.

Package: plasma-sdk
Description-md5: 6fef65dadb52ab1b685124b92d105353
Description-en: IDE tailored for development of Plasma components
 A small IDE tailored for development of Plasma components,
 such as Widgets, Runners, Dataengines.
 .
 This package is part of the KDE Plasma.

Package: plasma-theme-oxygen
Description-md5: 94ab74ac82273a30c4c91fb101dafa53
Description-en: Look-and-feel for the Oxygen desktop theme
 The Oxygen desktop theme is used by Plasma and other KDE Software.
 .
 This package contains the meta settings for the Oxygen theme.

Package: plasma-thunderbolt
Description-md5: 886d72608003c39f4d80d727df2fb9ae
Description-en: Plasma addons for managing Thunderbolt devices
 Plasma System settings module and a KDED module to handle authorization
 of Thunderbolt devices connected to the computer.

Package: plasma-vault
Description-md5: 52a9f37ab48226995d03c20d74656dad
Description-en: Plasma applet and services for creating encrypted vaults
 File enryption widget.

Package: plasma-wallpapers-addons
Description-md5: a38500d25db4a179a98f77927698b821
Description-en: additional wallpaper plugins for Plasma 5
 This package contains additional Plasma 5 wallpaper plugins that are used in
 the Plasma desktop.
 .
 This package contains the following wallpaper plugins:
  * Haenau
  * Hunyango
  * Picture of the Day
  * More coming soon..
 .
 This package is part of the KDE Plasma 5 addons module.

Package: plasma-widgets-addons
Description-md5: 152cf3a101a70b10e8df1a233582ba39
Description-en: additional widgets for Plasma 5
 This package contains additional Plasma 5 widgets shipped in the Plasma
 addons module. Install it if you want a variety of widgets on your Plasma
 desktop.
 .
 This package provides the following widgets:
  * Activity pager
  * Binary Clock
  * Calculator
  * Color Picker
  * Comic
  * Dictionary
  * Diskquota
  * Fifteen Puzzle
  * Fuzzy Clock
  * Grouping
  * Keyboard Indicartor
  * Kicker Application Dashboard
  * Konsole Profiles
  * Media Frame
  * Minimize all Windows
  * Notes
  * Quicklaunch
  * Quick Share
  * Show Desktop
  * System Load Viewer
  * Timer
  * Userswitcher
  * Weather Report
  * Web Browser
  * More coming soon..
 .
 This package is part of the KDE Plasma 5 addons module.

Package: plasma-workspace
Description-md5: 0063778217dbe82d403158adbf5aa085
Description-en: Plasma Workspace for KF5
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 .
 THIS WILL REMOVE YOUR KDE Plasma 4.

Package: plasma-workspace-dev
Description-md5: 734199d7564073487b8e8744bc62b71a
Description-en: Plasma Workspace for KF5 devel files
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 .
 This package contains the development files.

Package: plasma-workspace-wallpapers
Description-md5: cd289e60a89ac28d060a53661375b4ed
Description-en: Wallpapers for Plasma 5
 Wallpapers for integrated use with the Plasma workspace.

Package: plasma-workspace-wayland
Description-md5: 9d16a33c58f9b90bae0b63a593a76597
Description-en: Plasma Workspace for KF5 - Wayland integration
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.

Package: plasmidomics
Description-md5: b9efae4bec910a567b65f6e559587c80
Description-en: draw plasmids and vector maps with PostScript graphics export
 Plasmidomics is written for easy drawing of plasmids and vector maps
 to use them in theses, presentations or other forms of publications. It
 natively supports PostScript as output format.

Package: plasmidseeker
Description-md5: 1cea96a215922032ad64a51b1d06004d
Description-en: identification of known plasmids from whole-genome sequencing reads
 PlasmidSeeker is a k-mer based program for the identification of known
 plasmids from bacterial whole genome sequencing reads.
 .
 PlasmidSeeker that enables the detection of plasmids from bacterial WGS
 data without read assembly. The PlasmidSeeker algorithm is based on
 k-mers and uses k-mer abundance to distinguish between plasmid and
 bacterial sequences. The performance of PlasmidSeeker was tested on a set
 of simulated and real bacterial WGS samples, resulting in 100%
 sensitivity and 99.98% specificity.

Package: plast
Description-md5: 4b63eae2f5668e99072b1e4733b13da7
Description-en: Parallel Local Sequence Alignment Search Tool
 PLAST is a fast, accurate and NGS scalable bank-to-bank sequence
 similarity search tool providing significant accelerations of seeds-
 based heuristic comparison methods, such as the Blast suite of
 algorithms.
 .
 Relying on unique software architecture, PLAST takes full advantage of
 recent multi-core personal computers without requiring any additional
 hardware devices.

Package: plast-example
Description-md5: e342a7e27d2bc84041fb11905c9ad54e
Description-en: Parallel Local Sequence Alignment Search Tool (example data)
 PLAST is a fast, accurate and NGS scalable bank-to-bank sequence
 similarity search tool providing significant accelerations of seeds-
 based heuristic comparison methods, such as the Blast suite of
 algorithms.
 .
 Relying on unique software architecture, PLAST takes full advantage of
 recent multi-core personal computers without requiring any additional
 hardware devices.
 .
 This package contains some example data to test plast.

Package: plastex
Description-md5: 10ed052c80aee9a29e0255f87116d623
Description-en: LaTeX document processing framework in Python
 plasTeX is a collection of Python frameworks that allow you to process LaTeX
 documents. This processing includes, but is not limited to, conversion of
 LaTeX documents to various document formats. Of course, it is capable of
 converting to HTML or XML formats such as DocBook and tBook, but it is an
 open framework that allows you to drive any type of rendering. This means that
 it could be used to drive a COM object that creates a MS Word Document.
 .
 The plasTeX framework allows you to control all of the processes including
 tokenizing, object creation, and rendering through API calls. You also have
 access to all of the internals such as counters, the states of "if" commands,
 locally and globally defined macros, labels and references, etc. In essence,
 it is a LaTeX document processor that gives you the advantages of an XML
 document in the context of a language as superb as Python.
 .
 This package contains the command line tool 'plastex'.

Package: plastimatch
Description-md5: 27e6ff44d2fb0907a0c15d5825ded4c1
Description-en: medical image reconstruction and registration
 Plastimatch is an open source software for deformable image
 registration. It is designed for high-performance volumetric
 registration of medical images, such as X-ray computed tomography (CT),
 magnetic resonance imaging (MRI), and positron emission tomography(PET).
 Software features include:
  * B-spline method for deformable image registration (GPU and multicore
    accelerated), including support for image masking, landmark penalties,
    and regularization
  * Demons method for deformable image registration (GPU accelerated)
  * Multi-atlas segmentation
  * ITK-based algorithms for translation, rigid, affine, multiple demons
    methods, and B-spline registration
  * Pipelined, multi-stage registration framework with seamless conversion
    between most algorithms and transform types
  * Landmark-based deformable registration using thinplate splines for
    global registration
  * Landmark-based deformable registration using radial basis functions
    for local corrections
  * Broad support for 3D image file formats (using ITK), including DICOM,
    Nifti, NRRD, MetaImage, and Analyze
  * Extensive toolchain for radiotherapy research, including support for
    DICOM, DICOM-RT, DICOM SRO, XiO file format, gamma analysis, contour
    manipulation, contour overlap analysis, and vector field analysis
 Plastimatch also features two handy utilities which are not directly
 related to image registration:
  * FDK cone-beam CT reconstruction (GPU and multicore accelerated)
  * Digitally reconstructed radiograph (DRR) generation (GPU and multicore
    accelerated)

Package: plater
Description-md5: e8141877507fc8c1e6834cf2f6630c00
Description-en: Graphical tool to prepare 3D printing plates
 Printrun is a full suite of host interfaces for 3D printers and CNC,
 consisting of:
  * printcore, a standalone non-interactive G-Code sender
  * pronsole, an interactive command-line host
  * pronterface, a graphical host software with the same functionality as
    pronsole
 .
 Together with Slic3r they form a powerful 3d printing toolchain.
 .
 This package contains scripts and metadata for plater, a graphical tool to
 set-up STL files onto the printing plate.

Package: playerctl
Description-md5: eee9d896b54f5574dca7264a9b169129
Description-en: utility to control media players via MPRIS
 Playerctl is a command-line utility and library for controlling media players
 that implement the MPRIS D-Bus Interface Specification. Compatible players
 include audacious, cmus, mopidy, mpd, quod libet, rhythmbox, spotify, vlc and
 xmms2.
 .
 Playerctl makes it easy to bind player actions, such as play and pause,
 to media keys. Playerctl also provides an introspectable library accessible
 in many popular scripting languages that allows more detailed control like
 the ability to subscribe to media player events or get metadata such as
 artist and title for the playing track.

Package: playitslowly
Description-md5: 44b427fcbf6d907d421f401b6b5f0ca0
Description-en: Plays back audio files at a different speed or pitch
 Play it slowly is a piece of software to play back audio files at a different
 speed or pitch. It also allows you to loop over a certain part of a file.
 .
 It is intended to help you learn or transcribe songs. It can also play videos
 thanks to GStreamer.
 .
 Play it slowly works with both ALSA and the JACK Audio Connection Kit.

Package: playmidi
Description-md5: 9135ed3601d5c99370821cda493e6649
Description-en: MIDI player
 Playmidi is a MIDI file player that will play back using FM, GUS,
 SoundBlaster or external MIDI. It also supports Creative Music Files
 (CMF), Microsoft RIFF (RMI) files and large MIDI archives from games
 such as Ultima 7.
 .
 The player may be used with a text interface or a graphical interface.

Package: plee-the-bear
Description-md5: 35a6096681b76a4cb1ad31db7fb6a242
Description-en: 2D platform game
 Plee the Bear will be a 2D platform game like those found on consoles in
 the beginning of the 90's. The basis of the scenario fit in few lines:
 .
 4 PM or so, Plee wakes up, tired. He has dreamed again about that awesome
 period when he went across the entire world together with his belle. He puts
 his leg in the honey pot... empty! Moreover every single honey pot in the
 house is empty. "One more trick of that kid", he thinks. "I'm going to give
 him such a wallop of which he sure will remember".
 .
 Following honey drops on the ground, Plee reaches the edge of the forest.
 Beginning of the game.
 .
 The current version is a demo.

Package: plee-the-bear-data
Description-md5: e8e4e7996a4db54a3f19447eafa12994
Description-en: data for Plee the Bear
 Plee the Bear will be a 2D platform game like those found on consoles in
 the beginning of the 90's. The basis of the scenario fit in few lines:
 .
 4 PM or so, Plee wakes up, tired. He has dreamed again about that awesome
 period when he went across the entire world together with his belle. He puts
 his leg in the honey pot... empty! Moreover every single honey pot in the
 house is empty. "One more trick of that kid", he thinks. "I'm going to give
 him such a wallop of which he sure will remember".
 .
 This package includes the data files for the game.

Package: plink
Description-md5: 0b2b918c34eb1b80efe9854bb4994923
Description-en: whole-genome association analysis toolset
 plink expects as input the data from SNP (single
 nucleotide polymorphism) chips of many individuals
 and their phenotypical description of a disease.
 It finds associations of single or pairs of DNA
 variations with a phenotype and can retrieve
 SNP annotation from an online source.
 .
 SNPs can evaluated individually or as pairs for their
 association with the disease phenotypes. The joint
 investigation of copy number variations is supported.
 A variety of statistical tests have been implemented.
 .
 Please note: The executable was renamed to plink1
 because of a name clash.  Please read more about this
 in /usr/share/doc/plink/README.Debian.

Package: plink1.9
Description-md5: 67f7103d194e68a793cc749b4f4ccf61
Description-en: whole-genome association analysis toolset
 plink expects as input the data from SNP (single nucleotide polymorphism)
 chips of many individuals and their phenotypical description of a disease.
 It finds associations of single or pairs of DNA variations with a phenotype
 and can retrieve SNP annotation from an online source.
 .
 SNPs can evaluated individually or as pairs for their association with the
 disease phenotypes. The joint investigation of copy number variations is
 supported. A variety of statistical tests have been implemented.
 .
 plink1.9 is a comprehensive update of plink with new algorithms and new
 methods, faster and less memory consumer than the first plink.
 .
 Please note: The executable was renamed to plink1.9
 because of a name clash.  Please read more about this
 in /usr/share/doc/plink1.9/README.Debian.

Package: plink2
Description-md5: 8bf29787d12baeabb31572ed571bfb04
Description-en: whole-genome association analysis toolset
 plink expects as input the data from SNP (single nucleotide polymorphism)
 chips of many individuals and their phenotypical description of a disease.
 It finds associations of single or pairs of DNA variations with a phenotype
 and can retrieve SNP annotation from an online source.
 .
 SNPs can evaluated individually or as pairs for their association with the
 disease phenotypes. The joint investigation of copy number variations is
 supported. A variety of statistical tests have been implemented.
 .
 plink2 is a comprehensive update of plink and plink1.9 with new algorithms
 and new methods, faster and less memory consumer than the first plink.

Package: plip
Description-md5: 84b81cb2e83aeaef44c40dfb85d52090
Description-en: fully automated protein-ligand interaction profiler
 The Protein-Ligand Interaction Profiler (PLIP) is a tool to analyze
 and visualize protein-ligand interactions in PDB files.
 .
 Features include:
  * Detection of eight different types of noncovalent interactions
  * Automatic detection of relevant ligands in a PDB file
  * Direct download of PDB structures from wwPDB server if valid
    PDB ID is given
  * Processing of custom PDB files containing protein-ligand complexes
    (e.g. from docking)
  * No need for special preparation of a PDB file, works out of the box
  * Atom-level interaction reports in rST and XML formats for easy parsing
  * Generation of PyMOL session files (.pse) for each pairing, enabling easy
    preparation of images for publications and talks
  * Rendering of preview image for each ligand and its interactions
    with the protein

Package: plm
Description-md5: 599ffd975c22db31cabc0a7cc6efe94f
Description-en: Programming exerciser in Java, Python, Scala and others
 The Programmer's Learning Machine (PLM) is a free cross-platform programming
 exerciser. It lets you explore various concepts of programming through
 over 200 interactive exercises, that you can solve in either Java,
 Python or Scala.
 .
 The PLM interface and content is thoughtfully translated to English,
 French and Brazilian; Other translations may be added in the future.
 .
 The following concepts are included in this package:
 .
  - Welcome: teach basics of programming to absolute beginners
  - Maze: classical maze escaping algorithms for intermediate
  - Sort: classical sorting algorithms for intermediate. One
    introduction lesson presents the basics of the sorting algorithms
    while two additional lessons apply them in more recreative settings.
  - Recursion: classical logo algorithms for intermediate
  - Turtle Art: explore a few classical LOGO figures
  - LightBot: little programmer's brain teaser for intermediate and advanced
 .
 Other lessons are planned to explore new concepts, such as the
 backtracking, dynamic programming, object-oriented programming or the
 standard libraries of Python, Scala and Java. Other programming languages
 (such as Ruby or JavaScript) may be added in the future.

Package: ploop
Description-md5: e675367809717d33b0fa2a53a664cf26
Description-en: tools to work with ploop devices and images
 Ploop is a disk loopback block device, not unlike loop but with many
 features like dynamic resize, snapshots, backups etc. The main idea is to
 put container filesystem in a file.
 .
 This package contains tools to work with ploop devices and images.

Package: plopfolio.app
Description-md5: f7edc043f9c9c111defc2961dcfe0814
Description-en: Personal dashboard for GNUstep
 This is a free replacement of Serence's proprietary KlipFolio
 application.  PlopFolio supports Klips available from KlipFarm
 (http://klipfarm.com).  PlopFolio is developed using the Objective-C
 language and works well with GNUstep (on GNU/Linux, FreeBSD, and
 more) and Cocoa on Mac OS X.

Package: plotdrop
Description-md5: fc9eb2131caf7af86cdce6853c2ca9f3
Description-en: minimal GNOME frontend to GNUPlot
 PlotDrop is designed for quick simple visualisation of 2D data series.
 It is intended to be used in tandem with an external filesystem browser
 such as GNOME's nautilus or KDE's konqueror. Files containing data are added
 by dragging them from the browser to the file list.

Package: ploticus
Description-md5: 89c81d420195764506f7ee70da7d2877
Description-en: script driven business graphics package
 Ploticus is script-driven, which makes it suitable for automated,
 unattended uses, or for applications that will be run again and again.
 In general, ploticus is good at making graphs like you would see in
 newspapers and news magazines, business publications, journals for
 medical and social sciences, and so on.
 .
 Ploticus is not a function or mathematical plotting package like
 gnuplot, nor would it be a good choice for applications where
 mathematical formulas or scientific notations are to be rendered
 as an integral part of the data display.  Ploticus is also not
 intended as a "marketing" graphics package.  Its goal is to
 display data crisply without extra decoration and distracting
 "dingbats" that cloud the picture.
 .
 Ploticus supports a wide range of output options, including jpeg
 (Joint Photographics Experts Group format), png (Portable Network
 Graphics format), svg (Simple Vector Graphics), bmp (Microsoft BitMaP),
 ps (PostScript), swf (Flash), and X11.

Package: plotnetcfg
Description-md5: 7c994dfa8a9d28b14f329475aae9b6a5
Description-en: local networking configuration diagram plotter
 plotnetcfg is a lightweight tool capable of scanning a machine's
 network configuration and producing a diagram of the local network
 hierarchy. It supports scanning across network namespaces, most types
 of network interfaces, and understands the specifics of VLANs,
 bridges, veth pairs and Open vSwitch.

Package: plotutils
Description-md5: e354aab4187cfcf9794d34b5e7bd5341
Description-en: GNU plotutils command line tools based on libplot
 The GNU plotting utilities include programs for plotting
 two-dimensional scientific data.  They are built on top of GNU
 `libplot', a library for device-independent two-dimensional vector
 graphics.

Package: plover
Description-md5: 441f5518a80f47c00c730ddaf3951feb
Description-en: free stenography engine and typing tool
 Plover is a small, slick Python application that runs in the
 background and acts as a translator to read steno movements and
 emulate keystrokes in a way that the programs you are using can't
 even tell you're using steno.
 .
 Plover is used today by hundreds of people, ranging from professional
 stenographers, transcriptionists, programmers, and hobbyists.  It
 supports:
 .
  - Regular keyboards and real steno machines
  - Media key support to control media, change volume, etc.
  - Comes with a well-developed theory extended from StenEd,
    but with over 50,000 new strokes.
  - Supports JSON and RTF/CRE dictionaries
  - Includes training tools to help you learn

Package: plowshare
Description-md5: bd019bccbc2158f471cc6edf4d7ccb66
Description-en: download and upload files from file sharing websites
 Plowshare is a framework for interacting with file sharing websites,
 providing an API to perform tasks like uploading and downloading files,
 and displaying CAPTCHAs to solve or sending them to CAPTCHA web services.
 .
 This package contains the framework and command line tolls, but does not
 contain any of the drivers (called 'modules') for specific file sharing
 websites.

Package: plplot-doc
Description-md5: 4a6b489e8519d3fe689a5aeb6bfefc16
Description-en: Documentation for PLplot, a plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the HTML, PDF, PostScript and info forms of the
 PLplot documentation.  It also contains the man pages for the API
 functions.

Package: plplot-driver-cairo
Description-md5: a253bfbeffa7f0b503680e35c4081abf
Description-en: Scientific plotting library (Cairo driver)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides the Cairo driver module for PLplot.  It is based
 on the Cairo 2D graphics library with supporting multiple output devices
 (X-Window, PDF, PostScript, and PNG).

Package: plplot-driver-qt
Description-md5: fbd27eb04c005c8e3c230d8c0f50580e
Description-en: Scientific plotting library (Qt driver)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides the Qt driver module for PLplot.

Package: plplot-driver-wxwidgets
Description-md5: a1f1da908a753fc88786e285987f0059
Description-en: Scientific plotting library (wxWidgets driver)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides the wxWidgets driver module for PLplot.

Package: plplot-driver-xwin
Description-md5: 9e16610ed4059fc60f1c2acf2c141f8d
Description-en: Scientific plotting library (X11 driver)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides the X11 driver module for PLplot.

Package: plplot-examples
Description-md5: 48ffdce183d0e3e2571da9bf3e8a6c4f
Description-en: Examples for PLplot, a plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the examples in C, C++, and for the supported
 language bindings for the  PLplot library.

Package: plplot-tcl
Description-md5: f48cc16d95409ce8988c70453b1f3aa6
Description-en: Tcl/Tk support for PLplot, a plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the Tcl/Tk support for PLplot: shared libraries,
 Tcl modules and the Tk driver.

Package: plplot-tcl-bin
Description-md5: 645baa7b92cec3bb3bd10363427b0060
Description-en: Tcl/Tk tools for PLplot, a plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the pltcl and plserver programs for interactive
 use of the Tcl/Tk support for PLplot.

Package: plplot-tcl-dev
Description-md5: af6ec3fcc4b6ff2bd34cd40254aa5889
Description-en: Tcl/Tk development support for PLplot, a plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the necessary files to do development in Tcl/Tk
 with PLplot: header files, shared libraries links, and examples.

Package: plptools
Description-md5: 24e4ec4bc5282c457f12858c38c3a24e
Description-en: Access EPOC device (Psion PDA) over a serial link
 This package lets you access EPOC devices' drives over a serial link.
 You can mount them, access them FTP-style, use a local printer from
 the remote device (EPOC32 only) and install applications from SIS
 files.

Package: plsense
Description-md5: 8820ab847026efb42bf81825a33d93cd
Description-en: Omni Completion Tool for Perl
 PlSense is a development tool for Perl. PlSense provides Completion/Help
 about Module/Function/Variable optimized for context.
 .
 For more information, see
 https://github.com/aki2o/plsense/blob/master/README.md

Package: pluginhook
Description-md5: 4e6f6cb0b8c07fde10f8b55c40a92244
Description-en: simple plugin system for Bash programs
 The pluginhook command loops through all plugin directories found in the
 path defined by the environment variable PLUGIN_PATH and passes the same
 arguments to any hook scripts by that name. This means installing a
 plugin is as simple as putting it in your PLUGIN_PATH.
 .
 pluginhook does not only provide a mechanism for arguments broadcasting,
 it also accepts streams and pass them through each plugin.

Package: pluginlib-dev
Description-md5: bb37a2713d526be702bce091d0852ada
Description-en: ROS library for plugins
 This package is part of Robot OS (ROS). This is the high-level ROS
 plugin interface. It provides tools for writing and dynamically
 loading plugins using the ROS build infrastructure. To work, these
 tools require plugin providers to register their plugins in their
 package.xml file.

Package: plum
Description-md5: ad9f695b840d2f268cf68958d9dc0ebf
Description-en: IRC proxy, stationing, logging, and bot program (pirc)
 plum works as personal proxy, stationing, logging, and bot program on
 IRC (Internet Relay Chat). It has many modules, so the user can use
 a lot of functions. It is also easy to customize its configurations.
 Note that its documents are only available in Japanese.

Package: pluma
Description-md5: 5f46578b64613d4d063a6b4bf3c03014
Description-en: official text editor of the MATE desktop environment
 Pluma is a text editor which supports most standard editor features,
 extending this basic functionality with other features not usually
 found in simple text editors. Pluma is a graphical application which
 supports editing multiple text files in one window (known sometimes as
 tabs or MDI).
 .
 Pluma fully supports international text through its use of the
 Unicode UTF-8 encoding in edited files. Its core feature set includes syntax
 highlighting of source code, auto indentation and printing and print preview
 support.
 .
 Pluma is also extensible through its plugin system, which currently
 includes support for spell checking, comparing files, viewing CVS
 ChangeLogs, and adjusting indentation levels.

Package: pluma-common
Description-md5: 2522f81317853f0db52190c38dc3bb03
Description-en: official text editor of the MATE desktop environment (common files)
 Pluma is a text editor which supports most standard editor features,
 extending this basic functionality with other features not usually
 found in simple text editors. Pluma is a graphical application which
 supports editing multiple text files in one window (known sometimes as
 tabs or MDI).
 .
 Pluma fully supports international text through its use of the
 Unicode UTF-8 encoding in edited files. Its core feature set includes syntax
 highlighting of source code, auto indentation and printing and print preview
 support.
 .
 Pluma is also extensible through its plugin system, which currently
 includes support for spell checking, comparing files, viewing CVS
 ChangeLogs, and adjusting indentation levels.
 .
 This package contains the architecture independent files.

Package: pluma-dev
Description-md5: 88e887c2e35717fc107417627e8eb75e
Description-en: official text editor of the MATE desktop environment (development files)
 Pluma is a text editor which supports most standard editor features,
 extending this basic functionality with other features not usually
 found in simple text editors. Pluma is a graphical application which
 supports editing multiple text files in one window (known sometimes as
 tabs or MDI).
 .
 Pluma fully supports international text through its use of the
 Unicode UTF-8 encoding in edited files. Its core feature set includes syntax
 highlighting of source code, auto indentation and printing and print preview
 support.
 .
 Pluma is also extensible through its plugin system, which currently
 includes support for spell checking, comparing files, viewing CVS
 ChangeLogs, and adjusting indentation levels.
 .
 This package contains the development files for building Pluma plugins.

Package: pluma-doc
Description-md5: 82265c5ad0f12b304bc427b86cb456d4
Description-en: official text editor of the MATE desktop environment (documentation files)
 Pluma is a text editor which supports most standard editor features,
 extending this basic functionality with other features not usually
 found in simple text editors. Pluma is a graphical application which
 supports editing multiple text files in one window (known sometimes as
 tabs or MDI).
 .
 Pluma fully supports international text through its use of the
 Unicode UTF-8 encoding in edited files. Its core feature set includes syntax
 highlighting of source code, auto indentation and printing and print preview
 support.
 .
 Pluma is also extensible through its plugin system, which currently
 includes support for spell checking, comparing files, viewing CVS
 ChangeLogs, and adjusting indentation levels.
 .
 This package contains the documentation files.

Package: pluto-jpl-eph
Description-md5: 537af8a706f584d57fe8328f18ffd3f0
Description-en: command line handling of JPL ephemeres data
 The position of asteroids and other moving stellar objects on the sky
 at different time points is described as ephemeris. The Jet Propulsion
 Laboratory is known for a respective database. This package offers
 tools to handle individual data sets.

Package: pluto-lunar
Description-md5: 114c46ec5857e631cdeef419d20489ba
Description-en: routines for predictions of positions in solar system
 Basic astronomical functions for solar system ephemerides, time systems,
 coordinate systems, etc. This includes some utilities based on these
 functions, such as a calendar computer and a utility to numerically
 integrate asteroid orbits.

Package: pluxml
Description-md5: bd97b20786d1713a6ce3d02fb02d1418
Description-en: light blog/CMS engine powered by XML
 PluXml is a lightweight blogging and Content Management System that uses
 simple XML files to store its data and requires no database. It has all the
 usual features (static pages, comments, categories, tags, media management,
 RSS feed, etc.) and supports multiple users, customizable themes, and
 plugins.

Package: plymouth-disabler
Description-md5: 9f0711677892ce4a3d73568e877207c8
Description-en: disable plymouth by installing .override files
 This disables plymouth from running by installing .override files for
 each of the plymouth jobs.  Its sole purpose is to work around bug 1235231.

Package: plymouth-theme-breeze
Description-md5: 8c7ffd9b19fbfa9da261d03f4120464c
Description-en: Breeze theme for Plymouth
 Breeze theme for Plymouth system boot splash to fit in with KDE
 Plasma's themeing.

Package: plymouth-theme-edubuntu
Description-md5: c6bb832679b901f238008f78a4968c7b
Description-en: Plymouth is an application that runs very early
 in the boot process (even before the root filesystem is mounted!)
 that provides a graphical boot animation while the boot process
 happens in the background.
 .
 This package contains the Edubuntu logo and text themes.

Package: plymouth-theme-hamara
Description-md5: 89ff237f44873f8051227826da0d0dc0
Description-en: boot animation, logger and I/O multiplexer - Hamara theme
 Plymouth provides a boot-time I/O multiplexing framework - the most obvious
 use for which is to provide an attractive graphical animation in place of
 the text messages that normally get shown during boot. (The messages are
 instead redirected to a logfile for later viewing.) However, in event-driven
 boot systems Plymouth can also usefully handle user interaction such as
 password prompts for encrypted file systems.
 .
 This package provides the following Hamara Linux theme.

Package: plymouth-theme-kubuntu-logo
Description-md5: 08f9fd33f3dc501ae15329be51e9c9a4
Description-en: graphical boot animation and logger - kubuntu-logo theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default kubuntu-logo theme.

Package: plymouth-theme-kubuntu-text
Description-md5: 4cc78ed25bb690bddff9000d2eb1f51b
Description-en: graphical boot animation and logger - kubuntu-text theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default kubuntu-text theme used when no
 support for a graphical theme is found on your system.

Package: plymouth-theme-lubuntu-logo
Description-md5: aedf875177338c1c2f6e0bdec8aeaec6
Description-en: plymouth theme for Lubuntu
 This package contains a Lubuntu theme for Plymouth, which displays the
 Lubuntu logo on boot.

Package: plymouth-theme-lubuntu-text
Description-md5: 676c318cfb1f8cbd162e4b84c5ec6ceb
Description-en: plymouth text theme for Lubuntu
 This package contains a Lubuntu theme for Plymouth, which displays some
 simple text on boot.

Package: plymouth-theme-sabily
Description-md5: 3cfb48cbb27da5ed6a8db7973a35007a
Description-en: plymouth theme for Sabily (graphical theme)
 Sabily is a Linux distribution based on Ubuntu. It includes Islamic software
 (prayer times tool, Quran study tool, Hijri calendar etc.) and has a custom
 design.
 .
 This package contains a specific Sabily theme for plymouth.

Package: plymouth-theme-sabily-text
Description-md5: 6980b5cb641f90ac395154a6c23be67d
Description-en: plymouth theme for Sabily (text theme)
 Sabily is a Linux distribution based on Ubuntu. It includes Islamic software
 (prayer times tool, Quran study tool, Hijri calendar etc.) and has a custom
 design.
 .
 This package contains the default sabily-text text theme used when no
 support for a graphical theme is found on your system.

Package: plymouth-theme-ubuntu-budgie-logo
Description-md5: 599c179eab2ea31dae8202a38763c60d
Description-en: graphical boot animation and logger - Ubuntu Budgie logo theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default Ubuntu Budgie logo theme.

Package: plymouth-theme-ubuntu-budgie-text
Description-md5: 014c419c38670a16cb0b395eefe279e1
Description-en: graphical boot animation and logger - Ubuntu Budgie text theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default Ubuntu Budgie text theme used when no
 support for a graphical theme is found on your system.

Package: plymouth-theme-ubuntu-gnome-logo
Description-md5: 4e8843f2b04112c7d575e1689e12fafb
Description-en: graphical boot animation and logger - ubuntu-gnome-logo theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default ubuntu-gnome-logo theme.

Package: plymouth-theme-ubuntu-gnome-text
Description-md5: 2c5aeef0be9dee7ff499642cab9e9668
Description-en: graphical boot animation and logger - ubuntu-gnome-text theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default ubuntu-gnome-text text theme used when no
 support for a graphical theme is found on your system.

Package: plymouth-theme-ubuntu-logo
Description-md5: c0545401cf1dc32e2e5f8b3d12c29240
Description-en: boot animation, logger and I/O multiplexer - ubuntu theme
 Plymouth provides a boot-time I/O multiplexing framework - the most obvious
 use for which is to provide an attractive graphical animation in place of
 the text messages that normally get shown during boot. (The messages are
 instead redirected to a logfile for later viewing.) However, in event-driven
 boot systems Plymouth can also usefully handle user interaction such as
 password prompts for encrypted file systems.
 .
 This package contains the default ubuntu-logo theme.

Package: plymouth-theme-ubuntu-mate-logo
Description-md5: 316310796ce2393be5b90ae297117de9
Description-en: graphical boot animation and logger - ubuntu-mate-logo theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default ubuntu-mate-logo theme.

Package: plymouth-theme-ubuntu-mate-text
Description-md5: e20bf32218493e2aba088b2691af05ec
Description-en: graphical boot animation and logger - ubuntu-mate-text theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default ubuntu-mate-text theme used when no
 support for a graphical theme is found on your system.

Package: plymouth-theme-ubuntustudio
Description-md5: 46cb6fb91f552d975611b37668e232e9
Description-en: Ubuntu Studio Plymouth theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the Ubuntu Studio Plymouth boot splash theme

Package: plymouth-theme-xubuntu-logo
Description-md5: 52d17c3e4b2be04696274b3b1421a291
Description-en: graphical boot animation and logger - xubuntu-logo theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default xubuntu-logo theme.

Package: plymouth-theme-xubuntu-text
Description-md5: cb51eb8b5b4eecd25c0bde89af9b515c
Description-en: graphical boot animation and logger - xubuntu-text theme
 Plymouth is an application that runs very early in the boot process
 (even before the root filesystem is mounted!) that provides a graphical
 boot animation while the boot process happens in the background.
 .
 This package contains the default xubuntu-text theme used when no support for
 a graphical theme is found on your system.

Package: plymouth-themes
Description-md5: ead9474796b3197077e91f3de033e7c4
Description-en: boot animation, logger and I/O multiplexer - themes
 Plymouth provides a boot-time I/O multiplexing framework - the most obvious
 use for which is to provide an attractive graphical animation in place of
 the text messages that normally get shown during boot. (The messages are
 instead redirected to a logfile for later viewing.) However, in event-driven
 boot systems Plymouth can also usefully handle user interaction such as
 password prompts for encrypted file systems.
 .
 This package provides the following themes:
 .
  * fade-in: features a centered logo that fades in and out while stars twinkle
    around the logo during system boot up.
  * glow: features a pie chart as progress indicator.
  * script: features a simple base theme.
  * solar: features a blue flamed sun with animated solar flares.
  * spinfinity: features a centered logo and animated spinner that spins in the
    shape of an infinity sign.

Package: plymouth-x11
Description-md5: b04037a3c136034a0a38f200c3392653
Description-en: boot animation, logger and I/O multiplexer - X11 renderer
 Plymouth provides a boot-time I/O multiplexing framework - the most obvious
 use for which is to provide an attractive graphical animation in place of
 the text messages that normally get shown during boot. (The messages are
 instead redirected to a logfile for later viewing.) However, in event-driven
 boot systems Plymouth can also usefully handle user interaction such as
 password prompts for encrypted file systems.
 .
 This package contains the X11 renderer for a graphical boot splash.

Package: plzip
Description-md5: bc16f07611c82daacf6c97af90186901
Description-en: parallel, lossless data compressor based on the LZMA algorithm
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 Lzip decompresses almost as fast as gzip and compresses better than bzip2,
 which makes it well suited for software distribution and data archiving.
 .
 Plzip is a massively parallel (multi-threaded) version of lzip using the lzip
 file format; the files produced by plzip are fully compatible with lzip.
 .
 Plzip is intended for faster compression/decompression of big files on
 multiprocessor machines, which makes it specially well suited for distribution
 of big software files and large scale data archiving. On files big enough,
 plzip can use hundreds of processors.

Package: pm-utils
Description-md5: 42424316f88158958d485e1987f2dff0
Description-en: utilities and scripts for power management
 This package provides simple shell command line tools to suspend and
 hibernate your computer.

Package: pmacct
Description-md5: b114045585d5222f783ee07621e2fae4
Description-en: promiscuous mode traffic accountant
 pmacct is a tool designed to gather traffic information (bytes and number
 of packets) by listening on a promiscuous interface or for Netflow data,
 which may facilitate billing, bandwidth management, traffic analysis, or
 creating usage graphs.
 .
 Data can be stored in memory and queried, displayed directly, or written
 to a database; storage methods are quite flexible and may aggregate totals
 or keep them separate.

Package: pmailq
Description-md5: 0da7aa03cc3cbf8a8396878a1888383f
Description-en: Postfix mail queue manager
 pmailq processes the output of the Postfix mailq command, and can list, parse
 (machine-readably), or delete queued messages in batches. They can be selected
 by size, by queue status, and by pattern matching (with wildcards) on
 recipient addresses and server error messages.

Package: pmake
Description-md5: 1137e29507e51afecbe97f8fe30710ab
Description-en: NetBSD make (transitional package)
 This is a dummy package to aid in transitioning from pmake package
 to the new bmake package.
 .
 This dummy package may be safely removed after upgrading.

Package: pmars
Description-md5: 9eb6cce6ab4a629fb413a88536e1f4d3
Description-en: Portable MARS, Core War simulator
 Portable MARS is the official Core War simulator of the ICWS and the
 rec.games.corewar newsgroup. pMARS serves as a test bed for new Redcode
 standards and tournament styles. It has also been used in genetic algorithm
 experiments and as an assembly language teaching aid.

Package: pmccabe
Description-md5: dcdb4eedc724c36372beec6f504e3b37
Description-en: McCabe-style function complexity and line counting for C and C++
 Pmccabe calculates McCabe-style cyclomatic complexity for C and C++
 source code.  Per-function complexity may be used for spotting likely
 trouble spots and for estimating testing effort.
 .
 Pmccabe also includes a non-commented line counter compatible with anac,
 'decomment' which only removes comments from source code; 'codechanges',
 a program to calculate the amount of change which has occurred between
 two source trees or files; and 'vifn', to invoke 'vi' given a function
 name rather than a file name.
 .
 Pmccabe attempts to calculate the apparent complexity rather
 than the complexity following the C++ and/or cpp preprocessors.
 This causes Pmccabe to become confused with cpp constructs which
 cause unmatched curly braces - most of which can profitably be
 rewritten so they won't confuse prettyprinters anyway.  Pmccabe prints
 C-compiler-style error messages when the parser gets confused so they
 may be browsed with standard tools.
 .
 Two types of cyclomatic complexity are generated - one type counts
 each switch() statement as regardless of the number of cases included and
 the other more traditional measure counts each case within the switch().
 Pmccabe also calculates the starting line for each function, the number of
 lines consumed by the function, and the number of C statements within the
 function.

Package: pmd2odg
Description-md5: 0d3fbb1163939891b53330cc1ae35e04
Description-en: Apple Pagemaker to OpenDocument converter
 This package contains a utility for converting Apple Pagemaker files
 into OpenDocument draw documents.

Package: pmdk-convert
Description-md5: f38665da316609d938bc0e7b52f664e7
Description-en: convert pmdk/pmemobj pools to a newer on-memory format
 The on-memory format of data pools used by libpmemobj is not compatible
 between major releases, and the library doesn't currently support automatic
 conversion.  Such upgrades need to be done manually, by this tool.
 .
 This version supports formats of PMDK up to 1.7.  Upgrades to any prior
 version are supported as well, but no downgrades.

Package: pmdk-tools
Description-md5: ff4c3d75d2ada96ca30f177d483a2bcc
Description-en: Persistent Memory management tools
 The main purpose of this package is to provide a user with a set of utilities
 for off-line analysis and manipulation of pools created by pmem libraries.
 .
 The utilities may be useful for troubleshooting by system administrators and
 for software developers who work on applications based on these libraries.  The
 latter may find these tools useful for testing and debugging purposes also.

Package: pmidi
Description-md5: e3ed25fa0de8faee6c4152e7610b60e9
Description-en: command line midi player for ALSA
 ALSA is an effort to create a modules sound system for Linux,
 while maintaining full compatibility with OSS/Lite.
 .
 This program works with latest ALSA 1.0 drivers and former 0.9 versions.
 .
 This is a straightforward command line program to play midi files
 through the ALSA sequencer.
 .
 As you can specify the client and port to connect to on the command
 line it is also useful for testing ALSA or clients that need to receive
 sequencer events.

Package: pmount
Description-md5: fb7e7dec158c24424e211a9ae41f5053
Description-en: mount removable devices as normal user
 pmount is a wrapper around the standard mount program which permits normal
 users to mount removable devices without a matching /etc/fstab entry. This
 provides a robust basis for automounting frameworks like GNOME's Utopia
 project and confines the amount of code that runs as root to a minimum.
 .
 If a LUKS capable cryptsetup package is installed, pmount is able to
 transparently mount encrypted volumes.

Package: pms
Description-md5: 6f7891bf02ac2b00c338d9d1c2ae04f9
Description-en: Practical Music Search, an MPD client
 PMS is an ncurses based client for Music Player Daemon. It aims
 to be accessible and highly configurable, with a light but
 powerful interface much like Vim, and supports custom colors,
 layouts, and key bindings

Package: pmtools
Description-md5: 4e84dd77cd7327ce45109b69fbdeea57
Description-en: Perl module tools
 Perl module tools is a suite of small tools that help manage and inspect
 perl modules, perl Plain Old Documentation files, and perl programs.
 .
 Some of the things these tools can do include:
  - show the full path to a module
  - show the version and description of a module
  - list all installed modules with descriptions
  - show what files a given program or module loads at compile time
  - show what symbols a module exports
  - list the methods of a class
  - display the source code of a function of a module

Package: pmuninstall
Description-md5: 6c6bae9910339516d27f886833df2426
Description-en: script to uninstall modules installed from CPAN
 pmuninstall is a fast module uninstaller. It reads installed files lists from
 *.packlist files (generated when installing modules using e.g. cpanminus) and
 deletes them.

Package: pmw
Description-md5: 42943f516cb5a1625af9ccddb5d244d7
Description-en: Philip's Music Writer
 PMW is a computer program for high quality music typesetting. It was
 originally written for Acorn RISC OS computers (where it was called
 PMS)
 .
 PMW operates by reading an input file containing an encoded description
 of the music; such a file can be constructed using any text editor or
 word processor. The music encoding is very straightforward and compact,
 and quick to enter. The input encoding is designed to be easy for a
 musician to remember, and tries to make use of as many familiar musical
 notations as possible within the limitations of an ASCII character set.
 .
 PMW can output PostScript files for printing, and basic MIDI files for
 proof-hearing.

Package: pmw-doc
Description-md5: e5dbeef6194013d4ee7fdfba9cfcffe4
Description-en: Philip's Music Writer - Documentation
 This package contains the documentation, in PDF format, of pmw, as well
 as a number of examples.

Package: pnetcdf-bin
Description-md5: f36b60f2cd05ed9a45a319fdadbcd2cf
Description-en: Programs for reading and writing parallel NetCDF files
 Contains tools for working on netCDF files in parallel.
 .
 PnetCDF provides high-performance parallel I/O while still
 maintaining file-format compatibility with  Unidata's NetCDF,
 specifically the formats of CDF-1 and CDF-2.
 .
 In addition, PnetCDF supports the CDF-5 file format, an extension of CDF-2,
 that supports more data types and allows users to define large dimensions,
 attributes, and variables (>2B elements).

Package: png-definitive-guide
Description-md5: 7bc739600581dff2c9621697efc07ede
Description-en: PNG: The Definitive Guide
 A free book about the PNG image format.

Package: png23d
Description-md5: 7856bc1f8b0624c8e73eeb9d444383bf
Description-en: Converts PNG images into three dimensional representations.
 This tool converts images in the PNG format into OpenSCAD or STL files
 with extensive control over the conversion process.

Package: png2html
Description-md5: ba258c8d2c17d952b326d77262aa3a8a
Description-en: transforms a PNG image to a web page
 Png2html takes a PNG image and transforms it pixel by pixel to a web page,
 encoding each pixel as an appropriately coloured letter. It is decided which
 letter to encode each pixel as by using a text file supplied by the user. An
 example can be found on the project's homepage.

Package: pngcheck
Description-md5: dd502b4e445fb522f8626c81c53ecabb
Description-en: print info and check PNG, JNG and MNG files
 pngcheck verifies the integrity of PNG, JNG and MNG files (by checking the
 internal 32-bit CRCs or checksums) and optionally dumps almost all of the
 chunk-level information in the image in human-readable form. For example, it
 can be used to print the basic stats about an image (dimensions, bit depth,
 etc.); to list the color and transparency info in its palette; or to extract
 the embedded text annotations. All PNG and JNG chunks are supported, plus
 almost all MNG chunks (everything but PAST, DISC, tERm, DROP, DBYK, and
 ORDR). This is a command-line program with batch capabilities (e.g.,
 pngcheck *.png).
 .
 Also includes pngsplit which can split a PNG, MNG or JNG file into
 individual, numbered chunks.

Package: pngcrush
Description-md5: 3fa9f9dda281f8e8843940a73b1d1ed7
Description-en: optimizes PNG (Portable Network Graphics) files
 Pngcrush is an optimizer for PNG (Portable Network Graphics) files.
 Its main purpose is to reduce the size of the PNG IDAT data stream by trying
 various compression levels and PNG filter methods. It also can be used to
 remove unwanted ancillary chunks, or to add certain chunks including gAMA,
 tRNS, and textual chunks.

Package: pngmeta
Description-md5: 621146b3b6c97b3aad4e90a99cd87080
Description-en: Display metadata information from PNG images
 This small filter program extracts metadata from PNG images and
 displays them as either HTML, SOIF, RDF/XML or simple fields and
 values.

Package: pngnq
Description-md5: 8dc4366236a6a8b60a7651dc12063d65
Description-en: tool for optimizing PNG (Portable Network Graphics) images
 Pngnq is a tool for quantizing 32-bit RGBA PNG images to 8-bit RGBA pallete
 PNG. It's is an adaptation by Stuart Coyle of Greg Roelf's pnqquant. While
 pngquant uses a median cut algorithm, Pngnq uses Anthony Dekker's neuquant
 algorithm (http://members.ozemail.com.au/~dekker/NEUQUANT.HTML), generally
 resulting in better looking results than pngquant.
 .
 Optimizers (like pngcrush and optipng) optimize the compression, usually
 losslessly. pngnq quantizes colors down to 256 (or fewer) distinct RGBA
 combinations, which is quite lossy. Optimized PNGs are usually two to four
 times smaller than the 32-bit versions.

Package: pngphoon
Description-md5: a21d10583463a12aba8978ecb40ab518
Description-en: Creates a png file with the current phase of the moon
 This program is intended as a successor to the xphoon program (X PHase of
 mOON). xphoon is a program that displays the actual phase of the moon on the
 X11 root window. Nowadays many desktop systems like KDE hide the X11 root
 window with a background image of their own, so the xphoon output is not
 visible. When called, pngphoon creates a PNG image with the current phase of
 the moon. It can be used with window managers that allow using a command to
 provide a background image.

Package: pngquant
Description-md5: 1d0769779d5bab0eb300ce90eee9ee09
Description-en: PNG (Portable Network Graphics) image optimising utility
 pngquant is a command-line conversion utility to quantize and dither truecolor
 PNG images, especially those with a full alpha channel, down to 8-bit (or
 smaller) RGBA-palette PNGs. Such images are usually two to four times smaller
 than the full 32-bit versions, and partial transparency is preserved quite
 nicely. This makes pngquant especially useful both for Web sites and for
 PlayStation 2 development, where one of the texture formats is
 RGBA-palette-based (though not PNG-compressed).
 This is the same technique used for many of the images on the Miscellaneous
 Transparent PNGs page (http://www.libpng.org/pub/png/pngs-img.html), and
 the results are often indistinguishable from the original, truecolor PNG
 images.
 .
 Optimizers (like pngcrush and optipng) optimize the compression, usually
 losslessly, while pngquant quantizes colors down to 256 (or fewer) distinct
 RGBA combinations, which is lossy.

Package: pngtools
Description-md5: 7946629d3cfba2d00deb521390a5c1c2
Description-en: series of tools for PNG (Portable Network Graphics) images
 pngtools is a suite of utilities to work with PNG (Portable Network
 Graphics) files, equivalents to libtiff's tiffinfo, and tiffcp commands.
 These commands are called pnginfo, pngcp. tiffdump is replaced by pngchunks
 and pngchunkdesc as well.

Package: pnmixer
Description-md5: d2608acf9d0295ee8132953e3edb0dd5
Description-en: Simple mixer application for system tray
 PNMixer is a simple mixer application designed to run in your system tray.
 It integrates nicely into desktop environments that don't have a panel that
 supports applets and therefore can't run a mixer applet. In particular it's
 been used quite a lot with fbpanel and tint2, but should run fine in any
 system tray.
 .
 PNMixer is designed to work on systems that use ALSA for sound management.
 Any other sound driver like OSS or FFADO, or sound server like PulseAudio
 or Jack, are currently not supported (patches welcome).

Package: pnopaste
Description-md5: 6217b21efb0c59a55787f052817c2c02
Description-en: Pastebin with syntax highlighting
 Perl Nopaste is a Pastebin written in Perl using MySQL as backend.
 It features syntax highlighting for more than 120 languages, badword
 and IP address blacklists with hit counter, expiration date on postings,
 raw download of posted files, automatic multilanguage support and more.
 .
 This package contains the server component.

Package: pnopaste-cli
Description-md5: 9f5e43f871a9047298976233bc4749fe
Description-en: Pastebin with syntax highlighting (cli tool)
 Perl Nopaste is a Pastebin written in Perl using MySQL as backend.
 It features syntax highlighting for more than 120 languages, badword
 and IP address blacklists with hit counter, expiration date on postings,
 raw download of posted files, automatic multilanguage support and more.
 .
 This package contains the cli tool to add pastes using the command-line
 interface on remote servers.

Package: pnscan
Description-md5: 497e2c699f09df650ade874a741c0b71
Description-en: Multi threaded port scanner
 Pnscan is a multi threaded port scanner that can scan a large network
 very quickly. If does not have all the features that nmap have but
 is much faster.

Package: po4a
Description-md5: 929dcc0870a3fcb3f8fb87c6ad4e67f2
Description-en: tools to ease the translation of documentation
 po4a eases the translation of documentation and other textual document.
 Even more interestingly, it makes it easy to maintain these
 translations when the original document changes. This is done by
 using the gettext tools in this new domain.
 .
 This package contains the main libraries of po4a, and the necessary
 sub-modules to handle the following formats:
 .
   - Dia: uncompressed Dia diagrams.
   - INI: the INI format
   - KernelHelp: Help messages of each kernel compilation option.
   - LaTeX: generic TeX or LaTeX format.
   - Man: either roff or mdoc format.
   - POD: Perl documentation format.
   - SGML: either DebianDoc or DocBook DTD.
   - RubyDoc: Ruby documentation format.
   - Texinfo: the info page format.
   - XML: very configurable (preconfigured for DocBook, XHTML, Guide, WML).
   - Yaml: Yaml documents.

Package: poa
Description-md5: 17e3dd80c345289f88c18880fe3f3822
Description-en: Partial Order Alignment for multiple sequence alignment
 POA is Partial Order Alignment, a fast program for multiple sequence
 alignment (MSA) in bioinformatics. Its advantages are speed,
 scalability, sensitivity, and the superior ability to handle branching
 / indels in the alignment. Partial order alignment is an approach to
 MSA, which can be combined with existing methods such as progressive
 alignment. POA optimally aligns a pair of MSAs and which therefore can
 be applied directly to progressive alignment methods such as CLUSTAL.
 For large alignments, Progressive POA is 10-30 times faster than
 CLUSTALW.

Package: poc-streamer
Description-md5: 0f2761790204b2a648514c21bbfb9831
Description-en: MP3/Ogg multicast/HTTP streamer and MP3 cutting tool
 poc is a suite of MP3 tools and MP3 streaming programs. It can stream
 MP3s over HTTP, RTP multicast (RFC 2250 and RFC 3119) and a special
 multicast protocol to enable the use of Forward Error Correction to
 protect the MP3 stream against packet loss. It can also stream OGGs
 over HTTP.
 In addition to the streaming programs, poc contains two MP3 tools:
 mp3cue and mp3cut. mp3cue can cut a big MP3 file according to a
 tracklisting contained in a .cue file. mp3cut can split and
 concatenate MP3 files according to time slices given on the command
 line. mp3cut cuts MP3 files on ADU (autonomous data units) frames
 to ensure best quality.

Package: pocillo-icon-theme
Description-md5: 6129735ec796bf2969ca4f8372111359
Description-en: Tango-esque folder icon set called Pocillo
 Pocillo is a stylized Tango-esque Linux folder icon set. They are
 designed to be a clear, simple and consistent.  Designed to complement
 Arc-theme and Moka icon theme.

Package: pocketsphinx
Description-md5: 17fb8ee80efcb04aa65b542b1b312aa2
Description-en: Speech recognition tool
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains end-user speech recognition tools.

Package: pocketsphinx-en-us
Description-md5: 8bdd4d86f0b8292c00854b0b224e6db1
Description-en: Speech recognition tool - US English language model
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains the default US English language model for
 PocketSphinx.

Package: pocl-doc
Description-md5: e3c0e90e39c5759953608bcfdf5dbf74
Description-en: documentation for the pocl library
 Portable Computing Language is an open source implementation of the OpenCL
 standard which can be easily adapted for new targets. One of the goals of the
 project is improving performance portability of OpenCL programs, avoiding the
 need for target-dependent manual optimizations. A "native" target is included,
 which allows running OpenCL kernels on the host (CPU).
 .
 This package provides the development files for the pocl library.  Unless very
 specific needs, this package is useless.  This package is only required to link
 directly and explicitly with pocl.
 .
 This package provides the documentation for the pocl library.

Package: pocl-opencl-icd
Description-md5: 4258670334653aa715a62c48695316e8
Description-en: pocl ICD
 Portable Computing Language is an open source implementation of the OpenCL
 standard which can be easily adapted for new targets. One of the goals of the
 project is improving performance portability of OpenCL programs, avoiding the
 need for target-dependent manual optimizations. A "native" target is included,
 which allows running OpenCL kernels on the host (CPU).
 .
 This package allows one to use pocl as an installable client driver (ICD) for
 OpenCL.

Package: pod2pdf
Description-md5: f9cec7c0f0158325c1baa2b209bbf39d
Description-en: Plain Old Documentation to Portable Document Format converter
 POD allows for the documentation of Perl code. But with
 a few tricks, e.g., when disguised as comments, it is
 applicable to many other languages, too. It resembles
 HTML in the way that it allows for the structured representation
 of text. Hence, it looks rather good when converted for
 printers, HTML or man pages.
 .
 This package represents more than a mere alternative
 to pod2man|groff -man to achieve a coversion from POD
 to PostScript and from there via ps2pdf to PDF.
 Its major advantage lies in the inclusion of images
 for the documentation. This renders POD suitable for
 many smallish projects as a lingua franca for their
 documentation - associated with the source and separate
 documents - so texts (and graphics) can be shared more
 easily between documenters and programmers.

Package: podget
Description-md5: cf64c59f3832fc8357345b6923188189
Description-en: Podcast aggregrator/downloader optimized for cron
 Podget is a simple podcast aggregator optimized for running as a scheduled
 background job (i.e. cron). It features support for downloading podcasts
 from RSS & ATOM XML feeds, for sorting the files into folders &
 categories, for importing URLs from iTunes PCAST files & OPML lists
 automatic M3U & ASX playlist creation, and automatic cleanup of old files.
 It also features automatic UTF-16 conversion for podcasts hosted on MS
 Windows servers.
 Run podget once to install the users configuration files to $HOME/.podget,
 and then customize them there.

Package: poe.app
Description-md5: 2ec44f3223883983cc8d83f88ab68d7f
Description-en: Vorbis comment editor
 Poe is a vorbis comment editor for GNUstep. It tries to follow the
 vorbis comment header specification closely, while being convenient
 and flexible to use.

Package: poedit
Description-md5: 62cc240f4683bb172c7c691d3651c4f0
Description-en: gettext catalog editor
 Poedit is an editor for gettext catalogs (.po files). It aims to provide a
 convenient approach to editing catalogs. It features UTF-8 support, fuzzy and
 untranslated records highlighting, whitespace highlighting, references browser,
 header editing and can be used to create new catalogs or update existing
 catalogs from source code with a single click. It is built with wxWidgets
 toolkit.

Package: poedit-common
Description-md5: d3895f4e4ef6fb58df3da62e9ce26c0d
Description-en: Common files for poedit
 Poedit is an editor for gettext catalogs (.po files). It aims to provide a
 convenient approach to editing catalogs. It features UTF-8 support, fuzzy and
 untranslated records highlighting, whitespace highlighting, references browser,
 header editing and can be used to create new catalogs or update existing
 catalogs from source code with a single click. It is built with wxWidgets
 toolkit.
 .
 This package includes documentation, examples and locale files for the
 Debian poedit packages that are common for all architectures.

Package: poezio
Description-md5: 65718021bfc7d68b01173493fdd71129
Description-en: Console-based XMPP client
 Poezio is a free console XMPP client (the protocol on which the Jabber IM
 network is built).
 .
 Its goal is to let you connect very easily (no account creation needed) to the
 network and join various chatrooms, immediately. It tries to look like the most
 famous IRC clients (weechat, irssi, etc). Many commands are identical and
 you won't be lost if you already know these clients. Configuration can be made
 in a configuration file or directly from the client.

Package: pokerth
Description-md5: b9120a1a42426cbec7afb2f62bdb8780
Description-en: Texas hold'em game
 pokerth is a free implementation of the Texas hold'em poker game which is
 mostly played in casinos and has a growing popularity worldwide. Texas hold'em
 is easy to learn but needs a good strategy to win and a lot of luck. This
 package helps you when practicing or just playing for fun.

Package: pokerth-data
Description-md5: b19f8df925241c90b1a938776b4e54bd
Description-en: Texas hold'em game - common data files
 pokerth is a free implementation of the Texas hold'em poker game which is
 mostly played in casinos and has a growing popularity worldwide. Texas hold'em
 is easy to learn but needs a good strategy to win and a lot of luck. This
 package helps you when practicing or just playing for fun.
 .
 This package contains arch-independent data files for pokerth (card images,
 sounds, translations, etc).

Package: pokerth-server
Description-md5: a108584468dc6296850ef0a2bf4b2e25
Description-en: Texas hold'em game - server
 pokerth is a free implementation of the Texas hold'em poker game which is
 mostly played in casinos and has a growing popularity worldwide. Texas hold'em
 is easy to learn but needs a good strategy to win and a lot of luck. This
 package helps you when practicing or just playing for fun.
 .
 This package contains the server, which is needed for hosting an own multi-
 player, multigame server. You don't need this package if you want to play a
 multiplayer game with one table over LAN or Internet.

Package: polari
Description-md5: b003858128d1f8fcb506922dc20a2122
Description-en: Internet Relay Chat (IRC) client
 Polari is an Internet Relay Chat (IRC) client designed for GNOME 3.

Package: polenum
Description-md5: adf88c5137573a18e4220dadf0d29381
Description-en: Extracts the password policy from a Windows system
 polenum is a Python script which uses the Impacket Library from CORE Security
 Technologies to extract the password policy information from a windows machine.
 This allows a non-windows (Linux, Mac OSX, BSD etc..) user to query the
 password policy of a remote windows box without the need to have access to a
 windows machine.

Package: policy-rcd-declarative
Description-md5: b25c273e8e326b7f08c6939761da8e71
Description-en: policy-rc.d script with declarative syntax
 Debian policy states that packages providing system services need to
 start those services by default, and that the starting of the service
 should be done by way of the /usr/sbin/invoke-rc.d script. This script
 will execute a program /usr/sbin/policy-rc.d if it exists, allowing the
 local system administrator to override behaviour if wanted by creating
 a policy script accordin to the interface specified and installing it
 under the correct name. This interface is however somewhat problematic,
 as explained in https://bugs.debian.org/911290.
 .
 This package attempts to provide a solution by shipping a policy-rc.d
 script that allows system administrators to define policies in a
 declarative way through one or more configuration files, rather than
 providing one script that may be overwritten.

Package: policycoreutils
Description-md5: 31208c85fff1e3518bcca4a5d2dfbdb2
Description-en: SELinux core policy utilities
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains the core policy utilities that are required
 for basic operation of an SELinux system.  These utilities include
 load_policy to load policies, setfiles to label filesystems.

Package: policycoreutils-dbus
Description-md5: a9fd585e3d16b666d69c9ef51016e56c
Description-en: SELinux core policy utilities (D-Bus daemon)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains the org.selinux D-Bus daemon.

Package: policycoreutils-dev
Description-md5: 530fe6be5f801e94cbd1e8e451e87359
Description-en: SELinux core policy utilities (development utilities)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains the management tools used to develop policy in an
 SELinux environment.

Package: policycoreutils-gui
Description-md5: 299230da37bb10af118ebb5821a2c569
Description-en: SELinux core policy utilities (graphical utilities)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains the system-config-selinux and the selinux-polgengui
 utilities.

Package: policycoreutils-python-utils
Description-md5: e9a150d3a64bbbc19e568203a8d13151
Description-en: SELinux core policy utilities (Python utilities)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains the policycoreutils written in Python like semanage,
 audit2why, audit2allow or chcat.

Package: policycoreutils-sandbox
Description-md5: d3a55bbb0bfe2e1f6bcca133b53cccd6
Description-en: SELinux core policy utilities (graphical sandboxes)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains the scripts to create graphical sandboxes.
 .
 This package requires an additional custom policy that is not present in
 Debian.

Package: policyd-rate-limit
Description-md5: edaace419c4f777b1e95c28a134021dd
Description-en: postfix policy daemon limiting the number of mails a user can send
 policyd-rate-limit is a simple postfix policy daemon written in python3
 allowing to limit the number of mails a user can send over time.
 Users are identified either via their sasl usernames or their ip addresses.
 Limitation rules are a list of couples (number of mails, number of seconds).
 If a user has sent more than number of mails in number of seconds,
 a configurable error is returned to the user.

Package: policyd-weight
Description-md5: b49f51c6147d3c38b7e7ac0a66df6758
Description-en: Perl policy daemon for the Postfix MTA
 policyd-weight is intended to eliminate forged envelope senders and HELOs
 (i.e. in bogus mails). It allows you to score DNSBLs (RBL/RHSBL), HELO,
 MAIL FROM and client IP addresses before any queuing is done. It allows
 you to REJECT messages which have a score higher than allowed, providing
 improved blocking of spam and virus mails. policyd-weight caches the most
 frequent client/sender combinations (SPAM as well as HAM) to reduce the
 number of DNS queries.

Package: policykit-1-gnome
Description-md5: f934c4c4f5f340b6e6692e2f67e79721
Description-en: authentication agent for PolicyKit
 PolicyKit-gnome provides a D-Bus session bus service that is used to
 bring up authentication dialogs used for obtaining privileges.
 .
 This implementation was originally designed for GNOME 2, but most
 GNOME-based desktop environments, including GNOME 3, GNOME Flashback,
 and MATE, have their own built-in PolicyKit agents and no longer use
 this one. The remaining users of this implementation are Cinnamon, XFCE
 and Unity.

Package: polkit-kde-1
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: polkit-kde-agent-1
Description-md5: 5e33c0fb1d79df76743506f8e72c9b97
Description-en: KDE dialogs for PolicyKit
 PolicyKit is an application-level toolkit for defining and handling the policy
 that allows unprivileged processes to speak to privileged processes.
 .
 It is a framework for centralizing the decision making process with respect to
 granting access to privileged operations (like calling the HAL Mount() method)
 for unprivileged (desktop) applications.
 .
 PolicyKit-Kde provides a D-Bus session bus service that is used to
 bring up authentication dialogs used for obtaining privileges.

Package: polled-camera-tool
Description-md5: 23950b527abad097af0cd7d3c9f4cd90
Description-en: Robot OS polled_camera package tool
 This package is part of Robot OS (ROS). It contains an executable
 implementing a polled camera driver node and to request images from it.
 The package is currently for internal use as the API is still under
 development.

Package: pollen
Description-md5: e82e0d796160a300116ea3ec9e3e4bf5
Description-en: Entropy-as-a-Service web server
 Pollen is an Entropy-as-a-Service web server, providing random seeds.
 This can be performed over both cleartext http and encrypted
 https TLS connections.

Package: polygen
Description-md5: fe4f8df1d93b64d4da65330c339e6587
Description-en: generator of random sentences from grammar definitions
 PolyGen is a program for generating random sentences according to a grammar
 definition, that is following custom syntactical and lexical rules.
 .
 Formally, it is an interpreter of a language itself designed to define
 languages, where to interpret means executing a source program in real time
 and eventually outputting its result.
 .
 Here a source program is a grammar definition, the execution consists in the
 exploration of such grammar by selecting a random path and the result is the
 sentence built on the way.
 .
 Though PolyGen is quite a serious piece of software then, what else would be
 more noble for it than being used as a parody tool for linguistical habits,
 stereotypes and trends of this foolish era?
 .
 Principles of parody are focusing a ridiculous topic and eventually
 abstracting its rules and schemes (here in terms of a grammar definition) by
 which reproducing it through the variatio device.  And randomization is
 perfect at this purpose thanks to its purely asemantic behaviour =:)

Package: polygen-data
Description-md5: 9e62973741c407eb448aece5cd698aea
Description-en: grammar definitions for PolyGen
 PolyGen is a program for generating random sentences according to a grammar
 definition, that is following custom syntactical and lexical rules.
 .
 Formally, it is an interpreter of a language itself designed to define
 languages, where to interpret means executing a source program in real time
 and eventually outputting its result.
 .
 Here a source program is a grammar definition, the execution consists in the
 exploration of such grammar by selecting a random path and the result is the
 sentence built on the way.
 .
 This package contains various grammar files suited for polygen.

Package: polyglot
Description-md5: 7e1e75a56d0a038ac25c4a3170b42389
Description-en: chess engine protocol adaptor, connects UCI engines to xboard
 Common chess frontends have a winboard/xboard input interface, modern
 chess engines speak the UCI "universal chess interface" protocol. This
 protocol adaptor makes it possible to use standard chess frontends, like
 xboard or scid with UCI speaking engines and play chess against them.

Package: polylib-utils
Description-md5: e79b4b57a574189d3708394cc1ecfdd2
Description-en: Various tools using libpolylib
 Polylib is a free C library for doing computations on polyhedra.
 The library is operating on objects like vectors, matrices, lattices,
 polyhedra, Z-polyhedra, unions of polyhedra and other intermediary
 structures.
 It provides functions for all important operations on these structures.
 .
 This package contains various utilities to perform polyhedral operations.

Package: polymake
Description-md5: 1faec0a266251431c0136db85985a6f3
Description-en: Tool for algorithmic discrete geometry
 Polymake started out as a tool for the algorithmic treatment of convex
 polyhedra. By now it also deals with finite simplicial complexes,
 tight spans of finite metric spaces, polyhedral surfaces, and other
 discrete mathematical objects.
 .
 This package contains the core module and the application modules.

Package: polymake-common
Description-md5: 9fc9af3cee3db7e3cb1cdafe712ed933
Description-en: Tool for algorithmic discrete geometry -- shared files
 Polymake started out as a tool for the algorithmic treatment of convex
 polyhedra. By now it also deals with finite simplicial complexes,
 tight spans of finite metric spaces, polyhedral surfaces, and other
 discrete mathematical objects.
 .
 This package contains architecture independent material.

Package: polyml
Description-md5: 07719a08cb059d017845466f51206251
Description-en: interpreter and interactive compiler for Standard ML
 Poly/ML is an implementation of the Standard ML programming language
 Standard ML is a general-purpose, modular, type-safe, strict,
 functional programming language. Poly/ML is SML 97 compliant
 interpreter and compiler that supports the generation of stand-alone
 executables with an interactive toplevel (REPL).
 .
 This package provides the top-level interpreter and compiler.

Package: polyml-modules
Description-md5: cd6a355a439af810bcbb3dc847f556de
Description-en: modules for Poly/ML, a compiler for Standard ML
 Poly/ML is an implementation of the Standard ML programming language
 Standard ML is a general-purpose, modular, type-safe, strict,
 functional programming language. Poly/ML is SML 97 compliant
 interpreter and compiler that supports the generation of stand-alone
 executables with an interactive toplevel (REPL).
 .
 This package provides the standard modules for Poly/ML.

Package: pommed
Description-md5: 3e96cd8901dd06e6946be0ab88e18977
Description-en: Apple laptops hotkeys event handler
 pommed handles the hotkeys found on the Apple MacBook Pro, MacBook Air,
 MacBook, PowerBook and iBook laptops and adjusts the LCD backlight, sound
 volume, keyboard backlight or ejects the CD-ROM drive accordingly.
 .
 pommed also monitors the ambient light sensors to automatically
 light up the keyboard backlight on machines that support it.

Package: pompem
Description-md5: 99819d98b0b39826c084cf6569a407b6
Description-en: Exploit and Vulnerability Finder
 Find exploit with a system of advanced search, designed to automate the search
 for Exploits and Vulnerability in the most important databases facilitating
 the work of pentesters, ethical hackers and forensics expert. Performs searches
 in databases: PacketStorm security, CXSecurity, ZeroDay, Vulners, National
 Vulnerability Database, WPScan Vulnerability Database. This tool is essential
 in the security of networks and systems.
 .
 The search results can be exported to HTML or text format.

Package: pong2
Description-md5: 5ba4233a6db210d8ace1ba3a91031efd
Description-en: Remake of old arcade classic in OpenGL
 Pong2 is an up till now two player (networked) game inspired
 by the classical "Pong" from Amiga, which adds another
 dimension to the playing field. It makes use of features found
 on modern 3d graphics cards, so decent hardware is a requirement.
 .
 It also has multiplayer support! 2 players can play against
 each other via network.

Package: ponyprog
Description-md5: 3bb25d38ef6d6897cdfb64767b4bd3b3
Description-en: Serial device programmer
 PonyProg is a serial device programmer software with a user friendly
 GUI framework available for Windows and Linux. It's purpose is reading
 and writing every serial device. With PonyProg and SI-Prog you can
 program Wafercard for SAT, eeprom within GSM, TV or CAR-RADIO.
 Furthermore it can be used as a low cost starter kit for PIC and AVR.
 .
 Ponyprog supports AVR, SPI eeprom, AVR micro, 12C bus 8bit eeprom, PIC
 16 micro, PIC 12 micro, AT89S micro and SDE2506 eeprom family chips.
 .
 You can open any HEX, e2p, mot, csm, rom, eep, bin files and burn them
 to uC or PIC. You can even backup the old program on the chip using
 Ponyprog. Ponyprog enables the user to write, verify and erase data on
 the microchip.
 .
 Also setting fuse bits and locks using Ponyprog is possible. You can
 save any HEX file to BIN file or eep file, BIN file to HEX file or MOT
 file and vice versa so you can use Ponyprog as converter too. Ponyprog
 offers serial or parallel port programming for uC's. You can even change
 polarity of control lines without touching the wires using I/O port setup.
 .
 Using Ponyprog together with generic USB2serial adapters is currently
 not possible! There are plans on upstream to use libusb to add such
 functionality.

Package: poolcounter
Description-md5: 834798f46f95684e170041dfeffe6dcf
Description-en: network daemon which provides mutex-like functionality
 PoolCounter is a network daemon which provides mutex-like functionality,
 with a limited wait queue length. If too many servers try to do the same
 thing at the same time, the wait queue overflows and some configurable
 action might be taken by subsequent clients, such as displaying an error
 message or using a stale cache entry.

Package: pop-gnome-shell-theme
Description-md5: 5910a480b1e8b3201b45b7369ac9f91d
Description-en: Pop GNOME Shell Theme
 The Pop theme for GNOME Shell
 .
 This package contains the GNOME Shell theme part

Package: pop-gtk-theme
Description-md5: 1a00967076168e265c4a5eec820fa328
Description-en: Pop GTK Theme
 The Pop theme for GTK.
 .
 This package contains the GTK 2 and 3 theme parts

Package: pop-icon-theme
Description-md5: 01ce4f11b759836258cc11249d2ff965
Description-en: Pop Icons

Package: pop-sound-theme
Description-md5: 8d455b9d4e57383fd732430c3c811c64
Description-en: Pop sound theme
 The Pop sound theme is based on the Ubuntu Yaru sound theme.
 .
 This package contains the sound theme
 .
 If you want to follow development, more information here:
 https://community.ubuntu.com/c/desktop/theme-refresh.

Package: pop3browser
Description-md5: 34f68f9a08c720cb7130f2d9f3a18f88
Description-en: Allows one to check a pop3 mailbox before downloading any mail
 pop3browser is intended to delete unwanted (SPAM) mails before downloading
 via a low-bandwidth connection. This is useful to save time, bandwidth and
 space.

Package: popa3d
Description-md5: 61843b2fcfb89d8f6bcc09ed21531191
Description-en: Tiny POP3 daemon, designed with security as the primary goal
 popa3d is fast, small and secure pop3 daemon. It's written from scratch by
 Solar Designer for Owl (Openwall GNU/*/Linux).
 .
 Popa3d can work both in standalone or inetd mode.
 .
 For more information see DESIGN file.

Package: popfile
Description-md5: 6b4e29575f208b95de8636d5af948891
Description-en: email classification tool
 POPFile is an tool to classify email with a Naive Bayes classifier, a POP3
 proxy and a web interface. It runs on most platforms and with most email
 clients. It's not only useful to filter spam, but also to sort legitimate
 mail into different folders. POPFile can be trained to recognize and sort
 mails even when no regular mail rules based on header can be made.
 POPFile can be used also for IMAP. It will move messages into folders
 and re-classify mails after they have been moved.

Package: poppass-cgi
Description-md5: 3c7ba847aeac6cdb51830bbb57ba6752
Description-en: CGI script to interact with a poppassd server
 poppass is a CGI script written to allow users to change their passwords
 through the web. It interacts with a poppassd server (see the poppassd Debian
 package) and does no changing itself. It can therefore be installed in an
 unprivileged way.

Package: poppassd
Description-md5: c77cea8e333a4a4de559fdf4abf0723c
Description-en: password change server for Eudora and NUPOP
 This package provides a daemon for changing passwords on POP mail accounts,
 a feature common to Eudora and other MacOS/Win32 mail user agents.  This
 feature is also commonly used for TCP loopback password changing from web
 scripting languages like PHP or Perl, so that the webserver process doesn't
 need to be run as root (on in the shadow group).
 .
 This version of poppassd changes passwords via PAM (as opposed to other
 versions of the same daemon that used the newusers(8) application to change
 passwords), allowing for great flexibility.

Package: populations
Description-md5: 637e25fef2596df24193a258e57254f4
Description-en: population genetic software
 Populations is a population genetic software. It computes genetic
 distances between populations or individuals. It builds phylogenetic
 trees (NJ or UPGMA) with bootstrap values.

Package: porechop
Description-md5: 20929de408e0539a22c85849de88c073
Description-en: adapter trimmer for Oxford Nanopore reads
 Porechop is a tool for finding and removing adapters from Oxford
 Nanopore reads. Adapters on the ends of reads are trimmed off, and
 when a read has an adapter in its middle, it is treated as chimeric
 and chopped into separate reads. Porechop performs thorough
 alignments to effectively find adapters, even at low sequence
 identity.
 Porechop also supports demultiplexing of Nanopore reads that were
 barcoded with the Native Barcoding Kit, PCR Barcoding Kit or Rapid
 Barcoding Kit.

Package: poretools
Description-md5: 6a67f2f87b107c1e0c6f0b9e52f4a2fe
Description-en: toolkit for nanopore nucleotide sequencing data
 poretools is a flexible toolkit for exploring datasets generated by nanopore
 sequencing devices from MinION for the purposes of quality control and
 downstream analysis. Poretools operates directly on the native FAST5 (a
 variant of the HDF5 standard) file format produced by ONT and provides a
 wealth of format conversion utilities and data exploration and visualization
 tools.

Package: poretools-data
Description-md5: 12a0474af31d5d15cb7af7ce1a1dcb52
Description-en: toolkit for nanopore nucleotide sequencing data -- sample datasets
 poretools is a flexible toolkit for exploring datasets generated by nanopore
 sequencing devices from MinION for the purposes of quality control and
 downstream analysis. Poretools operates directly on the native FAST5 (a
 variant of the HDF5 standard) file format produced by ONT and provides a
 wealth of format conversion utilities and data exploration and visualization
 tools.
 .
 This package provides example nanopore sequencing data in fast5 format.

Package: porg
Description-md5: d8f0f5924bbf7502dc830d2845c2a707
Description-en: Package manager/organizer for software installed from source
 Porg (formerly known as paco), is a program to aid management of software
 packages installed from source code.
 .
 After the installation of such packages, one is usually left with having no
 idea of what it was installed and where it all went, making it difficult to
 uninstall the package in the future. Porg was written to solve this problem
 in a quite simple fashion.
 .
 When installing a package from sources, porg wraps the install command
 (e.g. "make install"), and saves installation information into a its own
 flat-file text database.
 .
 This package is a complete replacement for the deprecated 'paco' package.

Package: portaudio19-dev
Description-md5: 040df2bde13015a2b8b419ac2975c974
Description-en: Portable audio I/O - development files
 PortAudio is a portable audio I/O library designed for cross-platform
 support of audio. It uses a callback mechanism to request audio
 processing.  Audio can be generated in various formats, including 32
 bit floating point, and will be converted to the native format
 internally.
 .
 This package contains the development files.

Package: portaudio19-doc
Description-md5: 75f3491c545fe83bd2d291a84a8851e3
Description-en: Portable audio I/O - documentation
 PortAudio is a portable audio I/O library designed for cross-platform
 support of audio. It uses a callback mechanism to request audio
 processing.  Audio can be generated in various formats, including 32
 bit floating point, and will be converted to the native format
 internally.
 .
 This package contains the documentation.

Package: portreserve
Description-md5: 3a1871bdb27c4e899a379c0e5fc6bc58
Description-en: Port reservation program
 The portreserve program aims to help services with well-known ports
 that lie in the bindresvport() range (currently 600-1023).
 It prevents programs requesting a port to the libc from occupying
 a real service's port by occupying it itself, until the real service
 tells it to release the port (generally in its init script).

Package: portsentry
Description-md5: ff8ecc87ed516a7095261180d5556efa
Description-en: Portscan detection daemon
 PortSentry has the ability to detect portscans(including stealth scans) on
 the network interfaces of your machine. Upon alarm it can block the
 attacker via hosts.deny, dropped route or firewall rule. It is part of the
 Abacus program suite.
 .
 Note: If you  have no idea what a port/stealth scan is, It's recommended to
 have a look at http://sf.net/projects/sentrytools/ before installing this
 package. Otherwise you might easily block hosts you'd better not (e.g. your
 NFS-server, name-server, etc.).

Package: posh
Description-md5: 5b938c6ab71fec2ce92aeee6d407226f
Description-en: Policy-compliant Ordinary SHell
 posh is a stripped-down version of pdksh that aims for compliance
 with Debian's policy, and few extra features.
 .
 WARNING: Since many of Debian's /bin/sh scripts are not actually
 policy-compliant, using posh as your /bin/sh may reveal breakage.

Package: posixtestsuite
Description-md5: 97a726d1ae1c10039419fc8fa39e81d6
Description-en: POSIX conformance test suite report log
 The POSIX Test Suite is a free (as in speech) test suite with the goal
 of performing conformance, functional, and stress testing of the IEEE
 1003.1-2001 System Interfaces specification in a manner that is agnostic
 to any given implementation.
 .
 This package only provides the test suite results in a log file. If you
 want to run the testsuite, use the source package of the same name (e.g.
 apt-get source posixtestsuite).

Package: post-el
Description-md5: eb48c55d9cb8df8eb6fa03a5c867e92f
Description-en: emacs major mode for editing mail
 This is an emacs major mode for use in conjunction with mutt, the
 spiffy *nix mailreader du jour (see http://www.mutt.org/), or slrn,
 the spiffy *nix newsreader du jour (see
 http://slrn.sourceforge.net/), or any other mailreader or newsreader
 that supports an external editor.

Package: postal
Description-md5: 070f3dccb444359fa1053e25fee30177
Description-en: SMTP benchmark - the mad postman.
 This program starts a specified number of processes to send as much random
 data to random accounts as possible.
 .
 Adds the X-Postal header to email it sends, so if someone uses it unethically
 then it will be easy to filter via procmail.

Package: poster
Description-md5: bb6c43dd15477a6a27270bd367b78ef9
Description-en: Create large posters out of PostScript pages
 Poster takes a one-page PostScript file and scales it to a specified
 size.  It can tile the resulting image into multiple smaller pages
 that can be pasted together to form the big poster.  Poster prefers
 EPS as its input although freer forms of PostScript are also
 understood.

Package: posterazor
Description-md5: b23de1f1c238ad682135378628052f15
Description-en: splits an image across multiple pages for assembly into a poster
 The PosteRazor splits an image to form a multi-page PDF document. When
 printed, the sheets can be arranged to form a large poster of the original
 image.

Package: postfix-gld
Description-md5: 9084f1d7db58acef0202522d23c0672d
Description-en: greylisting daemon for postfix, written in C, uses MySQL
 gld stands for GreyList Daemon. gld is a standalone policy delegation
 server for postfix that implements the greylist algorithm as defined
 at http://www.greylisting.org

Package: postfix-lmdb
Description-md5: dadedd4c3edba2567b172520ab66c14b
Description-en: LMDB map support for Postfix
 Postfix is Wietse Venema's mail transport agent that started life as an
 alternative to the widely-used Sendmail program.  Postfix attempts to
 be fast, easy to administer, and secure, while at the same time being
 sendmail compatible enough to not upset existing users. Thus, the outside
 has a sendmail-ish flavor, but the inside is completely different.
 .
 This provides support for LMDB maps in Postfix. If you plan to use LMDB maps
 with Postfix, you need this.

Package: postfix-mta-sts-resolver
Description-md5: 41f80f5aacee2c642d3b99898d2fade1
Description-en: Daemon providing MTA-STS map to Postfix
 postfix-mta-sts-resolver provides a lookup daemon and command line query
 utility for MTA-STS policies (RFC 8461).  The daemon provides TLS client
 policy to Postfix via socketmap.

Package: postfix-policyd-spf-perl
Description-md5: 0e0ddcf8410b2a1899a913503e9c0da5
Description-en: Simple Postfix policy server for RFC 4408/7208 SPF checking
 postfix-policyd-spf-perl is a basic Postfix SMTP policy server for SPF
 checking.  It is implemented in pure Perl and uses the Mail::SPF module.  The
 SPF project web site is http://www.openspf.net/.  postfix-policyd-spf-python
 is a more featureful alternative for use cases this package does not cover.

Package: postfix-policyd-spf-python
Description-md5: c26be09184f22dafa5a5249b2a7cc3bf
Description-en: Postfix policy server for SPF checking
 postfix-policyd-spf-python is a full featured Postfix policy engine for
 SPF checking. It includes a variety of whitelisting mechanisms and policy
 options to enable it to support the widest variety of system requirements.
 It is implemented in pure Python and uses the python-spf module.  The SPF
 web site is http://www.openspf.net/.  It has been updated to support RFC
 7208.

Package: postfix-sqlite
Description-md5: 78969de91802d7f52e4f5b456e3732f9
Description-en: SQLite map support for Postfix
 Postfix is Wietse Venema's mail transport agent that started life as an
 alternative to the widely-used Sendmail program.  Postfix attempts to
 be fast, easy to administer, and secure, while at the same time being
 sendmail compatible enough to not upset existing users. Thus, the outside
 has a sendmail-ish flavor, but the inside is completely different.
 .
 This provides support for SQLite maps in Postfix. If you plan to use
 SQLite maps with Postfix, you need this.

Package: postfixadmin
Description-md5: 59d711f81f23a7e2d8610f58174d1858
Description-en: Virtual mail hosting interface for Postfix
 Postfixadmin is a web interface to manage virtual users and domains
 for a Postfix mail transport agent. It supports Virtual mailboxes,
 aliases, forwarders and vacation.
 .
 Postfixadmin allows administrators to delegate account handling
 to domain administrators and allows users to login and change their own
 settings (e.g. forwarders, vacation, passwords etc).
 .
 It provides easy integration into dovecot, courier or cyrus.
 .
 Postfixadmin is written in PHP.

Package: postfwd
Description-md5: d8b9435355d6c1f2572ce3668a1eab2c
Description-en: Postfix policyd to combine complex restrictions in a ruleset
 Postfwd is written in perl to combine complex postfix restrictions in a
 ruleset similar to those of the most firewalls. The program uses the postfix
 policy delegation protocol to control access to the mail system before a
 message has been accepted. It allows you to choose an action (e.g. reject,
 dunno) for a combination of several smtp parameters (like sender and recipient
 address, size or the client's TLS fingerprint).

Package: postgis
Description-md5: 8aab8a2ee2d60aceb4196de4389b1c02
Description-en: Geographic objects support for PostgreSQL
 PostGIS adds support for geographic objects to the PostgreSQL
 object-relational database. In effect, PostGIS "spatially enables"
 the PostgreSQL server, allowing it to be used as a backend spatial
 database for geographic information systems (GIS), much like ESRI's
 SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS
 "Simple Features Specification for SQL".
 .
 This package contains the PostGIS userland binaries for importing and
 exporting shape and raster files: pgsql2shp, raster2pgsql, and shp2pgsql.

Package: postgis-doc
Description-md5: d9fb6ebb1016d9274a6318204b1d5d9b
Description-en: Geographic objects support for PostgreSQL -- documentation
 PostGIS adds support for geographic objects to the PostgreSQL
 object-relational database. In effect, PostGIS "spatially enables"
 the PostgreSQL server, allowing it to be used as a backend spatial
 database for geographic information systems (GIS), much like ESRI's
 SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS
 "Simple Features Specification for SQL".
 .
 This package contains the PostGIS documentation.

Package: postgis-gui
Description-md5: beb77df33e50684b942e84fc8907c3ff
Description-en: Geographic objects support for PostgreSQL -- GUI programs
 PostGIS adds support for geographic objects to the PostgreSQL
 object-relational database. In effect, PostGIS "spatially enables"
 the PostgreSQL server, allowing it to be used as a backend spatial
 database for geographic information systems (GIS), much like ESRI's
 SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS
 "Simple Features Specification for SQL".
 .
 This package contains the PostGIS graphical shape file loader,
 shp2pgsql-gui.

Package: postgresql-12-asn1oid
Description-md5: 1d66110df108490dcab2101723a5f94d
Description-en: ASN.1 OID data type for PostgreSQL
 This plugin provides the necessary support functions to store ASN.1 OIDs in
 a PostgreSQL database.
 .
 This package has been compiled for PostgreSQL 12.

Package: postgresql-12-bgw-replstatus
Description-md5: 7e371a1d6723626aa24d871ac297fe98
Description-en: report whether PostgreSQL node is master or standby
 bgw_replstatus is a tiny PostgreSQL background worker to cheaply report the
 replication status of a node. It's intended to be polled by a load balancer
 such as haproxy.
 .
 When installed, a background worker will be started that listens on a TCP
 port. A connection to this port will get a TCP response back saying either
 MASTER or STANDBY depending on the current state of the node.

Package: postgresql-12-cron
Description-md5: b733e29764720cd3b047e4ca93764fb2
Description-en: Run periodic jobs in PostgreSQL
 pg_cron is a simple cron-based job scheduler for PostgreSQL (9.5 or higher)
 that runs inside the database as an extension. It uses the same syntax as
 regular cron, but it allows you to schedule PostgreSQL commands directly from
 the database. pg_cron can run multiple jobs in parallel, but it runs at most
 one instance of a job at a time. If a second run is supposed to start before
 the first one finishes, then the second run is queued and started as soon as
 the first run completes.

Package: postgresql-12-cstore-fdw
Description-md5: 6655d9979587f726fe2955916a4fd105
Description-en: PostgreSQL foreign data wrapper for columnar storage
 Cstore_fdw is a columnar store extension for PostgreSQL, implemented as a
 foreign data wrapper (FDW). Columnar stores provide notable benefits for
 analytics use cases where data is loaded in batches. Cstore_fdw's columnar
 nature delivers performance by only reading relevant data from disk, and it
 may compress data 6x-10x to reduce space requirements for data archival.
 .
 This package is for PostgreSQL 12.

Package: postgresql-12-debversion
Description-md5: ef3470a77fc6dee20bcbcc33637f1fb1
Description-en: Debian version number type for PostgreSQL
 Debian version numbers, used to version Debian binary and source
 packages, have a defined format, including specifications for how
 versions should be compared in order to sort them.  This package
 implements a "debversion" type to represent Debian version numbers
 within the PostgreSQL database.  This also includes operators for
 version comparison and index operator classes for creating indexes on
 the debversion type.
 .
 Version comparison uses the algorithm used by the Debian package
 manager, dpkg, using the implementation from libapt-pkg.  This means
 that columns in tables using the debversion type may be sorted and
 compared correctly using the same logic as "dpkg --compare-versions".
 It is also possible to create indexes on these columns.
 .
 postgresql-debversion implements the following features:
 .
  * The "debversion" type (internally derived from the "text" type)
  * A full set of operators for version comparison (< <= = <> >= >)
    including commutator and negator optimisation hints
  * Operator classes for btree and hash indexes
  * The aggregate functions min() and max()

Package: postgresql-12-dirtyread
Description-md5: 39ad00b580d7bff9552d041959a7cfe6
Description-en: Read dead but unvacuumed tuples from a PostgreSQL relation
 The pg_dirtyread extension provides the ability to read dead but unvacuumed
 rows from a PostgreSQL relation.

Package: postgresql-12-first-last-agg
Description-md5: e00e33cbe3e7e6714c3a8245b92a9bdc
Description-en: PostgreSQL extension providing first and last aggregate functions
 first-last-agg is a simple extension providing two aggregate functions, last
 and first, operating on any element type and returning the last or the first
 value of the group.
 .
 Since by default the ordering inside groups created by a GROUP BY expression
 is not defined, it is advisable to use an ORDER BY clause inside the aggregate
 expression (see the aggregate function syntax).

Package: postgresql-12-hll
Description-md5: d86a4e66d73c3782c5fcad9d6c1575a2
Description-en: HyperLogLog extension for PostgreSQL
 This PostgreSQL module introduces a new data type `hll` which is a HyperLogLog
 data structure. HyperLogLog is a fixed-size, set-like structure used for
 distinct value counting with tunable precision. For example, in 1280 bytes
 `hll` can estimate the count of tens of billions of distinct values with only
 a few percent error.

Package: postgresql-12-hypopg
Description-md5: f821caa213798ec1c630c2c87fe106ea
Description-en: PostgreSQL extension adding support for hypothetical indexes.
 An hypothetical, or virtual, index is an index that doesn't really exists, and
 thus doesn't cost CPU, disk or any resource to create.  They're useful to know
 if specific indexes can increase performance for problematic queries, since
 you can know if PostgreSQL will use these indexes or not without having to
 spend resources to create them.

Package: postgresql-12-icu-ext
Description-md5: 8463b2bd74af639d49582ef7e2deddea
Description-en: PostgreSQL extension exposing functionality from the ICU library
 icu_ext is an extension to expose functionality from ICU to PostgreSQL
 applications.

Package: postgresql-12-ip4r
Description-md5: 2a3f1c9fb42ee92afafed3cda95f657b
Description-en: IPv4 and IPv6 types for PostgreSQL 12
 This PostgreSQL module provides several data types which can contain
 single IPv4 or IPv6 addresses or a range of such addresses.
 .
 ip4r supports these data types:
 .
  ip4:       IPv4 address '#.#.#.#'
  ip4r:      IPv4 range '#.#.#.#-#.#.#.#' or '#.#.#.#/#' or '#.#.#.#'
  ip6:       IPv6 address 'xxxx:xxxx:xxxx:xxxx:xxxx:xxxx:xxxx:xxxx'
  ip6r:      IPv6 range '#-#' or '#/#' or '#'
  ipaddress: IPv4 or IPv6 address
  iprange:   IPv4 or IPv6 range
 .
 While PostgreSQL already has built-in types 'inet' and 'cidr', the
 authors of this module found that they had a number of requirements
 that were not addressed by the built-in types.

Package: postgresql-12-jsquery
Description-md5: d3664e83743088f8d12aff1e481703d5
Description-en: PostgreSQL JSON query language with GIN indexing support
 JsQuery provides additional functionality for the PostgreSQL jsonb datatype,
 such as a simple and effective way to search in nested objects and arrays, and
 more comparison operators with index support. It does this by implementing a
 specialized search syntax, the @@ operator, and the jsquery type for search
 strings.

Package: postgresql-12-mimeo
Description-md5: aba3ab4c79244f645a265e7d1ebd1108
Description-en: specialized, per-table replication between PostgreSQL instances
 Mimeo is a PostgreSQL extension that provides specialized, per-table
 replication between PostgreSQL instances. It currently provides snapshot
 (whole table copy), incremental (based on an incrementing timestamp or id),
 and DML (inserts, updates and deletes) replication methods.

Package: postgresql-12-mysql-fdw
Description-md5: 309359dda35ef8cf9461d918c68dc225
Description-en: Postgres 12 Foreign Data Wrapper for MySQL
 postgresql-mysql-fdw is a PostgreSQL extension implementing a Foreign Data
 Wrapper (FDW) to access MySQL data from PostgreSQL.
 .
 This package is for PostgreSQL 12.

Package: postgresql-12-numeral
Description-md5: 01cfc68be209e7d75ce23537b6cdf688
Description-en: numeral datatypes for PostgreSQL
 This module provides numeric data types for PostgreSQL that use
 numerals (words instead of digits) for input and output.
 .
  * numeral: English numerals (one, two, three, four, ...),
    short scale (10^9 = trillion)
  * zahl: German numerals (eins, zwei, drei, vier, ...),
    long scale (10^9 = Milliarde)
  * roman: Roman numerals (I, II, III, IV, ...)

Package: postgresql-12-ogr-fdw
Description-md5: 5f6108f90edfb86f7c870551bc52f9e2
Description-en: PostgreSQL foreign data wrapper for OGR
 OGR is the vector half of the GDAL spatial data access library. It allows
 access to a large number of GIS data formats using a simple C API for data
 reading and writing. Since OGR exposes a simple table structure and PostgreSQL
 foreign data wrappers allow access to table structures, the fit seems pretty
 perfect.
 .
 This implementation currently has the following limitations:
  * Only non-spatial query restrictions are pushed down to the OGR driver.
    PostgreSQL foreign data wrappers support delegating portions of the SQL
    query to the underlying data source, in this case OGR. This implementation
    currently pushes down only non-spatial query restrictions, and only for the
    small subset of comparison operators (>, <, <=, >=, =) supported by OGR.
  * Spatial restrictions are not pushed down. OGR can handle basic bounding box
    restrictions and even (for some drivers) more explicit intersection
    restrictions, but those are not passed to the OGR driver yet.
  * OGR connections every time Rather than pooling OGR connections, each query
    makes (and disposes of) two new ones, which seems to be the largest
    performance drag at the moment for restricted (small) queries.
  * All columns are retrieved every time. PostgreSQL foreign data wrappers don't
    require all columns all the time, and some efficiencies can be gained by
    only requesting the columns needed to fulfill a query. This would be a
    minimal efficiency improvement, but can be removed given some development
    time, since the OGR API supports returning a subset of columns.

Package: postgresql-12-omnidb
Description-md5: f45190fa7f673304be6e628c997ff34d
Description-en: PostgreSQL PL/pgSQL debugger extension for OmniDB
 OmniDB is a web tool that simplifies database management focusing on
 interactivity, designed to be powerful and lightweight.
 .
 This package contains the PostgreSQL extension for interactive PL/pgSQL
 debugging in OmniDB.

Package: postgresql-12-orafce
Description-md5: debd97e6705982078a44740539f02121
Description-en: Oracle support functions for PostgreSQL 12
 This project implements some functions for compatibility with Oracle.
 The functionality was verified on Oracle 10g, and the module is
 useful for production work.

Package: postgresql-12-partman
Description-md5: a32974adcc83c288fa52995a76bfaf56
Description-en: PostgreSQL Partition Manager
 pg_partman is a PostgreSQL extension to create and manage both time-based and
 serial-based table partition sets. Sub-partitioning is also supported. Child
 table & trigger function creation is all managed by the extension itself.
 Tables with existing data can also have their data partitioned in easily
 managed smaller batches. Optional retention policy can automatically drop
 partitions no longer needed. A background worker (BGW) process is included to
 automatically run partition maintenance without the need of an external
 scheduler (cron, etc) in most cases.

Package: postgresql-12-periods
Description-md5: e63c84484b236c5241205542b9c562d3
Description-en: PERIODs and SYSTEM VERSIONING for PostgreSQL
 This extension attempts to recreate the behavior defined in SQL:2016
 (originally SQL:2011) around periods and tables with SYSTEM VERSIONING. The
 idea is to figure out all the rules that PostgreSQL would like to adopt (there
 are some details missing in the standard) and to allow earlier versions of
 PostgreSQL to simulate the behavior once the feature is finally integrated.

Package: postgresql-12-pg-checksums
Description-md5: 6967ceb77968b6c73d7b14ce05839ada
Description-en: Activate/deactivate/verify PostgreSQL data checksums
 Data checksums allow the PostgreSQL database server to identify I/O failures
 when reading data from storage. The checksums stored in the page header of
 each data page are compared to the computed checksum of the read data.
 .
 Data checksums need to be activated at instance creation time, all current
 versions of PostgreSQL including v11 do not allow activating (or deactivating)
 checksums afterwards.
 .
 pg_checksums can activate or deactivate data checksums as long at the database
 cluster is shutdown cleanly. Activating checksums requires all database blocks
 to be read and all page headers to be updated, so can take a long time on a
 large database. Deactivating checksums only requires the cluster control file
 to be updated so is quick.
 .
 In addition, pg_checksums can verify the checksums in an offline cluster.

Package: postgresql-12-pg-fact-loader
Description-md5: 4f800d74de3cb9e00add864dcc92b701
Description-en: Build fact tables asynchronously with Postgres
 Use queue tables to build fact tables asynchronously for PostgreSQL 12.

Package: postgresql-12-pg-qualstats
Description-md5: 5110285de5b20492efb46822cc4dfaa5
Description-en: PostgreSQL extension to gather statistics about predicates.
 This extensions tracks WHERE clauses predicates and JOIN predicates.
 Statistics will report whether the predicate was evaluated as an index scan or
 not, how many time the expression appeared, how many times the operator was
 executed and how filtering the expression is.  If pg_stat_statements is
 enabled, it can also track to which statements the predicate belongs.

Package: postgresql-12-pg-stat-kcache
Description-md5: b382a2f5c1a04f9c33485ae5b8f982bf
Description-en: PostgreSQL extension to gather per-query kernel statistics.
 Statistics gathered are reads and writes done out of the operating system
 cache, user and system CPU usage, context switches and all the other
 meaningful metrics returned by getrusage(2).  All those counters are
 aggregated per postgres role, database and normalized query identifier.

Package: postgresql-12-pgaudit
Description-md5: 68a25f9a2f28cb50daba2805c25fec39
Description-en: PostgreSQL Audit Extension
 The pgAudit extension provides detailed session and/or object audit logging
 via the standard PostgreSQL logging facility.
 .
 The goal of pgAudit is to provide PostgreSQL users with capability to produce
 audit logs often required to comply with government, financial, or ISO
 certifications.
 .
 An audit is an official inspection of an individual's or organization's
 accounts, typically by an independent body. The information gathered by
 pgAudit is properly called an audit trail or audit log.

Package: postgresql-12-pgextwlist
Description-md5: f58aff65967bfc3eddc04bdae86e695b
Description-en: PostgreSQL Extension Whitelisting
 This extension implements extension whitelisting, and will actively prevent
 users from installing extensions not in the provided list. Also, this
 extension implements a form of sudo facility in that the whitelisted
 extensions will get installed as if superuser. Privileges are dropped before
 handing the control back to the user.

Package: postgresql-12-pgfincore
Description-md5: 9e733b7fc48b0a8554e4a84f87b0292c
Description-en: set of PostgreSQL functions to manage blocks in memory
 Those functions let you know which and how many disk block from a relation
 are in the page cache of the operating system, and eventually write the
 result to a file. Then using this file, it is possible to restore the page
 cache state for each block of the relation.

Package: postgresql-12-pgl-ddl-deploy
Description-md5: 049b8978b5c1533df488cc1847509b5f
Description-en: Transparent DDL replication for PostgreSQL
 Automated DDL deployment using PgLogical for PostgreSQL 12.

Package: postgresql-12-pglogical
Description-md5: 89747981d1a34b9fdb08e4273681408b
Description-en: Logical Replication Extension for PostgreSQL
 The pglogical extension provides logical streaming replication between
 PostgreSQL instances, using a publish/subscribe model.  Contrary to the
 built-in streaming replication, pglogical can replicate between major versions
 or different architectures and is not restricted to replicate the entire
 instance but can selectively replicate arbitrary sets of tables.
 .
 Use-Cases include migrations and major-version upgrades, aggregation of
 several databases into a Data Warehouse, It utilises the Logical Decoding
 features available since PostgreSQL 9.4 working with low overhead on both
 provider and subscriber.

Package: postgresql-12-pglogical-ticker
Description-md5: 3947af9c4048a889e630aa211b57b840
Description-en: Have time-based replication delay for pglogical
 A pglogical extension to get time-based replication delay for PostgreSQL 12.

Package: postgresql-12-pgmemcache
Description-md5: 17588ad8096d2be814de0c2ec10b9819
Description-en: PostgreSQL interface to memcached
 pgmemcache is an extension for PostgreSQL that provides an API to
 memcached through user-defined functions.
 .
 memcached is a high-performance, distributed memory object caching
 system, generic in nature, but originally intended for use in
 speeding up dynamic web applications by alleviating database load.

Package: postgresql-12-pgmp
Description-md5: 7a39732d56b1db137e1111de26473ffe
Description-en: arbitrary precision integers and rationals for PostgreSQL 12
 pgmp is a PostgreSQL extension to add the GMP data types and functions
 directly into the database.
 .
 The extension adds the types mpz (arbitrary size integers) and mpq (arbitrary
 precision rationals) to PostgreSQL and exposes to the database all the
 functions available in the GMP library for these data types, allowing:
 .
  * higher performance arithmetic on integers respect to the numeric data type
  * a rational data type for absolute precision storage and arithmetic
  * using specialized functions to deal with prime numbers, random numbers,
    factorization directly into the database.

Package: postgresql-12-pgpool2
Description-md5: c7c0e7fd020a960711e9077a2b1a772d
Description-en: connection pool server and replication proxy for PostgreSQL - modules
 pgpool-II is a middleware that works between PostgreSQL servers and a
 PostgreSQL database client.  This package contains support modules for
 the PostgreSQL 12 server:
 .
  * pgpool_adm
  * pgpool_recovery (PostgreSQL 9.1 and above)
  * pgpool_regclass (PostgreSQL 9.1 and above)

Package: postgresql-12-pgq-node
Description-md5: 016676aa7ddbe7e042516d187d16b3c8
Description-en: Cascaded queueing on top of PgQ
 PgQ provides generic queues for PostgreSQL. It allows multiple queues in one
 database, each queue can be consumed by multiple consumers.
 .
 This package contains the pgq_node PostgreSQL extension that provides queue
 node registration and coordination for cascaded queueing.

Package: postgresql-12-pgq3
Description-md5: 7df59bda205663bcc6a153dec4c3f44f
Description-en: Generic queue for PostgreSQL
 This extension provides generic queues for PostgreSQL.
 .
 It allows multiple queues in one database, each queue can
 be consumed by multiple consumers.

Package: postgresql-12-pgrouting
Description-md5: 936c229477e07a30b7cd2650a71b3ead
Description-en: Routing functionality support for PostgreSQL/PostGIS
 pgRouting extends the PostGIS/PostgreSQL geospatial database to provide
 geospatial routing and other network analysis functionality.
 .
 This package supports PostgreSQL 12.

Package: postgresql-12-pgrouting-doc
Description-md5: a8d18212b7c8fc9f2da1c5661a9d1c02
Description-en: Routing functionality support for PostgreSQL/PostGIS (Documentation)
 pgRouting extends the PostGIS/PostgreSQL geospatial database to provide
 geospatial routing and other network analysis functionality.
 .
 This package contains the documentation.

Package: postgresql-12-pgrouting-scripts
Description-md5: a1209d821f3b009b501bd81ebc0cd07f
Description-en: Routing functionality support for PostgreSQL/PostGIS - SQL scripts
 pgRouting extends the PostGIS/PostgreSQL geospatial database to provide
 geospatial routing and other network analysis functionality.
 .
 This package contains the SQL scripts for installing pgRouting, and for
 upgrading from earlier pgRouting versions.
 .
 This package supports PostgreSQL 12.

Package: postgresql-12-pgtap
Description-md5: 84abc5f1b967e3800b496ef54080a37f
Description-en: Unit testing framework extension for PostgreSQL 12
 pgTAP is a suite of database functions that make it easy to write
 TAP-emitting unit tests in psql scripts suitable for harvesting,
 analysis, and reporting by a TAP harness, such as those used in Perl
 and PHP applications.
 .
 TAP is the Test Anything Protocol (http://testanything.org/).
 .
 This package contains the extension for PostgreSQL 12.

Package: postgresql-12-pldebugger
Description-md5: 9e75bff5cd495a23fd8c711ca4a0cbd3
Description-en: PostgreSQL pl/pgsql Debugger API
 This module is a set of shared libraries which implement an API for
 debugging pl/pgsql functions on PostgreSQL 8.4 and above. The pgAdmin
 project (http://www.pgadmin.org/) provides a client user interface as
 part of pgAdmin III v1.10.0 and above, and pgAdmin 4.

Package: postgresql-12-pllua
Description-md5: 3275f541392f82b30aaffcd3828f189c
Description-en: Lua procedural language for PostgreSQL 12
 PL/Lua is an implementation of Lua as a loadable procedural language for
 PostgreSQL: with PL/Lua you can use PostgreSQL functions and triggers
 written in the Lua programming language.
 .
 It brings the power and simplicity of Lua to PostgreSQL, including:
 small memory footprint, simple syntax, lexical scoping, functions as
 first-class values, and coroutines for non-preemptive threading.
 .
 This package is based on pllua-ng.

Package: postgresql-12-plproxy
Description-md5: c9a98e5cf486c5245574e6806f449479
Description-en: database partitioning system for PostgreSQL 12
 PL/Proxy is a database partitioning system implemented as a PL language. Main
 idea is that proxy functions are created with same signature as the remote
 functions to be called, so only destination info needs to be specified inside
 proxy function bodies.

Package: postgresql-12-plr
Description-md5: 6a964e0a721d2cb6428a8f9c8c0e52ae
Description-en: Procedural language interface between PostgreSQL and R
 R is a language and environment for statistical computing and graphics,
 providing a wide variety of statistical and graphical techniques (linear and
 nonlinear modelling, statistical tests, time series analysis, classification,
 clustering, and so on).
 .
 PostgreSQL is an open source SQL database server.
 .
 This package provides a procedural language interface to R from
 PostgreSQL. Procedural languages are used to write functions
 which can be called in database queries.

Package: postgresql-12-plsh
Description-md5: bed41377a73e79335876819a7fcbc134
Description-en: PL/sh procedural language for PostgreSQL 12
 PL/sh enables an SQL developer to write procedural language functions
 for PostgreSQL 12 in a shell of his choice.  You need this package
 if you have any PostgreSQL 12 functions that use the language plsh.
 .
 PostgreSQL is an object-relational SQL database management system.

Package: postgresql-12-postgis-3
Description-md5: b0e8ee3863c6881b491dccd7dbafc47c
Description-en: Geographic objects support for PostgreSQL 12
 PostGIS adds support for geographic objects to the PostgreSQL
 object-relational database. In effect, PostGIS "spatially enables"
 the PostgreSQL server, allowing it to be used as a backend spatial
 database for geographic information systems (GIS), much like ESRI's
 SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS
 "Simple Features Specification for SQL".
 .
 To create the PostGIS extensions in a PostgreSQL 12 database, the
 postgresql-12-postgis-3-scripts package must be installed as well.

Package: postgresql-12-postgis-3-scripts
Description-md5: d311c45ef876c455e8059e03f95a0989
Description-en: Geographic objects support for PostgreSQL 12 -- SQL scripts
 PostGIS adds support for geographic objects to the PostgreSQL
 object-relational database. In effect, PostGIS "spatially enables"
 the PostgreSQL server, allowing it to be used as a backend spatial
 database for geographic information systems (GIS), much like ESRI's
 SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS
 "Simple Features Specification for SQL".
 .
 This package contains the SQL scripts for installing PostGIS in a PostgreSQL
 12 database, and for upgrading from earlier PostGIS versions.

Package: postgresql-12-powa
Description-md5: 5a27df0f7db3f712ad8f08f740746e39
Description-en: PostgreSQL Workload Analyzer -- PostgreSQL 12 extension
 This package contains the core extension of the PoWA project, a PostgreSQL
 Workload Analyzer that gathers performance stats and provides real-time charts
 and graphs to help monitor and tune your PostgreSQL servers.

Package: postgresql-12-prefix
Description-md5: ef8894298a9eabf59628ac197059a3ac
Description-en: Prefix Range module for PostgreSQL
 This PostgreSQL module provides the prefix_range datatype, allowing to
 index searches such as finding the longest prefix matching a telephone
 number, having prefixes in a table and telephone number as a parameter:
 .
  select * from prefixes where prefix @> '0100091234';
 .
 The prefix column has to be of type prefix_range, and any text field
 can get indexed by the provided GiST opclass.

Package: postgresql-12-preprepare
Description-md5: 152cba00a569fd32c2f3e8eddd9d6cdd
Description-en: pre prepare your PostgreSQL statements server side
 The pre_prepare module for PostgreSQL aims to prepare all your statements as
 soon as possible and in a way that allows client queries not to bother at all
 and just call EXECUTE.

Package: postgresql-12-prioritize
Description-md5: 68c40bc61c85e2dd2ec3063f8f1aeace
Description-en: Get and set the nice priorities of PostgreSQL backends
 This module implements an interface to getpriority() and setpriority() for
 PostgreSQL backends, callable from SQL functions. Essentially, this module
 allows users to `renice' their backends.

Package: postgresql-12-python3-multicorn
Description-md5: f7001b7eada69a214af895c9c2b6d20f
Description-en: multicorn extension for Postgres 12 to write FDWs with python3
 Multicorn is a PostgreSQL extension meant to make Foreign Data
 Wrapper development easy, by allowing the programmer to use the
 Python programming language
 .
 This package is for PostgreSQL 12 and uses Python 3.

Package: postgresql-12-rational
Description-md5: 6eb6f67e8b7d0a2cbc299131d6c6907a
Description-en: Precise fractional arithmetic for PostgreSQL
 pg_rational implements precise fractional arithmetic for PostgreSQL. Perfect
 for exact arithmetic or user-specified table row ordering. Holds values as big
 as an integer, with matching precision in the denominator.
 .
 Features:
  * Stores fractions in exactly 64 bits (same size as float)
  * Written in C for high performance
  * Detects and halts arithmetic overflow for correctness
  * Uses native CPU instructions for fast overflow detection
  * Defers GCD calculation until requested or absolutely required
  * Supports btree and hash indices
  * Implements Stern-Brocot trees for finding intermediate points
  * Coercion from integer/bigint/tuple
  * Custom aggregate

Package: postgresql-12-rdkit
Description-md5: 2ddeeb620f18198f3074591c17143805
Description-en: Cheminformatics and machine-learning software (PostgreSQL Cartridge)
 RDKit is a Python/C++ based cheminformatics and machine-learning software
 environment.  Features Include:
 .
  * Chemical reaction handling and transforms
  * Substructure searching with SMARTS
  * Canonical SMILES
  * Molecule-molecule alignment
  * Large number of molecular descriptors, including topological,
    compositional, EState, SlogP/SMR, VSA and Feature-map vectors
  * Fragmentation using RECAP rules
  * 2D coordinate generation and depiction, including constrained depiction
  * 3D coordinate generation using geometry embedding
  * UFF and MMFF94 forcefields
  * Chirality support, including calculation of (R/S) stereochemistry codes
  * 2D pharmacophore searching
  * Fingerprinting, including Daylight-like, atom pairs, topological
    torsions, Morgan algorithm and MACCS keys
  * Calculation of shape similarity
  * Multi-molecule maximum common substructure
  * Machine-learning via clustering and information theory algorithms
  * Gasteiger-Marsili partial charge calculation
 .
 File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit
 binary format.
 .
 This package contains the PostgreSQL extension.

Package: postgresql-12-repack
Description-md5: 77f544bcfa5b9ecc32a9acd4a49ee67e
Description-en: reorganize tables in PostgreSQL databases with minimal locks
 pg_repack is a PostgreSQL extension which lets you remove bloat from tables
 and indexes, and optionally restore the physical order of clustered indexes.
 Unlike CLUSTER and VACUUM FULL it works online, without holding an exclusive
 lock on the processed tables during processing. pg_repack is efficient to
 boot, with performance comparable to using CLUSTER directly.
 .
 This package contains the pg_repack program and the server extension for
 PostgreSQL 12.

Package: postgresql-12-repmgr
Description-md5: 24a9c0a49fcd923ca3716736812638dc
Description-en: replication manager for PostgreSQL 12
 repmgr is an open-source tool suite for managing replication and failover in a
 cluster of PostgreSQL servers. It enhances PostgreSQL's built-in hot-standby
 capabilities with tools to set up standby servers, monitor replication, and
 perform administrative tasks such as failover or manual switchover operations.
 .
 This package contains repmgr for PostgreSQL 12.

Package: postgresql-12-rum
Description-md5: b7dba2c6afb67ab874f4efb53b54803d
Description-en: PostgreSQL RUM access method
 This PostgreSQL extension provides the RUM access method, an inverted index
 with additional information in posting lists.

Package: postgresql-12-similarity
Description-md5: 016915125f3f037a27ce1e3311d2fc9c
Description-en: PostgreSQL similarity functions extension
 pg_similarity is an extension to support similarity queries on PostgreSQL.
 The extension consists of:
 .
  * Functions implementing similarity algorithms available in the literature.
    These functions can be used as UDFs and are the base for implementing
    the similarity operators;
  * Operators defined on top of the similarity functions. They use similarity
    functions to obtain the similarity threshold and compare its value to a
    user-defined threshold to decide if it is a match or not;
  * Session variables storing similarity function parameters.

Package: postgresql-12-slony1-2
Description-md5: 6a50b8f0464fdbd727e956048aaea34f
Description-en: replication system for PostgreSQL: PostgreSQL 12 server plug-in
 Slony-I is an asynchronous master-to-multiple-slaves replication system
 for PostgreSQL with cascading and slave promotion.
 .
 This package contains the support functions that are loaded into the
 PostgreSQL database server.  It needs to be installed on the hosts where
 the database server nodes are running.  This package works with version
 12 of the PostgreSQL server; you need the package that corresponds to
 the version of your database server.
 .
 The actual replication daemon and the administration tools are in the
 package slony1-2-bin.  This package is useless without slony1-2-bin installed
 somewhere in the network.

Package: postgresql-12-snakeoil
Description-md5: 8cd2fd1a5fb69b47b2ec6bc17f7dcd91
Description-en: PostgreSQL anti-virus scanner based on ClamAV
 pg_snakeoil provides functions scanning PostgreSQL data for viruses using the
 ClamAV anti-virus engine.

Package: postgresql-12-toastinfo
Description-md5: 900f06e1c1c7111843f86a6dc281c76e
Description-en: Show storage structure of varlena datatypes in PostgreSQL
 This PostgreSQL extension exposes the internal storage structure of
 variable-length datatypes, called varlena.
 .
 The function pg_toastinfo describes the storage form of a datum:
 .
  * null for NULLs
  * ordinary for non-varlena datatypes
  * short inline varlena for varlena values up to 126 bytes (1 byte header)
  * long inline varlena, (un)compressed for varlena values up to 1GiB
    (4 bytes header)
  * toasted varlena, (un)compressed for varlena values up to 1GiB stored in
    TOAST tables
 .
 The function pg_toastpointer returns a varlena's chunk_id oid in the
 corresponding TOAST table. It returns NULL on non-varlena input.

Package: postgresql-12-unit
Description-md5: f2b3a0cb957e1d7205d6a846e7058e47
Description-en: SI Units for PostgreSQL
 postgresql-unit implements a PostgreSQL datatype for SI units, plus byte. The
 base units can be combined to named and unnamed derived units using operators
 defined in the PostgreSQL type system. SI prefixes are used for input and
 output, and quantities can be converted to arbitrary scale.

Package: postgresql-12-wal2json
Description-md5: ed84e1375e8e3eddb45720de3aff7187
Description-en: PostgreSQL logical decoding JSON output plugin
 wal2json is an output plugin for PostgreSQL's logical decoding. The plugin has
 access to tuples produced by INSERT and UPDATE. Also, UPDATE/DELETE old row
 versions can be accessed depending on the configured replica identity. Changes
 can be consumed using the streaming protocol (logical replication slots) or by
 a special SQL API.
 .
 The wal2json output plugin produces a JSON object per transaction. All of the
 new/old tuples are available in the JSON object. Also, there are options to
 include properties such as transaction timestamp, schema-qualified, data
 types, and transaction ids.

Package: postgresql-all
Description-md5: 9a0aaba975f82ef14ff2e821d8683975
Description-en: metapackage depending on all PostgreSQL server packages
 This metapackage depends on all PostgreSQL server packages, in all
 supported versions (excluding documentation and debug symbols). It
 exists to facilitate depending on the server packages in test
 environments.
 .
 PostgreSQL is a fully featured object-relational database management
 system.  It supports a large part of the SQL standard and is designed
 to be extensible by users in many aspects.  Some of the features are:
 ACID transactions, foreign keys, views, sequences, subqueries,
 triggers, user-defined types and functions, outer joins, multiversion
 concurrency control.  Graphical user interfaces and bindings for many
 programming languages are available as well.

Package: postgresql-autodoc
Description-md5: 1fc5a111b620be2b563064cb2d3f4ab7
Description-en: Utility to create a PostgreSQL database schema overview in HTML, DOT and XML
 This is a utility which will run through PostgreSQL tables and returns HTML,
 DOT, and 2 styles of XML which describes the database.
 .
 The HTML is human readable (via webbrowser). The first style of XML is
 actually the fileformat of Dia, a UML diagram tool. The second type of XML is
 similar to the HTML but in the Docbook 4 format. It enables you to mix in
 other docbook documentation via the XREFs, generating PDFs, HTML, RTF, or
 other formatted documents. Between these tools and JavaDoc with the
 appropriate XREFs, documentation about a project can be generated quickly and
 be easily updatable yet have a very professional look with some DSSSL work.

Package: postgresql-comparator
Description-md5: b1b8d4591c76cd134f2441c3182def04
Description-en: efficient PostgreSQL table content comparison and synchronization
 This script performs a network and time efficient comparison or
 synchronization of two possibly large tables on two PostgreSQL
 database servers, so as to detect inserted, updated or deleted tuples between
 these tables.

Package: postgresql-filedump
Description-md5: 435e1299c3be6771eabee5f23066fa55
Description-en: Dump PostgreSQL files in human-readable form
 pg_filedump is a utility to format PostgreSQL heap/index/control files in
 human-readable form.

Package: postgresql-pgsphere
Description-md5: b5b790b44b5c5fd7b856da395cfb12f9
Description-en: Spherical data types for PostgreSQL
 PgSphere, an extension for PostgreSQL, aims at providing uniform
 access to spherical data. It allows for a fast search and analysis for
 objects with spherical attributes in geographical, astronomical, or
 other applications using PostgreSQL.
 .
 By using an SQL interface, PgSphere's users can conveniently manage
 data of geographical objects around the world and astronomical data
 collections like star and other catalogues.

Package: postgresql-plperl-12
Description-md5: 23a60fc50cb29561c634b4de51c47bfd
Description-en: PL/Perl procedural language for PostgreSQL 12
 PL/Perl enables an SQL developer to write procedural language functions
 for PostgreSQL 12 in Perl.  You need this package if you have any
 PostgreSQL 12 functions that use the languages plperl or plperlu.
 .
 PostgreSQL is an object-relational SQL database management system.

Package: postgresql-plpython3-12
Description-md5: aed30f8b861a2fbb00d2628d1fea8825
Description-en: PL/Python 3 procedural language for PostgreSQL 12
 PL/Python 3 enables an SQL developer to write procedural language functions
 for PostgreSQL 12 in Python 3.  You need this package if you have any
 PostgreSQL 12 functions that use the languages plpython3 or plpython3u.
 .
 PostgreSQL is an object-relational SQL database management system.

Package: postgresql-pltcl-12
Description-md5: 6227be4f75c68939333f8cde0c9c4994
Description-en: PL/Tcl procedural language for PostgreSQL 12
 PL/Tcl enables an SQL developer to write procedural language functions
 for PostgreSQL 12 in Tcl.  You need this package if you have any
 PostgreSQL 12 functions that use the languages pltcl or pltclu.
 .
 PostgreSQL is an object-relational SQL database management system.

Package: postgresql-q3c
Description-md5: d89d9a4a260199137be203b2078c57da
Description-en: PostgreSQL extension used for indexing the sky
 Q3C, an extension for PostgreSQL, is designed for the work with large
 astronomical catalogues or any catalogs of objects on the sphere.
 .
 This extension allows a user to perform fast circular, elliptical or
 polygonal searches on the sky as well as fast cross-matches.

Package: postgresql-server-dev-12
Description-md5: 20f8781727d34ca97cd31bd7e9ae37af
Description-en: development files for PostgreSQL 12 server-side programming
 Header files for compiling SSI code to link into PostgreSQL's backend; for
 example, for C functions to be called from SQL.
 .
 This package also contains the Makefiles necessary for building add-on
 modules of PostgreSQL, which would otherwise have to be built in the
 PostgreSQL source-code tree.
 .
 PostgreSQL is an object-relational SQL database management system.

Package: postgresql-server-dev-all
Description-md5: 27ac3f69789728b5b5444cc5ee5cf4dc
Description-en: extension build tool for multiple PostgreSQL versions
 The postgresql-server-dev-all package provides the pg_buildext script for
 simplifying packaging of a PostgreSQL extension supporting multiple major
 versions of the product, and dh_make_pgxs which builds a template debian/
 source package directory based on pg_buildext.
 .
 PostgreSQL is a fully featured object-relational database management
 system. It supports a large part of the SQL standard and is designed
 to be extensible by users in many aspects. Its features include ACID
 transactions, foreign keys, views, sequences, subqueries, triggers,
 outer joins, multiversion concurrency control, and user-defined types
 and functions.

Package: postgrey
Description-md5: 441f663c8b671c35a058be05ae5b4e09
Description-en: greylisting implementation for Postfix
 This package provides a policy server for Postfix to implement "greylisting".
 .
 Greylisting is a spam filtering method that rejects email from external
 servers on the first try. Spammers don't usually retry sending their
 messages, whereas legitimate mail servers do.
 .
 While Postgrey is designed for use with Postfix, it can also be used
 with Exim.

Package: postmark
Description-md5: 2ec4caba691d107084b3dd174767661b
Description-en: File system benchmark from NetApp
 Benchmark that's based around small file operations similar to those used on
 large mail servers and news servers.  Has been ported to NT so should be good
 for comparing OSs. "Postmark: a new file system benchmark", Jeffrey Katcher,
 Network Appliance Technical Report TR-3022, October 1997

Package: postsrsd
Description-md5: b4204ba9bc0a53ee2268fd6b4cd333f9
Description-en: Sender Rewriting Scheme (SRS) lookup table for Postfix
 PostSRSd provides Sender Rewriting Scheme (SRS) support for Postfix via
 TCP-based lookup tables. SRS is needed if your mail server acts as a forwarder,
 and the mail originates from a server with Sender Policy Framework (SPF)
 enabled.

Package: potool
Description-md5: 53a28ebc535500bdecfc1b2876b2df05
Description-en: program to aid manipulation of gettext po files
 This package contains the filter program 'potool', as well
 as a few helper scripts:
  poedit  - helps editing of po files in your favourite editor
  postats - prints statistics of how much of a file is translated

Package: potrace
Description-md5: dbd3417ca728263b1d9ddb25c6233224
Description-en: utility to transform bitmaps into vector graphics
 potrace is a utility for tracing a bitmap, which means, transforming
 a bitmap into a smooth, scalable image. The input is a bitmap (PBM, PGM,
 PPM, or BMP format), and the default output is an encapsulated PostScript
 file (EPS). A typical use is to create EPS files from scanned data, such as
 company or university logos, handwritten notes, etc. The resulting image is
 not "jaggy" like a bitmap, but smooth. It can then be rendered at any
 resolution.
 .
 Potrace can currently produce the following output formats: EPS,
 PostScript, PDF, SVG (scalable vector graphics), DXF, GeoJSON, PGM
 (for easy antialiasing of pixel-based images), Gimppath, and
 XFig. Additional backends might be added in the future.

Package: pound
Description-md5: a54468f885fe9398bd2a5de60e5b9b58
Description-en: reverse proxy, load balancer and HTTPS front-end for Web servers
 Pound was developed to enable distributing the load among several Web-servers
 and to allow for a convenient SSL wrapper for those Web servers that do not
 offer it natively.
 .
 Pound can also issue HTTP redirects.

Package: povray
Description-md5: 1955185be2ce9918236f80d9162051ec
Description-en: Persistence of vision raytracer (3D renderer)
 POV-Ray is a full-featured ray tracer. Ray tracers simulate objects
 and light sources of the real world to calculate photorealistic, computer
 generated images. Because of the nature of ray tracing, this process is
 quite CPU-intensive, at the benefit of more realistic images compared to
 real time rendering techniques. For example, in POV-Ray, you can model a
 glass prism, and you will see a spectrum in the resulting image.
 .
 POV-Ray by itself is a command-line utility that will take scene
 descriptions, written in a special easy-to-understand language, to
 produce ray-traced images (or even a sequence of images, for animations).
 You can either write those scene-descriptions by hand, or use external
 tools to generate (parts of) the scene.
 .
 povray-includes is highly recommended in addition to this package.

Package: povray-doc
Description-md5: e103ee73ba6e9df36f72c2e5a7bb7937
Description-en: Persistence of vision raytracer (3D renderer) documentation
 POV-Ray is a full-featured ray tracer. Ray tracers simulate objects
 and light sources of the real world to calculate photorealistic, computer
 generated images. Because of the nature of ray tracing, this process is
 quite CPU-intensive, at the benefit of more realistic images compared to
 real time rendering techniques. For example, in POV-Ray, you can model a
 glass prism, and you will see a spectrum in the resulting image.
 .
 POV-Ray by itself is a command-line utility that will take scene
 descriptions, written in a special easy-to-understand language, to
 produce ray-traced images (or even a sequence of images, for animations).
 You can either write those scene-descriptions by hand, or use external
 tools to generate (parts of) the scene.
 .
 This package contains the full POV-Ray manual.

Package: povray-examples
Description-md5: 0fd010f2c5398de9711e51edb4e67faa
Description-en: Persistence of vision raytracer (3D renderer) sample files
 POV-Ray is a full-featured ray tracer. Ray tracers simulate objects
 and light sources of the real world to calculate photorealistic, computer
 generated images. Because of the nature of ray tracing, this process is
 quite CPU-intensive, at the benefit of more realistic images compared to
 real time rendering techniques. For example, in POV-Ray, you can model a
 glass prism, and you will see a spectrum in the resulting image.
 .
 POV-Ray by itself is a command-line utility that will take scene
 descriptions, written in a special easy-to-understand language, to
 produce ray-traced images (or even a sequence of images, for animations).
 You can either write those scene-descriptions by hand, or use external
 tools to generate (parts of) the scene.
 .
 This package contains sample files.

Package: povray-includes
Description-md5: 118aab2b055caae376bcf5c42cf125f9
Description-en: Persistence of vision raytracer (3D renderer) include files
 POV-Ray is a full-featured ray tracer. Ray tracers simulate objects
 and light sources of the real world to calculate photorealistic, computer
 generated images. Because of the nature of ray tracing, this process is
 quite CPU-intensive, at the benefit of more realistic images compared to
 real time rendering techniques. For example, in POV-Ray, you can model a
 glass prism, and you will see a spectrum in the resulting image.
 .
 POV-Ray by itself is a command-line utility that will take scene
 descriptions, written in a special easy-to-understand language, to
 produce ray-traced images (or even a sequence of images, for animations).
 You can either write those scene-descriptions by hand, or use external
 tools to generate (parts of) the scene.
 .
 This package contains architecture independent include files.

Package: power-calibrate
Description-md5: 81490f4a5066857ac2ac9316598346f1
Description-en: processor power calibration tool
 Power-calibrate calibrates the power consumption of a mobile device that
 has a battery power source or of an modern Intel device has RAPL support.
 It will attempt to calculate the power usage of 1% of CPU utilisation
 (and 1 instruction and 1 cpu cycle if perf is available).

Package: powercap-utils
Description-md5: 7b7c71eb50b455ca4ec74ec12ea4adc6
Description-en: Utilities for accessing the powercap Linux kernel feature
 This package contains utilities for accessing the powercap Linux kernel
 feature through sysfs. Specifically, it provides powercap-info and powercap-
 set for generic access to powercap control types, and rapl-info and rapl-
 set for managing Intel RAPL implementations.

Package: powerdebug
Description-md5: ea46c0ef1801e5ca0f7a37b3a2b73f0a
Description-en: tool to display regulator, sensor and clock information
 PowerDebug is a tool to display regulator, sensor and clock information.
 Data is refreshed every few seconds. There is also dump option to display
 the information just once.
 .
 Tool is mostly useful on non-x86 platforms.

Package: powerdevil
Description-md5: 83f9eb6cecda6e44037ccbe8e194cd93
Description-en: Global power saver settings.
 Power Devil allows you to define your all power settings.

Package: powerdevil-data
Description-md5: 58be04a338ca09abcf6d7c3a63fd716b
Description-en: Global power saver settings data files.
 Power Devil allows you to define your all power settings.

Package: powerdevil-dev
Description-md5: 5757db49c9f4842441035152f146a603
Description-en: Global power saver settings.
 Power Devil allows you to define your all power settings.
 .
 This package contains the development files.

Package: powerline
Description-md5: 3d51644f102a622f93ac123f4d229abf
Description-en: prompt and statusline utility
 Powerline is a statusline plugin for vim, and provides statuslines and prompts
 for several other applications, including zsh, bash, tmux, IPython, Awesome and
 Qtile.

Package: powerline-doc
Description-md5: 531c87e92c397e2015d069f175f74577
Description-en: prompt and statusline utility (documentation)
 Powerline is a statusline plugin for vim, and provides statuslines and prompts
 for several other applications, including zsh, bash, tmux, IPython, Awesome and
 Qtile.
 .
 This package contains the HTML documentation, incorporates instructions on how
 to customize Powerline for various applications.

Package: powerline-gitstatus
Description-md5: be1088661545a7a1c5cd76bcdf02c9b8
Description-en: Powerline Git segment
 Powerline is a statusline plugin for vim, and provides statuslines and
 prompts for several other applications, including zsh, bash, tmux,
 IPython, Awesome and Qtile.
 .
 This package contains the configuration handling for powerline.

Package: powerman
Description-md5: 233d24b256c156dd1a8a01638c615bf2
Description-en: Centralized Power Distribution Unit (PDU) management
 PowerMan is a tool for manipulating Power Distribution Units (PDUs) from a
 central location. It is suitable for remote operation in data centers or
 compute cluster environment.
 .
 Several RPC varieties are supported natively by PowerMan and
 Expect-like configurability simplifies the addition of new devices.
 .
 This package contains the core system, and includes support for Genders, HTTP
 devices and NCurses user interface.

Package: powermanagement-interface
Description-md5: 643bb390a2ac2bcf0c7c40e622ab40a5
Description-en: platform neutral powermanagement interface
 Provides an abstracted layer above the platform specific power management
 interfaces, with a consistent API so that higher level tools can interact
 with those interfaces.

Package: powermanga
Description-md5: c26dbbdb58a9d236c3cede10eb9efe76
Description-en: vertical shoot 'em up with colourful 3D graphics
 Powermanga is a shoot 'em up with 60 different enemies, 40 meteors, numerous
 weapons, many end of level baddies, spaceship power-ups and lots of other
 surprises.

Package: powermanga-data
Description-md5: d83df5c11fee81f43cee1ee1fb92b342
Description-en: graphics and audio data for powermanga
 Powermanga is a shoot 'em up with 60 different enemies, 40 meteors, numerous
 weapons, many end of level baddies, spaceship power-ups and lots of other
 surprises.
 .
 This package contains the architecture-independent data for powermanga. For
 more information, see the powermanga package.

Package: powerstat
Description-md5: 5f83f45185c37210ab7436f38365f7f2
Description-en: laptop power measuring tool
 Powerstat measures the power consumption of a mobile PC that has
 a battery power source.  The output is like vmstat but also shows
 power consumption statistics.  At the end of a run, powerstat
 will calculate the average, standard deviation and min/max of
 the gathered data.

Package: powertop-1.13
Description-md5: 90c5d5a996dbde0e23a413a6aedf18a6
Description-en: Linux tool to find out what is using power on a laptop
 PowerTOP is a Linux tool that finds the software component(s) that
 make your laptop use more power than necessary while it is idle. As of
 Linux kernel version 2.6.21, the kernel no longer has a fixed 1000Hz
 timer tick. This will (in theory) give a huge power savings because
 the CPU stays in low power mode for longer periods of time during
 system idle.
 .
 However... there are many things that can ruin the party, both inside
 the kernel and in userspace. PowerTOP combines various sources of
 information from the kernel into one convenient screen so that you can
 see how well your system is doing, and which components are the
 biggest problem.

Package: poxml
Description-md5: 2ea6ef7ec3fbb8ba316b75ba53436f46
Description-en: tools for translating DocBook XML files with Gettext
 This is a collection of tools that facilitate translating DocBook XML
 files using Gettext message files (PO files).
 .
 Also included are several command-line utilities for manipulating DocBook XML
 files, PO files and PO template files.
 .
 This package is part of the KDE Software Development Kit module.

Package: pp-popularity-contest
Description-md5: b9f0893b9fc722006e4bc438fe11b527
Description-en: PredictProtein popularity contest
 The pp-popularity-contest package sets up a cron job that periodically
 submits the developers anonymous statistics on the usage of Rost Lab
 prediction methods installed on this system. The installation of this
 package is completely voluntary (though recommended): there is no
 difference in the performance or functionality of the prediction methods.
 .
 The information gathered helps making decisions like which packages
 should receive higher priority when fixing bugs or receive additional
 resources for further development and support.
 .
 This information is also very important when the Rost Lab applies for
 funding. Traditionally, these prediction methods are made available on
 the PredictProtein website, granting perfect statistics. The distribution
 as part of the Debian+Ubuntu Linux distributions shall increase the
 user base and allow for additional workflows to serve the scientific
 community better. Still, this is an experiment. It is only the statistics
 gathered through this package that grants a justification to the group
 and its funding agencies for the additional investments needed for
 this distribution channel and the potential drop in the usage of the
 PredictProtein website.

Package: ppa-purge
Description-md5: 66767bccc660fecbf8c9163bc5b79908
Description-en: disables a PPA and reverts to official packages
 This program disables a PPA from your Software Sources and reverts your
 system back to the official Ubuntu packages. You can use this to return your
 system to normal after testing a new version from a PPA.

Package: ppdfilt
Description-md5: fca583af4e18b78aee91743a3ebdb6d8
Description-en: filter that inserts printer specific commands into print jobs
 ppdfilt is a filter program designed to be used within a filter
 script or from the command line tool to insert printer specific
 commands to a PostScript print job. This can be used to tell the printer
 to duplex or staple the print job, or tell it what paper tray to draw
 paper from. In the GNULpr printing environment, users do not call ppdfilt
 directly, but its features are accessed by using 'lpr' or 'gpr' (see)

Package: ppl-dev
Description-md5: c3e473b9c4ef451e4f19dc97f6d27453
Description-en: Parma Polyhedra Library (development binaries)
 The Parma Polyhedra Library (PPL) is a C++ library for the
 manipulation of (not necessarily closed) convex polyhedra and other
 numerical abstractions.  The applications of convex polyhedra include
 program analysis, optimized compilation, integer and combinatorial
 optimization and statistical data-editing.  The Parma Polyhedra
 Library is user friendly (you write `x + 2*y + 5*z <= 7' when you
 mean it), fully dynamic (available virtual memory is the only
 limitation to the dimension of anything), written in standard C++,
 exception-safe, rather efficient and thoroughly documented.
 .
 This package provides the ppl-config binary.

Package: ppp-gatekeeper
Description-md5: d8c361e19ebae6a819a8809f8df54db9
Description-en: PPP manager for handling balanced, redundant and failover links
 PPP Gatekeeper is a daemon that manages PPPOE connections supporting
 various levels of redundancy and failover.
 .
 Traffic can be routed using round-robin and random strategies over links
 of similar priority. Static IP route lists can also be provided for specific
 routing purposes. DNS can also be load balanced over multiple links.

Package: pppdcapiplugin
Description-md5: 65a2f4c9694574394af4c964156a57be
Description-en: ISDN utilities - pppd plug-in for CAPI support
 Some ISDN cards can be used by CAPI utilities - for instance the AVM
 passive cards. This package provides a plug-in for the regular PPP
 daemon to drive such cards via CAPI.

Package: pppoe
Description-md5: b3d04783084fc7cdb649ce3379a14704
Description-en: PPP over Ethernet driver
 PPP over Ethernet (PPPoE) is a protocol used by
 many ADSL Internet service providers. This package allows
 you to connect to those PPPoE service providers.

Package: pppoeconf
Description-md5: b2f6333ac88113a7e9b6a150f4f3dc49
Description-en: configures PPPoE/ADSL connections
 User-friendly tool for initial configuration of a DSL (PPPoE) connection.

Package: pps-tools
Description-md5: 46812d461b1f21479ea487afafa924d4
Description-en: LinuxPPS support tools and headers
 This package includes the necessary headers for using LinuxPPS PPSAPI
 kernel interface in user-space applications and several support tools:
  * ppstest: PPSAPI interface tester
  * ppsldisc: setup correct RS232 line discipline
  * ppswatch: continuously print PPS timestamps
  * ppsctl: PPS device manager
  * ppsfind: find pps device by name

Package: ppsh
Description-md5: 4e4de5c5c9e160bb8eed34a684812e1f
Description-en: Program to parse and pretty print arbitrary Haskell Show output
 The ppsh program takes the output of an arbitrary Haskell Show output on
 stdin and pretty prints it to stdout. It uses the library provided in the
 libghc-pretty-show-dev package to parse the input and pretty print the
 output.

Package: ppxfind
Description-md5: 95e79ce9f27d0659e76ee74beeea61f7
Description-en: tool combining ocamlfind and ppx
 Ppxfind is a small command line tool that allow to apply ppx
 rewriters installed on the system on a file. It supports both new
 style ppx rewriters (driverised) and old styles ones.

Package: pqiv
Description-md5: bc034271687abcf1168ebf3ce8d62b24
Description-en: Powerful image viewer with minimal UI
 pqiv is a powerful GTK 3 based command-line image viewer with a minimal UI. It
 is highly customizable, can be fully controlled from scripts, and has support
 for various file formats including PDF, Postscript, video files and archives.
 It is optimized to be quick and responsive.
 .
 It comes with support for animations, slideshows, transparency, VIM-like key
 bindings, automated loading of new images as they appear, external image
 filters, image preloading, and much more.
 .
 pqiv started as a Python rewrite of qiv avoiding imlib, but evolved into a
 much more powerful tool. Today, pqiv stands for powerful quick image viewer.

Package: pr3287
Description-md5: 276016beadabd056a4039c7cb78bbb03
Description-en: IBM 3287 printer emulation for telnet sessions to IBM mainframes
 pr3287 opens a telnet connection to an IBM mainframe host and emulates an
 IBM 3287 printer.
 .
 pr3278 implements RFCs 2355 (TN3270E), 1576 (TN3270),
 and 1646 (LU name selection).

Package: praat
Description-md5: 23727ffe44584d3f5e0e6d37fcc6273c
Description-en: program for speech analysis and synthesis
 According to its authors, praat is "doing phonetics by computer".  Through
 its graphical interface, several speech analysis functionalities are
 available: spectrograms, cochleograms, and pitch and formant
 extraction.  Articulatory synthesis, as well as synthesis from pitch,
 formant, and intensity are also available.  Other features are
 segmentation, labelling using the phonetic alphabet, and computation of
 statistics.  Praat is configurable and extensible through its own scripting
 language and has provisions for communicating with other programs.

Package: prads
Description-md5: a27b7f1d3d8f4686fb74663f37844413
Description-en: Passive Real-time Asset Detection System
 PRADS is a Passive Real-time Asset Detection System.
 .
 PRADS employs digital fingerprints to recognize services on the wire,
 and can be used to map your network and monitor for changes in real
 time.
 .
 Real-time passive traffic analysis will also let you detect assets
 that are just connected to the network for a short period of time,
 since PRADS can glean useful information from every packet.
 .
 PRADS aims to be the one-stop-shop for passive asset detection, and
 currently does MAC lookups, TCP and UDP OS fingerprinting as well as
 client and service application matching and a connection state table.
 Various output plugins include logfile and FIFO and make PRADS a
 useful replacement for p0f, pads and sancp.
 .
 PRADS was built from the ground up for a small footprint and modern
 networks with IPv6 and gigabits of throughput.

Package: praelector
Description-md5: 6341f40ae560783cf29388b08c5a0395
Description-en: helps one to read a Latin phrase in a "natural" way
 William G. Hale (1849-1928) explained in "The Art Of Reading Latin"
 principles of such a "natural" way of reading Latin sentences, which is
 radically different of the way of reading current modern languages.

Package: pragha
Description-md5: 8d6a79bea38f68b25ec72d176cc4c684
Description-en: Lightweight Music Player
 Pragha is a Lightweight Music Player for GNU/Linux, based on Gtk, sqlite,
 and completely written in C, constructed to be fast, light, and
 simultaneously tries to be complete without obstructing the daily work.

Package: prank
Description-md5: 81d40a4b23a326a689d9cc8359a09fb6
Description-en: Probabilistic Alignment Kit for DNA, codon and amino-acid sequences
 PRANK is a probabilistic multiple alignment program for DNA, codon
 and amino-acid sequences. It's based on a novel algorithm that treats
 insertions correctly and avoids over-estimation of the number of
 deletion events. In addition, PRANK borrows ideas from maximum
 likelihood methods used in phylogenetics and correctly takes into
 account the evolutionary distances between sequences. Lastly, PRANK
 allows for defining a potential structure for sequences to be aligned
 and then, simultaneously with the alignment, predicts the locations
 of structural units in the sequences.
 .
 PRANK is a command-line program for UNIX-style environments but the
 same sequence alignment engine is implemented in the graphical
 program PRANKSTER. In addition to providing a user-friendly interface
 to those not familiar with Unix systems, PRANKSTER is an alignment
 browser for alignments saved in the HSAML format. The novel format
 allows for storing all the information generated by the aligner and
 the alignment browser is a convenient way to analyse and manipulate
 the data.
 .
 PRANK aims at an evolutionarily correct sequence alignment and often
 the result looks different from ones generated with other alignment
 methods. There are, however, cases where the different look is caused
 by violations of the method's assumptions. To understand why things
 may go wrong and how to avoid that, read this explanation of
 differences between PRANK and traditional progressive alignment
 methods.

Package: praw-doc
Description-md5: 31b604c1ab64a530520c1c4f3bc359bc
Description-en: Python Reddit API Wrapper (Documentation)
 PRAW, an acronym for "Python Reddit API Wrapper", is a Python module that
 allows for simple access to Reddit's API. PRAW aims to be as easy to use as
 possible and is designed to follow all of Reddit's API rules.
 .
 This package provides documentation and tests for PRAW.

Package: prayer
Description-md5: 41a54753720f9bd5eab055bf59168db0
Description-en: standalone IMAP-based webmail server
 Prayer is yet another Webmail interface.
 .
 It is unusual in that it is a complete, standalone, HTTP server and proxy
 rather a Apache/mod_php plugin. Prayer maintains persistent connections to
 the IMAP server and is written entirely in C. Consequently it is much
 faster than most open source Webmail interfaces and puts very little load
 on either the machines running the Web server or the backend IMAP servers
 (even if traditional Unix format mailfolders are in use).
 .
 Prayer has very few external dependencies as user preferences are stored on
 the IMAP server rather than in a SQL database. It does however implement a
 full range of features and user preference settings.

Package: prayer-accountd
Description-md5: 1ea1098cf91e0a6b456fc58b77063c86
Description-en: account management daemon for Prayer
 Prayer is yet another Webmail interface.
 .
 It is unusual in that it is a complete, standalone, HTTP server and proxy
 rather a Apache/mod_php plugin. Prayer maintains persistent connections to
 the IMAP server and is written entirely in C. Consequently it is much
 faster than most open source Webmail interfaces and puts very little load
 on either the machines running the Web server or the backend IMAP servers
 (even if traditional Unix format mailfolders are in use).
 .
 This package contains a daemon that can do the following for users:
 .
  * Change passwords
  * Change their full name
  * Manage mail filtering (requires Exim) and forwarding
  * Manage vacation messages and logs
 .
 NOTE: This package is currently not very useful.

Package: prayer-templates-dev
Description-md5: 651fa3da91548436fc764e1873fc3d49
Description-en: tools for compiling Prayer templates
 Prayer is yet another Webmail interface.
 .
 It is unusual in that it is a complete, standalone, HTTP server and proxy
 rather a Apache/mod_php plugin. Prayer maintains persistent connections to
 the IMAP server and is written entirely in C. Consequently it is much
 faster than most open source Webmail interfaces and puts very little load
 on either the machines running the Web server or the backend IMAP servers
 (even if traditional Unix format mailfolders are in use).
 .
 Prayer uses its own macro expansion language for the HTML it
 outputs. This package contains the programs and scripts needed to
 build template libraries, as well as a makefile to facilitate the
 process.

Package: prayer-templates-src
Description-md5: 17d39703d5f6f15ebb9628ca48d1fc96
Description-en: templates for customizing Prayer Webmail
 Prayer is yet another Webmail interface.
 .
 It is unusual in that it is a complete, standalone, HTTP server and proxy
 rather a Apache/mod_php plugin. Prayer maintains persistent connections to
 the IMAP server and is written entirely in C. Consequently it is much
 faster than most open source Webmail interfaces and puts very little load
 on either the machines running the Web server or the backend IMAP servers
 (even if traditional Unix format mailfolders are in use).
 .
 This package contains, in source form, the templates for the HTML
 that Prayer outputs.
 .
 As all the templates are included with the main prayer package in
 compiled form, you only need to install this package if you need to
 customize one or more pages and you want something to start from.

Package: prboom-plus
Description-md5: da4853ac0170ea6f89644f1b9aac3093
Description-en: enhanced clone of the classic first-person shooter Doom
 PrBoom+ is an enhanced source port of the classic 3D first-person
 shooter game Doom, first released by id Software in 1993. It uses
 the Simple Direct Media layer (SDL) library and features an optional
 OpenGL renderer. It is based on PrBoom, MBF and LxDoom, which in turn
 are based on TeamTNT's Boom, a freely available port of Doom for DOS.
 .
 In addition to PrBoom's features, PrBoom+ offers uncapped framerate,
 variable gamespeed, re-record, walkcam, chasecam, full mouselook,
 FOV, and other features without loss of compatibility with the
 original Doom.
 .
 PrBoom+ requires game data to run. Free game data is available in the
 freedoom package. Commercial game data can be packaged using
 game-data-packager. PrBoom+ supports all flavors of Doom, including
 The Ultimate Doom, Doom 2 and Final Doom as well as Chex(R) Quest, HACX,
 FreeDoom and FreeDM.

Package: prboom-plus-game-server
Description-md5: 0f19b1cb9a18c2a2ee66aab81d93702a
Description-en: enhanced clone of the classic first-person shooter Doom (server)
 PrBoom+ is an enhanced source port of the classic 3D first-person
 shooter game Doom, first released by id Software in 1993. It uses
 the Simple Direct Media layer (SDL) library and features an optional
 OpenGL renderer. It is based on PrBoom, MBF and LxDoom, which in turn
 are based on TeamTNT's Boom, a freely available port of Doom for DOS.
 .
 In addition to PrBoom's features, PrBoom+ offers uncapped framerate,
 variable gamespeed, re-record, walkcam, chasecam, full mouselook,
 FOV, and other features without loss of compatibility with the
 original Doom.
 .
 This package contains the server for network games of PrBoom+.

Package: prctl
Description-md5: 69bdc3a6f93f79fac7ba97cb9669f970
Description-en: Process control operations
 prctl is a tool to query and modify process behavior.  Supported process
 behavior is handling of unaligned memory access, handling of floating
 point software assist faults and machine check memory corruption kill
 policy.  Some of this functionality is supported by the kernel only on
 a subset of architectures.

Package: predictnls
Description-md5: cde7ecac57e039484021f256614e949f
Description-en: prediction and analysis of protein nuclear localization signals
 predictnls is a method for the prediction and analysis of protein nuclear
 localization signals (NLS).  In addition to reporting the positions of
 NLSs found, predictnls also gives short statistics.

Package: prelink
Description-md5: 1ade5174725a7157c61484d6294558c9
Description-en: ELF prelinking utility to speed up dynamic linking
 The prelink package contains a utility which modifies ELF shared libraries
 and executables, so that far fewer relocations need to be resolved at
 runtime and thus programs come up faster.

Package: preload
Description-md5: e192f5d7089c86a7332913c20a574513
Description-en: adaptive readahead daemon
 preload monitors applications that users run, and by analyzing this
 data, predicts what applications users might run, and fetches those
 binaries and their dependencies into memory for faster startup times.
 .
 Note that installing preload will not make your system boot faster
 and that preload is a daemon that runs with root privileges.

Package: prelude-correlator
Description-md5: 00fafc66647ed0b66fc03d6011b06d65
Description-en: Security Information and Events Management System [ Correlator ]
 Prelude-Correlator allows conducting multi-stream correlations
 thanks to a powerful programming language for writing correlation
 rules. With any type of alert able to be correlated, event
 analysis becomes simpler, quicker and more incisive. This
 correlation alert then appears within the Prewikka interface
 and indicates the potential target information via the set of
 correlation rules.
 .
 The features currently include:
  * Rapid identification of important security events, enabling the analyst to
    assign task priorities
  * Alert correlation originally from heterogeneous sensors deployed on the
    whole infrastructure
  * Real-time analysis of events received by the Prelude Manager

Package: prelude-lml
Description-md5: a30027e507e67b4d26987a01f08807cf
Description-en: Security Information and Events Management System [ Log Agent ]
 The Prelude Log Monitoring Lackey (LML) is the host-based sensor program part
 of the Prelude SIEM suite. It can act as a centralized log collector for local
 or remote systems, or as a simple log analyzer (such as swatch). It can run as
 a network server listening on a syslog port or analyze log files. It supports
 logfiles in the BSD syslog format and is able to analyze any logfile by using
 the PCRE library. It can apply logfile-specific analysis through plugins such
 as PAX. It can send an alert to the Prelude Manager when a suspicious log entry
 is detected.

Package: prelude-lml-rules
Description-md5: 8713a67cb01b9c79e42f5ffa155ac569
Description-en: Security Information and Events Management System [ LML Rules ]
 The Prelude Log Monitoring Lackey (LML) is the host-based sensor program part
 of the Prelude SIEM suite. It can act as a centralized log collector for local
 or remote systems, or as a simple log analyzer (such as swatch). It can run as
 a network server listening on a syslog port or analyze log files. It supports
 logfiles in the BSD syslog format and is able to analyze any logfile by using
 the PCRE library. It can apply logfile-specific analysis through plugins such
 as PAX. It can send an alert to the Prelude Manager when a suspicious log entry
 is detected.
 .
 This package contains all rules (or signatures) for Prelude LML.

Package: prelude-manager
Description-md5: 405eaae0ce84400ff25280a7cb4d610a
Description-en: Security Information and Events Management System [ Manager ]
 Prelude Manager is the main program of the Prelude SIEM suite. It is a
 multithreaded server which handles connections from the Prelude sensors. It
 is able to register local or remote sensors, let the operator configure them
 remotely, receive alerts, and store alerts in a database or any format
 supported by reporting plugins, thus providing centralized logging and
 analysis. The IDMEF standard is used for alert representation. Support for
 filtering plugins allows you to hook in different places in the Manager to
 define custom criteria for alert relaying and logging.
 .
 This package provides the Prelude Manager, which is a high availability
 server that accepts secured connections from distributed sensors or
 other managers and saves received events to a media specified by the
 user (database, log files, mail, etc).

Package: prelude-utils
Description-md5: f5b40465ae9195e0d4f0e0f4362bb3ce
Description-en: Security Information and Events Management system [ Utils ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the Prelude shared library utils.

Package: preludedb-utils
Description-md5: f830719e759381b2ec77c2318d6a18f2
Description-en: Security Information and Events Management System [ Library utils ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the Prelude shared library tools.

Package: premake4
Description-md5: 3bde662ce6d312c79376254eb7d78a8c
Description-en: cross-platform build script generator
 Premake allows you to manage your project configuration
 in one place and still support those pesky IDE-addicted Windows
 coders and/or cranky Linux command-line junkies. It allows you
 to generate project files for tools that you do not own. It saves
 the time that would otherwise be spent manually keeping several
 different toolsets in sync. And it provides an easy upgrade path
 as new versions of your favorite tools are released.

Package: prerex
Description-md5: 02fa5da7e6857ce13b0b7c92db2d3f34
Description-en: course prerequisite chart editor for LaTeX/TikZ
 prerex is an editor for creating esthetically pleasing course prerequisite
 charts using the TikZ LaTeX package.
 .
 The editor supports add, remove, cut-and-paste, and edit operations on
 diagram elements, and shifts of a list of specified elements or the
 entire diagram. The edited diagram may be saved, re-processed, and viewed in
 a PDF viewer, without exiting the editor.
 .
 Course prerequisite charts allow students to easily determine which
 prerequisites, corequisites and recommended prerequisites they need for a
 given course and their time slots. Course prerequisite charts also highlight
 the courses required by a degree.
 .
 prerex is used by departments in several universities, including Queen's
 University and Suffolk University.

Package: presage
Description-md5: a53807bc1f995c7fd4b34483a7d3d1a5
Description-en: intelligent predictive text entry platform (tools and demos)
 Presage is an intelligent predictive text entry platform.
 .
 This package contains the tools required to generate custom
 statistical data used by the presage predictive text engine to
 generate predictions.
 .
 This package also contains simple demonstration programs and simulator.

Package: presage-dbg
Description-md5: 831e08b003ce1b8ad6e7541bbdf1cfda
Description-en: intelligent predictive text entry platform (tools debugging symbols)
 Presage is an intelligent predictive text entry platform.
 .
 This package contains the debugging symbols for the presage tools
 required to generate custom statistical data used by the presage
 predictive text engine to generate predictions.
 .
 This package also contains debugging symbols for simple demonstration
 programs and simulator.

Package: presage-dbus
Description-md5: e82768264aa97821ada5672722590ad8
Description-en: intelligent predictive text entry platform (D-Bus service)
 Presage is an intelligent predictive text entry platform.
 .
 This package contains the presage D-Bus service.
 .
 This package also contains a simple demonstration program that uses
 the D-Bus service.

Package: presentty
Description-md5: 0d1a796bc995bfaa67e2ae1ac9c4fbf4
Description-en: Console-based presentation software
 Presentty is a console-based presentation program where slides are
 authored in reStructuredText. Its features include, but are not limited
 to: Cross-fade animations, progressive list display, panning
 transitions, syntax highlighting, Cowsay and figlet integration, ANSI
 art, JPEG display.

Package: prettyping
Description-md5: 222fa5374dcff57768a9a0d4ed790563
Description-en: better ping tool
 prettyping is a simple tool written in Shell to
 show latency between the sending and the destination
 hosts.
 .
 It is a wrapper around the standard ping tool
 with the objective of making the output prettier,
 more colorful, more compact, and easier to read.
 .
 prettyping runs the standard ping in the background
 and parses its output, showing the ping responses
 in a graphical way at the terminal
 (by using colors and Unicode characters).

Package: preview-latex-style
Description-md5: 8bd8f57146b8d6a222aa6fa0bdddcde3
Description-en: extraction of elements from LaTeX documents as graphics
 The purpose of preview is the extraction of selected elements from a
 LaTeX source, like formulas or graphics, into separate pages of a DVI
 file.  A flexible and convenient interface allows it to specify what
 commands and constructs should be extracted.  This works with DVI files
 postprocessed by either Dvips and Ghostscript or dvipng, but it also
 works when using PDFTeX for generating PDF files.
 .
 Currently, preview.sty is used by preview-latex, the WYSIWYG component of
 the AUCTeX editing environment; for generation of previews in LyX; as
 part of the operation of the ps4pdf and pst-pdf LaTeX packages; by the
 tbook XML system and some other tools.

Package: preview.app
Description-md5: 922ea2a413133e8168b236b6ae7aa184
Description-en: General purpose image viewer for GNUstep
 Preview is an application that can be used to display images. It is
 designed to be easy to use and will support all GNUstep features (images
 design support, pasteboard/services, printing and layout...). It tries to
 follow the NeXTSTEP spirit.

Package: previsat
Description-md5: 9fa882c38f35ad2fdb14d1869e62e94d
Description-en: satellite tracking software for observing purposes
 Previsat is very easy to use, it shows positions of artificial
 satellites in real-time or manual mode. PreviSat is able to make
 predictions of their passes and also predictions of Iridium flares.

Package: prewikka
Description-md5: 43efd74a9e754924a51cd1768c3f2ea5
Description-en: Security Information and Events Management System [ Web Interface ]
 Prewikka is the graphical front-end analysis console for the
 Prelude SIEM. Providing numerous features, Prewikka
 facilitates the work of users and analysts. It provides alert
 aggregation and sensor and hearbeat views, and has configurable
 filters. Prewikka also provides access to external
 tools such as whois and traceroute.

Package: price.app
Description-md5: 94c107931f23ebf15b5a432696b16293
Description-en: Image filtering and manipulation using GNUstep
 PRICE can open several image file formats and apply high-quality filters
 and other enhancements.

Package: prime-phylo
Description-md5: d1248884237c1baa3cb1e6264fb39885
Description-en: bayesian estimation of gene trees taking the species tree into account
 PrIME (Probabilistic Integrated Models of Evolution) is a package
 supporting inference of evolutionary parameters in a Bayesian framework
 using Markov chain Monte Carlo simulation. A distinguishing feature of
 PrIME is that the species tree is taken into account when analyzing gene
 trees.
 .
 The input data to PrIME is a multiple sequence alignment in FASTA format
 and the output data contains trees in Newick format.

Package: primer3
Description-md5: 063d46e211772f78e703d8d910d9f8a4
Description-en: tool to design flanking oligo nucleotides for DNA amplification
 Primer3 picks primers for Polymerase Chain Reactions (PCRs), considering as
 criteria oligonucleotide melting temperature, size, GC content and
 primer-dimer possibilities, PCR product size, positional constraints within
 the source sequence, and miscellaneous other constraints. All of these
 criteria are user-specifiable as constraints, and some are specifiable as
 terms in an objective function that characterizes an optimal primer pair.

Package: primer3-examples
Description-md5: e15e614462e1d11ebb80069030785132
Description-en: tool to design flanking oligo nucleotides for DNA amplification (examples)
 Primer3 picks primers for Polymerase Chain Reactions (PCRs), considering as
 criteria oligonucleotide melting temperature, size, GC content and
 primer-dimer possibilities, PCR product size, positional constraints within
 the source sequence, and miscellaneous other constraints. All of these
 criteria are user-specifiable as constraints, and some are specifiable as
 terms in an objective function that characterizes an optimal primer pair.
 .
 This package contains example data to test the package.

Package: primesieve
Description-md5: 4373544486f792558b8c69edec28f825
Description-en: fast prime number generator C/C++ library
 primesieve is a free software program and C/C++ library that generates
 primes using a highly optimized sieve of Eratosthenes implementation.
 primesieve can generate primes and prime k-tuplets up to nearly 2^64.
 .
 See http://primesieve.org/ for more information.
 .
 This dummy package provides the standard installation.

Package: primesieve-bin
Description-md5: 145b05a6b0ca8b7eae4317b91d91305a
Description-en: fast prime number generator C/C++ library -- bin
 primesieve is a free software program and C/C++ library that generates
 primes using a highly optimized sieve of Eratosthenes implementation.
 primesieve can generate primes and prime k-tuplets up to nearly 2^64.
 .
 See http://primesieve.org/ for more information.
 .
 This package provides the command line utility primesieve.

Package: primesieve-doc
Description-md5: f67a1e56e91891aa4f9b63a8013d38c0
Description-en: fast prime number generator C/C++ library -- doc
 primesieve is a free software program and C/C++ library that generates
 primes using a highly optimized sieve of Eratosthenes implementation.
 primesieve can generate primes and prime k-tuplets up to nearly 2^64.
 .
 See http://primesieve.org/ for more information.
 .
 This package provides the API documentation with its concomitant examples.

Package: primrose
Description-md5: ca514a53e1b41b8e10a052fd25702332
Description-en: compelling tile-placement puzzle game
 Primrose is a puzzle game by Jason Rohrer and a departure from his
 previous games.
 .
 Primrose is an exploration into deep gameplay in single-player games. It
 is a tile clearing and placement game with chain reactions and without
 gravity or timing elements. The audio is limited to minimal but dynamic
 sound effects that change with game events and progress. It was designed
 as a casual but engaging and intelligent game for mobile phones.
 .
 The player places pairs of coloured tiles on a 7x7 grid. Tiles are
 cleared by surrounding one colour group with another colour group,
 scoring points. The cleared tiles change the colour of the surrounding
 colour group, allowing for chain reactions that give more points. More
 colours are added as more tiles have been placed on the grid and
 eventually the game will end when the grid fills up.
 .
 Primrose features a networked high score board with instant replay of
 the high scores, a comprehensive tutorial with animated examples, a
 colourblind mode, glowing, pulsating, retro graphics and synth-inspired
 sound effects.

Package: primus
Description-md5: 86cd26e1866a08575cea3e262f2dbb68
Description-en: client-side GPU offloading for NVIDIA Optimus
 Primus is a shared library that provides OpenGL and GLX APIs and implements
 low-overhead local-only client-side OpenGL offloading via GLX forking,
 similar to VirtualGL. It intercepts GLX calls and redirects GL rendering
 to a secondary X display, presumably driven by a faster GPU. On swapping
 buffers, rendered contents are read back using a PBO and copied onto
 the drawable it was supposed to be rendered on in the first place.
 .
 Primus is currently intended to be used alongside Bumblebee and provides a
 drop-in replacement for optirun (i.e. "primusrun").

Package: primus-libs
Description-md5: b71ee40c3470c4f6e2ff4d610f5fa30e
Description-en: shared libraries for primus
 Primus is a shared library that provides OpenGL and GLX APIs and implements
 low-overhead local-only client-side OpenGL offloading via GLX forking,
 similar to VirtualGL. It intercepts GLX calls and redirects GL rendering
 to a secondary X display, presumably driven by a faster GPU. On swapping
 buffers, rendered contents are read back using a PBO and copied onto
 the drawable it was supposed to be rendered on in the first place.
 .
 This package contains the libraries for primus which are loaded when running
 primusrun.

Package: primus-nvidia
Description-md5: 47ebdd2f5f4085bfde25afb56ffc6f5a
Description-en: NVIDIA Primus support using the proprietary NVIDIA driver
 This metapackage ensures that the proprietary NVIDIA driver is installed in a
 way such that 3D acceleration does not break. It does so by configuring the
 OpenGL library path to use the Mesa graphics library.

Package: princeprocessor
Description-md5: fd9803bf86509a6610a29964cdd39c21
Description-en: standalone password candidate generator using the PRINCE algorithm
 Princeprocessor is a password candidate generator and can be thought of
 as an advanced combinator attack. Rather than taking as input two different
 wordlists and then outputting all the possible two word combinations though,
 princeprocessor only has one input wordlist and builds "chains" of combined
 words. These chains can have 1 to N words from the input wordlist
 concatenated together.
 The name PRINCE is used as an acronym and stands for PRobability INfinite
 Chained Elements, which are the building blocks of the algorithm.

Package: print-manager
Description-md5: c70a1f298b306b8002962873e1366838
Description-en: printer configuration and monitoring tools
 This package provides a KDE configuration module and a Plasma widget for
 installing and configuring printers, and monitoring printers, print jobs and
 print queues.
 .
 This package is part of the KDE utilities module.

Package: printcore
Description-md5: 92c40cd52fe490cc44206756ee99d8e7
Description-en: 3D printer host core commands
 Printrun is a full suite of host interfaces for 3D printers and CNC,
 consisting of:
  * printcore, a standalone non-interactive G-Code sender
  * pronsole, an interactive command-line host
  * pronterface, a graphical host software with the same functionality as
    pronsole
 .
 Together with Slic3r they form a powerful 3d printing toolchain.
 .
 This package contains printcore, the G-Code sender.

Package: printemf
Description-md5: d982da18bf467ee2eea5b16cdea85fee
Description-en: Enhanced Metafile library (executable)
 libEMF is a C/C++ library which provides a drawing toolkit based on
 ECMA-234.  The general purpose of this library is to create vector
 graphics files on POSIX systems which can be imported into
 OpenOffice.org or LibreOffice. Times have changed, though.  These
 days, you can import SVGs, or even PDFs, into OpenOffice or
 LibreOffice.  Those are much better choices than EMF files.
 .
 This package contains a tiny executable exposing some of libEMF's
 capabilities to the command line.

Package: printer-driver-all
Description-md5: abf2f68b9d7898d7cea5c76b1fefcbd0
Description-en: printer drivers metapackage
 This package recommends all printer driver packages, which can be
 individually removed.
 .
 It does not provide any printer driver itself.

Package: printer-driver-all-enforce
Description-md5: 0f098ea586a0c411609cf85755235c47
Description-en: printer drivers metapackage - enforcing version
 This package depends on all printer driver packages.
 .
 It does not provide any printer driver itself and mostly exists for the
 purpose of ensuring sane transitions.

Package: printer-driver-c2050
Description-md5: 01a095093af31c883a6e0849d786d133
Description-en: printer driver for Lexmark 2050 Color Jetprinter
 Filter to convert a Postscript file to Lexmark 2050 format.
 .
 This driver allows you to print at 300dpi in color on A4 paper.

Package: printer-driver-cjet
Description-md5: 0bc51d8cefe15dfb31e3e34b74960506
Description-en: printer driver for Canon LBP laser printers
 CJET filters printer data from stdin to stdout, converting HP PCL
 (Printer Command Language) escape sequences and data structures,
 e.g. font headers, to their CaPSL equivalents.
 .
 CaPSL stands for Canon Printing System Language.  It is a set of
 control command developed for the Canon European Language Printer.
 CaPSL was used in Canon Export Models such as LBP-8markIII series and
 LBP-4series.  Canon's older Japanese models (LBP-A404 GII etc. LIPS-III
 as default command) usually have CaPSL emulation mode.

Package: printer-driver-cups-pdf
Description-md5: 640d081318cc52231c8eb09ccca8b438
Description-en: printer driver for PDF writing via CUPS
 CUPS-PDF provides a PDF Writer backend to CUPS. This can be used as a
 virtual printer in a paperless network or to perform testing on CUPS.
 .
 Documents are written to a configurable directory (by default to ~/PDF)
 or can be further manipulated by a post-processing command.
 .
 Desktop users might find it simpler to use the Print To File feature
 provided by GTK+ and QT or the LibreOffice's Export to PDF feature.

Package: printer-driver-dymo
Description-md5: c35f849553072e7b70cffc39c2027278
Description-en: printer driver for DYMO label printers
 CUPS filter driver for various DYMO label printers: LabelManager 400, 450, PC,
 PC II and PnP; LabelPoint 350 and LabelWriter 300, 310, 315, 320, 330,
 330 Turbo, 400, 400 Turbo, 450, 450 DUO Label, 450 DUO Tape, 450 Turbo,
 450 Twin Turbo, 4XL, DUO Label, DUO Tape, DUO Tape 128, SE450 and Twin Turbo.
 .
 This package contains the CUPS filter driver and the compressed PPDs for the
 supported label printers.

Package: printer-driver-escpr
Description-md5: 9a3d5c79f3f50b8e09c446517743dece
Description-en: printer driver for Epson Inkjet that use ESC/P-R
 ESC/P-R is a common language for selected Epson printers that supports every
 media type, paper size and associated printing mode available on those
 printers. It is suited especially for consumer electronics devices and
 embedded equipments. ESC/P-R allows many kinds of devices to connect and
 communicate with Epson inkjet printers, expanding possibilities for use with
 medical equipment, measuring equipment, electronic whiteboards, and at home
 with home electronics and game machines.
 .
 This package contains the CUPS filter driver and the compressed PPDs for the
 supported printers.

Package: printer-driver-fujixerox
Description-md5: 9f6aa36510bee268b09227859039e78d
Description-en: printer driver for Fuji Xerox printers
 This package provides PPD file and PostScript filter for Fuji Xerox printers.
 The following printers are supported:
  * ApeosPort-II 3000/4000/5000/6000/7000/C2200/C3300/C4300/C5400/C6500/C7500
  * ApeosPort-III 3010/4000/5000/6000/7000/C2200/C2205/C3300/C3305/C4400/C4405
  *               C5500/C6500/C7600
  * ApeosPort-IV  3070/4070/5080/6080/7080/C2270/C2275/C3370/C3375/C4470/C4475
  *               C5570/C5575/C5580/C6680/C7780
  * DocuCentre C1101/C2101
  * DocuCentre-II 3000/4000/5000/6000/7000/C2200/C3300/C4300/C5400/C6500/C7500
  * DocuCentre-III 2000/3000/3010/4000/5000/6000/7000/C2200/C2205/C3300/C3305
  *                C4400/C4405/C5500/C6500/C7600
  * DocuCentre-IV 2060/3060/3070/4070/5080/6080/7080/C2260/C2263/C2263N/C2270
  *               C2275/C3370/C3375/C4470/C4475/C5570/C5575/C5580/C6680/C7780
  * DocuPrint 2060/3000/3000s/3050/3100/4050/4060/5060/C2250/C3050/C3350/C3350s
  *           C3360/C4000d/C5000d
  * D95/D110
  * D110/D125/D136 Light Publisher
  * 4112/4112 Light Publisher/4127 Light Publisher

Package: printer-driver-indexbraille
Description-md5: ce640e9a17f9a807c481418cd7be4454
Description-en: CUPS printing to Index Braille printers
 This package contains the necessary filters and PPDs for installing and
 printing to Index Braille printers.

Package: printer-driver-oki
Description-md5: 140ff3699e8948d2cb46474165943e82
Description-en: printer driver for OKI Data printers
 CUPS filters and drivers supporting OKI Data printers.
 .
 This driver is known to support these printers:
  * OKI 24 Pin
  * OKI 9 Pin
  * OKI B2200 / B2400 PCL
  * OKI B4000 / B400 / MB400 PCL
  * OKI B4000 / B400 / MB400 PS
  * OKI B6250 / B6500
  * OKI B6300
  * OKI B710 / B720 / B730
  * OKI B930
  * OKI C330 / C530
  * OKI C3600
  * OKI C5550 MFP / MC560 MFP / CX2032 MFP / CX2033 MFP
  * OKI C6050 / C6150
  * OKI C610 / C710 / C711
  * OKI C830 / MC860 MFP / CX2633 MFP
  * OKI C910 / C9600 / C9650
  * OKI MB471 MFP / MB491 MFP
  * OKI MC361 MFP / MC561 MFP / CX2731 MFP
 .
 This package contains the CUPS filter driver and PPDs for the
 supported printers.

Package: printrun
Description-md5: 8bd74be87f15f57f613c33ace5d9c84d
Description-en: 3D printer hosts suite
 Printrun is a full suite of host interfaces for 3D printers and CNC,
 consisting of:
  * printcore, a standalone non-interactive G-Code sender
  * pronsole, an interactive command-line host
  * pronterface, a graphical host software with the same functionality as
    pronsole
 .
 Together with Slic3r they form a powerful 3d printing toolchain.
 .
 This metapackage pulls all Printrun suite components.

Package: printrun-common
Description-md5: 8f9e28cbe7df051622bfc2875e4ec452
Description-en: 3D printer host suite common files
 Printrun is a full suite of host interfaces for 3D printers and CNC,
 consisting of:
  * printcore, a standalone non-interactive G-Code sender
  * pronsole, an interactive command-line host
  * pronterface, a graphical host software with the same functionality as
    pronsole
 .
 Together with Slic3r they form a powerful 3d printing toolchain.
 .
 This package contains all common and architecture-independent files for all
 suite components.

Package: prips
Description-md5: 669c81e38e552465af7b8ab25d54fc0a
Description-en: tool that prints the IP addresses in a given range
 prips can be used to print all of the IP addresses in a given range.
 This allows the enhancement of tools only work on one host at
 a time (e.g. whois).

Package: prism2-usb-firmware-installer
Description-md5: 32fd0367ecb47dfa17a6b2afc7109aca
Description-en: firmware files for the prism2_usb kernel driver
 prism2-usb-firmware-installer will at the time of its own installation
 download the firmware files for the prism2_usb devices from the
 upstream code repository and convert them to the format used by the
 kernel modules since 2.6.32.
 .
 Note that only some adapters really need a firmware file and that
 firmware files are not completely free (in the sense of freely
 redistributable), which is why this package exists.

Package: pristine-lfs
Description-md5: 8d2250b57a1e5ce1ce4600cdfdb59715
Description-en: regenerate pristine tarballs from git-lfs
 pristine-lfs can store pristine upstream tarballs in Git leveraging Git
 LFS. Instead of storing the potentially large tarballs within the Git
 repository as blobs, Git LFS only stores specially prepared metadata
 in the repository, while storing the actual file contents out of band
 on a Git LFS server.
 .
 Using pristine-lfs allows Debian packages to be built entirely using
 sources in version control, without the need to keep copies of upstream
 tarballs.
 .
 An optional upstream signature may be attached to tarballs for verification
 by, for example, dpkg-source(1).

Package: pristine-tar
Description-md5: 3cfce5eb84507505c671f03a5101c3b2
Description-en: regenerate pristine tarballs
 pristine-tar can regenerate a pristine upstream tarball using only a small
 binary delta file and a revision control checkout of the upstream branch.
 .
 The package also includes a pristine-gz command, which can regenerate a
 pristine .gz file, a pristine-bz2 for .bz2 files, and a pristine-xz for .xz
 files.
 .
 The delta file is designed to be checked into revision control along-side
 the upstream branch, thus allowing Debian packages to be built entirely
 using sources in revision control, without the need to keep copies of
 upstream tarballs.
 .
 An optional upstream signature may be attached to tarballs for verification
 by, for example, dpkg-source(1).

Package: privbind
Description-md5: 7cb1be1c68508ee5024cd6591870dee2
Description-en: Allow unprivileged apps to bind to a privileged port
 Privbind is a utility that allows running non-root applications, only giving
 them one root privilege - binding to low (<1024) ports. The aim is similar,
 though using a completely different method, to "authbind".
 .
 Privbind is a completely user space solution. It has no SUID executables, and
 has to be started by a root user instead.
 .
 Privbind is useful for cases where there is a need to run an untrusted program
 that needs to bind to a low port, but requires no other root privilege. It is
 also useful if a daemon has no capability to drop privileges itself.

Package: privoxy
Description-md5: 6ec5aaf09f151e319fd41d430dbd5eca
Description-en: Privacy enhancing HTTP Proxy
 Privoxy is a web proxy with advanced filtering capabilities for
 protecting privacy, filtering web page content, managing cookies,
 controlling access, and removing ads, banners, pop-ups and other
 obnoxious Internet junk. Privoxy has a very flexible configuration
 and can be customized to suit individual needs and tastes. Privoxy
 has application for both stand-alone systems and multi-user networks.
 .
 Privoxy is based on Internet Junkbuster (tm).

Package: proalign
Description-md5: 9ec8280b5e64401439cf90623a4b0d2b
Description-en: Probabilistic multiple alignment program
 ProAlign performs probabilistic sequence alignments using hidden Markov
 models (HMM). It includes a graphical interface (GUI) allowing to (i)
 perform alignments of nucleotide or amino-acid sequences, (ii) view the
 quality of solutions, (iii) filter the unreliable alignment regions and
 (iv) export alignments to other software.
 .
 ProAlign uses a progressive method, such that multiple alignment is
 created stepwise by performing pairwise alignments in the nodes of a
 guide tree. Sequences are described with vectors of character
 probabilities, and each pairwise alignment reconstructs the ancestral
 (parent) sequence by computing the probabilities of different
 characters according to an evolutionary model.

Package: probabel
Description-md5: 46cc8699558a3d5d3ab397f8dd25c53e
Description-en: Toolset for Genome-Wide Association Analysis
 The ProbABEL package is part of the GenABEL project for analysis of genome-wide
 data. ProbABEL is used to run GWAS. Using files in filevector/DatABEL format
 even allows for running GWAS on computers with only a few GB of RAM.

Package: probabel-examples
Description-md5: 738de62d749bb8031e853d8da51d410d
Description-en: Example files for ProbABEL
 The ProbABEL package is part of the GenABEL project for analysis of genome-wide
 data. ProbABEL is used to run GWAS. Using files in filevector/DatABEL format
 even allows for running GWAS on computers with only a few GB of RAM.
 .
 This package contains the example files for the probabel package.

Package: probalign
Description-md5: 3a11d1cc47a7d13a0473a4a4d2ff5aca
Description-en: multiple sequence alignment using partition function posterior probabilities
 Probalign uses partition function posterior probability estimates to
 compute maximum expected accuracy multiple sequence alignments. It
 performs statistically significantly better than the leading alignment
 programs Probcons v1.1, MAFFT v5.851, and MUSCLE v3.6 on BAliBASE 3.0,
 HOMSTRAD, and OXBENCH benchmarks. Probalign improvements are largest on
 datasets containing N/C terminal extensions and on datasets with long
 and heterogeneous length sequences. On heteregeneous length datasets
 containing repeats Probalign alignment accuracy is 10% and 15% higher
 than the other three methods when standard deviation of length is at
 least 300 and 400.

Package: probcons
Description-md5: ec79ca582ac1aa23325a39ec48cbbf02
Description-en: PROBabilistic CONSistency-based multiple sequence alignment
 Tool for generating multiple alignments of protein sequences. Using a
 combination of probabilistic modeling and consistency-based alignment
 techniques, PROBCONS has achieved the highest accuracies of all alignment
 methods to date. On the BAliBASE benchmark alignment database, alignments
 produced by PROBCONS show statistically significant improvement over current
 programs, containing an average of 7% more correctly aligned columns than
 those of T-Coffee, 11% more correctly aligned columns than those of CLUSTAL W,
 and 14% more correctly aligned columns than those of DIALIGN.

Package: probcons-extra
Description-md5: 4a076f2b69c4268afe6d7636e1728fed
Description-en: Extra programs from the probcons package
 Contains the convert, project and makgnuplot programs from the probcons
 package, which have been renamed to pc-compare, pc-project and pc-makegnuplot
 respectively to avoid collisions with other program names. These programs are
 mostly useful for testing purposes and are not required for the normal usage of
 Probcons.
 .
 Probcons is a tool for generating multiple alignments of protein
 sequences.

Package: probert-network
Description-md5: f97907cec73d15673427469cfbd9fc29
Description-en: Hardware probing tool - storage metapackage
 This package provides a tool for probing host hardware information
 and emitting a JSON report.
 .
 This package contains network probing capability.

Package: procenv
Description-md5: 8a2f139bc3a8b86766c5fd181e306833
Description-en: Utility to show process environment
 This package contains a command-line tool that displays as much
 detail about itself and its environment as possible. It can be
 used as a test tool, to understand the type of environment a
 process runs in, and for comparing system environments.

Package: process-viewer
Description-md5: a64ae82e8f7b7d0d722919d9fc7dab67
Description-en: Process viewer GTK GUI written in rust
 Process viewer provides current status of your processes (cpu and memory usage)
 and your system (usage of every core and of your RAM, and the temperature of
 your components if this information is available).
 .
 This package contains the following binaries built from the Rust crate
 "process_viewer":
  - process_viewer

Package: procinfo
Description-md5: 3bbaa4d408e578c7c67a0f8510002fb8
Description-en: tools to display information from /proc and /sys
 This package provides three small programs that gather system information
 from diverse files under /proc and /sys and print it to the screen:
 .
   * lsdev - information from /proc about installed hardware;
   * procinfo - system monitoring statistics from /proc and /sys;
   * socklist - a summary of open network sockets from /proc/net.

Package: procmail-lib
Description-md5: bcd36d94b7e5b7b91958643958076132
Description-en: procmail library of plug-in recipes
 Procmail Module Library is a collection of many plug-in modules for
 the Procmail mail processing utility. The modules allow common tasks
 like parsing dates, times, MIME, and email addresses, forwarding
 mail, dealing with POP3, spam shielding, running email cron jobs,
 handling daemon messages, and more.
 .
 Includes also all of Alan Stebben's recipes (the pioneer of Procmail).

Package: procmeter3
Description-md5: 480118c1ca2b05aaca4d18837792f8ef
Description-en: graphical system status monitor
 ProcMeter displays constantly updating graphs of system information.
 Many different graphs are supported, including:
 .
  APM and ACPI information.
  Mailbox monitoring.
  Date, time, uptime.
  Amount of free and used disk space.
  Size, number of lines, rate of growth of log files.
  Amount of used and free memory and swap.
  Traffic on network devices.
  Load average, number of processes running and starting.
  Low level system statistics like CPU usage, disk usage and swapping.
  CPU clock speed.
  Wireless network link quality.
 .
 As if all that wasn't enough, procmeter is designed to be easily extendable
 via plugins.
 .
 Procmeter can use either the Athena or the GTK+ widgets. It also supports
 display on small LCD screens using the Linux lcdproc driver.

Package: procserv
Description-md5: 6064f8c9f0bc41e0dd37962a71912bca
Description-en: Process server with telnet console and log access
 procServ is a wrapper that starts an arbitrary command as a child process in
 the background, connecting its standard input and output to a TCP port for
 telnet access. It supports logging, child restart (manual or automatic on
 exit), and more.
 .
 procServ does not have the rich feature set of the screen utility,
 but is intended to provide running a command in a system service style,
 in a small, robust way.
 Handling multiple users, authorization, authentication, central logging
 is done best on a higher level, using a package like conserver.
 .
 For security reasons, procServ only accepts connections from localhost.

Package: procyon-decompiler
Description-md5: 308ca123810b7dc4c3bb0f9cf9ff4a83
Description-en: Procyon Java Decompiler
 Procyon is a Java decompiler handling language enhancements from Java 5
 and beyond that most other decompilers don't. It also excels in areas
 where others fall short. Procyon in particular does well with:
  * Enum declarations
  * Enum and String switch statements
  * Local classes (both anonymous and named)
  * Annotations
  * Java 8 Lambdas and method references

Package: proda
Description-md5: a4af9732493dab9dac9eed761a23a635
Description-en: multiple alignment of protein sequences
 ProDA is a system for automated detection and alignment of homologous
 regions in collections of proteins with arbitrary domain architectures.
 Given an input set of unaligned sequences, ProDA identifies all
 homologous regions appearing in one or more sequences, and returns a
 collection of local multiple alignments for these regions.

Package: prodigal
Description-md5: 17547e924925fa3b22bf27a8a6cf1be5
Description-en: Microbial (bacterial and archaeal) gene finding program
 Prodigal (Prokaryotic Dynamic Programming Genefinding Algorithm) is a
 microbial (bacterial and archaeal) gene finding program developed at
 Oak Ridge National Laboratory and the University of Tennessee.
 Key features of Prodigal include:
 .
 Speed: Prodigal is an extremely fast gene recognition tool
 (written in very vanilla C). It can analyze an entire microbial genome
 in 30 seconds or less.
 .
 Accuracy: Prodigal is a highly accurate gene finder.
 It correctly locates the 3' end of every gene in the experimentally verified
 Ecogene data set (except those containing introns).
 It possesses a very sophisticated ribosomal binding site scoring system that
 enables it to locate the translation initiation site with great accuracy
 (96% of the 5' ends in the Ecogene data set are located correctly).
 .
 Specificity: Prodigal's false positive rate compares favorably with other
 gene identification programs, and usually falls under 5%.
 .
 GC-Content Indifferent: Prodigal performs well even in high GC genomes,
 with over a 90% perfect match (5'+3') to the Pseudomonas aeruginosa curated
 annotations.
 .
 Metagenomic Version: Prodigal can run in metagenomic mode and analyze
 sequences even when the organism is unknown.
 .
 Ease of Use: Prodigal can be run in one step on a single genomic sequence
 or on a draft genome containing many sequences. It does not need to be
 supplied with any knowledge of the organism, as it learns all the properties
 it needs to on its own.

Package: profanity
Description-md5: 9c90fdf3e0d5ba2a493d74ad88918aa9
Description-en: console based XMPP client
 Profanity is a console based instant messaging client for XMPP (Jabber)
 protocol based chat. It is inspired by popular IRC client IRSSI.
 .
 Profanity's features are:
 .
  * Supports XMPP chat services
  * MUC chat room support
  * PGP, OMEMO, and OTR encryption
  * Roster management
  * Flexible resource and priority settings
  * Desktop notifications
  * Plugins in Python and C
  * Support for colorthemes

Package: profanity-light
Description-md5: 52241db1a1d75df9ae99eb5cb63c55a9
Description-en: console based XMPP client, light version
 Profanity is a console based instant messaging client for XMPP (Jabber)
 protocol based chat. It is inspired by popular IRC client IRSSI.
 .
 Profanity's features are:
 .
  * Supports XMPP chat services
  * MUC chat room support
  * PGP, OMEMO, and OTR encryption
  * Roster management
  * Flexible resource and priority settings
  * Plugins in C
  * Support for colorthemes
 .
 This version does not depend on X11 libraries nor Python. If you like
 to have support for xscreensaver, icons, notifications, or Python
 plugins, you should install profanity instead of profanity-light.

Package: profbval
Description-md5: 7c39db9af750c32242cf98d34c9f3c4c
Description-en: predictor of flexible/rigid protein residues from sequence
 PROFbval can be useful for both protein structure and
 function predictions. For instance, a biologist can locate potentially
 antigenic determinants by identifying the most flexible residues on the
 protein surface. Additionally, a crystallographer can locate residues that
 potentially have high experimental B-values.
 .
 PROFbval takes the following input, further described on profbval(1):
  * a protein sequence in a FASTA file
  * secondary structure and solvent accessibility prediction by prof(1)
  * an HSSP file
 .
 Background: the mobility of a given residue on the protein surface is related
 to its functional role.
 Therefore, identification of extremely rigid or flexible
 residues on the protein surface is helpful for identifying functionally
 important residues in proteins. A common measure of atom mobility in proteins
 is B-value data from x-ray crystallography structures. PROFbval is the first
 tool to predict normalized backbone B-values from amino-acid sequence.

Package: profile-sync-daemon
Description-md5: bf9f50fa1cdaf4d82370e129c3d86fbd
Description-en: Symlink and sync browser profile directories into RAM
 Profile-sync-daemon (psd) is a tiny pseudo-daemon designed
 to manage your browsers profile in tmpfs and periodically
 sync it back to disk.
 .
 This is accomplished by symlinking and the innovative use
 of rsync to maintain a backup and synchronization between
 tmpfs and disk. One of the major design goals of psd is a
 completely transparent user experience.

Package: profisis
Description-md5: 0883e3d0efa5aceb8c09220adb5f23ee
Description-en: prediction of protein-protein interaction sites from sequence
 Profisis (ISIS) identifies interacting protein residues in protein-protein
 interfaces from sequence alone.
 .
 The strongest predictions of the method reached over 90% accuracy in a
 cross-validation experiment.

Package: profitbricks-api-tools
Description-md5: 4b4f22c073073ec8e4452affd78f11c6
Description-en: command line tools that use the public API from ProfitBricks
 This package contains command line tools that use the public API from
 ProfitBricks. It contains following scripts:
 .
  - pb-api-shell - Interactive Python shell for the ProfitBricks REST API

Package: profnet-bval
Description-md5: ca0ed7b177934f382705f2d7475a8e90
Description-en: neural network architecture for profbval
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for profbval.

Package: profnet-chop
Description-md5: 2f10f2fb15d6295aea161c4880bfc4e4
Description-en: neural network architecture for profchop
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for profchop.

Package: profnet-con
Description-md5: 754aaa4cbdc618ded1e95f21134fd1e4
Description-en: neural network architecture for profcon
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for profcon.

Package: profnet-isis
Description-md5: 090471b50c4718c6f8c9ab51b68754da
Description-en: neural network architecture for profisis
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for profisis.

Package: profnet-md
Description-md5: 1b12d9ebdd646fb0ff8b618b22e6e9ae
Description-en: neural network architecture for metadisorder
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for metadisorder.

Package: profnet-norsnet
Description-md5: 7318b2f301dd7fdcc76dcf2ec90de5b0
Description-en: neural network architecture for norsnet
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for norsnet.

Package: profnet-prof
Description-md5: 2de16cce386681921c0d243890dc162e
Description-en: neural network architecture for profacc
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for profsec and profacc.

Package: profnet-snapfun
Description-md5: d093f994873a4cdde733538822cfb087
Description-en: neural network architecture for snapfun
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for snapfun.

Package: profphd-net
Description-md5: d9dad388767d74a8459a2b99847e1f67
Description-en: neural network architecture for profphd
 Profnet is a component of the prediction methods that make up the
 Predict Protein service by the lab of Burkhard Rost. It provides the neural
 network component to a variety of predictors that perform protein feature
 prediction directly from sequence.  This neural network implementation has
 to be compiled for every different network architecture.
 .
 This package contains the neural network architecture for profphd.

Package: profphd-utils
Description-md5: f5774afe907feddbbb9b90da4e3c7d71
Description-en: profphd helper utilities convert_seq and filter_hssp
 The package provides the following binary utilities: convert_seq, filter_hssp.
 These are used by prof from the profphd package: a secondary structure,
 accessibility and transmembrane helix predictor from Burkhard Rost.

Package: proftmb
Description-md5: 8f76d051ad154ac0bdee7aa59976639c
Description-en: per-residue prediction of bacterial transmembrane beta barrels
 proftmb predicts transmembrane beta-barrel (TMB) proteins in Gram-negative
 bacteria.
 .
 For each query protein, proftmb provides both a Z-value indicating that the
 protein actually contains a membrane barrel, and a four-state per-residue
 labeling of upward- and downward-facing strands, periplasmic hairpins and
 extracellular loops.

Package: proftpd-basic
Description-md5: 4ded3a58e7e7b81b4793dab6f2b1d63d
Description-en: Versatile, virtual-hosting FTP daemon - binaries
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package contains the daemon and all main modules used for
 common configurations. If you need database-centric authentication
 install the suitable proftpd-mod suggested package.

Package: proftpd-dev
Description-md5: 7c00d8231b3ab5682b1e982c46081718
Description-en: Versatile, virtual-hosting FTP daemon - development files
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package contains all files required to develop thirdr-parties
 modules that can be loaded at run-time by means of DSO support.

Package: proftpd-doc
Description-md5: 734d933b7bfe84385058699eb8ca818e
Description-en: Versatile, virtual-hosting FTP daemon - documentation
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package contains the software documentation.

Package: proftpd-mod-autohost
Description-md5: 6fbb69df0f0ba4e569db25e8beff5d79
Description-en: ProFTPD module mod_autohost
 The mod_autohost module allows for server configurations to be configured
 in individual files, and for those configuration to be used in an "on demand"
 fashion. Rather than loading the configurations into memory when the daemon
 starts up, the daemon will check the IP address and port being contacted by a
 connecting client, check in the filesystem for a mod_autohost configuration
 file for that address/port, dynamically parse the configuration, and insert
 the configuration into the session's process space. Thus changes to the
 configuration are seen whenever a client connects, without requiring a daemon
 restart. The memory footprint is reduced because proftpd, via mod_autohost,
 only reads and uses the needed configuration.

Package: proftpd-mod-case
Description-md5: 257bc81e5527e8c167441b76edc569f3
Description-en: ProFTPD module mod_case
 The mod_case module is designed to help ProFTPD be case-insensitive, for
 those sites that may need it (e.g. those that are migrating from a Windows
 environment or have mounted Windows filesystems).
 .
 mod_case works by performing two checks on the filename used in FTP commands.
 First, mod_case will scan the directory to see if there is already a file
 whose name exactly matches the given filename. If not, mod_case will scan the
 directory again, this time looking for case-insensitive matches.

Package: proftpd-mod-clamav
Description-md5: 72774919d023d288dff6bf6231d1eee0
Description-en: ProFTPD module mod_clamav
 Mod_Clamav can be configured to either use Clamd via local unix
 sockets or TCP sockets. This allows one to combine ProFTPd with
 Mod_Clamav and Clamd on a system with local unix sockets for minimal
 administrative overhead and decent security. however, also allows for
 a large scale deployment with many ProFTPd servers utilizing a separate
 Clamd host over TCP for scalability and lower administrative overhead.

Package: proftpd-mod-counter
Description-md5: 1bcb277d748482523cb2ceb5fc9e51f7
Description-en: ProFTPD module mod_counter
 The mod_counter module is designed to allow a sort of "locking" to be
 enforced when the same file is being uploaded or downloaded by multiple
 clients at the same time.
 .
 The mod_counter works by creating a SysV semaphore for a file being
 read/written, and placing a number of reader or writer "counters" in that
 semaphore. When the configured maximum number of counters is reached, the
 FTP command which seeks to add another reader/writer counter will be denied.
 This allows site to configure the maximum number of clients which can be
 reading/writing any file at one time.

Package: proftpd-mod-dnsbl
Description-md5: 8534a21a2340b7fc789d00a62ecfde1b
Description-en: ProFTPD module mod_dnsbl
 ProFTPD module for mod-dnsbl (DNS Black-List/Block-List)
 .
 A DNS blacklist is a way in which the DNS can be used to
 "blacklist" sites/addresses that have been deemd to be
 "bad" in some way. These blacklists are often used by
 email servers, for determining and rejecting email sent
 by addresses known to be sources of spam.

Package: proftpd-mod-fsync
Description-md5: 96141bdec545ec9ac6cd2aca73c1f153
Description-en: ProFTPD module mod_fsync
 The mod_fsync module attempts to prevent such bottlenecks by forcibly
 flushing to disk the buffers used for files open for writing after a
 certain number of bytes have been written (for example, after 128 KB
 has been written to a file). This prevents the buffer cache from being
 dominated by data from files being written, freeing up space for data
 for files being read.

Package: proftpd-mod-geoip
Description-md5: 3348e26e68b0eff05e85fd812a6922d6
Description-en: Versatile, virtual-hosting FTP daemon - GeoIP module
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package provides GeoIP functionalities.

Package: proftpd-mod-ldap
Description-md5: 4e3d0cca75c843db3554fb2d936f371a
Description-en: Versatile, virtual-hosting FTP daemon - LDAP module
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package provides LDAP based authentication.

Package: proftpd-mod-msg
Description-md5: c91c17cb927edb52929ef4234fde45d4
Description-en: ProFTPD module mod_msg
 The mod_msg module allows system users to send messages to connected
 clients via the ftpdctl program. The module works by creating a SysV
 message queue, which is used to pass messages from the daemon process
 to session processes.

Package: proftpd-mod-mysql
Description-md5: 18e17ab8c72f16da50521f3a5fbb482f
Description-en: Versatile, virtual-hosting FTP daemon - MySQL module
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package provides MySQL based authentication.

Package: proftpd-mod-odbc
Description-md5: 5a1e8ce64cc3371ee50e415ba7f85e03
Description-en: Versatile, virtual-hosting FTP daemon - ODBC module
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package provides ODBC based authentication.

Package: proftpd-mod-pgsql
Description-md5: 47f3bc2584d2984eabc93893f6f938ff
Description-en: Versatile, virtual-hosting FTP daemon - PostgreSQL module
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package provides PostgreSQL based authentication.

Package: proftpd-mod-snmp
Description-md5: af02b5b732451c401ca9316c753828d3
Description-en: Versatile, virtual-hosting FTP daemon - SNMP module
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 The mod_snmp module implements SNMPv1 and SNMPv2, for monitoring of
 proftpd statistics via SNMP.

Package: proftpd-mod-sqlite
Description-md5: eaa7d5df2783d2bf4ad44162364f166d
Description-en: Versatile, virtual-hosting FTP daemon - SQLite3 module
 ProFTPD is a powerful modular FTP/SFTP/FTPS server. This File Transfer
 Protocol daemon supports also hidden directories, virtual hosts, and
 per-directory ".ftpaccess" files. It uses a single main configuration
 file, with a syntax similar to Apache.
 .
 Because of the advanced design, anonymous-FTP directories can have
 an arbitrary internal structure (bin, lib, etc, and special files are
 not needed). Advanced features such as multiple password files and
 upload/download ratios are also supported.
 .
 This package provides SQLite based authentication.

Package: proftpd-mod-tar
Description-md5: b3f88c67d5f4d524bfbcbd17c0393be5
Description-en: ProFTPD module mod_tar
 The mod_tar module supports on-the-fly creation of tar files,
 Whenever a client attempts to download a directory as a tar file,
 the mod_tar module will automatically create a tar file of that directory.

Package: proftpd-mod-vroot
Description-md5: 16de4a75fecb2491776a45779373e9fb
Description-en: ProFTPD module mod_vroot
 The purpose of this module to is to implement a virtual chroot
 capability that does not require root privileges.
 The mod_vroot module provides this capability by using ProFTPD's
 FS API. It also allows one to map a directory out of the user chroot
 as an alias in the chroot for sharing common directories.

Package: progress
Description-md5: 1a97421e27dcb71375bd1636d8132e1d
Description-en: Coreutils Progress Viewer (formerly known as 'cv')
 This tool can be described as a Tiny, Dirty, Linux-and-OSX-Only
 C command that looks for coreutils basic commands (cp, mv, dd, tar,
 gzip/gunzip, cat, etc.) currently running on your system and
 displays the percentage of copied data. It can also show estimated
 time and throughput, and provide a "top-like" mode (monitoring).
 .
 It simply scans `/proc` for interesting commands, and then looks at
 directories `fd` and `fdinfo` to find opened files and seek positions,
 and reports status for the largest file.
 .
 It's very light, and compatible with virtually any command.
 This program was formerly known as 'cv' on github.

Package: progress-linux
Description-md5: a4115f6c503107a70d654a91be1dfe1a
Description-en: Progress Linux - Setup
 Progress Linux is a Debian derivative distribution focused on system
 integration.
 .
 This package allows one to setup the Progress Linux apt archives and ssh
 cert-authority to the current system.

Package: progress-linux-pgp-keys
Description-md5: 6b0d14eb7e2b090b3e13a23645064bcf
Description-en: Progress Linux - PGP Keys
 Progress Linux is a Debian derivative distribution focused on system
 integration.
 .
 This package contains the PGP keys used to verify digital signatures on
 packages and images.

Package: progress-linux-ssh-keys
Description-md5: 96864849b1a9dea50048d596ab83ca17
Description-en: Progress Linux - SSH Keys
 Progress Linux is a Debian derivative distribution focused on system
 integration.
 .
 This package contains the SSH keys used to verify digital signatures on host
 keys.

Package: progressivemauve
Description-md5: 1b3a5c7db196a734331de35b5112fef8
Description-en: multiple genome alignment algorithms
 The mauveAligner and progressiveMauve alignment algorithms have been
 implemented as command-line programs included with the downloadable Mauve
 software.  When run from the command-line, these programs provide options
 not yet available in the graphical interface.
 .
 Mauve is a system for efficiently constructing multiple genome alignments
 in the presence of large-scale evolutionary events such as rearrangement
 and inversion. Multiple genome alignment provides a basis for research
 into comparative genomics and the study of evolutionary dynamics.  Aligning
 whole genomes is a fundamentally different problem than aligning short
 sequences.
 .
 Mauve has been developed with the idea that a multiple genome aligner
 should require only modest computational resources. It employs algorithmic
 techniques that scale well in the amount of sequence being aligned. For
 example, a pair of Y. pestis genomes can be aligned in under a minute,
 while a group of 9 divergent Enterobacterial genomes can be aligned in
 a few hours.
 .
 Mauve computes and interactively visualizes genome sequence comparisons.
 Using FastA or GenBank sequence data, Mauve constructs multiple genome
 alignments that identify large-scale rearrangement, gene gain, gene loss,
 indels, and nucleotide substutition.
 .
 Mauve is developed at the University of Wisconsin.

Package: proguard
Description-md5: a4191a5cbbf1d131117114f89837c7b3
Description-en: Java class file shrinker, optimizer, and obfuscator
 ProGuard is a free Java class file shrinker, optimizer, and
 obfuscator.  It can detect and remove unused classes, fields,
 methods, and attributes. It can then optimize bytecode and remove
 unused instructions. Finally, it can rename the remaining classes,
 fields, and methods using short meaningless names. The resulting jars
 are smaller and harder to reverse-engineer.

Package: proguard-cli
Description-md5: e3411d0a27aa1797bc0792ab89265621
Description-en: Java class file shrinker, optimizer, and obfuscator (CLI)
 ProGuard is a free Java class file shrinker, optimizer, and
 obfuscator.  It can detect and remove unused classes, fields,
 methods, and attributes. It can then optimize bytecode and remove
 unused instructions. Finally, it can rename the remaining classes,
 fields, and methods using short meaningless names. The resulting jars
 are smaller and harder to reverse-engineer.
 .
 This package contains the Proguard command line tool.

Package: proguard-gui
Description-md5: c10fd85a96deb54888a5d322635c07b0
Description-en: Java class file shrinker, optimizer, and obfuscator (GUI)
 ProGuard is a free Java class file shrinker, optimizer, and
 obfuscator.  It can detect and remove unused classes, fields,
 methods, and attributes. It can then optimize bytecode and remove
 unused instructions. Finally, it can rename the remaining classes,
 fields, and methods using short meaningless names. The resulting jars
 are smaller and harder to reverse-engineer.
 .
 This package contains the Proguard desktop application.

Package: proj-bin
Description-md5: 4bbae817af68639fdf53f931d03c29cc
Description-en: Cartographic projection library (tools)
 Proj and invproj perform respective forward and inverse transformation
 of cartographic data to or from Cartesian data with a wide range of
 selectable projection functions (over 100 projections).
 .
 Geod and invgeod perform geodesic (Great Circle) computations for
 determining latitude, longitude and back azimuth of a terminus point given
 a initial point latitude, longitude, azimuth and distance (direct) or the
 forward and back azimuths and distance between an initial and terminus
 point latitudes and longitudes (inverse).
 .
 This package provides the PROJ binary tools.

Package: proj-data
Description-md5: bfcdac2c9d37aa595937c5e1c5e97591
Description-en: Cartographic projection filter and library (datum package)
 Proj and invproj perform respective forward and inverse transformation
 of cartographic data to or from Cartesian data with a wide range of
 selectable projection functions (over 100 projections).
 .
 Geod and invgeod perform geodesic (Great Circle) computations for
 determining latitude, longitude and back azimuth of a terminus point given
 a initial point latitude, longitude, azimuth and distance (direct) or the
 forward and back azimuths and distance between an initial and terminus
 point latitudes and longitudes (inverse).
 .
 This package contains auxiliary projection datum grids used by the
 library and tools.

Package: proj-ps-doc
Description-md5: 4e983e246f920ed92d369eb0fcad9377
Description-en: PostScript docs for cartographic projection filters and library
 Proj and invproj perform respective forward and inverse transformation
 of cartographic data to or from Cartesian data with a wide range of
 selectable projection functions (over 100 projections).
 .
 Geod and invgeod perform geodesic (Great Circle) computations for
 determining latitude, longitude and back azimuth of a terminus point given
 a initial point latitude, longitude, azimuth and distance (direct) or the
 forward and back azimuths and distance between an initial and terminus
 point latitudes and longitudes (inverse).
 .
 The package is the documentation in PostScript format for the proj package.

Package: project-x
Description-md5: dac355e0e821d2e5c1e7c3d42a84bafb
Description-en: DVB demuxing tool
 European digital radio & television uses the DVB standard to broadcast its
 data. Project X gives you a look behind the transmissions and tries its
 best to handle & repair many stream types and shows what went wrong on
 reception.

Package: projectcenter.app
Description-md5: 81013719da2a050097edb12d679b16fb
Description-en: IDE for GNUstep Development
 ProjectCenter is an integrated development environment (IDE) for
 GNUstep systems.  It is a clone of the ProjectBuilder application for
 NextStep, which became popular for its ease of use and speed of
 development.

Package: projectile-doc
Description-md5: 5dfb8342833e9bc826b6c397d39c92c9
Description-en: project interaction library for Emacs - documentation
 Projectile enhances Emacs with easy project management and
 navigation. The concept of a project is simple: just a folder
 containing a special file.
 .
 This package include projectile's HTML documentation.

Package: projectl
Description-md5: b90906ee9834816f321286534cd6d646
Description-en: sword action shooting
 The sword mechanics are everything in this game. Your sword rotates away from
 the direction your ship moves, and in doing so slices through the various
 enemies.

Package: projectm-data
Description-md5: 0f59e8186917e18833db90d9002d3bd7
Description-en: Advanced Milkdrop-compatible music visualization library - data
 libprojectm is an iterative music visualization library which uses
 OpenGL for hardware acceleration. It is compatible with Milkdrop
 presets.
 .
 This package contains the presets which are distributed with
 projectM.

Package: prokka
Description-md5: 7bfacc33e3d6f88d25c778b4c2028609
Description-en: rapid annotation of prokaryotic genomes
 A typical 4 Mbp genome can be fully annotated in less than 10 minutes on a
 quad-core computer, and scales well to 32 core SMP systems. It produces GFF3,
 GBK and SQN files that are ready for editing in Sequin and ultimately submitted
 to Genbank/DDJB/ENA.

Package: prolix
Description-md5: 79e09f9ffc6ba8c95cea2109382c2c88
Description-en: tool to interactively filter chatty command output
 prolix launches a command and captures its standard output and error,
 suppressing uninteresting lines according to a pattern. Yet unlike grep -v,
 prolix is an interactive program: When you find that the output you're being
 shown is still too verbose, simply hit enter and add additional suppression
 patterns. Suppression patterns can be full or substring line matches as well
 as regular expressions. You can also apply substitutions to lines, for example
 shorten overly chatty fields.

Package: prometheus
Description-md5: 7f48f84a4177e99e795f6f034f9349c3
Description-en: Monitoring system and time series database
 Prometheus is a systems and services monitoring system. It collects metrics
 from configured targets at given intervals, evaluates rule expressions,
 displays the results, and can trigger alerts if some condition is observed to
 be true.
 .
 Prometheus' main distinguishing features as compared to other monitoring
 systems are:
 .
  * A multi-dimensional data model (timeseries defined by metric name and set
    of key/value dimensions).
  * A flexible query language to leverage this dimensionality.
  * No dependency on distributed storage; single server nodes are autonomous.
  * Timeseries collection happens via a pull model over HTTP.
  * Pushing timeseries is supported via an intermediary gateway.
  * Targets are discovered via service discovery or static configuration.
  * Multiple modes of graphing and dashboarding support.
  * Federation support coming soon.

Package: prometheus-alertmanager
Description-md5: bdb9e14a529f9a4ca178ff7c56f2f73b
Description-en: Handle and deliver alerts created by Prometheus
 The Alertmanager handles alerts sent by client applications such as the
 Prometheus server. It takes care of deduplicating, grouping, and routing them
 to the correct receiver integration such as email, PagerDuty, or OpsGenie. It
 also takes care of silencing and inhibition of alerts.

Package: prometheus-apache-exporter
Description-md5: e0f78b4de6d146ff7d1815bf040e9583
Description-en: Prometheus exporter for Apache server metrics
 Prometheus exporter for Apache server metrics, written in Go.
 .
 This server reads Apache mod_status statistics and exports them via HTTP for
 consumption by Prometheus.

Package: prometheus-bind-exporter
Description-md5: 155215321c427005af6565a163d33c9a
Description-en: Prometheus exporter for Bind server metrics
 Prometheus exporter for Bind (named/dns) v9+ server metrics, written in Go.

Package: prometheus-bird-exporter
Description-md5: bbfa4011aaac98b69230482752eed96a
Description-en: Prometheus exporter for the BIRD routing daemon
 Prometheus exporter for the BIRD routing daemon, which collects metrics from
 BIRD's Unix control socket. Exposed metrics include:
 .
  * BGP session state
  * OSPF neighbor / interface count
  * Imported / exported / filtered prefix counts / route state changes (BGP,
    OSPF, Kernel, Static, Device, Direct)
  * Protocol uptimes (BGP, OSPF)

Package: prometheus-blackbox-exporter
Description-md5: c83420bb0f657233c14858be76c61e15
Description-en: Blackbox prober for Prometheus
 The blackbox exporter allows blackbox probing of network endpoints over HTTP,
 HTTPS, DNS, TCP and ICMP. Additional modules can be defined to suit other
 needs.
 .
 Querying of endpoints happens via HTTP GET queries, by specifying the target
 name and what kind of probing to execute. Results from the probe are returned
 as a set of Prometheus metrics.

Package: prometheus-hacluster-exporter
Description-md5: 8f246271e439f342ff140a51ea664100
Description-en: Prometheus exporter for HA cluster services
 Prometheus exporter for Pacemaker cluster services, written in Go.
 .
 This service scrapes status of Corosync, Pacemaker, SBD and DRBD
 services and exports them via HTTP for Prometheus consumption.

Package: prometheus-haproxy-exporter
Description-md5: 0f04610694b4891b4c07e0fadfddda0e
Description-en: HAProxy Exporter for Prometheus
 Simple server that scrapes HAProxy stats and exports them via HTTP for
 Prometheus consumption.

Package: prometheus-ipmi-exporter
Description-md5: 5a7234af932b452f2884a49a9f7f0385
Description-en: Prometheus exporter for IPMI devices
 Prometheus exporter for Intelligent Platform Management Interface (IPMI)
 device sensor metrics. Supports local IPMI devices (e.g., /dev/ipmi0), or
 remote devices via Remote Management Control Protocol (RMCP). When using
 RMCP, a single exporter can be used to monitor a large number of IPMI
 devices by passing a target hostname as a parameter.
 .
 This exporter relies on tools from the FreeIPMI suite for the actual IPMI
 implementation.

Package: prometheus-mailexporter
Description-md5: 3c845b7ec935d2730b12e6785d61f13f
Description-en: exports prometheus-style metrics about mail server functionality
 This package exports metrics for the prometheus monitoring system about
 mail server functionality. It can be used for mail delivery systems that
 are based on Maildir and tests MDA and optionally SMTP functionality.
 .
 Gathered metrics include roundtrip times and mail timeouts and exposes
 those in the prometheus metrics format.

Package: prometheus-mongodb-exporter
Description-md5: 950c3c621c98f63abad15b3d8082d2b6
Description-en: Prometheus exporter for MongoDB
 Prometheus exporter for MongoDB, written in Go.
 .
 This service scrapes MongoDB stats and exports them via HTTP for Prometheus
 consumption.

Package: prometheus-mysqld-exporter
Description-md5: 9ab79c552006c990d8f401d8c8d016ce
Description-en: Prometheus exporter for MySQL server.
 Prometheus exporter for MySQL server metrics. Supported MySQL versions: 5.1
 and up, however not all collection methods are supported on MySQL < 5.6.

Package: prometheus-nginx-exporter
Description-md5: d92e6abf96eb04c2b1aff77e20c8fb4a
Description-en: Prometheus exporter for NGINX webservers
 Official Prometheus exporter for NGINX, developed by NGINX, Inc. This exporter
 supports the NGINX stub_status handler, as well as the NGINX Plus API, and
 exposes metrics such as number of current requests and total requests served.

Package: prometheus-nginx-vts-exporter
Description-md5: 92cf50eac97774b7288b4f0f9cd4b212
Description-en: Prometheus exporter for Nginx VTS metrics
 Prometheus exporter for Nginx VTS metrics, written in Go.
 .
 This server scrapes Nginx VTS stats and exports them via HTTP for Prometheus
 consumption.

Package: prometheus-node-exporter
Description-md5: 9366958e5739169b3c3e951f14e3802f
Description-en: Prometheus exporter for machine metrics
 Prometheus exporter for machine metrics, written in Go with pluggable metric
 collectors.

Package: prometheus-node-exporter-collectors
Description-md5: a0e33c65384acc786c0e717e0ee65c4e
Description-en: Supplemental textfile collector scripts for Prometheus node_exporter
 Optional textfile collector scripts which extend the functionality of
 Prometheus node_exporter, or process output from external binaries into
 the Prometheus metrics format, to be exposed by node_exporter.

Package: prometheus-pgbouncer-exporter
Description-md5: 808ac095cfdfd0e5ced58bb221bdfa08
Description-en: Export metrics from pgbouncer to Prometheus
 This is a simple exporter for PgBouncer that makes several metrics available
 to Prometheus.
 .
 Metrics are exported from the SHOW LISTS, STATS, POOLS and DATABASES command
 output. For the full list, see the prometheus_pgbouncer_exporter/collectors.py
 file.

Package: prometheus-postfix-exporter
Description-md5: aedc440c542569e32af341be03601e84
Description-en: Prometheus exporter for Postfix mail servers
 Prometheus exporter which scrapes the systemd journal of Postfix MTA daemons
 to expose various metrics such as SMTP connection counts, message delivery
 statuses / delays etc. This exporter has a slight advantage over the mtail
 log parser approach, since it also connects to the Postfix admin Unix socket
 to expose metrics about messages currently in the queue.

Package: prometheus-postgres-exporter
Description-md5: a03ff7f6f3924bc3d982523f8ddca638
Description-en: Prometheus exporter for PostgreSQL server metrics
 Prometheus exporter for PostgreSQL server metrics, written in Go.
 Supports Postgres versions 9.4 and up.

Package: prometheus-process-exporter
Description-md5: 4076c3304a9fe29d43ed04aaf82b2157
Description-en: Prometheus exporter that exposes process metrics from procfs
 Some apps are impractical to instrument directly, either because you don't
 control the code or they're written in a language that isn't easy to
 instrument with Prometheus. This exporter solves that issue by mining
 process metrics from procfs.

Package: prometheus-pushgateway
Description-md5: 95fbeb244faa98192d9c460610063023
Description-en: Prometheus exporter for ephemereal jobs
 The Prometheus Pushgateway exists to allow ephemeral and batch jobs to expose
 their metrics to Prometheus. Since these kinds of jobs may not exist long
 enough to be scraped, they can instead push their metrics to a Pushgateway.
 The Pushgateway then exposes these metrics to Prometheus.
 .
 The Pushgateway is explicitly not an aggregator, but rather a metrics cache.
 It does not have a statsd-like semantics. The metrics pushed are exactly the
 same as you would present for scraping in a permanently running program.
 .
 For machine-level metrics, the textfile collector of prometheus-node-exporter
 is usually more appropriate. The Pushgateway is best used for service-level
 metrics.

Package: prometheus-snmp-exporter
Description-md5: 009f2bf0727254a8939c746159c2aaee
Description-en: Prometheus exporter for SNMP-enabled devices
 An exporter for Prometheus which exposes information gathered from Simple
 Network Management Protocol (SNMP) for use by the Prometheus monitoring
 system.
 .
 There are two components - an exporter which does the actual scraping, and a
 generator which creates the configuration for use by the exporter.

Package: prometheus-sql-exporter
Description-md5: 9263de1244ec588d0b84cd1488f1ffc4
Description-en: Flexible SQL Exporter for Prometheus
 This Prometheus exporter extracts various metrics from PostgreSQL, MySQL, and
 MSSQL databases. The metrics are configurable via a YAML file.

Package: prometheus-squid-exporter
Description-md5: fd5696f5e49e10b5f480edadffa9782f
Description-en: Prometheus exporter for Squid proxy servers
 Prometheus exporter which connects to a Squid proxy server's cache manager
 API and exposes metrics such as:
 .
  * Cache hit counters
  * Client HTTP request / byte counters
  * Server HTTP / FTP requests
  * Request error counters

Package: prometheus-trafficserver-exporter
Description-md5: d12ae50df6557965441c7c18109f55d4
Description-en: Prometheus exporter for Apache Traffic Server
 prometheus-trafficserver-exporter is an Apache Traffic Server metrics
 exporter for Prometheus. It uses the stats_over_http Traffic Server
 plugin to translate JSON data into Prometheus format.

Package: prometheus-varnish-exporter
Description-md5: 8e1e38fb3c826f961b59c38a63310a20
Description-en: Prometheus exporter for Varnish
 Prometheus exporter for Varnish metrics.
 .
 prometheus-varnish-exporter scrapes the varnishstat -j JSON output on
 each Prometheus collect and exposes all reported metrics. Metrics with
 multiple backends or Varnish defined identifiers (e.g. VBE.*.happy
 SMA.*.c_bytes LCK.*.creat) and other metrics with similar structure
 (e.g. MAIN.fetch_*) are combined under a single metric name with
 distinguishable labels. Vanish naming conventions are preserved as much
 as possible to be familiar to Varnish users when building queries,
 while at the same time trying to following Prometheus conventions like
 lower casing and using _ separators.

Package: prometheus-xmpp-alerts
Description-md5: ef25cdc74cc26235cbd03313de447e61
Description-en: web hook that forwards prometheus alerts over XMPP
 This package provides a web hook for the prometheus alertmanager
 that can deliver alerts from prometheus over XMPP (Jabber).

Package: pronsole
Description-md5: c17ba2c4f94965754f156dc3b3bb0a56
Description-en: Command-line 3D printer host
 Printrun is a full suite of host interfaces for 3D printers and CNC,
 consisting of:
  * printcore, a standalone non-interactive G-Code sender
  * pronsole, an interactive command-line host
  * pronterface, a graphical host software with the same functionality as
    pronsole
 .
 Together with Slic3r they form a powerful 3d printing toolchain.
 .
 This package contains scripts and metadata for pronsole, a command-line 3D
 printer host.

Package: pronterface
Description-md5: 8e223da57019240259b4433308cc28e6
Description-en: Graphical 3D printer host
 Printrun is a full suite of host interfaces for 3D printers and CNC,
 consisting of:
  * printcore, a standalone non-interactive G-Code sender
  * pronsole, an interactive command-line host
  * pronterface, a graphical host software with the same functionality as
    pronsole
 .
 Together with Slic3r they form a powerful 3d printing toolchain.
 .
 This package contains scripts and metadata for pronterface, a graphical 3D
 printer host.

Package: proofgeneral
Description-md5: d9db6f28a37c20061313aac90898d734
Description-en: generic frontend for proof assistants
 Proof General is a major mode to turn Emacs into an interactive proof
 assistant to write formal mathematical proofs using a variety of
 theorem provers.
 .
 This package provides Proof General support for Coq. (There is no
 other proof assistant that one could sensibly support.)

Package: proofgeneral-doc
Description-md5: cdd14456c76d5e2c98c64600689dd506
Description-en: generic frontend for proof assistants - documentation
 Proof General is a major mode to turn Emacs into an interactive proof
 assistant to write formal mathematical proofs using a variety of
 theorem provers.
 .
 This package provides the Proof General user manual in info, html and
 pdf form.

Package: prooftree
Description-md5: b27f2d4918792b35e6871a08a544fafa
Description-en: proof-tree visualization for Proof General
 Prooftree draws proof trees during interactive proof development
 with Proof General. One can inspect goals and proof commands
 and check where existential variables were introduced and
 instantiated. Currently, Prooftree does only work for Coq.

Package: proot
Description-md5: f74471c95fd77fd66894129479bbdcba
Description-en: emulate chroot, bind mount and binfmt_misc for non-root users
 PRoot is a user-space implementation of chroot, mount --bind,
 and binfmt_misc.
 .
 This means that users don't need any privileges or setup to do things like
 using an arbitrary directory as the new root filesystem, making files
 accessible somewhere else in the filesystem hierarchy, or executing programs
 built for another CPU architecture transparently through QEMU user-mode.
 .
 Also, developers can add their own features or use PRoot as a Linux process
 instrumentation engine thanks to its extension mechanism.
 .
 Technically PRoot relies on ptrace, an unprivileged system-call available in
 every Linux kernel.

Package: propaganda-debian
Description-md5: 3de319eaa549f184b64502dad9f79b5e
Description-en: Propaganda background image volume for Debian
 This package contains images intended to be used as desktop backgrounds.
 It was put together specifically for Debian and includes images from
 previous volumes of Propaganda as well as some unique tiles.
 .
 Note: This package does not include images that contain the Debian logo.

Package: propellor
Description-md5: 999d6dcb9dee319b5c340b4d77d9a3d9
Description-en: property-based host configuration management in haskell
 Propellor ensures that the system it's run in satisfies a list of
 properties, taking action as necessary when a property is not yet met.
 .
 It is configured using haskell.

Package: prosody
Description-md5: 12d4cb414b879c7a9ec6762a4708b10c
Description-en: Lightweight Jabber/XMPP server
 Prosody is a modern XMPP communication server. It aims to be
 easy to set up and configure, and efficient with system resources.
 Additionally, for developers it aims to be easy to extend and
 give a flexible system on which to rapidly develop added
 functionality, or prototype new protocols.

Package: prosody-modules
Description-md5: c9eb30a54ddf3826b11d850d34750265
Description-en: Selection of community modules for Prosody
 This package contains extensions to the Prosody XMPP server.
 This is a selection of the community provided archive at
 http://hg.prosody.im/prosody-modules/
 .
 modules included:
   - mod_atom: exposes users microblogging on HTTP
   - mod_auth_dovecot: dovecot authentication
   - mod_auth_ha1: HA1 based authentication
   - mod_auth_ldap: LDAP authentication with several features
   - mod_auth_ldap2: LDAP authentication
   - mod_auth_sql: SQL Database authentication module
   - mod_blocking: XEP-0191: Blocking Command
   - mod_block_strangers: block messages from jids not in roster
   - mod_bob: cache Bits of Binary on MUC services
   - mod_bookmarks: XEP-0411: Synchronise bookmarks between Private XML and PEP
   - mod_broadcast: broadcast a message to online users, like mod_announce
   - mod_c2s_conn_throttle: c2s connections throttling module
   - mod_carbons_adhoc: XEP-0280: adhoc command for Message Carbons
   - mod_carbons_copies: XEP-0280: Message Carbons, v0 style copy support
   - mod_client_certs: XEP-0257: Client Certificate Management for SASL
     EXTERNAL
   - mod_cloud_notify: XEP-0357: Push Notifications
   - mod_csi/mod_csi_battery_saver/mod_filter_chatstates/mod_throttle_presence:
     XEP-0352: Client State Indication
   - mod_default_bookmarks: default bookmarks for users
   - mod_delegation: XEP-0355: Namespace Delegation
   - mod_firewall: block, bounce, drop, forward, copy, redirect stanzas
   - mod_host_guard: granular remote host blacklisting plugin
   - mod_http_upload: XEP-0363: upload files over HTTP for clients
   - mod_http_muc_log: web interface to stored chatroom logs
   - mod_invite: allows users with an account to generate single-use
     invite URLs using an ad-hoc command
   - mod_ipcheck: XEP-0279: server IP check
   - mod_lastlog: stores the timestamp of when a user logs in
   - mod_lib_ldap: LDAP lib
   - mod_list_inactive: lists users, who haven't used their account in a
     defined time-frame
   - mod_log_auth: log failed authentication attempts with their IP address
   - mod_mam_adhoc: XEP-0313: adhoc command for Message Archive Management
   - mod_muc_log: logs the conversation of chatrooms running on the server
     to Prosody’s data store
   - mod_muc_log_http: provides a built-in web interface to view chatroom logs
     stored by mod_muc_log
   - mod_net_dovecotauth: server implementation of the Dovecot
     authentication protocol. It allows you to authenticate e.g.
     Postfix against your Prosody installation.
   - mod_onions: allow federation (s2s) to Tor hidden services
   - mod_pastebin: redirect long messages to built-in pastebin
   - mod_privacy_lists: XEP-0016: privacy lists
   - mod_private_adhoc: retrieve private XML data via adhoc command
   - mod_privilege: XEP-0356: allows entity/component to have privileged
     access
   - mod_profile: replacement for mod_vcard
   - mod_prometheus: implements the Prometheus reporting protocol
   - mod_pubsub_feeds: fetch Atom and RSS feeds, push to pubsub subscribers
   - mod_pubsub_mqtt: lets MQTT clients connect to pubsub nodes
   - mod_pubsub_text_interface: lets users manage subscriptions to pubsub
     nodes via simple chat messages
   - mod_register_json: token based JSON registration & verification servlet
   - mod_register_redirect: XEP-077: IBR Registration Redirect
   - mod_register_web: web interface to register user accounts
   - mod_reload_modules: automatically reload modules with the config
   - mod_require_otr: enforce a policy for OTR-encrypted messages
   - mod_s2s_idle_timeout: close idle server-to-server connections
   - mod_seclabels: XEP-0258: Security Labels in XMPP
   - mod_server_status/mod_stanza_counter: server status plugin
   - mod_smacks: XEP-0198: Stream Management
   - mod_storage_ldap: LDAP storage (read-only) for rosters and vCards.
   - mod_support_contact: add a support contact to new registrations
   - mod_vcard_muc: set vCard for MUC rooms, e.g. for MUC room avatars
   - mod_vjud: XEP-0055: Jabber Search
   - mod_webpresence: display your online status in web pages

Package: proteinortho
Description-md5: e3a91e234fd7863ad7849747c6f6e226
Description-en: Detection of (Co-)orthologs in large-scale protein analysis
 Proteinortho is a stand-alone tool that is geared towards large datasets
 and makes use of distributed computing techniques when run on multi-core
 hardware. It implements an extended version of the reciprocal best
 alignment heuristic. Proteinortho was applied to compute orthologous
 proteins in the complete set of all 717 eubacterial genomes available at
 NCBI at the beginning of 2009. Authors succeeded identifying thirty
 proteins present in 99% of all bacterial proteomes.

Package: protobuf-c-compiler
Description-md5: f7ead9d693a53ffd3f7ac107f652a871
Description-en: Protocol Buffers C compiler (protobuf-c)
 Protocol Buffers are a flexible, efficient, automated mechanism for
 serializing structured data - similar to XML, but smaller, faster, and
 simpler. You define how you want your data to be structured once, then you can
 use special generated source code to easily write and read your structured
 data to and from a variety of data streams and using a variety of languages.
 You can even update your data structure without breaking deployed programs
 that are compiled against the "old" format.
 .
 This is the "protobuf-c" implementation of Protocol Buffers in C.
 .
 This package contains the "protoc-c" code generator that creates C stubs from
 Protocol Buffers .proto files. These stubs must be compiled and linked against
 the libprotobuf-c support library.

Package: protobuf-compiler
Description-md5: 1054ab94728e41bc0d59a82d40d1611e
Description-en: compiler for protocol buffer definition files
 Protocol buffers are a flexible, efficient, automated mechanism for
 serializing structured data - similar to XML, but smaller, faster, and
 simpler. You define how you want your data to be structured once, then you can
 use special generated source code to easily write and read your structured
 data to and from a variety of data streams and using a variety of languages.
 You can even update your data structure without breaking deployed programs
 that are compiled against the "old" format.
 .
 Google uses Protocol Buffers for almost all of its internal RPC protocols and
 file formats.
 .
 This package contains the protocol buffer compiler that is used for
 translating from .proto files (containing the definitions) to the language
 binding for the supported languages.

Package: protobuf-compiler-grpc
Description-md5: 8d28232f23390c3159e16acd56f28f68
Description-en: high performance general RPC framework - protobuf plugin
 A modern, open source remote procedure call (RPC) framework that can
 run anywhere. It enables client and server applications to communicate
 transparently, and makes it easier to build connected systems.
 .
 This package provides the plugins needed for compiling gRPC service
 definitions with the protobuf compiler.

Package: protoc-gen-yarpc
Description-md5: 85bc3190c687be9d3d60c1f38e0e41c4
Description-en: Yet Another RPC for Go - command-line utility
 yarpc is Yet Another RPC package for Go.
 .
 This package contails the command-line utility

Package: protracker
Description-md5: e0885520145792c65cbbb44b6abd53f9
Description-en: transitional dummy package
 This is a transitional dummy package for migration from
 protracker to pt2-clone.
 .
 This package can be safely removed.

Package: prottest
Description-md5: 1454155e5ec803ebc286ba865e4e36cf
Description-en: selection of best-fit models of protein evolution
 PROTTEST (ModelTest's relative) is a program for selecting the model of
 protein evolution that best fits a given set of sequences (alignment).
 This java program is based on the Phyml program (for maximum likelihood
 calculations and optimization of parameters) and uses the PAL library as
 well. Models included are empirical substitution matrices (such as WAG,
 LG, mtREV, Dayhoff, DCMut, JTT, VT, Blosum62, CpREV, RtREV, MtMam,
 MtArt, HIVb, and HIVw) that indicate relative rates of amino acid
 replacement, and specific improvements (+I:invariable sites, +G: rate
 heterogeneity among sites, +F: observed amino acid frequencies) to
 account for the evolutionary constraints impossed by conservation of
 protein structure and function. ProtTest uses the Akaike Information
 Criterion (AIC) and other statistics (AICc and BIC) to find which of the
 candidate models best fits the data at hand.

Package: prov-tools
Description-md5: 8de8da81ba4799a685f33d0e133bda31
Description-en: tools for prov
 A library for W3C Provenance Data Model supporting PROV-JSON and PROV-
 XML import/export.
 .
 Features:
  - An implementation of the W3C PROV Data Model in Python.
  - In-memory classes for PROV assertions, which can then be output
    as PROV-N.
  - Serialization and deserializtion support: PROV-JSON and PROV-XML.
  - Exporting PROV documents into various graphical formats (e.g. PDF,
    PNG, SVG).
 .
 This package provides the command-line tools.

Package: prover9-doc
Description-md5: 3666da0276f73cac13717eb7f17a3d20
Description-en: documentation for Prover9 and associated programs
 Prover9 is an automated theorem prover for first-order and equational
 logic. It is a successor of the Otter prover.  Prover9 uses the
 inference techniques of ordered resolution and paramodulation with
 literal selection.
 .
 This package provides documentation for Prover9, Mace4 and other
 associated programs.

Package: proxsmtp
Description-md5: 389351b21c04ea291ba17d1690f4adbd
Description-en: multi purpose SMTP Proxy
 ProxSMTP is a flexible tool that allows you to reject, change or log email
 based on arbitrary critera.  It accepts SMTP connections and forwards the SMTP
 commands and responses to another SMTP server.  The 'DATA' email body is
 intercepted and filtered before forwarding.
 .
 You need to be able to write the filtering scripts that integrate it with your
 particular needs.  If you're looking for something that does virus filtering,
 take a look at ClamSMTP which behaves similarly and uses a similar code base.
 .
 You do not need a local mail-transport-agent installed, since this server can
 forward to a remote one.

Package: proxychains
Description-md5: 040457b770c0fda03ec53238fc3e3cb4
Description-en: proxy chains - redirect connections through proxy servers
 Proxy chains force any tcp connection made by any given tcp client
 to follow through proxy (or proxy chain). It is a kind of proxifier.
 It acts like sockscap / premeo / eborder driver ( intercepts TCP calls )
 .
 This version supports SOCKS4, SOCKS5 and HTTP CONNECT proxy servers.
 Different proxy types can be mixed in the same chain.
 .
 Features
   * Access Internet from behind restrictive firewall.
   * Source IP masquerade.
   * SSH tunneling and forwarding.
   * Dynamic LAN-to-LAN VPN channel.
   * Servers and daemons friendly (works fine with sendmail MTA).
 .
 http://proxychains.sourceforge.net

Package: proxychains4
Description-md5: 248efdc0bee35370891819d61c64dcff
Description-en: redirect connections through socks/http proxies (proxychains-ng)
 Proxychains is a UNIX program, that hooks network-related libc functions
 in dynamically linked programs via a preloaded DLL (dlsym(), LD_PRELOAD)
 and redirects the connections through SOCKS4a/5 or HTTP proxies.
 It supports TCP only (no UDP/ICMP etc).
 .
 This project, proxychains-ng, is the continuation of the unmaintained
 proxychains project (known as proxychains package in Debian).

Package: proxycheck
Description-md5: b19705e1ce3bcf742de2e15ff63ad17a
Description-en: checks existence of open proxy
 proxycheck is a simple tool that will work on a reasonable *nix system
 and may be used to quickly check whenever a given host or set of hosts
 has open proxy server running

Package: proxytrack
Description-md5: 184e6e135b92e971d44cae6217ce752a
Description-en: Build HTTP Caches using archived websites copied by HTTrack
 ProxyTrack is a simple proxy server aimed to deliver content archived by
 HTTrack sessions. It can aggregate multiple download caches, for direct
 use (through any browser) or as an upstream cache slave server.
 This proxy can handle HTTP/1.1 proxy connections, and is able to reply to
 ICPv2 requests for an efficient integration within other cache servers,
 such as Squid. It can also handle transparent HTTP requests to allow
 cached live connections inside an offline network.

Package: proxytunnel
Description-md5: 283fc47c104825ad549ac44d65fe8c69
Description-en: Create tcp tunnels trough HTTPS proxies, for using with SSH
 Proxytunnel is a program that connects stdin and stdout
 to an origin server somewhere in the Internet through an industry
 standard HTTPS proxy. It was originally written to be used
 as an extension to SSH, to be used to SSH to a box at home. It's possible
 to use proxytunnel along with other applications as well.

Package: prt
Description-md5: fc9522f66fda95badfdaa9bcae0eea46
Description-en: Command line Perl Refactoring Tool
 App::PRT is command line tools for Refactoring Perl.

Package: prusa-slicer
Description-md5: e48ca208c5da5526c62976a94a73417c
Description-en: G-code generator for 3D printers
 PrusaSlicer converts digital 3D models into printing instructions (G-code)
 for your 3D printer. It cuts the model into horizontal slices (layers),
 generates toolpaths to fill them and calculates the amount of material
 to be extruded.
 .
 PrusaSlicer supports input in the STL, AMF and OBJ formats, and can output
 G-code for several series of 3D printers, including RepRap, Ultimaker,
 Makerbot, as well as SVG files for DLP printers.
 .
 It can be used with a graphical interface, or in batch mode via the
 command-line.

Package: pry
Description-md5: ce99f68a73f6097b1ef921b937e4c46b
Description-en: powerful irb alternative and runtime developer console
 Pry is a powerful alternative to the standard IRB shell for Ruby. It is
 written from scratch to provide a number of advanced features, some of
 these include:
 .
  - Source code browsing (including core C source with the pry-doc gem)
  - Documentation browsing
  - Live help system
  - Open methods in editors (`edit-method Class#method`)
  - Syntax highlighting
  - Command shell integration (start editors, run git, and rake from
    within Pry)
  - Gist integration
  - Navigation around state (`cd`, `ls` and friends)
  - Runtime invocation (use Pry as a developer console or debugger)
  - Exotic object support (BasicObject instances, IClasses, ...)
  - A Powerful and flexible command system
  - Ability to view and replay history
  - Many convenience commands inspired by IPython, Smalltalk and other
    advanced  REPLs

Package: ps-watcher
Description-md5: 096cb74fa13fac0e06fa496de74935c5
Description-en: monitoring a system via ps-like commands
 This program runs the ps command periodically and triggers commands on matches.
 The match patterns are Perl regular expressions which can refer to the process
 information via variables.
 .
 For example it can be used to ensure that a daemon is running, or is not
 running too many times. It can also be used to determine when a process has
 consumed too many resources, perhaps due to a memory leak.

Package: ps2eps
Description-md5: ae6ae6db88e476b50904f3dca5c12a21
Description-en: convert PostScript to EPS (Encapsulated PostScript) files
 ps2eps is a tool to produce Encapsulated PostScript Files (EPS/EPSF)
 from usual one-paged Postscript documents. It calculates correct
 Bounding Boxes for those EPS files and filters some special
 postscript command sequences that can produce erroneous results on
 printers.  EPS files are needed for including (scalable) graphics
 into TeX (or even Word) documents.  Other programs like ps2epsi do
 not calculate the bounding box always correctly (because the values are
 put on the PostScript stack which may get corrupted by bad PostScript
 code) or rounded it off so that clipping the EPS cut off some parts of
 the image. Therefore ps2eps uses a resolution of 144 DPI to get the
 correct bounding box.

Package: psad
Description-md5: e3992a132756dd53e418757efcf09239
Description-en: Port Scan Attack Detector
 PSAD is a collection of four lightweight system daemons (in Perl and
 C) designed to work with iptables to detect port scans. It features:
  * a set of highly configurable danger thresholds (with sensible
    defaults provided);
  * verbose alert messages that include the source, destination,
    scanned port range, beginning and end times, TCP flags, and
    corresponding Nmap options;
  * reverse DNS information;
  * alerts via email;
  * automatic blocking of offending IP addresses via dynamic firewall
    configuration.
 .
 When combined with fwsnort and the iptables string match extension,
 PSAD is capable of detecting many attacks described in the Snort rule
 set that involve application layer data.

Package: psautohint
Description-md5: 51235469982ad4deed91c64d43f7da6d
Description-en: standalone version of the AFDKO autohinter
 psautohint is a standalone version of the autohinter from the Adobe Font
 Development Kit for OpenType (AFDKO).
 .
 This package provides the executable program.

Package: pscan
Description-md5: 6762913d9c3ea339ed7e1378fc2d8527
Description-en: Format string security checker for C files
 pscan is a program which scans C source files for
 common function abuses, which often lead to security problems.
 .
 Functions which use variadic arguments will be flagged if they
 appear to contain user-controlled input.
 .
 It is a useful tool for those performing source code audits.

Package: pscan-chip
Description-md5: 7d9dfc67111eeb94ca580e301b5b2847
Description-en: ChIP-based identifcation of TF binding sites
 Regulation of transcription is one of the main check points of gene
 expression regulation and plays a key role in fundamental processes like
 cellular differentiation and dynamic molecular responses to stimuli The
 transcriptional activity of genes is finely regulated by the interaction
 of sequence elements on the DNA (transcription factor binding sites or
 TFBSs) and particular proteins called Transcription Factors (TFs).
 ,
 TFBSs are usually clustered in specific regulatory genomic regions
 called promoters and enhancers. TFs usually recognize TFBSs in a loose
 sequence specific fashion but there is no computational way to determine
 if any given sequence motif on the DNA is actually bound in-vivo by a
 TF, even when the motif is an istance of the sequences typically bound
 by the TF itself.
 .
 Tools like Pscan and PscanChIP analyse a set of regulatory sequences
 to detect motif enrichment. The rationale is that if a given TFBS is
 present in a "surpisingly high" number of istances then there is a good
 chance that the TF that recognize that motif is a common regulator of
 the input sequences, thus they use redundancy as an information source.
 .
 While Pscan (of the pscan-tfbs package) is tailored to work on promoters,
 that is the regulatory regions upstream of transcription start sites,
 PscanChIP is suited to work on more general regulatory genomic regions
 like the ones identified through ChIP-Seq experiments.

Package: pscan-chip-data
Description-md5: 045fef9f3e5011038a23bee0eca01fd8
Description-en: auxiliary data for PScan-ChIP
 The background (BG) folder with precomputed data for a selection of
 mouse and human cell lines, derived from Jaspar and Transfac matrices
 is distribted architecture-independently in this package.

Package: pscan-tfbs
Description-md5: d8a47e90451f258aae8a4632a748f9ef
Description-en: search for transcription factor binding sites
 Pscan finds Over-represented Transcription Factor Binding Site Motifs in
 Sequences from Co-Regulated or Co-Expressed Genes.
 .
 Pscan is a software tool that scans a set of sequences (e.g. promoters)
 from co-regulated or co-expressed genes with motifs describing the
 binding specificity of known transcription factors and assesses which
 motifs are significantly over- or under-represented, providing thus
 hints on which transcription factors could be common regulators of the
 genes studied, together with the location of their candidate binding
 sites in the sequences. Pscan does not resort to comparisons with
 orthologous sequences and experimental results show that it compares
 favorably to other tools for the same task in terms of false positive
 predictions and computation time. The website is free and open to all
 users and there is no login requirement.

Package: psensor
Description-md5: 67710028e6001d967a9b3107c525d220
Description-en: display graphs for monitoring hardware temperature
 Psensor is a GTK+ application for monitoring hardware sensors,
 including temperatures and fan speeds.
 .
 It displays a curve for each sensor, alerts user using Desktop Notification
 and Application Indicator when a temperature is too high.
 .
 It can monitor:
  * the temperature of the motherboard and CPU sensors (using lm-sensors).
  * the temperature of the NVidia GPUs (using XNVCtrl).
  * the temperature of the Hard Disk Drives (using hddtemp or atasmart lib).
  * the rotation speed of the fans (using lm-sensors).
  * the sensors of a remote computer (using psensor-server).

Package: psensor-common
Description-md5: f6a61d9818ff052f2ccd6d0868a9e66d
Description-en: common files for Psensor and Psensor server
 It contains:
  * the languages packs,
  * the README,
  * and the FAQ.
 This package is required by psensor and psensor-server packages.

Package: psensor-server
Description-md5: a3ebec3cb4d1c81f2f24364cafc52706
Description-en: Psensor server for monitoring hardware sensors remotely
 Psensor server is an HTTP server providing a JSON Web service which can be
 used by Psensor GTK+ Application to monitor remotely the hardware sensors
 of a computer.
 .
 It can provide information about:
  * the temperature of the motherboard and CPU sensors (using lm-sensors).
  * the temperature of the NVidia GPUs (using XNVCtrl).
  * the temperature of the Hard Disk Drives (using hddtemp).
  * the rotation speed of the fans (using lm-sensors).
 .
 It is also possible to connect to Psensor server with a browser, a simple
 Web page is displaying the information.

Package: pseudo
Description-md5: e8ca5be20058441e3c154ed0d7778bc0
Description-en: advanced tool for simulating superuser privileges
 The pseudo utility offers a way to run commands in a virtualized "root"
 environment, allowing ordinary users to run commands which give the
 illusion of creating device nodes, changing file ownership, and otherwise doing
 things necessary for creating distribution packages or filesystems.
 .
 Pseudo has a lot of similarities to fakeroot but is a new implementation
 that improves on the problems seen using fakeroot. Pseudo is now
 extensively used by Poky as a replacement to fakeroot but can also be
 used standalone in many other use cases.

Package: psfex
Description-md5: 074bbc17893606c2b25d989a20a6296e
Description-en: Point Spread Function model extractor
 Extract models of the Point Spread Function (PSF) from FITS images processed
 with SExtractor, and measures the quality of images. The generated PSF models
 can be used for model-fitting photometry or morphological analyses.

Package: psgml
Description-md5: b2c9b27f4900c636147820686129e7b3
Description-en: Emacs major mode for editing SGML documents
 PSGML is a major mode for the editor Emacs used for editing SGML
 documents.  It contains a simple SGML parser and can work with any
 DTD. (The most popular nowadays are the HTML DTDs.  This package
 turns your emacs into the one of most powerful HTML editors and will
 be ultimately flexible as well, since you could upgrade your editor
 by just installing new DTDs).  Functions provided includes menus and
 commands for inserting tags with only the contextually valid tags,
 identification of structural errors, editing of attribute values in a
 separate window with information about types and defaults, and
 structure based editing.
 .
 Since psgml parses the DTD to allow you to edit SGML documents, you do
 need to have the DTDs installed in order to use psgml.  Since psgml
 installs itself as an HTML mode in Emacs, it depends on sgml-data.  Some
 other packages which also provide SGML DTDs are suggested, like
 debiandoc-sgml and linuxdoc-sgml.
 .
 SGML, a language for encoding the structure of a document, is an ISO
 standard: ISO 8879:1986 "Information processing - Text and office
 systems - Standard Generalized Markup Language (SGML)".
 .
 Psgml is a standard package for XEmacs, and thus this package does not
 install itself for XEmacsen.
 .
 The official home page has not been updated since 2005.  The latest version
 of this package was built from an unofficial version at
 <URL:http://www.fsavigny.de/gpled-software/psgml-1.4.0.tar.gz>

Package: psi
Description-md5: 95872e53da59790fdeb9cb050a265661
Description-en: Jabber client using Qt
 Psi is a free instant messaging application designed for the Jabber
 (XMPP) IM network (including Google Talk). It has full Unicode support,
 and supports many important XMPP features like file transfer, group chat,
 encryption etc.

Package: psi-plus
Description-md5: 7358231f835df7ee20c34ad5e4b9b21b
Description-en: Qt-based XMPP client (basic version)
 This package contains basic version of Psi+. Use it if you prefer old
 fashioned plain text chats from era of IRC heyday.
 .
 Psi IM is a capable XMPP client aimed at experienced users. There are
 keybindings for just about everything, Unicode is supported throughout,
 contacts are cached offline. Security is also a major consideration, and
 Psi IM provides it for both client-to-server (TLS) and client-to-client
 (GnuPG, OTR, OMEMO).
 .
 Psi+ is a development branch of Psi IM. Project purposes are:
 implementation of new features, writing of patches and plugins for
 transferring them to Psi IM.
 .
 List of supported XEPs you may found at:
 https://github.com/psi-im/psi/wiki/Supported-XEPs
 .
 Full list of Psi+ features you may found at:
 https://psi-plus.com/wiki/en:features

Package: psi-plus-common
Description-md5: 093f47ee593d3874cbbcf8479c54fd01
Description-en: common files for Psi+
 This package contains common files for Psi+.
 .
 Psi IM is a capable XMPP client aimed at experienced users. There are
 keybindings for just about everything, Unicode is supported throughout,
 contacts are cached offline. Security is also a major consideration, and
 Psi IM provides it for both client-to-server (TLS) and client-to-client
 (GnuPG, OTR, OMEMO).
 .
 Psi+ is a development branch of Psi IM. Project purposes are:
 implementation of new features, writing of patches and plugins for
 transferring them to Psi IM.
 .
 List of supported XEPs you may found at:
 https://github.com/psi-im/psi/wiki/Supported-XEPs
 .
 Full list of Psi+ features you may found at:
 https://psi-plus.com/wiki/en:features

Package: psi-plus-l10n
Description-md5: 11524e36fc7b0136cb478634db205832
Description-en: localization files for Psi+
 This package contains localization files for Psi+.
 .
 If you want to add new or update any existing translation see:
 https://github.com/psi-plus/psi-plus-l10n
 https://www.transifex.com/tehnick/psi-plus/
 .
 Psi IM is a capable XMPP client aimed at experienced users. There are
 keybindings for just about everything, Unicode is supported throughout,
 contacts are cached offline. Security is also a major consideration, and
 Psi IM provides it for both client-to-server (TLS) and client-to-client
 (GnuPG, OTR, OMEMO).
 .
 Psi+ is a development branch of Psi IM. Project purposes are:
 implementation of new features, writing of patches and plugins for
 transferring them to Psi IM.
 .
 Full list of features you may found at: https://psi-plus.com/wiki/en:features

Package: psi-plus-plugins
Description-md5: a0da2c7fd2acf94b7acd1d41b81d7b47
Description-en: plugins for Psi+
 This package contains main plugins for Psi+:
 \* Attention Plugin
 \* Autoreply Plugin
 \* Birthday Reminder Plugin
 \* Chess Plugin
 \* Cleaner Plugin
 \* Client Switcher Plugin
 \* Conference Logger Plugin
 \* Content Downloader Plugin
 \* Enum Messages Plugin
 \* Extended Menu Plugin
 \* Extended Options Plugin
 \* GnuPG Plugin
 \* Gomoku Game Plugin
 \* History Keeper Plugin
 \* HTTP Upload Plugin
 \* ICQ Must Die Plugin
 \* Image Plugin
 \* Image Preview Plugin
 \* Jabber Disk Plugin
 \* Juick Plugin
 \* Message Filter Plugin
 \* OMEMO Plugin
 \* Off-the-Record Plugin
 \* PEP Change Notify Plugin
 \* QIP X-Statuses Plugin
 \* Screenshot Plugin
 \* Skins Plugin
 \* Stop Spam Plugin
 \* Storage Notes Plugin
 \* Translate Plugin
 \* Video Status Plugin
 \* Watcher Plugin
 .
 Psi IM is a capable XMPP client aimed at experienced users. There are
 keybindings for just about everything, Unicode is supported throughout,
 contacts are cached offline. Security is also a major consideration, and
 Psi IM provides it for both client-to-server (TLS) and client-to-client
 (GnuPG, OTR, OMEMO).
 .
 Psi+ is a development branch of Psi IM. Project purposes are:
 implementation of new features, writing of patches and plugins for
 transferring them to Psi IM.
 .
 List of supported XEPs you may found at:
 https://github.com/psi-im/psi/wiki/Supported-XEPs
 .
 Full list of Psi+ features you may found at:
 https://psi-plus.com/wiki/en:features

Package: psi-plus-skins
Description-md5: ec8a489a233233c09cca1ae7f93c319c
Description-en: skins for Psi+
 This package contains skins for Psi+.
 .
 Psi IM is a capable XMPP client aimed at experienced users. There are
 keybindings for just about everything, Unicode is supported throughout,
 contacts are cached offline. Security is also a major consideration, and
 Psi IM provides it for both client-to-server (TLS) and client-to-client
 (GnuPG, OTR, OMEMO).
 .
 Psi+ is a development branch of Psi IM. Project purposes are:
 implementation of new features, writing of patches and plugins for
 transferring them to Psi IM.
 .
 List of supported XEPs you may found at:
 https://github.com/psi-im/psi/wiki/Supported-XEPs
 .
 Full list of Psi+ features you may found at:
 https://psi-plus.com/wiki/en:features

Package: psi-plus-sounds
Description-md5: 1582f03a30a26f1d8c383c6c60583fc3
Description-en: sound files for Psi+
 This package contains sound files for Psi+.
 .
 Psi IM is a capable XMPP client aimed at experienced users. There are
 keybindings for just about everything, Unicode is supported throughout,
 contacts are cached offline. Security is also a major consideration, and
 Psi IM provides it for both client-to-server (TLS) and client-to-client
 (GnuPG, OTR, OMEMO).
 .
 Psi+ is a development branch of Psi IM. Project purposes are:
 implementation of new features, writing of patches and plugins for
 transferring them to Psi IM.
 .
 List of supported XEPs you may found at:
 https://github.com/psi-im/psi/wiki/Supported-XEPs
 .
 Full list of Psi+ features you may found at:
 https://psi-plus.com/wiki/en:features

Package: psi-plus-webkit
Description-md5: 6cc6da628b4793a695180482c56e800e
Description-en: Qt-based XMPP client (WebKit version)
 This package contains WebKit version of Psi+. In comparing with basic Psi+
 version it has few additional features: support of animated emoticons, support
 of (adium) themes in private chats and group chats, support of previewing of
 images and videos in private chats and group chats, etc..
 .
 Psi IM is a capable XMPP client aimed at experienced users. There are
 keybindings for just about everything, Unicode is supported throughout,
 contacts are cached offline. Security is also a major consideration, and
 Psi IM provides it for both client-to-server (TLS) and client-to-client
 (GnuPG, OTR, OMEMO).
 .
 Psi+ is a development branch of Psi IM. Project purposes are:
 implementation of new features, writing of patches and plugins for
 transferring them to Psi IM.
 .
 List of supported XEPs you may found at:
 https://github.com/psi-im/psi/wiki/Supported-XEPs
 .
 Full list of Psi+ features you may found at:
 https://psi-plus.com/wiki/en:features

Package: psi-translations
Description-md5: 958437b0ee5dad0cbb7f2ac5cc0be6fd
Description-en: Translations for psi
 This package contains translations for the jabber client 'psi'.

Package: psi3
Description-md5: fce8d66464206526fae72c7c5c8869bc
Description-en: Quantum Chemical Program Suite
 PSI3 is an ab-initio quantum chemistry program.  It is especially designed to
 accurately compute properties of small to medium molecules using highly
 correlated techniques.
 .
 It can compute energies and gradients for the following methods:
  * Closed shell and general restricted open shell Hartree-Fock (RHF/ROHF)
    (including analytical hessians for RHF)
  * Closed shell Moeller-Plesset pertubation theory (MP2)
  * Complete active space SCF (CASSCF)
  * Coupled-cluster singles doubles (CCSD)
  * Coupled-cluster singles doubles with pertubative triples (CCSD(T))
    (only for unrestricted (UHF) reference wavefunctions)
 .
 Additionally, it can compute energies for the following methods:
  * Unrestricted open shell Hartree-Fock (UHF)
  * Closed/open shell Moeller-Plesset pertubation theory (MP2)
  * Closed shell explicitly correlated MP2 theory (MP2-R12) and spin-component
    scaled MP2 theory (SCS-MP2)
  * Multireference configuration-interaction (MRCI)
  * Coupled-cluster singles doubles with pertubative triples (CCSD(T))
  * Second/third-order approximate coupled-cluster singles doubles (CC2/CC3)
  * Multireference coupled-cluster singles doubles (MRCCSD)
  * Closed shell and general restricted open shell equation-of-motion coupled-
    cluster singles doubles (EOM-CCSD)
 .
 Further features include:
  * Flexible, modular and customizable input format
  * Excited state calculations with the CC2/CC3, EOM-CCSD, CASSCF, MRCI and
    MRCCSD methods
  * Internal coordinate geometry optimizer
  * Harmonic frequencies calculations
  * One-electron properties like dipole/quadrupole moments, natural orbitals,
    electrostatic potential, hyperfine coupling constants or spin density
  * Utilization of molecular point-group symmetry to increase efficiency

Package: psi4
Description-md5: e312e316d50db391557b3be67fe003e1
Description-en: Quantum Chemical Program Suite
 PSI4 is an ab-initio quantum chemistry program.  It is especially designed to
 accurately compute properties of small to medium molecules using highly
 correlated techniques. PSI4 is the parallelized successor of PSI3 and includes
 many state-of-the-art theoretical methods.
 .
 It can compute energies, gradients and hessians for the following methods:
  * Restricted Hartree-Fock (RHF)
 .
 It can compute energies and gradients for the following methods:
  * Restricted, unrestricted and general restricted open shell Hartree-Fock
    (RHF/ROHF)
  * Restricted, unrestricted and general restricted open shell
    Densitry-Functional Theory, including density-fitting (DF-DFT)
  * Density Cumulant Functional Theory (DCFT)
  * Density-fitted Moeller-Plesset perturbation theory (DF-MP2)
  * Density-fitted Orbital-Optimized MP2 theory (DF-OMP2)
  * (Orbital-Optimized) MP3 theory (OMP3/MP3)
  * Coupled-cluster singles doubles (CCSD)
  * Density-fitted coupled-cluster singles doubles (DF-CCSD) and with
    perturbative triples (DF-CCSD(T))
  * Second-order approximate coupled-cluster singles doubles (CC2)
  * Equation-of-motion coupled-cluster singles doubles (EOM-CCSD)
 .
 Additionally, it can compute energies for the following methods:
  * Spin-component scaled MP2 theory (SCS-MP2)
  * Fourth order Moeller-Plesset perturbation theory (MP4)
  * Density-fitted symmetry-adapted perturbation theory (DF-SAPT)
  * Density-fitted complete active space SCF (DF-CASSCF)
  * Configuration-interaction singles doubles (CISD)
  * Full configuration-interaction (FCI)
  * Closed-shell Density-fitted coupled-cluster singles doubles (DF-CCSD)
  * Closed-shell Density-fitted Coupled-cluster singles doubles with
    perturbative triples (DF-CCSD(T))
  * Second/third-order approximate coupled-cluster singles doubles (CC2/CC3)
  * Mukherjee Multireference coupled-cluster singles doubles theory (mk-MRCCSD)
  * Mukherjee Multireference coupled-cluster singles doubles with perturbative
    triples theory (mk-MRCCSD(T))
  * Second order algebraic-diagrammatic construction theory (ADC(2))
  * Quadratic configuration interaction singles doubles (QCISD)
  * Quadratic configuration interaction singles doubles with perturbative
    triples (QCISD(T))
  * Density Matrix Renormalization Group SCF (DMRG-SCF), CASPT2 (DMRG-CASPT2)
    and CI (DMRG-CI)
 .
 Further features include:
  * Flexible, modular and customizable input format via python
  * Excited state calculations with the EOM-CC2/CC3, EOM-CCSD, ADC(2), MRCI and
    mk-MRCC methods
  * Utilization of molecular point-group symmetry to increase efficiency
  * Internal coordinate geometry optimizer
  * Harmonic frequencies calculations (via finite differences)
  * Potential surface scans
  * Counterpoise correction
  * One-electron properties like dipole/quadrupole moments, transition dipole
    moments, natural orbitals occupations or electrostatic potential
  * Composite methods like complete basis set extrapolation or G2/G3
  * Scalar-relativistic corrections via two-component approach (X2C)

Package: psi4-data
Description-md5: f2f990a302975f2a7b202e81f3ff866c
Description-en: Quantum Chemical Program Suite (data files)
 PSI4 is an ab-initio quantum chemistry program.  It is especially designed to
 accurately compute properties of small to medium molecules using highly
 correlated techniques. PSI4 is the parallelized successor of PSI3 and includes
 many state-of-the-art theoretical methods.
 .
 This package contains data files and the HTML documentation.

Package: psignifit
Description-md5: 8ea319954d3baa9a16c7d00ad8be62fd
Description-en: Fitting and testing hypotheses about psychometric functions
 Psignifit allows fitting of psychometric functions to datasets while
 maintaining full control over a large number of parameters. Data
 can either be read from text files or passed through a pipe.
 .
 Psignifit performs the calculation of confidence intervals as well as
 goodness-of-fit tests.
 .
 This is the command line version.

Package: psk31lx
Description-md5: 55820db20bf58c287b473c1e3b6c6ac7
Description-en: PSK31 terminal application with text-based user interface
 psk31lx is a simple text-based terminal program with a built-in phase scope
 and spectrum analyzer to aid in signal tuning. It uses a sound card to receive
 and transmit PSK31 tone.

Package: pskc-utils
Description-md5: 7fdad8ad5e24b2b9849c3ba89b8c9a3b
Description-en: Utilities for handling PSKC files
 These utilities can be used to convert Portable Symmetric Key Container
 (PSKC) files as defined in RFC6030.
 .
  * csv2pskc - Convert a CSV file to PSKC
  * pskc2csv - Convert a PSKC file to CSV
  * pskc2pskc - Reformat or change encryption of a PSKC file

Package: psl
Description-md5: 90d1b0c21089454097be58ac776be7f7
Description-en: Explore the Public Suffix List
 Libpsl allows checking domains against the Public Suffix List.
 It can be used to avoid privacy-leaking 'super-cookies',
 'super domain' certificates, for domain highlighting purposes
 sorting domain lists by site and more.
 .
 Please see https://publicsuffix.org for more detailed information.
 .
 This package contains a commandline tool to explore the Public Suffix
 List.

Package: psl-make-dafsa
Description-md5: 18b0e96e702ef99fc29c711022e5f524
Description-en: Create a binary DAFSA from a Public Suffix List
 libpsl permits the use of an optimized binary representation of the
 Public Suffix List (PSL).  This format is a deterministic acyclic
 finite state automaton, or DAFSA.
 .
 It is primarily used for building a new version of the publicsuffix
 package, but could also be used by anyone else looking to match
 printable US ASCII strings.
 .
 This package contains a Python script to convert a standard PSL into
 a DAFSA, either in C source code form, or in a specialized mmap-able
 binary format.

Package: pslib-dev
Description-md5: d97d01950d6885914a7c049f18e894ea
Description-en: development files for pslib
 pslib is a library to create PostScript files

Package: pslib1
Description-md5: 854c269b8a035dc30f8f8f550f42b682
Description-en: library to create PostScript files
 pslib is a library to create PostScript files. It offers many drawing
 primitives, image output and very sophisticated text rendering.
 It can read external Type1 fonts and embed them into the output file.
 It supports pdfmarks which makes it in combination with ghostscript's
 pdfwriter an alternative for libraries creating PDF.

Package: pslist
Description-md5: f1227714a8f0ded19ba31eb0e4806595
Description-en: utility that controls a process and its descendants
 pslist is a simple utility to list the process IDs (PIDs) of a process and
 all its children, and its children's children, and so on.  If invoked with
 a command name which ends in 'kill', it sends a signal to a selected group
 of processes.  If invoked with a command name which ends in 'renice',
 it sets the nice level of the selected group of processes.

Package: psortb
Description-md5: c4c3c9336dc64d2e6c762b3285d6c6be
Description-en: bacterial localization prediction tool
 PSORTb enables prediction of bacterial protein subcellular localization
 (SCL) and provides a quick and inexpensive means for gaining insight
 into protein function, verifying experimental results, annotating newly
 sequenced bacterial genomes, detecting potential cell surface/secreted
 drug targets, as well as identifying biomarkers for microbes.

Package: pspg
Description-md5: 37e33c591d42f8e02e61ec05f51b1c40
Description-en: PostgreSQL pager
 pspg is a pager specialized for viewing query results in PostgreSQL's psql
 command line client. Headers and the first column(s) are held in place while
 scrolling vertically and horizontally. Various color schemes are available.

Package: pspresent
Description-md5: d41b402fa3a95f7aebf3dd58f1b5c058
Description-en: fullscreen PostScript presentation tool
 pspresent is a tool that displays PostScript slides in fullscreen, for
 giving presentations.  Navigation is simple: spacebar goes forward one
 slide, backspace takes you back one slide.  The escape key quits.  The
 display itself is double-buffered giving seamless transitions between
 slides.

Package: psrip
Description-md5: dd611b2817bd6f431a78541346387a55
Description-en: Extract images from PostScript files
 The script scans a postscript file and saves the lines between
 '%%BeginDocument: name' and '%%EndDocument' to a new file named
 dir/name.

Package: pssh
Description-md5: c83367f6abd1b98aee8797c5532296de
Description-en: Parallel versions of SSH-based tools
 pssh provides a number of commands for executing against a group of computers,
 using SSH. It's most useful for operating on clusters of
 homogenously-configured hosts.
 .
 The package contains:
 .
  - Parallel ssh (parallel-ssh, upstream calls it pssh), executes commands on
    multiple hosts in parallel
  - Parallel scp (parallel-scp, upstream calls it pscp), copies files to
    multiple remote hosts in parallel
  - Parallel rsync (parallel-rsync, upstream calls it prsync), efficiently
    copies files to multiple hosts in parallel
  - Parallel nuke (parallel-nuke, upstream calls it pnuke), kills processes on
    multiple remote hosts in parallel
  - Parallel slurp (parallel-slurp, upstream calls it pslurp), copies files
    from multiple remote hosts to a central host in parallel
 .
 These tools are good for controlling large collections of nodes, where faster
 alternatives such as gexec and pcp are not available.

Package: psst
Description-md5: 335d283d6618fc076bd8c89e333c8c70
Description-en: power stress and shaping tool
 Power Stress and Shaping Tool (PSST) is a controlled power tool
 for Intel SoC components such as CPU and GPU. PSST enables very
 fine control of stress function without its own process overhead

Package: pst-utils
Description-md5: 18527b620917d30a8a69424496959525
Description-en: tools for reading Microsoft Outlook PST files
 This package contains tools based on libpst to read data from Microsoft
 Outlook PST files.
   * readpst - export data from PST files to a variety of formats, including
     mbox, MH and KMail. Other packages like mb2md are available for subsequent
     conversions to Maildir and other formats.
   * lspst - list data in PST files.
   * pst2ldif - extract contacts from a PST file and prepare them for input in
     LDAP
   * pst2dii - export data from PST files to Summation dii load file format

Package: pstack
Description-md5: da3ac60b4c40d1ce4e7654053899664d
Description-en: Display stack trace of a running process
 pstack dumps a stack trace for a process, given the PID of that process.  If
 the process named is part of a thread group, then all the threads in the group
 are traced.

Package: pstoedit
Description-md5: b0a9a8cc50482c0c6306682badd8015c
Description-en: PostScript and PDF files to editable vector graphics converter
 pstoedit converts Postscript and PDF files to various editable
 vector graphic formats including tgif, xfig, PDF graphics, gnuplot format,
 idraw, MetaPost, GNU Metafile, PIC, Kontour and flattened PostScript.

Package: pstotext
Description-md5: dc59676a4fc75ebffd5800ddffea20d3
Description-en: Extract text from PostScript and PDF files
 pstotext extracts text (in the ISO 8859-1 character set) from a PostScript
 or PDF (Portable Document Format) file. Thus, pstotext is similar to the
 ps2ascii program that comes with ghostscript. The output of pstotext is
 however better than that of ps2ascii, because pstotext deals better with
 punctuation and ligatures.

Package: psurface
Description-md5: 743b14b3e8ad58dd1eb4028a886ea2a8
Description-en: piecewise linear bijections between triangulated surfaces -- utilities
 Psurface is a C++ library that handles piecewise linear bijections
 between triangulated surfaces. These surfaces can be of arbitrary
 shape and need not even be manifolds.
 .
 This package contains several utilities for working with psurface.

Package: psutils
Description-md5: d3e83aa8e0c39f8e84452a2efc781c5b
Description-en: PostScript document handling utilities
 This collection of utilities is for manipulating PostScript
 documents.  Page selection and rearrangement are supported, including
 arrangement into signatures for booklet printing, and page merging
 for n-up printing.
 .
 The following programs are included in psutils: epsffit, extractres,
 fixdlsrps, fixfmps, fixmacps, fixpsditps, fixpspps, fixscribeps,
 fixtpps, fixwfwps, fixwpps, fixwwps, getafm, includeres, psbook,
 psmerge, psnup, psresize, psselect, pstops, showchar
 .
 Some programs included here (psmerge) behave differently if gs is
 available, but all programs work without it.

Package: psychopy
Description-md5: 66d9303eb23820356ab53df5ec3d038a
Description-en: environment for creating psychology stimuli in Python
 PsychoPy provides an environment for creating psychology stimuli
 using Python scripting language.  It combines the graphical
 strengths of OpenGL with easy Python syntax to give psychophysics
 a free and simple stimulus presentation and control package.
 .
 The goal is to provide, for the busy scientist, tools to control
 timing and windowing and a simple set of pre-packaged stimuli and
 methods.  PsychoPy features
 .
  - IDE GUI for coding in a powerful scripting language (Python)
  - Builder GUI for rapid development of stimulation sequences
  - Use of hardware-accelerated graphics (OpenGL)
  - Integration with Spectrascan PR650 for easy monitor calibration
  - Simple routines for staircase and constant stimuli experimental
    methods as well as curve-fitting and bootstrapping
  - Simple (or complex) GUIs via wxPython
  - Easy interfaces to joysticks, mice, sound cards etc. via PyGame
  - Video playback (MPG, DivX, AVI, QuickTime, etc.) as stimuli

Package: psychtoolbox-3-common
Description-md5: 86367699224f7000fcc078d5fde195ce
Description-en: toolbox for vision research -- arch/interpreter independent part
 Psychophysics Toolbox Version 3 (PTB-3) is a free set of Matlab and
 GNU/Octave functions for vision research. It makes it easy to
 synthesize and show accurately controlled visual and auditory stimuli
 and interact with the observer.
 .
 The Psychophysics Toolbox interfaces between Matlab or Octave and the
 computer hardware. The Psychtoolbox's core routines provide access to
 the display frame buffer and color lookup table, allow
 synchronization with the vertical retrace, support millisecond
 timing, allow access to OpenGL commands, and facilitate the
 collection of observer responses. Ancillary routines support common
 needs like color space transformations and the QUEST threshold
 seeking algorithm.
 .
 This package contains architecture independent files (such as .m scripts)

Package: psychtoolbox-3-dbg
Description-md5: 66234888c0f80dc26a39111d4f3548c9
Description-en: toolbox for vision research -- debug symbols for binaries
 Psychophysics Toolbox Version 3 (PTB-3) is a free set of Matlab and
 GNU/Octave functions for vision research. It makes it easy to
 synthesize and show accurately controlled visual and auditory stimuli
 and interact with the observer.
 .
 The Psychophysics Toolbox interfaces between Matlab or Octave and the
 computer hardware. The Psychtoolbox's core routines provide access to
 the display frame buffer and color lookup table, allow
 synchronization with the vertical retrace, support millisecond
 timing, allow access to OpenGL commands, and facilitate the
 collection of observer responses. Ancillary routines support common
 needs like color space transformations and the QUEST threshold
 seeking algorithm.
 .
 To ease debugging and troubleshooting this package contains debug
 symbols for Octave bindings and other binaries.

Package: psychtoolbox-3-lib
Description-md5: d6703371e993c6476e431b9c81579e2e
Description-en: toolbox for vision research -- arch-specific parts
 Psychophysics Toolbox Version 3 (PTB-3) is a free set of Matlab and
 GNU/Octave functions for vision research. It makes it easy to
 synthesize and show accurately controlled visual and auditory stimuli
 and interact with the observer.
 .
 The Psychophysics Toolbox interfaces between Matlab or Octave and the
 computer hardware. The Psychtoolbox's core routines provide access to
 the display frame buffer and color lookup table, allow
 synchronization with the vertical retrace, support millisecond
 timing, allow access to OpenGL commands, and facilitate the
 collection of observer responses. Ancillary routines support common
 needs like color space transformations and the QUEST threshold
 seeking algorithm.
 .
 This package contains additional binaries (tools/dynamic libraries) used
 by both Octave and Matlab frontends.

Package: pt-websocket
Description-md5: 34239e525da40e8bc915670793820a11
Description-en: WebSocket pluggable transport - server
 Pluggable transports are tools that transform a stream of application traffic
 into a different format on the network. This helps to bypass network-level
 censorship.
 .
 This package contains a server transport plugin that accepts connections
 transformed to look like the websocket protocol. This is typically used to
 enhance systems like Tor, to provide service even to censored users.
 .
 See flashproxy-client for a corresponding client transport plugin, meant for
 users to bypass censorship, that is compatible with the websocket protocol that
 this package expects.
 .
 (The source package also contains a websocket-client transport plugin, but
 this is just a demo that is less effective than flashproxy-client, and not
 meant to be used in real situations.)

Package: pt2-clone
Description-md5: c5591b7f21c66207cdffdda846a8e020
Description-en: Music tracker clone of ProTracker 2 for modern computers
 Multi-platform clone of the classic music making software
 "ProTracker" (version 2) from the Commodore Amiga days.
 Aims to work exactly like you remember it, with added features and
 fixes.

Package: ptask
Description-md5: 6642e200d400ea0ea4853feb5b806403
Description-en: GTK+ graphical user interface for managing tasks
 ptask is a GTK+ graphical user interface for managing tasks.  It is based on
 taskwarrior, a well-known and robust command line tasks manager.  Unlike
 taskwarrior, it is possible to associate a note (long description) to each
 task.

Package: pterm
Description-md5: 0fdfa7cada5930e860db2a7f8a244dac
Description-en: PuTTY terminal emulator
 This is an X terminal emulator based on the popular Windows SSH client,
 PuTTY. It supports xterm escape sequences, plus some Linux console
 sequences for colour palette configuration and some DECterm sequences for
 title bar control, and with an appropriate font it supports the UTF-8
 encoding of Unicode. It also has some nifty features like rectangular
 selection and NetHack keypad mode.

Package: ptex-base
Description-md5: 12375b40ef5f5aec3a9f022573a8628a
Description-en: basic ASCII pTeX library files
 ASCII pTeX is a Japanized TeX distribution.
 .
 Together with ptex-bin you have a complete installation.
 .
 Includes: platex

Package: ptpd
Description-md5: fd740987e3f4c9fe577ac7996364e26a
Description-en: Precision Time Protocol daemon
 Implements the Precision Time Protocol (PTP) as defined by the IEEE
 1588-2008 standard. PTP was developed to provide very precise time
 coordination of LAN connected computers.
 .
 PTPd is a complete implementation of the IEEE 1588 specification for a
 standard (non-boundary) clock. PTPd has been tested with and is known
 to work properly with other IEEE 1588 implementations. The source code
 for PTPd is freely available under a BSD-style license. Thanks to
 contributions from users, PTPd is becoming an increasingly portable,
 interoperable, and stable IEEE 1588 implementation.
 .
 For more information, see http://ptpd.sourceforge.net/

Package: ptscotch
Description-md5: d115514760fcf4844d04f60e43ab1308
Description-en: MPI programs and libraries for graph, mesh and hypergraph partitioning
 PT-SCOTCH is the MPI version of scotch, which is a set of programs and
 libraries which implement the static mapping and sparse matrix reordering
 algorithms developed within the SCOTCH project.

Package: ptunnel
Description-md5: c5d9ddd7d5b67662d3002e9ee6990dbd
Description-en: Tunnel TCP connections over ICMP packets
 ptunnel is an application that allows you to reliably tunnel TCP
 connections to a remote host using ICMP echo request and reply
 packets, commonly known as ping requests and replies. It acts as
 a proxy and can handle sockets and secured identification.
 .
 Those features can be very handy when working in a closed networking
 environment with firewalls and proxies.

Package: ptunnel-ng
Description-md5: c5d9ddd7d5b67662d3002e9ee6990dbd
Description-en: Tunnel TCP connections over ICMP packets
 ptunnel is an application that allows you to reliably tunnel TCP
 connections to a remote host using ICMP echo request and reply
 packets, commonly known as ping requests and replies. It acts as
 a proxy and can handle sockets and secured identification.
 .
 Those features can be very handy when working in a closed networking
 environment with firewalls and proxies.

Package: pub2odg
Description-md5: 49d19c2ca1ff61d8025c889e3430facd
Description-en: Publisher documents to OpenDocument converter
 This package contains a utility for converting Publisher documents
 into OpenDocument draw documents.

Package: publib-dev
Description-md5: 9b9aab474954f6422cc5f7a4a5bf35b7
Description-en: library of miscellaneous C functions
 This library contains functions for memory allocation, bit arrays,
 comparing standard C types for qsort and bsearch, error messages,
 filenames, hash tables, integer sets, log files, NNTP, priority queues,
 normal queues, editor buffers, stacks, and strings.

Package: publican
Description-md5: c34be1bf086cd9001f9eee125572f447
Description-en: Tool for publishing material authored in DocBook XML
 Publican is a DocBook XML publication system:
  * Publican ensures your document is valid,
  * Publican works to ensure your document is up to publishable standard,
  * Publican supports skinning to allow you to create your own presentation
    rules and look, overriding many parts of the default style to meet your
    publishing needs,
  * Publican automates producing documentation in several formats: plain text,
    several variations of HTML output and PDF, hiding boring details.

Package: publican-debian
Description-md5: 63bf20525b2721a858b8d763cf78c4d8
Description-en: Debian brand for Publican
 Publican's output can be customized by external "stylesheets" known
 as brands. This package provides such a brand for Publican tailored
 to the needs of documentation published by the Debian project.

Package: puf
Description-md5: 4ad3565303016d26bdee9d37b11f5217
Description-en: Parallel URL fetcher
 puf is a download tool for UNIX-like systems. You may use it to download
 single files or to mirror entire servers. It is similar to GNU wget
 (and has a partly compatible command line), but has the ability to do
 many downloads in parallel. This is very interesting, if you have a
 high-bandwidth internet connection.

Package: pugixml-doc
Description-md5: cc17ddb4079b2e01a827793d582f5807
Description-en: Light-weight C++ XML processing library (documentation)
 pugixml is a lightweight C++ XML processing library with XPath
 support. It features:
  * DOM like interface with rich traversal/modification capabilities
  * Extermely fast non-validating XML parser which constructs the DOM
    tree from an XML file/buffer.
  * XPath 1.0 implementation for complex data-driven tree queries
  * Full Unicode support with Unicode interface variants and automatic
    encoding conversions.
 .
 This package contains API documentation.

Package: pulldown-cmark
Description-md5: 3e47114c9d42d50dee137b840f15c131
Description-en: Simple command-line tool for rendering CommonMark to HTML
 pulldown-cmark is a pull parser library for CommonMark, written in Rust. This
 package contains a simple command-line tool for rendering to HTML, based on the
 library.
 .
 This package contains the following binaries built from the Rust crate
 "pulldown-cmark":
  - pulldown-cmark

Package: pullimap
Description-md5: 703dc9f4a14f01e47db6e80503c8b195
Description-en: Pull mails from an IMAP mailbox and deliver them via SMTP or LMTP
 PullIMAP retrieves messages from an IMAP mailbox and deliver them to an
 SMTP or LMTP transmission channel.  It can also remove old messages after
 a configurable retention period.
 .
 A statefile is used to keep track of the mailbox's UIDVALIDITY and UIDNEXT
 values.  While PullIMAP is running, the statefile is also used to keep
 track of UIDs being delivered, which avoids duplicate deliveries if the
 process is interrupted.
 .
 PullIMAP supports the COMPRESS=DEFLATE extension from [RFC4978].  It is
 enabled by default on servers advertising it, in order to reduce network
 traffic, especially for long-lived connections (when IDLE commands are
 enabled.)

Package: pulseaudio-equalizer
Description-md5: 5402a1f4cf8652b508501dd29d402735
Description-en: Equalizer sink module for PulseAudio sound server
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 This package provides an equalizer sink and an interface to configure the
 equalizer, qpaeq.
 .
 The module is called module-equalizer-sink.

Package: pulseaudio-module-gsettings
Description-md5: aa109fa55f43c753c87072a2aadcb7d0
Description-en: GSettings module for PulseAudio sound server
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 This module enables PulseAudio to store additional configuration in GSettings.
 It supersedes the gconf module.
 .
 The module is called module-gsettings.

Package: pulseaudio-module-jack
Description-md5: a45f4943771fb4ccf89a76a36f7f6f13
Description-en: jackd modules for PulseAudio sound server
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 These modules enables PulseAudio to connect to a jackd daemon.
 .
 The modules are called module-jack-sink, module-jack-source.

Package: pulseaudio-module-lirc
Description-md5: 476ebc36bd51c387e0a12a54352ae162
Description-en: lirc module for PulseAudio sound server
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 This module enables the volume of a PulseAudio sink to be controlled when
 buttons of an infrared remote control are pressed (through LIRC).
 .
 The module is called module-lirc.

Package: pulseaudio-module-raop
Description-md5: f443b32abfa3073d1874fa9b76cf88b1
Description-en: RAOP module for PulseAudio sound server
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 This module enables PulseAudio to stream audio to an Apple Airport Express.

Package: pulseaudio-module-zeroconf
Description-md5: bccd0c2eacb18c71f7d829db12f93aae
Description-en: Zeroconf module for PulseAudio sound server
 PulseAudio, previously known as Polypaudio, is a sound server for POSIX and
 WIN32 systems. It is a drop in replacement for the ESD sound server with
 much better latency, mixing/re-sampling quality and overall architecture.
 .
 This module enables PulseAudio to publish available sinks and sources via
 zeroconf (aka. Avahi, mdns).
 .
 The module is called module-zeroconf-publish.

Package: pulseeffects
Description-md5: b8d7dfc9b25713d68c3180a148bb5e00
Description-en: Audio effects for PulseAudio applications
 PulseEffects is an advanced system-wide equalizer that works with
 PulseAudio. It can apply system-wide effects to all running application
 or selected apps.
 .
 PulseEffects also provides many pre-defined sound effects for PulseAudio
 input and output.

Package: pulsemixer
Description-md5: 025685ba203fe5223ba9f735baec6387
Description-en: command-line mixer for PulseAudio with a curses interface
 pulsemixer is a command-line volume mixer for PulseAudio that provides a
 customizable curses-based interactive user interface.

Package: pulseview
Description-md5: d5630ef390c98c8e5bebf8d92a603d17
Description-en: sigrok logic analyzer, oscilloscope, and MSO GUI
 PulseView is a GUI for sigrok that supports logic analyzers, oscilloscopes,
 and MSOs.
 .
 It can acquire samples from a supported device and display them,
 load and display captures from existing sigrok *.sr files, as well
 as run protocol decoders and display their annotations.

Package: puma
Description-md5: a880ef9b62abf78a6c07f8b7c78eaa59
Description-en: threaded HTTP 1.1 server for Ruby/Rack applications
 Puma is a simple, fast, threaded, and highly concurrent HTTP 1.1 server for
 Ruby/Rack applications. Puma is intended for use in both development and
 production environments.

Package: pumpa
Description-md5: f3a83a3a5ff48b951ef4245c0842d065
Description-en: simple desktop client for pump.io, the distributed social network
 Pumpa is a simple Qt-based desktop client for identi.ca and other
 pump.io-based distributed social network services.  Pumpa offers
 several improvements over using the web interface, such as better
 notifications and the ability to @reply particular users.

Package: puppet
Description-md5: ef3dda3eed5993363eeb9d1652849320
Description-en: configuration management system
 Puppet is a configuration management system that allows you to define
 the state of your IT infrastructure, then automatically enforces the
 correct state.
 .
 This package contains the puppet agent software and documentation.
 .
 To install and configure a puppet master, install one of the
 "puppet-master" or "puppet-master-passenger" packages.

Package: puppet-beaker
Description-md5: bed3bebc646145da2c738f127bfa0ec1
Description-en: test harness providing platform abstraction and VM provisioning
 Test harness focused on acceptance testing via interactions between
 multiple (virtual) machines. It provides platform abstraction between
 different Systems Under Test (SUTs), and it can also be used as a
 virtual machine provisioner - setting up machines, running any
 commands on those machines, and then exiting.
 .
 Beaker runs tests written in Ruby, and provides additional
 Domain-Specific Language (DSL) methods. This gives you access to all
 standard Ruby along with acceptance testing specific commands.

Package: puppet-common
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: puppet-lint
Description-md5: 85b28c37ea18f31e6ea1e2fa6070d9b3
Description-en: check puppet manifests for style guide conformity
 Checks your Puppet manifests against the Puppet Labs style guide and
 alerts you to any discrepancies.
 .
 This program checks spacing, indentation, whitespace, quoting,
 conditionals and classes.

Package: puppet-master
Description-md5: f2e308ab22471d2664f4aeaee83bddac
Description-en: configuration management system, master service
 Puppet is a configuration management system that allows you to define
 the state of your IT infrastructure, then automatically enforces the
 correct state.
 .
 This package contains the "puppet-master" service and init script,
 which is suitable for small deployments. For larger deployments or if you wish
 to support Puppet 3 clients, puppet-master-passenger should be used instead.

Package: puppet-master-passenger
Description-md5: efb9f6c0f98ec67aec99e4c9b4618aec
Description-en: configuration management system, scalable master service
 Puppet is a configuration management system that allows you to define
 the state of your IT infrastructure, then automatically enforces the
 correct state.
 .
 This package provides configuration for apache2 with mod_passenger,
 suitable for large deployments. This offers better scalability than
 the "puppet-master" package.

Package: puppet-module-aboe-chrony
Description-md5: c7c3d2eed7b2acb633cd89f8cd8bf2d3
Description-en: Puppet module for Chrony
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of Chrony.

Package: puppet-module-adrienthebo-filemapper
Description-md5: 00040676e609d7766b191de1672891a7
Description-en: Puppet module for mapping files to resources and back
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages mapping of files to resources and back.

Package: puppet-module-antonlindstrom-powerdns
Description-md5: 27a668d183694e00a4092589364c0ec5
Description-en: Puppet module for PowerDNS
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 antonlindstrom-powerdns installs and manages PowerDNS. The configuration is
 split into several files and put in /etc/powerdns/pdns.d. This makes it easy
 to read which bits of the configuration are currently modified.

Package: puppet-module-aodh
Description-md5: 2943c81e0d0b42481c94f33e88620f00
Description-en: Puppet module for OpenStack Aodh
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Aodh.

Package: puppet-module-arioch-redis
Description-md5: 824c1585eabf07c984f2b33937ab473c
Description-en: Puppet module for Redis
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of Redis.

Package: puppet-module-asciiduck-sssd
Description-md5: 1dc960aaebea39cc39d9106a8e0cda00
Description-en: Manage the SSSD service
 This module will install, configure and manage the SSSD service, but it will
 not touch your nsswitch or your pam configs, that's the job for another module.
 .
 Currently this module only supports Debian 8; however, if you specify the
 needed parameters yourself you can override the "unsupported os" failure.

Package: puppet-module-barbican
Description-md5: 2aceff52446ab0b79a3eb19262de4aab
Description-en: Puppet module for OpenStack Barbican
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Barbican.

Package: puppet-module-camptocamp-kmod
Description-md5: dba6a0e334691a3cb64f274ed10e8bc7
Description-en: Puppet module for managing kmod configuration
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module handles kernel module loading and configuration. It is perfect for
 manipulating things in /etc/modprobe.d/<module name>.conf.

Package: puppet-module-camptocamp-openssl
Description-md5: 1f5289b5bf28ddda3ef2da5749285db2
Description-en: Puppet module for managing openssl configuration
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenSSL.

Package: puppet-module-ceilometer
Description-md5: 253bf13574e6265a76d20ce5c81e242a
Description-en: Puppet module for OpenStack Ceilometer
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Ceilometer.

Package: puppet-module-ceph
Description-md5: d014bf895829f0375de37c9871ef83ad
Description-en: Puppet module for Ceph
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of the Ceph
 distributed storage system.

Package: puppet-module-cinder
Description-md5: d6a88eed3817ba29f511d7a256f1d0ef
Description-en: Puppet module for OpenStack Cinder
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Cinder.

Package: puppet-module-cloudkitty
Description-md5: 4c347c51fbc7e158a75a54fa9841bb44
Description-en: Puppet module for OpenStack CloudKitty
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 CloudKitty rating engine.

Package: puppet-module-congress
Description-md5: 772d9d53b08a6f5519b8c7b2e554e622
Description-en: Puppet module for OpenStack Congress
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Congress.

Package: puppet-module-cristifalcas-etcd
Description-md5: f57504726d799cab7c2305335e8debb4
Description-en: Puppet resource for managing an Etcd cluster
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This Puppet module provides all what's needed to setup an Etcd cluster,
 including resources like etcd keys and such.

Package: puppet-module-debian-archvsync
Description-md5: 16e125f3ea80a4549df307272374a1f0
Description-en: Puppet module for maintaining a Debian FTP mirror
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of a Debian mirror
 using the ftpsync method.

Package: puppet-module-deric-zookeeper
Description-md5: 31358e67ea2f7f8565aa29aa29c63f8a
Description-en: Puppet module for Zookeeper
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of Zookeeper.

Package: puppet-module-designate
Description-md5: f3e49edbea169540ad7bb0c6d19cad92
Description-en: Puppet module for OpenStack Designate
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Designate.

Package: puppet-module-duritong-sysctl
Description-md5: f173606fb508012210c41af53ef308a6
Description-en: Puppet module for Duriting-sysctl
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Duriting-sysctl.

Package: puppet-module-glance
Description-md5: 53e6aa0ac147436c0e36070b7639d192
Description-en: Puppet module for OpenStack Glance
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Glance.

Package: puppet-module-gnocchi
Description-md5: e9de2d2275cb19c4d25c6acde46cd33f
Description-en: Puppet module for OpenStack Gnocchi
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Gnocchi.

Package: puppet-module-heat
Description-md5: d2bf527f41f98b73398a67486d9bcdf9
Description-en: Puppet module for OpenStack Heat
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Heat.

Package: puppet-module-heini-wait-for
Description-md5: d4576381c35e8dd53259f2076c87aa79
Description-en: Puppet module for waiting for something
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 A Puppet resource type that enables you to wait for certain conditions. You
 can use shell commands to query arbitrary things and either react on the exit
 code or match the output of the command against a regular expression.

Package: puppet-module-horizon
Description-md5: 825fdb2a9e79c01f52cf706f25bdb17d
Description-en: Puppet module for OpenStack Horizon
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Horizon.

Package: puppet-module-icann-quagga
Description-md5: 57aa9d95448ba5c804b5a535b84a03a1
Description-en: Puppet module for Quagga
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of Quagga.

Package: puppet-module-icann-tea
Description-md5: 0db8e4221bb917e984267544069493fb
Description-en: Puppet datatypes for use of validation
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module provides a set of Puppet 4.4+ compatible datatypes for use of
 validation in defined types and class declarations. The basic idea behind this
 module is to retire puppetlabs-stdlib's validate_XYZ() functions. Unlike
 validate_(), these datatypes can be verified by the parser, instead of being
 validated during compilation.

Package: puppet-module-ironic
Description-md5: 1829a0b82392564ed270e99ab0f0bf7c
Description-en: Puppet module for OpenStack Ironic
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Ironic.

Package: puppet-module-joshuabaird-ipaclient
Description-md5: 7879d05165c1a23e2059e98b23750182
Description-en: Puppet module for Joshuabaird IPAclient
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module configures clients to use FreeIPA with as little fuss as possible.
 This module used to be known as stbenjam/puppet-ipaclient, but is now being
 maintained at joshuabaird/puppet-ipaclient.

Package: puppet-module-keystone
Description-md5: e78efe455bd513060e6e853e4ca90351
Description-en: Puppet module for OpenStack Keystone
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Keystone.

Package: puppet-module-magnum
Description-md5: 76c6faba58274f8e1c9e9f57ff5de322
Description-en: Puppet module for OpenStack Magnum
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Magnum.
 .
 Magnum is an OpenStack project which offers container orchestration engines
 for deploying and managing containers as first class resources in OpenStack.
 It features:
  * Abstractions for bays, containers, nodes, pods, replication controllers,
    and services
  * Integration with Kubernetes and Docker for backend container technology
  * Integration with Keystone for multi-tenant security
  * Integration with Neutron for Kubernetes multi-tenancy network security

Package: puppet-module-manila
Description-md5: f091fd3a16085cc66ed642061b7b80dc
Description-en: Puppet module for OpenStack Manila
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Manila.

Package: puppet-module-michaeltchapman-galera
Description-md5: a4e68674f25c8d7ba3cd7c26bfdd3208
Description-en: Michaelt Chapman's Galera Puppet module
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of Galera.

Package: puppet-module-murano
Description-md5: dcefd365bb36ac64ee58e42d19172698
Description-en: Puppet module for OpenStack Murano
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Murano.

Package: puppet-module-nanliu-staging
Description-md5: fbeb111ee6e1f532a321efd2f3d685b5
Description-en: Puppet module for the management of staging directory
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages staging directory, along with download/extraction of
 compressed files.

Package: puppet-module-neutron
Description-md5: d819ec6e5c1f1c939159a1a1ee693176
Description-en: Puppet module for OpenStack Neutron
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Neutron.

Package: puppet-module-nova
Description-md5: 3790a6e3f03428bf7d9637398b8b28e9
Description-en: Puppet module for OpenStack Nova
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Nova.

Package: puppet-module-octavia
Description-md5: fbd0c80ac2e71d9411cb322898349cef
Description-en: Puppet module for OpenStack Octavia
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Octavia.

Package: puppet-module-openstack-extras
Description-md5: 9f41da9dda9c7010ef132122ca605526
Description-en: add useful utilities for composing and deploying OpenStack
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module is used to add useful utilities for composing and deploying
 OpenStack.

Package: puppet-module-openstacklib
Description-md5: c5a57340e7d8868d6198ed66921de8a5
Description-en: exposes common functionality between Openstack modules as a library
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module is used to expose common functionality between Openstack modules as
 a library that can be utilized to avoid code duplication.

Package: puppet-module-oslo
Description-md5: 8bb458e37b2bfa1c6244105a6e2d51d0
Description-en: Puppet module for OpenStack oslo lib using components
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages the configuration of components using OpenStack Oslo.

Package: puppet-module-ovn
Description-md5: 8f678dbb7012b93546a90f4aef7f1718
Description-en: Puppet module for OpenStack OVN
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack OVN.

Package: puppet-module-panko
Description-md5: c44dce9ee464eaffa5e46b15d272ea58
Description-en: Puppet module for OpenStack Panko
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Panko.

Package: puppet-module-placement
Description-md5: 219997f1e040b0f2af8ad95fac6c2b5b
Description-en: Puppet module for OpenStack Placement
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Placement.
 .
 OpenStack Placement provides an HTTP service for managing, selecting, and
 claiming providers of classes of inventory representing available resources
 in a cloud.

Package: puppet-module-puppet-archive
Description-md5: 71cd7fcde1c21263e2549aa7e8090be0
Description-en: Puppet module to manages download, and cleanup of archive files
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 The archive module provides native puppet resources for managing compressed
 file download and extraction with optional checksum verification and cleanup.

Package: puppet-module-puppet-community-mcollective
Description-md5: f5c9de5a6506e8a6d347c6c290b8d50e
Description-en: Puppet module for mcollective to handle and install clusters
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The mcollective module handles installing and configuring mcollective across a
 range of operating systems and distributions. Where possible it follows the
 standards laid down by the MCollective Standard Deployment guide.

Package: puppet-module-puppetlabs-apache
Description-md5: d15a341c464bf412ec814a85026fce80
Description-en: Puppet module for Apache
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This module includes the ability to configure and manage a range of
 different virtual host setups, as well as a streamlined way to install
 and configure Apache modules.

Package: puppet-module-puppetlabs-apt
Description-md5: ebcb57394212327967648cd00c1f5f85
Description-en: Puppet module for apt
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 The APT module provides a simple interface for managing APT source, key,
 and definitions with Puppet.

Package: puppet-module-puppetlabs-augeas-core
Description-md5: 58023adcf8054c03f7f14ceee1814bf4
Description-en: Puppet module for Augeas Core
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The augeas_core module is used to manage configuration files using Augeas.
 This module is suitable for any host for which there are Augeas libraries and
 ruby bindings.

Package: puppet-module-puppetlabs-concat
Description-md5: 5e67bd945cd0e5c815a0dd48cebbd470
Description-en: Puppet module for concat
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This package contains a Puppet module that can construct files from
 fragments.

Package: puppet-module-puppetlabs-cron-core
Description-md5: a973f5ca07ecebcd00617866cc6a301a
Description-en: Puppet module for installing and managing cron resources
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The cron_core module installs and manages cron resources.

Package: puppet-module-puppetlabs-firewall
Description-md5: 09cc8165d2f5b768b00c849555c348ff
Description-en: Puppet module for Firewall management
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 The Firewall module lets you manage firewall rules with Puppet.
 This module offers support for iptables, ip6tables, and ebtables.

Package: puppet-module-puppetlabs-haproxy
Description-md5: 01516ce8f095557be6728ed5928595ae
Description-en: Puppet module for HAProxy
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 puppetlabs-haproxy manages an haproxy server.

Package: puppet-module-puppetlabs-host-core
Description-md5: 937e90cf55f3b388f2c2e150a8c55901
Description-en: Puppet module for managing /etc/hosts file
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The host_core module is used to manage host entries in a hosts file. For most
 systems, the hosts file is located in /etc/hosts.

Package: puppet-module-puppetlabs-inifile
Description-md5: f1f97fcf3daff6e63cef0158499bb46f
Description-en: Puppet module for ini files
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This Package contains a Puppet module which provides resource types
 for use in managing ini-style configuration files. The main resource
 type is ini_setting, which is used to manage an individual setting
 in an ini file.

Package: puppet-module-puppetlabs-mongodb
Description-md5: cfd2d2ef5f0584bda07fe40164481990
Description-en: Puppet module for managing mongodb installation and configuration
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The MongoDB module manages mongod server installation and configuration of the
 mongod daemon. For the time being it supports only a single MongoDB server
 instance, without sharding functionality.

Package: puppet-module-puppetlabs-mount-core
Description-md5: b67f24575c0cb59080fc8e2eaf1686b1
Description-en: Puppet module for managing mount points
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The mount_core module manages mounted filesystems and mount tables.
 .
 The module can mount and unmount filesystems, and manage mount tables such as
 /etc/fstab, /etc/vfstab, or /etc/filesystems depending on your operating
 system.
 .
 Mount resources can respond to refresh events, and can remount a filesystem in
 response to an event from another resource.
 .
 Mount resources automatically create relationships with directories that are
 either ancestors of the mounted directory or children. This way Puppet will
 automatically create ancestor directories before the mount point, and will do
 that before managing directories and files within the mounted directory.

Package: puppet-module-puppetlabs-mysql
Description-md5: 1f9f39a38010e1284ccdf8aff11ddd65
Description-en: Puppet module for MySQL
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This module manages both the installation and configuration of MySQL as well as
 extends Pupppet to allow management of MySQL resources, such as databases,
 users and grants.

Package: puppet-module-puppetlabs-ntp
Description-md5: 6b3e15fc3c033495800538a6780b6605
Description-en: Puppet module for ntp
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 The ntp module installs, configures, and manages the ntp service.

Package: puppet-module-puppetlabs-postgresql
Description-md5: 50f54cb7eaef5c576e8367361ff09127
Description-en: Puppet module for PostgreSQL database
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 The PostgreSQL module allows you to easily manage postgres databases
 with Puppet.

Package: puppet-module-puppetlabs-rabbitmq
Description-md5: 69ed5c695c35cadfb952cf1f5c5155f4
Description-en: Puppet module for rabbitmq, manage everything from vhosts to exchanges
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The rabbitmq module sets up rabbitmq and has a number of providers to manage
 everything from vhosts to exchanges after setup.

Package: puppet-module-puppetlabs-rsync
Description-md5: 05076cdb59b62034af960a1b6cd02485
Description-en: Puppet module for rsync clients, repositories, and servers
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 puppetlabs-rsync manages rsync clients, repositories, and servers as well as
 providing defines to easily grab data via rsync.

Package: puppet-module-puppetlabs-selinux-core
Description-md5: 184336316397e2f9d9703159818d3630
Description-en: Puppet module for SELinux
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages SELinux context of files.

Package: puppet-module-puppetlabs-sshkeys-core
Description-md5: 3ce838a997d7237ef7adfa4081bc4baa
Description-en: Puppet module for managing SSH authorized_keys, and ssh_known_hosts files
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This puppet module Manages SSH authorized_keys, and ssh_known_hosts files.

Package: puppet-module-puppetlabs-stdlib
Description-md5: c34aa27b56f8d16f60a624d26ba3d653
Description-en: Puppet module standard library
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This module provides a standard library of resources for developing
 Puppet modules. This modules will include the following additions
 to Puppet:
 .
  * Stages
  * Facts
  * Functions
  * Defined resource types
  * Types
  * Providers

Package: puppet-module-puppetlabs-tftp
Description-md5: 5f2214e9bce6edf2c25165e65892a237
Description-en: Puppet module for managing tftp-hpa
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module will install tftp-hpa as a xinetd service by default. It can be
 overridden to run as a standalone daemon by setting the inetd parameter to
 false.
 .
 Parameters:
  * username: tftp daemon user, default tftp
  * directory: service directory, default see params class.
  * address: bind address, default 0.0.0.0.
  * port: bind port, default 69.
  * options: service option, default --secure.
  * inetd: run service via xinetd, default true.

Package: puppet-module-puppetlabs-translate
Description-md5: 762f501bedd8d0484aae82a978695e2e
Description-en: Puppet module for translate
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 Puppet module that provides the translate() function for internationalization.

Package: puppet-module-puppetlabs-vcsrepo
Description-md5: d9f22f5a1d64d15c3d705a6ee14b8b61
Description-en: use Puppet to easily deploy content from your version control system (VCS)
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The vcsrepo module lets you use Puppet to easily deploy content from your
 version control system (VCS).

Package: puppet-module-puppetlabs-xinetd
Description-md5: 765f9e879a2c8c88aa5330a7f8593df1
Description-en: Puppet module for xinetd
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This module includes the ability to configure and manage xinetd.

Package: puppet-module-richardc-datacat
Description-md5: e13c61817b45734f6cf33642d614eca1
Description-en: Puppet module for data structure rendered using a template
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 The datacat and datacat_fragment types allow you to build up a data structure
 which is rendered using a template. This is similar to some of the common
 concatenation patterns though the intent should be clearer as it pushes the
 boilerplate down into the type.

Package: puppet-module-rodjek-logrotate
Description-md5: 7718c672f2b2951c6d042df5d9f37523
Description-en: Logrotate module for Puppet
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This puppet module provides a way of managing logrotate configs.

Package: puppet-module-sahara
Description-md5: 1dec58a67a956fc10ab8dd081b1a968d
Description-en: Puppet module for OpenStack Sahara
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Sahara.

Package: puppet-module-saz-memcached
Description-md5: 7c83aecc173fed7dcae8b28338653ad7
Description-en: Puppet module for memcached
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 The memcached module provides a interface to manage memcached.

Package: puppet-module-saz-rsyslog
Description-md5: 547f8d51b28e1fb70a178db6424b06d4
Description-en: Puppet module for rsyslog
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of rsyslog.

Package: puppet-module-saz-ssh
Description-md5: d8ae1ad42d6b610fd4c5172b482340db
Description-en: Puppet module for Ssh configuration (client and server)
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of Ssh (client and
 server).

Package: puppet-module-sbitio-monit
Description-md5: c4d57069d4b6ece5997f0f36093b7344
Description-en: Puppet module for Monit
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 Performs installation and configuration of Monit service, along with fine
 grained definition of checks.
 .
 All check types provided by Monit are supported. Namely: directory, fifo,
 file, filesystem, host, process, program, and system.
 .
 In adition to primitive types, a compound check type is provided: service. It
 is a set of primitives to check a service's init script, binary and process.
 .
 service check type can work with sysv, systemd or upstart. In 0.3.x series it
 defaults to sysv for compatibility reasons. From 1.0.x onwards it defaults to
 the init system that each supported OS configures by default. The init type to
 use can be also set per service. See below for details.

Package: puppet-module-swift
Description-md5: c65ff7b9bb1ef0d234ee35ca7395bc5e
Description-en: Puppet module for OpenStack Swift
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module manages both the installation and configuration of OpenStack
 Swift.

Package: puppet-module-theforeman-dns
Description-md5: 213ef402188e4e431b95fe90f5e8eb9e
Description-en: Puppet module for ISC bind
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 Installs and manages an ISC BIND DNS server with basic zones, primarily for
 The Foreman.

Package: puppet-module-voxpupuli-alternatives
Description-md5: 25724bb78578b271ed5ffb2ef11a51ad
Description-en: Puppet resource for managing Debian alternatives
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This Puppet module provides a Puppet resource that manages Debian alternatives
 symlinks, which a user normally maintains through the shell command
 update-alternatives.

Package: puppet-module-voxpupuli-collectd
Description-md5: bc2b55fc2fe9a2415e131e17e6becbd2
Description-en: Puppet module for collectd
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 puppet-collectd installs and configure Collectd.

Package: puppet-module-voxpupuli-corosync
Description-md5: 5a7c0a20fff95aa069ce7b5e354001be
Description-en: Puppet module for corosync / pacemaker
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 puppet-corosync manages things like virtual IP shared in a cluster.

Package: puppet-module-voxpupuli-ssh-keygen
Description-md5: 323ab7fc4570d3706c2b73690c0e352e
Description-en: generate ssh keys for any user using ssh-keygen
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module helps generating ssh keys using Puppet.

Package: puppet-module-vswitch
Description-md5: 214666f724644a52d074fda2255851a1
Description-en: provides puppet things for vSwitches
 Puppet lets you centrally manage every important aspect of your system using a
 cross-platform specification language that manages all the separate elements
 normally aggregated in different files, like users, cron jobs, and hosts,
 along with obviously discrete elements like packages, services, and files.
 .
 This module provides things for vSwitches. At the moment OVS is the only one
 supported. It's based upon types and providers so it may support more than just
 OVS or one vSwitch type in the future.

Package: puppet-strings
Description-md5: 92d7f35424adb0780b3725c619d98e86
Description-en: Tool to generate documentation from Puppet code and extensions
 Puppet Strings generates documentation for Puppet code and extensions written
 in Puppet and Ruby. Strings processes code and YARD-style code comments to
 create documentation in HTML, Markdown, or JSON formats.

Package: puppetmaster
Description-md5: 4e0015dfffcaa38fedc5eeceba857e82
Description-en: configuration management system, master service - transitional package
 This is a transitional package, superseded by puppet-master. It can safely be
 removed.

Package: puppetmaster-passenger
Description-md5: dfcf56a4e2c4e6f91ad2aae8a70d31ed
Description-en: configuration management system, scalable master service - transitional package
 This is a transitional package, superseded by puppet-master-passenger. It can
 safely be removed.

Package: pure-ftpd
Description-md5: c224dc177bd93932c8270a67cd35b6aa
Description-en: Secure and efficient FTP server
 Free, secure, production-quality and standard-conformant FTP server.
 Features include chrooted home directories,
 virtual domains, built-in 'ls', anti-warez system, configurable ports for
 passive downloads, FXP protocol, bandwidth throttling, ratios,
 fortune files, Apache-like log files, fast standalone mode, atomic uploads,
 text / HTML / XML real-time status report, virtual users, virtual quotas,
 privilege separation, SSL/TLS and more.

Package: pure-ftpd-common
Description-md5: c84cd31ca1a31554d533854f08c5ebff
Description-en: Pure-FTPd FTP server (Common Files)
 This package provides files shared between the three pure-ftpd binary
 packages like startup scripts, documentation, and examples.

Package: pure-ftpd-ldap
Description-md5: 477968a296072683c2fab03f6540b60e
Description-en: Secure and efficient FTP server with LDAP user authentication
 Pure-FTPd is a fast, production-quality, standards-conformant FTP
 server based upon Troll-FTPd. Features include chrooted home directories,
 virtual domains, built-in 'ls', anti-warez system, configurable ports for
 passive downloads, FXP protocol, bandwidth throttling, ratios,
 fortune files, Apache-like log files, fast standalone mode, atomic uploads,
 text / HTML / XML real-time status report, virtual users, virtual quotas,
 privilege separation, SSL/TLS and more.

Package: pure-ftpd-mysql
Description-md5: d0b28646386ab85cff7e54614bef04c1
Description-en: Secure and efficient FTP server with MySQL user authentication
 Pure-FTPd is a fast, production-quality, standards-conformant FTP
 server based upon Troll-FTPd. Features include chrooted home directories,
 virtual domains, built-in 'ls', anti-warez system, configurable ports for
 passive downloads, FXP protocol, bandwidth throttling, ratios,
 fortune files, Apache-like log files, fast standalone mode, atomic uploads,
 text / HTML / XML real-time status report, virtual users, virtual quotas,
 privilege separation, SSL/TLS and more.

Package: pure-ftpd-postgresql
Description-md5: 10ce8669d0de227b915f7794f62f9f07
Description-en: Secure and efficient FTP server with PostgreSQL user authentication
 Pure-FTPd is a fast, production-quality, standards-conformant FTP
 server based upon Troll-FTPd. Features include chrooted home directories,
 virtual domains, built-in 'ls', anti-warez system, configurable ports for
 passive downloads, FXP protocol, bandwidth throttling, ratios,
 fortune files, Apache-like log files, fast standalone mode, atomic uploads,
 text / HTML / XML real-time status report, virtual users, virtual quotas,
 privilege separation, SSL/TLS and more.

Package: pureadmin
Description-md5: 3e2463670223ba982a8733a5fbec09ef
Description-en: Gtk graphic front-end for PureFTPd
 PureAdmin is a Gtk 2.x GUI over PureFTPd that aims to
 make easier the task of configuring said FTP server.
 .
 PureAdmin has been writen not as a complete replacement
 of hand-crafted configuration, but as a tool that provides
 help and sane defaults. It will be helpful mainly for those
 not familiar with all the functionality of PureFTPd. By
 now, PureAdmin can right now be used to:
 .
  * Manage users
  * Monitorize activities (download, upload, online users)
  * Monitorize log files
  * Real time control (kick users out, close connections, etc)

Package: puredata
Description-md5: 14fc184a0ca11977c12f0d2e85458e7c
Description-en: realtime computer music and graphics system
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing. Pd's audio functions are built-in;
 graphical computations require separate packages such as gem (Graphics
 Environment for Multimedia) or pd-pdp (Pd Packet).
 .
 This is a metapackage that depends on all components of the core of Pd.

Package: puredata-core
Description-md5: 6f0f06b4a8b77ce55ac2f2a16a3bf4c2
Description-en: realtime computer music and graphics system - core components
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 .
 This package only provides the core infrastructure of Pure Data.
 Most likely you will want to install "puredata-gui" (or even "puredata")
 as well.

Package: puredata-dev
Description-md5: fdaf86f853cc58ce32170f4ae289ac5d
Description-en: realtime computer music and graphics system - development files
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 .
 This package provides the header-files for compiling externals (plugins) for
 puredata.

Package: puredata-doc
Description-md5: 51a4c33ab1c15769e81a6a9e874ac2aa
Description-en: realtime computer music and graphics system - documentation
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 .
 This package provides the documentation for Pure Data.
 Most likely you will want to install "puredata" as well.

Package: puredata-extra
Description-md5: cfd2137b07d9db2a81f24f68a06c88e5
Description-en: realtime computer music and graphics system - extra files
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 .
 This package provides extra objects that come with Pd, e.g. for signal
 analysis (fiddle~, sigmund~, bonk~) and more.
 .
 The objects for expression evaluation (expr~) have been included into
 puredata-core, so they are no longer part of this package.

Package: puredata-gui
Description-md5: 8d219983e2157002c021696009de2629
Description-en: realtime computer music and graphics system - GUI
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 .
 This package provides the graphical user-interface for Pure Data.
 Most likely you will want to install "puredata-core" (or even "puredata")
 as well.
 Installing this package without the accompanying puredata-core is only useful
 if you want to run the GUI and the DSP on different machines.

Package: puredata-gui-l10n
Description-md5: 65c7802af2386dac2949d096515fc486
Description-en: realtime computer music and graphics system - translations
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 .
 This package provides the translations for the graphical user-interface of
 Pure Data.

Package: puredata-import
Description-md5: 8a112f0d057e8987f0f0eddee21ee117
Description-en: Pd object for loading libraries within a patch
 Aiming to provide a simplified Python-style import for Pure Data, this import
 object loads libraries as part of a patch.  It will load anything that Pd
 considers a library, including libraries that are defined by Pd loaders like
 'libdir'.
 .
 This package is meant only for vanilla Pure Data, as pd-extended provides this
 functionality built-in.

Package: puredata-utils
Description-md5: f710137bec19ae01e5c49694229610ab
Description-en: realtime computer music and graphics system - utility programs
 Pure Data (also known as Pd) is a real-time graphical programming environment
 for audio and graphics processing.
 .
 This package provides utility applications for puredata, namely pdsend and
 pdreceive, for sending and receiving FUDI over the net.

Package: purify
Description-md5: 8e9e25f221a77459bda40306d289f3c9
Description-en: Collection of routines for radio interferometric imaging
 PURIFY is a collection of routines written in C that implements different
 tools for radio interferometric imaging including file handling (for both
 visibilities and fits files), implementation of the measurement operator
 and set-up of the different optimization problems used for image
 deconvolution. The code calls the generic Sparse OPTimization (SOPT)
 package to solve the imaging optimization problems.

Package: purifyeps
Description-md5: 80f21ddeec2455223e10ec79ba058e0c
Description-en: creates EPS files usable in TeX and pdfTeX
 While pdfLaTeX has a number of nice features, its primary shortcoming
 relative to standard LaTeX+dvips is that it is unable to read ordinary
 Encapsulated PostScript (EPS) files, the most common graphics format
 in the LaTeX world. purifyeps converts EPS files into a "purified"
 form that can be read by *both* LaTeX+dvips and pdfLaTeX.

Package: purity
Description-md5: c2ab5f2786e83d4fb907fdbe60b00245
Description-en: automated purity testing software
 For many years now, the purity test, (in various forms)
 has been widely available on the net.
 This package provides an automated way of taking the test.
 .
 Purity tests are an amusing way to see how much of a nerd or
 a hacker you are.  More tests are available in the purity-off
 package.

Package: purity-off
Description-md5: 3427790fd28d24e56a40149ba5d1bb21
Description-en: Sex related purity tests
 This package installs the sex related purity tests not included in the
 purity package.
 .
 If you are offended by sex or by unusual sexual activities please do
 not install this package.

Package: purple-discord
Description-md5: 28cec42164029f8a46d3759c7e971a54
Description-en: Discord messaging service plugin for libpurple
 A plugin for libpurple that adds the option to use the Discord messaging
 service in all libpurple-based clients (including Pidgin and Finch).
 .
 This plugin does not support creating and managing Discord accounts,
 please use the harmony package for those tasks.

Package: purple-matrix
Description-md5: 779941a8d3f7eeb705f20cef9bbcb27e
Description-en: Matrix plugin for libpurple
 Plugin for libpurple which adds the ability to communicate with
 matrix.org homeservers to any libpurple-based clients (such as
 Pidgin).
 .
 This project is somewhat alpha, and only basic functionality has been
 implemented. Sending and receiving simple text messages is supported,
 as is joining rooms you are invited to by other users.
 .
 The following are not yet supported:
  * Creating new rooms (and one-to-one chats)
  * Presence indication
  * Typing indication
  * Videos/rich text in messages
  * Account registration
  * Room topics
  * Voice/video calling

Package: purple-rocketchat
Description-md5: 352115bca6aba9f96ac2464e331b38ab
Description-en: Rocket.Chat protocol plugin for libpurple
 This is a protocol plugin for libpurple that enables libpurple
 applications, like Pidgin or Spectrum 2, to connect to Rocket.Chat
 servers.

Package: pushover
Description-md5: 741335129198f28d927739f0cadb692f
Description-en: Fun puzzle game with dominos
 This is a fun puzzle game originally published by Ocean in 1992. In this
 game you control an ant that can walk along platforms that are connected
 with ladders. On those platforms are dominos that need to fall according
 to some rules.
 .
  - All dominos must fall and none must crash into another
  - One special domino must fall as last domino and that domino triggers
    the exit door to open when you enter the exit door the level has been
    completed
  - You may rearrange as many dominos as you want, except for the trigger.
    You may not place dominos in front of the doors, except for the vanishing
    domino.
  - You may push once to start a chain reaction with the dominos leading to
    the fall of all of them
  - All this has to be done within a time limit (which is normally generous)
  - There are 10 different dominos that behave differently when pushed, some
    fall, some not, some wait a bit before they fall, some raise, some toppler
    until they meet an obstacle
  - There is a help in the game and introductory levels that show how all the
    dominos work

Package: pushover-data
Description-md5: 657873ab455bcc778042b565e23b8e39
Description-en: Fun puzzle game with dominos, music files
 This is a fun puzzle game originally published by Ocean in 1992. In this
 game you control an ant that can walk along platforms that are connected
 with ladders. On those platforms are dominos that need to fall according
 to some rules.
 .
 This package contains the optional background music.

Package: pushpin
Description-md5: 7d73de5ae4b2fdd9ed9a9a4ef1ffb9b2
Description-en: HTTP reverse proxy server for streaming and long-polling services
 Pushpin is a reverse proxy server that makes it easy to implement
 WebSocket, HTTP streaming, and HTTP long-polling services. It communicates
 with backend web applications using regular, short-lived HTTP requests
 (GRIP protocol). This allows backend applications to be written in any
 language and use any webserver.
 .
 Additionally, Pushpin does all of this without exposing a proprietary
 protocol to clients. The HTTP/WebSocket content between the client
 and your server is whatever you want it to be. This makes it ideal for
 implementing APIs.
 .
 Pushpin is written in C++. The name means to "pin" (hold) connections
 open for "pushing".

Package: putty
Description-md5: 66d775d58e68e927fea5c45675a7cf4a
Description-en: Telnet/SSH client for X
 This is the Unix port of the popular Windows SSH client, PuTTY. It supports
 flexible terminal setup, mid-session reconfiguration using Ctrl-rightclick,
 multiple X11 authentication protocols, and various other interesting things
 not provided by ssh in an xterm.
 .
 Pageant is an SSH authentication agent, and a tool for communicating with
 an already-running agent.

Package: putty-doc
Description-md5: ed28516dea967b46064d63c144b74e5c
Description-en: PuTTY HTML documentation
 Full HTML documentation for the PuTTY suite of programs (PuTTY, pterm,
 Plink, PSCP, PSFTP, and PuTTYgen).

Package: putty-tools
Description-md5: a447a1a46ed459735431fe951e14b867
Description-en: command-line tools for SSH, SCP, and SFTP
 This package provides four command-line tools from the PuTTY suite that
 allow various kinds of secure interaction with remote systems.
 .
 Plink (PuTTY Link) connects to a remote system via SSH, Telnet, or rlogin,
 and either starts an interactive session or runs a batch process. It
 supports the usual SSH features like port forwarding, X11 forwarding,
 SOCKS, and compression.
 .
 PSCP (PuTTY Secure Copy) transfers files securely between systems using an
 SSH connection. It works with both SSH protocol 1 and protocol 2.
 .
 PSFTP (PuTTY SFTP client) transfers files securely between systems using
 the SSH file transfer protocol, which is specific to SSH protocol 2. In
 interactive mode its interface is much like the traditional Unix FTP
 client, although it can also operate in batch mode.
 .
 PuTTYgen generates SSH public and private key pairs in any of a number of
 formats, including those usable by PuTTY, OpenSSH, and ssh.com.
 .
 All these utilities can make use of PuTTY's saved sessions where
 appropriate.

Package: pv-grub-menu
Description-md5: 5c3ae67b39e1f641da76d37d09f3705a
Description-en: Creates a menu.lst file for PV-GRUB
 PV-GRUB is a utility of the vitualisation platform Xen, to boot virtual
 machines with their own kernel.  This package maintains a configuration file in
 /boot/grub/menu.lst, that is read by PV-GRUB.  It does nothing else, which
 means that it is not suitable for booting non-virtual systems.

Package: pvm
Description-md5: d51c4589af984ab27e296204e0fdcfa2
Description-en: Parallel Virtual Machine - binaries
 Console and communication daemon binaries for the Parallel Virtual
 Machine.  Should be sufficient to utilize a node in a dynamically linked
 PVM program such as pvmpov.

Package: pvm-dev
Description-md5: c67ae2551fd6eb0f24d0b4d6b6c32938
Description-en: Parallel Virtual Machine - development files
 Headers, man pages, documentation, auxiliary functions, and static
 libraries for the Parallel Virtual Machine distributed memory
 communications library.

Package: pvm-examples
Description-md5: 506fdb960d1f05a8ed88a7ced2b10b1a
Description-en: Parallel Virtual Machine - examples
 This package provides the examples distributed along with the Parallel
 Virtual Machine. This can be useful for initial cluster or interoptability
 testing.

Package: pvpgn
Description-md5: 224c8ef41c7b204a8c707dc79b8ca03c
Description-en: gaming server that emulates Battle.net(R)
 The server currently implements most of the same functionality as the
 real Battle.net(R) servers from Blizzard Entertainment.  You can chat,
 play games, use / commands, and things like account passwords, user
 icons, ad banners, and channel operators work too.  It is by no means
 complete, though.

Package: pvrg-jpeg
Description-md5: f767012c5e7858317c635900e09309b9
Description-en: Stanford PVRG JPEG tool
 PVRG is the JPEG implementation from Stanford Portable Video Research Group
 .
 This package contains the pvrg-jpeg command line tool.
 Contrary to cjpeg/djpeg, pvrg-jpeg can also compress in lossless JPEG
 which is defined in ITU-T T.81, ISO/IEC IS 10918-1. It also support in
 a single codec 8bits and 12bits lossy JPEG compression/decompression.

Package: pwauth
Description-md5: b35b5dddb2c3987ab982caee05fbe48c
Description-en: authenticator for mod_authnz_external and the Apache HTTP Daemon
 Pwauth is an authenticator designed to be used with
 mod_auth_external or mod_authnz_external and the Apache
 HTTP Daemon to support reasonably secure web
 authentication out of the system password database on most
 versions of Unix. Particulary - secure authentication
 against PAM.

Package: pwgen
Description-md5: 859691de567bea29cc54bd9e7c291b67
Description-en: Automatic Password generation
 pwgen generates random, meaningless but pronounceable passwords.
 These passwords contain either only lowercase letters, or upper
 and lower case mixed, or digits thrown in.
 Uppercase letters and digits are placed in a way that eases
 remembering their position when memorizing only the word.

Package: pwget
Description-md5: a1a82da168aa0049754b5eb1137b2ad1
Description-en: downloader utility which resembles wget (implemented in Perl)
 Pwget is similar to wget(1) but it can use categorized configuration
 files, analyze Web pages, and "search" for download links as
 instructed. Instead of absolute links, it contains heuristics to
 track newer versions of files.

Package: pwman3
Description-md5: 18254a2c94fb45634b5028f661cb489f
Description-en: console password management application
 Pwman3 aims to provide a simple but powerful command line interface for
 password management. It allows one to store your password in a sqlite database
 locked by a master password which can be encrypted with different algorithms
 (e.g Blowfish, AES, DES3).
 .
 It is also possible to tag them to ease searches in a large amount of
 passwords

Package: px
Description-md5: 6153d45e98b9fced6beedc4ab7025f66
Description-en: ps and top for human beings
 px parses command lines from Python, Ruby, Node, Perl, Java,
 bash, zh, sh command lines and show you what is actually running.
 px can filter processes by owner ("px root"), search for processes
 by command line ("px python", like "pgrep"), and list processes in
 a helpful order.
 .
 ptop periodically displays a sorted list of system processes. Processes are
 sorted with the highest CPU users at the top.
 ptop is capable of decode Python, Ruby, Perl, Node, Java, bash, sh,
 zsh command  lines and show you what is actually running.
 Shows an stable output by counting CPU time since ptop started.
 ptop provides a system load  histogram rather for presenting the
 three uptime numbers and shows system load in relation to the number
 of logical and physical cores in the system.

Package: pxe-kexec
Description-md5: ba08dc032f9a01ad9f938bf472af9bb0
Description-en: Fetch PXE configuration file and netboot using kexec
 Tool that fetches PXE configuration file (SysLinux' PXELinux format),
 prompts the user for a boot entry (label), (supports interactive
 editing of the kernel parameters), downloads the selected kernel and
 initrd over the network using TFTP, FTP or HTTP and finally reboots
 into the kernel using kexec.

Package: pxelinux
Description-md5: 86c16ea3e455f4a5c8b0d7d0deddf48f
Description-en: collection of bootloaders (PXE network bootloader)
 syslinux is a suite of bootloaders, currently supporting DOS FAT and NTFS
 filesystems (SYSLINUX), Linux ext2/ext3/ext4, btrfs, and xfs filesystems
 (EXTLINUX), PXE network boots (PXELINUX), or ISO 9660 CD-ROMs (ISOLINUX).
 .
 This package contains the bootloader for PXE network boots (PXELINUX).

Package: pxfw
Description-md5: 3e45fba63c90b2f230d5170a60b26807
Description-en: Plextor firmware updater
 QPxTool gives you access to all available Quality Checks (Q-Checks) on written
 and blank media, that are available for your drive. This will help you to find
 the right media and the optimized writing speed for your hardware, which will
 increase the chance for a long data lifetime.
 .
 This package contains pxfw, a firmware flash program for Plextor optical
 drives.

Package: pxlib-dev
Description-md5: 1d266ea13b069c1bb5c673ce9046190f
Description-en: library to read/write Paradox database files (development files)
 Library to read and write Paradox DB files. All versions
 of Paradox are supported. Binary large objects can only be
 read. Primary index files can be read and written. This
 package contains the development files.

Package: pxlib1
Description-md5: 58939ada4cf8f208295552c52bd12dbf
Description-en: library to read/write Paradox database files
 Library to read and write Paradox DB files. All versions
 of Paradox are supported. Binary large objects can only be
 read. Primary index files can be read and written.

Package: pxsl-tools
Description-md5: ee430e0a42d344b615490f99197bdf06
Description-en: Parsimonious XML Shorthand Language
 PXSL ("pixel") provides XML authors and programmers with a simple,
 concise syntax that they can use to create XML documents.
 .
 For more advanced users, PXSL offers customizable shortcuts and
 sophisticated refactoring tools like functional macros that can
 markedly reduce the size and complexity of markup-dense XML
 documents.
 .
 The short version is this: PXSL is XML turned inside-out. Instead of
 tagging the structure, you tag the non-structure, which is the better
 approach when most of your information is structure.
 .
 Also, PXSL lets users intermix PXSL and XML syntax in one
 document. Users are free to use whichever syntax works best for each
 portion of their documents.

Package: py-cpuinfo
Description-md5: b2a02ec5092de7aaca0ea58ee070367a
Description-en: Python script for getting CPU info
 The py-cpuinfo Python module provides pure Python tools for getting CPU infos,
 without any need of dependencies or extra programs beyond what the OS provides.
 .
 This package installs the command line tool for getting CPU info based on the
 py-cpuinfo Python module.

Package: py3c-dev
Description-md5: 1af4fa7b1c2d4edda69c65ad78eeeffe
Description-en: Python 2/3 compatibility layer for C extensions
 py3c is a library for easing porting C extensions to Python 3,
 providing macros for single-source compatibility between Python 2.6,
 2.7, and 3.3+. It could be described as “the six for C extensions”.

Package: py3status
Description-md5: f375293b251f10c8d40b6b113f538ee6
Description-en: extensible i3status wrapper written in Python (Python3 package)
 Using py3status, you can take control of your i3bar easily by:
 .
  - using one of the available shipped with py3status
  - grouping multiple modules and automatically or manually cycle their display
  - writing your own modules and have their output displayed on your bar
  - handling click events on your i3bar and play with them in no time
  - seeing your clock tick every second whatever your i3status interval
 .
 No extra configuration file needed, i3status' one will be used directly
 .
 This is the Python3 package

Package: pyacidobasic
Description-md5: 75e8afcc4b65dd1f0fac0ba756a99ca5
Description-en: simulation tool for acido-basic titrations
 python-acidobasic allows you to compose a mixture of acid or base reactives,
 and to simulate their titration by one acid or base. pH and concentration
 curves are displaied instantly when the composition of all chemicals are
 defined. You can choose to display either concentrations or quantities of
 chemicals.

Package: pybedtools-bin
Description-md5: e1b7b4b1a34e7d3de1ca34a63dd51e26
Description-en: Scripts produced for pybedtools
 The BEDTools suite of programs is widely used for genomic interval
 manipulation or “genome algebra”. pybedtools wraps and extends BEDTools and
 offers feature-level manipulations from within Python.
 .
 This package provides scripts that are executable with the
 Python 3 version of this package.

Package: pybik
Description-md5: 43809c8f4bcfd8ff58484565bd69d07f
Description-en: Rubik's cube game
 Pybik is a 3D puzzle game about the cube invented by Ernő Rubik.
 .
  * Various 3D puzzles, such as cubes, towers, prisms, tetrahedra, octahedra,
  dodecahedra and many more
  * Solvers for some puzzles
  * Pretty patterns
  * Editor for move sequences
  * Custom colors and images on the surface of the puzzles

Package: pybik-bin
Description-md5: cd85affb658b8a9d6aa1af2d8f00e861
Description-en: Rubik's cube game - architecture dependent files
 This package contains the architecture dependent files of Pybik,
 and is generally useless without the pybik package.

Package: pybind11-dev
Description-md5: 757d5a95c57c7cfaacd5b4d47bf8a20d
Description-en: seamless operability between C++11 and Python
 pybind11 is a lightweight header library that exposes C++ types in
 Python and vice versa, mainly to create Python bindings of existing C++
 code. Its goals and syntax are similar to the excellent Boost.Python
 library by David Abrahams: to minimize boilerplate code in traditional
 extension modules by inferring type information using compile-time
 introspection.
 .
 This package provides the header-only library.

Package: pybind11-doc
Description-md5: ad1f8255e000b1f4d921201326c0e401
Description-en: documentation for pybind11
 pybind11 is a lightweight header library that exposes C++ types in
 Python and vice versa, mainly to create Python bindings of existing C++
 code. Its goals and syntax are similar to the excellent Boost.Python
 library by David Abrahams: to minimize boilerplate code in traditional
 extension modules by inferring type information using compile-time
 introspection.
 .
 This package provides the documentation.

Package: pybtex
Description-md5: 7f1a349c929deb555704e514efb3ece8
Description-en: BibTeX-compatible bibliography processor
 Pybtex reads citation information from a file and produces a formatted
 bibliography. BibTeX style files are supported. Alternatively it is
 possible to write styles in Python.
 .
 Pybtex currently understands the following bibliography formats:
  * BibTeX
  * BibTeXML
  * YAML-based format
 .
 The resulting bibliography may be output in one of the following formats:
  * LaTeX
  * HTML
  * plain text
 .
 This package provides the command-line interface.

Package: pychess
Description-md5: 16dd6ccbbdfce91324a69d1665838e3e
Description-en: Chess graphical user interface for several chess engines
 The goal of pychess, is to provide a fully featured, nice looking,
 easy to use chess client for the gnome-desktop.
 .
 The client should be usable both to those totally new to chess, and
 those who want to use a computer to further enchance their play.
 .
 Features include:
  Tabbed interface
  pgn+epd read/write support
  Hints and spymode
  xboard/cecp engine support
  Builtin Python based engine
  Openingbook using sqlite
  Clean interface and dialogs
  Builtin move validator
  Legal move highlighting
  Supports drag'n'drop.

Package: pycirkuit
Description-md5: 0850fcb129ebf5ff9bde7bf316a91652
Description-en: front-end for "Circuit Macros" and the PIC language
 PyCirkuit is a front-end for Dwight Aplevich's Circuit Macros,
 which is a set of macros for drawing high-quality line diagrams
 to include in TeX, LaTeX, etc. PyCirkuit builds a live preview
 and allows it to be exported as TikZ.

Package: pycode-browser
Description-md5: 104b5783b01b62ad57df5e0a37f47851
Description-en: environment to teach with Python code snippets
 pycode-browser is part of the project PHOENIX, i. e. Physics with
 Home-made Equipment & Innovative Experiments, developed at Inter
 University Accelerator Centre, New Delhi. It allows students to browse
 Python source code easily, and to understand what this code means.

Package: pycodestyle
Description-md5: 02f2efc99fe16b828499bae404d53a3e
Description-en: Python style guide checker (formerly called pep8)
 Features a plugin architecture allowing for adding new checks is easily.
 Parseable output listing line numbers of the error location. Consists of
 just one Python file, and requires only stdlib.

Package: pyconfigure
Description-md5: f9161d1eb2bd0f630c72da11f6f6347c
Description-en: automatic configure script builder for Python software
 GNU pyconfigure provides developers with file templates for implementing
 standard `configure' scripts and `Makefile' recipes for their Python packages.
 pyconfigure supports different project needs, allowing you to write your
 build/install logic in Python or in Make. Developers with existing packages
 need not worry, as pyconfigure integrates well with what you have already
 written.
 .
 While the goal is to make it easier for Python packages to provide the
 standard configuration and installation procedure, pyconfigure also offers
 other advantages over the traditional Python-based methods. Because much of
 pyconfigure is based upon the powerful GNU Autoconf, packages that use
 additional languages such as C or Fortran automatically benefit from existing
 support without having to hack it in oneself, as in other Python-based
 solutions. Opting to use Make to write your installation logic provides you
 with a powerful, robust and flexible system that has been designed
 specifically with such processes in mind.

Package: pycorrfit
Description-md5: 3b47925c9eb103561491fd17fd76af55
Description-en: tool for fitting correlation curves on a logarithmic plot
 PyCorrFit is a general-purpose FCS evaluation software that,
 amongst other formats, supports the established Zeiss ConfoCor3 ~.fcs
 file format. PyCorrFit comes with several built-in model functions,
 covering a wide range of applications in standard confocal FCS.
 In addition, it contains equations dealing with different excitation
 geometries like total internal reflection (TIR).

Package: pydf
Description-md5: a4bf8f0eba6954cc38a3c34a478012bc
Description-en: colourised df(1)-clone
 pydf is all-singing, all-dancing, fully colourised df(1)-clone
 written in Python.

Package: pydocstyle
Description-md5: fcbb05c70eefc9314a8209f5bc0c1d8b
Description-en: Python docstring style checker (PEP-257 conventions)
 PEP-257 provides conventions for Python docstrings (string literals which
 occur as first statement in a module, function, class or method definition
 for documentation purposes). This tool checks Python code whether
 these conventions have been complied with, and if docstring are missing.
 .
 This is a successor of the application "pep257".
 .
 This package installs the cli tool.

Package: pydoctor
Description-md5: 303debf5251d3d3fc1ffa7d30bce826b
Description-en: Python API document generator
 Pydoctor is a tool for generating API documentation for Python modules based
 on their docstrings via static analysis.
 .
 It was written primarily to replace epydoc for the purposes of the Twisted
 project as epydoc has difficulties with zope.interface.

Package: pyecm
Description-md5: 60feb80659764929a509760e3b77a235
Description-en: integer factorization with the Elliptic Curve Method (ECM)
 pyecm is a Python program to factor numbers using the Elliptic Curve Method
 (ECM).  It is relatively fast in that it can quickly factors numbers up to 50
 digits.
 .
 Because it is written in Python, pyecm is very portable. It is also fairly
 easy to use. Use of python-gmpy and/or python-psyco will speed it up
 immensely.

Package: pyfai
Description-md5: 99681480cbe1e9374e4e00c9c53fdf90
Description-en: Fast Azimuthal Integration scripts
 PyFAI is a Python library for azimuthal integration; it allows the conversion
 of diffraction images taken with 2D detectors like CCD cameras into X-Ray
 powder patterns that can be used by other software like Rietveld refinement
 tools (i.e. FullProf), phase analysis or texture analysis.
 .
 As PyFAI is a library, its main goal is to be integrated in other tools like
 PyMca, LiMa or EDNA. To perform online data analysis, the precise description
 of the experimental setup has to be known. This is the reason why PyFAI
 includes geometry optimization code working on "powder rings" of reference
 samples. Alternatively, PyFAI can also import geometries fitted with other
 tools like Fit2D.
 .
 PyFAI has been designed to work with any kind of detector with any geometry
 (transmission, reflection, off-axis, ...). It uses the Python library FabIO
 to read most images taken by diffractometer.

Package: pyflakes
Description-md5: d0df9f7863b6b191701693d9d8046e2f
Description-en: passive checker of Python 2 programs
 Pyflakes is program to analyze Python programs and detect various
 errors. It works by parsing the source file, not importing it, so it
 is safe to use on modules with side effects. It's also much faster.
 .
 Unlike PyLint, Pyflakes checks only for logical errors in programs;
 it does not perform any checks on style.
 .
 This is the Python 2 command line `pyflakes` program.

Package: pyflakes3
Description-md5: 2472c90073cc1ab41ffe6ecd43e0b8d7
Description-en: passive checker of Python 3 programs
 Pyflakes is program to analyze Python programs and detect various
 errors. It works by parsing the source file, not importing it, so it
 is safe to use on modules with side effects. It's also much faster.
 .
 Unlike PyLint, Pyflakes checks only for logical errors in programs;
 it does not perform any checks on style.
 .
 This is the Python 3 command line `pyflakes3` program.

Package: pyfr
Description-md5: 2af2f7efd4d5c65cf305517880dfeebe
Description-en: flux reconstruction in Python
 PyFR is an open-source Python based framework for solving advection-diffusion
 type problems on streaming architectures using the Flux Reconstruction
 approach of Huynh. The framework is designed to solve a range of governing
 systems on mixed unstructured grids containing various element types. It is
 also designed to target a range of hardware platforms via use of an in-built
 domain specific language derived from the Mako templating engine.
 .
 This package provides the PyFR application.

Package: pyfr-doc
Description-md5: b4ee214dd645f30d7ae2678fe5927aa0
Description-en: documentation for PyFR
 PyFR is an open-source Python based framework for solving advection-diffusion
 type problems on streaming architectures using the Flux Reconstruction
 approach of Huynh. The framework is designed to solve a range of governing
 systems on mixed unstructured grids containing various element types. It is
 also designed to target a range of hardware platforms via use of an in-built
 domain specific language derived from the Mako templating engine.
 .
 This package provides the documentation for PyFR.

Package: pygac-bin
Description-md5: 8e354ecca3d7d2727140c8b81cafa07c
Description-en: Python package to read and calibrate NOAA AVHRR GAC data - scripts
 pygac reads NOAA AVHRR Global Area Coverage (GAC) data, and performs
 state of the art calibration and navigation.
 .
 It must be noted that pygac expects Level 1b file to contain normal
 GAC header and data records, the format of which are mentioned in the
 official NOAA POD and KLM Data User Guides.
 The user should not prepend any other header (e.g. when downloading
 GAC data from CLASS archive etc) to the L1b file.
 In the first pre-processing step, pygac determines whether the GAC
 data comes from the second (i.e. NOAA-14 and before) or the third
 generation (NOAA-15 and onwards) AVHRR instrument by "pygac-run".
 This is done by reading the first three bytes of the data set.
 If they contain the any of the following values, ["CMS", "NSS", "UKM",
 "DSS"], then the KLM reader from "gac_klm.py" file is invoked,
 otherwise the POD reader is invoked (gac_pod.py).
 .
 This package provides utilities and executable scripts.

Package: pygtail
Description-md5: 9cfb720f391b33b94e994629a640cc0e
Description-en: read log file lines that have not been read
 pygtail is a tool for reading log files, and is capable of handling log files
 which are rotated.
 .
 This has similar functionality to the logtail2 tool (which can be found in the
 logtail package).
 .
 This package contains the command line tool, pygtail. See the python3-pygtail
 package for the library that this tool uses.

Package: pyhoca-cli
Description-md5: e73440ec77812f2d35a68e4844653552
Description-en: Command line X2Go client written in Python
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 PyHoca-CLI provides a simple and flexible command line client
 written in Python that allows you to control X2Go client sessions
 on desktops and thin clients.

Package: pyhoca-gui
Description-md5: d9e72d1b5d45fa0eea0d8faf7dfc57d9
Description-en: Graphical X2Go client written in (wx)Python
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - sesion brokerage support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 PyHoca-GUI is a slim X2Go client that docks to the desktop's
 notification area and allows multiple X2Go session handling.

Package: pyjoke
Description-md5: f8bf7fabe53addbfa20f4cb5c1ff80c0
Description-en: Command line utility to make programmer jokes
 Run this command line utility to generate random jokes for programmers.
 Choose from neutral jokes, adult jokes, and Chuck Norris themed
 jokes.
 .
 This package contains the application for Python 3.

Package: pykwalify
Description-md5: 55de281f4b45f316127b3ed5afe8676b
Description-en: Python YAML/JSON schema validation library (command line program)
 PyKwalify is a open source port of the kwalify lib and specification.
 .
 PyKwalify allows validating YAML or JSON data against a schema
 described in YAML or JSON format.
 .
 This package installs the command line program.

Package: pylama
Description-md5: df8db961cb6bd3dd6f39acb1d8ee6e94
Description-en: code audit tool for Python in Python3
 pylama s a code audit tool for Python and JavaScript. It wraps the following
 tools:
  * PEP8 © 2012-2013, Florent Xicluna;
  * PEP257 © 2012, GreenSteam, <http://greensteam.dk/>
  * PyFlakes © 2005-2013, Kevin Watters;
  * Mccabe © Ned Batchelder;
  * Pylint © 2013, Logilab (should be installed 'pylama_pylint' module);
  * gjslint © The Closure Linter Authors (should be installed 'pylama_gjslint'
    module);
 .
 This package installs the tool in Python 3.

Package: pylang
Description-md5: adcb2a1ed97dc523466da85e9ac02139
Description-en: complete right sentence with random words generated.
 Practice languages by random words generated, where you must compose the right
 sentence in English and Spanish.

Package: pyliblo-utils
Description-md5: 0ed8aad2bc7a5f054029c849a1e9d263
Description-en: Command line utilities for sending and receiving OSC messages
 This package contains the send_osc and dump_osc utilities, which can be
 used to send OSC messages from a command line, and to print all incoming
 messages.
 .
 Open Sound Control (OSC) is a protocol for communication among computers,
 sound synthesizers, and other multimedia devices that is optimized for modern
 networking technology.

Package: pylint
Description-md5: ff8a168dbacb3b7700c3f362a684e4ee
Description-en: Python 3 code static checker and UML diagram generator
 Pylint is a Python source code analyzer which looks for programming
 errors, helps enforcing a coding standard and sniffs for some code
 smells (as defined in Martin Fowler's Refactoring book)
 .
 Pylint can be seen as another PyChecker since nearly all tests you
 can do with PyChecker can also be done with Pylint. However, Pylint
 offers some more features, like checking length of lines of code,
 checking if variable names are well-formed according to your coding
 standard, or checking if declared interfaces are truly implemented,
 and much more.
 .
 Additionally, it is possible to write plugins to add your own checks.
 .
 The package also ships the following additional commands:
 .
   * pyreverse3: an UML diagram generator
   * symilar3: an independent similarities checker
   * epylint3: Emacs and Flymake compatible Pylint

Package: pylint-doc
Description-md5: e0073fb1d233b2b1972710bdbd06345b
Description-en: Python code static checker and UML diagram generator (documentation)
 Pylint is a Python source code analyzer which looks for programming
 errors, helps enforcing a coding standard and sniffs for some code
 smells (as defined in Martin Fowler's Refactoring book)
 .
 Pylint can be seen as another PyChecker since nearly all tests you
 can do with PyChecker can also be done with Pylint. However, Pylint
 offers some more features, like checking length of lines of code,
 checking if variable names are well-formed according to your coding
 standard, or checking if declared interfaces are truly implemented,
 and much more.
 .
 Additionally, it is possible to write plugins to add your own checks.
 .
 The package contains documentation for pylint.

Package: pymacs
Description-md5: 42472e394a15f99a3b39e255786113db
Description-en: interface between Emacs Lisp and Python
 Pymacs is a powerful tool which, once started from Emacs, allows
 both-way communication between Emacs Lisp and Python. Pymacs aims
 Python as an extension language for Emacs rather than the other way
 around, and this asymmetry is reflected in some design
 choices. Within Emacs Lisp code, one may load and use Python
 modules. Python functions may themselves use Emacs services, and
 handle Emacs Lisp objects kept in Emacs Lisp space.

Package: pymca
Description-md5: f4c16d9a4b5e806648f8c820fc44ae0d
Description-en: Applications and toolkit for X-ray fluorescence analysis -- scripts
 PyMca is set of applications and Python libraries for analysis of
 X-ray fluorescence spectra.
 .
 The applications included in this package are:
 .
  * edfviewer - Display and inspection of data files in ESRF Data Format
  * elementsinfo - Displays element specific X-ray data
  * mca2edf - Converts files from SPEC MCA format to EDF
  * peakidentifier - Displays X-ray fluorescence peaks in a given energy range
  * pymcabatch - Batch fitting of spectra
  * pymcapostbatch - Post-processing of batch fitting results
  * pymca - Interactive data-analysis
  * pymcaroitool - Region-of-interest (ROI) imaging tool
 .
 The PyMca toolkit can read data files in SPEC, ESRF data file (EDF),
 OMNIC, HDF5, AIFIRA and SupaVisio formats.
 .
 This are the scripts of the package.

Package: pymca-data
Description-md5: 5da38d00dc03a6088bac6de7ca535619
Description-en: Architecture independent data files for PyMca
 PyMca is set of applications and Python libraries for analysis of
 X-ray fluorescence spectra.
 .
 This package contains the architecture independent data files for PyMca.

Package: pymca-doc
Description-md5: 130edcdf197fc8dc59704cdf6f02539f
Description-en: Documentation files for PyMca
 PyMca is set of applications and Python libraries for analysis of
 X-ray fluorescence spectra.
 .
 This package contains the documentation files for PyMca.

Package: pymoctool
Description-md5: 0daaa74532b1d5c29ed351c4d41979bb
Description-en: Python Multi-Order Coverage maps tool for Virtual Observatory
 'pymoctool' is a command-line Python-based library for manipulating
 Multi-Order Coverage maps (MOCs).
 .
 Frequently astronomical survey catalogues or images are sparse and
 cover only a small part of the sky.  In a Multi-Order Coverage map
 the extent of data in a particular dataset is cached as a
 pre-calculated mask image.  The hierarchical nature enables fast
 boolean operations in image space, without needing to perform complex
 geometrical calculations.  Services such as VizieR generally offer the
 MOC masks, allowing a faster experience in graphical applications
 such as Aladin, or for researchers quickly needing to locate which
 datasets may contain overlapping coverage.
 .
 The MOC mask image itself is tessellated and stored in NASA HealPix
 format, encoded inside a FITS image container.  Using the HealPix
 (Hierarchical Equal Area isoLatitude Pixelization) tessellation
 method ensures that more precision (pixels) in the mask are available
 when describing complex shapes such as approximating survey or
 polygon edges, while only needing to store a single big cell/pixel
 when an coverage is either completely inside, or outside of the mask.
 Catalogues can be rendered on the mask as circles.
 .
 It is written in Python and uses the PyMOC library.

Package: pymol
Description-md5: bfc8e8676a87c0058529a662aef95313
Description-en: Molecular Graphics System
 PyMOL is a molecular graphics system targeted at medium to large
 biomolecules like proteins. It can generate high-quality publication-ready
 molecular graphics images and animations.
 .
 Features include:
  * Visualization of molecules, molecular trajectories and surfaces
    of crystallography data or orbitals
  * Molecular builder and sculptor
  * Internal raytracer and movie generator
  * Fully extensible and scriptable via a Python interface
 .
 File formats PyMOL can read include PDB, XYZ, CIF, MDL Molfile, ChemDraw,
 CCP4 maps, XPLOR maps and Gaussian cube maps.

Package: pymol-data
Description-md5: c3ed7d9e61eaf99c0a54ad9880ef493b
Description-en: data files for PyMOL
 PyMOL is a molecular graphics system targeted at medium to large
 biomolecules like proteins. It can generate high-quality publication-ready
 molecular graphics images and animations.
 .
 This package contains all data files for PyMOL package to reduce the
 redundancy between architectures in Debian.

Package: pympress
Description-md5: 94a0daa79d15ff887a5b4ed9046ec7c1
Description-en: simple and powerful dual-screen PDF reader
 Pympress is a little PDF reader written in Python using Poppler for PDF
 rendering and GTK+ for the GUI.
 .
 It is designed to be a dual-screen reader used for presentations and public
 talks, with two displays: the *Content window* for a projector, and the
 *Presenter window* for your laptop.
 .
 It comes with many great features:
   - supports embedded videos
   - text annotations displayed in the presenter window
   - natively supports beamer's *notes on second screen*!

Package: pympress-doc
Description-md5: e65b88033df1588da2a71580d71b5345
Description-en: Pympress documentation
 Pympress is a little PDF reader written in Python using Poppler for PDF
 rendering and GTK+ for the GUI.
 .
 It is designed to be a dual-screen reader used for presentations and public
 talks, with two displays: the *Content window* for a projector, and the
 *Presenter window* for your laptop.
 .
 It comes with many great features:
   - supports embedded videos
   - text annotations displayed in the presenter window
   - natively supports beamer's *notes on second screen*!
 .
 This is the documentation package.

Package: pynag
Description-md5: 92db7060e930e3fa240e452dc2a36150
Description-en: Command line interface to nagios configuration
 The main goal of this project is to provide a Python tool for
 pragmatically handling Nagios configuration file maintenance, and
 plugin development.
 .
 This package contains the executable program pynag which uses the
 pynag module to inspect and manipulate nagios configuration.

Package: pynslcd
Description-md5: ded83b939dc2c13277ec58acb61cc0ac
Description-en: daemon for NSS and PAM lookups via LDAP - Python version
 This package provides a daemon for retrieving user accounts and similar
 system information from LDAP. It is used by the libnss-ldapd and
 libpam-ldapd packages but is not very useful by itself.
 .
 This is an alternative Python implementation of nslcd. Note that it is
 currently EXPERIMENTAL and has not undergone the same testing as nslcd.

Package: pyosmium
Description-md5: 44cc9411ac4846772df01ae6a7baa004
Description-en: Osmium library bindings for Python - utilities
 The PyOsmium module allows you to access some of the features of the Osmium
 library from Python code.
 .
 PyOsmium has extensive support for all types of OSM entities: nodes, ways,
 relations, and changesets. It allows reading from OSM files in XML and PBF
 formats, including change files and full history files. Its easy to use
 handler interface allows you to quickly write data filtering and conversion
 functions.
 .
 This package contains the PyOsmium utilities.

Package: pyosmium-doc
Description-md5: 5699ca1efe8433f3d0b68911fa42902d
Description-en: Osmium library bindings for Python - Documentation
 The PyOsmium module allows you to access some of the features of the Osmium
 library from Python code.
 .
 PyOsmium has extensive support for all types of OSM entities: nodes, ways,
 relations, and changesets. It allows reading from OSM files in XML and PBF
 formats, including change files and full history files. Its easy to use
 handler interface allows you to quickly write data filtering and conversion
 functions.
 .
 This package contains the PyOsmium documentation.

Package: pyotherside
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: pyotherside-doc
Description-md5: 19f77a038bb96a66231b1bc5ee1902c2
Description-en: asynchronous Python 3 Bindings for Qt 5 (documentation)
 A Qt 5 QML Plugin that provides access to a Python 3 interpreter from QML.
 .
 PyOtherSide is a Qt 5 QML Plugin that provides access to a Python 3
 interpreter from QML. It was designed with mobile devices in mind, where
 high-framerate touch interfaces are common, and where the user usually
 interfaces only with one application at a time via a touchscreen. As such, it
 is important to never block the UI thread, so that the user can always
 continue to use the interface, even when the backend is processing,
 downloading or calculating something in the background.
 .
 At its core, PyOtherSide is basically a simple layer that converts Qt (QML)
 objects to Python objects and vice versa, with focus on asynchronous events
 and continuation-passing style function calls.
 .
 While legacy versions of PyOtherSide worked with Qt 4.x and Python 2.x, its
 focus now lies on Python 3.x and Qt 5. Python 3 has been out for several
 years, and offers some nice language features and clean-ups, while Qt 5
 supports most mobile platforms well, and has an improved QML engine and a
 faster renderer (Qt Scene Graph) compared to Qt 4.
 .
 This package provides the HTML documentation as well as the set of examples.

Package: pyotherside-tests
Description-md5: 9f87d3df08d939c20ba16717ae1ef0df
Description-en: Asynchronous Python 3 Bindings for Qt 5 (tests)
 A Qt 5 QML Plugin that provides access to a Python 3 interpreter from QML.
 .
 PyOtherSide is a Qt 5 QML Plugin that provides access to a Python 3
 interpreter from QML. It was designed with mobile devices in mind, where
 high-framerate touch interfaces are common, and where the user usually
 interfaces only with one application at a time via a touchscreen. As such, it
 is important to never block the UI thread, so that the user can always
 continue to use the interface, even when the backend is processing,
 downloading or calculating something in the background.
 .
 At its core, PyOtherSide is basically a simple layer that converts Qt (QML)
 objects to Python objects and vice versa, with focus on asynchronous events
 and continuation-passing style function calls.
 .
 While legacy versions of PyOtherSide worked with Qt 4.x and Python 2.x, its
 focus now lies on Python 3.x and Qt 5. Python 3 has been out for several
 years, and offers some nice language features and clean-ups, while Qt 5
 supports most mobile platforms well, and has an improved QML engine and a
 faster renderer (Qt Scene Graph) compared to Qt 4.
 .
 This package contains the test suite that can be started post-installation.

Package: pyp
Description-md5: f1782f6a50436eea040ae60e5d6758a6
Description-en: sed/awk-like tool with Python language
 pyp, the Pyed Piper, is a command line tool for text manipulation. It
 is similar to awk and sed in functionality, but its subcommands are
 Python based, and thus more familiar to many programmers.
 .
 It can operate both on a per-line base and on the complete input
 stream. Different features can be pipelined in a single command by
 using the pipe character familiar from shell commands.
 .
 pyp backs up its input for reruns with modified commands, and can save
 commands as macros. On the downside, the rerun feature makes it
 unsuitable for continuous pipe operation.

Package: pypass
Description-md5: b0cfecc4654333c339a23f69f784c712
Description-en: lightweight directory-based password manager in Python
 pypass is a password manager written in Python that provides the same
 functionality as pass. In addition, it is useable as a library.

Package: pypi2deb
Description-md5: bb0212ea3880880ab6165221d9a746b9
Description-en: PyPI to Debian converter
 This package provides these tools:
  * py2dsp - converts PyPI package to Debian source package
  * pypi2debian - converts PyPI repository to Debian repository
 .
 Features:
  * uses PyPI metadata
  * supports Python 2.X, 3.X and PyPy
  * guesses build dependencies
  * reuses existing Debian package names if already packaged in Debian
  * creates -doc package with Sphinx regenerated documentation
  * generates ITP email template
  * easy to customise (profiles, overrides, templates)
  * uses Debian tools to generate files if possible
  * integrates with dh-python's tools
  * asynchronous

Package: pyppd
Description-md5: 430c15cefec8779b4e345c966d8bf857
Description-en: CUPS PostScript Printer Driver's compressor and generator
 pyppd is a CUPS PPD generator. It holds an compressed archive of PPDs, which
 can be listed and retrieved only when needed by CUPS, saving disk space.
 .
 This tool is mostly useful as build-dependency for printing driver packages.
 For this use, it provides a Debian-specific dh_pyppd Debhelper addon that
 handles the pyppd launch and the cleanup after it.

Package: pyprof2calltree
Description-md5: 4e756b5212d471a9f7defc0d381fdb17
Description-en: visualise Python cProfile data with this kcachegrind converter
 Pyprof2calltree converts cProfile data into a format that is
 consumable by kcachegrind and qcachegrind for graphical calltree
 analysis.  This combination provides similar capabilities to Snakeviz
 or RunSnakeRun.
 .
 Pyprof2calltree is an adaptation of lsprofcalltree.py, written by David
 Allouche, Jp Calderone, Itamar Shtull-Trauring, and Johan Dahlin.  It
 has been adapted to behave more like scripts in the kcachegrind-converters
 package.  One of the authors' objectives is for pyprof2calltree to
 eventually become part of the official upstream kdesdk package.

Package: pyprompter
Description-md5: aa42b9c19228e035b75bcec9fac8ca25
Description-en: intelligent predictive wxPython text editor
 This package contains the wxPython predictive text editor pyprompter.
 .
 pyprompter is a cross-platform predictive text editor.
 .
 pyprompter displays predictions in a contextual pop-up box as each
 letter is typed. Predictions can be easily selected and inserted in
 the document.

Package: pypump-shell
Description-md5: f7281b44999ef76820aef3b77767b186
Description-en: interface to the pump.io APIs (pypump-shell program)
 PyPump provides an interface to the pump.io APIs. The aim is to
 provide very natural pythonic representations of Notes, Images,
 People, etc... allowing you to painlessly interact with them.
 .
 This package provides the pypump-shell program, which sets up an
 environment and oauth tokens and allows for interactive testing.

Package: pypy
Description-md5: a2e5301f03fb162ec4c566b024b3e41f
Description-en: fast alternative implementation of Python - PyPy interpreter
 PyPy is a fast, compliant alternative implementation of the Python language
 (2.7). It has several advantages and distinct features:
  * Speed: thanks to its Just-in-Time compiler (on x86), Python programs often
    run faster on PyPy.
  * Memory usage: large, memory-hungry Python programs might end up taking less
    space than they do in CPython.
  * Compatibility: PyPy is highly compatible with existing Python code.
    It supports ctypes and can run popular Python libraries like twisted and
    django.
  * Stackless: PyPy supports stackless mode on most platforms, providing
    micro-threads for massive concurrency.
 .
 This package contains the PyPy interpreter.

Package: pypy-appdirs
Description-md5: a6647ea37326c8190528f28bda2f0ff0
Description-en: determining appropriate platform-specific directories (PyPy)
 Depending on your system (Linux, Mac OS X, or Windows), you have to use
 different directories for storing user data. appdirs will help you choose an
 appropriate:
 .
  * user data directory (user_data_dir function)
  * user config directory (user_config_dir function)
  * user cache directory (user_cache_dir function)
  * site data directory (site_data_dir function)
  * site config directory (site_config_dir function)
  * user log directory (user_log_dir function)
 .
 On Linux systems, these function return directories based on the XDG Base
 Directory Specification.
 .
 This is the PyPy version of the package.

Package: pypy-asn1crypto
Description-md5: 11a2a759edcc9aedc713f750189b30ca
Description-en: Fast ASN.1 parser and serializer (PyPy)
 asn1crypto focuses on:
  - Delayed parsing of byte string values
  - Persistence of original ASN.1 encoded data until a value is changed
  - Lazy loading of child fields
  - Utilization of high-level Python stdlib modules
 .
 This package contains the PyPy version of asn1crypto.

Package: pypy-atomicwrites
Description-md5: 81eeb700bd38868e6f527ce362212517
Description-en: Atomic file writes - PyPy
 It uses a temporary file in the same directory as the given path. This ensures
 that the temporary file resides on the same filesystem.
 The temporary file will then be atomically moved to the target location: On
 POSIX, it will use rename if files should be overwritten, otherwise a
 combination of link and unlink.
 .
 This package contains the PyPy.

Package: pypy-attr
Description-md5: 3d38554c4c9d2544f03d1599404f3f22
Description-en: Attributes without boilerplate (PyPy)
 attrs is an MIT-licensed Python package with class decorators that ease the
 chores of implementing the most common attribute-related object protocols.
 .
 You just specify the attributes to work with and attrs gives you:
   - a nice human-readable __repr__,
   - a complete set of comparison methods,
   - an initializer,
   - and much more
 without writing dull boilerplate code again and again.
 .
 This package contains attrs packaged for PyPy.

Package: pypy-backports.functools-lru-cache
Description-md5: e7f9060c1e738e8c900f2b64cbf38d65
Description-en: backport of functools.lru_cache from Python 3.3 to PyPy
 Backport of functools.lru_cache from Python 3.3 as published at ActiveState:
 <http://code.activestate.com/recipes/578078/>
 .
 This package provides functools.lru_cache to the Python 2 pypy.

Package: pypy-configparser
Description-md5: 8c30ad96c921be9316a62b2506375e27
Description-en: backport of the enhanced config parser introduced in Python 3.2 - Python 2.7
 The ancient ConfigParser module available in the standard library 2.x has
 seen a major update in Python 3.2. This is a backport of those changes so
 that they can be used directly in Python 2.7.
 .
 This package contains PyPy module.

Package: pypy-contextlib2
Description-md5: f83011d0babd11ca7af722e8500eff77
Description-en: Backport and enhancements for the contextlib module - PyPy
 contextlib2 is a backport of the standard library's contextlib module to
 earlier Python versions.
 .
 It also serves as a real world proving ground for possible future
 enhancements to the standard library version.
 .
 This package contains the PyPy module.

Package: pypy-coverage
Description-md5: b4bca76400e337e0129ac9d1fecbc495
Description-en: code coverage tool for Python 2 PyPy
 Coverage.py is a tool for measuring code coverage of Python programs.
 It monitors your program, noting which parts of the code have been
 executed, then analyzes the source to identify code that could have
 been executed but was not.
 .
 Coverage measurement is typically used to gauge the effectiveness of
 tests. It can show which parts of your code are being exercised by
 tests, and which are not.
 .
 This package installs the Python 2 ‘coverage’ library built for PyPy, and the
 ‘pypy-coverage’ program to perform and report coverage tests.

Package: pypy-dev
Description-md5: 8c4037a8e6072fc6abd37c22b2a2b38a
Description-en: header files for PyPy (an alternative Python interpreter)
 Header files for compiling cpython C extension modules for use with PyPy.
 Note that pure Python modules will probably perform better.
 .
 PyPy supports a subset of cpython's C API through its CPyExt subsystem.
 .
 Also included is PyPy.h, for embedding the PyPy interpreter.
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (2.7).

Package: pypy-doc
Description-md5: 32997c3769204ecded0a225c0ee6514f
Description-en: developer Documentation for PyPy (an alternative Python interpreter)
 The Developer documentation for PyPy. This mostly covers the internals of
 PyPy, and development of PyPy itself.
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (2.7).

Package: pypy-dulwich
Description-md5: 05737562bcd0ac34479590411b5f54d0
Description-en: Python Git library - pypy module
 Dulwich is a Python implementation of the file formats and protocols
 used by the Git version control system. It can currently read from and write
 to existing Git repositories and implements the protocol for pushing and
 receiving packs from remote servers.
 .
 All functionality is available in pure Python, but for improved performance
 replacements of some modules written in C are also available. This package
 includes the high performance versions.
 .
 This package contains the module built for pypy.

Package: pypy-enum34
Description-md5: ca1c777b53fda9cfe76f990be44455b2
Description-en: backport of Python 3.4's enum package (PyPy package)
 PEP 435 adds an enumeration to Python 3.4.  This module provides a
 backport of that data type for older Python versions.  It defines two
 enumeration classes that can be used to define unit sets of names and
 values: Enum and IntEnum.
 .
 This is the PyPy compatible package.

Package: pypy-fastimport
Description-md5: ca55dd4327d35545cbea3aca13b9f009
Description-en: Fastimport file format parser and generator library
 Python library for parsing and generating the fastimport file format.
 The fastimport format is used for serializing version control histories.
 .
 This package contains the module built for pypy.

Package: pypy-funcsigs
Description-md5: b7cf8cd6f1d88a7cc2c8ee10af3d81e6
Description-en: function signatures from PEP362 - PyPy
 funcsigs is a backport of the PEP 362 function signature features from Python
 3.3's inspect module. The backport is compatible with Python 2.6, 2.7 as well
 as 3.2 and up.
 .
 This package contains the PyPy module.

Package: pypy-hypothesis
Description-md5: 40c3770bb7972c5a8625be265c086508
Description-en: advanced Quickcheck style testing library for PyPy
 Hypothesis is a library for testing your Python code against a much
 larger range of examples than you would ever want to write by
 hand. It's based on the Haskell library, Quickcheck, and is designed
 to integrate seamlessly into your existing Python unit testing work
 flow.
 .
 Hypothesis is both extremely practical and also advances the state of
 the art of unit testing by some way. It's easy to use, stable, and
 extremely powerful. If you're not using Hypothesis to test your
 project then you're missing out.
 .
 This package contains the PyPy module.

Package: pypy-importlib-metadata
Description-md5: c98346d567dff7b622f591d86b2c32f1
Description-en: library to access the metadata for a Python package - PyPy
 Provides an API for accessing an installed package’s metadata, such as its
 entry points or its top-level name. This functionality intends to replace
 most uses of pkg_resources entry point API and metadata API.
 .
 This package contains PyPy module.

Package: pypy-ipaddress
Description-md5: 4fff954778d4666aab47a85da374456c
Description-en: Backport of Python 3 ipaddress module (PyPy)
 This module is a backport of the stdlib "ipaddress" module from Python 3. The
 "ipaddress" module is in turn based off of the "ipaddr" module available in
 the "python-ipaddr" but there are some important API differences; make sure
 you are using the right module.
 .
 This package contains the PyPy module.

Package: pypy-lib
Description-md5: 3383c775a50b6d640b85c1f43b10a2cc
Description-en: standard library for PyPy (an alternative Python interpreter)
 The PyPy standard library. This is a combination of modules, copied directly
 from cpython, modified cpython modules, and modules entirely re-implemented
 (usually in pure Python, where they are C extensions in cpython).
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (2.7).

Package: pypy-lib-testsuite
Description-md5: 6a6eeec484580409ae378af7dbe5c001
Description-en: standard library test suite for PyPy (an alternative Python interpreter)
 The complete test suite for the PyPy standard library.
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (2.7).

Package: pypy-more-itertools
Description-md5: cdb22ead3b2451ff1367d25cd7b33913
Description-en: library with routines for operating on iterables, beyond itertools (PyPy)
 Python's itertools library is a gem - you can compose elegant solutions
 for a variety of problems with the functions it provides.
 More-itertools collects additional building blocks, recipes,
 and routines for working with Python iterables.
 .
 This package contains the module for PyPy.

Package: pypy-packaging
Description-md5: f037d5e456a25cf671c72b0c81f1cfb4
Description-en: core utilities for pypy packages
 These core utilities currently consist of:
  - Version Handling (PEP 440)
  - Dependency Specification (PEP 440)

Package: pypy-pathlib2
Description-md5: d4db1be7aea4d077ea9d584a4eee073c
Description-en: Backport of the "pathlib" stdlib module (PyPy)
 The old pathlib module on bitbucket is in bugfix-only mode. The goal of
 pathlib2 is to provide a backport of standard pathlib module which tracks
 the standard library module, so all the newest features of the standard
 pathlib can be used also on older Python versions.
 .
 This package is (obviously) for PyPy.

Package: pypy-pkg-resources
Description-md5: 455aab7092c23bbca0a002df43ba97e4
Description-en: Package Discovery and Resource Access using pkg_resources
 The pkg_resources module provides an API for Python libraries to
 access their resource files, and for extensible applications and
 frameworks to automatically discover plugins.  It also provides
 runtime support for using C extensions that are inside zipfile-format
 eggs, support for merging packages that have separately-distributed
 modules or subpackages, and APIs for managing Python's current
 "working set" of active packages.

Package: pypy-pluggy
Description-md5: 8e3b433e821fe29fd35185ed8f504a6d
Description-en: plugin and hook calling mechanisms for Python - PyPy
 pluggy is the cristallized core of plugin management as used by some 150
 plugins for pytest.
 .
 This is the PyPy library.

Package: pypy-pretend
Description-md5: 119c813093128043145eb28180fcfc8d
Description-en: Python library for stubbing (PyPy)
 Pretend is a library to make stubbing with Python easier.
 .
 Stubbing is a technique for writing tests. You may hear the term mixed up with
 mocks, fakes, or doubles. Basically a stub is an object that returns pre-canned
 responses, rather than doing any computation.
 .
 This package contains the PyPy version of pretend.

Package: pypy-py
Description-md5: ac28e0946588917c4335e0827c3b7241
Description-en: Advanced Python development support library (PyPy)
 The Codespeak py lib aims at supporting a decent Python development process
 addressing deployment, versioning and documentation perspectives. It includes:
 .
  * py.path: path abstractions over local and Subversion files
  * py.code: dynamic code compile and traceback printing support
 .
 This package provides the PyPy 2 modules.

Package: pypy-pyasn1
Description-md5: 96f3efa5b6b118cd53d83cab7f115332
Description-en: ASN.1 library for Python (PyPy module)
 This  is  an implementation  of  ASN.1  types  and codecs  in  Python
 programming language. It has been first written to support particular
 protocol (SNMP) but then generalized  to be suitable for a wide range
 of protocols based on ASN.1 specification.
 .
 This package contains the PyPy module.

Package: pypy-pyparsing
Description-md5: 2f0edf9fd397708d90080983a706453c
Description-en: alternative to creating and executing simple grammars - pypy
 The parsing module is an alternative approach to creating and
 executing simple grammars, vs. the traditional lex/yacc approach, or
 the use of regular expressions.  The parsing module provides a
 library of classes that client code uses to construct the grammar
 directly in Python code.
 .
 Here's an example:
 .
  from pyparsing import Word, alphas
  greet = Word(alphas) + "," + Word(alphas) + "!"
  hello = "Hello, World!"
  print hello, "->", greet.parseString(hello)
 .
 This package contains the PyPy version of python-pyparsing.

Package: pypy-pytest
Description-md5: b4e9044cfc3c845a245c34196daf2f6d
Description-en: Simple, powerful testing in PyPy
 This testing tool has for objective to allow the developers to limit the
 boilerplate code around the tests, promoting the use of built-in
 mechanisms such as the `assert` keyword.
 .
 This package provides the PyPy module and the py.test-pypy script.

Package: pypy-rawkit
Description-md5: ce0b1000cc5fcea97d70d980e2282ca1
Description-en: CTypes based LibRaw bindings - Python 2.X
 rawkit (pronounced "rocket") is a ctypes-based LibRaw binding for
 Python inspired by the Wand API.

Package: pypy-scandir
Description-md5: e745114b7001cc16db12c184c8097deb
Description-en: Backport of the "scandir" stdlib module (PyPy)
 Better directory iterator and faster os.walk(), as found in Python 3:
 instead of returning a list of bare filenames, it yields DirEntry objects
 that include much more information.
 .
 This package is (obviously) for PyPy.

Package: pypy-setuptools
Description-md5: 40365991112da2290ae1f8e833410360
Description-en: PyPy Distutils Enhancements
 Extensions to the python-distutils for large or complex distributions.

Package: pypy-setuptools-scm
Description-md5: 0b8a1a4cf7916e873dc3e442786440fc
Description-en: blessed package to manage your versions by scm tags for PyPy
 setuptools_scm handles managing your Python package versions in scm metadata.
 It also handles file finders for the suppertes scm's.
 .
 This package installs the library for PyPy.

Package: pypy-six
Description-md5: 9f2b4b420cf11fa632953c393b8110f6
Description-en: Python 2 and 3 compatibility library (PyPy interface)
 Six is a Python 2 and 3 compatibility library. It provides utility
 functions for smoothing over the differences between the Python versions
 with the goal of writing Python code that is compatible on both Python
 versions.
 .
 This package provides Six on the PyPy module path. It is complemented
 by python-six and python3-six.

Package: pypy-sqlparse
Description-md5: 6597312e9b2e2abbf0a7d42e49a26f24
Description-en: non-validating SQL parser for PyPy
 Sqlparse is a library which provides support for parsing, splitting and
 customizable formatting of SQL statements in Python environment.
 .
 This is the PyPy version of the package.

Package: pypy-stem
Description-md5: 376061c2cca35884df357124e2c1ae14
Description-en: Tor control library for PyPy
 Stem is a Python controller library for Tor. With it you can use
 Tor's control protocol to script against the Tor process and read
 descriptor data relays publish about themselves.
 .
 This is PyPy series module.

Package: pypy-tk
Description-md5: 49b76bbe6cf6957e82339985cf55eb62
Description-en: Tkinter module for PyPy (an alternative Python interpreter)
 A module for writing portable GUI applications with PyPy using Tk.
 Also known as Tkinter.
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (2.7).

Package: pypy-wand
Description-md5: 303df5d4fe40070dbf47b95a072eba77
Description-en: Python interface for ImageMagick library (PyPy build)
 Wand is a ctypes-based simple ImageMagick binding for Python. It
 doesn't cover all functionalities of MagickWand API currently.
 .
 Wand provides Pythonic and modern interfaces, good documentation,
 binding through ctypes (which works on PyPy) and installation using
 pip or easy_install.
 .
 This module is built for PyPy.

Package: pypy-wcwidth
Description-md5: a1b65a4ec46c827a0f50ad46a2c7c249
Description-en: determine printable width of a string on a terminal (PyPy)
 wcwidth allows one to determine the printable width of a string on a terminal.
 It provides functions similar to wcwidth(3) and wcswidth(3) for Python
 programs.
 .
 This package provides the module for PyPy.

Package: pypy-zipp
Description-md5: 94c27b60e785744a39201841655bc2ce
Description-en: pathlib-compatible Zipfile object wrapper - PyPy
 A backport of the Path object to older versions of Python.
 It's pathlib-compatible interface for zip files using zipfile object inside.
 .
 This package contains PyPy module.

Package: pypy3
Description-md5: bcca4016544277bbfb1d0363fb139efb
Description-en: fast alternative implementation of Python 3.x - PyPy interpreter
 PyPy is a fast, compliant alternative implementation of the Python language
 (3.x). It has several advantages and distinct features:
  * Speed: thanks to its Just-in-Time compiler (on x86), Python programs often
    run faster on PyPy.
  * Memory usage: large, memory-hungry Python programs might end up taking less
    space than they do in CPython.
  * Compatibility: PyPy is highly compatible with existing Python code.
    It supports ctypes and can run popular Python libraries like twisted and
    django.
  * Stackless: PyPy supports stackless mode on most platforms, providing
    micro-threads for massive concurrency.
 .
 This package contains the PyPy interpreter for Python 3.x.

Package: pypy3-dev
Description-md5: b38eb6e0231f366a0a7aae06e22fa8f6
Description-en: header files for PyPy (an alternative Python 3.x interpreter)
 Header files for compiling cpython C extension modules for use with PyPy.
 Note that pure Python modules will probably perform better.
 .
 PyPy supports a subset of cpython's C API through its CPyExt subsystem.
 .
 Also included is PyPy.h, for embedding the PyPy interpreter.
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (3.x).

Package: pypy3-doc
Description-md5: 537ca08edf69fdaf448335c7c5bd4398
Description-en: developer Documentation for PyPy (an alternative Python 3.x interpreter)
 The Developer documentation for PyPy. This mostly covers the internals of
 PyPy, and development of PyPy itself.
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (3.x).

Package: pypy3-lib
Description-md5: d990d11c93726ef911d8bb77cd2497d6
Description-en: standard library for PyPy (an alternative Python 3.x interpreter)
 The PyPy standard library. This is a combination of modules, copied directly
 from cpython, modified cpython modules, and modules entirely re-implemented
 (usually in pure Python, where they are C extensions in cpython).
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (3.x).

Package: pypy3-lib-testsuite
Description-md5: b14fc25534dbb48086570e1721307f1a
Description-en: standard library test suite for PyPy (an alternative Python 3,x interpreter)
 The complete test suite for the PyPy standard library.
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (3.x).

Package: pypy3-tk
Description-md5: 673507ae7e0cf0430e97082ddc69ab49
Description-en: Tkinter module for PyPy (an alternative Python 3.x interpreter)
 A module for writing portable GUI applications with PyPy using Tk.
 Also known as Tkinter.
 .
 PyPy is a fast, compliant alternative implementation of the Python language
 (3.x).

Package: pyqi
Description-md5: b772316125cae580a41ce7befb71298d
Description-en: Python framework for wrapping general commands in multiple interfaces
 pyqi (canonically pronounced pie chee) is a Python framework designed to
 support wrapping general commands in multiple types of interfaces, including
 at the command line, HTML, and API levels.

Package: pyqso
Description-md5: 148ceb5552dac415e3754a43a2376683
Description-en: logging tool for amateur radio operators
 PyQSO is a logging tool for amateur radio operators. It provides a simple
 graphical interface through which users can manage information about the
 contacts/QSOs they make with other operators on the air. All information is
 stored in a light-weight SQL database. Other key features include:
 .
  * Customisable interface (e.g. only show callsign and frequency information).
  * Import and export logs in ADIF format.
  * Perform callsign lookups and auto-fill data fields using the qrz.com
    database.
  * Sort the logs by individual fields.
  * Print a hard-copy of logs, or print to PDF.
  * Connect to Telnet-based DX clusters.
  * Progress tracker for the DXCC award.
  * Grey line plotter.
  * Filter out QSOs based on the callsign field (e.g. only display contacts
    with callsigns beginning with “M6”).
  * Remove duplicate QSOs.
  * Basic support for the Hamlib library.

Package: pyqt5-dev
Description-md5: f8cbcee0368d34a312a8917b96b1992d
Description-en: Development files for PyQt5
 This package contains the source SIP files from which the Python
 bindings for Qt5 are created. They are needed for building PyQt5
 as well as creating bindings for own Qt5 widgets written in C++.

Package: pyqt5-dev-tools
Description-md5: a70dc737e95b7098a659b79deaa30330
Description-en: Development tools for PyQt5
 This package contains various support tools for PyQt5 developers:
  * a user interface compiler (pyuic5)
  * a resource file generator (pyrcc5)
  * a translation string finder (pylupdate5)

Package: pyqt5-examples
Description-md5: d62c291d8eb4fd9f644fa9a6b27c31ca
Description-en: Examples and demos for PyQt5
 PyQt5 exposes the Qt5 GUI toolkit in Python.
 .
 This package the Qt example programs and demos ported to Python.

Package: pyqt5.qsci-dev
Description-md5: 03caa95418b140f14798ab8c3605a312
Description-en: Development files for Python Qscintilla2 (Qt5)
 This package contains the source SIP files from which the Python bindings for
 Qscintilla2 (Qt5) are created. They are needed for building PyQt5 bindindgs
 for Qscintilla2 as well as creating bindings for own widgets written in C++.

Package: pyqt5chart-dev
Description-md5: 6b784302386aefc7b6b56849307a5b48
Description-en: Development files for PyQtCharts
 PyQtCharts exposes the QtCharts toolkit in Python.
 .
 This package contains the source SIP files from which the Python
 bindings for QtCharts are created.

Package: pyracerz
Description-md5: 6231a50959812b6f3933259983593287
Description-en: multiplayer top view 2D racing game
 It features multiplayer (on the same computer), tournaments, high scores,
 replays, 6 tracks (really 12, because each track can be used Normal or
 Reverse), 9 cars, a basic robot player, 3 different levels of difficulty,
 and a music player (it can play the .ogg files locating in the musics
 directory).

Package: pyrex-mode
Description-md5: 0e1142eba236916b3e5d3a14be73c9c5
Description-en: emacs-lisp pyrex-mode for pyrex
 Pyrex lets you write code that mixes Python and C data types any way you want,
 and compiles it into a C extension for Python.
 .
 This Emacs mode is derived from the Python language mode, python-mode,
 and provides syntax highlighting for Pyrex scripts.

Package: pyro4
Description-md5: e51a58e2ee4e1edb1e31513f1cf4e922
Description-en: distributed object middleware for Python (RPC)
 Pyro (PYthon Remote Object) is an easy to use and powerful distributed object
 system for Python. In a distributed object system, clients send requests to
 distant servers, which owns the remote objects. Pyro simplifies a lot the
 creation of clients and servers, and has among its features:
  - dynamic and static proxies for all remote method invocations,
  - a naming service which keeps record of the location of objects,
  - mobile objects support: clients and servers can pass objects around,
  - exceptions that occur in the remote object is raised on the client too,
  - multithreaded server support to handle multiple requests simultaneously.
 .
 This metapackage depends on the on the Python variant the user needs.
 .
 The documentation is available in the pyro4-doc package.
 A lot of examples are available in the pyro4-examples package.

Package: pyro4-doc
Description-md5: 9d23e297022d0b876d837122fa9001c4
Description-en: distributed object middleware for Python (RPC), documentation
 Pyro (PYthon Remote Object) is an easy to use and powerful distributed object
 system for Python.
 .
 This package contains the documentation files for the pyro4 package.

Package: pyro4-examples
Description-md5: ebf12e94c1f698d562e41bb13fae0e41
Description-en: distributed object middleware for Python (RPC), examples
 Pyro4 (PYthon Remote Object) is an easy to use and powerful distributed object
 system for Python.
 .
 This package contains some examples for the pyro4 package.

Package: pyroman
Description-md5: 40ad3c590eee97f077878db5b6250611
Description-en: Very fast firewall configuration tool
 Pyroman is a firewall tool written in Python for complex networks,
 but it can of course also handle simple single-host-single-link setups.
 .
 Interesting features:
  * Fast, due to use of iptables-restore for mass setting of rules
  * Rollback to previous firewall configuration on errors
  * Safety options to prevent mistakes in configuration (success confirmation
    prompt and/or scripted external verification)
  * Detailed error reporting
  * Lots of verification checks done before execution
  * Powerful yet clean configuration files (in Python and/or XML)
  * Designed for multiple hosts, firewalls, networks
  * Consistent firewalls for IPv4 and IPv6
  * Can print static rules in single-shot usage to load with other tools
    such as iptables-restore and iptables-persistent, or to manually adapt
 .
 Pyroman is inspired by Shorewall and FireHOL, but tries to improve upon them
 with respect to performance and ease of configuration.
 .
 Pyroman currently only configures iptables/netfilter firewalls, it does
 not include configuration utilities for setting up VPN or traffic shaping.

Package: pysassc
Description-md5: bc66517e5cfa3543d8349a2dd97dd3ca
Description-en: SASS for Python: command line utility for libsass
 This package provides a simple Python script to access libsass
 functionnalities.
 Libsass (written in C/C++ by Hampton Catlin and Aaron Leung). It's very
 straightforward and there isn't any headache related Python
 distribution/deployment.

Package: pysatellites
Description-md5: 5abbfec6d6fc52491ae8c16dfeaf205f
Description-en: simulates the launching of satellites
 This program can be used to train people to spatial mechanics at an
 elementary level. You are given the power to launch a satellite, from
 outside the atmosphere, around a handful of predefined planets, or around
 any special object you may imagine. Input the initial velocity vector of the
 satellite, and you will get the simulated trajectory, as well as some
 information like the plots of variation of speed. As an extra, you can
 compute a movie, which represents the planet seen from the satellite's
 point of view during its orbital period.

Package: pyscanfcs
Description-md5: a77c372599720e7642e3f34c61aec6d5
Description-en: scientific tool for perpendicular line scanning FCS
 When a membrane is scanned perpendicularly to its surface,
 the fluorescence signal originating from the membrane itself
 must be separated from the signal of the surrounding medium for
 an FCS analysis. PyScanFCS interactively extracts the fluctuating
 fluorescence signal from such measurements and applies a multiple-tau
 algorithm. The obtained correlation curves can be evaluated using PyCorrFit.
 .
 Package provides the Python module pyscanfcs and its graphical user interface.
 The graphical user interface is written in wxPython.

Package: pysdl2-doc
Description-md5: b3d8e49935ba5768f97ae56b414195b0
Description-en: Python bindings to the SDL2 C-library - documentation
 PySDL2 is a ctypes based wrapper around the Simple DirectMedia Layer 2 library
 to allow portable low level access to a video framebuffer, audio output, mouse
 and keyboard.
 .
 This package includes documentation for PySDL2.

Package: pyside2-tools
Description-md5: 25e49a3fe85714d46f92bc91101aa54d
Description-en: development tools for PySide2 (uic, rcc, lupdate)
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 PySide2 ships Python bindings for the Qt5 framework. This package ships
 the following accompanying tools:
  * pyside2-rcc - PySide resource compiler
  * pyside2-uic - Python User Interface Compiler for PySide
  * pyside2-lupdate - update Qt Linguist translation files for PySide

Package: pysolfc
Description-md5: 3afbc300829f65f3b377983f89b16e58
Description-en: collection of more than 1000 solitaire card games
 There are games that use the 52 card International Pattern deck,
 games for the 78 card Tarock deck, eight and ten suit Ganjifa games,
 Hanafuda games, Matrix games, Mahjongg games, and games for an original
 hexadecimal-based deck.
 .
 Its features include modern look and feel (uses Ttk widget set),
 multiple cardsets and tableau backgrounds, sound, unlimited undo,
 player statistics, a hint system, demo games, a solitaire wizard,
 support for user written plug-ins, an integrated HTML help browser,
 and lots of documentation.
 .
 PySolFC is a fork of PySol Solitaire.

Package: pysolfc-cardsets
Description-md5: fe4fed9fe78607c94a623b49a4992656
Description-en: additional card graphics for PysolFC
 This package contains several additional sets of card graphics for the
 X11 solitaire game PySolFC. Among them are cardsets ranging from the 16th to
 the 21st centuries, from Europe, Asia and America, in different styles and
 sizes, and for all the different types of card games that can be played
 with PySolFC.

Package: pyspectral-bin
Description-md5: 5912b3a659ab08c2afac2d260bf385cd
Description-en: Reading and manipulaing satellite sensor spectral responses - scripts
 Reading and manipulaing satellite sensor spectral responses and the
 solar spectrum, to perform various corrections to VIS and NIR band data.
 .
 Given a passive sensor on a meteorological satellite PySpectral
 provides the relative spectral response (rsr) function(s) and offer
 some basic operations like convolution with the solar spectrum to
 derive the in band solar flux, for instance.
 .
 The focus is on imaging sensors like AVHRR, VIIRS, MODIS, ABI, AHI,
 OLCI and SEVIRI. But more sensors are included and if others are
 needed they can be easily added. With PySpectral it is possible to
 derive the reflective and emissive parts of the signal observed in any
 NIR band around 3-4 microns where both passive terrestrial emission
 and solar backscatter mix the information received by the satellite.
 Furthermore PySpectral allows correcting true color imagery for the
 background (climatological) atmospheric signal due to Rayleigh
 scattering of molecules, absorption by atmospheric gases and aerosols,
 and Mie scattering of aerosols.
 .
 This package provides utilities and executable scripts.

Package: pyspf-milter
Description-md5: ac95859bcaddda93a8a59d2aac7cece3
Description-en: Modern milter for SPF checking
 pyspf-milter is a full featured milter for SPF checking. It includes a
 variety of whitelisting mechanisms and policy options to enable it to
 support the widest variety of system requirements.  It is implemented in pure
 Python and uses the python-spf module.  The SPF web site is
 http://www.openspf.net/.  It has been updated to support RFC 7208.

Package: pysph-doc
Description-md5: a232a007cedd800486554acb9401ad27
Description-en: documentation and examples for PySPH
 It is implemented in Python and the performance critical parts are
 implemented in Cython.
 .
 PySPH is implemented in a way that allows a user to specify the entire
 SPH simulation in pure Python. High-performance code is generated from
 this high-level Python code, compiled on the fly and executed. PySPH also
 features optional automatic parallelization using mpi4py and Zoltan.
 The package contains documentation and examples for PySPH.

Package: pysph-viewer
Description-md5: 2f32c929a0e412de4b449b04c45e2dae
Description-en: viewer for PySPH - framework for Smoothed Particle Hydrodynamics
 It is implemented in Python and the performance critical parts are
 implemented in Cython.
 .
 PySPH is implemented in a way that allows a user to specify the entire
 SPH simulation in pure Python. High-performance code is generated from
 this high-level Python code, compiled on the fly and executed. PySPH also
 features optional automatic parallelization using mpi4py and Zoltan.
 The package contains viewer for PySPH.

Package: pyspread
Description-md5: d402f0fbf4294d2f19e7219fe9eb2543
Description-en: cross-platform Python spreadsheet application
 Pyspread is a cross-platform Python spreadsheet application. Instead of
 spreadsheet formulas, Python expressions are entered into the spreadsheet
 cells. Each expression returns a Python object that can be accessed from
 other cells. These objects can represent anything including lists or matrices.

Package: pysrs-bin
Description-md5: 1dfa1256d54509e5a2949e78bbebc430
Description-en: Python SRS (Sender Rewriting Scheme) binaries
 As SPF is implemented, MTAs that check SPF must account for any forwarders.
 One way to handle forwarding is to have the forwarding MTA rewrite envfrom to
 a domain they are authorized to use.
 .
 The package also include a package for sign and verify sender addresses with
 message ID (SES). There is also a base class for sendmail socket server
 (SocketMap) available.
 .
 See http://www.openspf.org/SRS for details.
 .
 These are the binaries of the module.

Package: pyssim
Description-md5: bded40491d7179b2596fd7ba476b1724
Description-en: Tool computing the Structural Similarity Image Metric (SSIM)
 Computes the SSIM between two images.
 .
 This package installs the Python 3 binaries.

Package: pysycache
Description-md5: f000eb4f03adb0123c3f3f8ae08f88b8
Description-en: Educational game to teach children to use the mouse
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.

Package: pysycache-buttons-beerabbit
Description-md5: b4396b2a40cb9dbc4f705f20cf069a3a
Description-en: Bee-rabbit images for buttons activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are the bee-rabbit images for click exercices.

Package: pysycache-buttons-crapaud
Description-md5: 6630c30b67410f0bdacca4c6063c1547
Description-en: Crapaud images for buttons activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are the crapaud images for click exercices.

Package: pysycache-buttons-ice
Description-md5: bf594d2fc098d53319dae5f40562f363
Description-en: Ice images for buttons activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are the ice images for click exercices.

Package: pysycache-buttons-wolf
Description-md5: d9ed7e31586302674119ee9cc793b12d
Description-en: Wolf images for buttons activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are the wolf images for click exercices.

Package: pysycache-click-dinosaurs
Description-md5: 7a2179666de439c3aacaddc9410adb75
Description-en: Dinosaurs images for click activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are the dinosaurs images for camera exercices

Package: pysycache-click-sea
Description-md5: 96f8d8e6c1afdf2e55ddf2d1027ff5c7
Description-en: Sea images for click activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are the sea images for camera exercices

Package: pysycache-dblclick-appleandpear
Description-md5: 97bf90af225dc7a529b81642ca3f7279
Description-en: Apple and pear images for double click activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are apple and pears images for double click exercices

Package: pysycache-dblclick-butterfly
Description-md5: 4ef289988c5909ec1c96c9cccbda3f8b
Description-en: Butterfly images for double click activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are butterfly images for double click exercices

Package: pysycache-i18n
Description-md5: ea00bb40df41a30d5f7b0dcad7f8ea03
Description-en: Translations for PySyCache
 A collection of some activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are the Danish, German, Spanish, English, Dutch, Finn, French, Italian
 and Portuguese translation files for PySyCache.

Package: pysycache-images
Description-md5: 112b2ad0e96978c94a9b8a5ba23a4d2c
Description-en: Images for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are images needed by pysycache to be used.

Package: pysycache-move-animals
Description-md5: 69ba4bf0f425c62bc7669656186e6ad9
Description-en: Animals images for mouse move activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are animals images for a mouse move exercice.

Package: pysycache-move-food
Description-md5: c608bbb9d15d52da90f21060c6c059df
Description-en: Food images for mouse move activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are food images for a mouse move exercice.

Package: pysycache-move-plants
Description-md5: 0ffea2e91eeed57b79e6bacea1feff7e
Description-en: Plants images for mouse move activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are plants images for a mouse move exercice.

Package: pysycache-move-sky
Description-md5: e563694b5382fc1a9759293291a812e8
Description-en: Sky images for mouse move activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are sky images for a mouse move exercice.

Package: pysycache-move-sports
Description-md5: 6d43793830b974842487c6626244a5d7
Description-en: Sports images for mouse move activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are sports images for a mouse move exercice.

Package: pysycache-puzzle-cartoons
Description-md5: ae20823f6fe2d45577791a03d9a6b7a1
Description-en: Cartoons images for puzzle activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are cartoon images for a puzzle exercice

Package: pysycache-puzzle-photos
Description-md5: 2154995a35757bd2086c13cd0155d2cc
Description-en: Photos for puzzle activities for PySyCache
 A collection of activities based on simple objects, photographies,
 numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are photos for a puzzle exercice

Package: pysycache-sounds
Description-md5: 9ae7a1af56bfd6e9a577dc1131663adc
Description-en: Collection of sounds to be used with PySyCache
 This package provides a collection of some activities based on simple objects,
 photographies, numbers and letters with their sounds in different languages.
 The activities make children practice on clicking, double-clicking, drag and
 drop, moving and identify the mouse buttons.
 From its website many packages can be downloaded to add new photos and text to
 the activities.
 .
 These are sounds PySyCache uses.

Package: pytest-benchmark
Description-md5: d03d55b408c24660840c2b7574ee3ba2
Description-en: tool for inspecting benchmark data from pytest-benchmark
 This package provides a command line util for inspecting benchmark data
 generated by the pytest-benchmark fixture. It allows one to display
 benchmark data as well as generate histograms or csv exports.

Package: pythia8-data
Description-md5: 21db2b47ee1854b1e4637ffda879fa57
Description-en: PYTHIA8 data files
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 This package provides XML data files of PYTHIA8

Package: pythia8-doc-html
Description-md5: 7d8a80126b225fdafabe395a9d0026ad
Description-en: HTML Documentation of PYTHIA8
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 This package provides HTML documentation files of PYTHIA8.

Package: pythia8-doc-worksheet
Description-md5: dcb1c27db7837b00c91468b68c2e97a5
Description-en: Worksheet Documentation of PYTHIA8
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 The Worksheet of PYTHIA8 teachs you the basics of how to use the PYTHIA 8.1
 event generator to study various physics aspects.

Package: pythia8-examples
Description-md5: 339694dbfaf3bb5b53003f580959c53e
Description-en: Example source files of PYTHIA8
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 This package provides example source files of PYTHIA8.

Package: pythia8-root-interface
Description-md5: d8f3ef3589f83de61abed45e13f38aef
Description-en: Interfaces to call ROOT functions in PYTHIA8 code
 PYTHIA is a program for the generation of high-energy physics events, i.e.
 for the description of collisions at high energies between elementary
 particles such as e+, e-, p and pbar in various combinations. It contains
 theory and models for a number of physics aspects, including hard and soft
 interactions, parton distributions, initial- and final-state parton showers,
 multiple interactions, fragmentation and decay.
 .
 This package provides interfaces to call ROOT functions in PYTHIA8 code.

Package: python-acme-doc
Description-md5: f49a01b1dca8b6f0113bea17543ca6cf
Description-en: ACME protocol library for Python 3 - Documentation
 This is a library used by the Let's Encrypt client for the ACME
 (Automated Certificate Management Environment).  The ACME protocol is
 designed as part of the Let's Encrypt project, to make it possible to
 setup an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention.  This
 library implements the protocol used for proving the control of a
 domain.
 .
 This package provides the documentation.

Package: python-agate-doc
Description-md5: fb28ad1ce267934386875e944bb9aa0e
Description-en: documentation for agate
 Agate is a Python data analysis library that is optimized for humans instead
 of machines. It is an alternative to numpy and pandas that solves real-world
 problems with readable code.
 .
 Why agate?
 .
   - A readable and user-friendly API.
   - A complete set of SQL-like operations.
   - Unicode support everywhere.
   - Decimal precision everywhere.
   - Exhaustive user documentation.
   - Pluggable extensions that add SQL integration, Excel support, and more.
   - Designed with iPython, Jupyter and atom/hydrogen in mind.
   - Pure Python. No C dependencies to compile.
   - Exhaustive test coverage.
   - MIT licensed and free for all purposes.
   - Zealously zen.
   - Made with love.
 .
 This package provides the documentation.

Package: python-agatedbf-doc
Description-md5: 57b3fff7aec0fe9b37767b9dc5df8064
Description-en: documentation for agate-dbf
 Agate-dbf adds read support for dbf files to agate. It uses a monkey patching
 pattern to add read methods for dbf files to all agate.Table instances after
 import of the corresponding agatedbf module.
 .
 This package provides the documentation.

Package: python-agateexcel-doc
Description-md5: 5d3e01b695c4453ab0a5b7f11835e16d
Description-en: documentation for agate-excel
 Agate-excel adds read support for Excel files (xls and xlsx) to agate. It uses
 a monkey patching pattern to add read methods for xls and xlsx files to all
 agate.Table instances after import of the corresponding agateexcel module.
 .
 This package provides the documentation.

Package: python-agatesql-doc
Description-md5: 6d19ed0df72119a7ae1e548de653f468
Description-en: documentation for agate-sql
 Agate-sql adds read/write support for SQL to agate. It uses a monkey patching
 pattern to add read and write methods for SQL to all agate.Table instances
 after import of the corresponding agatesql module.
 .
 This package provides the documentation.

Package: python-aioamqp-doc
Description-md5: 06c3d779efa29c6f8ca1562d016453a6
Description-en: AMQP implementation using asyncio (Documentation)
 aioamqp library is a pure-Python implementation of the AMQP 0.9.1 protocol.
 .
 Built on top on Python's asynchronous I/O support introduced in PEP 3156, it
 provides an API based on coroutines, making it easy to write highly concurrent
 applications.
 .
 This package contains the documentation.

Package: python-aiocoap-doc
Description-md5: 0b8d0c6c07862242843eb4c0416f617b
Description-en: Python implementation of CoAP (doc)
 The aiocoap package is a Python implementation of CoAP, the Constrained
 Application Protocol (RFC 7252, more info at http://coap.technology/).
 .
 It uses the asyncio module introduced in Python 3.4 to facilitate concurrent
 operations while maintaining a simple to use interface and not depending on
 anything outside the standard library.
 .
 This package contains API documentation and examples.

Package: python-aiohttp-security-doc
Description-md5: 0f9fbf748a285e0087c65aba20506e60
Description-en: provides identity and authorization for aiohttp.web (documentation)
 aiohttp-security is a set of public API functions as well as a reference
 standard for implementation details for securing access to assets served
 by a wsgi server.
 .
 This package provides the HTML documentation.

Package: python-aiohttp-session-doc
Description-md5: 4d1313fe34cb5dfeb1fa67503ac8104a
Description-en: provides sessions for aiohttp.web (documentation)
 The library allows us to store user-specific data into a session object.
 .
 The session object has a dict-like interface (operations like
 session[key] = value, value = session[key] etc. are present).
 .
 Before processing the session in a web-handler, you have to register the
 session middleware in aiohttp.web.Application.
 .
 This package provides the HTML documentation.

Package: python-aioice-doc
Description-md5: 3889a18ba5b28ad554ca1eaa2b113936
Description-en: library for Interactive Connectivity Establishment (documentation)
 aioice is a library for Interactive Connectivity Establishment
 (RFC 5245) in Python. It is built on top of asyncio, Python’s
 standard asynchronous I/O framework.
 .
 Interactive Connectivity Establishment (ICE) is useful for
 applications that establish peer-to-peer UDP data streams, as it
 facilitates NAT traversal. Typical usecases include SIP and
 WebRTC.
 .
 This package contains the documentation.

Package: python-aiomysql-doc
Description-md5: 926b140b498c5b2cab8d93e0b3a0ecc7
Description-en: library for accessing a MySQL database from the asyncio (common documentation)
 aiomysql is a driver for accessing a MySQL database from the asyncio
 framework.
 .
 It depends on and reuses most parts of PyMySQL.
 .
 aiomysql tries to be like awesome aiopg library and preserve same API,
 look and feel.
 .
 This is the common documentation package.

Package: python-aiorpcx-doc
Description-md5: 9328cc18c1035d85e766a3b31336dd2d
Description-en: generic async RPC implementation, including JSON-RPC (documentation)
 Transport, protocol and framing-independent async RPC client and server
 implementation.
 .
 This is the documentation package.

Package: python-alabaster
Description-md5: ff2338a5180e3e2d3f503ddcb5dd7b93
Description-en: Configurable sidebar-enabled Sphinx theme (Python 2)
 This theme is a modified "Kr" Sphinx theme from @kennethreitz (especially
 as used in his Requests project), which was itself originally based on
 @mitsuhiko's theme used for Flask & related projects.
 .
 This is the Python 2 version of the package.

Package: python-all
Description-md5: 3ee83e971d77bb99202c276fd7fda06a
Description-en: package depending on all supported Python2 runtime versions
 The package currently depends on python2.7, in the future,
 dependencies on jython (Python2 for a JVM) and ironpython (Python2 for Mono)
 may be added.
 .
 This package is a dependency package used as a build dependency for other
 packages to avoid hardcoded dependencies on specific Python2 runtimes.

Package: python-all-dbg
Description-md5: 07281fa18931529e18f926d799797238
Description-en: package depending on all supported Python2 debugging packages
 The package currently depends on python2.7-dbg, in the
 future, dependencies on jython (Python for a JVM) and ironpython (Python2
 for Mono) may be added.
 .
 This package is a dependency package used as a build dependency for other
 packages to avoid hardcoded dependencies on specific Python2 debug packages.

Package: python-all-dev
Description-md5: c7b4a361e9916a46716ff551cdf1c239
Description-en: package depending on all supported Python2 development packages
 The package currently depends on python2.7-dev, in the
 future, dependencies on jython (Python2 for a JVM) and ironpython (Python2
 for Mono) may be added.
 .
 This package is a dependency package used as a build dependency for other
 packages to avoid hardcoded dependencies on specific Python2 development
 packages.

Package: python-altgraph-doc
Description-md5: adba40aa8ea7b122408c68a6006190a6
Description-en: Python graph (network) package - API documentation
 altgraph is a fork of graphlib: a graph (network) package for constructing
 graphs, BFS and DFS traversals, topological sort, shortest paths, etc. with
 graphviz output.
 .
 altgraph includes some additional usage of Python 2.6+ features and
 enhancements related to modulegraph and macholib.
 .
 This package provides the documentation.

Package: python-amqplib-doc
Description-md5: ab48c00eb1de2601274d9385fe6f1ac3
Description-en: simple non-threaded Python AMQP client library (Documentation)
 Python client for the Advanced Message Queuing Protocol (AMQP) 0-8, featuring
 basic messaging functionality and SSL support.
 .
 python-amqplib provides synchronous API unlike other (event-driven)
 implementations.
 .
 This package contains the documentation.

Package: python-apptools-doc
Description-md5: d2d612a026d7f553e02c084d6ca1753e
Description-en: ETS Application Tools (doc)
 The AppTools project includes a set of packages that Enthought has
 found useful in creating a number of applications. They implement
 functionality that is commonly needed by many applications.
 .
 This is the documentation release of the package including manpages,
 examples, and HTML documentation.

Package: python-apsw
Description-md5: 16856dcbef9b3202479fc17febfca203
Description-en: another Python SQLite 3 wrapper
 APSW (Another Python SQLite Wrapper) is an SQLite 3 wrapper that
 provides the thinnest layer over SQLite 3 possible. Everything you
 can do from the C API to SQLite 3, you can do from Python. Although
 APSW's API looks vaguely similar to Python's DB-API, it is not
 compliant with that API and instead works the way SQLite 3 does.

Package: python-apsw-dbg
Description-md5: 4431636b2fa02dd5788024a13f1a3ddc
Description-en: another Python SQLite 3 wrapper (debug extension)
 APSW (Another Python SQLite Wrapper) is an SQLite 3 wrapper that
 provides the thinnest layer over SQLite 3 possible. Everything you
 can do from the C API to SQLite 3, you can do from Python. Although
 APSW's API looks vaguely similar to Python's DB-API, it is not
 compliant with that API and instead works the way SQLite 3 does.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-apsw-doc
Description-md5: 03a6927dbc4f135d6f8dd116f09cfac0
Description-en: documentation for python-apsw
 APSW (Another Python SQLite Wrapper) is an SQLite 3 wrapper that
 provides the thinnest layer over SQLite 3 possible. Everything you
 can do from the C API to SQLite 3, you can do from Python. Although
 APSW's API looks vaguely similar to Python's DB-API, it is not
 compliant with that API and instead works the way SQLite 3 does.
 .
 This package contains the documentation.

Package: python-apt
Description-md5: 2b295efc7c4e39a13486f5a6990ce22b
Description-en: Python interface to libapt-pkg
 The apt_pkg Python interface will provide full access to the internal
 libapt-pkg structures allowing Python programs to easily perform a
 variety of functions, such as:
 .
  - Access to the APT configuration system
  - Access to the APT package information database
  - Parsing of Debian package control files, and other files with a
    similar structure
 .
 The included 'aptsources' Python interface provides an abstraction of
 the sources.list configuration on the repository and the distro level.

Package: python-apt-dbg
Description-md5: c794a1d7769c7ce363f782474b040101
Description-en: Python interface to libapt-pkg (debug extension)
 The apt_pkg Python interface will provide full access to the internal
 libapt-pkg structures allowing Python programs to easily perform a
 variety of functions.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-apt-dev
Description-md5: 57c4bd1cc13f9b16f01048f636ef0d16
Description-en: Python interface to libapt-pkg (development files)
 The apt_pkg Python interface will provide full access to the internal
 libapt-pkg structures allowing Python programs to easily perform a
 variety of functions.
 .
 This package contains the header files needed to use python-apt objects from
 C++ applications.

Package: python-argon2-doc
Description-md5: 3cd3d8bdb663c4d262177a38f98746e7
Description-en: Argon2 password hashing library - Python Module Documentation
 Argon2 is a password-hashing function that can be used to hash passwords
 for credential storage, key derivation, or other applications.
 .
 There are two main versions of Argon2: Argon2i and Argon2d.
 Argon2i is the safest against side-channel attacks, while Argon2d provides
 the highest resistance against GPU cracking attacks.
 .
 Argon2i and Argon2d are parametrized by:
  * A time cost, which defines the amount of computation realized and
    therefore the execution time, given in number of iterations
  * A memory cost, which defines the memory usage, given in kibibytes
  * A parallelism degree, which defines the number of parallel threads
 .
 This module provides the documentation for the argon2 Python modules.

Package: python-ariapy
Description-md5: 2305c7dc3a0d94d0bfe2c2a8a10a3165
Description-en: C++ library for MobileRobots/ActivMedia robots (Python bindings)
 ARIA is an object oriented toolkit for controlling MobileRobots/ActivMedia
 mobile robots and their accessories, and for creating robot control
 applications. It also provides many cross-platform and high-level tools for
 multimedia, networking, and other useful tasks.
 .
 This package contains SWIG generated Python bindings.

Package: python-arrayfire-doc
Description-md5: 3b709e2c8c371126498ad582be43ea74
Description-en: documentation for the ArrayFire Python bindings
 ArrayFire is a high performance library for parallel computing with an
 easy-to-use API. It enables users to write scientific computing code that is
 portable across CUDA, OpenCL and CPU devices. This project provides Python
 bindings for the ArrayFire library.
 .
 This package provides the documentation for the Python bindings.

Package: python-arrow-doc
Description-md5: d1e149395f27002e39295b5864089b91
Description-en: Python library to manipulate dates, times, timestamps: documentation
 A Python library that offers a sensible, human-friendly approach to creating,
 manipulating, formatting and converting dates, times, and timestamps.
 It implements and updates the datetime type, plugging gaps in functionality,
 and provides a module API that supports many common creation scenarios.
 Arrow replaces a number of modules: datetime, time, calendar, dateutil, pytz.
 This is a documentation package.

Package: python-asdf-doc
Description-md5: e9d5c1f1266604458dbade9c436a7efc
Description-en: Python library for the Advanced Scientific Data Format (documentation)
 ASDF (Advanced Scientific Data Format) is a proposed
 next generation interchange format for scientific data. ASDF aims to
 exist in the same middle ground that made FITS so successful, by
 being a hybrid text and binary format: containing human editable
 metadata for interchange, and raw binary data that is fast to load
 and use. Unlike FITS, the metadata is highly structured and is
 designed up-front for extensibility.
 .
 This package contains the API documentation.

Package: python-ase-doc
Description-md5: bcf8e6ce1cb7c85aca01251c69cba087
Description-en: Atomic Simulation Environment (common documentation)
 ASE is an Atomic Simulation Environment written in the Python programming
 language with the aim of setting up, stearing, and analyzing atomic
 simulations.  ASE is part of CAMPOS, the CAMP Open Source project.
 .
 ASE contains Python interfaces to several different electronic structure
 codes including Abinit, Asap, Dacapo, Elk, GPAW and SIESTA.
 .
 This package provides the common documentation package.

Package: python-asn1crypto
Description-md5: 19aaa7e2f918f05fc39ff843a99745dc
Description-en: Fast ASN.1 parser and serializer (Python 2)
 asn1crypto focuses on:
  - Delayed parsing of byte string values
  - Persistence of original ASN.1 encoded data until a value is changed
  - Lazy loading of child fields
  - Utilization of high-level Python stdlib modules
 .
 This package contains the Python 2 version of asn1crypto.

Package: python-asterisk
Description-md5: cd539d9fb5e0c216630735f9c6d371a2
Description-en: Asterisk Manager API interface module for Python
 The Python Asterisk package (codenamed py-Asterisk) is an attempt to produce
 high quality, well documented Python bindings for the Asterisk Manager API.
 .
 The eventual goal of the package is to allow rich specification of the
 Asterisk configuration in Python rather than in the quirky, unstructured,
 undocumented mess called the Asterisk configuration files.
 .
 Working Functionality
 .
  Python package implementing a manager client and event dispatcher
  User-oriented command line interface to manager API
 .
 Work In Progress
 .
  Introductary documentation for developers
  Asterisk module allowing dialplan configuration via the manager API
  Objects to represent the standard applications

Package: python-astroplan-doc
Description-md5: 537438698779b9600c1a32398a64bf63
Description-en: Observation planning package for astronomers (documentation)
 Astroplan is an observation planning package for astronomers that can help
 you plan for everything but the clouds.
 .
 It is an Astropy affiliated package that seeks to make your life as an
 observational astronomer a little less infuriating.
 .
 This is the common documentation package.

Package: python-astropy-doc
Description-md5: 142e0ddf11adb0314ec7d19a6e6e32f1
Description-en: Core functionality for performing astrophysics with Python (doc)
 The astropy package contains core functionality and some common tools
 needed for performing astronomy and astrophysics research with Python.
 It can be extended by a number of "affiliated packages" that are
 intended to work with the core package.
 .
 This package contains the package documentation.

Package: python-astroquery-doc
Description-md5: 5f154868fa53b235a405eb6b3a65755e
Description-en: Python online astronomical database querying (documentation)
 Astroquery is a set of Python tools for querying astronomical web forms and
 databases.
 .
 This is the common documentation package.

Package: python-asyncssh-doc
Description-md5: 4fd1f087b339c2a5c6fc2f89f04899f0
Description-en: asyncio-based client and server implementation of SSHv2 protocol (doc)
 AsyncSSH is a Python package which provides an asynchronous client
 and server implementation of the SSHv2 protocol on top of the Python
 3.4+ asyncio framework.
 .
 It comes with a full support of SSHv2 and SFTP client and server
 functions, including shell, command and subsystem channels, direct
 and forwarded TCP channels, local and remote TCP port forwarding.
 .
 This package contains the HTML docs and examples.

Package: python-atomicwrites
Description-md5: 68b8350a414ea2ee5e0ffdb7fa00b7f5
Description-en: Atomic file writes - Python 2.7
 It uses a temporary file in the same directory as the given path. This ensures
 that the temporary file resides on the same filesystem.
 The temporary file will then be atomically moved to the target location: On
 POSIX, it will use rename if files should be overwritten, otherwise a
 combination of link and unlink.
 .
 This package contains the Python 2.7 module.

Package: python-attr
Description-md5: 577572bfd36158fd93250e22d5a24052
Description-en: Attributes without boilerplate (Python 2)
 attrs is an MIT-licensed Python package with class decorators that ease the
 chores of implementing the most common attribute-related object protocols.
 .
 You just specify the attributes to work with and attrs gives you:
   - a nice human-readable __repr__,
   - a complete set of comparison methods,
   - an initializer,
   - and much more
 without writing dull boilerplate code again and again.
 .
 This package contains attrs packaged for Python 2.

Package: python-automat
Description-md5: e6689e1881f3bba8683680234c8ea972
Description-en: Self-service finite-state machines for the programmer on the go
 Automat is a library for concise, idiomatic Python expression of
 finite-state automata (particularly deterministic finite-state
 transducers).

Package: python-avahi
Description-md5: 093e21cc6d98e14410050c8edd9d6fe2
Description-en: Python utility package for Avahi
 Avahi is a fully LGPL framework for Multicast DNS Service Discovery.
 It allows programs to publish and discover services and hosts
 running on a local network with no specific configuration. For
 example you can plug into a network and instantly find printers to
 print to, files to look at and people to talk to.
 .
 This package contains utility modules to talk to Avahi with Python easier.

Package: python-azure-doc
Description-md5: 434aa33f783e0f4183e1379ca32c3739
Description-en: Microsoft Azure SDK for Python - Documentation
 The Azure SDK provides a set of Python packages that make it easy to access
 the Microsoft Azure components such as ServiceManagement, Storage, and
 ServiceBug.
 .
 This package contains the HTML documentation.

Package: python-babel
Description-md5: 5e6a3ac9eaed3843484d2718c2f9d35d
Description-en: tools for internationalizing Python applications - Python 2.x
 Babel is composed of two major parts. First tools to build and work with
 gettext message catalogs. Second a Python interface to the CLDR (Common
 Locale Data Repository), providing access to various locale display
 names, localized number and date formatting, etc.
 .
 This package provides the Python 2.x module.

Package: python-backports-abc
Description-md5: 9b912e426187d8402e5ebd3a727cd854
Description-en: Backport of the "collections.abc" stdlib module (Python 2)
 This is a backport to Python 2 of recent additions to the "collections.abc" in
 Python 3.5.
 .
 This package is (obviously) for Python 2.

Package: python-backports.functools-lru-cache
Description-md5: 961201ad16950a0eca40f7f821342335
Description-en: backport of functools.lru_cache from Python 3.3 to Python 2
 Backport of functools.lru_cache from Python 3.3 as published at ActiveState:
 <http://code.activestate.com/recipes/578078/>
 .
 This package provides functools.lru_cache to Python 2.

Package: python-ball
Description-md5: 5e7a0260e52e89a3d6d93a734b2a4ab2
Description-en: Python bindings for the Biochemical Algorithms Library
 BALL (Biochemical Algorithms Library) is an application framework
 in C++ that has been specifically designed for rapid software
 development in Molecular Modeling and Computational Molecular Biology.
 This package provides scripting access to the VIEW-independent functionality
 of the Biochemical Algorithms Library (BALL).

Package: python-bashate-doc
Description-md5: f9152aedd430d3c8bc072b46eb51d851
Description-en: bash script style guide checker - doc
 This program attempts to be an automated style checker for bash scripts to
 fill the same part of code review that pep8 does in most OpenStack projects.
 It started from humble beginnings in the DevStack project, and will continue
 to evolve over time.
 .
 This package contains the documentation.

Package: python-bcrypt
Description-md5: cc08fc9b6ea489c3bf3aaeb24e519785
Description-en: password hashing library for Python
 bcrypt is a Python module which provides a password hashing method based on
 the Blowfish password hashing algorithm, as described in
 "A Future-Adaptable Password Scheme" by Niels Provos and David Mazieres:
 http://static.usenix.org/events/usenix99/provos.html.
 .
 This package provides the bcrypt Python module for Python 2.x.

Package: python-beanbag-doc
Description-md5: 68e9d354916c17f0e78d7bff41aa46a5
Description-en: Documentation for Python BeanBag module
 BeanBag is a simple module that lets you access REST APIs in an easy
 way. See `http://beanbag.readthedocs.org/` for more information.
 .
 This package installs the module's documentation.

Package: python-behave-doc
Description-md5: 09d43a8ee10632e65b442d90670f0712
Description-en: behaviour-driven development, documentation
 Behavior-driven development (or BDD) is an agile software development
 technique that encourages collaboration between developers, QA, and
 non-technical or business participants in a software project.
 .
 behave uses tests written in a natural language style, backed up
 by Python code.
 .
 This package contains the documentation.

Package: python-betamax-doc
Description-md5: 53ffbe62b02e2dbbf998b30c163434cb
Description-en: VCR imitation designed only for python-requests - Documentation
 Betamax records HTTP interactions and replay them allowing fast, deterministic
 and accurate tests and it's designed to be used with python-requests. If
 you are not using python-requests, you should checkout vcr.py.
 .
 Betamax comes with integrations for py.test and unittest and supports
 third party packages that provide extra request matchers and cassette
 serializers.
 .
 This package contains documentation and examples.

Package: python-bibtex
Description-md5: c4a434085823bef59a8d1bd4cbf41970
Description-en: Python interfaces to BibTeX and the GNU Recode library
 This module contains two extensions needed for pybliographer:
 .
  * a BibTeX parser
  * a simple binding to GNU Recode
 .
 However, it may be useful to programmers working on other projects as
 well.

Package: python-bibtexparser-doc
Description-md5: 03c36f0ecb2ef5db1918d9f6450f7706
Description-en: documentation for BibtexParser
 This package contains HTML documentation for python(3)-bibtexparser
 .
 An online reference is available
 at https://bibtexparser.readthedocs.org/

Package: python-billiard-doc
Description-md5: b0aba88f799c7680898d639f8974020c
Description-en: Multiprocessing Pool Extensions for Python (Documentation)
 This package contains extensions to the multiprocessing Pool.
 It extends the multiprocessing.Pool with a billiard.pool.DynamicPool
 that can grow in size.
 .
 This package contains the documentation.

Package: python-bioblend-doc
Description-md5: 211a38eda63decd474611ffdb537bbf9
Description-en: CloudMan and Galaxy API library (common documentation)
 BioBlend is a Python library for interacting with CloudMan and Galaxy's
 API.
 .
 This is the common documentation package.

Package: python-biom-format-doc
Description-md5: 9598f3323c7b84d8d2cd7c9e983742c4
Description-en: documentation for BIOM format
 The BIOM file format (canonically pronounced biome) is designed to be a
 general-use format for representing biological sample by observation
 contingency tables. BIOM is a recognized standard for the Earth
 Microbiome Project and is a Genomics Standards Consortium candidate
 project.
 .
 The BIOM format is designed for general use in broad areas of
 comparative -omics. For example, in marker-gene surveys, the primary use
 of this format is to represent OTU tables: the observations in this case
 are OTUs and the matrix contains counts corresponding to the number of
 times each OTU is observed in each sample. With respect to metagenome
 data, this format would be used to represent metagenome tables: the
 observations in this case might correspond to SEED subsystems, and the
 matrix would contain counts corresponding to the number of times each
 subsystem is observed in each metagenome. Similarly, with respect to
 genome data, this format may be used to represent a set of genomes: the
 observations in this case again might correspond to SEED subsystems, and
 the counts would correspond to the number of times each subsystem is
 observed in each genome.
 .
 This package provides the documentation for the BIOM format Python package.

Package: python-biopython-doc
Description-md5: 6fcf72d1f7092b01a396411a2821eb9c
Description-en: Documentation for the Biopython library
 Documentation and examples about how to use the Biopython
 library.
 .
 This package also contains the unit tests of the test suite
 to enable reproducing the test results.

Package: python-bitstring-doc
Description-md5: 8355b77cfa744c91a53a661ba914e21f
Description-en: Python module for manipulation of binary data (documentation)
 Bitstring is a pure Python module designed to help make the creation and
 analysis of binary data as simple and natural as possible.
 .
 Bitstrings can be constructed from integers (big and little endian), hex,
 octal, binary, strings or files. They can be sliced, joined, reversed,
 inserted into, overwritten, etc. with simple functions or slice notation.
 They can also be read from, searched and replaced, and navigated in, similar
 to a file or stream.
 .
 This package provides the common documentation.

Package: python-black-doc
Description-md5: fc5de7ec4296e7d40237b3b534c9be11
Description-en: uncompromising Python code formatter (common documentation)
 Black is the uncompromising Python code formatter. This package contains
 the documentation for applying black to your code.
 .
 Blackened code looks the same regardless of the project you're reading.
 Formatting becomes transparent after a while and you can focus on the
 content instead.

Package: python-bleach-doc
Description-md5: 3fbae08dbb54f53e90c4d20437a87cc4
Description-en: whitelist-based HTML-sanitizing library (common documentation)
 Bleach is an HTML sanitizing library that escapes or strips markup and
 attributes based on a white list. Bleach can also linkify text safely,
 applying filters that Django's urlize filter cannot, and optionally setting
 rel attributes, even on links already in the text.
 .
 Bleach is intended for sanitizing text from untrusted sources. If you find
 yourself jumping through hoops to allow your site administrators to do lots of
 things, you're probably outside the use cases. Either trust those users, or
 don't.
 .
 Because it relies on html5lib, Bleach is as good as modern browsers at dealing
 with weird, quirky HTML fragments. And any of Bleach's methods will fix
 unbalanced or mis-nested tags.
 .
 This is the common documentation package.

Package: python-blinker
Description-md5: 12c1607f9c3fb11bffce84f66c2c3366
Description-en: Fast, simple object-to-object and broadcast signaling library
 Blinker provides a fast dispatching system that allows any number of
 interested parties to subscribe to events, or "signals".
 .
 Signal receivers can subscribe to specific senders or receive signals
 sent by any sender.
 .
 This package contains the Python 2 version.

Package: python-blosc-doc
Description-md5: 9df7a0f00400b55db0472b7a00772b32
Description-en: Python bindings for the Blosc meta-compressor (docs)
 Blosc (http://blosc.org) is a high performance compressor optimized for
 binary data. It has been designed to transmit data to the processor cache
 faster than the traditional, non-compressed, direct memory fetch approach
 via a memcpy() OS call.
 .
 This package provides the documentation.

Package: python-bluez
Description-md5: 5247ea7a88e7a060610408c144711af7
Description-en: Python 2 wrappers around BlueZ for rapid bluetooth development
 PyBluez is an effort to create Python wrappers around BlueZ to allow Python
 developers to use system bluetooth resources. PyBluez works on machines
 running the GNU/Linux operating system and the bluez bluetooth stack.
 .
 This package provides the "bluetooth" Python 2 module.

Package: python-bootstrapform-doc
Description-md5: 5320dc0557d3a847a2e0a7f5ea0b8c5b
Description-en: generate twitter-bootstrap form output for django form - doc
 Django bootstrap form generates twitter-bootstrap form output for django form.
 A simple Django template tag to work with twitter bootstrap.
 .
 This package contains the documentation.

Package: python-bottle-doc
Description-md5: b3873fcb5309821277d2fc4bd23328bd
Description-en: fast and simple WSGI-framework for Python - documentation
 Bottle is a fast and simple WSGI-framework for the Python programming
 language. It offers request dispatching with url parameter support
 (routes), templates, key/value databases, a built-in HTTP server and
 adapters for many third party WSGI/HTTP-server and template engines.
 .
 This package contains the Python module documentation.

Package: python-bottleneck-doc
Description-md5: 5e3db4bbb822f8b269ea12c4e3dfe464
Description-en: documentation for bottleneck
 Bottleneck is a collection of fast NumPy array functions written in C.
 .
 Only arrays with data type (dtype) int32, int64, float32, and float64 are
 accelerated. All other dtypes result in calls to slower, unaccelerated
 functions.
 .
 This package provides the documentation for bottleneck.

Package: python-box2d
Description-md5: d820c81f73a17f1be8e71ac2f5ebd69e
Description-en: 2D Game Physics for Python
 pybox2d is a 2D physics library for your games and simple simulations.
 It's based on the Box2D library, written in C++. It supports several
 shape types (circle, polygon, thin line segments), and quite a few
 joint types (revolute, prismatic, wheel, etc.).
 .
 This package contains the Python library.

Package: python-box2d-doc
Description-md5: 0c4fd6f525b3b366b4e234791c47dc01
Description-en: 2D Game Physics for Python - documentation
 pybox2d is a 2D physics library for your games and simple simulations.
 It's based on the Box2D library, written in C++. It supports several
 shape types (circle, polygon, thin line segments), and quite a few
 joint types (revolute, prismatic, wheel, etc.).
 .
 This package contains documentation.

Package: python-bs4
Description-md5: 09324a3331a4b1802471f3e7988a88cc
Description-en: error-tolerant HTML parser for Python
 Beautiful Soup is a Python library for pulling data out of HTML and XML files.
 It works with your favorite parser to provide idiomatic ways of navigating,
 searching, and modifying the parse tree. It commonly saves programmers hours
 or days of work.
 .
 This package contains BeautifulSoup 4, for Python 2.x.

Package: python-btrees-doc
Description-md5: e0d7dd8c65d0b06db2e3bd413eedc1a9
Description-en: scalable persistent object containers for Python - documentation
 This package contains a set of persistent object containers built around a
 modified BTree data structure. The trees are optimized for use inside ZODB's
 “optimistic concurrency” paradigm, and include explicit resolution of
 conflicts detected by that mechanism.
 .
 This package contains the Python module documentation. Alternatively,
 there is an online version at https://btrees.readthedocs.io/

Package: python-bumps-doc
Description-md5: 5702af68b4795b287caa20016881e74b
Description-en: data fitting and Bayesian uncertainty modeling for inverse problems (docs)
 Bumps is a set of routines for curve fitting and uncertainty analysis
 from a Bayesian perspective. In addition to traditional optimizers
 which search for the best minimum they can find in the search space,
 bumps provides uncertainty analysis which explores all viable minima
 and finds confidence intervals on the parameters based on uncertainty
 in the measured values. Bumps has been used for systems of up to 100
 parameters with tight constraints on the parameters. Full uncertainty
 analysis requires hundreds of thousands of function evaluations,
 which is only feasible for cheap functions, systems with many
 processors, or lots of patience.
 .
 Bumps includes several traditional local optimizers such as
 Nelder-Mead simplex, BFGS and differential evolution. Bumps
 uncertainty analysis uses Markov chain Monte Carlo to explore the
 parameter space. Although it was created for curve fitting problems,
 Bumps can explore any probability density function, such as those
 defined by PyMC. In particular, the bumps uncertainty analysis works
 well with correlated parameters.
 .
 Bumps can be used as a library within your own applications, or as a
 framework for fitting, complete with a graphical user interface to
 manage your models.
 .
 This is the common documentation package.

Package: python-cairo
Description-md5: 00cd97c8f1191cab146612a823238c72
Description-en: Python bindings for the Cairo vector graphics library
 This package contains modules that allow you to use the Cairo vector
 graphics library in Python programs.

Package: python-cairo-dbg
Description-md5: 55ab0a294ecf91d25bb46fefb66a38ab
Description-en: Python bindings for the Cairo vector graphics library (debug extension)
 This package contains modules that allow you to use the cairo vector
 graphics library in Python programs.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-cairo-dev
Description-md5: 9b9930dd3b007476c2d691b435f4e76a
Description-en: Python cairo bindings: development files
 This package contains files that allow you to compile wrappers
 that interoperate with python-cairo (pycairo).

Package: python-cairocffi-doc
Description-md5: 39696228dee918c18dec67a66f00232e
Description-en: cffi-based cairo bindings for Python - Documentation
 cairocffi is a CFFI-based drop-in replacement for Pycairo,
 a set of Python bindings and object-oriented API for cairo.
 Cairo is a 2D vector graphics library with support for multiple backends
 including image buffers, PNG, PostScript, PDF, and SVG file output.
 .
 This package contains the documentation related to cairocffi.

Package: python-caja-common
Description-md5: 186f661a5677212ba94f2c26184e65b2
Description-en: Python binding for Caja components (common files)
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.
 .
 The Python binding for Caja allows one to write Caja property page
 and menu item extensions in Python.
 .
 This package contains the architecture independent files.

Package: python-can-doc
Description-md5: c328511e83fc8ac0036036057d417b07
Description-en: Controller Area Network (CAN) interface module - API documentation
 The Controller Area Network (CAN, aka "CAN bus") is a bus standard designed
 to allow microcontrollers and devices to communicate with each other. It
 has priority based bus arbitration, reliable deterministic
 communication. It is used in cars, trucks, boats, wheelchairs and more.
 .
 The 'can' package provides controller area network support for Python
 developers; providing "common abstractions to different hardware devices", and
 a suite of utilities for sending and receiving messages on a can bus.
 .
 This package provides the API documentation.

Package: python-capstone
Description-md5: 5d49b7c1b4c3db897efe355ea4e73a63
Description-en: lightweight multi-architecture disassembly framework - Python bindings
 Capstone is a lightweight multi-platform, multi-architecture disassembly
 framework.
 .
 These are the Python 2 bindings.

Package: python-cartopy-data
Description-md5: 5badbdc6732f6ee372d299ae0bcc2ea3
Description-en: cartographic library for Python (package data)
 Cartopy is a Python package designed to make drawing maps for data analysis
 and visualisation easy.
 .
 It features:
 .
   - object oriented projection definitions
   - point, line, polygon and image transformations between projections
   - integration to expose advanced mapping in matplotlib with a simple and
     intuitive interface
   - powerful vector data handling by integrating shapefile reading with
     Shapely capabilities
 .
 This package provides common data files necessary to the library.

Package: python-case-doc
Description-md5: 23c4ea830a2780bd7db334d36921eff9
Description-en: Python unittest Utilities (documentation)
 Python unittest Utilities. Includes:
 .
  * case.case
  * case.skip
  * case.mock
  * case.utils
 .
 This is the documentation package.

Package: python-ceilometermiddleware-doc
Description-md5: a76b9e0ca822a30a2a6e8d7d82ae1f9b
Description-en: OpenStack Telemetry middleware for generating metrics - doc
 This library provides middleware modules designed to enable metric and event
 data generation to be consumed by Ceilometer.
 .
 This package contains the documentation.

Package: python-celery-common
Description-md5: 44cd3c8b1cd209fca968d7d2d734bf7a
Description-en: async task/job queue based on message passing (common files)
 Celery is an open source asynchronous task queue/job queue based on
 distributed message passing. It is focused on real-time operation,
 but supports scheduling as well.
 .
 The execution units, called tasks, are executed concurrently on one
 or more worker nodes. Tasks can execute asynchronously (in the
 background) or synchronously (wait until ready).
 .
 Celery is written in Python, but the protocol can be implemented
 in any language. It can also operate with other languages using
 webhooks.
 .
 The recommended message broker is RabbitMQ, but limited support for Redis,
 Beanstalk, MongoDB, CouchDB, and databases (using SQLAlchemy or the Django
 ORM) is also available. Celery is easy to integrate with Django, using the
 python-django-celery package.
 .
 This package contains the common files of the library.

Package: python-celery-doc
Description-md5: 95fc6dff7380be4c62fe3af0892bcc80
Description-en: async task/job queue based on message passing (Documentation)
 Celery is an open source asynchronous task queue/job queue based on
 distributed message passing. It is focused on real-time operation,
 but supports scheduling as well.
 .
 The execution units, called tasks, are executed concurrently on one
 or more worker nodes. Tasks can execute asynchronously (in the
 background) or synchronously (wait until ready).
 .
 Celery is written in Python, but the protocol can be implemented
 in any language. It can also operate with other languages using
 webhooks.
 .
 The recommended message broker is RabbitMQ, but limited support for Redis,
 Beanstalk, MongoDB, CouchDB, and databases (using SQLAlchemy or the Django
 ORM) is also available. Celery is easy to integrate with Django, using the
 python-django-celery package.
 .
 This package contains the documentation.

Package: python-cement-doc
Description-md5: c04dc1f830cebf7253ed2e6d07b108ae
Description-en: CLI Application Framework (Documentation)
 Cement is an advanced CLI Application Framework for Python. Its goal is to
 introduce a standard, and feature-full platform for both simple and complex
 command line applications as well as support rapid development needs without
 sacrificing quality. Cement is flexible, and it’s use cases span from the
 simplicity of a micro-framework to the complexity of a mega-framework.
 .
 Features include:
  * Core pieces of the framework are customizable via handlers/interfaces
  * Extension handler interface to easily extend framework functionality
  * Config handler supports parsing multiple config files into one config
  * Argument handler parses command line arguments and merges with config
  * Log handler supports console and file logging
  * Plugin handler provides an interface to easily extend your application
  * Hook support adds a bit of magic to apps and also ties into framework
  * Handler system connects implementation classes with Interfaces
  * Output handler interface renders return dictionaries to console
  * Cache handler interface adds caching support for improved performance
  * Controller handler supports sub-commands, and nested controllers
 .
 This package contains the documentation.

Package: python-certbot-apache-doc
Description-md5: 6e462b02b9ab52b9c7012344365f0504
Description-en: Apache plugin documentation for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the documentation for the Apache plugin.

Package: python-certbot-dns-cloudflare-doc
Description-md5: 9f69bf7d3a4375682ff988aee19ee1d2
Description-en: Documentation for the Cloudflare DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the Cloudflare DNS plugin to
 the main application.

Package: python-certbot-dns-digitalocean-doc
Description-md5: c1ddf79aca44d65a95911acaf3cefbcb
Description-en: Documentation for the DigitalOcean DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the DigitalOcean DNS plugin to
 the main application.

Package: python-certbot-dns-dnsimple-doc
Description-md5: 7b3fc87e214f270a2d4ee5b45637117f
Description-en: Documentation for the DNSimple DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the DNSimple DNS plugin to
 the main application.

Package: python-certbot-dns-gehirn-doc
Description-md5: de851aaf70d59c917d29e6ce3e18755c
Description-en: Documentation for the Gehirn DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the Gehirn DNS plugin to
 the main application.

Package: python-certbot-dns-google-doc
Description-md5: 94e24555a5e07ad50e1ce77ad8ce0121
Description-en: Documentation for the Google DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the Google DNS plugin to
 the main application.

Package: python-certbot-dns-linode-doc
Description-md5: 3c1ef0a97b6e9d550568a5eeadc143c5
Description-en: Documentation for the Linode DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the Linode DNS plugin to
 the main application.

Package: python-certbot-dns-ovh-doc
Description-md5: 5d1829efbb1aae53eb2268de4ad0866f
Description-en: Documentation for the OVH DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the OVH DNS plugin to
 the main application.

Package: python-certbot-dns-rfc2136-doc
Description-md5: 15a93e0c97f1fdae6911a0f86e7cb633
Description-en: Documentation for the RFC 2136 DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the RFC 2136 DNS plugin to
 the main application.

Package: python-certbot-dns-route53-doc
Description-md5: c6e0b26927a33db590ba7c0143bf37b9
Description-en: Documentation for the Route53 DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the Route53 DNS plugin to
 the main application.

Package: python-certbot-dns-sakuracloud-doc
Description-md5: c799c962c8a50041c70087900b857921
Description-en: Documentation for the SakuraCloud DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This package contains the documentation for the SakuraCloud DNS plugin to
 the main application.

Package: python-certbot-doc
Description-md5: c921886ee1b2b199ff3083306791f0a8
Description-en: client documentation for certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the documentation.

Package: python-certbot-nginx-doc
Description-md5: 44298552b70c0afe9ea738f00ec6c778
Description-en: Nginx plugin documentation for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the documentation for the Nginx plugin.

Package: python-certifi
Description-md5: 1a6559f59621fc9d20c8f747b1ec2d14
Description-en: root certificates for validating SSL certs and verifying TLS hosts
 Certifi is a carefully curated collection of Root Certificates for
 validating the trustworthiness of SSL certificates while verifying
 the identity of TLS hosts. It has been extracted from the Requests
 project.
 .
 The version of certifi in this Debian package is patched to return
 the location of Debian-provided CA certificates, instead of those
 packaged by upstream.

Package: python-cffi
Description-md5: 02e6aa73f11a0a872a949b274091236a
Description-en: Foreign Function Interface for Python calling C code
 Convenient and reliable way of calling C code from Python.
 .
 The aim of this project is to provide a convenient and reliable way of calling
 C code from Python. It keeps Python logic in Python, and minimises the C
 required. It is able to work at either the C API or ABI level, unlike most
 other approaches, that only support the ABI level.
 .
 This package contains the necessary build and runtime support for cffi
 modules.

Package: python-cffi-backend
Description-md5: 48503c56f3120e90d31ebb94eea39999
Description-en: Foreign Function Interface for Python calling C code - backend
 Convenient and reliable way of calling C code from Python.
 .
 The aim of this project is to provide a convenient and reliable way of calling
 C code from Python. It keeps Python logic in Python, and minimises the C
 required. It is able to work at either the C API or ABI level, unlike most
 other approaches, that only support the ABI level.
 .
 This package contains the runtime support for pre-built cffi modules.

Package: python-cffi-backend-dbg
Description-md5: d4d13ebd4a8a22e8dfa924c9ea260e21
Description-en: Foreign Function Interface for Python calling C code (Debug version)
 Convenient and reliable way of calling C code from Python.
 .
 The aim of this project is to provide a convenient and reliable way of calling
 C code from Python. It keeps Python logic in Python, and minimises the C
 required. It is able to work at either the C API or ABI level, unlike most
 other approaches, that only support the ABI level.
 .
 This package contains the debug version of the python-cffi backend.

Package: python-characteristic
Description-md5: 2513e95ad42508b972e908c532dced7f
Description-en: helper for implementing attribute-related object protocols (Python 2)
 characteristic is Python package with class decorators that ease the chores
 of implementing the most common attribute-related object protocols.
 .
 You just specify the attributes to work with and characteristic gives you:
 .
   - a nice human-readable __repr__,
   - a complete set of comparison methods,
   - and a kwargs-based initializer (that cooperates with your existing one)
 .
 without writing dull boilerplate code again and again.

Package: python-chardet
Description-md5: c1c8f80a4fea9964c6c02fd3277554ae
Description-en: universal character encoding detector for Python2
 Chardet takes a sequence of bytes in an unknown character encoding, and
 attempts to determine the encoding.
 .
 Supported encodings:
  * ASCII, UTF-8, UTF-16 (2 variants), UTF-32 (4 variants)
  * Big5, GB2312, EUC-TW, HZ-GB-2312, ISO-2022-CN (Traditional and Simplified
    Chinese)
  * EUC-JP, SHIFT_JIS, ISO-2022-JP (Japanese)
  * EUC-KR, ISO-2022-KR (Korean)
  * KOI8-R, MacCyrillic, IBM855, IBM866, ISO-8859-5, windows-1251 (Cyrillic)
  * ISO-8859-2, windows-1250 (Hungarian)
  * ISO-8859-5, windows-1251 (Bulgarian)
  * windows-1252 (English)
  * ISO-8859-7, windows-1253 (Greek)
  * ISO-8859-8, windows-1255 (Visual and Logical Hebrew)
  * TIS-620 (Thai)
 .
 This library is a port of the auto-detection code in Mozilla.

Package: python-cheetah
Description-md5: 66ba9b081a39dcd2fd37a31be468acba
Description-en: text-based template engine and Python code generator (Python 2)
 Cheetah can be used as a standalone templating utility or referenced as a
 library from other Python applications. It has many potential uses, but web
 developers looking for a viable alternative to ASP, JSP, PHP and PSP are
 expected to be its principle user group.
 .
 Features:
  * Generates HTML, SGML, XML, SQL, Postscript, form email, LaTeX, or any other
    text-based format.
  * Cleanly separates content, graphic design, and program code.
  * Blends the power and flexibility of Python with a simple template language
    that non-programmers can understand.
  * Gives template writers full access to any Python data structure, module,
    function, object, or method in their templates.
  * Makes code reuse easy by providing an object-orientated interface to
    templates that is accessible from Python code or other Cheetah templates.
    One template can subclass another and selectively reimplement sections of
    it.
  * Provides a simple, yet powerful, caching mechanism that can dramatically
    improve the performance of a dynamic website.
  * Compiles templates into optimized, yet readable, Python code.
 .
 This package contains the Python 2 module.

Package: python-cheetah-doc
Description-md5: edc7540ae3796d0d9fd79144fac2a87c
Description-en: documentation for the Cheetah template engine
 Cheetah can be used as a standalone templating utility or referenced as a
 library from other Python applications. It has many potential uses, but web
 developers looking for a viable alternative to ASP, JSP, PHP and PSP are
 expected to be its principle user group.
 .
 This package contains documentation for the Cheetah template engine.

Package: python-cherrypy3-doc
Description-md5: 48a9d223b1d7218a13d9eddc7ea9dd87
Description-en: Documentation for CherryPy web framework
 CherryPy is a pythonic, object-oriented web development framework.
 .
 This package contains the documentation for CherryPy.

Package: python-circuits-doc
Description-md5: 4bb0d498c500a9ce6a7863ce1ee783d6
Description-en: event-driven framework with a component architecture (Documentation)
 circuits is an event-driven framework with a focus on Component
 Software Architectures where System Functionality is defined in
 Components. Components communicate with one another by propagating
 events throughout the system. Each Component can react to events and
 expose events to other parts of the system Components are able to
 manage their own events and can also be linked to other Components.
 .
 Circuits has a clean architecture and has no external dependencies on
 any other library. It's simplistic design is unmatchable but yet
 delivers a powerful framework for building large, scalable,
 maintainable applications and systems. Circuits was a core integral
 part of the pymills library developed in 2006 and was partly inspired
 by the Trac architecture.
 .
 This package contains documentation and examples.

Package: python-cjson
Description-md5: 9df5556dd31ca9e98e84e927ba2be5d8
Description-en: Very fast JSON encoder/decoder for Python
 JSON stands for JavaScript Object Notation and is a text based lightweight
 data exchange format which is easy for humans to read/write and for machines
 to parse/generate. JSON is completely language independent and has multiple
 implementations in most of the programming languages, making it ideal for
 data exchange and storage.
 .
 The module is written in C and it is up to 250 times faster when compared to
 the other Python JSON implementations which are written directly in Python.
 This speed gain varies with the complexity of the data and the operation and
 is the range of 10-200 times for encoding operations and in the range of
 100-250 times for decoding operations.

Package: python-cjson-dbg
Description-md5: b8a7cbe54c9a54980698bb895569ca37
Description-en: Very fast JSON encoder/decoder for Python (debug extension)
 JSON stands for JavaScript Object Notation and is a text based lightweight
 data exchange format which is easy for humans to read/write and for machines
 to parse/generate. JSON is completely language independent and has multiple
 implementations in most of the programming languages, making it ideal for
 data exchange and storage.
 .
 The module is written in C and it is up to 250 times faster when compared to
 the other Python JSON implementations which are written directly in Python.
 This speed gain varies with the complexity of the data and the operation and
 is the range of 10-200 times for encoding operations and in the range of
 100-250 times for decoding operations.
 .
 This package contains the debug extensions and symbols.

Package: python-clang
Description-md5: 8de8b07f78a9d1e63b2e8291df313d46
Description-en: transitional package to python3-clang
 This is a transitional package. It can safely be removed.

Package: python-clang-6.0
Description-md5: 951864defe3e0c6019a2c92a4a1dd20d
Description-en: Clang Python Bindings
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This binding package provides access to the Clang compiler and libraries.

Package: python-clang-7
Description-md5: 951864defe3e0c6019a2c92a4a1dd20d
Description-en: Clang Python Bindings
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This binding package provides access to the Clang compiler and libraries.

Package: python-clang-8
Description-md5: 218512d6da83131f0dcfe1e4c7c6cc69
Description-en: transitional package to python3-clang-8
 This is a transitional package. It can safely be removed.

Package: python-clang-9
Description-md5: 1be5407181e5cb1124d2915aedfde6ed
Description-en: transitional package to python3-clang-9
 This is a transitional package. It can safely be removed.

Package: python-cloudkittyclient-doc
Description-md5: c9661b4254e32b0c61bd2d4a69d9de50
Description-en: API client for Rating as a Service project - doc
 CloudKitty aims at filling the gap between metrics collection systems like
 ceilometer and a billing system.
 .
 This package contains the documentation.

Package: python-cobra-data
Description-md5: 4a4cb3ebb98b115d80948afaf40ca937
Description-en: constraint-based modeling of biological networks (data)
 COnstraint-Based Reconstruction and Analysis (COBRA) methods are widely
 used for genome-scale modeling of metabolic networks in both prokaryotes
 and eukaryotes. COBRApy is a constraint-based modeling package that is
 designed to accommodate the biological complexity of the next generation
 of COBRA models and provides access to commonly used COBRA methods, such
 as flux balance analysis, flux variability analysis, and gene deletion
 analyses.
 .
 This package provides required and sample data files.

Package: python-collada-doc
Description-md5: 47b64876509c3ca2d5e38fa214197bc6
Description-en: Python module for creating, editing and loading COLLADA - docs
 Pycollada is a Python module for creating, editing and loading COLLADA, which
 is a COLLAborative Design Activity for establishing an interchange file format
 for interactive 3D applications. The library allows you to load a COLLADA file
 and interact with it as a Python object. In addition, it supports creating a
 collada Python object from scratch, as well as in-place editing.
 .
 This package contains the documentation files for Pycollada.

Package: python-commonmark-bkrs-doc
Description-md5: 2426f813e57aef4c87f97cb08f68e631
Description-en: Python parser for the CommonMark Markdown spec -- doc
 Pure Python port of `jgm''s CommonMark, a Markdown parser and renderer for the
 CommonMark (http://commonmark.org) specification, using only native modules.
 .
 This provides the CommonMark-py Python package as developed by Bibek Kafle and
 Roland Shoemaker (BKRS). After release 0.5.4, their project moved to `Read the
 Docs' (http://readthedocs.org) and major changes broke compatibility. However
 some Python packages may still depend on their last implementation, hence this
 Debian package which is meant to smooth the transition.
 .
 This is the common documentation package.

Package: python-concurrent.futures
Description-md5: cb9ad20cdd73d1557a337a8086cff30f
Description-en: backport of concurrent.futures package from Python 3.2
 The concurrent.futures module provides a high-level interface for
 asynchronously executing callables.
 .
 This is a backport for concurrent.futures as of PEP-3148 and included in
 Python 3.2

Package: python-configparser
Description-md5: 546ebb339fccc5609893d4c81a2b2546
Description-en: backport of the enhanced config parser introduced in Python 3.2 - PyPy
 The ancient ConfigParser module available in the standard library 2.x has
 seen a major update in Python 3.2. This is a backport of those changes so
 that they can be used directly in Python 2.7.
 .
 This package contains Python 2.7 module.

Package: python-congressclient-doc
Description-md5: a1f6c72a64a3d239f93d30259c742b15
Description-en: client for the open policy framework for the cloud - doc
 Congress is an open policy framework for the cloud. With Congress, a cloud
 operator can declare, monitor, enforce, and audit "policy" in a heterogeneous
 cloud environment. Congress get inputs from a cloud's various cloud services;
 for example in Openstack, Congress fetches information about VMs from Nova,
 and network state from Neutron, etc. Congress then feeds input data from those
 services into its policy engine where Congress verifies that the cloud's
 actual state abides by the cloud operator's policies. Congress is designed to
 work with any policy and any cloud service.
 .
 This package provides the documentation.

Package: python-constantly
Description-md5: 8ef127db732ba9db16bf1974a2f127b5
Description-en: Symbolic constants in Python
 A library that provides symbolic constant support. It includes
 collections and constants with text, numeric, and bit flag
 values.
 .
 Originally twisted.python.constants from the Twisted project.
 .
 This package provides the Python 2.x module.

Package: python-contextlib2
Description-md5: d6316bfda7f448536e57bea26877abd3
Description-en: Backport and enhancements for the contextlib module - Python 2.7
 contextlib2 is a backport of the standard library's contextlib module to
 earlier Python versions.
 .
 It also serves as a real world proving ground for possible future
 enhancements to the standard library version.
 .
 This package contains the Python 2.7 module.

Package: python-coverage
Description-md5: 7eb0a1397eabff659bd560271991eca5
Description-en: code coverage tool for Python 2
 Coverage.py is a tool for measuring code coverage of Python programs.
 It monitors your program, noting which parts of the code have been
 executed, then analyzes the source to identify code that could have
 been executed but was not.
 .
 Coverage measurement is typically used to gauge the effectiveness of
 tests. It can show which parts of your code are being exercised by
 tests, and which are not.
 .
 This package installs the Python version 2 ‘coverage’ library, and the
 ‘python2-coverage’ program to perform and report coverage tests.

Package: python-coverage-doc
Description-md5: f1f9ea1c05b01e52d3b281411e5bc886
Description-en: code coverage tool for Python — documentation
 Coverage.py is a tool for measuring code coverage of Python programs.
 It monitors your program, noting which parts of the code have been
 executed, then analyzes the source to identify code that could have
 been executed but was not.
 .
 This package installs the HTML documentation for Coverage.py.

Package: python-crypto
Description-md5: 896a9e15c57abdd08c19b8ce3f8ed940
Description-en: cryptographic algorithms and protocols for Python
 A collection of cryptographic algorithms and protocols, implemented
 for use from Python. Among the contents of the package:
 .
  * Hash functions: HMAC, MD2, MD4, MD5, RIPEMD160, SHA, SHA256.
  * Block encryption algorithms: AES, ARC2, Blowfish, CAST, DES, Triple-DES.
  * Stream encryption algorithms: ARC4, simple XOR.
  * Public-key algorithms: RSA, DSA, ElGamal.
  * Protocols: All-or-nothing transforms, chaffing/winnowing.
  * Miscellaneous: RFC1751 module for converting 128-bit keys
    into a set of English words, primality testing, random number generation.

Package: python-crypto-dbg
Description-md5: 2419ac9f0b85ada57da4be325fb7b4e5
Description-en: cryptographic algorithms and protocols for Python (debug extension)
 A collection of cryptographic algorithms and protocols, implemented
 for use from Python.
 .
 This package contains the extensions built for the Python debug interpreter.

Package: python-cryptography
Description-md5: 2e4e904eba9febc1805441f8f837db86
Description-en: Python library exposing cryptographic recipes and primitives (Python 2)
 The cryptography library is designed to be a "one-stop-shop" for
 all your cryptographic needs in Python.
 .
 As an alternative to the libraries that came before it, cryptography
 tries to address some of the issues with those libraries:
  - Lack of PyPy and Python 3 support.
  - Lack of maintenance.
  - Use of poor implementations of algorithms (i.e. ones with known
    side-channel attacks).
  - Lack of high level, "Cryptography for humans", APIs.
  - Absence of algorithms such as AES-GCM.
  - Poor introspectability, and thus poor testability.
  - Extremely error prone APIs, and bad defaults.
 .
 This package contains the Python 2 version of cryptography.

Package: python-cryptography-vectors
Description-md5: a593740a689f15278a99aedb8d0a2df5
Description-en: Test vectors for python-cryptography (Python 2)
 The test vectors for the cryptography library are rather large; thus, they are
 broken out into their own package so that users who do not need to run the
 tests do not need to download and install them.
 .
 This package contains the Python 2 version of cryptography_vectors.

Package: python-cssselect2-doc
Description-md5: 43c406f0c0868002a020249bf540affe
Description-en: implementation of CSS3 Selectors (Documentation)
 cssselect2 is a straightforward implementation of CSS3 Selectors for markup
 documents (HTML, XML, etc.) that can be read by ElementTree-like parsers
 (including cElementTree, lxml, html5lib, etc.)
 .
 Unlike cssselect, it does not translate selectors to XPath and therefore does
 not have all the correctness corner cases that are hard or impossible to fix in
 cssselect.
 .
 This package contains the documentation.

Package: python-cvxopt-doc
Description-md5: ff7b5f356fdd8dd938b4b0131243cda3
Description-en: Python package for convex optimization (documentation)
 CVXOPT is a Python package for convex optimization. It includes
  * Python classes for storing and manipulating dense and sparse matrices
  * an interface to most of the double-precision real and complex BLAS
  * an interface to the dense linear equation solvers and eigenvalue
    routines from LAPACK
  * interfaces to the sparse LU and Cholesky solvers from UMFPACK and
    CHOLMOD.
  * routines for solving convex optimization problems, an interface to
    the linear programming solver in GLPK, and interfaces to the
    linear and quadratic programming solvers in MOSEK
  * a modeling tool for specifying convex piecewise-linear
    optimization problems.
 .
 This package contains the documentation of the Python module.

Package: python-cycler-doc
Description-md5: 34bc7ea2256aef027e763a0edbc0f06d
Description-en: composable kwarg iterator (documentation)
 When using matplotlib and plotting more than one line, it is common to want to
 be able to cycle over one  or more artist styles; but the plotting logic can
 quickly become very involved.
 .
 To address this and allow easy cycling over arbitrary 'kwargs' the `Cycler`
 class, a composable kwarg iterator, was developed.
 .
 This package contains the documentation for Cycler.

Package: python-cymruwhois-doc
Description-md5: 8ea5d9dc299a89b5b95368edc5aab27c
Description-en: python-cymruwhois common documentation
 This is the common documentation package for packages python-cymruwhois and
 python3-cymruwhois. It explains the usage of the cymruwhois API as
 well as the command-line interface of the utility program
 cymruwhois.

Package: python-cypari2-doc
Description-md5: d864fc3486b0aa8a965e7dfb31b889a1
Description-en: Python interface to PARI -- documentation
 A Python interface to the number theory library libpari.
 .
 This is the common documentation package.

Package: python-cysignals-doc
Description-md5: 717479a13f4b1922c4386bdd10cedd26
Description-en: interrupt and signal handling for Cython -- doc
 Since Cython optimizes for speed, Cython normally does not check
 for interrupts. The cysignals package provides mechanisms to handle
 interrupts (and other signals and errors) in Cython code.
 .
 This is the common documentation package with examples.

Package: python-dap
Description-md5: 629c035b3e74bb98279c9f24e4e79496
Description-en: DAP (Data Access Protocol) client and server
 This is a Python implementation of the Data Access Protocol, a scientific
 protocol for data access developed by the OPeNDAP team
 (http://opendap.org). This implementation is developed from scratch, following
 the latest specification of the protocol (DAP 2.0 Draft Community Standard
 2005/04/27) and based on experience with OPeNDAP servers on the wild.
 .
 Using this module one can access hundreds of scientific datasets from Python
 programs, accessing data in an efficient, transparent and pythonic way. Arrays
 are manipulated like normal multi-dimensional arrays (like numpy.array, e.g.),
 with the fundamental difference that data is downloaded on-the-fly when a
 variable is sliced.  Sequential data can be filtered on the server side before
 being downloaded, saving bandwidth and time.
 .
 The module also implements a DAP server, allowing datasets from a multitude of
 formats (netCDF, Matlab, CSV, GrADS/GRIB files, SQL RDBMS) to be served on the
 internet. The server specifies a plugin API for supporting new data formats in
 an easy way. The DAP server is implemented as a WSGI application (see PEP 333),
 running on a variety of servers, and can be combined with WSGI middleware to
 support authentication, gzip compression and much more.

Package: python-darts.lib.utils.lru-doc
Description-md5: d29d4faad4f1b8d7a037a86f21f74afa
Description-en: Simple dictionary with LRU behaviour in Python (common documentation)
 An LRUDict is basically a simple dictionary, which has a defined maximum
 capacity, that may be supplied at construction time, or modified at run-time
 via the capacity property.
 The class SynchronizedLRUDict, which exposes the same interface as plain
 LRUDict, but fully thread-safe.
 .
 This is the common documentation package.

Package: python-dask-doc
Description-md5: 249a6cc0e56c6f4be343e8b38a334beb
Description-en: Minimal task scheduling abstraction documentation
 Dask is a flexible parallel computing library for analytics,
 containing two components.
 .
 1. Dynamic task scheduling optimized for computation. This is similar
 to Airflow, Luigi, Celery, or Make, but optimized for interactive
 computational workloads.
 2. "Big Data" collections like parallel arrays, dataframes, and lists
 that extend common interfaces like NumPy, Pandas, or Python iterators
 to larger-than-memory or distributed environments. These parallel
 collections run on top of the dynamic task schedulers.
 .
 This contains the documentation

Package: python-dateutil
Description-md5: 9cd126276cc5f8b44d9c9d9295216efa
Description-en: powerful extensions to the standard Python datetime module
 It features:
 .
  * computing of relative deltas (next month, next year, next monday, last week
    of month, etc);
  * computing of relative deltas between two given date and/or datetime objects
  * computing of dates based on very flexible recurrence rules, using a superset
    of the iCalendar specification. Parsing of RFC strings is supported as well.
  * generic parsing of dates in almost any string format
  * timezone (tzinfo) implementations for tzfile(5) format files
    (/etc/localtime, /usr/share/zoneinfo, etc), TZ environment string (in all
    known formats), iCalendar format files, given ranges (with help from
    relative deltas), local machine timezone, fixed offset timezone, UTC
    timezone
  * computing of Easter Sunday dates for any given year, using Western, Orthodox
    or Julian algorithms
 .
 This package provides the Python 2 version of the datetime module.

Package: python-dbf
Description-md5: 7f6300baa755803c3c452f30caae0ce7
Description-en: Python module for reading and writing dbf files (Python 2)
 A pure Python module for reading and writing dBase III, FoxPro, and Visual
 FoxPro 6 .dbf files (including memos).
 Text is returned as unicode, and codepage settings in tables are honored.
 .
 Currently not supported: index files, null fields, auto-incrementing fields.
 .
 This package installs the library for Python 2.

Package: python-dbfread-doc
Description-md5: e280980f90eed2f71dbf61ed23db8db9
Description-en: documentation for dbfread
 DBF is a file format used by databases such dBase, Visual FoxPro, and
 FoxBase+. This library reads DBF files and returns the data as native
 Python data types for further processing. It is primarily intended for
 batch jobs and one-off scripts.
 .
 This package provides the documentation.

Package: python-dbus
Description-md5: 53b9ddf61580a04978ee4e167d46abbf
Description-en: simple interprocess messaging system (Python interface)
 D-Bus is a message bus, used for sending messages between applications.
 Conceptually, it fits somewhere in between raw sockets and CORBA in
 terms of complexity.
 .
 This package provides a Python interface to D-Bus.
 .
 See the dbus description for more information about D-Bus in general.

Package: python-dbus-dbg
Description-md5: 9d35fb4c4d665748b8e7363bb72b7fd5
Description-en: debug build of the D-Bus Python 2 interface
 This package provides a version of the python-dbus package built for
 debugging versions of Python 2, and debug symbols for python-dbus.

Package: python-dbus-dev
Description-md5: 7f03834d847fbda527ab8d1b6670c1d5
Description-en: main loop integration development files for python-dbus
 D-Bus is a message bus, used for sending messages between applications.
 Conceptually, it fits somewhere in between raw sockets and CORBA in
 terms of complexity.
 .
 This package provides development files required to compile main-loop
 integration modules for python-dbus, such as dbus.mainloop.pyqt5 provided
 by PyQt. It is Python-version-independent: packages that build-depend
 on python-dbus-dev should also build-depend on python-dbus,
 python3-dbus, python-dbus-dbg and/or python3-dbus-dbg.

Package: python-dbus-tests
Description-md5: 5f8dc86db17619b1b9ae0711e252d82a
Description-en: simple interprocess messaging system (Python interface - tests)
 D-Bus is a message bus, used for sending messages between applications.
 .
 This package contains automated tests for the "dbus" Python bindings for
 the reference D-Bus implementation, to be run under Python 2.
 .
 See the dbus description for more information about D-Bus in general.

Package: python-debian
Description-md5: 5be73b50401686d419b7e34949840340
Description-en: Python modules to work with Debian-related data formats
 This package provides Python modules that abstract many formats of Debian
 related files. Currently handled are:
  * Debtags information (debian.debtags module)
  * debian/changelog (debian.changelog module)
  * Packages files, pdiffs (debian.debian_support module)
  * Control files of single or multiple RFC822-style paragraphs, e.g.
    debian/control, .changes, .dsc, Packages, Sources, Release, etc.
    (debian.deb822 module)
  * Raw .deb and .ar files, with (read-only) access to contained
    files and meta-information

Package: python-decorator
Description-md5: 09bcb708a2302a7d9046e3a7b8bdcbef
Description-en: simplify usage of Python decorators by programmers
 Python 2.4 decorators have significantly changed the way Python programs are
 structured.
    * decorators help reduce boilerplate code;
    * decorators help the separation of concerns;
    * decorators enhance readability and maintainability;
    * decorators are very explicit.
 Still, as of now, writing custom decorators correctly requires some
 experience and is not as easy as it could be. For instance, typical
 implementations of decorators involve nested functions and we all know that
 flat is better than nested.
 The aim of the decorator module it to simplify the usage of decorators for
 the average programmer and to popularize decorators usage giving examples of
 useful decorators, such as memoize, tracing, redirecting_stdout, locked, etc.

Package: python-dev-is-python2
Description-md5: 0469506d06e4d42cb265ed5acd0fd900
Description-en: symlinks /usr/bin/python-config to the DEPRECATED python2-config
 In Ubuntu, all python packages use explicit python3 or python2
 interpreter and do not use unversioned /usr/bin/python-config at all. Some
 third-party code may still be python2 based, yet may use
 /usr/bin/python-config.
 .
 This is a convenience package which ships a symlink to point
 the /usr/bin/python-config script at the current default python2.
 It may improve compatibility with obsolete 3rd-party software,
 whilst breaking some modern software.
 .
 This package will be installed upon upgrades to Ubuntu 20.04, if
 the DEPRECATED python2-dev was installed.
 .
 python2 is DEPRECATED and will not be provided in the future Ubuntu
 release. It is recommended to remove python2 and this package after
 ensuring that only python3 is in use.
 .
 No packages may declare dependencies on this package.

Package: python-diaspy-doc
Description-md5: efe0ef2e2119868db820753422ef06b6
Description-en: unofficial interface to the Diaspora social network (documentation)
 diaspy is a set of modules which form an Python interface to the
 API of Disapora* social network.
 .
 This package provides the common documentation for the diaspy Python
 module.

Package: python-dictdlib
Description-md5: 42c84cad9346056c6a7a9e4b886281a3
Description-en: Python library for generating dictd dictionaries
 This library is useful if you want to write Python programs that generate
 dictd dictionaries.

Package: python-digitalocean-doc
Description-md5: 8cce6f0a4c540d72f8a03f55db2c7015
Description-en: Python bindings for the DigitalOcean API (common documentation)
 python-digitalocean provides Python bindings for the DigitalOcean API allowing
 you to access and perform actions on DigitalOcean resources such Droplets
 (virtual machinces), Images, Volumes, Load Balancers, and more.
 .
 This is the common documentation package.

Package: python-distributed-doc
Description-md5: bd643336f74a0ef9cb0e726f099aea36
Description-en: Dask Distributed computing documentation
 Dask.distributed is a lightweight library for distributed computing in
 Python. It extends both the concurrent.futures and dask APIs to
 moderate sized clusters.
 .
 This contains the documentation

Package: python-distutils-extra
Description-md5: cd0bab3114e0d4fcaed1d2a8bac3b411
Description-en: enhancements to the Python build system
 This package provides additional functions to Python's distutils and
 setuptools. It allows you to easily integrate gettext, icons and GNOME
 documentation into your build and installation process.
 .
 It also provides an "auto" module which provides a "do what I mean" automatic
 build system; if you stick to the conventions, you do not need to write
 setup.cfg, POTFILES.in, or MANIFEST.in, and setup.py just needs to have the
 package metadata (such as project name and version).

Package: python-django-allauth-doc
Description-md5: 9e37c5c818840474ed1a8b7ea5464032
Description-en: Django app for local and social authentication (Documentation)
 Integrated set of Django applications addressing authentication,
 registration, account management as well as 3rd party (social)
 account authentication with the following supported providers:
 .
  * Amazon (OAuth2)
  * AngelList (OAuth2)
  * Bitly (OAuth2)
  * Dropbox (OAuth)
  * Facebook (both OAuth2 and JS SDK)
  * Feedly (OAuth2)
  * Github (OAuth2)
  * Google (OAuth2)
  * Instagram (OAuth2)
  * LinkedIn (OAuth, OAuth2)
  * OpenId
  * Paypal (OAuth2)
  * Persona
  * QuickBooks
  * SoundCloud (OAuth2)
  * Stack Exchange (OAuth2)
  * Telegram
  * Twitch (OAuth2)
  * Twitter (OAuth)
  * Vimeo (OAuth)
  * VK (OAuth2)
  * Weibo (OAuth2)
 .
 This package contains the documentation.

Package: python-django-assets-doc
Description-md5: d0508a9a8415d563d225d729ed39389f
Description-en: integrate webassets into Django applications (Documentation)
 django-assets will automatically merge and compress bundle’s source files the
 first time a template including them is rendered, and will automatically update
 the compressed file every time a source file changes. If debugging is enabled,
 each source file will be outputted individually instead.
 .
 This package contains the documentation.

Package: python-django-auth-ldap-doc
Description-md5: 4fd2db8c39843015dd5fe0c48d806f55
Description-en: Django LDAP authentication backend (documentation)
 Django authentication backend that authenticates against an LDAP
 service. Configuration can be as simple as a single distinguished
 name template, but there are many rich configuration options for
 working with users, groups, and permissions.
 .
 This package contains the documentation.

Package: python-django-babel-doc
Description-md5: 5fe9095603ad119f0a1fb2e3c17e53b0
Description-en: Utilities for using Babel in Django - doc
 This package contains various utilities for integration of Babel into the
 Django web framework:
  * A message extraction plugin for Django templates.
  * A middleware class that adds the Babel Locale object to requests.
  * A set of template tags for date and number formatting.
 .
 Babel provides a message extraction framework similar to GNU xgettext, but
 more extensible and geared towards Python applications. While Django does
 provide wrapper scripts for making the use of xgettext more convenient, the
 extraction functionality is rather limited. For example, you can't use
 template files with an extension other than .html, and everything needs to be
 in your project package directory.
 .
 This package contains the documentation.

Package: python-django-braces-doc
Description-md5: 923f1b56e665440207d00e77d39f7bca
Description-en: reusable, generic mixins for Django class based views (Documentation)
 Django-braces provides a set of reusable, generic mixins for the Django
 class based views system. The mixins can be used for access control, form
 handling and other purposes.
 .
 This package contains the documentation.

Package: python-django-casclient-doc
Description-md5: f38e817d0f54d66d1739c4da7cc47ded
Description-en: CAS client library for Django (documentation)
 Django-cas is a Central Authentication Service (CAS) client library for
 Django, offering single sign-on web authentication.  It is K-State's fork of
 the original and includes Edmund Crewe's proxy ticket patch and several
 additional features as well as features merged from KTHse's django-cas2.
 .
 This is the common documentation package.

Package: python-django-celery-beat-doc
Description-md5: eaaa765c3b3451e5f19c4d6f9dc6551a
Description-en: Database-backed Periodic Tasks (Python3 version)
 This extension enables you to store the periodic task schedule in the database.
 .
 The periodic tasks can be managed from the Django Admin interface, where you
 can create, edit and delete periodic tasks and how often they should run.
 .
 This package contains the documentation.

Package: python-django-celery-results-doc
Description-md5: fd2803ccf77ef40b298b1075e6766b27
Description-en: Celery result backends for Django (Documentation)
 This extension enables you to store Celery task results using the Django ORM.
 .
 It defines a single model (django_celery_results.models.TaskResult) used to
 store task results, and you can query this database table like any other Django
 model.
 .
 This package contains the documentation.

Package: python-django-channels-doc
Description-md5: 0f70bba9b5a1c5509cbf62b0aa5bdca6
Description-en: Developer-friendly asynchrony for Django (Documentation)
 Channels is a project to make Django able to handle more than just plain HTTP
 requests, including WebSockets and HTTP2, as well as the ability to run code
 after a response has been sent for things like thumbnailing or background
 calculation.
 .
 It’s an easy-to-understand extension of the Django view model, and easy to
 integrate and deploy.
 .
 This package contains the documentation.

Package: python-django-classy-tags-doc
Description-md5: 98b8e3278f3cf3613e3c18af32b975ee
Description-en: Class based template tags for Django projects (Documentation)
 django-classy-tags is an approach at making writing template tags in
 Django easier, shorter and more fun. It provides an extensible
 argument parser which reduces most of the boiler plate code you
 usually have to write when coding custom template tags.
 .
 django-classy-tags does no magic by design. Thus you will not get
 automatic registering/loading of your tags like other solutions
 provide. You will not get automatic argument guessing from function
 signatures but rather you have to declare what arguments your tag
 accepts. There is no magic in your template tag class either,
 it’s just a subclass of django.template.Node which invokes a parser
 class to parse the arguments when it’s initialized and resolves those
 arguments into keyword arguments in it’s render method and calls its
 render_tag method with those keyword arguments.
 .
 This package contains the documentation.

Package: python-django-contact-form-doc
Description-md5: e220db1c1033b13837d6ff0a14a1f341
Description-en: extensible contact-form application for Django (documentation)
 This is a reusable application which simplifies including a contact form within
 Django, the Python web framework. It provides a basic contact form which, once
 appropriate templates have been written, will email site administrators when
 the form is submitted. The form and view used are designed to be extensible and
 allow for easy adaptation to more complex needs.
 .
 This is the common documentation package.

Package: python-django-crispy-forms-doc
Description-md5: 273c8960ab8cc4134d277cf3b436860b
Description-en: app for Django providing elegant form rendering (Documentation)
 django-crispy-forms provides you with a |crispy filter and {% crispy %} tag
 that will let you control the rendering behavior of your Django forms in a
 very elegant and DRY way. Have full control without writing custom form
 templates. All this without breaking the standard way of doing things in
 Django, so it plays nice with any other form application.
 .
 Django-crispy-forms supports several frontend frameworks, such as Twitter
 Bootstrap (versions 2 and 3), Uni-form and Foundation. You can also easily
 adapt your custom company's one, creating your own, see the docs for more
 information. You can easily switch among them using CRISPY_TEMPLATE_PACK
 setting variable.
 .
 This package contains the documentation.

Package: python-django-csp-doc
Description-md5: 1ea49a658e2785322fbc9c6810efca07
Description-en: Content Security Policy for Django (Documentation)
 django-csp adds Content-Security-Policy headers to Django applications. It
 provides a middleware that takes care of setting the correct header values and
 has several configuration settings to create custom policies.
 .
 This package contains the documentation.

Package: python-django-debug-toolbar-doc
Description-md5: 40e6fd5c96d59516e2cd1a8353629c3d
Description-en: Embedded debugging toolbar for Django projects (documentation)
 The Django Debug Toolbar is a plug-in Django application that displays a set
 of panels which conveys information about the current request at the top of the
 rendered page. It can show:
 .
   * Total time taken to process request
   * Request variables, including GET, POST, cookie, and session information.
   * All SQL queries performed during response creation and processing,
     including timing and 'EXPLAIN' output for each query in conjunction with
     the total time spent performing SQL queries. If python-pygments is
     installed, the SQL syntax is highlighted.
   * Current Django version
   * HTTP headers
   * Total requests, time, hits and misses of the cache.
   * Which templates were rendered the context provided to each template.
 .
 This is the common documentation package.

Package: python-django-dirtyfields-doc
Description-md5: 19f7ef4d161e91791218fe5dce2ddcad
Description-en: package for tracking dirty fields on a Django model instance (documentation)
 django-dirtyfields is a package for tracking dirty fields on a Django model
 instance, where dirty means that field in-memory and database values are
 different.
 .
 This is the documentation package.

Package: python-django-etcd-settings-doc
Description-md5: 035b5a7c40ff9b803112941e570afb2f
Description-en: config manager for Django apps based on ETCD (Documentation)
 This application allows you to extend the Django settings as configured in the
 settings.py file with:
 .
  * Environment dependent values
  * Values in different config sets, identified by name, which can be selected
    on a 'per request' basis using the X-DYNAMIC-SETTINGS HTTP header
 .
 Both the added configuration values and config sets would live at ETCD,
 which will be continuously monitored by this library in order to
 transparently update your app settings upon changes.
 .
 This package contains the documentation.

Package: python-django-extensions-doc
Description-md5: 4dd9dde798711d4bc958039ae3825cbf
Description-en: Useful extensions for Django projects (Documentation)
 This is a collection of useful third-party tools for projects that use the
 Django web development framework. It provides the management commands:
 .
  * runserver_plus - a "runserver" that uses the interactive Werkzeug debugger
  * runprofileserver - starts runserver with profiling tools enabled
  * shell_plus - similar to the built-in "shell" but autoloads all models
  * graph_models - creates a GraphViz dot file of your model arrangement
  * describe_form - generate template form definitions for a model
  * export_emails - export the addresses of your users in many formats
  * print_user_for_session - print the user information from a session key
  * create_jobs/runjob/runjobs - manage scheduled maintenance jobs
  * (plus many more)
 .
 python-django-extensions also includes a number of custom fields and abstract
 models, including ones that manage created and updated times automatically.
 .
 This package contains the documentation.

Package: python-django-extra-views-doc
Description-md5: 0526eaf35eb95c9e51eac14f4039ec7b
Description-en: Complementary class-based generic views for Django (Documentation)
 Django Extra Views provides a number of additional class-based generic views to
 complement those provide by Django itself.
 .
 Features:
  * FormSet and ModelFormSet views - The formset equivalents of FormView and
    ModelFormView.
  * InlineFormSetView - Lets you edit formsets related to a model (uses
    inlineformset_factory).
  * CreateWithInlinesView and UpdateWithInlinesView - Lets you edit a model and
    its relations.
  * GenericInlineFormSetView, the equivalent of InlineFormSetView but for
    GenericForeignKeys.
  * Support for generic inlines in CreateWithInlinesView and
    UpdateWithInlinesView.
  * Support for naming each inline or formset with NamedFormsetsMixin.
  * SortableListMixin - Generic mixin for sorting functionality in your views.
  * SearchableListMixin - Generic mixin for search functionality in your views.
 .
 This package contains the documentation.

Package: python-django-filters-doc
Description-md5: 90eca80637b4fd33c48b31ac2583c338
Description-en: filter Django QuerySets based on user selections (Documentation)
 Django-filter is a generic, reusable application to alleviate some of the more
 mundane bits of view code. Specifically allowing the users to filter down a
 queryset based on a model’s fields and displaying the form to let them do this.
 .
 This package contains the documentation.

Package: python-django-formtools-doc
Description-md5: 521893d64719b9044c7731571506305b
Description-en: set of high-level abstractions for Django forms - doc
 Django's formtools is a set of high-level abstractions for Django forms.
 Currently for form previews and multi-step forms.
 .
 This code used to live in Django proper: in django.contrib.formtools. But it
 was separated into a standalone package in Django 1.8 to keep the framework's
 core clean.
 .
 This package contains the documentation.

Package: python-django-guardian-doc
Description-md5: e3036e2f8908bc5e03d1a25c9805a62c
Description-en: per object permissions of django (documentation)
 Implementation of per object permissions as authorization backend which is
 supported since Django 1.2. It provides features as followings;
  - Object permissions for Django_
  - AnonymousUser support
  - High level API
  - Heavely tested
  - Django's admin integration
  - Decorators
 .
 This package contains the HTML documentation.

Package: python-django-haystack-doc
Description-md5: 91fc6075e31521bcb2a592aaf4791f28
Description-en: modular search for Django (Documentation)
 Haystack provides modular search for Django. It features a unified, familiar
 API that allows you to plug in different search backends (such as Solr,
 Elasticsearch, Whoosh, Xapian, etc.) without having to modify your code.
 .
 It plays nicely with third-party app without needing to modify the source and
 supports advanced features like faceting, More Like This, highlighting, spatial
 search and spelling suggestions.
 .
 This package contains the documentation.

Package: python-django-imagekit-doc
Description-md5: 9507ea41a78f4ab83751fef61db2c6b3
Description-en: Automated image processing for Django (Documentation)
 ImageKit is a Django app for processing images. Need a thumbnail? A
 black-and-white version of a user-uploaded image? ImageKit will make them for
 you. If you need to programmatically generate one image from another, you need
 ImageKit.
 .
 ImageKit comes with a bunch of image processors for common tasks like resizing
 and cropping, but you can also create your own.
 .
 This package contains the documentation.

Package: python-django-memoize-doc
Description-md5: 72f519d47186a95766a9447cc1daf4d3
Description-en: implementation of memoization technique for Django (common documentation)
 django-memoize is an implementation of the memoization technique for Django.
 You can think of it as a cache for function or method results.
 .
 In memoization, the functions arguments are also included into the cache_key.
 Memoize is also designed for methods, since it will take into account the repr
 of the ‘self’ or ‘cls’ argument as part of the cache key. The theory behind
 memoization is that if you have a function you need to call several times in
 one request, it would only be calculated the first time that function is called
 with those arguments.
 .
 This is the common documentation package.

Package: python-django-modeltranslation-doc
Description-md5: a5427c269453bcebeae4256ca20c00a4
Description-en: Translate dynamic content of Django models (common documentation)
 The modeltranslation application can be used to translate dynamic content of
 existing models to an arbitrary number of languages without having to change
 the original model classes. It uses a registration approach (comparable to
 Django's admin app) to be able to add translations to existing or new projects
 and is fully integrated into the Django admin backend.
 .
 This is the common documentation package.

Package: python-django-mptt-doc
Description-md5: 518c0f77627805824bf01464df7429fa
Description-en: Modified Preorder Tree Traversal Django application (documentation)
 Django MPTT is a reusable/standalone Django application which aims to
 make it easy for you to use Modified Preorder Tree Traversal with your
 own Django models in your own applications.
 .
 It takes care of the details of managing a database table as a tree
 structure and provides tools for working with trees of model instances.
 .
 This package contains the documentation.

Package: python-django-otp-doc
Description-md5: 3544288adf29f97b2a72145c6d3a8d59
Description-en: pluggable framework for two-factor authentication (Documentation)
 This project makes it easy to add support for one-time passwords (OTPs) to
 Django. It can be integrated at various levels, depending on how much
 customization is required. It integrates with django.contrib.auth, although it
 is not a Django authentication backend. The primary target is developers
 wishing to incorporate OTPs into their Django projects as a form of two-factor
 authentication.
 .
 This project includes several simple OTP plugins and more are available
 separately. This package also includes an implementation of OATH HOTP and TOTP
 for convenience, as these are standard OTP algorithms used by multiple plugins.
 .
 This package contains the documentation.

Package: python-django-pipeline-doc
Description-md5: 666e7dae8de66db999d7c59d8618018d
Description-en: Documentation for Django Pipeline library
 Pipeline is an asset packaging library for Django, providing both CSS and
 JavaScript concatenation and compression, built-in JavaScript template support,
 and optional data-URI image and font embedding.
 .
 This package contains documentation in HTML format

Package: python-django-polymorphic-doc
Description-md5: 529cf171fe6cf45b791912ec8a669a47
Description-en: Seamless Polymorphic Inheritance for Django Models (Documentation)
 Django-polymorphic simplifies using inherited models in Django projects. When a
 query is made at the base model, the inherited model classes are returned.
 .
 Features:
  * Full admin integration.
  * ORM integration:
    + Support for ForeignKey, ManyToManyField, OneToOneField descriptors.
    + Support for proxy models.
    + Filtering/ordering of inherited models (ArtProject___artist).
    + Filtering model types: instance_of(...) and not_instance_of(...)
    + Combining querysets of different models (qs3 = qs1 | qs2)
    + Support for custom user-defined managers.
  * Uses the minimum amount of queries needed to fetch the inherited models.
  * Disabling polymorphic behavior when needed.
 .
 This package contains the documentation.

Package: python-django-recurrence-doc
Description-md5: f56a81829a030845e0df4905bf65adb6
Description-en: Django utility wrapping dateutil.rrule (documentation)
 django-recurrence is a utility for working with recurring dates in Django.
 .
 It provides:
  * Recurrence/Rule objects using a subset of rfc2445 (wraps dateutil.rrule)
    for specifying recurring date/times
  * RecurrenceField for storing recurring datetimes in the database
  * a JavaScript widget
 .
 This package contains the documentation.

Package: python-django-registration-doc
Description-md5: c273f1a83ae40abfc6acec0229253f64
Description-en: User-registration application for Django (Documentation)
 This is a fairly simple user-registration application for Django,
 designed to make allowing user signups as painless as possible.
 .
 Its main feature over Django's standard user-registration application is
 the support of email confirmation.
 .
 This application enables a fairly common workflow for user signups:
  1. User signs up for an account.
  2. User gets emailed an activation link.
  3. User clicks the activation link before it expires.
  4. User becomes a happy and productive contributor to your site.
 .
 This package contains the HTML documentation.

Package: python-django-reversion-doc
Description-md5: 47ed876f733fa71e6a914af8521bdce9
Description-en: Provides comprehensive version control facilities for Django (Documentation)
 Reversion is an extension to the Django web framework that provides
 comprehensive version control facilities.
 .
 Features:
  * Roll back to any point in a model's history - an unlimited undo facility!
  * Recover deleted models - never lose data again!
  * Admin integration for maximum usability.
  * Group related changes into revisions that can be rolled back in a single
    transaction.
  * Automatically save a new version whenever your model changes using Django's
    flexible signalling framework.
  * Automate your revision management with easy-to-use middleware.
 .
 This package contains the documentation.

Package: python-django-sekizai-doc
Description-md5: baac8bfae3b2a588742d0720f66f267b
Description-en: template blocks for Django projects (Documentation)
 Sekizai means “blocks” in Japanese, and that’s what this app provides.
 A fresh look at blocks. With django-sekizai you can define placeholders
 where your blocks get rendered and at different places in your templates
 append to those blocks. This is especially useful for css and javascript.
 Your subtemplates can now define css and javscript files to be included,
 and the css will be nicely put at the top and the javascript to the bottom,
 just like you should. Also sekizai will ignore any duplicate content in
 a single block.
 .
 This package contains the documentation.

Package: python-django-sitetree-doc
Description-md5: 2a4be86ec56ff9b160ff99583231c230
Description-en: site tree, menu and breadcrumbs navigation for Django (Documentation)
 django-sitetree is a reusable application for Django, introducing site tree,
 menu and breadcrumbs navigation elements. Site structure in django-sitetree is
 described through Django admin interface in a so called site trees. Every item
 of such a tree describes a page or a set of pages through the relation of URI
 or URL to human-friendly title.
 .
 This package contains the documentation.

Package: python-django-split-settings-doc
Description-md5: 4cd15409f25bc38a7485c02c280157d8
Description-en: Organize Django settings into multiple files and directories (Documentation)
 Organize Django settings into multiple files and directories. Easily override
 and modify settings. Use wildcards in settings file paths and mark settings
 files as optional.
 .
 This package contains the documentation.

Package: python-django-storages-doc
Description-md5: 4934b5a091fc98bbca027aea7c83ca4b
Description-en: storage backends in Django (Documentation)
 django-storages is a collection of custom storage backends for Django. Each
 storage backend has its own unique settings you will need to add to your
 settings.py file. Read the documentation for your storage engine(s) of choice
 to determine what you need to add.
 .
 This package contains the documentation.

Package: python-django-tables2-doc
Description-md5: 5068d9adb6d49a3dd673e82bcee3570c
Description-en: Table/data-grid framework for Django (Documentation)
 django-tables2 simplifies the task of turning sets of data into HTML tables. It
 has native support for pagination and sorting. It does for HTML tables what
 ``django.forms`` does for HTML forms.
 .
 This package contains the documentation.

Package: python-django-treebeard-doc
Description-md5: 8f56a7428da1a4638b0d630df55a4aad
Description-en: Efficient implementations of tree data structures for Django (documentation)
 Django Treebeard is a library that implements efficient data structures for
 storing hierarchical data in a database using the Django web development
 framework.
 .
 It currently includes 3 different tree implementations: adjacency list,
 materialized path and nested sets. Each one has it's own strengths and
 weaknesses but share the same API, so it’s easy to switch between them.
 .
 This package contains the documentation in searchable HTML format.

Package: python-django-uwsgi-doc
Description-md5: 1e80f57581829d4fe226e8495d448808
Description-en: uWSGI related tools for Django (Documentation)
 django-uwsgi provides several features for Django projects deployed to uWSGI:
 .
  * Admin page with uWSGI stats (options to reload/stop uWSGI, clear uWSGI
    cache)
  * uWSGI Cache Backend for Django
  * uWSGI Email Backend for Django(send emails via uWSGI's spooler)
  * Debug Panel for django-debug-toolbar (offers same functions as admin page)
  * Django template loader for embedded into uWSGI files
  * Django Management Command runuwsgi (with live autoreload when DEBUG is True)
  * uWSGI config generator
  * Django CBV Mixins based on uWSGI decorators
 .
 This package contains the documentation.

Package: python-django-waffle-doc
Description-md5: 12906a66bdde2e3acd8e9389c30d9e44
Description-en: feature flipper for Django (Documentation)
 Django Waffle is feature flipper for Django. You can define the conditions for
 which a flag should be active, and use it in a number of ways. Feature flags
 are a critical tool for continuously integrating and deploying applications.
 .
 Waffle aims to
  * provide a simple, intuitive API everywhere in your application;
  * cover common use cases with batteries-included;
  * be simple to install and manage;
  * be fast and robust enough to use in production; and
  * minimize dependencies and complexity.
 .
 This package contains the documentation.

Package: python-djangorestframework-doc
Description-md5: 4dea31ff21fc8a5257161af02b37128b
Description-en: Web APIs for Django, made easy (documentation)
 powerful and flexible toolkit that makes it easy to build Web APIs.
 Some reasons you might want to use REST framework:
  * The Web browseable API is a huge useability win for your developers.
  * Authentication policies including OAuth1a and OAuth2 out of the box.
  * Serialization that supports both ORM and non-ORM data sources.
  * Customizable all the way down - just use regular function-based views if you
    don't need the more powerful features.
 .
 This package contains the HTML documentation.

Package: python-djorm-ext-pgarray
Description-md5: 2a34643747ab0d77d42de76fdec35061
Description-en: PostgreSQL native array fields extension for Django
 This pgarray djorm extension provides a Django extension to allow
 it to make use of  the PostgreSQL array fields natively.

Package: python-djvu-doc
Description-md5: 425d3dfd19840443036753e98505212a
Description-en: Python support for the DjVu image format (documentation)
 python-djvulibre is a set of Python bindings for the DjVuLibre library,
 an open source implementation of DjVu. This image format is designed
 primarily for scanned documents, it includes advanced techniques like
 image layer separation and arithmetic coding compression.
 .
 This package contains technical documentation.

Package: python-dmidecode-data
Description-md5: 8077cbb51f01b7024b9f2f2a9d666d23
Description-en: Python extension module for dmidecode (debug) - Data
 DMI (the desktop management interface) provides a standardized description of
 a computer's hardware, including characteristics such as BIOS serial number
 and hardware connectors.
 .
 This package contains the common data files for dmidecode.

Package: python-dnspython
Description-md5: 5c7ff37ec0055b47241c383f2c0e3c03
Description-en: DNS toolkit for Python
 dnspython is a DNS toolkit for Python. It supports almost all record types. It
 can be used for queries, zone transfers, and dynamic updates. It supports TSIG
 authenticated messages and EDNS0.
 .
 dnspython provides both high and low level access to DNS. The high level
 classes perform queries for data of a given name, type, and class, and return
 an answer set. The low level classes allow direct manipulation of DNS zones,
 messages, names, and records.

Package: python-doc8-doc
Description-md5: a70ab6997ee4cac17475daf6d7a024b4
Description-en: style checker for Sphinx (or other) RST documentation - doc
 Doc8 is an opinionated style checker for rst (with basic support for plain
 text) styles of documentation.
 .
 This package contains the documentation.

Package: python-docopt
Description-md5: 220b0deeb742606edfd06e02c27558f6
Description-en: command-line interface description language
 docopt helps you define an interface for your command-line app and
 automatically generate a parser for it. Its interface descriptions are
 based on a formalization of the standard conventions used in help
 messages and man pages.

Package: python-docutils
Description-md5: 7cda5b7ae916f50f0ce0455dd4abdc2f
Description-en: text processing system for reStructuredText (implemented in Python 2)
 reStructuredText is an easy-to-read, what-you-see-is-what-you-get plaintext
 markup syntax and parser system. It is useful for in-line program documentation
 (such as Python docstrings), for quickly creating simple web pages, and for
 standalone documents.
 .
 The purpose of the Docutils project is to create a set of tools for
 processing reStructuredText documentation into useful formats, such as HTML,
 LaTeX, ODT or Unix manpages.
 .
 This package includes Python 2 modules and command line utilities.

Package: python-doit-doc
Description-md5: f5a04fd9c281ffd9a933ac504d3d4cae
Description-en: Automation tool for executing any kind of task in a build-tools fashion - doc
 doit is an automation tool that brings the power of build-tools to execute any
 kind of task.
 .
 A task describes some computation to be done (actions), and contains some
 extra meta-data.
 The actions can be external programs or Python functions. A single task may
 define more than one action.
 doit uses the task’s meta-data to:
  * cache task results
  * correct execution order
  * parallel execution
  * powerful dependency system
 .
 This package contains API documentation and examples.

Package: python-dracclient-doc
Description-md5: d9cbe836bafdc7369dec2076184d1d42
Description-en: Library for managing machines with Dell iDRAC cards - doc
 This package is a library for managing machines with Dell iDRAC cards. Besides
 normal IPMI stuff, it has BIOS settings list, set, RAID management, CPU list,
 and more.
 .
 This package contains the documentation.

Package: python-drizzle-doc
Description-md5: 3c110b47e3e3368fbff093fdfa4e132a
Description-en: Dithered image combination for Python (API documentation)
 The drizzle library is a Python package for combining dithered images
 into a single image. This library is derived from code used in
 drizzlepac. Like drizzlepac, most of the code is implemented in the C
 language. The biggest change from drizzlepac is that this code passes
 an array that maps the input to output image into the C code, while
 the drizzlepac code computes the mapping by using a Python
 callback. Switching to using an array allowed the code to be greatly
 simplified.
 .
 This package contains the API documentation.

Package: python-drizzle-testdata
Description-md5: 84c1e740cf54086ef87d64b82bb1eea5
Description-en: Dithered image combination for Python (Test data)
 The drizzle library is a Python package for combining dithered images
 into a single image. This library is derived from code used in
 drizzlepac. Like drizzlepac, most of the code is implemented in the C
 language. The biggest change from drizzlepac is that this code passes
 an array that maps the input to output image into the C code, while
 the drizzlepac code computes the mapping by using a Python
 callback. Switching to using an array allowed the code to be greatly
 simplified.
 .
 This package contains the data required for the unit tests.

Package: python-dtcwt-doc
Description-md5: 1acdff6c54e8b82aacb1a018de5747d0
Description-en: documentation for dtcwt
 The dtcwt library provides a Python implementation of the 1, 2 and 3-D
 dual-tree complex wavelet transform along with some associated algorithms. It
 contains a pure CPU implementation which makes use of NumPy along with an
 accelerated GPU implementation using OpenCL.
 .
 This package provides the documentation.

Package: python-dtfabric
Description-md5: 48edd968c501b3989cfc377b173ec668
Description-en: Tooling for data type and structure management - Python 2.x
 Data types fabric (dtFabric) is a proof-of-concept YAML-based
 definition language to specify format and data types.
 .
 Supported data types
 .
  Storage data types, such as integers, characters, structures
  Semantic data types, such as constants, enumerations
  Layout data types, such as format, vectors, trees
 .
 This package contains the Python 2 version of the package.

Package: python-dugong-doc
Description-md5: 2898f406a4c5c9eaa0a11d985cf19edf
Description-en: HTTP 1.1 client module for Python (documentation)
 The Python Dugong module provides an API for communicating with HTTP 1.1
 servers. It is an alternative to the standard library's http.client (formerly
 httplib) module.
 .
 This package provides the documentation.

Package: python-ecryptfs
Description-md5: f98947163c22f56c9635a6f26a74977e
Description-en: ecryptfs cryptographic filesystem (python)
 eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem
 for Linux.
 .
 This package contains the python module.

Package: python-elasticsearch-doc
Description-md5: 33f03e12c0d9f4389933d9f454147b44
Description-en: Python client for Elasticsearch (Documentation)
 Official low-level client for Elasticsearch. Its goal is to provide common
 ground for all Elasticsearch-related code in Python; because of this it tries
 to be opinion-free and very extendable.
 .
 The client's features include:
  * translating basic Python data types to and from json (datetimes are not
    decoded for performance reasons)
  * configurable automatic discovery of cluster nodes
  * persistent connections
  * load balancing (with pluggable selection strategy) across all available
    nodes
  * failed connection penalization (time based - failed connections won't be
    retried until a timeout is reached)
  * thread safety
  * pluggable architecture
 .
 This package contains the documentation.

Package: python-empy-doc
Description-md5: fb3087257f33ef017dad1cbb438ef7b8
Description-en: documentation for python-empy
 EmPy is a system for embedding Python expressions and statements
 in template text; it takes an EmPy source file, processes it, and
 produces output.
 .
 This package provides HTML documentation for EmPy.

Package: python-entrypoints
Description-md5: c5ff6c39c90477726eec10ab13843d14
Description-en: Discover and load entry points from installed packages (Python 2)
 This module contains functions to find and load entry points
 in installed packages.
 .
 This package installs the library for Python 2.

Package: python-enum34
Description-md5: 7a84e62443614d77de4e8b7525f7a612
Description-en: backport of Python 3.4's enum package
 PEP 435 adds an enumeration to Python 3.4.  This module provides a
 backport of that data type for older Python versions.  It defines two
 enumeration classes that can be used to define unit sets of names and
 values: Enum and IntEnum.
 .
 This is the Python 2 compatible package.

Package: python-enum34-doc
Description-md5: 7155bd2a302e135d0adbb2262e1b5e08
Description-en: backport of Python 3.4's enum package (documentation)
 PEP 435 adds an enumeration to Python 3.4.  This module provides a
 backport of that data type for older Python versions.  It defines two
 enumeration classes that can be used to define unit sets of names and
 values: Enum and IntEnum.
 .
 This is the common documentation package.

Package: python-epr-doc
Description-md5: d114a2586bf82683a0d8094e6d432a0d
Description-en: Python ENVISAT Product Reader API (common documentation)
 PyEPR provides Python bindings for the ENVISAT Product Reader C API
 (EPR API) for reading satellite data from ENVISAT ESA (European Space
 Agency) mission.
 .
 PyEPR, as well as the EPR API for C, supports ENVISAT MERIS, AATSR
 Level 1B and Level 2 and also ASAR data products. It provides access
 to the data either on a geophysical (decoded, ready-to-use pixel
 samples) or on a raw data layer. The raw data access makes it possible
 to read any data field contained in a product file.
 .
 This is the common documentation package.

Package: python-escript-doc
Description-md5: 7645a03858c4d43340e93081911830c8
Description-en: Documentation for Escript/Finley
 Escript is a programming tool for implementing mathematical models in Python
 using the finite element method (FEM). Because users do not access the data
 structures it is very easy to use and scripts can run on desktop computers as
 well as highly parallel supercomputer without changes. Application areas for
 escript include earth mantle convection, geophysical inversion, earthquakes,
 porous media flow, reactive transport, plate subduction, erosion, and
 tsunamis.
 .
 Scripts can be executed in parallel (on varying numbers of threads or nodes)
 without any special modifications.
 .
 Escript now includes the esys.downunder module for 3D inversion of geophysical
 data sets. The current version supports gravity, magnetic and joint inversion.
 .
 This package contains the documentation for escript,
 including example scripts the user guide, the cookbook (an introduction from
 a geoscientists point of view) and a guide to the esys.downunder inversion
 toolkit.

Package: python-etcd3gw-doc
Description-md5: 4a3610bc746b44c3a55137936a0797d0
Description-en: client for etcd3 grpc-gateway v3 API - doc
 A python client for etcd3 grpc-gateway v3alpha API.
 .
 This package contains the documentation.

Package: python-evdev-doc
Description-md5: c113f7e96d91538bf8450538b7ef6a26
Description-en: Python bindings for the Linux input subsystem (docs)
 This package provides bindings to the generic input event interface
 in Linux. The evdev interface serves the purpose of passing events
 generated in the kernel directly to userspace through character
 devices that are typically located in /dev/input/.
 .
 This package also comes with bindings to uinput, the userspace input
 subsystem. Uinput allows userspace programs to create and handle
 input devices that can inject events directly into the input
 subsystem.
 .
 This is the documentation package.

Package: python-ewmh-doc
Description-md5: c7aed564e980965dc60b2036ad4a5fa3
Description-en: Python interface to EWMH-compliant window managers (common documentation)
 python-ewmh is a 100% pure Python implementation of the EWMH (Extended
 Window Manager Hints) protocol, which can be used to query and control
 EWMH-compliant window managers.
 .
 This is the common documentation package.

Package: python-fabio-doc
Description-md5: 1ea50c435a2d5eb25b8fda86f8ddad0c
Description-en: I/O library for images produced by 2D X-ray detector - documentation
 FabIO is an I/O library for images produced by 2D X-ray detectors and
 written in Python. FabIO support images detectors from a dozen of
 companies (including Mar, Dectris, ADSC, Hamamatsu, Oxford, ...), for
 a total of 20 different file formats (like CBF, EDF, TIFF, ...) and
 offers an unified interface to their headers (as a Python dictionary)
 and datasets (as a numpy ndarray of integers or floats)
 .
 This is the common documentation package.

Package: python-factory-boy-doc
Description-md5: 1e87634cfa5418961a22f59a814c5cc7
Description-en: test fixtures replacement based on factory_girl for Ruby - doc
 factory_boy is a fixtures replacement based on thoughtbot's factory_girl. Like
 factory_girl it has a straightforward definition syntax, support for multiple
 build strategies (saved instances, unsaved instances, attribute dicts, and
 stubbed objects), and support for multiple factories for the same class,
 including factory  inheritance.
 .
 Django support is included, and support for other ORMs can be easily added.
 .
 This package provides the documentation.

Package: python-fann2
Description-md5: 8bcae9f5dd22a09a9f477c3ad61680bb
Description-en: Python bindings for FANN
 Fast Artificial Neural Network Library is a free open source neural network
 library, which implements multilayer artificial neural networks in C with
 support for both fully connected and sparsely connected networks.
 .
 This package contains the Python bindings for FANN.

Package: python-fastchunking-doc
Description-md5: 6db9e3bf237a10334c568656eefdc51a
Description-en: Fast text chunking algorithms for Python (common documentation)
 This package contains a Python library that contains efficient and easy-to-use
 implementations of string chunking algorithms.
 .
 This is the common documentation package.

Package: python-fdb-doc
Description-md5: d1b684f93775cf3ebe1390405aa05f43
Description-en: Python DB-API driver for Firebird documentation
 FDB is a Python library package that implements Python Database API
 2.0-compliant support for the open source relational database Firebird®.
 In addition to the minimal feature set of the standard Python DB API,
 FDB also exposes nearly the entire native client API of the database
 engine.
 .
 This package contains the HTML documentation.

Package: python-fedora-doc
Description-md5: 6a37e58fce9ae47739a1095c168dbac3
Description-en: Python modules for interacting with Fedora Services (common documentation)
 The python-fedora module provides a Python API for connecting to web
 services provided by the fedora infrastructure.
 .
 Specifically, this package provides clients for the Fedora Account
 System, for the Fedora Package Database, for the Fedora Build System
 (bodhi), and for the Fedora wiki, as well as a more generic client for
 the other Fedora web services.
 .
 This is the common documentation package.

Package: python-feed
Description-md5: bfa049dd07d8363392a84b39b089425b
Description-en: set of Python modules for working with syndication feeds (Python 2)
 PyFeed is a set of module packages for Python, that contain tools for working
 with syndication feeds. The provided modules are:
  * feed.date.tools, to work with Python time float values.
  * feed.date.rfc3339, for converting timestamp strings in RFC 3339 format
  (used by Atom) to Python time float values, and vice versa.
  * feed.date.rfc822, for converting timestamp strings in extended RFC 822
  format (used by RSS 2.0) to Python time float values, and vice versa.
  * feed.atom, to work with an Atom syndication feed.
  * feed.rss, to work with an RSS 2.0 syndication feed.
  * feed.opml1 and feed.opml, to work with OPML data 1.0 and 2.0.
  * feed.tools, useful to generate a syndication feed.
 .
 This package provides Python 2 modules only.

Package: python-fisx-common
Description-md5: c7ebc8110fd2143ba8b5240ed59e58cb
Description-en: Quantitative X-Ray Fluorescence Analysis Support Library - (Common)
 This software library implements formulas to calculate, given an
 experimental setup, the expected x-ray fluorescence intensities. The
 library accounts for secondary and tertiary excitation, K, L and M
 shell emission lines and de-excitation cascade effects. The basic
 implementation is written in C++ and a Python binding is provided.
 .
 This is the common files of the package.

Package: python-fitbit-doc
Description-md5: c48de13d816cb9358f967f6fe27bdef0
Description-en: FitBit REST API Client Implementation - Documentation
 A Python module containing an implementation of a client for the FitBit
 REST API. It uses OAuth for authentication, it supports both US and SI
 units.
 .
 This package contains the documentation.

Package: python-flaky
Description-md5: f02362426a713a3d885ae3118f8526fc
Description-en: Plugin for nose or py.test that automatically reruns flaky tests (Python 2)
 Flaky is a plugin for nose or py.test that automatically reruns flaky tests.
 .
 Ideally, tests reliably pass or fail, but sometimes test fixtures must rely on
 components that aren't 100% reliable. With flaky, instead of removing those
 tests or marking them to @skip, they can be automatically retried.
 .
 This package contains the Python 2 version of flaky.

Package: python-flask-api-common
Description-md5: bfc49ae9c9afe7ec42ffe1db280fe59e
Description-en: Browsable web APIs for the Flask micro web framework - static resources
 Flask API is an implementation of the same web browsable APIs that the
 Django REST framework provides. It gives you properly content
 negotiated responses and smart request parsing.
 .
 This package contains the static resources for Flask API

Package: python-flask-autoindex-doc
Description-md5: 315659de0d2626bde93c795c8d042f3c
Description-en: automatically generated index pages for Flask applications (documentation)
 Flask-AutoIndex is a Flask extension that generates index pages for
 directories automatically. The result is similar to the pages generated by
 Apache's mod_autoindex.
 .
 This package contains the documentation.

Package: python-flask-babel-doc
Description-md5: d9cc4438593ba6eb884d0cb9d8c360cd
Description-en: internationalization and localization support for Flask (documentation)
 Flask-Babel is an extension to Flask that adds internationalization and
 localization support. It has a friendly interface to gettext translations and
 supports date formatting with timezones.
 .
 This package contains the documentation.

Package: python-flask-bcrypt-doc
Description-md5: 8273d7e6156a233a9a9e8e7d215afa08
Description-en: Flask extension that provides bcrypt hashing utilities (doc)
 Due to the recent increased prevelance of powerful hardware,
 such as modern GPUs, hashes have become increasingly easy to crack.
 A proactive solution to this is to use a hash that was designed to be
 "de-optimized". Bcrypt is such a hashing facility; unlike hashing algorithms
 such as MD5 and SHA1, which are optimized for speed, bcrypt is intentionally
 structured to be slow.
 .
 This package contains the HTML documentation.

Package: python-flask-doc
Description-md5: ffb117c9bc710c2c0dc04e3abcabeb7b
Description-en: micro web framework based on Werkzeug and Jinja2 - documentation
 Flask is a micro web framework for Python based on Werkzeug, Jinja 2 and good
 intentions. A minimal Flask application looks like that:
 .
   from flask import Flask
   app = Flask(__name__)
 .
   @app.route("/")
   def hello():
       return "Hello World!"
 .
   if __name__ == '__main__':
       app.run()
 .
 This package contains the documentation for Flask.

Package: python-flask-flatpages-doc
Description-md5: 22b7daaca8d57778b07eae8eecfe8d5b
Description-en: Provides flat static pages to a Flask application (doc)
 Flask-FlatPages provides flat static pages to a Flask application,
 based on text files as opposed to a relational database.
 .
 This is the documentation package

Package: python-flask-httpauth-doc
Description-md5: 29cb24e65579f6cc7717c553c30d3b6b
Description-en: Basic and Digest HTTP authentication for Flask (documentation)
 Flask-HTTPAuth is a simple extension that provides Basic and Digest HTTP
 authentication for Flask routes.
 .
 This is the common documentation package.

Package: python-flask-login-doc
Description-md5: 7f3f19c34dba187185664cb10386d779
Description-en: user session management for Flask -- documentation
 Flask-Login provides user session management for Flask. It handles the
 common tasks of logging in, logging out, and remembering your users'
 sessions over extended periods of time.
 .
 This package provides the documentation.

Package: python-flask-openid-doc
Description-md5: 3bfa81416c75d66890e830fede4d6526
Description-en: OpenID support for Flask applications (documentation)
 Flask-OpenID is an extension to Flask to add OpenID based authentication. It
 supports OpenID 2.x and has basic support for the Simple Registration (SReg)
 and Attribute Exchange (AX) extensions.
 .
 This package contains the documentation.

Package: python-flask-peewee-doc
Description-md5: 5d4025f57a9bbaa826cb30dd37ac0f77
Description-en: Documentation for python-flask-peewee
 flask-peewee provides a layer of integration between the flask web
 framework and the peewee ORM.
 .
 This package contains HTML documentation for provided module.

Package: python-flask-restful-doc
Description-md5: dbc5f23371a47a0ddb59a3cd8d27f2f7
Description-en: REST API framework for Flask applications (Documentation)
 Flask-RESTful is an extension for Flask that adds support for quickly building
 REST APIs. It is a lightweight abstraction that works with your existing
 ORM/libraries. Flask-RESTful encourages best practices with minimal setup.
 If you are familiar with Flask, Flask-RESTful should be easy to pick up.
 .
 This package provides the documentation.

Package: python-flask-silk-doc
Description-md5: dea2d94b51e21278adfc7da0baa11ddb
Description-en: FamFamFam Silk icon set for Flask applications (documentation)
 Flask-Silk is a Flask extension that provides the icons from the FamFamFam
 Silk icon set for Flask applications, blueprints and other extensions. It also
 allows one to serve custom icons replacing or extending those from the
 FamFamFam Silk icon set.
 .
 This package contains the documentation.

Package: python-flask-testing-doc
Description-md5: 5556d0c13db2c61baa21137b79953788
Description-en: documentation for the Flask-Testing Python library - documentation
 Flask-Testing is an extension for the Flask micro web framework that provides
 unit testing helpers for Flask-based web applications.
 .
 This package contains the sphinx-generated API documentation

Package: python-flaskext.wtf-doc
Description-md5: c55f12301f397f240b44c1d3616aa523
Description-en: Simple integration of Flask and WTForms (doc)
 Documentation for Flask-WTF: an extension for Flask, providing a
 simple integration of WTForms. It includes optional CSRF handling
 and Recaptcha support.

Package: python-flexmock
Description-md5: 5b0ee4ca13f8449cffa3106e4558d295
Description-en: Mock/Stub/Spy library for Python
 flexmock is a testing library for Python that makes it easy to create mocks,
 stubs and fakes.
 .
 The API is inspired by a Ruby library of the same name, but Python flexmock is
 not a clone of the Ruby version. It omits a number of redundancies in the Ruby
 flexmock API, alters some defaults, and introduces a number of Python-only
 features.
 .
 flexmock's design focuses on simplicity and intuitiveness. This means that the
 API is as lean as possible, though a few convenient short-hand methods are
 provided to aid brevity and readability.
 .
 flexmock declarations are structured to read more like English sentences than
 API calls, and it is possible to chain them together in any order to achieve
 high degree of expressiveness in a single line of code.
 .
 This package contains the Python 2.x version of flexmock.

Package: python-flufl.bounce-doc
Description-md5: c3600513e960c9772dd65bbde7ff178f
Description-en: email bounce detectors (common documentation)
 This library provides a set of heuristics and an API for detecting the
 original bouncing email addresses from a bounce message.  Many formats found
 in the wild are supported, as are VERP and RFC 3464 (DSN).
 .
 This is the common documentation package.

Package: python-flufl.enum-doc
Description-md5: 2d841bbfa8583ffc530895806128148f
Description-en: yet another Python enumeration package (common documentation)
 This package provides a Python enumeration, with an emphasis on simple,
 specific, concise semantics in an easy to read and write syntax.
 ``flufl.enum`` has just enough of the features needed to make enumerations
 useful, but without a lot of extra baggage to weigh them down.
 .
 This is the common documentation package.

Package: python-flufl.i18n-doc
Description-md5: d424e8676c6c9f59d8cc68e410ccfdb2
Description-en: high level API for Python internationalization (common documentation)
 This package provides a high level, convenient API for managing
 internationalization translation contexts in Python application.  There is a
 simple API for single-context applications, such as command line scripts which
 only need to translate into one language during the entire course of their
 execution.  There is a more flexible, but still convenient API for
 multi-context applications, such as servers, which may need to switch language
 contexts for different tasks.
 .
 This is the common documentation package.

Package: python-flufl.lock-doc
Description-md5: 42f5b33d7d2e2077aee7579444a77219
Description-en: NFS-safe file-based lock with timeouts (common documentation)
 This package provides an NFS-safe file-based locking algorithm influenced
 by the GNU/Linux open(2) manpage, under the description of the O_EXCL option.
 .
 This is the documentation package.

Package: python-flufl.password-doc
Description-md5: c230de6596e653817fe68e27ef152477
Description-en: password hashing and verification (common documentation)
 This package provides utilities for hashing and verification of passwords, as
 well as generating user-friendly passwords.
 .
 This is the common documentation package.

Package: python-fluids-doc
Description-md5: d12d4f5e2b599da3078b59feadcc18cb
Description-en: Python fluid dynamics and engineering design library documentation
 Fluids is open-source software for engineers and technicians working in
 the fields of chemical, mechanical, or civil engineering. It includes
 modules for piping, fittings, pumps, tanks, compressible flow,
 open-channel flow, and more.
 .
 The fluids library depends on the SciPy library to provide numerical
 constants, interpolation, integration, and numerical solving functionality.
 .
 This package contains the documentation for fluids.

Package: python-fontparts-doc
Description-md5: 8687f501ca6fbe49ae8b99808ad4d2ca
Description-en: API for interacting with the parts of fonts (common documentation)
 FontParts is a Python API for programmatically creating and editing parts of
 fonts during the type design process, and it is application-independent to
 allow scripts to be portable across multiple applications.
 .
 This is the common documentation package.

Package: python-fonttools-doc
Description-md5: c034b60f39b2cb2d4d14bf355cb9f952
Description-en: Converts OpenType and TrueType fonts to and from XML (Documentation)
 FontTools/TTX is a library to manipulate font files from Python.
 It supports reading and writing of TrueType/OpenType fonts, reading
 and writing of AFM files, reading (and partially writing) of PS Type 1
 fonts.  It also contains a tool called "TTX" which converts
 TrueType/OpenType fonts to and from an XML-based format.
 .
 This is the sphinx documentation of the fontTools package.

Package: python-formencode
Description-md5: 5fda6fb818ab110ebf2b57b353ad965d
Description-en: validation and form generation Python package
 FormEncode is a validation and form generation package. The validation can
 be used separately from the form generation. The validation works on compound
 data structures, with all parts being nestable. It is separate from HTTP or
 any other input mechanism.
 .
 This package contains the Python 2 version of FormEncode.

Package: python-fpylll-doc
Description-md5: 2a66cf315a34da85de03aea9a908c794
Description-en: Python wrapper for LLL-reduction of Euclidean lattices -- doc
 fpylll is a Python library for performing lattice reduction on lattices over
 the Integers; it is based on the fpLLL C++ library.
 .
 This is the common documentation package with examples.

Package: python-freezerclient-doc
Description-md5: be6702e855ae5c45820577d0e39a5ed8
Description-en: OpenStack backup restore and disaster recovery service - doc files
 Freezer is a distributed backup restore and disaster recovery as a service
 platform. It is designed to be multi OS (Linux, Windows, OSX, *BSD), focused
 on providing efficiency and flexibility for block based backups, file based
 incremental backups, point-in-time actions, jobs synchronization (i.e.
 backup synchronization over multiple nodes) and many other features.
 It is aimed at being useful for all environments, including large
 ephemeral Clouds.
 .
 This package contains the documentation.

Package: python-fsspec-doc
Description-md5: 4b0d558eb965b603cad5cbeccb51927f
Description-en: specification that Python filesystems should adhere to (documentation)
 The package produce a template or specification for a file-system interface,
 that specific implementations should follow, so that applications making use
 of them can rely on a common behaviour and not have to worry about the
 specific internal implementation decisions with any given backend.

Package: python-fudge-doc
Description-md5: 1080b9f780e7fc6353821715d25b830a
Description-en: Python module for using fake objects for tests, documentation package
 Fudge is a Python module for using fake objects (mocks and stubs) to
 test real ones.
 .
 In readable Python code, you declare what methods are available on
 your fake and how they should be called. Then you inject that into
 your application and start testing. This declarative approach means
 you don’t have to record and playback actions and you don’t have to
 inspect your fakes after running code. If the fake object was used
 incorrectly then you’ll see an informative exception message with a
 traceback that points to the culprit.
 .
 Fudge was inspired by Mocha which is a simpler version of jMock. But
 unlike Mocha, Fudge does not automatically hijack real objects; you
 explicitly patch them in your test. And unlike jMock, Fudge is only
 as strict about expectations as you want it to be. If the type of
 arguments sent to the fake method aren’t important then you don’t
 have to declare an expectation for them.
 .
 This is the documentation of python-fudge.

Package: python-funcsigs
Description-md5: e244a4b84e053b6fa424f5aa2d85e805
Description-en: function signatures from PEP362 - Python 2.7
 funcsigs is a backport of the PEP 362 function signature features from Python
 3.3's inspect module. The backport is compatible with Python 2.6, 2.7 as well
 as 3.2 and up.
 .
 This package contains the Python 2.7 module.

Package: python-funcsigs-doc
Description-md5: 623a50b4d4214cc6b737376e622a1148
Description-en: function signatures from PEP362 - doc
 funcsigs is a backport of the PEP 362 function signature features from Python
 3.3's inspect module. The backport is compatible with Python 2.6, 2.7 as well
 as 3.2 and up.
 .
 This package contains the documentation.

Package: python-gabbi-doc
Description-md5: b233d93e3d90756691ae42db084c3e6d
Description-en: declarative HTTP testing library - doc
 Gabbi is a tool for running HTTP tests where requests and responses are
 represented in a declarative form.
 .
 Gabbi works to bridge the gap between human readable YAML files that represent
 HTTP requests and expected responses and the obscured realm of Python-based,
 object-oriented unit tests in the style of the unittest module and its
 derivatives.
 .
 Each YAML file represents an ordered list of HTTP requests along with the
 expected responses. This allows a single file to represent a process in the
 API being tested. For example:
 .
  * Create a resource.
  * Retrieve a resource.
  * Delete a resource.
  * Retrieve a resource again to confirm it is gone.
 .
 At the same time it is still possible to ask gabbi to run just one request.
 If it is in a sequence of tests, those tests prior to it in the YAML file will
 be run (in order). In any single process any test will only be run once.
 Concurrency is handled such that one file runs in one process.
 .
 These features mean that it is possible to create tests that are useful for
 both humans (as tools for improving and developing APIs) and automated CI
 systems.
 .
 This package contains the documentation.

Package: python-gbulb-doc
Description-md5: 1b4d6a295d23e7afd6f8602585debfdc
Description-en: PEP 3156 event loop based on GLib (common documentation)
 Gbulb is a Python library that implements a PEP 3156 interface for the GLib
 main event loop under UNIX-like systems. As much as possible, except where
 noted, it mimics asyncio's interface.
 .
 This is the common documentation package.

Package: python-gccjit-doc
Description-md5: 0322cade645d2d2c6e1ee1763a3c38c2
Description-en: Python bindings for libgccjit (documentation)
 Documentation, tutorials and examples for libgccjit.

Package: python-gd
Description-md5: 9ab06ea795223a3f0a04afacf41c8885
Description-en: Python module wrapper for libgd
 Provides PNG, JPEG, GIF and XPM creation and manipulation routines
 through the libgd library.
 .
 Also allows use of TrueType fonts in images created.

Package: python-gd-dbg
Description-md5: eb3f9b89eae5f2055c9b1d5943a0d56a
Description-en: Python module wrapper for libgd (debug extension)
 Provides PNG, JPEG, and XPM creation and manipulation routines
 through the libgd library.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-gdbm
Description-md5: d1645f84d0f5f9d6735f3b25de502972
Description-en: GNU dbm database support for Python2
 GNU dbm database module for Python2. Install this if you want to
 create or read GNU dbm database files with Python2.

Package: python-gdbm-dbg
Description-md5: a5a332803d133017dfaf8a7c7f8c495f
Description-en: GNU dbm database support for Python2 (debug extension)
 GNU dbm database module for Python2. Install this if you want to
 create or read GNU dbm database files with Python2.
 .
 This package contains the extensions built for the Python2 debug interpreter.

Package: python-genshi
Description-md5: 75400fac3911110cc5c147797412a313
Description-en: Python XML-based template engine - Python 2.x
 Genshi  is  a Python  library  that  provides  an integrated  set  of
 components  for  parsing,  generating,  and processing  HTML  or  XML
 content  in  a uniform  manner.   The  major  feature is  a  template
 language, which is heavily inspired by Kid.
 .
 This is the Python 2 version.

Package: python-genty
Description-md5: 6ab603599eafd585c4eb7597f212b333
Description-en: Allows you to run a test with multiple data sets (Python 2)
 Genty, pronounced “gen-tee”, stands for “generate tests”. It is a Python
 library for generative testing, where a single test can execute over a variety
 of input.
 .
 This package contains the Python 2 version of genty.

Package: python-getdns-doc
Description-md5: 57f77cf7a7d116cb5a545e713d04b5cf
Description-en: modern asynchronous DNS API (documentation)
 getdns is a modern asynchronous DNS API.  It implements DNS entry
 points from a design developed and vetted by application developers,
 in an API specification edited by Paul Hoffman.  With the development
 of this API, we intend to offer application developers a modernized
 and flexible way to access DNS security (DNSSEC) and other powerful
 new DNS features; a particular hope is to inspire application
 developers towards innovative security solutions in their
 applications.
 .
 This package contains documentation for the python bindings.

Package: python-gevent-doc
Description-md5: 2f6fe5f40177f771d33e8780ad6dd898
Description-en: gevent is a coroutine-based Python networking library - documentation
 gevent uses greenlet to provide a high-level synchronous API on top of
 libevent event loop.
 .
 This is the documentation for gevent.

Package: python-gi
Description-md5: 8d2225b2f817f42645ac3c6bd82fee0f
Description-en: Python 2.x bindings for gobject-introspection libraries
 GObject is an abstraction layer that allows programming with an object
 paradigm that is compatible with many languages. It is a part of Glib,
 the core library used to build GTK+ and GNOME.
 .
 This package contains the Python 2.x binding generator for libraries that
 support gobject-introspection, i. e. which ship a gir1.2-<name>-<version>
 package. With these packages, the libraries can be used from Python.

Package: python-gi-cairo
Description-md5: e531132cde7475ea3077c4d44b37a39d
Description-en: Python Cairo bindings for the GObject library
 GObject is an abstraction layer that allows programming with an object
 paradigm that is compatible with many languages. It is a part of Glib,
 the core library used to build GTK+ and GNOME.
 .
 This package contains the Python Cairo bindings for GObject. It is mostly
 used by other bindings to map their GObjects to Python objects.

Package: python-gi-dbg
Description-md5: cc6cbe7a432fd5c4af8daff83f2f824f
Description-en: Python bindings for the GObject library (debug extension)
 GObject is an abstraction layer that allows programming with an object
 paradigm that is compatible with many languages. It is a part of Glib,
 the core library used to build GTK+ and GNOME.
 .
 This package contains the Python bindings for GObject. It is mostly
 used by other bindings to map their GObjects to Python objects.
 .
 This package contains the extensions built for the Python debug interpreter.

Package: python-gi-dev
Description-md5: f5f0bb4fb02f0013d4124b7ce2dabd49
Description-en: development headers for GObject Python bindings
 GObject is an abstraction layer that allows programming with an object
 paradigm that is compatible with many languages. It is a part of Glib,
 the core library used to build GTK+ and GNOME.
 .
 This package contains the development headers needed to build C extensions
 which use pygobject.

Package: python-git-doc
Description-md5: 127dbe391fabdb1bf62efaa8f77bdc29
Description-en: Python library to interact with Git repositories - docs
 python-git provides object model access to a Git repository, so Python can be
 used to manipulate it. Repository objects can be opened or created, which can
 then be traversed to find parent commit(s), trees, blobs, etc.
 .
 This package provides the documentation.

Package: python-gitlab-doc
Description-md5: ed3ab7d3fbe8c757e731bf3dd5c4a95d
Description-en: Python 3 GitLab API client library - documentation
 Provides access to the GitLab v4 server API.
 This package contains the library documentation.

Package: python-glareclient-doc
Description-md5: 2b7a7a4f7c94a30adb0473c9b1141ebb
Description-en: client library for the OpenStack GLare Artifact REpository API - doc
 Glare (from GLare Artifact REpository) is a service that provides access to a
 unified catalog of structured meta-information as well as related binary data
 (these structures are also called 'artifacts').
 .
 This package contains the documentation.

Package: python-gmpy2-common
Description-md5: 80c96a338c0a217084fa90fb5f3fb930
Description-en: common files for python3-gmpy2
 gmpy is a C-coded Python extension module that wraps the GMP library to
 provide to Python code fast multiprecision arithmetic (integer, rational, and
 float), random number generation, advanced number-theoretical functions, and
 more.
 .
 This package installs common files for python3-gmpy2.

Package: python-gmpy2-doc
Description-md5: 717ad6385f92f777c153e826fcd02326
Description-en: documentation for python-gmpy2
 gmpy is a C-coded Python extension module that wraps the GMP library to
 provide to Python code fast multiprecision arithmetic (integer, rational, and
 float), random number generation, advanced number-theoretical functions, and
 more.
 .
 This package installs additional documentation for python3-gmpy2.

Package: python-gnatpython
Description-md5: c63759438bacea6440d8bf3350c3c7ab
Description-en: Python framework to ease development of test suites
 GNATPython is a Python framework to ease development of test suites
 and build scripts in a portable way. It is developed by a team of
 AdaCore members.

Package: python-gnatpython-doc
Description-md5: 606531ec98e684cdeb3f4ab6b911db20
Description-en: Python framework to ease development of test suites (examples)
 GNATPython is a Python framework to ease development of test suites
 and build scripts in a portable way. It is developed by a team of
 AdaCore members.
 .
 This package contains examples of GNATPython use.

Package: python-gobject
Description-md5: 0972cedec40e0869495e1025aa320af1
Description-en: Python 2.x bindings for GObject - transitional package
 This package will bring the two versions of GObject Python modules: the
 deprecated gobject module, and the new gobject-introspection system. It
 is here for upgrade purposes only. You can remove it safely when
 nothing else depends on it.

Package: python-gobject-2
Description-md5: 6ad84ad46d02113ec220659817f2466c
Description-en: deprecated static Python bindings for the GObject library
 GObject is an abstraction layer that allows programming with an object
 paradigm that is compatible with many languages. It is a part of Glib,
 the core library used to build GTK+ and GNOME.
 .
 This package contains the static Python bindings for gobject, glib, and gio.
 These are deprecated by dynamic GObject-Introspection bindings (which are
 provided by the python-gi package), and should not be used in newly
 written code. These static bindings just exist to provide backwards
 compatibility for GNOME 2 based software.

Package: python-gobject-2-dbg
Description-md5: e50fbb113324ac0a167a0c00d682a692
Description-en: deprecated static Python bindings for the GObject library (debug extension)
 GObject is an abstraction layer that allows programming with an object
 paradigm that is compatible with many languages. It is a part of Glib,
 the core library used to build GTK+ and GNOME.
 .
 This package contains the static Python bindings for gobject, glib, and gio.
 These are deprecated by dynamic GObject-Introspection bindings (which are
 provided by the python-gi package), and should not be used in newly
 written code. These static bindings just exist to provide backwards
 compatibility for GNOME 2 based software.
 .
 This package contains the extensions built for the Python debug interpreter.

Package: python-gobject-2-dev
Description-md5: f0991cdd0f21d64f8c4986cc37609727
Description-en: development headers for the static GObject Python bindings
 GObject is an abstraction layer that allows programming with an object
 paradigm that is compatible with many languages. It is a part of Glib,
 the core library used to build GTK+ and GNOME.
 .
 This package contains the development headers needed to build
 static Python bindings relying on pygobject. Note that this is obsolete, new
 libraries and applications should use the dynamic gobject-introspection
 bindings (which are provided by the python-gi package).

Package: python-gphoto2-doc
Description-md5: 5a1f7ca3aeefc894ee33e8c134c9757c
Description-en: Python interface to libgphoto2 (common documentation)
 Python-gphoto2 is a comprehensive Python interface (or binding) to
 libgphoto2. It is built using SWIG to automatically generate the
 interface code. This gives direct access to nearly all the libgphoto2
 functions, but sometimes in a rather un-Pythonic manner.
 .
 This is the common documentation package.

Package: python-gpyfft-doc
Description-md5: dcc5ffd9ad6b39a2c7d701b8af6ce9c1
Description-en: Wrapper for the OpenCL FFT library clFFT (common documentation)
 This python wrapper is designed to tightly integrate with
 PyOpenCL. It consists of a low-level Cython based wrapper with an
 interface similar to the underlying C library. On top of that it
 offers a high-level interface designed to work on data contained in
 instances of pyopencl.array.Array, a numpy work-alike array class for
 GPU computations. The high-level interface takes some inspiration
 from pyFFTW. For details of the high-level interface see fft.py.
 .
 This is the common documentation package.

Package: python-greenlet
Description-md5: b225620244dd2583ddd491a478322fb8
Description-en: Lightweight in-process concurrent programming
 The greenlet package is a spin-off of Stackless, a version of CPython that
 supports micro-threads called "tasklets". Tasklets run pseudo-concurrently
 (typically in a single or a few OS-level threads) and are synchronized with
 data exchanges on "channels".
 .
 greenlet is the standalone package derived from the py lib, and is used by
 several non-blocking IO packages as a more flexible alternative to Python's
 built in coroutines.

Package: python-greenlet-dbg
Description-md5: 61fac8d92d90d8b78c7a0d99db0dc978
Description-en: Lightweight in-process concurrent programming - debugging symbols
 The greenlet package is a spin-off of Stackless, a version of CPython that
 supports micro-threads called "tasklets". Tasklets run pseudo-concurrently
 (typically in a single or a few OS-level threads) and are synchronized with
 data exchanges on "channels".
 .
 greenlet is the standalone package derived from the py lib, and is used by
 several non-blocking IO packages as a more flexible alternative to Python's
 built in coroutines.
 .
 This is the debugging symbols for greenlet.

Package: python-greenlet-dev
Description-md5: 732237700918b05962305d8ea440ee79
Description-en: Lightweight in-process concurrent programming - development files
 The greenlet package is a spin-off of Stackless, a version of CPython that
 supports micro-threads called "tasklets". Tasklets run pseudo-concurrently
 (typically in a single or a few OS-level threads) and are synchronized with
 data exchanges on "channels".
 .
 greenlet is the standalone package derived from the py lib, and is used by
 several non-blocking IO packages as a more flexible alternative to Python's
 built in coroutines.
 .
 This is the development package for greenlet.

Package: python-grib-doc
Description-md5: 427d303403088d5fe588a1e8ec21b4b3
Description-en: Documentation and examples for Python GRIB
 Python module for reading and writing GRIB (editions 1 and 2) files.
 GRIB is the World Meterological Organization standard for
 distributing gridded data. The module is a Python interface
 to the GRIB API C library from the
 European Centre for Medium-Range Weather Forecasts (ECMWF).

Package: python-gtkspellcheck-doc
Description-md5: c1420990a106008752e7863007868510
Description-en: Python GTK+ Spellcheck common documentation
 It supports both GTK+'s Python bindings, PyGObject and PyGtk, and for both
 Python 2 and 3 with automatic switching and binding autodetection. For
 automatic translation of the user interface it can use GEdit's translation
 files.
 .
 This is the common documentation package.

Package: python-guidata-doc
Description-md5: b9e69d7a530126b7b990caa250a52c9a
Description-en: dataset manipulation GUI generator - Documentation
 Based on the Qt Python binding module PyQt4, guidata is a Python
 library generating graphical user interfaces for easy dataset editing
 and display. It also provides helpers and application development
 tools for PyQt4.
 .
 This is the common documentation package.

Package: python-guiqwt-doc
Description-md5: 5d964c3d267af2992ef5cf59b4d29ef9
Description-en: efficient 2D data-plotting library - Documentation
 The guiqwt Python library provides efficient 2D data-plotting
 features (curve/image visualization and related tools) for
 signal/image processing application development and interactive
 computing. It's based on the scientific modules NumPy and SciPy, and
 the PyQwt plotting widgets for PyQt4 graphical user interfaces.
 .
 This is the documentation of the package.

Package: python-gwcs-doc
Description-md5: 1c0a35bbb4ec5034b5723027291cb469
Description-en: Tools for managing the WCS of astronomical data (documentation)
 GWCS takes a general approach to WCS. It supports a data model which includes
 the entire transformation pipeline from input coordinates (detector by
 default) to world coordinates.  The goal is to provide a flexible toolkit
 which is easily extendible by adding new transforms and frames.
 .
 This is the common documentation package.

Package: python-h5py-doc
Description-md5: 1662e5d2c9d77e3f74e46e64439c1344
Description-en: documentation for h5py
 HDF5 for Python (h5py) is a general-purpose Python interface to the
 Hierarchical Data Format library, version 5. HDF5 is a versatile, mature
 scientific software library designed for the fast, flexible storage of
 enormous amounts of data.
 .
 From a Python programmer's perspective, HDF5 provides a robust way to
 store data, organized by name in a tree-like fashion. You can create
 datasets (arrays on disk) hundreds of gigabytes in size, and perform
 random-access I/O on desired sections. Datasets are organized in a
 filesystem-like hierarchy using containers called "groups", and accessed
 using the tradional POSIX /path/to/resource syntax.
 .
 H5py provides a simple, robust read/write interface to HDF5 data from
 Python. Existing Python and Numpy concepts are used for the interface;
 for example, datasets on disk are represented by a proxy class that
 supports slicing, and has dtype and shape attributes. HDF5 groups are
 presented using a dictionary metaphor, indexed by name.
 .
 This package provides the documentation.

Package: python-hacking-doc
Description-md5: 0c2add9e058039c50ce39021c2d7f381
Description-en: Flake8 OpenStack Hacking Guidelines Enforcement plugins - doc
 Hacking is a set of flake8 plugins that test and enforce the OpenStack Style
 Commandments. It checks that your code matches the coding style which is
 mandatory for contributing to OpenStack.
 .
 This package provides the documentation.

Package: python-hdf5storage-doc
Description-md5: e11ff9e60fcefdcf0258a5071c73d035
Description-en: documentation for hdf5storage
 This package provides high level utilities to read/write a variety of Python
 types to/from HDF5 (Heirarchal Data Format) formatted files. This package also
 provides support for MATLAB MAT v7.3 formatted files, which are just HDF5 files
 with a different extension and some extra meta-data.
 .
 This package provides the documentation for hdf5storage.

Package: python-hiera-doc
Description-md5: 2150e6ada6e2eaa7c475ae37ff5f5c56
Description-en: Documentation of the Python interface for the hiera hierarchical database
 This package contains the Sphinx based HTML documentation for the libraries
 python-hiera (Python2) and python3-hiera (Python3).
 .
 The documentation is build as a single HTML file which can be found as
 /usr/share/doc/python-hiera-doc/html/index.html.

Package: python-hiro-doc
Description-md5: cc852e7c8ec1d1111bfc5647dcadf5c5
Description-en: time manipulation utilities for Python - documentation
 The hiro module provides a context-manager which hijacks a few commonly used
 time function to manipulate time in its context. It allows you to rewind,
 forward, freeze, unfreeze, and scale time according to given settings.
 .
 Most notably, the builtin functions time.sleep, time.time, time.gmtime,
 datetime.now, datetime.utcnow and datetime.today behave according the
 configuration of the context.
 .
 This package provides the documentation of the hiro module.

Package: python-hpilo-doc
Description-md5: f39fe6a4d5693de37827707342a62e6f
Description-en: HP iLO XML interface access from Python - Documentation
 This module will make it easy for you to access the Integrated Lights Out
 management interface of your HP hardware. It supports RILOE II, iLO, iLO 2, iLO
 3 and iLO 4. It uses the XML interface or hponcfg to access and change the iLO.
 .
 This package contains the documentation for hpilo Python module.

Package: python-hplefthandclient-doc
Description-md5: 43107e28e7b7aadd805851f45b3b0e1f
Description-en: HP LeftHand/StoreVirtual HTTP REST Client - doc
 This is a Client library that can talk to the HP LeftHand/StoreVirtual Storage
 array. The HP LeftHand storage array has a REST web service interface.
 .
 This client library implements a simple interface to talk with that REST
 interface using the Python httplib2 http library.
 .
 This package contains the documentation.

Package: python-html5lib
Description-md5: aa0184e4904f4854bde5e4b948016111
Description-en: HTML parser/tokenizer based on the WHATWG HTML5 specification
 html5lib is a pure-python library for parsing HTML. It is designed to
 conform to the HTML 5 specification, which has formalized the error handling
 algorithms of popular web browsers.
 .
 This package contains the Python 2 version of html5lib.

Package: python-htmltmpl
Description-md5: 7113cf2bbcd52d68294ee83873753905
Description-en: Templating engine for separation of code and HTML
 The purpose of the templating engine is to provide web application
 developers, who need to separate program code and design (HTML code)
 of their web application projects, with a templating tool that can be
 easily used by cooperating webdesigners who have no programming
 skills.
 .
 Templating language provided by the engine is inspired by Perl
 templating module HTML::Template. Templates created for HTML::Template
 can be used with this engine in case they do not violate character case
 rules of htmltmpl.
 .
 The engine is currently available for Python and PHP. The Python
 package includes easydoc, a module which uses the templating engine to
 generate HTML documentation from docstrings embedded in source files
 of Python modules.

Package: python-httplib2
Description-md5: 098dea719923e25f61c28f474109dc1f
Description-en: comprehensive HTTP client library written for Python
 httplib2.py supports many features left out of other HTTP libraries.
  * HTTP and HTTPS
  * Keep-Alive
  * Authentication
  * Caching
  * All Methods
  * Redirects
  * Compression
  * Lost update support
  * Unit Tested
 .
 This package provides module for python2 series.

Package: python-hyperlink
Description-md5: f3d8d07ab8699ac5ff538228a3ef0b90
Description-en: Immutable, Pythonic, correct URLs.
 Hyperlink provides a pure-Python implementation of immutable URLs. Based on
 RFC 3986 and 3987, the Hyperlink URL makes working with both URIs and IRIs
 easy.
 .
 This package provides the Python 2.x module.

Package: python-hypothesis
Description-md5: 95d91265d685fe4133e5a913cd552606
Description-en: advanced Quickcheck style testing library for Python 2
 Hypothesis is a library for testing your Python code against a much
 larger range of examples than you would ever want to write by
 hand. It's based on the Haskell library, Quickcheck, and is designed
 to integrate seamlessly into your existing Python unit testing work
 flow.
 .
 Hypothesis is both extremely practical and also advances the state of
 the art of unit testing by some way. It's easy to use, stable, and
 extremely powerful. If you're not using Hypothesis to test your
 project then you're missing out.
 .
 This package contains the Python 2 module.

Package: python-hypothesis-doc
Description-md5: 54899cf5f7c557cff40372a060077026
Description-en: advanced Quickcheck style testing library (documentation)
 Hypothesis is a library for testing your Python code against a much
 larger range of examples than you would ever want to write by
 hand. It's based on the Haskell library, Quickcheck, and is designed
 to integrate seamlessly into your existing Python unit testing work
 flow.
 .
 Hypothesis is both extremely practical and also advances the state of
 the art of unit testing by some way. It's easy to use, stable, and
 extremely powerful. If you're not using Hypothesis to test your
 project then you're missing out.
 .
 This package contains the documentation for Hypothesis.

Package: python-icalendar-doc
Description-md5: c0b3413e9f0f7f0d92c4ed7b5835555c
Description-en: parser/generator of iCalendar files for use with Python -- docs
 The icalendar package is a parser/generator of iCalendar files for use with
 Python.
 .
 This package contains documentation for the Python module

Package: python-idna
Description-md5: db5abd2a9342b08589e3c78136607b3a
Description-en: Python IDNA2008 (RFC 5891) handling (Python 2)
 A library to support the Internationalised Domain Names in Applications (IDNA)
 protocol as specified in RFC 5891. This version of the protocol is often
 referred to as “IDNA2008” and can produce different results from the earlier
 standard from 2003.
 .
 The library is also intended to act as a suitable drop-in replacement for the
 “encodings.idna” module that comes with the Python standard library but
 currently only supports the older 2003 specification.
 .
 This package contains the module for Python 2.

Package: python-ieee1284
Description-md5: 1230b23677d819592c3827bc77670401
Description-en: Python bindings to libieee1284
 This module offers bindings to libieee1284, a cross-platform library for
 parallel port access. The library runs on Linux, BSD, Windows, Solaris.

Package: python-ilorest-doc
Description-md5: 9ff95f673d184dd57acdc1a8c880bf2b
Description-en: Documentation of RESTful API for HPE iLO and HPE Chassis Manager
 This package contains the Sphinx based documentation for the library
 python-ilorest.
 .
 It contains also the examples from upstream that explain the usage of the
 library with dedicated examples.

Package: python-imageio-doc
Description-md5: 3f0c16a793af1fb513fb079df17a4061
Description-en: documentation for imageio
 Imageio is a Python library that provides an easy interface to read and write
 a wide range of image data, including animated images, video, volumetric data,
 and scientific formats.
 .
 This package provides the documentation.

Package: python-imagesize
Description-md5: f1f4f9bbbd6be2fc33483b154c11bd2e
Description-en: Python 2 module for getting image size from png/jpeg/jpeg2000/gif file
 This small module parses image header and returns width and height of the
 image. Supported formats are: PNG, JPEG, JPEG2000, GIF.
 .
 This is the Python 2 version of the module.

Package: python-imaging-doc-html
Description-md5: 70b81770873f5e5065c68a538be3ad2f
Description-en: Documentation for the Python Imaging Library.
 The Python Imaging Library (PIL) adds an image object to your
 Python interpreter. You can load image objects from a variety of file
 formats, and apply a rich set of image operations to them.
 .
 This package contains the PIL handbook in HTML format.

Package: python-imaging-doc-pdf
Description-md5: f440c6fc10731347f2ff73e544c8749b
Description-en: Documentation for the Python Imaging Library.
 The Python Imaging Library (PIL) adds an image object to your
 Python interpreter. You can load image objects from a variety of file
 formats, and apply a rich set of image operations to them.
 .
 This package contains the PIL handbook in PDF format.

Package: python-imaplib2
Description-md5: 883144501918f370208416f21842193c
Description-en: Threaded Python IMAP4 client
 Python IMAP4 rev1 mail protocol client class using threads for parallel
 operation, allowing full use of the IMAP4 concurrency features and to
 decouple a user of imaplib from i/o lags, except where explicitly allowed.

Package: python-imexam-doc
Description-md5: bac506c86741da2285a0f3c45ec1c5d8
Description-en: Simple interactive astronomical image examination and plotting (Doc)
 Imexam is an affiliated package of AstroPy. It was designed to be a
 lightweight library which enables users to explore data using common
 methods which are consistent across viewers. It can be used from a
 command line interface, through a Jupyter notebook or through a
 Jupyter console. It can be used with multiple viewers, such as DS9 or
 Ginga, or without a viewer as a simple library to make plots and grab
 quick photometry information.
 .
 This package contains the API documentation.

Package: python-importlib-metadata
Description-md5: 74861d91706252fafc9c0b33b3acb534
Description-en: library to access the metadata for a Python package - Python 2.7
 Provides an API for accessing an installed package’s metadata, such as its
 entry points or its top-level name. This functionality intends to replace
 most uses of pkg_resources entry point API and metadata API.
 .
 This package contains Python 2.7 module.

Package: python-intbitset-doc
Description-md5: 00eafec37c4c2e7b66ba3f2a585e9888
Description-en: unordered sets with ultra fast operations (documentation)
 The ``intbitset`` library provides a set implementation to store sorted
 unsigned integers either 32-bits integers (between ``0`` and
 ``2**31 - 1`` or ``intbitset.__maxelem__``) or an infinite range
 with fast set operations implemented via bit vectors in a *Python C
 extension* for speed and reduced memory usage.
 .
 This package contains the intbitset documentation.

Package: python-ipaddress
Description-md5: bf21dde226280fd492d7380eb91edd7b
Description-en: Backport of Python 3 ipaddress module (Python 2)
 This module is a backport of the stdlib "ipaddress" module from Python 3. The
 "ipaddress" module is in turn based off of the "ipaddr" module available in
 the "python-ipaddr" but there are some important API differences; make sure
 you are using the right module.
 .
 This package contains the Python 2 module.

Package: python-iptables-doc
Description-md5: 3f5bd74f6dff472a81b73e655a9f63f5
Description-en: documentation for the python-iptables library
 python-iptables provides a pythonesque wrapper via Python bindings to iptables
 under Linux. Interoperability with iptables is achieved by using the iptables
 C libraries (libiptc, libxtables, and the iptables extensions), instead of
 calling the iptables binary and parsing its output.
 It is meant primarily for dynamic and/or complex routers and firewalls, where
 rules are often updated or changed, or Python programs wish to interface with
 the Linux iptables framework...
 .
 This package provides documentation for python-iptables

Package: python-iptcdata
Description-md5: 18253681ede3be6052ba83e23cb22402
Description-en: Python bindings for the iptcdata library
 libiptcdata is a library, for manipulating the International Press
 Telecommunications Council (IPTC) metadata stored within multimedia
 files such as images. The library provides routines for parsing,
 viewing, modifying, and saving this metadata.
 .
 This package contains the python bindings for libiptcdata.

Package: python-ipython-doc
Description-md5: 35fe46090474b1ed75e6d8627c67beaa
Description-en: Enhanced interactive Python shell (documentation)
 IPython can be used as a replacement for the standard Python shell,
 or it can be used as a complete working environment for scientific
 computing (like Matlab or Mathematica) when paired with the standard
 Python scientific and numerical tools. It supports dynamic object
 introspections, numbered input/output prompts, a macro system,
 session logging, session restoring, complete system shell access,
 verbose and colored traceback reports, auto-parentheses, auto-quoting,
 and is embeddable in other Python programs.
 .
 This package contains the documentation.

Package: python-ipython-genutils
Description-md5: f99ca97bd94d7cd980cbec88f8f7c547
Description-en: IPython vestigial utilities for Python 2
 Contains some utilities shared by the IPython and Jupyter projects.
 .
 No new code should be written against those utilities.
 .
 This package installs the library for Python 2.

Package: python-ipywidgets-doc
Description-md5: 6a7a7eee3bb71f2c291de0dfc4185188
Description-en: Interactive widgets for the Jupyter notebook (documentation)
 Notebooks come alive when interactive widgets are used. Learning becomes an
 immersive and fun experience. Researchers can easily see how changing inputs
 to a model impact the results.
 .
 This package installs documentation.
 .
 NOTE: currently this package is empty because upstream does not have a good
 build-process to generate the documentation; see:
 .
  * https://github.com/jupyter-widgets/ipywidgets/issues/1273
  * https://github.com/jupyter-widgets/jupyter-sphinx/issues/4

Package: python-ironicclient-doc
Description-md5: f8239ba8110e10dc62062ce58df525a4
Description-en: Client for OpenStack bare metal Service - doc
 Ironic provision bare metal machines instead of virtual machines. It is a fork
 of the Nova Baremetal driver. It is best thought of as a bare metal hypervisor
 API and a set of plugins which interact with the bare metal hypervisors. By
 default, it will use PXE and IPMI in concert to provision and turn on/off
 machines, but Ironic also supports vendor-specific plugins which may
 implement
 additional functionality.
 .
 This is a client for the OpenStack Ironic API. There's a Python API
 (the "ironicclient" module), and a command-line script ("ironic").
 .
 Installing this package gets you a shell command, that you can use to
 interact with Ironic's API.
 .
 This package provides the documentation.

Package: python-is-python2
Description-md5: f0a343f0ee0a82a99cb8e625a2a9d50d
Description-en: symlinks /usr/bin/python to the DEPRECATED python2
 In Ubuntu, all python packages use explicit python3 or python2
 interpreter and do not use unversioned /usr/bin/python at all. Some
 third-party code may still be python2 based, yet may use
 /usr/bin/python.
 .
 This is a convenience package which ships a symlink to point
 /usr/bin/python interpreter at the current default python2. It may
 improve compatibility with obsolete 3rd-party software, whilst
 breaking some modern software.
 .
 This package will be installed upon upgrades to Ubuntu 20.04, if
 DEPRECATED python2 was installed.
 .
 python2 is DEPRECATED and will not be provided in the future Ubuntu
 release. It is recommended to remove python2 and this package after
 ensuring that only python3 is in use.
 .
 No packages may declare dependencies on this package.

Package: python-iscpy
Description-md5: 17679b4047d6aac8e658d05dd783eb45
Description-en: Python library to parse ISC style config files
 ISCpy is an ISC config file parser. It has several possibilities
 for depth and quantity of ISC config files. ISC config files
 include BIND and DHCP config files among a few others.

Package: python-iso8601
Description-md5: 0b4ff63e94fa932994b4682486a9661e
Description-en: Python module to parse ISO 8601 dates - Python 2.x
 Many file formats and standards use the ISO 8601 date format
 (e.g. 2007-01-14T20:34:22+00:00) to store dates in a neutral,
 unambiguous manner. This simple Python module parses the most common
 forms encountered and returns Python datetime objects.
 .
 This package provides the Python 2.x module.

Package: python-itango-doc
Description-md5: 1592d4c53e850cdfcd2178ee9c9065b8
Description-en: Interactive Tango client - documentation
 ITango works like a normal python console, but it provides a nice set of
 features from IPython. It also adds set of PyTango specific features:
 .
 This package contains the documentation for the python-itango package.

Package: python-jellyfish-doc
Description-md5: 692defbb1bc3262fd1d346fed7a18508
Description-en: Library for approximate and phonetic matching of strings (documentation)
 Jellyfish is a Python library for doing approximate and phonetic
 matching of strings. Includes algorithms for string comparison
 (Levenshtein Distance, Damerau-Levenshtein Distance, Jaro Distance,
 Jaro-Winkler Distance, Match Rating Approach Comparison, Hamming
 Distance) and phonetic encoding (American Soundex, Metaphone, NYSIIS,
 Match Rating Codex).
 .
 This is the documentation package.

Package: python-jenkins-doc
Description-md5: 9f61aaa839e7922dca3a5136742be163
Description-en: bindings for the Jenkins Remote API - doc
 This package provides Python bindings for the Jenkins Remote
 API. It current supports management of:
 .
  * Project configuration
  * Build control
  * Slave node configuration
 .
 This package contains the documentation.

Package: python-jieba-doc
Description-md5: 9b71be0d2e0bc556b41ff448d0af92e3
Description-en: Jieba Chinese text segmenter (common documentation)
 "Jieba" (Chinese for "to stutter")is a high-accuracy Chinese text segmenteran
 based on HMM-model and Viterbi algorithm. It uses dynamic programming to find
 the most probable combination based on the word frequency.
 .
 This is the common documentation package.

Package: python-jinja2
Description-md5: 946c5e58f8736a9521b16a6d4c1157e7
Description-en: small but fast and easy to use stand-alone template engine
 Jinja2 is a template engine written in pure Python. It provides a Django
 inspired non-XML syntax but supports inline expressions and an optional
 sandboxed environment.
 .
 The key-features are:
  * Configurable syntax. If you are generating LaTeX or other formats with
    Jinja2 you can change the delimiters to something that integrates better
    into the LaTeX markup.
  * Fast. While performance is not the primarily target of Jinja2 it’s
    surprisingly fast. The overhead compared to regular Python code was reduced
    to the very minimum.
  * Easy to debug. Jinja2 integrates directly into the Python traceback system
    which allows you to debug Jinja2 templates with regular Python debugging
    helpers.
  * Secure. It’s possible to evaluate untrusted template code if the optional
    sandbox is enabled. This allows Jinja2 to be used as templating language
    for applications where users may modify the template design.

Package: python-jinja2-doc
Description-md5: e5fe357cd6b91f10c8ecccac668a8672
Description-en: documentation for the Jinja2 Python library
 Jinja2 is a small but fast and easy to use stand-alone template engine
 .
 This package contains the documentation for Jinja2 in HTML and
 reStructuredText formats.

Package: python-jira-doc
Description-md5: d2e63ae3ab2fc7877f7fd7f7c74f579f
Description-en: JIRA Python library (common documentation)
 This package contains a library that eases the use of the JIRA REST API
 from Python.
 .
 This is the common documentation package.

Package: python-josepy-doc
Description-md5: 4889791d1846e4c87b5b14b65ab2c157
Description-en: JOSE implementation for Python - Documentation
 This package is a Python implementation of the standards developed by
 IETF Javascript Object Signing and Encryption (Active WG), in
 particular the following RFCs:
 .
   - JSON Web Algorithms (JWA)
   - JSON Web Key (JWK)
   - JSON Web Signature (JWS)
 .
 This package was originally developed as part of the ACME protocol
 implementation.  This is the documentation for the library.

Package: python-jpylyzer-doc
Description-md5: 36c880b4d9aa34323ca1dcf2c445b654
Description-en: JP2 (JPEG 2000 Part 1) validator and properties extractor - doc
 Validator and feature extractor for JP2 (JPEG 2000 Part 1 - ISO/IEC 15444-1)
 images. Jpylyzer was specifically created to check that a JP2 file really
 conforms to the format's specifications. Additionally jpylyzer is able to
 extract the technical characteristics of each image.
 .
 This is the documentation package for jpylyzer

Package: python-jsonpickle-doc
Description-md5: fc10a25b15ff4977c2611b64a65983cf
Description-en: Python library for serializing object graphs into JSON (documentation)
 jsonpickle is a Python library for serialization and deserialization of
 complex Python objects to and from JSON. The standard Python libraries for
 encoding Python into JSON, such as the stdlib’s json, simplejson, and
 demjson,  can only handle Python primitives that have a direct JSON
 equivalent (e.g. dicts, lists, strings, ints, etc.). jsonpickle builds on
 top of these libraries and allows more complex data structures to be
 serialized to JSON. jsonpickle is highly configurable and
 extendable–allowing the user to choose the JSON backend  and add additional
 backends.
 .
 This is the documentation package.

Package: python-jsonrpc-doc
Description-md5: a5af49a4e83eb679e4f75efb0f122d79
Description-en: documentation for json-rpc
 JSON-RPC is a stateless, light-weight remote procedure call (RPC) protocol.
 Primarily this specification defines several data structures and the rules
 around their processing. It is transport agnostic in that the concepts can be
 used within the same process, over sockets, over http, or in many various
 message passing environments. It uses JSON (RFC 4627) as data format.
 .
 This package provides the documentation.

Package: python-jsonrpclib
Description-md5: df1059d39ea649b629d8d90d579fb364
Description-en: implementation of the JSON-RPC v2.0 specification
 This library implements the JSON-RPC 2.0 proposed specification in pure
 Python. It is designed to be as compatible with the syntax of xmlrpclib as
 possible (it extends where possible), so that projects using xmlrpclib could
 easily be modified to use JSON and experiment with the differences.
 .
 It is backwards-compatible with the 1.0 specification, and supports all of the
 new proposed features of 2.0, including:
  * Batch submission (via MultiCall)
  * Keyword arguments
  * Notifications (both in a batch and 'normal')
  * Class translation using the 'jsonclass' key.

Package: python-jujuclient
Description-md5: 060dc7fa3ccfc73c13c3135f6ec9b3c4
Description-en: Python API client for Juju (Python 2)
 A simple synchronous python client for the Juju websocket API.
 .
 This package installs the library for Python 2.

Package: python-jupyter-client-doc
Description-md5: 5058f6e40ef297e35759f74a7c03ec41
Description-en: Jupyter protocol client APIs (documentation)
 This software component contains the reference implementation
 of the Jupyter protocol. It also provides client and kernel
 management APIs to work with kernels, the "jupyter kernelspec"
 entry point to install kernelspecs for use with Jupyter frontends
 and the "jupyter run" command to execute a script on a jupyter kernel.
 .
 This package contains documentation.

Package: python-jupyter-console-doc
Description-md5: 1ceeadc77a86335c97e1ecdb4592c271
Description-en: Jupyter terminal client (documentation)
 Terminal-based console frontend for Jupyter kernels, like ipython but with
 support for non-python kernels.
 .
 This package installs documentation.

Package: python-jupyter-core-doc
Description-md5: 5c3f010fb196ad3138b05a8f93341f37
Description-en: Core common functionality of Jupyter projects (documentation)
 This software component contains the base framework (application
 classes and configurations) for the rest of the Jupyter projects ; it
 doesn't do much by itself.
 .
 This package contains documentation.

Package: python-k8sclient-doc
Description-md5: 6e75afedf0362460600daf710b76467a
Description-en: Kubernetes API Python client code - doc
 K8sclient is the Kubernetes API Python client code. This code is generated by
 swagger-codegen. Kubernetes provide swagger-spec to generate client code for
 different versions.
 .
 This package contains the documentation.

Package: python-kafka-doc
Description-md5: 5dedae74adb0fe6b63c0dbf9e8d67f39
Description-en: Pure Python client for Apache Kafka - doc
 This module provides low-level protocol support for Apache Kafka as well as
 high-level consumer and producer classes. Request batching is supported by the
 protocol as well as broker-aware request routing. Gzip and Snappy compression
 is also supported for message sets.
 .
 This package contains the documentation.

Package: python-kajiki-doc
Description-md5: 4f66235d8e9b315732fb369026065da8
Description-en: Really fast well-formed xml templates - doc
 Are you tired of the slow performance of Genshi? But you still long for the
 assurance that your output is well-formed that you miss from all those other
 templating engines? Do you wish you had Jinja's blocks with Genshi's syntax?
 Then look  no further, Kajiki is for you! Kajiki quickly compiles Genshi-like
 syntax to *real Python bytecode* that renders with blazing-fast speed! Don't
 delay! Pick up your copy of Kajiki today!
 .
 This package provides the documentation.

Package: python-karborclient-doc
Description-md5: e6fef46954e92343de86a18a08c23061
Description-en: karbor API client - doc
 Karbor mission statement is to protect the Data and Metadata that comprises an
 OpenStack-deployed Application against loss/damage (e.g. backup, replication)
 by providing a standard framework of APIs and services that allows vendors to
 provide plugins through a unified interface.
 .
 This package contains the documentation.

Package: python-keyring
Description-md5: 678ac479d27bfb1ec07f4d0f4dc1051e
Description-en: store and access your passwords safely
 The Python keyring library provides an easy way to access the system
 keyring service (e.g Gnome-Keyring, KWallet) from Python.
 It can be used in any application that needs safe password storage.

Package: python-kid
Description-md5: 3325355a2518ceb873df27ed62189e77
Description-en: simple Pythonic template language for XML based vocabularies
 Kid is a simple Pythonic template language for XML based vocabularies. It was
 spawned as a result of a kinky love triangle between XSLT, TAL, and PHP. We
 believe many of the best features of these languages live on in Kid with much
 of the limitations and complexity stamped out.

Package: python-kitchen-doc
Description-md5: 3c7fce93614eb0cb6e8a879b572b8b21
Description-en: Python library of containers, text and i18n helpers (common documentation)
 The kitchen Python package aims at gathering in one place all the snippets of
 code that the author originally carried around from project to project to ease
 the development.
 .
 A non-exhaustive list of the features provided by kitchen:
   * helpers for text and specifically unicode handling i18n support
   * a dictionary that treats unicode and str strings as different values
   * An helper function to change any non-list value into a single-element list
   * Tools to help deal with PEP386 version format
 .
 This is the common documentation package.

Package: python-kivy-examples
Description-md5: 2e6888c8293aead2fa45779d001faa1f
Description-en: Kivy - Multimedia / Multitouch framework in Python (examples)
 Kivy is an open source library for developing multi-touch applications. It is
 completely cross platform (Linux/OSX/Win/Android) and released under the terms
 of the MIT license.
 .
 It comes with native support for many multi-touch input devices, a growing
 library of multi-touch aware widgets, hardware accelerated OpenGL drawing, and
 an architecture that is designed to let you focus on building custom and highly
 interactive applications as quickly and easily as possible.
 .
 Kivy is a mixed Python library with Cython code, to take advantage of its
 highly dynamic nature and use any of the thousands of high quality and open
 source Python libraries out there, with the speed of C code.
 .
 This package includes all examples which can be found in the git repository.

Package: python-kmodpy
Description-md5: 45cdf5dd4430c4b5233869b474799534
Description-en: Python binding for kmod
 The libkmod2 is a library that provides an API for insertion, removal,
 configuration and listing of kernel modules.
 .
 kmodpy is a Python ctypes wrapper module for libkmod, exposing common module
 operations: listing installed modules, modprobe, modinfo, show_depends and
 rmmod.

Package: python-landslide
Description-md5: 370ceed228ba6440fba70fc83d5b23a3
Description-en: Lightweight markup language-based html5 slideshow generator (compatibility package)
 Landside is a tool which can generates an HTML5 slideshow using lightweight
 markup as input.
 .
 You can write your slide contents easily using two syntaxes:
  * Markdown
  * ReStructuredText
 .
 This tool support CSS/JS theming, PDF export (using PrinceXML Python library),
 embed images with Base64 (for stand-alone document) and fancy transitions.
 .
 Sample presentation is visible here : <http://slides.html5rocks.com/>.
 .
 This package no longer ships the original landslide tool, but a compatibility
 wrapper for darkslide. After you have updated your scripts to use darkslide, this
 package may be safely removed.

Package: python-lark-doc
Description-md5: 796739eaa5a8b17dbe15d00670fe36f9
Description-en: Parsing library for Python (common documentation)
 lark is a parsing library for Python which allows one to parse any
 context-free grammar. It has implemented the following parsing
 algorithms: Earley, LALR(1) and CYK.
 .
 This is the common documentation package.

Package: python-latexcodec-doc
Description-md5: 8351ba96ad86619ff4197726fee32316
Description-en: LaTeX lexer and codec library for Python (docs)
 latexcodec is a Python library for converting from and into
 LaTeX encoding. It includes a codec module which contains classes
 and functions for LaTeX code translation, and a module for lexing
 LaTeX code, which includes general purpose base classes for
 incremental LaTeX decoders and encoders.
 .
 This package contains the documentation.

Package: python-lazr.config-doc
Description-md5: 1535992d8d34b0509480389d10137d2f
Description-en: ini-file format handling supporting schemas and inheritance (documentation)
 The LAZR config system is typically used to manage process configuration.
 Process configuration is for saying how things change when we run systems on
 different machines, or under different circumstances.
 .
 This system uses ini-like file format of section, keys, and values.  The
 config file supports inheritance to minimize duplication of information across
 files. The format supports schema validation.
 .
 This is the common documentation package.

Package: python-lazr.delegates-doc
Description-md5: 216a6e7268fdb6c4e2dfd6949c073e8f
Description-en: easily write objects that delegate behavior (documentation)
 The ``lazr.delegates`` package makes it easy to write objects that delegate
 behavior to another object. The new object adds some property or behavior on
 to the other object, while still providing the underlying interface, and
 delegating behavior.
 .
 This is the common documentation package.

Package: python-lazr.smtptest-doc
Description-md5: 5ebeafce9495f9519e876e0fba41a095
Description-en: framework for testing SMTP-based applications and libraries
 This is LAZR smtptest, a framework for testing SMTP-based applications
 and libraries.  It provides a real, live SMTP server that you can send
 messages to, and from which you can read those test messages.  This
 can be used to ensure proper operation of your applications which send
 email.
 .
 This is the common documentation package.

Package: python-lazyarray
Description-md5: 7a295752428975a462dd8f5e8fd3bfce
Description-en: Python module providing a NumPy-compatible lazily-evaluated array
 The 'larray' class is a NumPy-compatible numerical array where operations on
 the array (potentially including array construction) are not performed
 immediately, but are delayed until evaluation is specifically requested.
 Evaluation of only parts of the array is also possible. Consequently,
 use of an 'larray' can potentially save considerable computation time
 and memory in cases where arrays are used conditionally, or only parts of an
 array are used (for example in distributed computation, in which each MPI node
 operates on a subset of the elements of the array).

Package: python-ldns
Description-md5: 63ed6f3f95827c1a697cdf11ba44db44
Description-en: Python bindings for the ldns library for DNS programming
 The goal of ldns is to simplify DNS programming, it supports recent RFCs
 like the DNSSEC documents, and allows developers to easily create software
 conforming to current RFCs, and experimental software for current Internet
 Drafts.
 .
 This archive contains modules that allow you to use LDNS Library in
 Python programs.

Package: python-leather-doc
Description-md5: 41e726908e54015016d48156e3efa7ac
Description-en: documentation for leather
 Leather is the Python charting library for those who need charts now and don’t
 care if they’re perfect.
 .
 Why leather?
 .
   - A readable and user-friendly API.
   - Optimized for exploratory charting.
   - Produces scale-independent SVG charts.
   - Completely type-agnostic. Chart your data, whatever it is.
   - Designed with iPython, Jupyter and atom/hydrogen in mind.
   - Pure Python. No C dependencies to compile.
   - MIT licensed and free for all purposes.
   - Zealously zen.
   - Made with love.
 .
 This package provides the documentation.

Package: python-libdiscid-doc
Description-md5: 89e3c28d4c94827603992827d34f6e83
Description-en: libdiscid binding for Python (documentation)
 libdiscid allows one to create MusicBrainz DiscIDs from audio CDs. It reads a
 CD's table of contents and generates and identifier which can be used to
 lookup the CD at MusicBrainz. python-libdiscid provides a binding to work with
 libdiscid from Python.
 .
 This package contains the documentation.

Package: python-libevdev-doc
Description-md5: dde0b2617dc4bd0a52753019556b9ecf
Description-en: Python wrapper for libevdev (common documentation)
 This is a Python wrapper around libevdev, a wrapper library for evdev
 devices. It provides a simpler API around evdev and takes advantage
 of libevdev’s advanced event handling, providing
 .
  * access to the state of the device (not just the events)
  * correct handling of fake multitouch devices
  * synchronisation of slots and per-slot state
  * transparent generation of missing tracking ids
  * per-context event handling
 .
 This is the common documentation package.

Package: python-liblo-docs
Description-md5: f4eeda2a635222017e0a036e3067b58b
Description-en: Python bindings for liblo, the lightweight OSC library
 pyliblo, provides Python bindings for liblo, which is an implementation
 of the Open Sound Control specification and facilitates communication
 between various music applications.
 .
 This is the common documentation package.

Package: python-libnmap-doc
Description-md5: f5602c009a7300b08e6a044f3aa1c90b
Description-en: Python NMAP Library (common documentation)
 This package contains a Python library enabling Python developers to
 manipulate nmap process and data:
    * automate or schedule nmap scans on a regular basis
    * manipulate nmap scans results to do reporting
    * compare and diff nmap scans to generate graphs
    * batch process scan reports
    * ...
 The lib currently offers the following modules:
    * process: enables you to launch nmap scans
    * parse: enables you to parse nmap reports or scan results (only XML so
      far) from a file, a string,…
    * report: enables you to manipulate a parsed scan result and de/serialize
      scan results in a json format
    * diff: enables you to see what changed between two scans
    * common: contains basic nmap objects like NmapHost and NmapService. It is
      to note that each object can be “diff()ed” with another similar object.
    * plugins: enables you to support datastores for your scan results directly
      in the “NmapReport” object. from report module:
        * mongodb: insert/get/getAll/delete
        * sqlalchemy: insert/get/getAll/delete
        * aws s3: insert/get/getAll/delete (not supported for Python3 since boto
          is not supporting py3)
 .
 This is the common documentation package.

Package: python-librdf
Description-md5: 8451327c9e6bf7796ce5f7549f1cfd37
Description-en: Python language bindings for the Redland RDF library
 This package contains the files needed to use the Redland Resource
 Description Framework (RDF) library in Python programs.

Package: python-libwfut-0.2
Description-md5: 144a21696630980635dbff86f11e4f71
Description-en: WorldForge Update Tool (Python bindings)
 WFUT is a content distribution system initially intended to provide media
 updates for WorldForge. It can download and update game data and artwork for
 WorldForge gaming clients.
 .
 This package contains the libwfut Python bindings.

Package: python-libxml2
Description-md5: e4228b117ba4c3ff09fba829bd0ef07d
Description-en: Python bindings for the GNOME XML library
 XML is a metalanguage to let you design your own markup language.
 A regular markup language defines a way to describe information in
 a certain class of documents (eg HTML). XML lets you define your
 own customized markup languages for many classes of document. It
 can do this because it's written in SGML, the international standard
 metalanguage for markup languages.
 .
 This package contains the files needed to use the GNOME XML library
 in Python programs.

Package: python-libxml2-dbg
Description-md5: 5d69439c02c8c4c06241f93466f5a75a
Description-en: Python bindings for the GNOME XML library (debug extension)
 XML is a metalanguage to let you design your own markup language.
 A regular markup language defines a way to describe information in
 a certain class of documents (eg HTML). XML lets you define your
 own customized markup languages for many classes of document. It
 can do this because it's written in SGML, the international standard
 metalanguage for markup languages.
 .
 This package contains the files needed to use the GNOME XML library
 in Python programs for use with the Python debug interpreter.

Package: python-linecache2
Description-md5: 0560a473fa04b36e63f6008ce27aa070
Description-en: backports of the linecache module - Python 2.7
 A backport of linecache to older supported Pythons. Typically, the user of
 this module would do "import linecache2 as linecache" and use the linecache
 module normally.
 .
 This package contains the Python 2.7 module.

Package: python-link-grammar-examples
Description-md5: b1d4d3d4ada755a6ccd670945edc105c
Description-en: CMU's link grammar parser (Python examples)
 In Sleator, D. and Temperley, D. "Parsing English with a Link Grammar"
 (1991), the authors defined a new formal grammatical system called a
 "link grammar". A sequence of words is in the language of a link
 grammar if there is a way to draw "links" between words in such a way
 that the local requirements of each word are satisfied, the links do
 not cross, and the words form a connected graph. The authors encoded
 English grammar into such a system, and wrote this program to parse
 English using this grammar.
 .
 link-grammar can be used for linguistic parsing for information
 retrieval or extraction from natural language documents. It can also be
 used as a grammar checker.
 .
 This package contains examples for using python-link-grammar or
 python3-link-grammar.

Package: python-livereload-doc
Description-md5: eeae60db5aa419376996904cfe661960
Description-en: automatic browser refresher (documentation)
 It is really boring for Web developers to need to refresh their browser
 every time they save a (CSS, JavaScript, or HTML) file. LiveReload will
 take care of that for you, so that when you save a file, your browser
 will refresh itself - and what's more, it can perform tasks such as
 compiling LESS to CSS before the browser reload.
 .
 This package contains API documentation and examples.

Package: python-lldb
Description-md5: b5a89043812161e5c4c0249bf6725d01
Description-en: transitional package to python3-lldb
 This is a transitional package. It can safely be removed.

Package: python-lldb-6.0
Description-md5: ed1e4284488e6c595a1f6ce741ffb7bf
Description-en: Next generation, high-performance debugger, python lib
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This binding package provides access to lldb.

Package: python-lldb-7
Description-md5: ed1e4284488e6c595a1f6ce741ffb7bf
Description-en: Next generation, high-performance debugger, python lib
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This binding package provides access to lldb.

Package: python-lldb-8
Description-md5: cd1489752e43e80e4f98da382f267030
Description-en: transitional package to python3-lldb-8
 This is a transitional package. It can safely be removed.

Package: python-llfuse-doc
Description-md5: bf45e9cac570c98e8ce402f4c2d89582
Description-en: Python bindings for the low-level FUSE API (documentation)
 Python-llfuse is a set of Python bindings for the low level FUSE API.
 This package provides the documentation for both the Python 2 and
 Python 3 extension (packages python-llfuse and python3-llfuse).
 .
 FUSE (Filesystem in USErspace) is a simple interface for userspace
 programs to export a virtual filesystem to the Linux kernel. FUSE
 also aims to provide a secure method for non privileged users to
 create and mount their own filesystem implementations.

Package: python-lmfit-doc
Description-md5: d2b76cd612dbe4e7b83254d034b16642
Description-en: Least-Squares Minimization with Constraints (Documentation)
 The lmfit Python package provides a simple, flexible interface to
 non-linear optimization or curve fitting problems. The package
 extends the optimization capabilities of scipy.optimize by replacing
 floating pointing values for the variables to be optimized with
 Parameter objects. These Parameters can be fixed or varied, have
 upper and/or lower bounds placed on its value, or written as an
 algebraic expression of other Parameters.
 .
 The principal advantage of using Parameters instead of simple
 variables is that the objective function does not have to be
 rewritten to reflect every change of what is varied in the fit, or
 what relationships or constraints are placed on the Parameters. This
 means a scientific programmer can write a general model that
 encapsulates the phenomenon to be optimized, and then allow user of
 that model to change what is varied and fixed, what range of values
 is acceptable for Parameters, and what constraints are placed on the
 model. The ease with which the model can be changed also allows one
 to easily test the significance of certain Parameters in a fitting
 model.
 .
 The lmfit package allows a choice of several optimization methods
 available from scipy.optimize. The default, and by far best tested
 optimization method used is the Levenberg-Marquardt algorithm from
 MINPACK-1 as implemented in scipy.optimize.leastsq. This method
 is by far the most tested and best support method in lmfit, and much
 of this document assumes this algorithm is used unless explicitly
 stated. An important point for many scientific analysis is that this
 is only method that automatically estimates uncertainties and
 correlations between fitted variables from the covariance matrix
 calculated during the fit.
 .
 A few other optimization routines are also supported, including
 Nelder-Mead simplex downhill, Powell's method, COBYLA, Sequential
 Least Squares methods as implemented in scipy.optimize.fmin, and
 several others from scipy.optimize. In their native form, some of
 these methods setting allow upper or lower bounds on parameter
 variables, or adding constraints on fitted variables. By using
 Parameter objects, lmfit allows bounds and constraints for all of
 these methods, and makes it easy to swap between methods without
 hanging the objective function or set of Parameters.
 .
 Finally, because the approach derived from MINPACK-1 usin the
 covariance matrix to determine uncertainties is sometimes questioned
 (and sometimes rightly so), lmfit supports methods to do a brute
 force search of the confidence intervals and correlations for sets of
 parameters.
 .
 This is the common documentation package.

Package: python-lockfile
Description-md5: 99918331539000a98062b881836b13d4
Description-en: file locking library for Python — Python 2 library
 The ‘lockfile’ library exports a ‘LockFile’ class which provides a
 simple API for locking files.
 .
 The appropriate implementation for ‘LockFile’ is chosen automatically
 based on the OS capabilities for an atomic filesystem operation.
 These implementations are also available for explicit use as
 ‘LinkLockFile’ and ‘MkdirLockFile’.
 .
 Other back ends are possible with the same semantics. Examples
 included are:
  * ‘SQLiteLockFile’, using records in an SQLite database.
  * ‘PIDLockFile’, using the semantics of a Unix PID file.
 .
 Unlike other Python locking libraries (the Windows ‘msvcrt.locking’
 function, the Unix ‘fcntl.flock’, ‘fcntl.lockf’, and the deprecated
 ‘posixfile’ module), the API is identical across both Unix (including
 GNU/Linux and MacOS) and Windows platforms.
 .
 This package installs the Python 2 library.

Package: python-lockfile-doc
Description-md5: 442087c592d1d0b74e6b16da3f21930e
Description-en: file locking library for Python — documentation
 The ‘lockfile’ library exports a ‘LockFile’ class which provides a
 simple API for locking files.
 .
 This package installs the API documentation, in plain text and
 rendered as HTML.

Package: python-logzero-doc
Description-md5: 88750d706ff1bf53f2d77af1a4160c6b
Description-en: robust and effective logging for Python (common documentation)
 logzero is a python library that provides effective and robust logging.
 Features:
   * Easy logging to console and/or (rotating) file.
   * Provides a fully configured standard.
   * Pretty formatting, including level-specific colors in the console.
   * Windows color output supported by colorama
   * Robust against str/bytes encoding problems, works with all kinds of
     character encodings and special characters.
   * Multiple loggers can write to the same logfile (also across multiple
     Python files).
 .
 This is the common documentation package.

Package: python-lxml
Description-md5: e8e2f787207511401ce91418255455e6
Description-en: pythonic binding for the libxml2 and libxslt libraries
 lxml is a new Python binding for libxml2 and libxslt, completely
 independent from existing Python bindings. Its aim:
 .
   * Pythonic API.
   * Documented.
   * Use Python unicode strings in API.
   * Safe (no segfaults).
   * No manual memory management!
 .
 lxml aims to provide a Pythonic API by following as much as possible
 the ElementTree API, trying to avoid inventing too many new APIs,
 or the user's having to learn new things -- XML is complicated enough.

Package: python-lxml-dbg
Description-md5: 1a963cdd371bc69a71681e560c497634
Description-en: pythonic binding for the libxml2 and libxslt libraries (debug extension)
 lxml is a new Python binding for libxml2 and libxslt, completely
 independent from existing Python bindings.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-lxml-doc
Description-md5: 86c3002728b00f49dc1d8a0e4e843699
Description-en: pythonic binding for the libxml2 and libxslt libraries (documentation)
 lxml is a new Python binding for libxml2 and libxslt, completely
 independent from these existing Python bindings.
 .
 This package contains the html documentation.

Package: python-ly-doc
Description-md5: d69d4be2e0b9c9a48c7f8e3f82441b4b
Description-en: Tool and library for manipulating LilyPond files (documentation)
 python-ly provides a Python library "ly" containing various Python modules
 to parse, manipulate or create documents in LilyPond format.
 A command line program "ly" is also provided that can be used to do various
 manipulations with LilyPond files.
 .
 The python-ly package is Free Software, licensed under the GPL. This package
 is written by the Frescobaldi developers and is used extensively by the
 Frescobaldi project. The main author is Wilbert Berendsen.
 .
 The LilyPond format is a plain text input format that is used by the
 GNU music typesetter LilyPond (www.lilypond.org).
 .
 This is the documentation package.

Package: python-m2r-doc
Description-md5: e43763baf9fb2360ac780ee2c6560c74
Description-en: Markdown and reStructuredText in a single file - documentation
 M2R converts a markdown file including reStructuredText (rst) markups
 to a valid rst format.
 .
 Use case is writing sphinx document in markdown,
 since it's widely used now and easy to write code blocks and lists.
 However, converters using pandoc or recommonmark
 do not support many rst markups and sphinx extensions.
 For example, rst's reference link like ``see `ref`_``
 (this is very convenient in long document
 in which same link appears multiple times)
 will be converted to a code block in HTML
 like `see <code>ref</code>_`,
 which is not expected.
 .
 This package provides documentation for the m2r module.

Package: python-macholib-doc
Description-md5: 4a93df4bb3ebe0d04e751660136f030f
Description-en: module for Mach-O header analysis and editing (API documentation)
 This module can be used to analyze and edit Mach-O headers, the executable
 format used by Mac OS X.
 It's typically used as a dependency analysis tool, and also to rewrite dylib
 references in Mach-O headers to be @executable_path relative.
 Though this tool targets a platform specific file format, it is pure Python
 code that is platform and endian independent.
 .
 This package provides the documentation.

Package: python-magic
Description-md5: 41d722658abf09c7e84323e9cf21538a
Description-en: python2 interface to the libmagic file type identification library
 python-magic is a Python interface to the libmagic file type
 identification library. libmagic identifies file types by checking
 their headers according to a predefined list of file types. This
 functionality is exposed to the command line by the Unix command file.
 .
 This package provides the Python 2 version of the python-magic module.

Package: python-mako
Description-md5: b107d73601aca3945d777218802bd901
Description-en: fast and lightweight templating for the Python platform
 Mako is a template library written in Python. It provides a familiar, non-XML
 syntax which compiles into Python modules for maximum performance. Mako's
 syntax and API borrows from the best ideas of many others, including Django
 templates, Cheetah, Myghty, and Genshi. Conceptually, Mako is an embedded
 Python (i.e. Python Server Page) language, which refines the familiar ideas of
 componentized layout and inheritance to produce one of the most
 straightforward and flexible models available, while also maintaining close
 ties to Python calling and scoping semantics.

Package: python-mando-doc
Description-md5: d182c35b213b9973b596221ec42d538f
Description-en: command line argument parser for python3 (common documentation)
 Mando attempts to simplify command line argument parsing
 with multiple commands by using decorators to infer the
 boilerplate for argparse directly from the function
 declarations.
 .
 This is the common documentation package.

Package: python-mandrill
Description-md5: ca3855cadb4a1b6eda6be24dbdf7d471
Description-en: CLI client and Python API library for Mandrill
 Mandrill is a Python API client and suite of CLI-based tools for the
 Mandrill email as a platform service.
 .
 The API client is comprehensive, but the CLI functionality is minimal
 at this time.

Package: python-markdown
Description-md5: fd9d47b116e035b10c880122ee7d95db
Description-en: text-to-HTML conversion library/tool (Python 2 version)
 Markdown is a text-to-HTML conversion tool for web writers. Markdown
 allows you to write using an easy-to-read, easy-to-write plain text
 format, then convert it to structurally valid XHTML (or HTML).
 .
 This is a Python implementation of John Gruber's Markdown. The current
 version of python-markdown implements all Markdown syntax features and
 fully passes Markdown Test Suite 1.0. It also supports footnotes and
 attributes.
 .
 This package contains all the files needed to use Markdown with Python
 2.x, and the /usr/bin/markdown_py command-line tool (provided for
 compatibility purposes).

Package: python-markdown-doc
Description-md5: 4adb2c8eac8dc457ac72e239e7d30ae0
Description-en: text-to-HTML conversion library/tool (documentation)
 Markdown is a text-to-HTML conversion tool for web writers. Markdown
 allows you to write using an easy-to-read, easy-to-write plain text
 format, then convert it to structurally valid XHTML (or HTML).
 .
 This is a Python implementation of John Gruber's Markdown. The current
 version of python-markdown implements all Markdown syntax features and
 fully passes Markdown Test Suite 1.0. It also supports footnotes and
 attributes.
 .
 This package contains HTML documentation files for python-markdown.

Package: python-markupsafe
Description-md5: 7244ad38edd185b1d33807088293138c
Description-en: HTML/XHTML/XML string library for Python
 MarkupSafe is a Python library implementing a unicode subclass that is
 aware of HTML escaping rules. It can be used to implement automatic
 string escaping.

Package: python-markupsafe-dbg
Description-md5: f00e70e66a61918b0a7bb5ee71d2ddc6
Description-en: HTML/XHTML/XML string library for Python - debug version
 MarkupSafe is a Python library implementing a unicode subclass that is
 aware of HTML escaping rules. It can be used to implement automatic
 string escaping.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-matplotlib-data
Description-md5: a392280ebaa89b347e0cd9caaa019b0c
Description-en: Python based plotting system (data package)
 Matplotlib is a pure Python plotting library designed to bring
 publication quality plotting to Python with a syntax familiar to
 Matlab users. All of the plotting commands in the pylab interface can
 be accessed either via a functional interface familiar to Matlab
 users or an object oriented interface familiar to Python users.
 .
 This package contains architecture independent data for python-matplotlib.

Package: python-matplotlib-doc
Description-md5: 31a271717e9e602afdf4f05257e04008
Description-en: Python based plotting system (documentation package)
 Matplotlib is a pure Python plotting library designed to bring
 publication quality plotting to Python with a syntax familiar to
 Matlab users. All of the plotting commands in the pylab interface can
 be accessed either via a functional interface familiar to Matlab
 users or an object oriented interface familiar to Python users.
 .
 This package contains documentation for python-matplotlib.

Package: python-measurement-doc
Description-md5: f590e5a2c444f651bdb3c34395e0f233
Description-en: unit-aware measurement objects (Documentation)
 Easily use and manipulate unit-aware measurement objects in Python.
 .
 django.contrib.gis.measure has these wonderful Distance objects that can be
 used not only for storing a unit-aware distance measurement, but also for
 converting between different units and adding/subtracting these objects from
 one another.
 .
 This module not only provides those Distance and Area measurement objects
 (courtesy of Django), but also other measurements including Weight, Volume, and
 Temperature.
 .
 This package contains the documentation.

Package: python-midiutil-doc
Description-md5: 0cbb8efa6a26841db4f7c99844e550ab
Description-en: Python library to write multi-track MIDI files - documentation
 MIDIUtil is a pure Python library that allows one to write multi-track Musical
 Instrument Digital Interface (MIDI) files from within Python programs. It is
 object-oriented and allows one to create and write these files with a minimum
 of fuss.
 .
 This package provides the HTML documentation of the library.

Package: python-milter-doc
Description-md5: 46c4d22eaefe30ac9f680a91421830a6
Description-en: Documentation for the Python Milter extension
 Python milter provides Python extensions for the Milter protocol traditionally
 used by Sendmail and now also used by Postfix. It provides a thin layer of
 Python over the Milter protocol.
 .
 This package provides HTML and LaTeX documentation for python3-milter.

Package: python-mistral-lib-doc
Description-md5: ce1011a1b9da665ac38688dac3183f74
Description-en: Mistral shared routings and utilities - doc
 This library contains data types, exceptions, functions and utilities common
 to Mistral, python-mistralclient and mistral-extra repositories.  This library
 also contains the public interfaces for 3rd party integration (e.g. Actions
 API, YAQL functions API, etc.)
 .
 If you want to use OpenStack in your custom actions or functions, you will
 also need to use http://git.openstack.org/cgit/openstack/mistral-extra.
 .
 This package contains the documentation.

Package: python-mock
Description-md5: 574e60a9a54d2e566a980b7be2daf1a8
Description-en: Mocking and Testing Library
 mock provides a core mock.Mock class that is intended to reduce the
 need to create a host of trivial stubs throughout your test suite.
 After performing an action, you can make assertions about which methods
 / attributes were used and arguments they were called with. You can
 also specify return values and set specific attributes in the normal
 way.

Package: python-mock-doc
Description-md5: ce49c633ce1424a156e31d54d2c8050a
Description-en: Mocking and Testing Library (Documentation)
 mock provides a core mock.Mock class that is intended to reduce the
 need to create a host of trivial stubs throughout your test suite.
 After performing an action, you can make assertions about which methods
 / attributes were used and arguments they were called with. You can
 also specify return values and set specific attributes in the normal
 way.
 .
 This package contains the documentation.

Package: python-mocker
Description-md5: b79f56672317b43fc987e587f54a368f
Description-en: Mocker object mocking framework
 Mocker provides an API for mocking Python objects in unit tests. Mocker
 provides graceful creation of test doubles (mocks, stubs, fakes, and dummies)
 for Python testing needs.

Package: python-mockldap-doc
Description-md5: e9ad9934fd2c83512632fac3d483b07b
Description-en: simple mock implementation of python-ldap (Documentation)
 This project provides a mock replacement for python-ldap. It’s useful for any
 project that would like to write unit tests against LDAP code without relying
 on a running LDAP server.
 .
 The goal of mockldap is to provide a mock instance of LDAPObject in response to
 any call to ldap.initialize. In the general case, you would register return
 values for all LDAPObject calls that you expect the code under test to make.
 Your assertions would then verify that the tested code behaved correctly given
 this set of return values from the LDAP APIs.
 .
 As a convenience, the mock LDAPObject isn’t just a dumb mock object. The
 typical way to use mockldap is to provide some static directory content and
 then let LDAPObject generate real return values. This will only work for simple
 LDAP operations–this obviously isn’t a complete Python LDAP server
 implementation–but those simple operations tend to cover a lot of cases.
 .
 This package contains the documentation.

Package: python-mode
Description-md5: 46a123e2d0b18646735f03ce79224393
Description-en: Python mode for GNU Emacs and XEmacs
 Provides a major mode for GNU Emacs and XEmacs to edit, debug, and develop
 Python programs and doctests.
 .
 If you install this with XEmacs 21, it will replace the included
 python-mode.el, which may or may not be a more recent version
 (use "C-h v py-version" to compare).
 .
 Since this package automagically loads after Python mode shipped with
 GNU emacs >= 22, installation of this package makes python-mode's
 mode the default for editing Python code in emacs.

Package: python-monasca-statsd-doc
Description-md5: 1fe228d8eab977fec9f786e86c6234ee
Description-en: Monasca Statsd documentation
 Monasca is an open-source multi-tenant, highly scalable, performant, fault-tolerant
 monitoring-as-a-service solution that integrates with OpenStack.
 .
 This package provides the documentation.

Package: python-mongoengine-doc
Description-md5: 8ab143b7be6974dc592ea4ed8959449d
Description-en: Python Document-Object Mapper for working with MongoDB (documentation)
 MongoEngine is a Document-Object Mapper (think ORM, but for document
 databases) for working with MongoDB from Python. It uses a simple declarative
 API, similar to the Django ORM.
 .
 This package contains the HTML documentation.

Package: python-monotonic
Description-md5: db3cef8c1fc00dc924fb9689fe05a54a
Description-en: implementation of time.monotonic() - Python 2.x
 This module provides a monotonic() function which returns the value (in
 fractional seconds) of a clock which never goes backwards. On Python 3.3 or
 newer, monotonic will be an alias of time.monotonic from the standard library.
 On older versions, it will fall back to an equivalent implementation:
 GetTickCount64 on Windows, mach_absolute_time on OS X, and clock_gettime(3)
 on Linux/BSD.
 .
 If no suitable implementation exists for the current platform, attempting to
 import this module (or to import from it) will cause a RuntimeError exception
 to be raised.
 .
 This package contains the Python 2.x module.

Package: python-more-itertools
Description-md5: 6b721495322701a7ada1b202878662d8
Description-en: library with for operating on iterables, beyond itertools (Python 2)
 Python's itertools library is a gem - you can compose elegant solutions
 for a variety of problems with the functions it provides.
 More-itertools collects additional building blocks, recipes,
 and routines for working with Python iterables.
 .
 This package contains the module for Python 2.

Package: python-morris-doc
Description-md5: 32a49c7ed3459fa0a3709f846ff40d00
Description-en: documentation for the Python morris module
 Morris is a simple Python library for creating notification mechanism similar
 to Qt signals or C# events. Application developers can create signals with a
 simple decorator (@signal), send signals by calling the decorated method or
 function, connect to and disconnect from signals with signal.connect() and
 signal.disconnect().
 .
 Morris comes with support for writing high-level unit tests using the
 SignalTestCase.{watchSignal,assertSignalFired,assertSignalNotFired}() methods.
 Appropriate ordering constraints on multiple signals can be tested using the
 SignalTestCase.assertSignalOrdering() method.
 .
 This package contains the HTML documentation

Package: python-mpd-doc
Description-md5: fc0451f4a4e1c041a42551fd44a729bb
Description-en: Python MPD client library (documentation)
 Fast MPD (Music Player Daemon) client library written in pure Python.
 It was written to be a replacement for python-mpdclient which is a bit
 outdated and does not perform good in many situations.
 .
 This package contains the documentation.

Package: python-mpi4py-doc
Description-md5: bb9dc654964860074ddc267a1cb16282
Description-en: bindings of the MPI standard -- documentation
 MPI for Python (mpi4py) provides bindings of the Message Passing
 Interface (MPI) standard for the Python programming language,
 allowing any Python program to exploit multiple processors.
 .
 mpi4py is constructed on top of the MPI-1/MPI-2 specification
 and provides an object oriented interface which closely follows MPI-2
 C++ bindings.  It supports point-to-point (sends, receives) and
 collective (broadcasts, scatters, gathers) communications of any
 picklable Python object as well as optimized communications of Python
 object exposing the single-segment buffer interface (NumPy arrays,
 builtin bytes/string/array objects).
 .
 This package provides HTML rendering of the user's manual.

Package: python-mpltoolkits.basemap-data
Description-md5: b5a5e6c90d5bcca00be564c517874367
Description-en: matplotlib toolkit to plot on map projections (data package)
 The matplotlib basemap toolkit is a library for plotting 2D data on maps in
 Python. It is similar in functionality to the matlab mapping toolbox, the IDL
 mapping facilities, GrADS, or the Generic Mapping Tools. PyNGL and CDAT are
 other libraries that provide similar capabilities in Python.
 .
 Basemap does not do any plotting on its own, but provides the facilities to
 transform coordinates to one of 23 different map projections (using the PROJ.4
 C library). Matplotlib is then used to plot contours, images, vectors, lines or
 points in the transformed coordinates. Shoreline, river and political boundary
 datasets (from Generic Mapping Tools) are provided, along with methods for
 plotting them. The GEOS library is used internally to clip the coastline and
 political boundary features to the desired map projection region.
 .
 Basemap provides facilities for reading data in netCDF and Shapefile formats,
 as well as directly over http using OPeNDAP. This functionality is provided
 through the PyDAP client, and a Python interface to the Shapefile C library.
 .
 Basemap is geared toward the needs of earth scientists, particular
 oceanographers and meteorologists. The author originally wrote Basemap to help
 in his research (climate and weather forecasting), since at the time CDAT was
 the only other tool in Python for plotting data on map projections. Over the
 years, the capabilities of Basemap have evolved as scientists in other
 disciplines (such as biology, geology and geophysics) requested and contributed
 new features.
 .
 This package contains data files for python-mpltoolkits.basemap

Package: python-mpltoolkits.basemap-doc
Description-md5: c65c0394d080549c210e324ad5cd79fb
Description-en: matplotlib toolkit to plot on map projections (documentation)
 The matplotlib basemap toolkit is a library for plotting 2D data on maps in
 Python. It is similar in functionality to the matlab mapping toolbox, the IDL
 mapping facilities, GrADS, or the Generic Mapping Tools. PyNGL and CDAT are
 other libraries that provide similar capabilities in Python.
 .
 Basemap does not do any plotting on its own, but provides the facilities to
 transform coordinates to one of 23 different map projections (using the PROJ.4
 C library). Matplotlib is then used to plot contours, images, vectors, lines or
 points in the transformed coordinates. Shoreline, river and political boundary
 datasets (from Generic Mapping Tools) are provided, along with methods for
 plotting them. The GEOS library is used internally to clip the coastline and
 political boundary features to the desired map projection region.
 .
 Basemap provides facilities for reading data in netCDF and Shapefile formats,
 as well as directly over http using OPeNDAP. This functionality is provided
 through the PyDAP client, and a Python interface to the Shapefile C library.
 .
 Basemap is geared toward the needs of earth scientists, particular
 oceanographers and meteorologists. The author originally wrote Basemap to help
 in his research (climate and weather forecasting), since at the time CDAT was
 the only other tool in Python for plotting data on map projections. Over the
 years, the capabilities of Basemap have evolved as scientists in other
 disciplines (such as biology, geology and geophysics) requested and contributed
 new features.
 .
 This package contains documentation and examples for python-mpltoolkits.basemap

Package: python-mpmath-doc
Description-md5: d338629e7c2421c1961c35170d8b2fb8
Description-en: library for arbitrary-precision floating-point arithmetic - Documentation
 Mpmath is a pure-Python library for multiprecision floating-point
 arithmetic. It provides an extensive set of transcendental functions,
 unlimited exponent sizes, complex numbers, interval arithmetic,
 numerical integration and differentiation, root-finding, linear
 algebra, and much more. Almost any calculation can be performed just
 as well at 10-digit or 1000-digit precision, and in many cases mpmath
 implements asymptotically fast algorithms that scale well for
 extremely high precision work.
 .
 If available, mpmath will (optionally) use gmpy to speed up high
 precision operations. If matplotlib is available, mpmath also
 provides a convenient plotting interface.
 .
 Its features include:
 .
   * Fair performance -- typically 10-100x faster than Python's
     decimal library
   * Transcendental functions -- all functions from Python's math and
     cmath modules, plus a few more like gamma, factorial, erf
   * Complex numbers -- with support for transcendental functions
   * Directed rounding -- floor, ceiling, down, up, half-down,
     half-up, half-even
   * Unlimited exponents -- no overflow or underflow
 .
 This package contains the documentation for mpmath Python module.

Package: python-mtbl
Description-md5: d06f8bd0d94ab68b3e682861b6240426
Description-en: immutable sorted string table library (Python bindings)
 mtbl is a C library implementation of the Sorted String Table (SSTable)
 data structure, based on the SSTable implementation in the open source
 Google LevelDB library. An SSTable is a file containing an immutable
 mapping of keys to values. Keys are stored in sorted order, with an
 index at the end of the file allowing keys to be located quickly.
 .
 mtbl is not a database library. It does not provide an updateable
 key-value data store, but rather exposes primitives for creating,
 searching and merging SSTable files. Unlike databases which use
 the SSTable data structure internally as part of their data store,
 management of SSTable files -- creation, merging, deletion, combining
 of search results from multiple SSTables -- is left to the
 discretion of the mtbl library user.
 .
 This package contains the Python extension module for libmtbl.

Package: python-multipletau-doc
Description-md5: 7f3079cb9deaa5790ef28d8e47942219
Description-en: documentation for multipletau Python module
 This package contains HTML documentation for python-multipletau
 .
 An online reference is available
 at http://paulmueller.github.io/multipletau

Package: python-murano-pkg-check-doc
Description-md5: 06017ceda213d655d15b43c3df94400e
Description-en: murano package validator tool - doc
 This package provide a way to validate Murano APPs. It checks packages
 against a list of known files and directories, the APP manifest consistency,
 and many other things.
 .
 This package contains the documentation.

Package: python-muranoclient-doc
Description-md5: 0ca04fdcd65bf97a55416c4aec3c4d6f
Description-en: cloud-ready application catalog - client doc
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the documentation for the client.

Package: python-musicbrainzngs-doc
Description-md5: 746426b883b9a7e68610bedfd5173253
Description-en: Documentation for the Python Musicbrainz NGS interface modules
 This package provides documentation for the Python bindings for the
 Musicbrainz NGS webservice interface.
 .
 Musicbrainz is an open music encyclopedia that collects music metadata
 and makes it available to the public.

Package: python-mutagen-doc
Description-md5: a1e23b059f18d3397a3df8555644807b
Description-en: audio metadata editing library - documentation
 Mutagen is a Python module to handle audio metadata. It supports FLAC,
 M4A, MP3, Ogg FLAC, Ogg Speex, Ogg Theora, Ogg Vorbis, True Audio, and
 WavPack audio files. All versions of ID3v2 are supported, and all
 standard ID3v2.4 frames are parsed. It can read Xing headers to
 accurately calculate the bitrate and length of MP3s. ID3 and APEv2
 tags can be edited regardless of audio format. It can also manipulate
 Ogg streams on an individual packet/page level.
 .
 This package provides documentation for the mutagen package.

Package: python-natsort-doc
Description-md5: b215fc4cbb6a240e87497b54a8e99ad2
Description-en: Natural sorting for Python (doc)
 natsort lets you apply natural sorting to your sequences easily, for example:
 .
  >>> from natsort import natsorted
  >>> a = ['a2', 'a9', 'a1', 'a4', 'a10']
  >>> data = [['a1', 'a5'], ['a1', 'a40'], ['a10', 'a1'], ['a2', 'a5']]
  >>> natsorted(a)
  ['a1', 'a2', 'a4', 'a9', 'a10'
  >>> natsorted(data)
  [['a1', 'a5'], ['a1', 'a40'], ['a2', 'a5'], ['a10', 'a1']]
 .
 natsort identifies the numbers and sorts them separately from strings.
 .
 natsort comes with a shell script to use natural sorting in shell scripts. You
 can also execute natsort from the command line with Python -m natsort.
 .
 There exists another natural sorting package for Python called
 python-naturalsort. You may prefer that package if you wish to only sort
 version numbers.
 .
 This package contains API documentation and examples.

Package: python-nautilus
Description-md5: c8e5ab6cd73ea055d690a067f25622e8
Description-en: Python binding for Nautilus components (DEPRECATED Python 2 version)
 Python binding for Nautilus, to allow Nautilus property page and menu item
 extensions to be written in Python.
 .
 This package contains the DEPRECATED Python 2 version of the library.

Package: python-nbconvert-doc
Description-md5: 00a72834c1c535e15b008683e6dd63cf
Description-en: Jupyter notebook conversion (documentation)
 Jupyter nbconvert converts notebooks to various other formats
 using Jinja templates.
 .
 This package installs the documentation.

Package: python-nbformat-doc
Description-md5: bc1a6d9fe4b3183726d48dee562fdeff
Description-en: Jupyter notebook format (documentation)
 This software component contains the reference implementation of the Jupyter
 notebook format, and Python APIs to work with notebooks.
 .
 This package installs the documentation.

Package: python-nbsphinx-doc
Description-md5: 1c477e9ea89ef107d00ec35d192f9e28
Description-en: Jupyter Notebook Tools for Sphinx -- doc
 nbsphinx is a Sphinx extension that provides a source parser for *.ipynb
 files. Custom Sphinx directives are used to show Jupyter Notebook code cells
 (and of course their results) in both HTML and LaTeX output.
 Un-evaluatednotebooks, i.e., notebooks without stored output cells, will be
 automatically executed during the Sphinx build process.
 .
 This is the common documentation package.

Package: python-nbxmpp-doc
Description-md5: c3dc69bb385fb4990c317148b4ed845c
Description-en: Non blocking Jabber/XMPP Python library, documentation
 python-nbxmpp is a Python library that provides a way for Python applications
 to use Jabber/XMPP networks in a non-blocking way. This library is initialy a
 fork of xmpppy one, but using non-blocking sockets.
 .
 This is the documentation of this library.

Package: python-ncclient-doc
Description-md5: 33b34878196ef25766d37ae7c6197d94
Description-en: Documentation for python-ncclient (Python library for NETCONF clients)
 ncclient is a Python library that facilitates client-side scripting
 and application development around the NETCONF protocol.
 .
 NETCONF (Network Configuration Protocol) provides mechanisms to install,
 manipulate, and delete the configuration of network devices. Its operations
 are realized on top of a simple remote procedure call (RPC) layer.
 .
 The NETCONF protocol uses an Extensible Markup Language (XML) based data
 encoding for the configuration data as well as the protocol messages.
 .
 This package provides the documentation of the library.

Package: python-nemu
Description-md5: 4b6e3f955bd6986d7f8eaef6a045677e
Description-en: lightweight network emulator embedded in a small python library
 Nemu (Netwok EMUlator) is a small Python library to create emulated networks
 and run and test programs in them.
 .
 Different programs, or copies of the same program, can run in different
 emulated nodes, using only the emulated network to communicate, without ever
 noticing they all run in the same computer.
 .
 Nemu provides a very simple interface to create nodes, connect them arbitrarily
 with virtual interfaces, configure IPv4 and IPv6 addresses and routes, and
 start programs in the nodes. The virtual interfaces also support emulation of
 delays, loss, and reordering of packets, and bandwidth limitations.
 .
 More advanced configurations, like setting up netfilter (iptables) rules,
 starting VPN tunnels, routing daemons, etc, are simply supported by executing
 the appropriate commands in the emulated nodes, exactly as if they were
 executed in real machines in a real network.
 .
 You can even start interactive sessions by opening xterms on different nodes,
 Nemu has special support for forwarding X sessions to the emulated nodes.

Package: python-netaddr
Description-md5: c2e3a8f75f8d079610b276c5a9b9da87
Description-en: manipulation of various common network address notations (Python 2)
 netaddr is a Python library for the manipulation of various common
 network address notations and representations.
 .
 It takes the hassle out of fiddling with enumerable variations of
 network addresses presenting a consistent, extensible, easy-to-use
 and (above all) Pythonic API.
 .
 With it you can validate, convert, categorise, iterate, generate,
 slice (and dice):
  - MAC (Media Access Control)
  - IEEE EUI-48 and EUI-64
  - IP version 4
  - IP version 6
  - CIDR (Classless Inter-Domain Routing)
 .
 This is the Python 2 version of the package.

Package: python-netifaces
Description-md5: 0757dee54689d7106f605b3631ac29c7
Description-en: portable network interface information - Python 2.x
 netifaces provides a (hopefully portable-ish) way for Python programmers to
 get access to a list of the network interfaces on the local machine, and to
 obtain the addresses of those network interfaces.
 .
 This package contains the module for Python 2.x.

Package: python-netifaces-dbg
Description-md5: d8b4390506f6ff5708416150fb06f9fd
Description-en: portable network interface information - Python 2.x debug extension
 netifaces provides a (hopefully portable-ish) way for Python programmers to
 get access to a list of the network interfaces on the local machine, and to
 obtain the addresses of those network interfaces.
 .
 This package contains debug symbols of python-netifaces.

Package: python-networking-bagpipe-doc
Description-md5: 0e46d28b8e7b2190899dad8f28d6438d
Description-en: Driver and agent code to use BagPipe implementation (common documentaiton)
 Driver and agent code to use BaGPipe lightweight implementation
 of BGP-based VPNs as a backend for Neutron.
 .
 This is the common documentation package.

Package: python-networking-bgpvpn-doc
Description-md5: 4134b135a192b5bd0247e67cd10a0bcf
Description-en: BGP-MPLS VPN Extension for OpenStack Networking (common documentation)
 This project provides an API and Framework to interconnect BGP/MPLS VPNs
 to Openstack Neutron networks, routers and ports.
 .
 The Border Gateway Protocol and Multi-Protocol Label Switching are widely
 used Wide Area Networking technologies. The primary purpose of this project
 is to allow attachment of Neutron networks and/or routers to VPNs built in
 carrier provided WANs using these standard protocols. An additional purpose
 of this project is to enable the use of these technologies within the Neutron
 networking environment.
 .
 This is the common documentation package.

Package: python-networking-odl-doc
Description-md5: 067c325f307a39e289a679c54380dee5
Description-en: OpenStack Networking OpenDayLight ML2 mechanism driver - doc
 Neutron is a virtual network service for Openstack, and a part of
 Netstack. Just like OpenStack Nova provides an API to dynamically
 request and configure virtual servers, Neutron provides an API to
 dynamically request and configure virtual networks. These networks
 connect "interfaces" from other OpenStack services (e.g., virtual NICs
 from Nova VMs). The Neutron API supports extensions to provide
 advanced network capabilities (e.g., QoS, ACLs, network monitoring,
 etc.)
 .
 This package contains the documentation for the OpenDayLight
 mechanism driver for Neutron.

Package: python-networkx-doc
Description-md5: c4f7e41e61a676b7552dbdb3c02be4fd
Description-en: tool to create, manipulate and study complex networks - documentation
 NetworkX is a Python-based package for the creation, manipulation, and
 study of the structure, dynamics, and functions of complex networks.
 .
 The structure of a graph or network is encoded in the edges (connections,
 links, ties, arcs, bonds) between nodes (vertices, sites, actors). If
 unqualified, by graph it's meant a simple undirected graph, i.e. no
 self-loops and no multiple edges are allowed. By a network it's usually
 meant a graph with weights (fields, properties) on nodes and/or edges.
 .
 The potential audience for NetworkX includes: mathematicians, physicists,
 biologists, computer scientists, social scientists.
 .
 This package contains documentation for NetworkX.

Package: python-nibabel-doc
Description-md5: 3436bfe76cbda3f40f40154fed9dadce
Description-en: documentation for NiBabel
 NiBabel provides read and write access to some common medical and
 neuroimaging file formats, including: ANALYZE (plain, SPM99, SPM2), GIFTI,
 NIfTI1, MINC, as well as PAR/REC. The various image format classes give full
 or selective access to header (meta) information and access to the image data
 is made available via NumPy arrays.  NiBabel is the successor of PyNIfTI.
 .
 This package provides the documentation in HTML format.

Package: python-nifti
Description-md5: 9c5af859857f50447bd2abd312cb06b3
Description-en: Python interface to the NIfTI I/O libraries
 Using PyNIfTI one can easily read and write NIfTI and ANALYZE images from
 within Python. The NiftiImage class provides Python-style access to the full
 header information. Image data is made available via NumPy arrays.

Package: python-nipy-doc
Description-md5: a5fefa6cda8dc84e415971f1a1efc3cc
Description-en: documentation and examples for NiPy
 This package contains NiPy documentation in various formats (HTML,
 TXT) including
  * User manual
  * Developer guidelines
  * API documentation

Package: python-nitime-doc
Description-md5: cd3ddd901500245fee648bc664b3b27e
Description-en: timeseries analysis for neuroscience data (nitime) -- documentation
 Nitime is a Python module for time-series analysis of data from
 neuroscience experiments.
 .
 This package provides the documentation in HTML format.

Package: python-nose
Description-md5: 7f9a2e744ba481e6a80b9e2e17fc8016
Description-en: test discovery and running of Python's unittest
 nose provides an alternate test discovery and running process for
 unittest, one that is intended to mimic the behavior of py.test as
 much as is reasonably possible without resorting to too much magic

Package: python-nose-doc
Description-md5: 18461fe506b28c51828bc5e18adcc1ce
Description-en: documentation for discovery and running for Python's unittest
 nose provides an alternate test discovery and running process for
 unittest, one that is intended to mimic the behavior of py.test as
 much as is reasonably possible without resorting to too much magic
 .
 This package provides the documentation for nose.

Package: python-nose-json
Description-md5: a35a90c1eb57827fef374913bb95c9c0
Description-en: nose pugin for json reporting - python 2 flavor
 This plugin produces json reports with nose.
 .
 This is the Python 2 compatible packages.

Package: python-nose2-doc
Description-md5: 82ec6c90030ce6390fa6121d282f7699
Description-en: Next generation of nicer testing for Python2/3 (documentation)
 Nose2 is testing library aimed at being compatible with unittest and
 providing additional features, such as timed tests, better testing for
 exceptions. It also provides fixture methods at the package, module, class or
 test case level, depending on the developer's needs.
 .
 At an extended level, nose2 also provides a lot of builtin plugins to help
 with specific needs, including tests coverage, profiling, or doctests.
 .
 Nose2 is based on the Nose testsuite, which is no longer developed. It
 provides a better plugin API and supports Python2 and Python3 from the same
 codebase.
 .
 This package provides the sphinx-generated documentation of nose2.

Package: python-notebook-doc
Description-md5: 5193dd9d2354e8ca81574eb5f5933104
Description-en: Jupyter interactive notebook (documentation)
 The Jupyter Notebook is a web application that allows you to create and
 share documents that contain live code, equations, visualizations, and
 explanatory text. The Notebook has support for multiple programming
 languages, sharing, and interactive widgets.
 .
 This package contains the documentation.

Package: python-nox-doc
Description-md5: 1a1ddc38c133a5a4b7985bf265802818
Description-en: Flexible test automation (Documentation)
 Nox is a command-line tool that automates testing in multiple Python
 environments, similar to tox. Unlike tox, Nox uses a standard Python file for
 configuration.
 It will automatically create virtualenv with the appropriate interpreter,
 install the specified dependencies, and run the commands in order.
 .
 This package contains the documentation.

Package: python-numpy
Description-md5: 7f7fe2d2ed613d0e3a7ffabfd3df14fb
Description-en: Numerical Python adds a fast array facility to the Python language
 Numpy contains a powerful N-dimensional array object, sophisticated
 (broadcasting) functions, tools for integrating C/C++ and Fortran
 code, and useful linear algebra, Fourier transform, and random number
 capabilities.
 .
 Numpy replaces the python-numeric and python-numarray modules which are
 now deprecated and shouldn't be used except to support older
 software.

Package: python-numpy-dbg
Description-md5: 3f4626d6863b3a1bde4b43fb67bedf96
Description-en: Fast array facility to the Python language (debug extension)
 Numpy contains a powerful N-dimensional array object, sophisticated
 (broadcasting) functions, tools for integrating C/C++ and Fortran
 code, and useful linear algebra, Fourier transform, and random number
 capabilities.
 .
 Numpy replaces the python-numeric and python-numarray modules which
 are now deprecated and shouldn't be used except to support older
 software.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-nxs-doc
Description-md5: 67c35ed18b45252192fdbeffa0ae6402
Description-en: NeXus scientific data file format - documentation
 NeXus is a common data format for neutron, X-ray, and muon science. It
 is being developed as an international standard by scientists and
 programmers representing major scientific facilities in Europe, Asia,
 Australia, and North America in order to facilitate greater cooperation
 in the analysis and visualization of neutron, X-ray, and muon data.
 .
 This is the package containing the documentation.

Package: python-oauth
Description-md5: 28c98bf4852ce37e6f12e5f37f561868
Description-en: Python library implementing of the OAuth protocol
 python-oauth implements OAuth, which is an open protocol to allow API
 authentication in a simple and standard method from desktop and web
 applications.

Package: python-objgraph-doc
Description-md5: 04a01095057c0c94d95ddf3db61dadc7
Description-en: Module for exploring Python object reference graphs (Documentation)
 objgraph is a module that lets you visually explore Python object graphs.
 .
 It can be used for counting and statistics, finding root references
 responsible for large object trees and export the object reference graphs in
 graphviz format.
 .
 This package contains the documentation for objgraph.

Package: python-odf-doc
Description-md5: 816ffd4a29dc33a6fa9ed277b7415383
Description-en: documentation and examples for python-odf and python3-odf
 Odfpy is a library to read and write OpenDocument v. 1.1 files.

Package: python-odf-tools
Description-md5: 6243580f26c8806d227055e22c0e7320
Description-en: Python tools to manipulate OpenDocument files
 Simple tool collection to manipulate ODF files, like converting CSV to
 spreadsheet, e-mails to text files, text files to HTML, including images
 given by URLs, filling user fields with data tables, and so on.

Package: python-odoorpc-doc
Description-md5: dae8ffe382a04409d3b9250fdda4664f
Description-en: pilot Odoo servers through RPC (documentation)
 OdooRPC is a Python module providing an easy way to pilot your Odoo
 (formerly known as OpenERP or TinyERP) servers through RPC.
 .
 Features supported:
  - access to all data model methods (even browse) with an API similar
    to the server-side API,
  - use named parameters with model methods,
  - user context automatically sent providing support for
    internationalization,
  - browse records,
  - execute workflows,
  - manage databases,
  - reports downloading,
  - JSON-RPC protocol (SSL supported)
 .
 OdooRPC is a modern alternative to the older OERPLib by the same
 author. It supports both Python 2 and 3.
 .
 This package provides the documentation and an example.

Package: python-ogg
Description-md5: 65ebc4a4cdbb8f5231a4a39031d759bb
Description-en: Python interface to the Ogg library
 This module makes the libogg (Ogg) functions available
 in Python. With this module you can write Python applications
 that use the ogg library.

Package: python-ogg-dbg
Description-md5: 1ba07dd44bff3caa46b569f6c49c606f
Description-en: Python interface to the Ogg library (debug extension)
 This module makes the libogg (Ogg) functions available
 in Python. With this module you can write Python applications
 that use the ogg library.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-olefile
Description-md5: d538d0671b8180405b410f5504c5521a
Description-en: Python module to read/write MS OLE2 files
 Python package to parse, read and write Microsoft OLE2 files (also called
 Structured Storage, Compound File Binary Format or Compound Document File
 Format), such as Microsoft Office 97-2003 documents, vbaProject.bin in
 MS Office 2007+ files, Image Composer and FlashPix files, Outlook MSG files,
 StickyNotes, several Microscopy file formats, McAfee antivirus quarantine
 files, etc.

Package: python-omniorb
Description-md5: 125ed379da34bec8ab1f25172c248a86
Description-en: Python bindings for omniORB
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This is the Debian package of omniORBpy, the Python bindings to the
 omniORB libraries.

Package: python-omniorb-dbg
Description-md5: 43b84047ab807761b3acd206c84afea9
Description-en: Python bindings for omniORB
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This package contains the debug symbols of python-omniorb as well as
 modules for use with python-dbg.

Package: python-omniorb-doc
Description-md5: 64aad70aa1e7d6b465b271a7dc7b7d2f
Description-en: omniORBpy documentation
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This package contains the documentation of omniORBpy, the Python
 bindings to omniORB.  The bindings themselves can be found in the
 python-omniorb package.

Package: python-omniorb-omg
Description-md5: cfe087f2488d66170e63e366f8e183ec
Description-en: CORBA OMG standard files for python-omniorb
 omniORB4 is a freely available Common Object Request Broker
 Architecture (CORBA) 2.6 compliant object request broker (ORB)
 implementation. It is based on the IIOP communications
 protocol and should be interoperable with any other
 CORBA 2.6 compliant ORB.
 .
 This package includes the Python files that are necessary to
 fulfil the OMG standard 02-09-07.  It conflicts with
 python-pyorbit-omg since only one package can provide the default
 CORBA bindings.

Package: python-oops
Description-md5: 0769a0fad5c94e4b69cf6a0696cf7c94
Description-en: Serialization, deserialization and allocation of OOPS reports (Python 2)
 The oops project provides an in-memory model and basic serialisation,
 deserialisation and allocation of OOPS reports. An OOPS report is a report
 about something going wrong in a piece of software... thus, an 'oops' :)
 .
 This core package is rarely used directly: most programs or services that want
 to generate OOPS reports will do so via a framework specific adapter (e.g.
 python-oops_wsgi).
 .
 This package installs the library for Python 2.

Package: python-opcua-tools
Description-md5: 08fd3da1041b9fe68a32db78383540f6
Description-en: Pure Python OPC-UA Client and Server (tools)
 An OPC-UA (Open Platform Communications - Unified Architecture)
 client and server library, written entirely in Python.
 .
 This package contains OPC UA tools:
  * uabrowse
  * uaclient
  * uadiscover
  * uahistoryread
  * uals
  * uaread
  * uaserver
  * uasubscribe
  * uawrite

Package: python-opengl
Description-md5: 20781cabc76e4d9e3a5958fc0ae5efc4
Description-en: Python bindings to OpenGL (Python 2)
 PyOpenGL is a cross-platform open source Python binding to the standard
 OpenGL API providing 2D and 3D graphic drawing. PyOpenGL supports the
 GL, GLU, GLE, and GLUT libraries. The library can be used with the Tkinter,
 wxPython, FxPy, and Win32GUI windowing libraries (or almost any Python
 windowing library which can provide an OpenGL context).
 .
 This is the Python 2 version of the package.

Package: python-openscap
Description-md5: 61dab89382a067ba1191ff00d77a2093
Description-en: Set of libraries enabling integration of the SCAP line of standards
 OpenSCAP is a set of open source libraries providing an easier path
 for integration of the SCAP line of standards. SCAP is a line of
 standards managed by NIST with the goal of providing a standard language
 for the expression of Computer Network Defense related information.
 .
 The intended scope of this project is to implement working interface
 wrappers for parsing and querying SCAP content including:
  * Common Vulnerabilities and Exposures (CVE)
  * Common Configuration Enumeration (CCE)
  * Common Platform Enumeration (CPE)
  * Common Vulnerability Scoring System (CVSS)
  * Extensible Configuration Checklist Description Format (XCCDF)
  * Open Vulnerability and Assessment Language (OVAL)
 .
 This package contains the Python bindings for OpenSCAP.

Package: python-openslide-examples
Description-md5: b017c046b3f204d150fc79d9b0a76940
Description-en: Python examples for python-openslide and python3-openslide
 OpenSlide is a C library that provides a simple interface to read whole-slide
 images also known as virtual slides.
 .
 Whole-slide images, also known as virtual slides, are large, high resolution
 images used in digital pathology. Reading these images using standard image
 tools or libraries is a challenge because these tools are typically designed
 for images that can comfortably be uncompressed into RAM or a swap file.
 Whole-slide images routinely exceed RAM sizes, often occupying tens of
 gigabytes when uncompressed. Additionally, whole-slide images are typically
 multi-resolution, and only a small amount of image data might be needed at a
 particular resolution.
 .
 This library currently supports:
  - Aperio (.svs, .tif)
  - Hamamatsu (.vms, .vmu, .ndpi)
  - Leica (.scn)
  - MIRAX (.mrxs)
  - Sakura (.svslide)
  - Trestle (.tif)
  - Generic tiled TIFF (.tif)
 .
 This package contains the Python examples for OpenSlide Python bindings.

Package: python-openssl
Description-md5: 34bf94e85b02caf329c537f92208752f
Description-en: Python 2 wrapper around the OpenSSL library
 High-level wrapper around a subset of the OpenSSL library, includes
 .
   * SSL.Connection objects, wrapping the methods of Python's portable
     sockets
   * Callbacks written in Python
   * Extensive error-handling mechanism, mirroring OpenSSL's error
     codes
 .
 A lot of the object methods do nothing more than calling a
 corresponding function in the OpenSSL library.

Package: python-openwsman
Description-md5: 48f9537a88743d6d2741144fe83b734d
Description-en: Open Web Services Manager Python bindings
 Openwsman is a project intended to provide an open-source implementation of
 the Web Services Management specification (WS-Management) and to expose
 system management information on the Linux operating system using the
 WS-Management protocol. WS-Management is based on a suite of web services
 specifications and usage requirements that exposes a set of operations
 focused on and covers all system management aspects.
 .
 This package provides the Python bindings for the Openwsman client API.

Package: python-os-api-ref-common
Description-md5: 2a28e20b2f2cb5bd7aab4ebb256cea5e
Description-en: Sphinx Extensions to support API reference sites in OpenStack - common
 This project is a collection of sphinx stanzas that assist in building an API
 Reference site for an OpenStack project in RST. RST is great for unstructured
 English, but displaying semi structured (and repetitive) data in tables is
 not it's strength. This provides tooling to insert semi-structured data
 describing request and response parameters, and turn those into nice tables.
 .
 The project also includes a set of styling (and javascript) that is expected
 to layer on top of an oslosphinx theme base. This provides a nice set of
 collapsing sections for REST methods and javascript controls to expand /
 collapse all sections.
 .
 This package contains the common files.

Package: python-os-faults-doc
Description-md5: 508f1a3e573537ad0bbeeaae6c57f146
Description-en: OpenStack fault-injection library - doc
 The library does destructive actions inside an OpenStack cloud. It provides an
 abstraction layer over different types of cloud deployments. The actions are
 implemented as drivers (e.g. DevStack driver, Fuel driver, Libvirt driver,
 IPMI driver).
 .
 This package contains the documentation.

Package: python-os-testr-doc
Description-md5: 98ea3d50edee65a447ae559afd051372
Description-en: Utility wrappers for testrepository for OpenStack projects - doc
 A number of small wrappers to support use of testrepository
 across OpenStack projects.
 .
 This package contains the documentation.

Package: python-oslosphinx-common
Description-md5: 077c763ddff7d336f7cc46d0273fda78
Description-en: theme and extension support for openstack - common
 Theme and extension support for Sphinx documentation from the OpenStack
 project. To use the theme, symply add 'oslosphinx' to the extensions list in
 the conf.py file in your Sphinx project.
 .
 This package contains the common files.

Package: python-oslotest-doc
Description-md5: 642f60227f5ddb02e38b92ff631fe354
Description-en: OpenStack test framework - doc
 OpenStack test framework that provides base classes and fixtures for creating
 unit and functional tests.
 .
 This package contains the documentation.

Package: python-osmnx-doc
Description-md5: cff7f6d10e46cd3586c18506c18d13bf
Description-en: tool to study street networks from OpenStreetMap -- doc
 OSMnx retrieves, models, analyzes, and visualizes street networks
 from OpenStreetMap (OSM).
 .
 OSMnx is a Python package that lets you download spatial geometries
 and model, project, visualize, and analyze street networks from
 OpenStreetMap's APIs. Users can download and model walkable, drivable,
 or bikable urban networks with a single line of Python code, and then
 easily analyze and visualize them.
 .
 This package contains documentation with examples for OSMnx.

Package: python-packaging
Description-md5: 9effbf24ea71d31d65e5026fdf118136
Description-en: core utilities for python packages
 These core utilities currently consist of:
  - Version Handling (PEP 440)
  - Dependency Specification (PEP 440)

Package: python-padme-doc
Description-md5: 864121ba7039bbcde94e4842e6616640
Description-en: mostly transparent proxy class for Python (documentation)
 Padme, named after the Star Wars (tm) character, is a library for creating
 proxy objects out of any other Python object.
 .
 The resulting object is as close to mimicking the original as possible. Some
 things are impossible to fake in CPython so those are highlighted in the
 documentation (though mainly object identity). All other operations are
 silently forwarded to the original.
 .
 This package contains the HTML documentation

Package: python-pam
Description-md5: 71f7dad76c5454c2aa39a348625096fe
Description-en: Python interface to the PAM library
 This module makes the PAM (Pluggable Authentication Modules) functions
 available in Python. With this module you can write Python applications
 that implement authentication services using PAM.

Package: python-pam-dbg
Description-md5: c7bbb903a62ceb9eb88f88a9d51fe391
Description-en: Python interface to the PAM library (debug extension)
 This module makes the PAM (Pluggable Authentication Modules) functions
 available in Python. With this module you can write Python applications
 that implement authentication services using PAM.
 .
 This package contains the extension built for the python debug interpreter.

Package: python-pamqp-doc
Description-md5: 7ee7c2cb5559e7493628dff229eabfec
Description-en: RabbitMQ Focused AMQP low-level library (Documentation)
 pamqp is a low level AMQP 0-9-1 frame encoding and decoding library for Python.
 It is not a end-user client library for talking to RabbitMQ but rather is used
 by client libraries for marshaling and unmarshaling AMQP frames.
 .
 AMQP class/method command class mappings can be found in the
 pamqp.specification module while actual frame encoding and encoding should be
 run through the pamqp.frame module.
 .
 This package contains the documentation.

Package: python-pandas-doc
Description-md5: 7a749591db25bd458e01e853e9fcd291
Description-en: data structures for "relational" or "labeled" data - documentation
 pandas is a Python package providing fast, flexible, and expressive
 data structures designed to make working with "relational" or
 "labeled" data both easy and intuitive. It aims to be the fundamental
 high-level building block for doing practical, real world data
 analysis in Python. pandas is well suited for many different kinds of
 data:
 .
  - Tabular data with heterogeneously-typed columns, as in an SQL
    table or Excel spreadsheet
  - Ordered and unordered (not necessarily fixed-frequency) time
    series data.
  - Arbitrary matrix data (homogeneously typed or heterogeneous) with
    row and column labels
  - Any other form of observational / statistical data sets. The data
    actually need not be labeled at all to be placed into a pandas
    data structure
 .
 This package contains the documentation.

Package: python-panko-doc
Description-md5: ec3c004f5c0e3e7cc6826d2ad4b683dd
Description-en: Event storage dispatcher for Ceilometer. (common documentation)
 Event storage dispatcher for Ceilometer
 .
 This is the common documentation package.

Package: python-panoramisk-doc
Description-md5: 771525b6279682855a9327ffaeca2fc6
Description-en: asyncio based library to play with asterisk (doc)
 Panoramisk is a library based on python’s AsyncIO to play with Asterisk’s
 manager.
 It uses the TCP manager server to listen to events and send actions.
 .
 This package contains the HTML documentation.

Package: python-parfive-doc
Description-md5: a6573fb3555595caefb58a8278b572a5
Description-en: documentation for the parfive Python library
 Parfive is a small library for downloading files, its objective is to
 provide a simple API for queuing files for download and then
 providing excellent feedback to the user about the in progress
 downloads. It also aims to provide a clear interface for inspecting
 any failed downloads.
 .
 Parfive supports downloading files over either HTTP or FTP using
 aiohttp and aioftp.
 .
 This package provides documentation for parfive

Package: python-parso-doc
Description-md5: 12c925041725bb461f5a0f16c3ac68e6
Description-en: documentation for the parso Python library
 This package provides documentation for parso

Package: python-passfd
Description-md5: 86c095f3107b35794c52efa04c58e5c9
Description-en: Python functions to pass file descriptors across UNIX domain
 This simple extension provides two functions to pass and receive file
 descriptors across UNIX domain sockets, using the BSD-4.3+ sendmsg() and
 recvmsg() interfaces.
 .
 Direct bindings to sendmsg and recvmsg are not provided, as the API does
 not map nicely into Python.
 .
 Please note that this only supports BSD-4.3+ style file descriptor
 passing, and was only tested on Linux.

Package: python-passlib
Description-md5: 3057a9a06a25d4a19d4c27c4b2072332
Description-en: comprehensive password hashing framework
 Password hashing library for Python 2, which provides cross-platform
 implementations of over 20 password hashing algorithms, as well as a
 framework for managing existing password hashes. It's designed to be useful
 for a wide range of tasks; from verifying a hash found in /etc/shadow, to
 providing full-strength password hashing for multi-user applications.

Package: python-paste-doc
Description-md5: aca85c4e4d07ff4b353a152a49eafcd3
Description-en: tools for using a Web Server Gateway Interface stack - documentation
 Python Paste brings consistency to Python web development and web application
 installation, providing tools for both developers and system administrators.
 .
 Paste for Administrators:
  * Easily install, run, and configure multiple Paste-enabled web
    applications at once, and integrate them into your website how
    you want (SCGI, FCGI, AJP, WSGI)
  * Manage and install web applications system-wide for easy maintenance
 .
 Paste for Web Developers:
  * Increase your web application's audience
  * Provide starter templates and custom commands for using your web framework
  * Keep your development and deployment straightened out, and your automated
    testing streamlined
  * Attention payed to the full development cycle -- starting projects,
    converting old projects, updating and deploying projects -- using a set of
    complementary packages and tools
 .
 This package provides the Sphinx generated documentation for Paste.

Package: python-pathlib2
Description-md5: 9e871803031b85c11e6254d217ef2e7a
Description-en: Backport of the "pathlib" stdlib module (Python 2)
 The old pathlib module on bitbucket is in bugfix-only mode. The goal of
 pathlib2 is to provide a backport of standard pathlib module which tracks
 the standard library module, so all the newest features of the standard
 pathlib can be used also on older Python versions.
 .
 This package is (obviously) for Python 2.

Package: python-patsy-doc
Description-md5: 9a9514f1efc2be5693dfe17972373ebd
Description-en: documentation and examples for patsy
 This package contains documentation and example scripts for
 python3-patsy.

Package: python-pbcore-doc
Description-md5: cf3f1c27d8e14eb91bf538737c443bb9
Description-en: Python library for processing PacBio data files (documentation)
 The pbcore package provides Python modules for processing Pacific Biosciences
 data files and building PacBio bioinformatics applications. These modules
 include tools to read/write PacBio data formats, sample data files for
 testing and debugging, base classes, and utilities for building bioinformatics
 applications.
 .
 pbcore is part of the SMRTAnalysis suite. This package provides the common
 documentation package.

Package: python-pbr
Description-md5: 11497a5147980d5b11b3d8a1e2c5562c
Description-en: inject useful and sensible default behaviors into setuptools - Python 2.x
 PBR (Python Build Reasonableness) is a library that injects some useful and
 sensible default behaviors into your setuptools run. PBR can:
  * Manage version number based on git revisions and tags (Version file).
  * Generate AUTHORS file from git log
  * Generate ChangeLog from git log
  * Generate Sphinx autodoc stub files for your whole module
  * Store your dependencies in a pip requirements file
  * Use your README file as a long_description
  * Smartly find packages under your root package
 .
 PBR is only mildly configurable. The basic idea is that there's a decent way
 to run things and if you do, you should reap the rewards, because then it's
 simple and repeatable. If you want to do things differently, cool! But you've
 already got the power of Python at your fingertips, so you don't really need
 PBR.
 .
 PBR builds on top of the work that d2to1 started to provide for declarative
 configuration. d2to1 is itself an implementation of the ideas behind
 distutils2. Although distutils2 is now abandoned in favor of work towards PEP
 426 and Metadata 2.0, declarative config is still a great idea and
 specifically important in trying to distribute setup code as a library when
 that library itself will alter how the setup is processed. As Metadata 2.0 and
 other modern Python packaging PEPs come out, PBR aims to support them as
 quickly as possible.
 .
 This package provides support for Python 2.x.

Package: python-pdfrw-doc
Description-md5: 2dc9632c6915a84556317424b9bcfc3c
Description-en: PDF file manipulation library (documentation)
 pdfrw can read and write PDF files, and can also be used to read in PDFs which
 can then be used inside reportlab.
 .
 pdfrw tries to be agnostic about the contents of PDF files, and support them
 as containers, but to do useful work, something a little higher-level is
 required. It supports the following:
 .
  * PDF pages. pdfrw knows enough to find the pages in PDF files you read in,
    and to write a set of pages back out to a new PDF file.
  * Form XObjects. pdfrw can take any page or rectangle on a page, and convert
    it to a Form XObject, suitable for use inside another PDF file
  * reportlab objects. pdfrw can recursively create a set of reportlab objects
    from its internal object format. This allows, for example, Form XObjects to
    be used inside reportlab.
 .
 This is the common documentation package.

Package: python-peewee-doc
Description-md5: 5c62f79220ff1b5db44017e86717db20
Description-en: Documentation pyhton peewee simple ORM
 Peewee is a simple and small ORM. It has few (but expressive)
 concepts, making it easy to learn and intuitive to use.
 .
   * A small, expressive ORM
   * Written in python with support for versions 2.6+ and 3.2+.
   * built-in support for sqlite, mysql and postgresql numerous
     extensions available (postgres hstore/json/arrays, sqlite
     full-text-search, schema migrations, and much more).
 .
 This package contains HTML documentation for provided module.

Package: python-pelican
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: python-periodictable-doc
Description-md5: 2a49315be635842ff19ca9389dd5b0a7
Description-en: Extensible periodic table of the elements (common documentation)
 This package provides a periodic table of the elements with support
 for mass, density and xray/neutron scattering information.
 .
 Masses, densities and natural abundances come from the NIST Physics
 Laboratory, but do not represent a critical evaluation by NIST
 scientists.
 .
 Neutron scattering calculations use values collected by the Atomic
 Institute of the Austrian Universities. These values do corresponding
 to those from other packages, though there are some differences
 depending to the tables used. Bound coherent neutron scattering for
 gold in particular is significantly different from older value:
 7.63(6) as measured in 1974 compared to 7.90(7) as measured in 1990.
 .
 X-ray scattering calculations use a combination of empirical and
 theoretical values from the LBL Center for X-ray Optics. These values
 differ from those given in other sources such as the International
 Tables for Crystallography, Volume C, and so may give different
 results from other packages.
 .
 This is the common documentation package.

Package: python-periphery-doc
Description-md5: 868564a2da8f6f5bb5dff6b7292e41e3
Description-en: Peripheral I/O (Documentation)
 A pure Python library for GPIO, LED, PWM, SPI, I2C, MMIO, and Serial peripheral
 I/O interface access in userspace Linux. It is useful in embedded Linux
 environments (including Raspberry Pi, BeagleBone, etc. platforms) for
 interfacing with external peripherals.
 .
 This package contains the documentation.

Package: python-persistent-doc
Description-md5: c45e7b861f4266e5cc8bbe34e1a64c88
Description-en: Automatic persistence for Python objects - documentation
 This package contains a generic persistence implementation for Python. It
 forms the core protocol for making objects interact "transparently" with
 a database such as the ZODB.
 .
 This package contains the Python module documentation. Alternatively,
 there is an online version at https://persistent.readthedocs.io/

Package: python-petname
Description-md5: 18414152847c2b7131e0f914b80b5954
Description-en: python library for generating pronouncable, memorable, pet names
 This package provides a library for generating "pet names", consisting
 of a random combination of an adverb, adjective, and proper name.
 These are useful for unique hostnames, for instance.
 The default packaging contains about 2000 names, 1300 adjectives,
 and 4000 adverbs, yielding nearly 10 billion unique combinations,
 covering over 32 bits of unique namespace.
 As such, PetName tries to follow the tenets of Zooko's triangle:
 names are human meaningful, decentralized, and secure.

Package: python-petsc4py-doc
Description-md5: 13ea19d3c484e4356d55475bc745d0fb
Description-en: Python bindings for PETSc libraries: documentation and examples
 PETSc is a suite of data structures and routines for the scalable (parallel)
 solution of scientific applications modeled by partial differential equations.
 It employs the MPI standard for all message-passing communication.
 .
 petsc4py provides Python bindings to almost all functions of PETSc.
 This package provides documentation and examples for petsc4py.

Package: python-pex-doc
Description-md5: cd671abe9ea46c55de4afdacb34e35c6
Description-en: library for generating Python executable zip files
 pex is a library for generating .pex (Python EXecutable) files which
 are executable Python environments in the spirit of virtualenvs.  pex
 is an expansion upon the ideas outlined in PEP 441 and makes the
 deployment of Python applications as simple as cp.  pex files may even
 include multiple platform-specific Python distributions, meaning that
 a single pex file can be portable across Linux and OS X.
 .
 pex files can be built using the pex tool.  Build systems such as
 Pants and Buck also support building .pex files directly.
 .
 This is the common documentation package.

Package: python-pexpect
Description-md5: d65bfec864ae3e7ccf016394e866e099
Description-en: Python module for automating interactive applications
 Pexpect is a pure Python module for spawning child applications;
 controlling them; and responding to expected patterns in their
 output. Pexpect works like Don Libes' Expect. Pexpect allows your
 script to spawn a child application and control it as if a human were
 typing commands.

Package: python-pg8000-doc
Description-md5: 1019b24c289467d7819d254b77f12942
Description-en: Pure-Python PostgreSQL Driver (documentation)
 pg8000 is a Pure-Python interface to the PostgreSQL database engine.  It is
 one of many PostgreSQL interfaces for the Python programming language. pg8000
 is somewhat distinctive in that it is written entirely in Python and does not
 rely on any external libraries (such as a compiled Python module, or
 PostgreSQL's libpq library). pg8000 supports the standard Python DB-API
 version 2.0.
 .
 pg8000's name comes from the belief that it is probably about the 8000th
 PostgreSQL interface for Python.
 .
 This package contains the documentation for the library.

Package: python-phabricator
Description-md5: 9977686c64fd2e03b14367aa67e20bd2
Description-en: Phabricator Python API Bindings (Python 2)
 Phabricator is an open source collection of web applications which make it
 easier to write, review, and share source code.
 .
 The current package provides Python API bindings for Phabricator interfaces.

Package: python-piggyphoto
Description-md5: 451e3360358d23697858be035c3fde69
Description-en: Python bindings for libgphoto2
 Piggyphoto is a simple Python language binding for controlling photo cameras
 using libgphoto2 that allows one to easily take and download photos with a PTP
 supporting camera connected to a USB port of the computer.

Package: python-pika-doc
Description-md5: 5ad1baa3196a5c1a6dee0016d813c7c2
Description-en: AMQP client library documentation
 Pika is a pure-Python implementation of the AMQP-0-9-1 protocol that tries to
 stay fairly independent of the underlying network support library. Pika was
 developed primarily for use with RabitMQ, but also works with other
 AMQP-0-9-1 brokers.
 .
 This is the library documentation.

Package: python-pil
Description-md5: 7fb415befc961c94ce8c999eb6902e95
Description-en: Python Imaging Library (Pillow fork)
 The Python Imaging Library (PIL) adds an image object to your Python
 interpreter. You can load images from a variety of file formats, and
 apply a rich set of image operations to them.
 .
 Image Objects:
  o Bilevel, greyscale, palette, true colour (RGB), true colour with
    transparency (RGBA).
  o colour separation (CMYK).
  o Copy, cut, paste operations.
  o Flip, transpose, resize, rotate, and arbitrary affine transforms.
  o Transparency operations.
  o Channel and point operations.
  o Colour transforms, including matrix operations.
  o Image enhancement, including convolution filters.
 .
 File Formats:
  o Full (Open/Load/Save): BMP, EPS (with ghostscript), GIF, IM, JPEG,
    MSP, PDF, PNG, PPM, TIFF, XBM.
  o Read only (Open/Load): ARG, CUR, DCX, FLI, FPX, GBR, GD, ICO, IMT, IPTC,
    MCIDAS, MPEG, PhotoCD, PCX, PIXAR, PSD, TGA, SGI, SUN, TGA, WMF, XPM.
  o Save only: PDF, EPS (without ghostscript).

Package: python-pil-dbg
Description-md5: d6a7480c57ccf294697d16f2577b0491
Description-en: Python Imaging Library (debug extension)
 The Python Imaging Library (PIL) adds an image object to your Python
 interpreter. You can load images from a variety of file formats, and
 apply a rich set of image operations to them.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-pil.imagetk
Description-md5: d7c38cb1538a3b67445e030c1ee4dcff
Description-en: Python Imaging Library - ImageTk Module (Pillow fork)
 Tk dependent python-pil module.

Package: python-pil.imagetk-dbg
Description-md5: 6e66fe1fd66cbdce6623bfe718d8bb25
Description-en: Python Imaging Library - ImageTk Module (debug extension)
 Tk dependent python-pil module.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-pip-whl
Description-md5: 1284c358e53d20e9aa626d1280d5ee73
Description-en: Python package installer
 pip is the Python package installer.  It integrates with virtualenv, doesn't
 do partial installs, can save package state for replaying, can install from
 non-egg sources, and can install from version control repositories.
 .
 This is the support package for the PEP 427 wheel version of the package,
 required for using pip inside a virtual environment.

Package: python-pkg-resources
Description-md5: 455aab7092c23bbca0a002df43ba97e4
Description-en: Package Discovery and Resource Access using pkg_resources
 The pkg_resources module provides an API for Python libraries to
 access their resource files, and for extensible applications and
 frameworks to automatically discover plugins.  It also provides
 runtime support for using C extensions that are inside zipfile-format
 eggs, support for merging packages that have separately-distributed
 modules or subpackages, and APIs for managing Python's current
 "working set" of active packages.

Package: python-pkginfo-doc
Description-md5: 5e02b68b0a2eaf2a14e1714caa31f897
Description-en: Python module to query metadata from packages documentation
 This package provides an API for querying the distutils metadata written in
 the PKG-INFO file inside a source distribution (an sdist), or into the
 EGG-INFO directory of an installed distribution.

Package: python-plaster-doc
Description-md5: 8cdf608563150a9cb9054dc02ba0b66f
Description-en: Python multi-format configuration file loader interface - Documentation
 plaster is a loader interface around arbitrary config file formats. It exists
 to define a common API for applications to use when they wish to load
 configuration settings.
 .
 The library itself does not aim to handle anything except a basic API that
 applications may use to find and load configuration settings. Any specific
 constraints should be implemented in a pluggable loader which can be registered
 via an entrypoint.
 .
 This package provides the documentation of the module.

Package: python-plastex-doc
Description-md5: ad1554432b16d3cfa0e1b82094d352f9
Description-en: LaTeX document processing framework in Python - documentation
 plasTeX is a collection of Python frameworks that allow you to process LaTeX
 documents. This processing includes, but is not limited to, conversion of
 LaTeX documents to various document formats. Of course, it is capable of
 converting to HTML or XML formats such as DocBook and tBook, but it is an
 open framework that allows you to drive any type of rendering. This means that
 it could be used to drive a COM object that creates a MS Word Document.
 .
 The plasTeX framework allows you to control all of the processes including
 tokenizing, object creation, and rendering through API calls. You also have
 access to all of the internals such as counters, the states of "if" commands,
 locally and globally defined macros, labels and references, etc. In essence,
 it is a LaTeX document processor that gives you the advantages of an XML
 document in the context of a language as superb as Python.
 .
 This package contains the documentation for both modules and command line
 tool.

Package: python-pluggy
Description-md5: 171c34d9c59268d08167158c7ae5cd4a
Description-en: plugin and hook calling mechanisms for Python - 2.7
 pluggy is the cristallized core of plugin management as used by some 150
 plugins for pytest.
 .
 This is the Python 2 library.

Package: python-ply
Description-md5: 1829572562d81a89af6afe493eddd643
Description-en: Lex and Yacc implementation for Python2
 PLY   is   yet  another   implementation   of   lex   and  yacc   for
 Python.  Although  several  other  parsing tools  are  available  for
 Python, there are  several reasons why you might want  to take a look
 at PLY:
  * It's implemented entirely in Python.
  * It uses  LR-parsing which is reasonably efficient  and well suited
    for larger grammars.
  * PLY  provides most  of  the standard  lex/yacc features  including
    support for  empty productions, precedence  rules, error recovery,
    and support for ambiguous grammars.
  * PLY is  extremely easy  to use and  provides very  extensive error
    checking.
 .
 This package contains the Python 2 module.

Package: python-pmw
Description-md5: bed820edd408df01de5f210f20b368ab
Description-en: Pmw -- Python MegaWidgets
 Pmw is a framework for building high-level compound widgets in Python
 using the Tkinter interface to the Tk graphics library.  It
 consists of a set of base classes and a library of flexible and
 extensible megawidgets built on these base classes.
 .
 This package provides the pmw modules

Package: python-pmw-doc
Description-md5: 08038baf39d68188e66910693a7cdc23
Description-en: Pmw -- Python MegaWidgets
 Pmw is a framework for building high-level compound widgets in Python
 using the Tkinter interface to the Tk graphics library.  It
 consists of a set of base classes and a library of flexible and
 extensible megawidgets built on these base classes.
 .
 This package provides the pmw documentation

Package: python-pocket-lint
Description-md5: 8a4d7ace30b723e6e1f44ababaf0345e
Description-en: composite linter and style checker
 Pocket-lint has several notable features:
  * Provides a consistent report of issues raised by the subordinate checkers.
  * Alternate Reports can be written to change the report, or integrate
    the report into another application.
  * Supports checking of multiple source types
   * Python syntax and style
   * Python doctest style
   * XML/HTML style and entities
   * CSS style
   * JavaScript syntax and style
   * Plain text
  * Supports reporting
   * Python doctests
   * CSS
   * XML/HTML

Package: python-podcastparser-doc
Description-md5: b2abc57e5104f928d6bf5060038c84e8
Description-en: Simplified, fast RSS parsing library (common documentation)
 The podcast parser project is a library from the gPodder project to
 provide an easy and reliable way of parsing RSS- and Atom-based podcast
 feeds in Python.
 .
 This is the common documentation package.

Package: python-pomegranate-doc
Description-md5: 624b1b2e481885c2240a30615a9138ae
Description-en: documentation accompanying probabilistic modelling library
 pomegranate is a package for probabilistic models in Python that is
 implemented in cython for speed. It's focus is on merging the easy-to-use
 scikit-learn API with the modularity that comes with probabilistic
 modeling to allow users to specify complicated models without needing to
 worry about implementation details. The models are built from the ground
 up with big data processing in mind and so natively support features
 like out-of-core learning and parallelism.
 .
 This is the common documentation package.

Package: python-posix-ipc
Description-md5: 9896f1d75854647abe2096d7ced747cd
Description-en: semaphores, shared memory and message queues - Python 2.x
 posix_ipc is a Python module (written in C) that permits creation and
 manipulation of POSIX inter-process semaphores, shared memory and message
 queues on platforms supporting the POSIX Realtime Extensions a.k.a. POSIX
 1003.1b-1993. This includes nearly all Unices and Windows + Cygwin 1.7.
 .
 This package contains the Python 2.x module.

Package: python-pp
Description-md5: 2a9c76124a7fea7c8d4b40217992dc5d
Description-en: parallel and distributed programming toolkit for Python
 Parallel Python module (pp) provides an easy and efficient way to
 create parallel-enabled applications for SMP computers and clusters.
 pp module features cross-platform portability and dynamic load
 balancing. Thus application written with PP will parallelize
 efficiently even on heterogeneous and multi-platform clusters
 (including clusters running other application with variable CPU
 loads).

Package: python-ppl-doc
Description-md5: 3da4948a5ea5520712290eaf768472a1
Description-en: Python interface to PPL -- documentation
 A Python interface to the C++ Parma Polyhedra Library (PPL),
 which allows computations with polyhedra and grids, like mixed
 integer linear programming.
 .
 This is the common documentation package.

Package: python-presage
Description-md5: a211b021bec78fd54fd35fc4e35611f9
Description-en: intelligent predictive text entry platform (Python binding)
 Presage is an intelligent predictive text entry platform.
 .
 This package provides the Python binding for libpresage.
 .
 This package contains the Python extension module for libpresage.

Package: python-presage-dbg
Description-md5: c8dfac6523b748e8e81ae956ec62092c
Description-en: intelligent predictive text entry platform (Python binding debugging symbols)
 Presage is an intelligent predictive text entry platform.
 .
 This package contains the debugging symbols for the Python extension
 module for libpresage.

Package: python-pretend
Description-md5: 5c420bfdf69a405abb2ea4ceaf23533b
Description-en: Python library for stubbing (Python 2)
 Pretend is a library to make stubbing with Python easier.
 .
 Stubbing is a technique for writing tests. You may hear the term mixed up with
 mocks, fakes, or doubles. Basically a stub is an object that returns pre-canned
 responses, rather than doing any computation.
 .
 This package contains the Python 2 version of pretend.

Package: python-proliantutils-doc
Description-md5: 22038f7dac5fd6c2094b7308df91ee9d
Description-en: client lib interfacing various devices in HP Proliant Servers - doc
 Proliant Management Tools provides Python libraries for interfacing and
 managing various devices(like iLO) present in HP Proliant Servers. Currently,
 this module offers a library to interface to iLO4 using RIBCL.
 .
 This package contains the documentation.

Package: python-protobuf
Description-md5: be8d4586108d36eccf5a91b06dc55099
Description-en: Python bindings for protocol buffers
 Protocol buffers are a flexible, efficient, automated mechanism for
 serializing structured data - similar to XML, but smaller, faster, and
 simpler. You define how you want your data to be structured once, then you can
 use special generated source code to easily write and read your structured
 data to and from a variety of data streams and using a variety of languages.
 You can even update your data structure without breaking deployed programs
 that are compiled against the "old" format.
 .
 Google uses Protocol Buffers for almost all of its internal RPC protocols and
 file formats.
 .
 This package contains the Python bindings for the protocol buffers. You will
 need the protoc tool (in the protobuf-compiler package) to compile your
 definition to Python classes, and then the modules in this package will allow
 you to use those classes in your programs.

Package: python-prov-doc
Description-md5: ee5bc3f91e91f8270368430f780091b7
Description-en: documentation for prov
 A library for W3C Provenance Data Model supporting PROV-JSON and PROV-
 XML import/export.
 .
 Features:
  - An implementation of the W3C PROV Data Model in Python.
  - In-memory classes for PROV assertions, which can then be output
    as PROV-N.
  - Serialization and deserializtion support: PROV-JSON and PROV-XML.
  - Exporting PROV documents into various graphical formats (e.g. PDF,
    PNG, SVG).
 .
 This package provides the documentation.

Package: python-pskc-doc
Description-md5: 5a21d7c0e8bac53a0697d99aed174045
Description-en: Python module for handling PSKC files (Documentation)
 This Python library handles Portable Symmetric Key Container (PSKC) files as
 defined in RFC6030. PSKC files are used to transport and provision symmetric
 keys and key meta data (seed files) to different types of crypto modules,
 commonly one-time password tokens or other authentication devices.
 .
 This module can be used to extract keys from PSKC files for use in an OTP
 authentication system. The module can also be used for authoring PSKC files.
 .
 This package contains the module's documentation.

Package: python-psutil
Description-md5: 005481275c8fc26b0d2a10ba28345971
Description-en: module providing convenience functions for managing processes
 psutil is a module providing an interface for retrieving information on
 running processes and system utilization (CPU, memory) in a portable way
 by using Python, implementing many functionalities offered by tools like
 ps, top and Windows task manager.
 .
 It currently supports Linux, OS X, FreeBSD and Windows.

Package: python-psutil-dbg
Description-md5: 9bf4ac1efcb6f4f68dbb73b6c16892ab
Description-en: module providing convenience functions for managing processes (debug)
 psutil is a module providing an interface for retrieving information on
 running processes and system utilization (CPU, memory) in a portable way
 by using Python, implementing many functionalities offered by tools like
 ps, top and Windows task manager.
 .
 It currently supports Linux, OS X, FreeBSD and Windows.
 .
 This package contains the debug extension for python-psutil.

Package: python-ptk-doc
Description-md5: cb86cc1cf8b5eb934cf5c55b5416b6f9
Description-en: parser for Python with support for asynchronous input (documentation)
 PTK implements LR(1) parsing in Python. Compared to compiled tools
 like Bison, it attempts to spare programmer's time. Python sources
 describe both the grammar and the callbacks, avoiding code
 generation.  Various inputs are accepted: Python 3 asynchronous
 streams, PyZipFile archives, Twisted Deferred objects.
 .
 This package contains the documentation.

Package: python-ptyprocess
Description-md5: 099c01a22c95d56ebe1d372d5909421f
Description-en: Run a subprocess in a pseudo terminal from Python 2
 Launch a subprocess in a pseudo terminal (pty), and interact with both
 the process and its pty.
 .
 Sometimes, piping stdin and stdout is not enough. There might be a password
 prompt that doesn't read from stdin, output that changes when it's going to
 a pipe rather than a terminal, or curses-style interfaces that rely on a
 terminal. If you need to automate these things, running the process in a
 pseudo terminal (pty) is the answer.
 .
 This package installs the library for Python 2.

Package: python-py
Description-md5: 23ae525bcd8a7343e68f18cd0b6565ae
Description-en: Advanced Python development support library (Python 2)
 The Codespeak py lib aims at supporting a decent Python development process
 addressing deployment, versioning and documentation perspectives. It includes:
 .
  * py.path: path abstractions over local and Subversion files
  * py.code: dynamic code compile and traceback printing support
 .
 This package provides the Python 2 modules.

Package: python-pyasn1
Description-md5: 2f29894451af55e9caa9faee8c6cb009
Description-en: ASN.1 library for Python (Python 2 module)
 This  is  an implementation  of  ASN.1  types  and codecs  in  Python
 programming language. It has been first written to support particular
 protocol (SNMP) but then generalized  to be suitable for a wide range
 of protocols based on ASN.1 specification.
 .
 This package contains the Python 2 module.

Package: python-pyasn1-modules
Description-md5: 4254f8c049ce7ed29fefc084581fcf42
Description-en: Collection of protocols modules written in ASN.1 language
 This is a small but growing collection of ASN.1 data structures
 expressed in Python terms using pyasn1 data model.
 .
 It's thought to be useful to protocol developers and testers.
 .
 Please note that pyasn1_modules is neither part of the pyasn1 package
 nor related to it.

Package: python-pyaudio-doc
Description-md5: 213bd2ec96d12497403f9413091e8d39
Description-en: Documentation for Python bindings for PortAudio v19
 Documentation for PyAudio, which provides Python bindings for
 PortAudio v19, the cross-platform audio I/O library. PyAudio makes it
 easy to use Python to play and record audio via pythonic wrappers
 around the PortAudio API.

Package: python-pybedtools-doc
Description-md5: d5d05008cb75385e095f06d726db2daf
Description-en: Documentation for pybedtools library
 Shinx-generated documentation.

Package: python-pybindgen-doc
Description-md5: c28268cf5ab8141e6570b7aa6eb303ce
Description-en: Python bindings generator (common documentation)
 This package contains a Python bindings generator. It can generate clean C or
 C++ code or self contained code.
 .
 This is the common documentation package.

Package: python-pybloomfiltermmap
Description-md5: df058408412d6c855caec254c931b3fb
Description-en: Bloom filter (bloomfilter) for Python built on mmap
 This module implements a Bloom filter in Python that's fast and uses
 mmap files for better scalability.
 .
 It main advantages are:
  * It natively uses mmaped files.
  * It natively does the set things you want a Bloom filter to do.
  * It is fast.

Package: python-pybtex-doc
Description-md5: 72a3c21dd34e555e7db8113321771e53
Description-en: documentation for pybtex
 Pybtex reads citation information from a file and produces a formatted
 bibliography. BibTeX style files are supported. Alternatively it is
 possible to write styles in Python.
 .
 Pybtex currently understands the following bibliography formats:
  * BibTeX
  * BibTeXML
  * YAML-based format
 .
 The resulting bibliography may be output in one of the following formats:
  * LaTeX
  * HTML
  * plain text
 .
 This package provides the documentation.

Package: python-pybtex-docutils-doc
Description-md5: a3ffad9dcf1c077bf01b423c2b6d5b7f
Description-en: documentation for pybtex-docutils
 Pybtex reads citation information from a file and produces a formatted
 bibliography. Pybtex-docutils provides a plugin which brings support for
 docutils to pybtex.
 .
 This package provides the documentation.

Package: python-pycares-doc
Description-md5: 020ca5955b6c5fcf17c7f8831582bf45
Description-en: Python interface for c-ares (common documentation)
 pycares is a Python 3 module which provides an interface to c-ares. c-ares is
 a C library that performs DNS requests and name resolutions asynchronously.
 .
 This is the common documentation package.

Package: python-pycoast-doc
Description-md5: ce7317dc7ae603457847738fc91b1c4c
Description-en: Draw coastlines, borders and rivers on images (common documentation)
 Pycoast is a Python package to add coastlines, borders and rivers to
 raster images using data from the GSHHG (previously known as GSHHS)
 and WDBII datasets.
 .
 This package is part of the PyTroll toolset.
 .
 This is the common documentation package.

Package: python-pycodestyle
Description-md5: 60e3dea514a42dbfe8a96376a2209a33
Description-en: Python style guide checker (formerly called pep8) - Python 2.x
 Features a plugin architecture allowing for adding new checks is easily.
 Parseable output listing line numbers of the error location. Consists of
 just one Python file, and requires only stdlib.
 .
 This package contains the Python 2.x module.

Package: python-pycparser
Description-md5: e22075591582bc38de1b7ea6596d5be1
Description-en: C parser in Python
 pycparser is a complete parser of the C language, written in pure Python using
 the PLY parsing library. It parses C code into an AST and can serve as a
 front-end for C compilers or analysis tools.

Package: python-pycryptodome
Description-md5: 298f0a0583489a3c2e3c15eb3b38b36d
Description-en: cryptographic Python library (Python 2)
 PyCryptodome is a self-contained Python package of low-level
 cryptographic primitives.
 .
 PyCryptodome is a fork of PyCrypto. It brings several enhancements
 with respect to the last official version of PyCrypto (2.6.1),
 for instance:
 .
   * Authenticated encryption modes (GCM, CCM, EAX, SIV, OCB)
   * Accelerated AES on Intel platforms via AES-NI
   * First class support for PyPy
   * Elliptic curves cryptography (NIST P-256 curve only)
   * Better and more compact API (`nonce` and `iv` attributes for ciphers,
     automatic generation of random nonces and IVs, simplified CTR cipher mode,
     and more)
   * SHA-3 (including SHAKE XOFs) and BLAKE2 hash algorithms
   * Salsa20 and ChaCha20 stream ciphers
   * scrypt and HKDF
   * Deterministic (EC)DSA
   * Password-protected PKCS#8 key containers
   * Shamir's Secret Sharing scheme
   * Random numbers get sourced directly from the OS (and not from a CSPRNG in
     userspace)
   * Simplified install process, including better support for Windows
   * Cleaner RSA and DSA key generation (largely based on FIPS 186-4)
   * Major clean ups and simplification of the code base
 .
 PyCryptodome is not a wrapper to a separate C library like *OpenSSL*.
 To the largest possible extent, algorithms are implemented in pure Python.
 Only the pieces that are extremely critical to performance (e.g. block ciphers)
 are implemented as C extensions.
 .
 This is the Python 2 version of the package.

Package: python-pycryptopp
Description-md5: fec7ea085e907df49fc89b360b1e63f7
Description-en: Python wrappers for the Crypto++ library
 PyCryptopp is a set of Python wrappers for a few of the best crypto algorithms
 from the Crypto++ library (including SHA-256, AES, RSA signatures and Elliptic
 Curve DSA signatures).

Package: python-pycurl
Description-md5: e47c4069fbabd417c9ff431ac49e40c4
Description-en: Python bindings to libcurl
 This module provides the Python bindings to libcurl. Please refer to
 the libcurl documentation available in libcurl4-gnutls-dev Debian package.
 .
 NOTE: the SSL support is provided by GnuTLS.
 .
 This package contains PyCURL for Python 2.

Package: python-pycurl-dbg
Description-md5: bb4bb2b3927953719aea9c36484bebaf
Description-en: Python bindings to libcurl (debug extension)
 This module provides the Python bindings to libcurl. Please refer to
 the libcurl documentation available in libcurl4-gnutls-dev Debian package.
 .
 This package contains the extension built for the Python 2 debug interpreter.

Package: python-pydbus-doc
Description-md5: bf7b9931d040f344eccab8d1064cb57b
Description-en: Pythonic D-Bus library (common documentation)
 pydbus provides a pythonic interface to the D-Bus message
 bus system. pydbus can be used to access remote objects
 and also for object publication.
 .
 It is based on PyGI, the Python GObject Introspection
 bindings, which is the recommended way to use GLib from
 Python.
 .
 This is the common documentation package.

Package: python-pydicom-doc
Description-md5: 2e10d6fad426d3118542fde6a4ea6971
Description-en: DICOM medical file reading and writing (documentation)
 pydicom is a pure Python module for parsing DICOM files.  DICOM is a
 standard (http://medical.nema.org) for communicating medical images
 and related information such as reports and radiotherapy objects.
 .
 pydicom makes it easy to read DICOM files into natural pythonic
 structures for easy manipulation.  Modified datasets can be written
 again to DICOM format files.
 .
 This package contains the documentation.

Package: python-pydispatch-doc
Description-md5: 9f0cdf0a518823dc22fbb078e85d62ca
Description-en: documentation for python3-pydispatch
 PyDispatcher provides the Python programmer with a
 multiple-producer-multiple-consumer signal-registration and routing
 infrastructure for use in multiple contexts. The mechanism of PyDispatcher
 started life as a highly rated recipe in the Python Cookbook. The project
 aims to include various enhancements to the recipe developed during use in
 various applications.
 .
 This package contains the documentation for PyDispatcher. It covers
 the Python 3 versions.

Package: python-pydl-doc
Description-md5: ac56203e2bb8640660252fa4efb09fcd
Description-en: Library of IDL astronomy routines converted to Python (doc)
 PyDL consists of Python replacements for functions that are part of the IDL
 built-in library or part of astronomical IDL libraries.
 The emphasis is on reproducing results of the astronomical library
 functions.
 Only the bare minimum of IDL built-in functions are implemented to support
 this.
 .
 There are four astronomical libraries targeted:
 .
   * idlutils: a general suite of tools heavily used by SDSS.
   * Goddard utilities: The IDL Astronomy User's Library, maintained by
 Wayne Landsman and distributed with idlutils.
   * idlspec2d: tools for working with SDSS, BOSS and eBOSS spectroscopic
 data.
   * photoop: tools for working with SDSS imaging data.
 .
 This is the common documentation package.

Package: python-pydotplus-doc
Description-md5: adf854bf9afacea08fe13c853bae4e5e
Description-en: interface to Graphviz's Dot language - doc
 PyDotPlus is an improved version of the old pydot project that provides a
 Python Interface to Graphviz's Dot language.
 .
 Differences with pydot:
  * Compatible with PyParsing 2.0+.
  * Python 2.7 - Python 3 compatible.
  * Well documented.
  * CI Tested.
 .
 This package contains the documentation.

Package: python-pyeapi-doc
Description-md5: f07b39d8ec6b8bc3d3597505ddb6fe5c
Description-en: Python API to interact with EOS network devices - docs
 The Python Client for eAPI (pyeapi) is a native Python library
 wrapper around Arista EOS eAPI.  It provides a set of Python language
 bindings for configuring Arista EOS nodes. It can be used either on a
 local node (running EOS) or on a remote node.
 .
 This library also provides an API layer for building native Python
 objects to interact with the destination nodes. The API layer is a
 convenient implementation for working with the EOS configuration and
 is extensible for developing custom implementations. For example, it
 allows one to list VLAN or configure a BGP session.
 .
 This package contains the documentation.

Package: python-pyelftools
Description-md5: 2419a7e32b1987c2a2027b539f45051f
Description-en: pure-python2 library for parsing ELF and DWARF
 pyelftools is a pure-Python library for parsing and analyzing ELF
 files and DWARF debugging information. It can be used to query
 information about compiled binaries and libraries from your Python
 code.
 .
 This package installs the library for Python 2.

Package: python-pyepl
Description-md5: 7039f8695b4144c94d98cedceedbaa24
Description-en: module for coding psychology experiments in Python
 PyEPL is a stimuli delivery and response registration toolkit to be
 used for generating psychology (as well as neuroscience, marketing
 research, and other) experiments.
 .
 It provides
  - presentation: both visual and auditory stimuli
  - responses registration: both manual (keyboard/joystick) and
    sound (microphone) time-stamped
  - sync-pulsing: synchronizing your behavioral task with external
    acquisition hardware
  - flexibility of encoding various experiments due to the use of
    Python as a description language
  - fast execution of critical points due to the calls to linked
    compiled libraries
 .
 This toolbox is here to be an alternative for a widely used
 commercial product E'(E-Prime)
 .
 This package provides PyEPL for supported versions of Python.

Package: python-pyepl-common
Description-md5: 3efa7beac72c6f52fbc21fbe9556aa7a
Description-en: module for coding psychology experiments in Python
 PyEPL is a stimuli delivery and response registration toolkit to be
 used for generating psychology (as well as neuroscience, marketing
 research, and other) experiments.
 .
 It provides
  - presentation: both visual and auditory stimuli
  - responses registration: both manual (keyboard/joystick) and
    sound (microphone) time-stamped
  - sync-pulsing: synchronizing your behavioral task with external
    acquisition hardware
  - flexibility of encoding various experiments due to the use of
    Python as a description language
  - fast execution of critical points due to the calls to linked
    compiled libraries
 .
 This toolbox is here to be an alternative for a widely used
 commercial product E'(E-Prime)
 .
 This package provides common files such as images.

Package: python-pyepsg-doc
Description-md5: b243d8e8f3ca46972ae3e63280ff46c0
Description-en: documentation for pyepsg
 A simple interface to EPSG.io (https://epsg.io/).
 .
 EPSG.io simplifies the discovery of coordinate reference systems
 utilized all over the world for creating maps and geodata and for
 identifying geo-position. It is a practical tool for anybody interested
 in cartography and digital map making, who needs to know exact latitude
 and longitude values for numerical coordinates in different spatial
 reference systems.
 .
 This package provides the documentation.

Package: python-pyfai-doc
Description-md5: d6572a9c1596d669643696633e2deec6
Description-en: Fast Azimuthal Integration scripts - Documentation
 PyFAI is a Python library for azimuthal integration; it allows the conversion
 of diffraction images taken with 2D detectors like CCD cameras into X-Ray
 powder patterns that can be used by other software like Rietveld refinement
 tools (i.e. FullProf), phase analysis or texture analysis.
 .
 As PyFAI is a library, its main goal is to be integrated in other tools like
 PyMca, LiMa or EDNA. To perform online data analysis, the precise description
 of the experimental setup has to be known. This is the reason why PyFAI
 includes geometry optimization code working on "powder rings" of reference
 samples. Alternatively, PyFAI can also import geometries fitted with other
 tools like Fit2D.
 .
 PyFAI has been designed to work with any kind of detector with any geometry
 (transmission, reflection, off-axis, ...). It uses the Python library FabIO
 to read most images taken by diffractometer.
 .
 This is the common documentation package.

Package: python-pyfaidx-examples
Description-md5: 31f9e3789b2b4494beee58b7d1274d0a
Description-en: example data for efficient random access to fasta subsequences for Python
 Samtools provides a function "faidx" (FAsta InDeX), which creates a
 small flat index file ".fai" allowing for fast random access to any
 subsequence in the indexed FASTA file, while loading a minimal amount of
 the file in to memory. This Python module implements pure Python classes
 for indexing, retrieval, and in-place modification of FASTA files using
 a samtools compatible index. The pyfaidx module is API compatible with
 the pygr seqdb module. A command-line script "faidx" is installed
 alongside the pyfaidx module, and facilitates complex manipulation of
 FASTA files without any programming knowledge.
 .
 This package provides example data to test the Python modules.

Package: python-pyfann
Description-md5: 2cb0aba9cb77dc2286e8812a0067f836
Description-en: deprecated Python bindings for FANN
 Fast Artificial Neural Network Library is a free open source neural network
 library, which implements multilayer artificial neural networks in C with
 support for both fully connected and sparsely connected networks.
 .
 This package provides the Python bindings for FANN under their former name
 "pyfann". However, these are deprecated, and you should migrate your code
 to use the bindings from python-fann2 instead.

Package: python-pyfftw-doc
Description-md5: 5e416b123cb7d4af1bfff60b96d7eca0
Description-en: Pythonic wrapper around FFTW - docs
 Pythonic wrapper around FFTW, the speedy FFT library. The ultimate
 aim is to present a unified interface for all the possible transforms
 that FFTW can perform.
 .
 Both the complex DFT and the real DFT are supported, as well as
 arbitrary axes of abitrary shaped and strided arrays, which makes it
 almost feature equivalent to standard and real FFT functions of
 numpy.fft (indeed, it supports the clongdouble dtype which numpy.fft
 does not).
 .
 pyFFTW is BSD-licensed and should not be confused with python-fftw, a
 GPL-licensed python module with the same aim of providing python
 bindings to FFTW3. Or python-gpyfft, which provides bindings to the
 OpenCL FFT library clFFT.
 .
 This package provides the pyFFTW documentation.

Package: python-pyflakes
Description-md5: 2d60fa392fd509f9f54759138e65180e
Description-en: passive checker of Python 2 programs - Python modules
 Pyflakes is program to analyze Python programs and detect various
 errors. It works by parsing the source file, not importing it, so it
 is safe to use on modules with side effects. It's also much faster.
 .
 Unlike PyLint, Pyflakes checks only for logical errors in programs;
 it does not perform any checks on style.
 .
 This is the Python 2 library version.

Package: python-pyftpdlib-doc
Description-md5: a62a08198cc8ed014e31232eb20e5ae2
Description-en: documentation for Python FTP server library
 Python FTP server library provides a high-level portable interface to
 easily write asynchronous FTP servers with Python.
 .
 pyftpdlib is currently the most complete RFC-959 FTP server
 implementation available for Python programming language. It is used
 in projects like Google Chromium and Bazaar.
 .
 This package provides the documentation.

Package: python-pygame
Description-md5: 80ac041c0e2b6ef31512e78313fa1d83
Description-en: SDL bindings for games development (Python 2)
 A multimedia development kit for Python. Pygame provides modules for you
 to access the video display, play sounds, track time, read the mouse and
 joystick, control the CD player, render true type fonts and more. It does
 this using mainly the cross-platform SDL library, a lightweight wrapper
 to OS-specific APIs.
 .
 This is the Python 2 version of the package.

Package: python-pygame-doc
Description-md5: 2cdb22fac114239e5de60516ac41696d
Description-en: SDL bindings for games development in Python (documentation)
 A multimedia development kit for Python. Pygame provides modules for you
 to access the video display, play sounds, track time, read the mouse and
 joystick, control the CD player, render true type fonts and more. It does
 this using mainly the cross-platform SDL library, a lightweight wrapper
 to OS-specific APIs.
 .
 This package contains Pygame's API documentation and examples.

Package: python-pygame-sdl2
Description-md5: 1aa938d9af6192a040c3d7850a9a2ee3
Description-en: reimplementation of the Pygame API using SDL2
 Pygame_sdl2 is a reimplementation of the Pygame API using SDL2 and related
 libraries. The initial goal of this project is to allow games written using
 the pygame API to run on SDL2 on desktop and mobile platforms. The API will be
 evolved to expose SDL2-provided functionality in a pythonic manner.

Package: python-pygccxml-doc
Description-md5: 4d256369115de867d77b87af9aca8e8c
Description-en: specialized XML reader reads the output from gccxml - documentation
 The purpose of pygccxml is to read a generated file and provide a
 simple framework to navigate C++ declarations using Python classes.
 .
 This package provides the API documentation.

Package: python-pygit2-doc
Description-md5: 2332f69be3b94fa1ebf3ec68dd6f43ba
Description-en: bindings for libgit2 - doc
 The Pygit2 module provides a set of Python bindings to the libgit2 shared
 library. libgit2 implements the core of Git. Pygit2 works with Python 2.7,
 3.x and pypy.
 .
 This package contains the documentation.

Package: python-pygments
Description-md5: f1bca78dffbd44d76ff7ad2062c8e190
Description-en: syntax highlighting package written in Python
 Pygments aims to be a generic syntax highlighter for general use in all kinds
 of software such as forum systems, wikis or other applications that need to
 prettify source code.
 .
 Highlights are:
   * a wide range of common languages and markup formats is supported
   * special attention is paid to details, increasing quality by a fair amount
   * support for new languages and formats are added easily
   * a number of output formats, presently HTML, LaTeX and ANSI sequences
   * it is usable as a command-line tool and as a library

Package: python-pygments-doc
Description-md5: 12ec1cdf25e63fe60e3afaa554496b92
Description-en: documentation for the Pygments
 Pygments is syntax highlighting package.
 .
 This package contains the documentation for Pygments in HTML and
 reStructuredText format.

Package: python-pygraphviz-doc
Description-md5: 84251949f03123160cc9670f9d38f9b3
Description-en: Python interface to the Graphviz graph layout and visualization package (doc)
 Pygraphviz is a Python interface to the Graphviz graph layout and
 visualization package.
 .
 With Pygraphviz you can create, edit, read, write, and draw graphs using
 Python to access the Graphviz graph data structure and layout algorithms.
 .
 This package contains documentation for python-pygraphviz.

Package: python-pygresql-doc
Description-md5: 177fbc63c43b93c82340b098c47ef141
Description-en: Python Pygresql (common documentation)
 PyGreSQL is a Python module that interfaces to a PostgreSQL database. It
 embeds the PostgreSQL query library to allow easy use of the powerful
 PostgreSQL features from a Python script.
 .
 This is the common documentation package.

Package: python-pygtrie-doc
Description-md5: 809cfcb3b833a83203e045282c915ed3
Description-en: Python library implementing a trie data structure (common documentation)
 Trie data structure, also known as radix or prefix tree, is a tree
 associating keys to values where all the descendants of a node have
 a common prefix (associated with that node).
 .
 The trie module contains Trie, CharTrie and StringTrie classes each
 implementing a mutable mapping interface, i.e. dict interface. As
 such, in most circumstances, Trie could be used as a drop-in
 replacement for a dict, but the prefix nature of the data structure
 is trie's real strength.
 .
 The module also contains PrefixSet class which uses a trie to store
 a set of prefixes such that a key is contained in the set if it or
 its prefix is stored in the set.
 .
 This is the common documentation package.

Package: python-pyinotify
Description-md5: c2b7fd3e6aeb95e26caf9093a06d13a2
Description-en: simple Linux inotify Python bindings
 pyinotify is a simple wrapper for the Linux inotify mechanism.
 .
 inotify is a Linux Kernel feature available since 2.6.13. inotify makes
 it possible for applications to easily be notified of filesystem changes.

Package: python-pyinotify-doc
Description-md5: aa3352838440cc12a693f57d3079e80d
Description-en: simple Linux inotify Python bindings -- documentation
 pyinotify is a simple wrapper for the Linux inotify mechanism.
 .
 inotify is a Linux Kernel feature available since 2.6.13. inotify makes
 it possible for applications to easily be notified of filesystem changes.
 .
 This package contains examples.

Package: python-pylibmc
Description-md5: 06999fd9712f78cd74a9b86cc139ae57
Description-en: libmemcached wrapper
 Pylibmc is a Python wrapper around the accompanying C Python
 extension _pylibmc, which is a wrapper around libmemcached
 from TangentOrg. It also manages pooling in a multi-threading
 environment.

Package: python-pylibmc-doc
Description-md5: 3e95a8643a0db188fbc9f501113da5f1
Description-en: libmemcached wrapper (Documentation)
 Pylibmc is a Python wrapper around the accompanying C Python
 extension _pylibmc, which is a wrapper around libmemcached
 from TangentOrg. It also manages pooling in a multi-threading
 environment.
 .
 This package contains the documentation.

Package: python-pylibsrtp-doc
Description-md5: 23180deffc50973d477dc04ac6e139dc
Description-en: Python wrapper around libsrtp (documentation)
 pylibsrtp is a Python wrapper around libsrtp, making it
 possible to encrypt and decrypt Secure Real-time Transport
 Protocol (SRTP) packets from Python code.
 .
 SRTP is a profile of the Real-time Transport Protocol (RTP)
 which provides confidentiality, message authentication, and
 replay protection. It is defined by RFC 3711.
 .
 This package contains the documentation.

Package: python-pyluach-doc
Description-md5: 9a45659fcfa15b3eae5009e51ea6a9b1
Description-en: Hebrew dates and Hebrew-Gregorian conversions (Documentation)
 Pyluach is a Python package for manipulating Hebrew (Jewish) calendar dates and
 Hebrew-Gregorian conversions.
 .
 Features:
  * Conversion between Hebrew and Gregorian dates
  * Finding the difference between two dates
  * Finding a date at a given duration from the given date
  * Rich comparisons between dates
  * Finding the weekday of a given date
  * Finding the weekly Parsha reading of a given date
 .
 This package contains the documentation.

Package: python-pylxd-doc
Description-md5: 89ba55a8bb0f2c207ecc2b92bd61244a
Description-en: Python library for interacting with LXD REST API - doc
 LXD offers a REST API to remotely manage containers over the network,
 using an image based workflow and with support for live migration.
 .
 pylxd is a small Python library for interacting the with the
 LXD REST API.
 .
 This package provides the documentation.

Package: python-pymeasure-doc
Description-md5: 860ce39ecd7e7766000198d4e9bcff5d
Description-en: documentation for PyMeasure
 PyMeasure makes scientific measurements easy to set up and run.
 .
 The package contains a repository of instrument classes and a system for
 running experiment procedures, which provides graphical interfaces for
 graphing live data and managing queues of experiments. Both parts of the
 package are independent, and when combined provide all the necessary
 requirements for advanced measurements with only limited coding.
 .
 This package provides the documentation.

Package: python-pymediainfo-doc
Description-md5: ff03a4f4caa7a6262b6a7a8652a8f195
Description-en: documentation for the pymediainfo Python library
 This package provides documentation for pymediainfo.

Package: python-pymodbus-doc
Description-md5: 44d94d866f2f3435c22b18b18e6f8829
Description-en: full Modbus protocol implementation, documentation
 Pymodbus is a full Modbus protocol implementation.
 It is currently supports the following :
 .
 Client Features:
  * Can perform single get/set on discrete and registers
  * Can perform multiple get/set on discrete and registers
  * Working on diagnostic/file/pipe/setting/info requests
  * Can fully scrape a host to be cloned
 .
 Server Features:
  * Can function as a fully implemented TCP/ASCII modbus server
  * Full server control context
  * Working on serial communication
  * Working on functioning as a RTU
  * Can mimic a server based on the supplied input data
 .
 For asynchronous communication, you need python-twisted-core.
 For serial communication, you need python-serial.
 .
 This package provides the documentation and examples.

Package: python-pymzml-doc
Description-md5: a2ffd10797bdefa92c50f9d1365683b3
Description-en: mzML mass spectrometric data parsing - documentation
 python-pymzml is an extension to Python that offers:
  - easy access to mass spectrometry (MS) data that allows
    the rapid development of tools;
  - a very fast parser for mzML data, the standard in
    mass spectrometry data format;
  - a set of functions to compare or handle spectra.
 .
 This package contains the documentation in PDF and HTML format,
 along with the text sources (process with sphinx).

Package: python-pynfft-doc
Description-md5: 56bb16321e1df01b72723bd8519a63fc
Description-en: Python bindings for the NFFT3 library - Documentation
 This package provides Python bindings to the NFFT library, useful for
 performing Fourier transforms on non-uniformly sampled data with efficient
 speed. The bindings were generated using Cython and abstract the creation
 and execution of NFFT plans out using classes.
 .
 This package provides the common documentation.

Package: python-pyode
Description-md5: a045c45e947d21d2657b486a72a17b92
Description-en: Python bindings for The Open Dynamics Engine
 PyODE is a set of open-source Python bindings for The Open Dynamics
 Engine, an open-source physics engine. PyODE also includes an XODE
 parser.
 .
 This package provides PyODE for supported versions of Python.

Package: python-pyode-doc
Description-md5: 155c470f61b8fc187907f0be4208446f
Description-en: Python bindings for The Open Dynamics Engine
 PyODE is a set of open-source Python bindings for The Open Dynamics
 Engine, an open-source physics engine. PyODE also includes an XODE
 parser.
 .
 This package provides examples for PyODE.

Package: python-pyopencl-doc
Description-md5: 0b1f9cb9c27d466dca495ad8192d962e
Description-en: module to access OpenCL parallel computation API (documentation)
 PyOpenCL lets you access the OpenCL parallel computation API from
 Python. Here's what sets PyOpenCL apart:
  * Object cleanup tied to lifetime of objects. This idiom, often called
    RAII in C++, makes it much easier to write correct, leak- and
    crash-free code.
  * Completeness. PyOpenCL puts the full power of OpenCL’s API at your
    disposal, if you wish.
  * Convenience. While PyOpenCL's primary focus is to make all of OpenCL
    accessible, it tries hard to make your life less complicated as it
    does so--without taking any shortcuts.
  * Automatic Error Checking. All OpenCL errors are automatically
    translated into Python exceptions.
  * Speed. PyOpenCL’s base layer is written in C++, so all the niceties
    above are virtually free.
  * Helpful, complete documentation and a wiki.
  * Liberal licensing (MIT).
 .
 This package contains HTML documentation and example scripts.

Package: python-pyorbital-doc
Description-md5: 424d5521f7d1df085244a5c7b90a6ad8
Description-en: Orbital and astronomy computations in Python (documentation)
 Python package for computing orbital parameters from TLE
 files, and making diverse astronomical computations.
 .
 This package contains the common HTML documentation.

Package: python-pyparsing
Description-md5: 8bc131f8015a16e0908870038a1f1a26
Description-en: alternative to creating and executing simple grammars - Python 2.7
 The parsing module is an alternative approach to creating and
 executing simple grammars, vs. the traditional lex/yacc approach, or
 the use of regular expressions.  The parsing module provides a
 library of classes that client code uses to construct the grammar
 directly in Python code.
 .
 Here's an example:
 .
  from pyparsing import Word, alphas
  greet = Word(alphas) + "," + Word(alphas) + "!"
  hello = "Hello, World!"
  print hello, "->", greet.parseString(hello)
 .
 This package contains the Python 2.7 module.

Package: python-pypdf2
Description-md5: 15354d496718c37d91ea5281a2a8bf86
Description-en: Pure-Python library built as a PDF toolkit (Python 2)
 A Pure-Python library built as a PDF toolkit.  It is capable of:
   - extracting document information (title, author, ...),
   - splitting documents page by page,
   - merging documents page by page,
   - cropping pages,
   - merging multiple pages into a single page,
   - encrypting and decrypting PDF files.
 .
 By being Pure-Python, it should run on any Python platform without any
 dependencies on external libraries.  It can also work entirely on StringIO
 objects rather than file streams, allowing for PDF manipulation in memory.
 It is therefore a useful tool for websites that manage or manipulate PDFs.
 .
 This is the Python 2 version of the package.

Package: python-pypump-doc
Description-md5: 1c2d9c5a4a1875348a325b67a19aa1d0
Description-en: interface to the pump.io APIs (Common Documentation)
 PyPump provides an interface to the pump.io APIs. The aim is to
 provide very natural pythonic representations of Notes, Images,
 People, etc... allowing you to painlessly interact with them.
 .
 This package provides the common documentation for the pypump Python
 module.

Package: python-pyqt5.qwt-doc
Description-md5: b30d1dcad069a38dad263b78576b8625
Description-en: Python Qwt6 technical widget library, documentation and examples
 PyQt-Qwt is a set of Python bindings for the Qwt C++ class library which
 extends the Qt framework with widgets for scientific and engineering
 applications. It provides a widget to plot 2-dimensional data and various
 widgets to display and control bounded or unbounded floating point values.
 .
 This is the common documentation package.

Package: python-pyqtgraph-doc
Description-md5: 376601f80535cd1b918b17e26401bd45
Description-en: Scientific Graphics and GUI Library for Python (common documentation)
 PyQtGraph is a pure-python graphics and GUI library built on PyQt4 and numpy.
 It is intended for use in mathematics / scientific / engineering applications.
 Despite being written entirely in Python, the library is very fast due to its
 heavy leverage of numpy for number crunching and Qt's GraphicsView framework
 for fast display.
 .
 This is the common documentation package.

Package: python-pyramid-chameleon-doc
Description-md5: c257967331b3dda54e07cc352c0d8f09
Description-en: Chameleon templating support for the Pyramid framework - Documentation
 Pyramid is a small, fast, down-to-earth, open source Python web development
 framework. It makes real-world web application development and deployment
 more fun, more predictable, and more productive.
 .
 Chameleon is an XML-based template compiler implementing the Zope Page
 Templates (ZPT) language.
 .
 This package provides the documentation for the Pyramid bindings for Chameleon.

Package: python-pyramid-jinja2-doc
Description-md5: e0d4016663e53942f5d929ab0e95f0ad
Description-en: Jinja2 template bindings for the Pyramid (common documentation)
 These are bindings for the Jinja2 templating system for the Pyramid web
 framework.
 .
 This is the common documentation package.

Package: python-pyregion-doc
Description-md5: 887ecffb0191281da87320dd0e914e28
Description-en: Python module to parse ds9 region files (documentation)
 Pyregion is a Python module to parse ds9 region files. It also supports ciao
 region files.
 .
 This is the common documentation package.

Package: python-pyresample-doc
Description-md5: 34b1d80fa8e748003f193a5c8ce8c837
Description-en: Resampling of remote sensing data in Python (documentation)
 Pyresample is a Python package for resampling (reprojection) of earth
 observing satellite data. It handles both resampling of gridded data
 (e.g. geostationary satellites) and swath data (polar orbiting
 satellites).
 .
 Pyresample can use multiple processor cores for resampling.
 Pyresample supports masked arrays.
 .
 This is the common documentation package.

Package: python-pyresample-test
Description-md5: aaa1cd53fe4fe066330b3b0e0143d483
Description-en: Resampling of remote sensing data in Python (test suite)
 Pyresample is a Python package for resampling (reprojection) of earth
 observing satellite data. It handles both resampling of gridded data
 (e.g. geostationary satellites) and swath data (polar orbiting
 satellites).
 .
 Pyresample can use multiple processor cores for resampling.
 Pyresample supports masked arrays.
 .
 This package contains the test data for pyresample.

Package: python-pyrex
Description-md5: f30a366ffc9290ab0cfbeb95f04e9a31
Description-en: compile native-code modules for Python from Python-like syntax
 Pyrex lets you write code that mixes Python and C data types any way you want,
 and compiles it into a C extension for Python.
 .
 You can get very large speedups for tasks that don't need all the dynamic
 features of Python, with very small differences in syntax and much less
 hassle than writing your modules from scratch in C.

Package: python-pysam
Description-md5: 11cadd11ed2e44cf25cb04d263b28f9d
Description-en: interface for the SAM/BAM sequence alignment and mapping format (Python 2)
 Pysam is a Python module for reading and manipulating Samfiles. It's a
 lightweight wrapper of the samtools C-API. Pysam also includes an interface
 for tabix.
 .
 This package installs the module for Python 2.

Package: python-pysam-tests
Description-md5: 4435323f3a9a615ced053f5810b4da15
Description-en: interface for the SAM/BAM sequence alignment and mapping format (test data)
 Pysam is a Python module for reading and manipulating Samfiles. It's a
 lightweight wrapper of the samtools C-API. Pysam also includes an interface
 for tabix.
 .
 This package contains the data provided by upstream to run the pysam
 test suite.

Package: python-pyside2-doc
Description-md5: aeccbe94637d165f6b5fbe21e7718e42
Description-en: Python bindings for Qt5 (common documentation)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the common documentation package.

Package: python-pysqlite2
Description-md5: bf56b8e2f2e6b6ab0904629fcbd0f21d
Description-en: Python interface to SQLite 3
 pysqlite is a DB-API 2.0-compliant database interface for SQLite.
 .
 This package is built against SQLite 3. For an interface to SQLite 2,
 see the package python-sqlite. An alternative Python SQLite 3 module
 is packaged as python-apsw.
 .
 SQLite is a relational database management system contained in a
 relatively small C library. It is a public domain project created
 by D. Richard Hipp. Unlike the usual client-server paradigm, the
 SQLite engine is not a standalone process with which the program
 communicates, but is linked in and thus becomes an integral part
 of the program. The library implements most of SQL-92 standard,
 including transactions, triggers and most of complex queries.
 .
 pysqlite makes this powerful embedded SQL engine available to
 Python programmers. It stays compatible with the Python database
 API specification 2.0 as much as possible, but also exposes most
 of SQLite's native API, so that it is for example possible to
 create user-defined SQL functions and aggregates in Python.
 .
 If you need a relational database for your applications, or even
 small tools or helper scripts, pysqlite is often a good fit. It's
 easy to use, easy to deploy, and does not depend on any other
 Python libraries or platform libraries, except SQLite. SQLite
 itself is ported to most platforms you'd ever care about.
 .
 It's often a good alternative to MySQL, the Microsoft JET engine
 or the MSDE, without having any of their license and deployment
 issues.

Package: python-pysqlite2-dbg
Description-md5: 9823d7596ab5cab4493f2e63fc2f1e55
Description-en: Python interface to SQLite 3 (debug extension)
 pysqlite is a DB-API 2.0-compliant database interface for SQLite.
 .
 This package is built against SQLite 3. For an interface to SQLite 2,
 see the package python-sqlite. An alternative Python SQLite 3 module
 is packaged as python-apsw.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-pysqlite2-doc
Description-md5: d986b6cf4e836674e3f0ee0803705bb7
Description-en: Documentation for python-pysqlite2
 pysqlite is a DB-API 2.0-compliant database interface for SQLite.
 .
 SQLite is a relational database management system contained in a
 relatively small C library. It is a public domain project created
 by D. Richard Hipp. Unlike the usual client-server paradigm, the
 SQLite engine is not a standalone process with which the program
 communicates, but is linked in and thus becomes an integral part
 of the program. The library implements most of SQL-92 standard,
 including transactions, triggers and most of complex queries.
 .
 This package only contains the documentation.

Package: python-pyte-doc
Description-md5: 2a3e3038b16868bcd397d10846d78233
Description-en: simple VTXXX-compatible terminal emulator (documentation)
 pyte is an in-memory VTXXX-compatible terminal emulator, where XXX stands
 for a series of video terminals, developed by DEC between 1970 and 1995.
 .
 pyte is as a fork of vt102, which was an incomplete pure Python
 implementation of VT100 terminal.
 .
 This package only contains the documentation.

Package: python-pytest
Description-md5: 7753636c5bcf3a406292b2bf8357ba99
Description-en: Simple, powerful testing in Python
 This testing tool has for objective to allow the developers to limit the
 boilerplate code around the tests, promoting the use of built-in
 mechanisms such as the `assert` keyword.
 .
 This package provides the Python 2 modules and the py.test script.

Package: python-pytest-doc
Description-md5: 97b336e965878efc4451d01f87777140
Description-en: Simple, powerful testing in Python - Documentation
 This testing tool has for objective to allow the developers to limit the
 boilerplate code around the tests, promoting the use of built-in
 mechanisms such as the `assert` keyword.
 .
 This package contains the documentation for pytest.

Package: python-pytest-expect
Description-md5: 3280c5ff4a900e3771fd35eacd11d7d9
Description-en: py.test plugin to store test expectations
 A py.test plugin that stores test expectations by saving the set of failing
 tests, allowing them to be marked as xfail when running them in future. The
 tests expectations are stored such that they can be distributed alongside the
 tests. However, note that test expectations can only be reliably shared between
 Python 2 and Python 3 if they only use ASCII characters in their node ids: this
 likely isn’t a limitation if tests are using the normal Python format, as
 Python 2 only allows ASCII characters in identifiers.
 .
 This package contains the Python 2 version of pytest-expect

Package: python-pytest-runner
Description-md5: ed7886a1fb08140332ea42cea571d494
Description-en: Invoke py.test as distutils command with dependency resolution - Python 2.x
 Setup scripts can use pytest-runner to add setup.py test support for pytest
 runner.
 .
 This package contains the Python 2 module.

Package: python-pytestqt-doc
Description-md5: 23bcccd371ad498db6d7fd8ec166b65d
Description-en: documentation for pytest-qt
 Pytest-qt is a pytest plugin that allows programmers to write tests for
 PySide and PyQt applications.
 .
 This package provides the documentation.

Package: python-pytools-doc
Description-md5: e7bef8bf5a3f321df8617724dee56783
Description-en: big bag of things supplementing Python library (documentation)
 Pytools is a big bag of things that are needed by packages created by
 Andreas Kloeckner. They are used by python-pycuda and python-pyopencl
 packages. They contain, amongst other:
  * A ton of small tool functions such as len_iterable, argmin, tuple
    generation, permutation generation, ASCII table pretty printing,
    GvR's mokeypatch_xxx() hack, the elusive flatten, and much more
  * A time-series logging module, pytools.log
  * Batch job submission, pytools.batchjob
  * A lexer, pytools.lex
 .
 This package contains HTML documentation and example scripts.

Package: python-pyvcf-examples
Description-md5: a76bf947bc87b9f620e347a6289347e5
Description-en: Example data for Variant Call Format (VCF) parser for Python
 The Variant Call Format (VCF) specifies the format of a text file used
 in bioinformatics for storing gene sequence variations. The format has
 been developed with the advent of large-scale genotyping and DNA
 sequencing projects, such as the 1000 Genomes Project.
 .
 The intent of this module is to mimic the ``csv`` module in the Python
 stdlib, as opposed to more flexible serialization formats like JSON or
 YAML. ``vcf`` will attempt to parse the content of each record based on
 the data types specified in the meta-information lines -- specifically
 the ##INFO and
 ##FORMAT lines. If these lines are missing or incomplete, it will check
 against the reserved types mentioned in the spec. Failing that, it will
 just return strings.
 .
 This package provides example data to test the Python modules.

Package: python-pyvmomi-doc
Description-md5: 20ca4cbf085e0804e14c3a5850f6ffd1
Description-en: VMware vSphere Python SDK - documentation
 pyVmomi is the Python SDK for the VMware vSphere API that allows you to
 automate actions on VMware ESX, ESXi, and vCenter servers.
 .
 This package contains the reStructuredText documentation for the bindings.

Package: python-pyvorbis
Description-md5: 0b06c60a01ec044ef12ec30a6e5144f4
Description-en: Python interface to the Ogg Vorbis library
 This module makes the libvorbis (Ogg Vorbis) functions available
 in Python. With this module you can write Python applications
 that use the ogg vorbis library.

Package: python-pyvorbis-dbg
Description-md5: ddb8386e441af0000f62801f3398efed
Description-en: Python interface to the Ogg Vorbis library (debug extension)
 This module makes the libvorbis (Ogg Vorbis) functions available
 in Python. With this module you can write Python applications
 that use the ogg vorbis library.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-pywt-doc
Description-md5: 11e0dfd610ae48bce74255bca59d7973
Description-en: Python extension implementing of wavelet transformations (Documentation)
 PyWavelets implements the discrete wavelet transform (DWT) popular in
 numerical harmonic analysis for numerous families of wavelets, including
 Haar, Daubechies, Symlet, Coiflet, biorthogonal wavelets in one and two
 dimensions.
 .
 This package contains documentation and examples.

Package: python-pyxenstore
Description-md5: da8dd4bee033fcc9768181e00c2fc49b
Description-en: Access XenStore from Python
 This package contains the Python bindings to access the XenStore filesystem.
 It may be useful from the dom0 and/or from the domU, to get / set information
 about runing virtual machines.

Package: python-qinlingclient-doc
Description-md5: 5492764fdda7705f9a4bf40e37c301b9
Description-en: OpenStack Function as a Service API client - doc
 Qinling is Function as a Service for OpenStack. It aims to provide a platform
 to support serverless functions (like AWS Lambda). Qinling supports different
 container orchestration platforms (Kubernetes/Swarm, etc.) and different
 function package storage backends (local/Swift/S3) by nature using plugin
 mechanism.
 .
 This is a client library for Qinling built on the Qinling API. It provides a
 Python API (the qinlingclient module) and a command-line tool (qinling).
 .
 This package contains the documentation.

Package: python-qtawesome-common
Description-md5: 4aa27f4aa40a186cb0fcd64765ae3502
Description-en: common files for QtAwesome
 QtAwesome enables iconic fonts such as Font Awesome and Elusive Icons in
 PyQt and PySide applications.
 .
 This package provides the files common to all QtAwesome Python packages.

Package: python-qtawesome-doc
Description-md5: f3ec6c99da5c8337196085fd49459b8a
Description-en: documentation and examples for QtAwesome
 QtAwesome enables iconic fonts such as Font Awesome and Elusive Icons in
 PyQt and PySide applications.
 .
 This package provides the documentation and examples for QtAwesome.

Package: python-qtconsole-doc
Description-md5: db525f0555fc995b5859e9fe66934cc3
Description-en: Jupyter - Qt console (documentation)
 IPython can be used as a replacement for the standard Python shell,
 or it can be used as a complete working environment for scientific
 computing (like Matlab or Mathematica) when paired with the standard
 Python scientific and numerical tools. It supports dynamic object
 introspections, numbered input/output prompts, a macro system,
 session logging, session restoring, complete system shell access,
 verbose and colored traceback reports, auto-parentheses, auto-quoting,
 and is embeddable in other Python programs.
 .
 This package contains the qtconsole library documentation.

Package: python-qwt-doc
Description-md5: a68480f21fa4103cb18a47399d7b814a
Description-en: Pure Python implementation of Qwt - Documentation
 The qwt package is a pure Python implementation of Qwt C++ library
 with the following limitations.
 .
 The following `Qwt` classes won't be reimplemented in `qwt` because most
 powerful features already exist in `guiqwt`:
   * QwtPlotZoomer
   * QwtCounter
   * QwtEventPattern
   * QwtPicker
   * QwtPlotPicker
 .
 QwtClipper is not implemented yet (and it will probably be very
 difficult or impossible to implement it in pure Python without
 performance issues).  As a consequence, when zooming in a plot curve,
 the entire curve is still painted (in other words, when working with
 large amount of data, there is no performance gain when zooming in).
 .
 This is the documentation of the package.

Package: python-qwt3d-doc
Description-md5: 089451c8b73f122e3258a05b3f337a12
Description-en: Documentation for the Python-qwt3d library
 PyQwt3D is a set of Python bindings for the QwtPlot3D C++ class
 library which extends the Qt framework with widgets to visualize
 3-dimensional data. This package contains documentation and
 examples for both Qt3 and Qt4. The  examples show how easy it is
 to make a 3D plot and how to save a 3D plot to an image or an
 (E)PS/PDF file.

Package: python-radon-doc
Description-md5: 679068d492cf509a2fdce2e23c4969e3
Description-en: Python tool to compute code metrics (common documentation)
 Radon is a Python tool which computes various code metrics.
 Supported metrics are:
 .
 raw metrics: SLOC, comment lines, blank lines, &c.
 Cyclomatic Complexity (i.e. McCabe’s Complexity)
 Halstead metrics (all of them)
 the Maintainability Index (a Visual Studio metric)
 .
 Radon can be used either from the command line or
 programmatically through its API.
 .
 This is the common documentation package.

Package: python-rdflib-doc
Description-md5: 3ca18b21b11586f95bb14280ebd2908e
Description-en: Python library containing an RDF triple store [...] (documentation)
 RDFLib is a Python library for working with the RDF W3C standard. The
 library contains RDF parsers/serializers and both in-memory and
 persistent Graph backend.
 .
 This is the common documentation package.

Package: python-rdflib-tools
Description-md5: e63a79f35d41fd986b6ffb529248a007
Description-en: Python tools for converting to and from RDF
 RDFLib is a Python library for working with the RDF W3C standard. The
 library contains RDF parsers/serializers and both in-memory and
 persistent Graph backend.
 .
 This package contains some executable tools.

Package: python-reclass
Description-md5: bb39c31656c69169f2c35b3126405250
Description-en: hierarchical inventory backend for configuration management systems
 reclass is an "external node classifier" (ENC) as can be used with automation
 tools, such as Puppet, Salt, and Ansible. It is also a stand-alone tool for
 merging data sources recursively.
 .
 The purpose of an ENC is to allow a system administrator to maintain an
 inventory of nodes to be managed, completely separately from the configuration
 of the automation tool. Usually, the external node classifier completely
 replaces the tool-specific inventory (such as site.pp for Puppet,
 ext_pillar/master_tops for Salt, or /etc/ansible/hosts).
 .
 reclass allows you to define your nodes through class inheritance, while
 always able to override details further up the tree (i.e. in more specific
 nodes). Think of classes as feature sets, as commonalities between nodes, or
 as tags. Add to that the ability to nest classes (multiple inheritance is
 allowed, well-defined, and encouraged), and piece together your infrastructure
 from smaller bits, eliminating redundancy and exposing all important
 parameters to a single location, logically organised.

Package: python-recommonmark-doc
Description-md5: 3c399e5ca713507eaf94fb6f046c2f14
Description-en: CommonMark utility for Docutils and Sphinx projects -- doc
 The recommonmark Python module allows ones to write CommonMark inside of
 Docutils and Sphinx projects.
 .
 This is the common documentation package.

Package: python-redis
Description-md5: 36af2573ced324890f46c8ff3b4a841c
Description-en: Persistent key-value database with network interface (Python library)
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 The dataset is stored entirely in memory and periodically flushed to disk.
 .
 This package contains Python bindings to Redis.

Package: python-rediscluster-doc
Description-md5: 53ff877fa61ce3d69d329c6296b9bab1
Description-en: Python interface to a cluster of Redis key-value stores - Documentation
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 redis-py-cluster provides Python bindings to Redis Cluster, the distributed
 implementation of the Redis key-value store, available upstream since Redis
 3.0.
 .
 This package provides the documentation for the rediscluster module

Package: python-releases-doc
Description-md5: 4dcec556d9a265d9283e3c07f6f6b4f5
Description-en: Sphinx extension for changelog manipulation documentation
 Releases is a Sphinx extension designed to help you keep a source control
 friendly, merge friendly changelog file & turn it into useful, human readable
 HTML output.
 .
 Specifically:
 .
   * The source format (kept in your Sphinx tree as ``changelog.rst``) is a
     stream-like timeline that plays well with source control & only requires
     one entry per change (even for changes that exist in multiple release
     lines).
   * The output (when you have the extension installed and run your Sphinx
     build command) is a traditional looking changelog page with a section for
     every release; multi-release issues are copied automatically into each
     release.
   * By default, feature and support issues are only displayed under feature
     releases, and bugs are only displayed under bugfix releases. This can be
     overridden on a per-issue basis.
 .
 This package contains the documentation for releases.

Package: python-reno-doc
Description-md5: 62296afddb9dbbc9fe1f35a8f9106884
Description-en: RElease NOtes manager - doc
 Reno is a release notes manager for storing release notes in a git
 repository and then building documentation from them.
 .
 This package contains the documentation.

Package: python-renpy
Description-md5: b15e28d45dc76b1123ee7d63704d0176
Description-en: framework for developing visual-novel type games - Python module
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 This package includes the native Python module.

Package: python-repoze.tm2-doc
Description-md5: de991d615a25d6594273b48cf73a9f1c
Description-en: Zope-like transaction manager WSGI middleware documentation
 repoze.tm2 is Python WSGI middleware which uses the ZODB (Zope
 Object DataBase) package's transaction manager to wrap a call to
 its pipeline children inside a transaction.
 .
 This is the documentation for python-repoze.tm2 and python3-repoze.tm2.

Package: python-requests-cache-doc
Description-md5: db90b0db89c7e954d76015cd145a490b
Description-en: persistent cache for requests library (doc)
 Requests-cache is a transparent persistent cache for requests library.
 .
 This package contains the documentation for requests-cache.

Package: python-requests-mock-doc
Description-md5: bcb4de68a66aeeaa224b7dcbc11dfb73
Description-en: mock out responses from the requests package - doc
 requests-mock provides a building block to stub out the HTTP requests_
 portions of your testing code. Everything in requests_ eventually goes through
 an adapter to do the transport work. requests-mock creates a custom adapter
 that allows you to predefine responses when certain URIs are called. There are
 then a number of methods provided to get the adapter used.
 .
  This package contains the documentation.

Package: python-requests-oauthlib-doc
Description-md5: 7646ee305c2501ff1d772989544e22a8
Description-en: module providing OAuthlib auth support for requests (Common Documentation)
 requests-oauthlib allows you to interact with OAuth 1 And OAuth 2 in
 greatly simplified ways through the requests library for Python. It
 will hide the quirks and hacks in OAuth 1, and will interface with
 all four of the grant types defined by the OAuth2 RFC.
 .
 This package provides the common documentation for the
 requests-oauthlib Python module.

Package: python-requests-toolbelt-doc
Description-md5: b483002c19a2bbb5e7355ed29b1f4b93
Description-en: Utility belt for python3-requests (documentation)
 Collection of utilities for python3-requests: documentation
 It provides transport adapters: FingerprintAdapter, SSLAdapter,
 SourceAddressAdapter, SocketOptionsAdapter, TCPKeepAliveAdapter
 and authenticators: AuthHandler, GuessAuth, HTTPProxyDigestAuth
 Also a cookiejar, streaming helpers and more.

Package: python-restless-doc
Description-md5: 3bc93a338a99259ad5fdc9e9eaec4b92
Description-en: lightweight REST miniframework for Python
 Works great with Django, Flask, Pyramid, Tornado & Itty, but should
 be useful for many other Python web frameworks.  Based on the lessons
 learned from Tastypie & other REST libraries.
 .
 Features
  * Small, fast codebase
  * JSON output by default, but overridable
  * RESTful
  * Flexible
 .
 This package contains the HTML documentation and example projects.

Package: python-ripe-atlas-sagan-doc
Description-md5: 306203dbda38795e0dea05cd334d92dc
Description-en: Python library for parsing RIPE Atlas measurement results (documentation)
 RIPE Atlas Sagan is a Python library for parsing RIPE Atlas measurement
 results. It aims at providing a consistent high-level interface for a rapidly
 changing low-level format used by the RIPE Atlas probes. RIPE Atlas is a
 global network of probes that measure Internet connectivity and reachability,
 providing an overview of the state of the Internet in real time.
 .
 This package contains the library's documentation.

Package: python-rlp-doc
Description-md5: 3a39f2ed229b858a3504dd5dc2312906
Description-en: Recursive Length Prefix (RLP) library — documentation
 The purpose of RLP (Recursive Length Prefix) is to encode arbitrarily
 nested arrays of binary data, and RLP is the main encoding method
 used to serialize objects in Ethereum.
 .
 This package installs the library documentation.

Package: python-roman
Description-md5: 0c888324b96636a57132cb7e90495993
Description-en: module for generating/analyzing Roman numerals for Python 2
 This module converts from and to Roman numerals. It can convert numbers
 from 1 to 4999 and understands the common shortcuts (IX == 9), but not
 illegal ones (MIM == 1999).
 .
 This package includes Python 2 modules.

Package: python-rpaths-doc
Description-md5: 82f87974b4dc7a7703f7bcf9382b4df9
Description-en: documentation for rpaths
 rpaths is another path manipulation library for Python. It is heavily inspired
 by Unipath and pathlib and provides a total Python 2/3 and Windows/POSIX
 compatibility.
 .
 This package provides the documentation.

Package: python-rpm
Description-md5: aa4cfb2b2fed60ef52f60ca70568a619
Description-en: Python bindings for RPM
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package includes the Python bindings for librpm, allowing Python
 scripts to manipulate RPM packages and the RPM database.
 .
 This package installs the library for Python 2.

Package: python-ruamel.ordereddict
Description-md5: e036bf8a81c184cb98467d82263af8a0
Description-en: dictionary that keeps keys in insertion resp. sorted order
 This package is an implementation of an ordered dictionary with Key Insertion
 Order (KIO: updates of values do not affect the position of the key),
 Key Value Insertion Order (KVIO, an existing key's position is removed
 and put at the back).
 .
 Sorted dictionaries are also provided. Currently only with Key Sorted
 Order (KSO, no sorting function can be specified, but you can specify a
 transform to apply on the key before comparison (e.g. string.lower)).

Package: python-ruffus-doc
Description-md5: 539d62f6f71c30d65fc0680036e337ba
Description-en: documentation for python-ruffus computation pipeline library
 Ruffus is designed to allow scientific and other analyses to be automated
 with the minimum of fuss and the least effort.
 .
  * Lightweight: Suitable for the simplest of tasks
  * Scalable: Handles even fiendishly complicated pipelines which would cause
    make or scons to go cross-eyed and recursive.
  * Standard Python: No "clever magic", no pre-processing.
  * Unintrusive: Unambitious, lightweight syntax which tries to do this one
    small thing well.
 .
 This package provides modules documentation.

Package: python-ryu-doc
Description-md5: dbe356e1e7ccca198793087919119085
Description-en: software defined networking framework (ryu docs)
 Ryu is a component-based software defined networking framework.
 Ryu provides software components with well defined API that make
 it easy for developers to create new network management and control
 applications. Ryu supports various protocols for managing network
 devices, such as OpenFlow, Netconf, OF-config, etc. About OpenFlow,
 Ryu supports fully 1.0, 1.2, 1.3, 1.4 and Nicira Extensions.
 .
 This package provides the HTML documentation including the Ryu API
 manual.

Package: python-sardana-doc
Description-md5: f640b41c1960eec20d0870f6e12d02f2
Description-en: instrument control and data acquisition system - documentation
 Sardana is a Supervision, Control And Data Acquisition (SCADA) system for
 scientific installations. It is written in Python and based on the TANGO
 library. The hardware control and data acquisition routines can be
 accessed via an IPython console and a generic graphical user interface
 (both of which are easily extensible by the user).
 .
 This package contains the documentation for the python3-sardana library.

Package: python-sasmodels-doc
Description-md5: 77945a5eaa0f9106d4541c69e4c0f6b6
Description-en: Theoretical models for small angle scattering (documentation)
 sasmodels is a Python module for calculating theoretical Small Angle
 Scattering patterns. The models provided are usable directly in the bumps
 fitting package and in the sasview analysis package.
 .
 This package contains the documentation for the module.

Package: python-scandir
Description-md5: 9e393172399b8e72fdbbae56094373de
Description-en: Backport of the "scandir" stdlib module (Python 2)
 Better directory iterator and faster os.walk(), as found in Python 3:
 instead of returning a list of bare filenames, it yields DirEntry objects
 that include much more information.
 .
 This package is (obviously) for Python 2.

Package: python-scciclient-doc
Description-md5: 82eeb249293f3f4f68473489fde18485
Description-en: Python ServerView Common Command Interface (SCCI) Client Library - doc
 This package provides the Python ServerView Common Command Interface (SCCI)
 Client Library, which support FUJITSU iRMC S4 integrated Remote Management
 Controller. This Python module may be helpful if you want to use Ironic to
 manage a FUJITSU server that has this hardware.
 .
 This package contains the documentation.

Package: python-scipy-doc
Description-md5: 8ac5477d15da02ef1299ae21fc7e60f6
Description-en: scientific library for Python - documentation
 SciPy supplements the popular NumPy module (python-numpy package), gathering a
 variety of high level science and engineering modules together as a single
 package.
 .
 SciPy is a set of Open Source scientific and numeric tools for Python. It
 currently supports special functions, integration, ordinary differential
 equation (ODE) solvers, gradient optimization, genetic algorithms, parallel
 programming tools, an expression-to-C++ compiler for fast execution, and
 others.
 .
 This package contains documentation for the SciPy library.

Package: python-scrapy-doc
Description-md5: 7242d2813e5d37194372b8ea7423b58a
Description-en: Python web scraping and crawling framework documentation
 Scrapy is a fast high-level screen scraping and web crawling framework,
 used to crawl websites and extract structured data from their pages.
 It can be used for a wide range of purposes, from data mining to
 monitoring and automated testing.
 .
 This package provides the python-scrapy documentation in HTML format.

Package: python-searchlightclient-doc
Description-md5: 20afbfae268a11763b874a4d55373b55
Description-en: OpenStack Indexing and Search API Client Library - doc
 The Searchlight project provides indexing and search capabilities across
 OpenStack resources. Its goal is to achieve high performance and flexible
 querying combined with near real-time indexing.
 .
 This package contains the documentation.

Package: python-service-identity
Description-md5: 55cf794451f544fafc29bc4c9b1ca50a
Description-en: Service identity verification for pyOpenSSL (Python 2 module)
 Service_identity aspires to give you all the tools you need for verifying
 whether a certificate is valid for the intended purposes.
 .
 In the simplest case, this means host name verification.  However,
 service_identity implements RFC 6125 fully and plans to add other
 relevant RFCs too.
 .
 This package contains service_identity for Python 2.

Package: python-setproctitle
Description-md5: 09c05d848a85c8bd40d75353c1b804bf
Description-en: Setproctitle implementation for Python 2
 The library allows a process to change its title (as displayed by system tools
 such as ps and top).
 .
 Changing the title is mostly useful in multi-process systems, for example when
 a master process is forked: changing the children's title allows one to
 identify the task each process is busy with. The technique is used by
 PostgreSQL and the OpenSSH Server for example.

Package: python-setproctitle-dbg
Description-md5: 1ffb63023162a9a22517a78a5fa93121
Description-en: Setproctitle implementation for Python 2 (debug)
 The library allows a process to change its title (as displayed by system tools
 such as ps and top).
 .
 This is the debugging symbols for setproctitle.

Package: python-setuptools
Description-md5: 0dd761e68dbcfc3cc67580ac5b5688c7
Description-en: Python Distutils Enhancements
 Extensions to the python-distutils for large or complex distributions.

Package: python-setuptools-scm
Description-md5: d65c3e263fd155b54621b3c8a2f1c3fb
Description-en: blessed package to manage your versions by scm tags for Python 2
 setuptools_scm handles managing your Python package versions in scm metadata.
 It also handles file finders for the suppertes scm's.
 .
 This package installs the library for Python 2.

Package: python-sfml-doc
Description-md5: b31a32c778218d395732be890f33aef9
Description-en: Simple and Fast Multimedia Library - Documentation
 SFML is an modern multimedia library offering a wide range
 of subsystems useful to produce an multimedia application.
 It offers OpenGL integration for hardware accelerated Graphics,
 Windowing and input support, audio and network facilities and
 supports besides GNU/Linux MS Windows and Mac OS X, too.
 .
 This package contains documentation and examples for the Python bindings.

Package: python-shodan-doc
Description-md5: a614169eb7c20048117e93bb6677bc93
Description-en: Official Python library for Shodan (common documentation)
 Shodan is a search engine for Internet-connected devices. Google lets you
 search for websites, Shodan lets you search for devices. This library provides
 developers easy access to all of the data stored in Shodan in order to
 automate tasks and integrate into existing tools.
 .
 This package installs the common documentation package.

Package: python-silx-doc
Description-md5: 13df760c7eb9bc12ed7b469c459394b5
Description-en: Toolbox for X-Ray data analysis - Documentation
 The silx project aims at providing a collection of Python packages to
 support the development of data assessment, reduction and analysis
 applications at synchrotron radiation facilities. It aims at
 providing reading/writing different file formats, data reduction
 routines and a set of Qt widgets to browse and visualize data.
 .
 The current version provides :
 .
  * reading HDF5 file format (with support of SPEC file format)
  * histogramming
  * fitting
  * 1D and 2D visualization using multiple backends (matplotlib or OpenGL)
  * image plot widget with a set of associated tools (See changelog file).
  * Unified browser for HDF5, SPEC and image file formats supporting inspection
    and visualization of n-dimensional datasets.
  * Unified viewer (silx view filename) for HDF5, SPEC and image file formats
  * OpenGL-based widget to display 3D scalar field with
    isosurface and cutting plane.
 .
 This is the common documentation package.

Package: python-simplebayes-doc
Description-md5: 45946630de2f27b9b6254cbf81d16842
Description-en: Naïve bayesian text classifier - documentation
 A memory-based, optional-persistence naïve bayesian text classifier.
 This work is heavily inspired by the Python "redisbayes" module found here:
 https://github.com/jart/redisbayes and https://pypi.python.org/pypi/redisbayes
 This was written to alleviate the network/time requirements when
 using the bayesian classifier to classify large sets of text, or when
 attempting to train with very large sets of sample data.
 .
 This is the common documentation package.

Package: python-simplejson
Description-md5: 55c9802a28949abfefbb4d41d322f6ed
Description-en: simple, fast, extensible JSON encoder/decoder for Python 2.x
 simplejson is a simple, fast, complete, correct and extensible JSON
 <http://json.org> encoder and decoder.
 .
 The encoder may be subclassed to provide serialization in any kind of
 situation, without any special support by the objects to be serialized
 (somewhat like pickle).
 .
 The decoder can handle incoming JSON strings of any specified encoding
 (UTF-8 by default).
 .
 simplejson is the externally maintained development version of the json
 library included with Python 2.6 and Python 3.0, but maintains backwards
 compatibility with Python 2.5.
 .
 This package contains the Python 2.x module.

Package: python-simplejson-dbg
Description-md5: 223c1260fc2d0bb44a9c1cedb325d5d3
Description-en: simple, fast, extensible JSON encoder/decoder for Python 2.x - debug symbols
 simplejson is a simple, fast, complete, correct and extensible JSON
 <http://json.org> encoder and decoder.
 .
 The encoder may be subclassed to provide serialization in any kind of
 situation, without any special support by the objects to be serialized
 (somewhat like pickle).
 .
 The decoder can handle incoming JSON strings of any specified encoding
 (UTF-8 by default).
 .
 simplejson is the externally maintained development version of the json
 library included with Python 2.6 and Python 3.0, but maintains backwards
 compatibility with Python 2.5.
 .
 This package contains the extension built for the Python 2 debug interpreter.

Package: python-simplejson-doc
Description-md5: 398ec131e56423c6c68081cdec73fdf5
Description-en: simple, fast, extensible JSON encoder/decoder for Python - documentation
 simplejson is a simple, fast, complete, correct and extensible JSON
 <http://json.org> encoder and decoder.
 .
 The encoder may be subclassed to provide serialization in any kind of
 situation, without any special support by the objects to be serialized
 (somewhat like pickle).
 .
 The decoder can handle incoming JSON strings of any specified encoding
 (UTF-8 by default).
 .
 simplejson is the externally maintained development version of the json
 library included with Python 2.6 and Python 3.0, but maintains backwards
 compatibility with Python 2.5.
 .
 This package contains documentation.

Package: python-simpy-doc
Description-md5: 081f1860b1fdc7e0d0c5b34f6fa9b077
Description-en: python-based simulation package, Documentation and examples
 SimPy is a process-based discrete-event simulation language based on
 standard Python.
 .
 It provides the modeller with components of a simulation  model.
 These include processes, for active components like customers, messages,
 and vehicles, and resources, for passive components that form limited
 capacity congestion points like servers, checkout counters, and tunnels.
 It also provides monitor variables to aid in gathering statistics.
 SimPy comes with extensive plotting capabilities.
 .
 This package contains the HTML docs and examples of SimPy.

Package: python-simpy-gui
Description-md5: f4a799eb935d1fe55cc59abbff0d3529
Description-en: python-based simulation package, GUI
 SimPy is a process-based discrete-event simulation language based on
 standard Python.
 .
 It provides the modeller with components of a simulation  model.
 These include processes, for active components like customers, messages,
 and vehicles, and resources, for passive components that form limited
 capacity congestion points like servers, checkout counters, and tunnels.
 It also provides monitor variables to aid in gathering statistics.
 SimPy comes with extensive plotting capabilities.
 .
 This package contains the GUI parts of SimPy.

Package: python-simpy3-doc
Description-md5: 8b4c1acd0a684c4e9e963a5896679ccc
Description-en: python-based simulation package, Documentation and examples
 SimPy is a process-based discrete-event simulation language based on
 standard Python.
 .
 Its event dispatcher is based on Python’s generators and can also be
 used for asynchronous networking or to implement multi-agent systems (with
 both, simulated and real communication). Processes in SimPy are defined by
 Python generator functions and can, for example, be used to model active
 components like customers, vehicles or agents. SimPy also provides various
 types of shared resources to model limited capacity congestion points (like
 servers, checkout counters and tunnels).
 .
 This package contains the HTML docs and examples of SimPy 3.

Package: python-singledispatch
Description-md5: 0c4b278215f91f6385a68fe23e05b283
Description-en: single-dispatch generic functions for Python
 PEP 443 proposed to expose a mechanism in the functools standard library
 module in Python 3.4 that provides a simple form of generic programming known
 as single-dispatch generic functions.
 .
 This library is a backport of this functionality to Python 2.6 - 3.3.
 .
 This is the Python 2 version of the library.

Package: python-sip
Description-md5: 27c97dead959a9d9b24bd99cbbda9e50
Description-en: Python/C++ bindings generator runtime library
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.

Package: python-sip-dbg
Description-md5: 0e2e02f48bf6715db3aff48b66646975
Description-en: Python/C++ bindings generator runtime library (debug extension)
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 This package contains the extension built for the Python debug interpreter,
 and the debugging symbols for python-sip package.

Package: python-sip-dev
Description-md5: 43365f4a9613791779e7463d65e464df
Description-en: Python/C++ bindings generator development files
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 SIP was originally designed to generate Python bindings for KDE and so
 has explicit support for the signal slot mechanism used by the Qt/KDE
 class libraries.
 .
 Features:
     - connecting Qt signals to Python functions and class methods
     - connecting Python signals to Qt slots
     - overloading virtual member functions with Python class methods
     - protected member functions
     - abstract classes
     - enumerated types
     - global class instances
     - static member functions.
 .
 This package contains sipdistutils and the development headers needed to
 develop Python bindings with sip.

Package: python-sip-doc
Description-md5: cf27fa6d76cc619a2338fabefb854578
Description-en: Python/C++ bindings generator documentation
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 SIP was originally designed to generate Python bindings for KDE and so
 has explicit support for the signal slot mechanism used by the Qt/KDE
 class libraries.
 .
 Features:
     - connecting Qt signals to Python functions and class methods
     - connecting Python signals to Qt slots
     - overloading virtual member functions with Python class methods
     - protected member functions
     - abstract classes
     - enumerated types
     - global class instances
     - static member functions.
 .
 This package contains the html documentation.

Package: python-six
Description-md5: 4960b2756dae95e3a4893781b8b46ea0
Description-en: Python 2 and 3 compatibility library (Python 2 interface)
 Six is a Python 2 and 3 compatibility library. It provides utility
 functions for smoothing over the differences between the Python versions
 with the goal of writing Python code that is compatible on both Python
 versions.
 .
 This package provides Six on the Python 2 module path. It is complemented
 by python3-six and pypy-six.

Package: python-skbio-doc
Description-md5: 0d2719e1e5874e7a73c3d5bcf116e25d
Description-en: Data structures, algorithms, educational resources for bioinformatics (docs)
 Scikit-bio is a Python package providing data structures, algorithms, and
 educational resources for bioinformatics.
 .
 This is the HTML documentation for skbio.

Package: python-skimage-doc
Description-md5: 12f0b0e6adcdd585b8ea21272c4ea042
Description-en: Documentation and examples for scikit-image
 scikit-image is a collection of image processing algorithms for
 Python.  It performs tasks such as image loading, filtering,
 morphology, segmentation, color conversions, and transformations.
 .
 This package contains documentation and example scripts for
 python-skimage.

Package: python-sklearn-doc
Description-md5: 44aba3387aea657b5b0c185e5077d10c
Description-en: documentation and examples for scikit-learn
 This package contains documentation and example scripts for
 python-sklearn.

Package: python-slepc4py-doc
Description-md5: ad93cda14eccf22ff0d53fdea38497a8
Description-en: Python bindings for SLEPc libraries: documentation and examples
 SLEPc is the Scalable Library for Eigenvalue Problem Computations.
 .
 It is based on PETSc and employs the MPI standard for all
 message-passing communication.
 .
 slepc4py provides Python bindings to almost all functions of SLEPc.
 This package provides documentation and examples.

Package: python-slixmpp-doc
Description-md5: d0261afb971945f941393fb0743724b6
Description-en: Threadless, event-based XMPP Python library (documentation)
 Slixmpp is a (friendly) fork of SleekXMPP, which goal is to “improve” the
 core of the library by entirely removing all threads from the library and
 using an event-based approach instead.
 .
 This is the documentation package.

Package: python-smstrade-doc
Description-md5: 3914324b669c54c4637a4415777b9fcc
Description-en: Python library to send SMS via the smstrade service (documentation)
 python-smstrade lets you send SMS via and retrieve your account balance from
 the service of smstrade.eu.
 .
 The package comes with two command line utilities smstrade_send and
 smstrade_balance to access the library functionality from the command line.
 .
 The package may be used for alerting in monitoring applications or other
 scenarios where sending SMS might be useful.
 .
 This is the common documentation for the package.

Package: python-snimpy-doc
Description-md5: b470d3830ddbf34e60b56f604ec0a7a7
Description-en: interactive SNMP tool with Python (documentation)
 Snimpy is a Python-based tool providing a simple interface to build
 SNMP queries. This interface aims at being the most Pythonic
 possible: you grab scalars using attributes and columns are like
 dictionaries.
 .
 Snimpy can either be used interactively through its console (derived
 from Python own console or from IPython if available) or by writing
 snimpy scripts which are just Python scripts with some global
 variables available.
 .
 This package contains the documentation.

Package: python-socks
Description-md5: a8b70db57315e4d198bfe9bc9909754d
Description-en: Python 2 SOCKS client module
 This module was designed to allow developers of Python
 software that uses the Internet or another TCP/IP-based
 network to add support for connection through a SOCKS proxy
 server with as much ease as possible.
 .
 The module is also knowns as SocksiPy or PySocks.
 .
 This is the Python 2 version.

Package: python-sorl-thumbnail-doc
Description-md5: 26ea99ca2adaa46a87775b741fb45c73
Description-en: thumbnail support for the Django framework (Documentation)
 sorl-thumbnail provides a convenient way to create and manage image thumbnails
 in a Django project. It offers integration into several parts of Django like
 the admin system by showing thumbnails for ImageFields or through a ImageField
 that also takes care of deleting thumbnail files when the referencing object
 is removed.
 .
 Other features include:
  * Django storage support
  * Pluggable Engine support (PIL, pgmagick, ImageMagick, GraphicsMagick,
    or Wand)
  * Pluggable Key Value Store support (Django cache, redis)
  * Pluggable Backend support (i.e. different thumbnail filename schema)
  * Dummy generation (placeholders)
  * Flexible, simple syntax, generates no html
  * CSS style cropping options
  * Margin calculation for vertical positioning
 .
 This package contains the documentation.

Package: python-sortedcollections-doc
Description-md5: c76600a808b5fc9dd018f47b834ed31d
Description-en: Python documentation for Sorted Collections
 SortedCollections is an Apache2 licensed Python sorted collections library.
 .
 Features
 --------
 .
   - Pure-Python
   - Depends on the SortedContainers module.
   - ValueSortedDict - Dictionary with (key, value) item pairs sorted by value.
   - ItemSortedDict - Dictionary with key-function support for item pairs.
   - OrderedDict - Ordered dictionary with numeric indexing support.
   - OrderedSet - Ordered set with numeric indexing support.
   - IndexableDict - Dictionary with numeric indexing support.
   - IndexableSet - Set with numeric indexing support.
 .
 This contains the documentation.

Package: python-sortedm2m-data
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: python-soundfile-doc
Description-md5: e89f9a590874876a6682725d2ff1cebe
Description-en: Python audio module based on libsndfile - documentation
 PySoundFile can read and write sound files in a variety of formats and eases
 the application of signal processing algorithms.
 File reading/writing is supported through libsndfile.
 By default, PySoundFile represents audio data as NumPy arrays, but ordinary
 Python buffers are supported as well.
 .
 This package provides the API documentation.

Package: python-soupsieve
Description-md5: 68a16f4d5b855dea5815b2218520536c
Description-en: Modern CSS selector implementation for BeautifulSoup (Python 2.7)
 Soup Sieve is a CSS selector library designed to be used with Beautiful Soup 4
 (python-bs4 in Debian). It aims to provide selecting, matching, and filtering
 using modern CSS selectors. Soup Sieve currently provides selectors from the
 CSS level 1 specifications up through the latest CSS level 4 drafts (though
 some are not yet implemented).
 .
 Soup Sieve was written with the intent to replace Beautiful Soup's builtin
 select feature, and as of Beautiful Soup version 4.7.0, it now is 🎊. Soup
 Sieve can also be imported in order to use its API directly for more
 controlled, specialized parsing.
 .
 This package contains Soup Sieve for cPython 2.7.

Package: python-speex
Description-md5: 00a41ad9d7e570c013fc5c9d82c1a98c
Description-en: python bindings for Speex
 pySpeex is a Python interface to the wonderful Speex audio
 compressor/decompressor (codec).
 .
 With pySpeex, you can easily create an audio stream object, and
 incrementally encode and decode speech audio data.

Package: python-sphinx-click-doc
Description-md5: 5a30419625f4ff1cf57f808a3c4d2336
Description-en: Sphinx plugin to automatically document click-based applications (docs)
 sphinx-click is a Sphinx plugin that allows you to automatically
 extract documentation from a click-based application and include it
 in your docs.
 .
 This is the common documentation package.

Package: python-sphinx-copybutton-doc
Description-md5: 063a5202f3943307026d5e5aa07c4d14
Description-en: sphinx extension to add a "copy" button to code blocks (documentation)
 Sphinx-copybutton does one thing: add little “copy” button to the right of
 your code blocks. That’s it!
 .
 This is the common documentation package.

Package: python-sphinx-feature-classification-doc
Description-md5: 5d168aa96860d4e3dedc53143e8b2749
Description-en: generate a matrix of pluggable drivers and their support to an API - doc
 This package contains a Sphinx directive that allows creating matrices of
 drivers a project contains and which features they support. The directive
 takes an INI file with specific syntax explained in the usage documentation
 to generate the matrices, in which projects have the authority to say what is
 supported within their own repository.
 .
 This package contains the documentation.

Package: python-sphinx-gallery-doc
Description-md5: d23ab6b8bd1793193c832e7558c9a25c
Description-en: extension that builds an HTML gallery of examples from Python scripts (Doc)
 * Simple examples that run out of the box are the best way to learn a library
 * Pleasing, organized, visual layouts
 * Links, searching, backlinks throughout examples and documentation
 .
 This package contains documentation for sphinx-gallery.

Package: python-sphinxcontrib.bibtex-doc
Description-md5: f60104235e3b99402571a06b2b298e9e
Description-en: documentation for sphinxcontrib-bibtex
 This extension allows BibTeX citations to be inserted into documentation
 generated by Sphinx, via a bibliography directive, and a cite role, which work
 similarly to LaTeX’s thebibliography environment and \cite command.
 .
 This package provides the documentation.

Package: python-sphinxcontrib.programoutput-doc
Description-md5: a3bee351992b4c964c3dd692776323b7
Description-en: insert the output of arbitrary commands into documents - doc
 sphinxcontrib-programoutput is a Sphinx extension to literally insert the
 output of arbitrary commands into documents, helping you to keep your command
 examples up to date.
 .
 This package provides the documentation.

Package: python-sphinxcontrib.spelling-doc
Description-md5: 619ef3e89fb23be4ab351e9af32afcbf
Description-en: Sphinx "spelling" extension (documentation)
 This package contains the spelling extension for the Sphinx documentation
 system.
 The extension enables a spelling checker which uses PyEnchant to produce a
 report showing misspelled words.
 .
 The extension also:
  - Supports multiple source languages using the standard enchant
    dictionaries.
  - Supports project-specific dictionaries for localized jargon and other
    terminology that may not appear in the global dictionaries.
  - Suggests alternatives to words not found in the dictionary, when
    possible.
 .
 This package contains the HTML documentation.

Package: python-spyne
Description-md5: f3ffec627c15740c3d9dc43a5036d8b3
Description-en: Python library for writing and calling soap web service
 This is a simple, easily extendible rpc library that provides several
 useful tools for creating and publishing web services in Python. This
 package features on-demand wsdl generation for the published servicss
 a wsgi-compliant web application, support for complex class structures,
 binary attachments, and a simple framework for creating additional
 serialization mechanisms.
 .
 This package is the successor of python-rpclib and python-soaplib.

Package: python-sqlalchemy
Description-md5: 304b4f5d471b523d00f34c7c91faec22
Description-en: SQL toolkit and Object Relational Mapper for Python
 SQLAlchemy is an SQL database abstraction library for Python.
 Its strengths are:
  * full power and flexibility of SQL. SQLAlchemy provides a full suite
    of well known enterprise-level persistence patterns, designed for
    efficient and high-performing database access, adapted into a simple
    and Pythonic domain language.
  * extremely easy to use for all the basic tasks, such as: accessing pooled
    connections, constructing SQL from Python expressions, finding object
    instances, and committing object modifications back to the database.
  * powerful enough for complicated tasks, such as: eager load a graph of
    objects and their dependencies via joins; map recursive adjacency
    structures automatically; map objects to not just tables but to any
    arbitrary join or select statement; combine multiple tables together to
    load whole sets of otherwise unrelated objects from a single result set;
    commit entire graphs of object changes in one step.
  * built to conform to what DBAs demand, including the ability to swap out
    generated SQL with hand-optimized statements, full usage of bind parameters
    for all literal values, fully transactionalized and consistent updates
    using Unit of Work.
  * modular. Different parts of SQLAlchemy can be used independently of the
    rest, including the connection pool, SQL construction, and ORM. SQLAlchemy
    is constructed in an open style that allows plenty of customization, with
    an architecture that supports custom datatypes, custom SQL extensions, and
    ORM plugins which can augment or extend mapping functionality.

Package: python-sqlalchemy-ext
Description-md5: 240e00b2e216ae7b235442cc9257db10
Description-en: SQL toolkit and Object Relational Mapper for Python - C extension
 SQLAlchemy is an SQL database abstraction library for Python.
 .
 This package contains C coded extension accelerator for SQLAlchemy.

Package: python-sqlalchemy-utils-doc
Description-md5: 2104fa5aa5a8d49995c1fe6abdf2b6c3
Description-en: various utility functions for SQLAlchemy - doc
 Various utility functions and custom data types for SQLAlchemy.
 .
 SQLAlchemy is an SQL database abstraction library for Python.
 .
 This package contains the documentation.

Package: python-sqlite
Description-md5: e7204f57d6b7047da4f1e02ca07907f3
Description-en: Python interface to SQLite 2
 pysqlite is an interface to the SQLite database server for
 Python. It aims to be fully compliant with Python database
 API version 2.0 while also exploiting the unique features of
 SQLite.
 .
 pysqlite 1.0.x is built against SQLite 2. For an interface to SQLite
 3, see the package python-pysqlite1.1, python-pysqlite2 or
 python-apsw.

Package: python-sqlite-dbg
Description-md5: e1b5a5dfbca9a5eef6beb80b0949db9d
Description-en: Python interface to SQLite 2 (debug extension)
 pysqlite is an interface to the SQLite database server for
 Python. It aims to be fully compliant with Python database
 API version 2.0 while also exploiting the unique features of
 SQLite.
 .
 This package contains the extensions built for the Python debug interpreter.

Package: python-sqlobject-doc
Description-md5: 03dbf787b54bb7f99708817c139dd461
Description-en: Documentation for SQLObject, a Python object relational manager for databases
 This is the documentation for SQLObject. SQLObject is an object-relational
 mapper. It allows you to translate RDBMS table rows into Python objects, and
 manipulate those objects to transparently manipulate the database.
 .
 In using SQLObject, you will create a class definition that will describe how
 the object connects to the database (in addition to any other methods you may
 wish to add to the class). SQLObject will produce the code to access the
 database, and update the database with your changes. The interface to the
 database is meant to be indistinguishable from other interfaces you may add
 to the object.
 .
 SQLObject also includes a novel feature to generate WHERE clauses using
 Python syntax and objects (instead of generating SQL using string
 substitution, as is traditional).
 .
 The documentation covers the Python 3 versions of SQLObject.

Package: python-staticconf-doc
Description-md5: 2dbbe23e3fbadffd12b73f2ed86a1980
Description-en: Python library for loading and reading configuration (documentation)
 This package contains PyStaticConfiguration, a Python library for loading,
 validating and reading configuration from many heterogeneous formats.
 Configuration is split into two phases.
   * Configuration Loading: configuration is read from files or Python objects,
     flattened, and merged into a container called a `namespace`. Namespaces
     are used to separate unrelated configuration groups. If configuration is
     changed frequently, it can also be reloaded easily with very little change
     to the existing code.
   * Configuration Reading: a configuration value is looked up in the
     `namespace`. It is validating and converted to the requested type.
 .
 This is the common documentation package.

Package: python-statsmodels-doc
Description-md5: 73b75db3f6cd80bcd518c6d3ae66cfb7
Description-en: documentation and examples for statsmodels
 Statsmodels Python modules are providing classes and functions for
 the estimation of several categories of statistical models. These
 currently include linear regression models, OLS, GLS, WLS and GLS
 with AR(p) errors, generalized linear models for several distribution
 families and M-estimators for robust linear models. An extensive list
 of result statistics are available for each estimation problem.
 .
 This package contains HTML documentation and example scripts for
 python-statsmodels.

Package: python-stdnum-doc
Description-md5: 317878a0020c99cedcf6e66b63dd4cc6
Description-en: Python module to handle standardized numbers and codes (Documentation)
 A Python module to parse, validate and reformat standard numbers and codes
 in different formats.
 .
 The package supports a great number of number formats and check digit
 algorithms.
 .
 This package contains the module's documentation.

Package: python-stemmer
Description-md5: 09fc8e8c40fdc7681bf96d101e5d4ca4
Description-en: Python bindings for libstemmer - snowball stemming algorithms
 PyStemmer provides access to efficient algorithms for calculating a "stemmed"
 form of a word.  This is a form with most of the common morphological endings
 removed; hopefully representing a common linguistic base form.  This is most
 useful in building search engines and information retrieval software; for
 example, a search with stemming enabled should be able to find a document
 containing "cycling" given the query "cycles".
 .
 PyStemmer provides algorithms for several (mainly European) languages, by
 wrapping the libstemmer library from the Snowball project in a Python module.
 .
 It also provides access to the classic Porter stemming algorithm for English:
 although this has been superseded by an improved algorithm, the original
 algorithm may be of interest to information retrieval researchers wishing to
 reproduce results of earlier experiments.

Package: python-stemmer-dbg
Description-md5: ddd140a6f14664202e7e1ecdef498ff3
Description-en: Python bindings for libstemmer (debug extension)
 PyStemmer provides access to efficient algorithms for calculating a "stemmed"
 form of a word.  This is a form with most of the common morphological endings
 removed; hopefully representing a common linguistic base form.
 .
 PyStemmer provides algorithms for several (mainly European) languages, by
 wrapping the libstemmer library from the Snowball project in a Python module.
 .
 This package contains the extensions built for the Python debug interpreter.

Package: python-stepic
Description-md5: ccfd28b3191fb4bb03818fef17da7e86
Description-en: Python Steganography in Images
 A Python module and command line tool for hiding arbitrary data within
 images by slightly modifying the colors. These modifications are generally
 imperceptible to humans, but are machine detectable.  Works with RGB, RGBA,
 or CMYK images.
 .
 This is a transitional package and may be safely removed

Package: python-stestr-doc
Description-md5: ddf9f7d1c036e02ace1cce9fe2640fc7
Description-en: test runner similar to testrepository - doc
 Stestr stands for Slim/Super Test Repository. It is a fork of the
 testrepository that concentrates on being a dedicated test runner for Python
 projects. The generic abstraction layers which enabled testr to work with any
 subunit emitting runner are gone. Stestr hard codes python-subunit-isms into
 how it works. The code base is also designed to try and be explicit, and to
 provide a Python API that is documented and has examples.
 .
 While stestr was originally forked from testrepository it is not 100%
 backwards compatible with testrepository. At a high level the basic concepts
 of operation are shared between the 2 projects but the actual usage between
 the 2 is not exactly the same.
 .
 This package contains the documentation.

Package: python-stomp-doc
Description-md5: 8ca91001a6bff2a570c06e70218a2708
Description-en: Documentation of Python's STOMP client library
 stomp.py is a Python client library for accessing messaging
 servers (such as Apollo or RabbitMQ) using the STOMP protocol (versions 1.0,
 1.1 and 1.2). It can also be run as a standalone, command-line client for
 testing.
 .
 This is the common documentation package.

Package: python-straight.plugin-doc
Description-md5: ea046da544ef1a949f91f7a79e58bb4d
Description-en: Simple namespaced plugin facility (common documentation)
 straight.plugin is a Python plugin loader inspired by twisted.plugin
 with two important distinctions:
 .
 - Fewer dependencies
 - Python 3 compatible
 .
 The system is used to allow multiple Python packages to provide
 plugins within a namespace package, where other packages will locate
 and utilize. The plugins themselves are modules in a namespace
 package where the namespace identifies the plugins in it for some
 particular purpose or intent.
 .
 This is the common documentation package.

Package: python-structlog-doc
Description-md5: 4d3cc36f0435cbfac7bc3139915ba0b0
Description-en: structured logging for Python (common documentation)
 Structlog makes structured logging in Python easy by augmenting your existing
 logger.  It allows you to split your log entries up into key/value pairs and
 build them incrementally without annoying boilerplate code.
 .
 This is the common documentation package.

Package: python-subprocess32
Description-md5: 55d2e8f4edc53063684f21068ea0ab7c
Description-en: backport of the Py3 stdlib subprocess module for Py2
 This is a backport of the Python 3.2 subprocess module to replace the stdlib
 subprocess module of Python versions 2.4 through 2.7, strongly recommended for
 POSIX systems. It includes a C extension used internally to handle the code
 path between fork() and exec(), which is more reliable when an application uses
 threads. Timeout support backported from Python 3.3 is also included. On the
 background, see PEP-324.

Package: python-subunit2sql-doc
Description-md5: 7c34b52923e5c722803a5ea88734c1bb
Description-en: subunit file/stream to DB - doc
 subunit2SQL is a tool for storing test results data in a SQL database. Like
 it's name implies it was originally designed around converting subunit streams
 to data in a SQL database and the packaged utilities assume a subunit stream
 as the input format. However, the data model used for the DB does not preclude
 using any test result format. Additionally the analysis tooling built on top
 of a database is data format agnostic. However if you choose to use a
 different result format as an input for the database additional tooling using
 the DB API would need to be created to parse a different test result output
 format. It's also worth pointing out that subunit has several language library
 bindings available. So as a user you could create a small filter to convert a
 different format to subunit. Creating a filter should be fairly easy and then
 you don't have to worry about writing a tool like :ref:`subunit2sql` to use a
 different format.
 .
 For multiple distributed test runs that are generating subunit output it is
 useful to store the results in a unified repository. This is the motivation for
 the testrepository project which does a good job for centralizing the results
 from multiple test runs.
 .
 However, imagine something like the OpenStack CI system where the same basic
 test suite is normally run several hundreds of times a day. To provide useful
 introspection on the data from those runs and to build trends over time the
 test results need to be stored in a format that allows for easy querying.
 Using a SQL database makes a lot of sense for doing this, which was the
 original motivation for the project.
 .
 At a high level subunit2SQL uses alembic migrations to setup a DB schema that
 can then be used by the subunit2sql tool to parse subunit streams and populate
 the DB. Then there are tools for interacting with the stored data in the
 subunit2sql-graph command as well as the sql2subunit command to create a
 subunit stream from data in the database. Additionally, subunit2sql provides a
 Python DB API that can be used to query information from the stored data to
 build other tooling.
 .
 This package contains the documentation.

Package: python-subversion
Description-md5: 30d5802891a40f1c510adc5fe5a34238
Description-en: Python bindings for Apache Subversion
 This is a set of Python interfaces to libsvn, the Apache Subversion
 libraries.  It is useful if you want to, for example, write a Python
 script that manipulates a Subversion repository or working copy.  See
 the 'subversion' package for more information.

Package: python-subvertpy
Description-md5: da5c2b13a81c47ffdb8741222db065e5
Description-en: Alternative Python bindings for Subversion - Python 2
 Python bindings for the Subversion version control system. The aim of
 these bindings is to be fast, complete and to provide an API that feels native
 to Python programmers.
 .
 This also includes an experimental server-side implementation of the
 Subversion protocol.
 .
 This package contains the Python 2 version.

Package: python-sunlight-doc
Description-md5: bb01475fb64944fd3838f58f4e10c64e
Description-en: set of bindings to access U.S. government data (documentation)
 This module can be used to access U.S. government data, as provided by
 The Sunlight Foundation's API services. Data provided includes such data
 as federal and state-local legislators, legislative instruments, and searches
 against the congressional record.
 .
 This package only contains documentation for use by developers targeting the
 python-sunlight or python3-sunlight module.

Package: python-sushy-doc
Description-md5: 01682ddc991ab50692f4d143c7d5a86a
Description-en: small library to communicate with Redfish based systems - doc
 Sushy is a Python library to communicate with Redfish based systems. The goal
 of the library is to be extremely simple, small, have as few dependencies as
 possible and be very conservative when dealing with BMCs by issuing just
 enough requests to it (BMCs are very flaky).
 .
 Therefore, the scope of the library has been limited to what is supported by
 the OpenStack Ironic project. As the project grows and more features from
 Redfish are needed Sushy will expand to fulfil those requirements.
 .
 This package contains the documentation.

Package: python-svgwrite-doc
Description-md5: edb08bc31ba5da51169d6065a3cf4bda
Description-en: library to create SVG drawings (common documentation)
 Programmatically create Scalable Vector Graphics (SVG).
 .
 This package provides examples for the use of this library.

Package: python-sybil-doc
Description-md5: b9932a2cbb32037f31cb4a23cc1d9e13
Description-en: Automated testing for the examples in your documentation (documentation)
 This library provides a way to test examples in your documentation by parsing
 them from the documentation source and evaluating the parsed examples as part
 of your normal test run. Integration is provided for the three main Python test
 runners.
 .
 This package contains the documentation.

Package: python-sympy-doc
Description-md5: cc59e8cf2755ff21ca090cae2bda5c81
Description-en: Computer Algebra System (CAS) in Python - Documentation
 SymPy is a Python library for symbolic mathematics (manipulation). It aims to
 become a full-featured computer algebra system (CAS) while keeping the code as
 simple as possible in order to be comprehensible and easily extensible. SymPy
 is written entirely in Python and does not require any external libraries,
 except optionally for plotting support.
 .
 This package contains the documentation for sympy Python module.

Package: python-tables-data
Description-md5: 69fc4dd5a121a3c9ca135adc32adcaaf
Description-en: hierarchical database for Python based on HDF5 - test data
 PyTables is a package for managing hierarchical datasets and designed
 to efficiently cope with extremely large amounts of data.
 .
 It is built on top of the HDF5 library and the NumPy package. It
 features an object-oriented interface that, combined with C extensions
 for the performance-critical parts of the code (generated using
 Cython), makes it a fast, yet extremely easy to use tool for
 interactively save and retrieve very large amounts of data. One
 important feature of PyTables is that it optimizes memory and disk
 resources so that they take much less space (between a factor 3 to 5,
 and more if the data is compressible) than other solutions, like for
 example, relational or object oriented databases.
 .
  - Compound types (records) can be used entirely from Python (i.e. it
    is not necessary to use C for taking advantage of them).
  - The tables are both enlargeable and compressible.
  - I/O is buffered, so you can get very fast I/O, specially with
    large tables.
  - Very easy to select data through the use of iterators over the
    rows in tables. Extended slicing is supported as well.
  - It supports the complete set of NumPy objects.
 .
 This package includes daya fils used for unit testing.

Package: python-tables-doc
Description-md5: 9a78efe48c4f44dcad2fc946f50d9d37
Description-en: hierarchical database for Python based on HDF5 - documentation
 PyTables is a package for managing hierarchical datasets and designed
 to efficiently cope with extremely large amounts of data.
 .
 It is built on top of the HDF5 library and the NumPy package. It
 features an object-oriented interface that, combined with C extensions
 for the performance-critical parts of the code (generated using
 Cython), makes it a fast, yet extremely easy to use tool for
 interactively save and retrieve very large amounts of data. One
 important feature of PyTables is that it optimizes memory and disk
 resources so that they take much less space (between a factor 3 to 5,
 and more if the data is compressible) than other solutions, like for
 example, relational or object oriented databases.
 .
  - Compound types (records) can be used entirely from Python (i.e. it
    is not necessary to use C for taking advantage of them).
  - The tables are both enlargeable and compressible.
  - I/O is buffered, so you can get very fast I/O, specially with
    large tables.
  - Very easy to select data through the use of iterators over the
    rows in tables. Extended slicing is supported as well.
  - It supports the complete set of NumPy objects.
 .
 This package includes the manual in PDF and HTML formats.

Package: python-tackerclient-doc
Description-md5: 78c73fc62e9cb194b5042a295a56ba97
Description-en: CLI and Client Library for OpenStack Tacker - doc
 Tacker is an official OpenStack project building a Generic VNF Manager (VNFM)
 and a NFV Orchestrator (NFVO) to deploy and operate Network Services and
 Virtual Network Functions (VNFs) on an NFV infrastructure platform like
 OpenStack. It is based on ETSI MANO Architectural Framework and provides a
 functional stack to Orchestrate Network Services end-to-end using VNFs.
 .
 This is the client API library for Tacker. This package contains the
 documentation.

Package: python-tango-doc
Description-md5: 13956e45a5367529bb9dbeb988fbe63e
Description-en: API for the TANGO control system
 TANGO is an object oriented distributed control system using CORBA,
 mainly developed by the Controls Section of the ALBA Synchrotron.
 PyTango provides bindings for its client- and server-side C++ APIs.
 With PyTango, you can write TANGO device servers and TANGO applications
 (scripts, CLIs, GUIs) that access TANGO device servers in pure Python.
 .
 This package contains the documentation for the python-tango library.

Package: python-tap-doc
Description-md5: 851638c97503a7978118960bd41336c9
Description-en: TAP producer/consumer tools for Python unittest -- documentation
 The tap.py package provides a set of tools for working with the
 Test Anything Protocol (TAP). TAP is a line based test protocol
 for recording test data in a standard way.
 .
 This package provides the documentation for developers who want
 to use the tap.py package in their applications.

Package: python-taskflow-doc
Description-md5: 61552451ac8817a14440fa5600f02ae8
Description-en: Taskflow structured state management library - doc
 TaskFlow, is a library to do [jobs, tasks, flows] in a HA manner
 using different backends to be used with OpenStack projects.
 More information at http://wiki.openstack.org/wiki/TaskFlow
 .
 This package contains the documentation.

Package: python-taurus-doc
Description-md5: 63df9b4b695debd45bfe80c7499b4540
Description-en: Framework for scientific/industrial CLIs and GUIs - Documentation
 Taurus is a Python framework for control and data acquisition CLIs
 and GUIs in scientific/industrial environments. It supports multiple
 control systems or data sources: Tango, EPICS, ...  New control
 system libraries can be integrated through plugins.
 .
 This package contains the documentation for the taurus Python module.

Package: python-tds-doc
Description-md5: 992e942f7ff92329b98b93dbdfff914a
Description-en: Python DBAPI driver for MSSQL using pure Python TDS (documentation)
 A platform independent Python DBAPI driver for Microsoft SQL Server using pure
 Python TDS (Tabular Data Stream) protocol implementation. It doesn't depend on
 ADO or FreeTDS.
 .
 This is the documentation package.

Package: python-terminado-doc
Description-md5: 0affafd1de671fdf8d9fe6c675ee2e22
Description-en: Terminals served to term.js using Tornado websockets (documentation)
 This is a tornado websocket backend for the term.js Javascript
 terminal emulator library.
 .
 This package installs the documentation.

Package: python-terminaltables-doc
Description-md5: fca155d899ee2cbcaf093f7415e26ae8
Description-en: Documentation for terminaltables table printer
 Easily draw tables in terminal/console applications from a list of lists of
 strings.
 .
 This package contains the documentation for terminaltables.

Package: python-testfixtures-doc
Description-md5: 125777eee06138b39ebb1e80aa6151cd
Description-en: Collection of helpers and mock objects (Documentation)
 TestFixtures is a collection of helpers and mock objects that are useful when
 writing unit tests or doc tests. It includes tools for a wide range of tasks:
 .
  * Comparing objects and sequences
  * Mocking out objects and methods
  * Mocking dates and times
  * Testing logging
  * Testing output to streams
  * Testing with files and directories
  * Testing exceptions
  * Testing warnings
  * Testing use of the subprocess package
  * Testing with zope.component
 .
 This package contains the documentation.

Package: python-testpath-doc
Description-md5: f75c1f61d9aec7ff2e1c78c350f8bd47
Description-en: Utilities for Python code working with files and commands (doc)
 It contains functions to check things on the filesystem, and tools for
 mocking and recording calls to those.
 .
 This package installs the documentation.

Package: python-thriftpy
Description-md5: 1eb330edc64cf055a0483f7471441203
Description-en: Pure Python implementation of Apache Thrift - Python 2
 ThriftPy is a pure Python implementation of
 Apache Thrift <http://thrift.apache.org/> in a pythonic way.
 .
 Documentation: https://thriftpy.readthedocs.org/
 .
 Currently ThriftPy have these features (also advantages over the upstream
 Python lib):
 .
   - Pure Python implementation. No longer need to compile & install the
     'thrift' package. All you need is thriftpy and thrift file.
 .
   - Compatible with Apache Thrift. You can use ThriftPy together with the
     official implementation servers and clients, such as a upstream server
     with a thriftpy client or the opposite.
 .
   - Currently implemented protocols and transports:
 .
     * binary protocol (Python and cython)
 .
     * compact protocol (Python and cython)
 .
     * json protocol
 .
     * buffered transport (Python & cython)
 .
     * framed transport
 .
     * tornado server and client (with tornado 4.0)
 .
   - Can directly load thrift file as module, the sdk code will be generated
     on the fly.
 .
   - Easy RPC server/client setup.

Package: python-tinycss-doc
Description-md5: 1a8b7a8704ec3ee50709e69677001479
Description-en: complete yet simple CSS parser (Documentation)
 tinycss is a complete yet simple CSS parser for Python. It supports the full
 syntax and error handling for CSS 2.1 as well as some CSS 3 modules:
 .
  * CSS Color 3
  * CSS Fonts 3
  * CSS Paged Media 3
 .
 It is designed to be easy to extend for new CSS modules and syntax,
 and integrates well with cssselect for Selectors 3 support.
 .
 This package contains the documentation.

Package: python-tinycss2-common
Description-md5: c8004a5540b793d261f801f61e06d429
Description-en: Low-level CSS parser (test data)
 tinycss2 is a rewrite of tinycss with a simpler API, based on the more recent
 CSS Syntax Level 3 specification.
 .
 tinycss2 is low-level in that it doesn’t parse all of CSS: it doesn't know
 about the syntax of any specific properties or at-rules. Instead, it provides a
 set of functions that can be composed to support exactly the parts of CSS
 you're interested in, including new or non-standard rules or properties,
 without modifying tinycss or having a complex hook/plugin system.
 .
 In many cases, parts of the parsed values (such as the content of a AtRule) is
 given as component values that can be parsed further with other functions.
 .
 This package contains the test data of the library.

Package: python-tinycss2-doc
Description-md5: 5e21f26fc1ab21654e14408604e16d40
Description-en: Low-level CSS parser (Documentation)
 tinycss2 is a rewrite of tinycss with a simpler API, based on the more recent
 CSS Syntax Level 3 specification.
 .
 tinycss2 is low-level in that it doesn’t parse all of CSS: it doesn't know
 about the syntax of any specific properties or at-rules. Instead, it provides a
 set of functions that can be composed to support exactly the parts of CSS
 you're interested in, including new or non-standard rules or properties,
 without modifying tinycss or having a complex hook/plugin system.
 .
 In many cases, parts of the parsed values (such as the content of a AtRule) is
 given as component values that can be parsed further with other functions.
 .
 This package contains the documentation.

Package: python-tk
Description-md5: 7de9414ff2f61adaf4a5ffe446938a7f
Description-en: Tkinter - Writing Tk applications with Python2
 A module for writing portable GUI applications with Python2 using Tk.
 Also known as Tkinter.

Package: python-tk-dbg
Description-md5: 9202e73fc23cafb3e133762ffb2ed411
Description-en: Tkinter - Writing Tk applications with Python2 (debug extension)
 A module for writing portable GUI applications with Python2 using Tk.
 Also known as Tkinter.
 .
 This package contains the extensions built for the Python2 debug interpreter.

Package: python-tktreectrl-doc
Description-md5: 246d603ea41e947ca1a3d9b44e40c1d4
Description-en: documentation and examples for Python TkTreeCtrl
 This package catalyses the adoption of the Python interface to the
 TkTreectrl widgets.

Package: python-tld-doc
Description-md5: a19de495b33d9d76463708d65c0e1288
Description-en: Extract the top level domain (TLD) from a given URL (common documentation)
 This package contains a module which extracts the top level domain (TLD) from
 the given URL. It uses the list of TLD names provided by publicsuffix
 (initiated by Mozilla).
 .
 It can optionally raises exceptions on non-existing TLDs or silently fails (if
 fail_silently argument is set to True).
 .
 This is the common documentation package.

Package: python-toolz-doc
Description-md5: 6a81a88de1f61b2db55b61d54c20f45c
Description-en: List processing tools and functional utilities documentation
 A set of utility functions for iterators, functions, and
 dictionaries.  These functions interoperate well and form the
 building blocks of common data analytic operations. They extend the
 standard libraries itertools and functools and borrow heavily from
 the standard libraries of contemporary functional languages.
 .
 This contains the documentation

Package: python-tooz-doc
Description-md5: 4affabcfc8c714fb3e59285d2591b9c4
Description-en: Coordination library for distributed systems. - doc
 The Tooz project centralizes the most common distributed primitives like group
 membership protocol, lock service and leader election by providing a
 coordination API helping developers to build distributed applications.
 .
 This package contains the documentation.

Package: python-tornado-doc
Description-md5: 5054316fc06d78000bd342f868b482c8
Description-en: scalable, non-blocking web server and tools - documentation
 Tornado is a Python web framework and asynchronous networking library,
 originally developed at FriendFeed. By using non-blocking network I/O,
 Tornado can scale to tens of thousands of open connections, making it ideal
 for long polling, WebSockets, and other applications that require a
 long-lived connection to each user.
 .
 This package contains the documentation.

Package: python-tornado4-doc
Description-md5: 5054316fc06d78000bd342f868b482c8
Description-en: scalable, non-blocking web server and tools - documentation
 Tornado is a Python web framework and asynchronous networking library,
 originally developed at FriendFeed. By using non-blocking network I/O,
 Tornado can scale to tens of thousands of open connections, making it ideal
 for long polling, WebSockets, and other applications that require a
 long-lived connection to each user.
 .
 This package contains the documentation.

Package: python-tosca-parser-doc
Description-md5: ef576e17309b490338f12f024f3729f7
Description-en: parser for TOSCA Simple Profile in YAML - doc
 The TOSCA Parser is an OpenStack project. It is developed to parse TOSCA
 Simple Profile in YAML. It reads the TOSCA templates and creates an
 in-memory graph of TOSCA nodes and their relationship.
 .
 TOSCA stands for: Topology and Orchestration Specification for Cloud
 Application.
 .
 This package contains the documentation.

Package: python-traceback2
Description-md5: 9f1f115cd2253157b169dd9e4a742441
Description-en: backports of the traceback module - Python 2.7
 This package offers a backport of the traceback package to older supported
 Pythons. Users would typically do: "import traceback2 as traceback" then use
 the module as if it was the system traceback.
 .
 In Python 2.x, unlike traceback, traceback2 creates unicode output (because it
 depends on the linecache2 module).
 .
 This package contains the Python 2.7 module.

Package: python-traitlets-doc
Description-md5: f4b7f3b38f994eab601b8ddb26af3674
Description-en: Lightweight Traits-like package for Python
 A lightweight pure-Python derivative of Enthought Traits, used
 for configuring Python objects.
 .
 It powers the config system of IPython and Jupyter.
 .
 This package contains the documentation.

Package: python-transliterate-doc
Description-md5: 8d424a8af6a67a9241974c000b9ab2bb
Description-en: Bi-directional transliterator for Python (Documentation)
 Transliterates strings according to the rules specified in one of the
 following the language packs.
 .
  * Armenian
  * Bulgarian (beta)
  * Georgian
  * Greek
  * Macedonian (alpha)
  * Mongolian (alpha)
  * Russian
  * Ukrainian (beta)
 .
 This package contains the documentation.

Package: python-transmissionrpc-doc
Description-md5: a5dacb1e417820e2cf59340a201f762a
Description-en: Transmission RPC client module for Python (documentation)
 transmissionrpc is a Python module implementing the JSON-RPC client protocol
 for the Bittorrent client Transmission. All Transmission features
 implemented up to version 1.93.
 .
 Also, package includes Python script helical.py, with CLI and interactive
 interfaces, which demonstrates transmissionrpc module usage.
 .
 This package contains the documentation.

Package: python-treq-doc
Description-md5: 49fd4ff71008adcf44515ed5a1d41313
Description-en: Higher level API for making HTTP requests with Twisted (doc)
 Treq is a HTTP library inspired by requests written on top of Twisted.
 It provides a simple, higher level API for making HTTP requests when using
 Twisted.
 .
 This package contains the HTML documentation.

Package: python-trie-doc
Description-md5: 1f4bd666dc3b01f5ac41ef7b8fa1ffd7
Description-en: Pure Python implementation of the trie data structure (doc)
 A trie is an ordered tree data structure that is used to store a mapping where
 the keys are sequences, usually strings over an alphabet.
 In addition to implementing the mapping interface, tries allow finding the
 items for a given prefix, and vice versa, finding the items whose keys are
 prefixes of a given key.
 .
 This package contains the HTML documentation.

Package: python-tweepy-doc
Description-md5: c9451ac612064386af977e56fc5847ec
Description-en: Documentation for python-tweepy module
 Documentation for Tweepy that is a Twitter and Identi.ca
 library for Python that can be used in desktop and web
 applications. It has the following features:
  - OAuth support
  - Covers the entire Twitter API
  - Streaming API support
  - Cache system (memory, file)
  - Easy to use
 .
 This package contains tutorials, examples and the Tweepy API.

Package: python-twitter-doc
Description-md5: 9b792c949c4f2c773817355990a04900
Description-en: Twitter API wrapper for Python: documentation files
 This library provides a pure Python interface for the Twitter API.
 .
 Twitter provides a service that allows people to connect via the web, IM, and
 SMS. Twitter exposes a web services API (http://twitter.com/help/api) and this
 library is intended to make it even easier for Python programmers to use.
 .
 This package contains documentation files.

Package: python-txaio-doc
Description-md5: 5ee61f3f6f885f1143a3c9238982cc90
Description-en: compatibility API between asyncio/Twisted/Trollius - doc
 Txaio is a helper library for writing code that runs unmodified on both
 Twisted and asyncio.
 .
 This is like six , but for wrapping over differences between Twisted and
 asyncio so one can write code that runs unmodified on both (aka "source code
 compatibility"). In other words: users can choose if they want asyncio or
 Twisted as a dependency.
 .
 This package contains the documentation.

Package: python-txtorcon-doc
Description-md5: 7bbeeca340622af34534e3fbef3afb80
Description-en: Twisted-based asynchronous Tor control protocol implementation (Documentation)
 txtorcon main feature is to present an asynchronous API to speak the Tor
 client protocol in Python. It also provides abstractions to track and get
 updates about Tor's state and current configuration (including writing it to
 Tor or disk), along with helpers to asynchronously launch slave instances of
 Tor including Twisted endpoint support.
 .
 Twisted is an event-driven networking engine written in Python and Tor is an
 onion-routing network designed to improve people's privacy and anonymity on the
 Internet.
 .
 This package contains the common documentation.

Package: python-typing
Description-md5: 7b5861ffb7f12dd4a87a9d45543feffd
Description-en: Backport of the standard 3.5 library typing module
 Typing defines a standard notation for Python function and variable
 type annotations. The notation can be used for documenting code in a
 concise, standard format, and it has been designed to also be used by
 static and runtime type checkers, static analyzers, IDEs and other
 tools.

Package: python-tz
Description-md5: a494a4b54ac250236f51356b49003c79
Description-en: Python version of the Olson timezone database
 python-tz brings the Olson tz database into Python. This library allows
 accurate and cross platform timezone calculations using Python 2.3 or higher.
 It also solves the issue of ambiguous times at the end of daylight savings,
 which you can read more about in the Python Library Reference
 (datetime.tzinfo).

Package: python-u-msgpack
Description-md5: 71d8d32eeab4f81c1f8a156926b8ad60
Description-en: Python MessagePack serializer and deserializer
 A lightweight MessagePack serializer and deserializer module written in pure
 Python, compatible with both Python 2 and Python 3, as well as CPython and
 PyPy implementations of Python. u-msgpack-python is fully compliant with the
 latest MessagePack specification. In particular, it supports the new binary,
 UTF-8 string, and application-defined ext types.
 .
 This is the Python 2 package.

Package: python-ufl-doc
Description-md5: 2e82d7e3c3437c3fbf708e1faa08e6d6
Description-en: documentation and demos for UFL
 UFL (Unified Form Language) is a unified language for definition of
 variational forms intended for finite element discretization. More precisely,
 it defines a fixed interface for choosing finite element spaces and defining
 expressions for weak forms in a notation close to mathematical notation.
 The form compilers FFC and SyFi use UFL as their end-user interface,
 producing UFC implementations as their output.
 .
 This package contains documentation and demos for UFL.

Package: python-ulmo-doc
Description-md5: 59b2cc7681a803da499c9943471d102d
Description-en: Simple & fast Python access to public hydrology & climatology data - docs
 Ulmo retrieves and parses datasets from the web, and returns simple Python
 data structures that can be easily pulled into more sophisticated tools such
 as pandas. It caches datasets locally and harvests updates as needed.
 .
 A variety of datasets and services are supported, including the USGS National
 Water Information System, USGS National Elevation Dataset services, USGS
 Earth Resources Observation Systems services, NASA Daymet weather data, and
 several more both available and planned.
 .
 This package contains the documentation for ulmo.

Package: python-unbound
Description-md5: 103cd64b79e42ad710e19fe727ffce50
Description-en: library implementing DNS resolution and validation (Python bindings)
 Python extension module for libunbound.
 .
 libunbound performs and validates DNS lookups; it can be used to convert
 hostnames to IP addresses and back and obtain other information from the
 DNS. Cryptographic validation of results is performed with DNSSEC.

Package: python-unittest2
Description-md5: e0dfd4b6e7a577e3f11a8a9900f6a125
Description-en: backport of the enhanced unittest testing framework - Python 2.7
 unittest2 is an enhanced version of unittest including a standard test runner
 (automatic test discovery), class and module level fixtures (setUpClass /
 setUpModule etc), many powerful new assert methods, better resource management
 with addCleanups and a host of other new features. These new features are
 *all* going into the Python version of unittest in Python 2.7 and Python 3.2.
 The point of unittest2 is that it works with Python 2.4 - 2.6; you can use it
 now.
 .
 This package contains the Python 2.7 module.

Package: python-unshare
Description-md5: a92b2cfaba8602e54d47293560d68f86
Description-en: Python bindings for the Linux unshare() syscall
 This simple extension provides bindings to the Linux unshare() syscall, added
 in kernel version 2.6.16.
 .
 By using unshare(), new and interesting features of the Linux kernel can be
 exploited, such as:
 .
  * Creating a new network name space (CLONE_NEWNET)
  * Creating a new file system mount name space (CLONE_NEWNS)
  * Reverting other features shared from clone()
 .
 This library provides an equivalent of the util-linux command-line program
 unshare.

Package: python-urwid-doc
Description-md5: 5f74bccb8eaf256459ea37ea4a167851
Description-en: curses-based UI/widget library (common documentation)
 Urwid is a console user interface library that includes many features
 useful for text console application developers including:
 .
  * Fluid interface resizing (xterm window resizing/fbset on Linux console)
  * Web application display mode using Apache and CGI
  * Support for UTF-8, simple 8-bit and CJK encodings
  * Multiple text alignment and wrapping modes built-in
  * Ability to create user-defined text layout classes
  * Simple markup for setting text attributes
  * Powerful list box that handles scrolling between different widget types
  * List box contents may be managed with a user-defined class
  * Flexible edit box for editing many different types of text
  * Buttons, check boxes and radio boxes
  * Customizable layout for all widgets
  * Easy interface for creating HTML screen shots
 .
 This is the common documentation package.

Package: python-usb
Description-md5: fd337eed06bab848e81e2e878a32c268
Description-en: USB interface for Python
 For interacting with USB devices directly from Python, this
 library may come in handy.
 .
 This version of python-usb is written entirely in Python and uses
 ctypes to interact with various USB libraries.

Package: python-uvicorn-doc
Description-md5: fc0e15835e9aa31309830294a4ce8f36
Description-en: ASGI server implementation, using uvloop and httptools (Documentation)
 Uvicorn is a fast ASGI server, built on uvloop and httptools. It currently
 supports HTTP/1.1 and WebSockets.
 .
 Uvicorn is designed with particular attention to connection and resource
 management, in order to provide a robust server implementation. It aims to
 ensure graceful behavior to either server or client errors, and resilience to
 poor client behavior or denial of service attacks.
 .
 This package contains the documentation.

Package: python-validictory-doc
Description-md5: a7f3b75186d3ca0a3b1e2fab3f8c493d
Description-en: general purpose Python data validator (Documentation)
 Validictory is a schema validator based on the JSON Schema
 Proposal (http://json-schema.org).
 .
 This package only contains documentation for use by developers targeting
 the python-validictory (or python3-validictory) module.

Package: python-vcr-doc
Description-md5: e7d84c34fc58f4205b40c8edd08ed48a
Description-en: record and replay HTML interactions (Documentation)
 vcr.py records all interactions that take place through the HTML libraries
 it supports and writes them to flat files, called cassettes (YAML format by
 default). These cassettes could be replayed then for fast, deterministic
 and accurate HTML testing.
 .
 vcr.py supports the following Python HTTP libraries:
  - urllib2 (stdlib)
  - urllib3
  - http.client (Python3 stdlib)
  - Requests
  - httplib2
  - Boto (interface to Amazon Web Services)
  - Tornado's HTTP client
 .
 This package contains the documentation.

Package: python-vcversioner
Description-md5: 99f501805d94035b527f1ace265e8d05
Description-en: Use version control tags to discover version numbers
 vcversioner autodiscovers a Python project's version number using
 version control system tags. This allows developers to avoid
 duplicating version information between their VCS and their setup.py
 metadata.
 .
 When the package is built, vcversioner generates a version.txt file
 that can be used for release tarballs.
 .
 Currently, vcversioner supports the git and mercurial (hg) VCS.
 .
 This module contains vcversioner for Python 2.x

Package: python-vigra-doc
Description-md5: 49f438c0167ba10f38ce98cc079e33bf
Description-en: Documentation for Python3 bindings for the C++ computer vision library
 Vision with Generic Algorithms (VIGRA) is a computer vision library
 that puts its main emphasis on flexible algorithms, because
 algorithms represent the principle know-how of this field. The
 library was consequently built using generic programming as
 introduced by Stepanov and Musser and exemplified in the C++ Standard
 Template Library. By writing a few adapters (image iterators and
 accessors) you can use VIGRA's algorithms on top of your data
 structures, within your environment.
 .
 This package contains documentation for Python3 bindings for the VIGRA library.

Package: python-vispy-doc
Description-md5: a540dcd19144ae9b83a234d4008894d7
Description-en: interactive visualization in Python [documentation]
 Vispy is a high-performance interactive 2D/3D data visualization library.
 .
 Vispy leverages the computational power of modern Graphics Processing Units
 (GPUs) through the OpenGL library to display very large datasets.
 .
 This package provides documentation for VisPy.

Package: python-vitrageclient-doc
Description-md5: 990cf1d68df93379140f64814f7c8211
Description-en: OpenStack root cause analysis as a Service doc.
 Vitrage is the OpenStack RCA (Root Cause Analysis) Service for
 organizing, analyzing and expanding OpenStack alarms & events,
 yielding insights regarding the root cause of problems and deducing
 the existence of problems before they are directly detected.
 .
 This package contains the documentation.

Package: python-vmware-nsxlib-doc
Description-md5: ae26a8473161edb37a8727657f069a1a
Description-en: <insert up to 60 chars description> (common documentation)
 <insert long description, indented with spaces>
 .
 This is the common documentation package.

Package: python-voluptuous
Description-md5: e7a52291d4b349cea6d3773b023c27f3
Description-en: Python library to validate data
 Voluptuous is primarily intended to validate data coming in to Python
 as JSON, YAML, etc. The aim is to offer a light-weight style with three
 main goals:
  1. simplicity
  2. support for complex data structures
  3. provide useful error messages
 .
 It has been inspired by Validino and to a lesser extent by jsonvalidator and
 json_schema.
 .
 This package provides the Python 2.7 module.

Package: python-watcherclient-doc
Description-md5: 3f3c7dee6cf2b1e351cc62017cf2446f
Description-en: OpenStack Cloud Optimization as a Service - client doc
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a complete
 optimization loop-including everything from a metrics receiver, complex event
 processor and profiler, optimization processor and an action plan applier.
 This provides a robust framework to realize a wide range of cloud optimization
 goals, including the reduction of data center operating costs, increased
 system performance via intelligent virtual machine migration, increased energy
 efficiency-and more!
 .
 This package contains the documentation.

Package: python-wcwidth
Description-md5: 7dc77fdedc08d5f3681df263fe0be464
Description-en: determine printable width of a string on a terminal (Python 2)
 wcwidth allows one to determine the printable width of a string on a terminal.
 It provides functions similar to wcwidth(3) and wcswidth(3) for Python
 programs.
 .
 This package provides the module for Python 2.

Package: python-webassets-doc
Description-md5: 220673a2aee21e9bc5eba74e8042f9a3
Description-en: Asset management application for Python web development - doc
 Assets helps you merge and compress any JavaScript and CSS files on your web
 development project.
 .
 This package contains API documentation.

Package: python-webencodings
Description-md5: 1d5a23901af10a86a7dd41bffdcecb35
Description-en: Python implementation of the WHATWG Encoding standard
 In order to be compatible with legacy web content when interpreting something
 like Content-Type: text/html; charset=latin1, tools need to use a particular
 set of aliases for encoding labels as well as some overriding rules.  For
 example, US-ASCII and iso-8859-1 on the web are actually aliases for
 windows-1252, and an UTF-8 or UTF-16 BOM takes precedence over any other
 encoding declaration.  The Encoding standard defines all such details so that
 implementations do not have to reverse-engineer each other.
 .
 This module has encoding labels and BOM detection, but the actual
 implementation for encoders and decoders is Python’s.
 .
 This package provides the module for Python 2.

Package: python-webpy-doc
Description-md5: dcc3e1e9cc136b061832e1aa0daf89ee
Description-en: Web framework for Python applications
 Web.py by Aaron Swartz offers a clean and well designed API for Python web
 applications.
 .
 The philosophy behind web.py is to: "Think about the ideal way to write a
 web app. Write the code to make it happen."
 .
 Implementations using this library have resulted in:
  * less code
  * code that ran faster
  * code that is easier to read and maintain.
 .
 This package provides the documentation.

Package: python-websocket
Description-md5: 96b2cfdbaf92343d8b61a90f985ae527
Description-en: WebSocket client library - Python 2.7
 websocket-client provides a low-level, synchronous API providing WebSocket
 client functionality to Python programs. It conforms to the WebSocket
 specification as standardized by the IETF in RFC 6455.
 .
 WebSocket is a protocol providing full-duplex communication channels over
 TCP, mostly used in Web browsers.
 .
 This package provides the Python 2.7 version.

Package: python-webvtt-doc
Description-md5: ec76d1a94edf9d044ccea56c46169a43
Description-en: Read, write and segment WebVTT caption files (Documentation)
 Python module for reading/writing WebVTT caption files. It also features
 caption segmentation useful when captioning HLS videos.
 .
 Converting captions from other formats is supported for:
  * SubRip (.srt)
  * YouTube SBV (.sbv)
 .
 This package contains the documentation.

Package: python-wheel-common
Description-md5: 07202c5a087a20709522c00fdc119453
Description-en: built-package format for Python (command-line scripts)
 A wheel is a ZIP-format archive with a specially formatted filename and the
 `.whl` extension.  It is designed to contain all the files for a PEP 376
 compatible install in a way that is very close to the on-disk format.
 .
 The wheel project provides a `bdist_wheel` command for setuptools.  Wheel
 files can be installed with `pip`.
 .
 These are the command line scripts and manpages.

Package: python-whoosh-doc
Description-md5: 1d5aa9079d2fb0a512bc53638365840a
Description-en: full-text indexing, search, and spell checking library (doc)
 Whoosh is a fast, pure-Python indexing and search library. Programmers
 can use it to easily add search functionality to their applications and
 websites. As Whoosh is pure Python, you don't have to compile or
 install a binary support library and/or make Python work with a JVM, yet
 indexing and searching is still very fast. Whoosh is designed to be
 modular, so every part can be extended or replaced to meet your needs
 exactly.
 .
 This package contains the library documentation for python-whoosh.

Package: python-willow-doc
Description-md5: dd363d58f4346c374258867926082987
Description-en: Python image library (documentation)
 Willow is a simple image library that combines the APIs of Pillow, Wand and
 OpenCV. It converts the image between the libraries when necessary.
 .
 Willow currently has basic resize and crop operations, face and feature
 detection and animated GIF support. New operations and library integrations
 can also be easily implemented.
 .
 This is the common documentation package.

Package: python-wiredtiger
Description-md5: b99278f89c21f7b2fe810ee6150234ee
Description-en: Python library for WiredTiger
 Supports row-oriented storage (where all columns of a row are stored
 together), column-oriented storage (where columns are stored in groups,
 allowing for more efficient access and storage of column subsets) and
 log-structured merge trees (LSM), for sustained throughput under random
 insert workloads.
 .
 Includes ACID transactions with standard isolation levels and durability at
 both checkpoint and fine-grained granularity.
 .
 Can be used as a simple key/value store, but also has a complete schema
 layer, including indices and projections.
 .
 This package provides the Python bindings for WiredTiger.

Package: python-ws4py-doc
Description-md5: 56668c86b2168c8399500e563c6d2707
Description-en: WebSocket library (docs)
 Python library providing an implementation of the WebSocket protocol defined
 in RFC 6455.
 .
 This package contains documentation.

Package: python-wsproto-doc
Description-md5: b5dd9b609b75f3c436c5d10af9a834ab
Description-en: WebSockets state-machine based protocol implementation (Documentation)
 Pure-Python implementation of a WebSocket protocol stack. It's
 written from the ground up to be embeddable in whatever program you
 choose to use, ensuring that you can communicate via WebSockets, as
 defined in RFC6455, regardless of your programming paradigm.
 .
 This package contains the documentation.

Package: python-wtforms-doc
Description-md5: bcd7a9a9f3083bd22e7c9e8f99e0e10f
Description-en: flexible forms validation and rendering library for Python (doc)
 Documentation for WTForms. WTForms is a HTML form field generator which
 allows full customization with templates, using the template engine of your
 choice. It also allows one to create forms based on ORM models from Django,
 SqlAlchemy and the Google Appengine.
 .
 This package contains the documentation.

Package: python-wxgtk-media3.0
Description-md5: 34f66dbb06f35f4d355e59036c4914b1
Description-en: Python interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.media)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides a Python interface to wxMediaCtrl.

Package: python-wxgtk-webview3.0
Description-md5: 57a5d87ffd45db669d828f188f47101b
Description-en: Python interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.html2)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides a Python interface to wxWebView.

Package: python-wxgtk3.0
Description-md5: e6b84603a05240c5f0c33b79c29cdb7d
Description-en: Python interface to the wxWidgets Cross-platform C++ GUI toolkit
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides a Python interface to the wxGTK library and the
 wxPython runtime support libraries.

Package: python-wxgtk3.0-dev
Description-md5: 7c322c8559cbb86d777b2a65667d58ed
Description-en: Development files for wxPython
 wxPython is a Python interface to the wxWidgets Cross-platform C++ GUI
 toolkit.
 .
 This package contains headers and SWIG interface files needed for building
 extensions to wxPython.

Package: python-wxtools
Description-md5: 892e95dfbccddc23f84f0e4a3ea67923
Description-en: Tools from the wxPython distribution
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides support utilities and common files for wxPython.

Package: python-wxversion
Description-md5: 127167a948fd40308dfa22fce6ef43a7
Description-en: API for selecting the wxPython version to use
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides the wxPython version selector.

Package: python-x2go-doc
Description-md5: 6c10327c6858e41beb5e719124ca9e8b
Description-en: Python module providing X2Go client API (documentation)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 This package contains the Python X2Go client API
 documentation generated with Epydoc.

Package: python-x2gobroker-doc
Description-md5: e53cdf9372eb0ab7810799af5fe69743
Description-en: X2Go Session Broker (Python3 module documentation)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains the API documentation of the various X2Go Broker
 backends and shows how to extend the X2Go Session Broker.

Package: python-xapian
Description-md5: e2495f28fd3fba43201c82e444852920
Description-en: Xapian search engine interface for Python
 This package provides Xapian Python bindings for all the packaged versions
 of Python.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
 .
 The Xapian Python bindings provide an interface to the Xapian library from the
 Python programming language, allowing both indexing and retrieval operations.

Package: python-xarray-doc
Description-md5: 339ce566fd52e78ec265b192fec88195
Description-en: documentation for xarray
 xarray (formerly xray) is an open source project and Python package that aims
 to bring the labeled data power of pandas to the physical sciences, by
 providing N-dimensional variants of the core pandas data structures.
 .
 It provides a pandas-like and pandas-compatible toolkit for analytics on
 multi-dimensional arrays, rather than the tabular data for which pandas
 excels.
 .
 This package provides the documentation.

Package: python-xdg
Description-md5: 207fa0b47cf9e6e4652f4db6ceb58ee7
Description-en: Python 2 library to access freedesktop.org standards
 PyXDG contains implementations of freedesktop.org standards in Python:
 .
  * Base Directory Specification Version 0.6
  * Menu Specification Version 1.0-draft1
  * Desktop Entry Specification Version 1.0
  * Icon Theme Specification Version 0.8
  * Recent File Spec 0.2
  * Shared-MIME-Database Specification 0.13
 .
 This package contains the Python 2 version of the library.

Package: python-xe
Description-md5: af450aadd0b4745e738244c0409da00f
Description-en: library to work with XML elements (Python 2)
 xe (short for "XML elements") is a Python library module designed to make it
 easy to work with XML. It can display values in several ways, access values
 directly or get a string representation of them, and check whether or not
 values make sense.
 .
 This package provides Python 2 modules only.

Package: python-xkcd-doc
Description-md5: 455c8a016564e8ce6e416f5a3deb4b58
Description-en: Python library for accessing xkcd.com (common documentation)
 This is a Python library for accessing and retrieving links to comics from the
 xkcd webcomic by Randall Munroe. It is NOT endorsed or made by him, it’s an
 entirely independent project.
 .
 It makes use of the JSON interface to Randall’s site to retrieve comic data.
 .
 One can create comic objects manually using Comic(number), or can use the
 helper functions provided- getLatestComic(), getRandomComic(), and getComic()-
 to do this. Once you have a Comic object, you can access data from it using
 various provided methods.
 .
 This is the common documentation package.

Package: python-xkit
Description-md5: 4e500baf3e639c20ceba48a7d4d98601
Description-en: library for the manipulation of xorg.conf files (Python 2)
 X-Kit is library for the manipulation of xorg.conf files.
 .
 This is the Python 2 version of the package.

Package: python-xlib
Description-md5: 07871d2da4c702500862f163d9092247
Description-en: interface for Python to the X11 protocol
 python-xlib is a 100% pure Python implementation of the X11
 protocol. It currently implements client-side X11R6 fully, supports
 the resource database, ICCM, and the Shape extension.
 .
 This is a Python 2 version of the package

Package: python-xlrd-docs
Description-md5: 6ff1fd453c18737b1aa40f377915340b
Description-en: extract data from Microsoft Excel spreadsheet files (documentation)
 xlrd is a Python library to Extract data from new and old Microsoft
 Excel spreadsheets. It supports both .xls and .xlsx files (from Excel
 2007).
 .
 xlrd is a pure Python module and does not require any dependency
 outside of the standard Python distribution.
 .
 This package contains the documentation.

Package: python-xlwt-doc
Description-md5: 1a7b0cff9588a23081309bbc93016edc
Description-en: module for writing Microsoft Excel spreadsheet files - doc
 This package provides a pure Python module for writing spreadsheet files
 readable by Excel 97/2000/XP/2003, OpenOffice.org Calc, and Gnumeric. Excel
 spreadsheets can be generated on any platform without needing Excel or a COM
 server.
 .
 Xlwt is a fork of the unmaintained pyExcelerator module with several
 bugfixes and enhancements. For the functionality previously provided by the
 parse_xls function, see the python-xlrd package.
 .
 This package provides the documentation.

Package: python-xmltodict
Description-md5: db5a3f7a3c3ef92b7b88ea645225618c
Description-en: Makes working with XML feel like you are working with JSON (Python 2)
 xmltodict is a Python module that makes working with XML feel like you are
 working with JSON. xmltodict is very fast (Expat-based) and has a streaming
 mode with a small memory footprint, suitable for big XML dumps.
 .
 This package provides the Python 2 version of the library.

Package: python-xmmsclient
Description-md5: ae962471797c8444e7193250a76c6c02
Description-en: XMMS2 - Python bindings
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the Python bindings for the XMMS2 client library.

Package: python-xstatic-doc
Description-md5: f35da77177795fd831aecaf5f118d6d5
Description-en: XStatic base package with minimal support code - doc
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the documentation.

Package: python-yaml
Description-md5: 82d5290a45a0b6b13c828ddb2dd65a9c
Description-en: YAML parser and emitter for Python
 Python-yaml is a complete YAML 1.1 parser and emitter for Python.  It can parse
 all examples from the specification. The parsing algorithm is simple enough to
 be a reference for YAML parser implementors. A simple extension API is also
 provided.  The package is built using libyaml for improved speed.

Package: python-yaml-dbg
Description-md5: 5843f2b294ec30ef86a6df5e97ab9970
Description-en: YAML parser and emitter for Python (debug build)
 Python-yaml is a complete YAML 1.1 parser and emitter for Python.  It can parse
 all examples from the specification. The parsing algorithm is simple enough to
 be a reference for YAML parser implementors. A simple extension API is also
 provided.  The package is built using libyaml for improved speed.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-yapsy-doc
Description-md5: 78c0c2588acbc1f30544ffffa0fa3e8f
Description-en: simple plugin system for Python applications - doc
 Yapsy, or Yet Another Plugin SYstem, is a small library implementing the core
 mechanisms needed to build a plugin system into a wider application.
 .
 The main purpose is to depend only on Python's standard libraries and to
 implement only the basic functionalities needed to detect, load and keep track
 of several plugins.
 .
 This package contains API documentation.

Package: python-yarl-doc
Description-md5: 2f38e511d0c65d03f5f47496d5e82a79
Description-en: documentation for the yarl Python library
 URL is constructed from `str`:
 .
  >>> from yarl import URL
  >>> url = URL('https://www.python.org/~guido?arg=1#frag')
  >>> url
  URL('https://www.python.org/~guido?arg=1#frag')
 .
 All URL parts: scheme, user, passsword, host, port, path, query and fragment
 are accessible by properties:
 .
  >>> url.scheme
  'https'
  >>> url.host
  'www.python.org'
  >>> url.path
  '/~guido'
  >>> url.query_string
  'arg=1'
  >>> url.query
  <MultiDictProxy('arg': '1')>
  >>> url.fragment
  'frag'
 .
 All URL manipulations produces a new URL object:
 .
  >>> url.parent / 'downloads/source'
  URL('https://www.python.org/downloads/source')
 .
 Strings passed to constructor and modification methods are automatically
 encoded giving canonical representation as result::
 .
  >>> url = URL('https://www.python.org/путь')
  >>> url
  URL('https://www.python.org/%D0%BF%D1%83%D1%82%D1%8C')
 .
 Regular properties are percent-decoded, use `raw_` versions for getting
 encoded strings:
 .
  >>> url.path
  '/путь'
 .
  >>> url.raw_path
  '/%D0%BF%D1%83%D1%82%D1%8C'
 .
 Human readable representation of URL is available as `.human_repr()`:
 .
  >>> url.human_repr()
  'https://www.python.org/путь'
 .
 This package provides documentation for yarl

Package: python-yenc-doc
Description-md5: c4eab2b410b5dc81b14bf9a770c119b1
Description-en: yEnc encoding/decoding extension for Python (documentation)
 The yEnc module provides a simple API for raw encoding/decoding of
 yencoded binaries.
 .
 This package contains the documentation and examples for yEnc.

Package: python-yubico-tools
Description-md5: d14e0bb5a992380aed6c9b63cc6d1587
Description-en: Tools for Yubico YubiKeys
 The YubiKey is a hardware authentication token. This package
 contains utilities for the YubiKey implemented using the
 python-yubico package.
 .
 This package currently includes the following utilities :
 .
   * yubikey-totp - OATH TOTP code generator using YubiKey

Package: python-zipp
Description-md5: 5e8e9388b6439053cfcef49bee690153
Description-en: pathlib-compatible Zipfile object wrapper - Python 2.7
 A backport of the Path object to older versions of Python.
 It's pathlib-compatible interface for zip files using zipfile object inside.
 .
 This package contains Python 2.7 module.

Package: python-zope.interface
Description-md5: 520c6286ba5487232b94a28ae85b794c
Description-en: Interfaces for Python
 This package provides an implementation of object interfaces for Python.
 Interfaces are a mechanism for labeling objects as conforming to a given API
 or contract. So, this package can be considered as implementation of the
 Design By Contract methodology support in Python.
 .
 This is the Python 2 version.

Package: python-zope.interface-dbg
Description-md5: d25d319ea745ca9c4742a684c2c321f1
Description-en: Interfaces for Python (debug extension)
 This package provides an implementation of object interfaces for Python.
 Interfaces are a mechanism for labeling objects as conforming to a given API
 or contract. So, this package can be considered as implementation of the
 Design By Contract methodology support in Python.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python-zunclient-doc
Description-md5: 69e02025f359fc8fc64adf9cb2169ec3
Description-en: OpenStack container management service API client - doc
 Zun (ex. Higgins) is a Container Management service for OpenStack. It aims to
 provide an OpenStack API for launching and managing containers backed by
 different container technologies.
 .
 This is a client library for Zun built on the Zun API. It provides a Python
 API (the zunclient module) and a command-line tool(zun).
 .
 This package contains the documentation.

Package: python2
Description-md5: 8a23c719c291789e6e4e8971b03680c5
Description-en: interactive high-level object-oriented language (Python2 version)
 Python2, the high-level, interactive object oriented language,
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.
 .
 This package is a dependency package, which depends on Debian's Python2
 version (currently v2.7).

Package: python2-dbg
Description-md5: 78c7bd7936f74584c53f22cd66cc23f4
Description-en: debug build of the Python2 interpreter (version 2.7)
 Python2 interpreter configured with --pydebug. Dynamically loaded modules are
 searched in /usr/lib/python2.7/lib-dynload/debug first.

Package: python2-dev
Description-md5: 39e8987518a407d42638b3fc80fc84cd
Description-en: header files and a static library for Python2
 Header files, a static library and development tools for building
 Python2 modules, extending the Python2 interpreter or embedding Python2
 in applications.
 .
 This package is a dependency package, which depends on Debian's Python2
 version (currently v2.7).

Package: python2-doc
Description-md5: c84f4b7fc4495511c459085527918459
Description-en: documentation for the high-level object-oriented language Python2
 This is the official set of documentation for the interactive high-level
 object-oriented language Python2 (v2.7). All documents are provided
 in HTML format, some in info format. The package consists of nine documents:
 .
   * Tutorial
   * Python Library Reference
   * Macintosh Module Reference
   * Python Language Reference
   * Extending and Embedding Python
   * Python/C API Reference
   * Installing Python Modules
   * Documenting Python
   * Distributing Python Modules
 .
 This package is a dependency package, which depends on Debian's Python2
 version (currently v2.7).

Package: python2-minimal
Description-md5: 39bbe74365740924be57582a5cfc507f
Description-en: minimal subset of the Python2 language
 This package contains the interpreter and some essential modules.  It's used
 in the boot process for some basic tasks.
 See /usr/share/doc/python2.7-minimal/README.Debian for a list of the modules
 contained in this package.

Package: python2.7
Description-md5: 28620f1beffd6b598125304a83bfcb87
Description-en: Interactive high-level object-oriented language (version 2.7)
 Python is a high-level, interactive, object-oriented language. Its 2.7 version
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.

Package: python2.7-dbg
Description-md5: 3220dd61a40cd6c408ecc3fbbcb8ef1f
Description-en: Debug Build of the Python Interpreter (version 2.7)
 The package holds two things:
 .
 - A Python interpreter configured with --pydebug. Dynamically loaded modules
   are searched as <foo>_d.so first. Third party extensions need a separate
   build to be used by this interpreter.
 - Debug information for standard python interpreter and extensions.
 .
 See the README.debug for more information.

Package: python2.7-dev
Description-md5: 0f13a410a5bbc68affef1492eee5d8e2
Description-en: Header files and a static library for Python (v2.7)
 Header files, a static library and development tools for building
 Python (v2.7) modules, extending the Python interpreter or embedding
 Python (v2.7) in applications.
 .
 Maintainers of Python packages should read README.maintainers.

Package: python2.7-doc
Description-md5: 427bb0bb2c7ff8d97e3a833c92820507
Description-en: Documentation for the high-level object-oriented language Python (v2.7)
 These is the official set of documentation for the interactive high-level
 object-oriented language Python (v2.7). All documents are provided
 in HTML format. The package consists of ten documents:
 .
   * What's New in Python2.7
   * Tutorial
   * Python Library Reference
   * Macintosh Module Reference
   * Python Language Reference
   * Extending and Embedding Python
   * Python/C API Reference
   * Installing Python Modules
   * Documenting Python
   * Distributing Python Modules

Package: python2.7-examples
Description-md5: 4f24bb2b1825659042443224cf985199
Description-en: Examples for the Python language (v2.7)
 Examples, Demos and Tools for Python (v2.7). These are files included in
 the upstream Python distribution (v2.7).

Package: python2.7-minimal
Description-md5: 4e3d580f5374e0e392e97c8e6fedf594
Description-en: Minimal subset of the Python language (version 2.7)
 This package contains the interpreter and some essential modules.  It can
 be used in the boot process for some basic tasks.
 See /usr/share/doc/python2.7-minimal/README.Debian for a list of the modules
 contained in this package.

Package: python3-3parclient
Description-md5: 1cf3668025e15f99252a44ff3489181b
Description-en: client library that can talk to the HP 3PAR Storage array
 3parclient is a Client library that can talk to the HP 3PAR Storage array.
 The 3PAR storage array has a REST web service interface as of 3.1.2 of the
 firmware. This client library implements a simple interface to talking with
 that REST interface using the Python httplib2 http library.
 .
 This package provides the Python 3 module.

Package: python3-a38
Description-md5: 9ab501dc28f686f53a7fbe2a9b9eaaf1
Description-en: Library to generate Italian Fattura Elettronica
 This library implements a declarative data model similar to Django models, that
 is designed to describe, validate, serialize and parse Italian Fattura
 Elettronica data.
 .
 The library can generate various kinds of fatture that pass validation, and can
 parse all the example XML files distributed by fatturapa.gov.it

Package: python3-aalib
Description-md5: 1ea83ee5d85160cce3d39117ea3baab7
Description-en: Python 3 interface to AAlib, an ASCII art library
 AAlib is a portable ASCII art graphics library. Internally, it works like a
 graphics display, but the output is rendered into ASCII text.
 .
 This package makes AAlib accessible in Python 3.

Package: python3-access2base
Description-md5: 62049593c5d96b74959809148594af38
Description-en: interface between Python and the LibreOffice Access2Base library
 Access2Base is a LibreOffice/OpenOffice Basic library of macros for
 (business or personal) application developers and advanced users.
 .
 Their syntax and their meaning are directly inspired by MSAccess.
 The macros are callable from
  - a LibreOffice / OpenOffice Base application,
  - any LibreOffice / OpenOffice document wanting to access data
   stored in databases.
 .
 This package contains an interface between Python (user) scripts
 and Access2Base.

Package: python3-acme
Description-md5: 1f6a20683296d3471681d8269f592f79
Description-en: ACME protocol library for Python 3
 This is a library used by the Let's Encrypt client for the ACME
 (Automated Certificate Management Environment).  The ACME protocol is
 designed as part of the Let's Encrypt project, to make it possible to
 setup an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention.  This
 library implements the protocol used for proving the control of a
 domain.  This library is Python 3.

Package: python3-acora
Description-md5: c3cac888b274a04546a20e62e7fc7af0
Description-en: fast multi-keyword text search engine (Python 3)
 Acora is 'fgrep' for Python, a fast multi-keyword text search engine.
 .
 Based on a set of keywords and the Aho-Corasick algorithm, it
 generates a search automaton and runs it over string input, either
 unicode or bytes.
 .
 This package installs the library for Python 3.

Package: python3-acoustid
Description-md5: e1ba294bbab0e5218a78656247847a4d
Description-en: Acoustid interface implementation and Chromaprint bindings (Python 3)
 Acoustid is a web service offering high quality acoustic fingerprinting
 system using the Chromaprint C library. This package provides Python
 bindings for the library as well as an interface to the web service.
 .
 This package provides the Python 3 module.

Package: python3-actdiag
Description-md5: 345f3a6b191c4bea830ce4309a66450b
Description-en: generate activity-diagram image file from spec-text file
 Generate activity-diagram from dot like text with multilingualization for
 node-label. But this supports utf-8 only. It is able to embed to sphinx with
 using python3-sphinxcontrib-actdiag.

Package: python3-actionlib
Description-md5: 4acb40e752f26829cb945fe1625aa2d1
Description-en: Robot OS actionlib library - Python 3 interface
 This package is part of Robot OS (ROS). The actionlib stack provides
 a standardized interface for interfacing with preemptable
 tasks. Examples of this include moving the base to a target location,
 performing a laser scan and returning the resulting point cloud,
 detecting the handle of a door, etc.
 .
 This package contains the Python 3 binding.

Package: python3-actionlib-msgs
Description-md5: abf3f3391e9d075c32cd9cd8686d5f53
Description-en: Messages relating to Robot OS actionlib, Python 3 interface
 This package is part of Robot OS (ROS), and contains the common
 messages to interact with an action server and an action client.  For
 full documentation of the actionlib API see the
 https://wiki.ros.org/actionlib package.
 .
 This package contains the generated Python 3 package.

Package: python3-activipy
Description-md5: 38067a77f866f3afb3e0ccdc53abf7fb
Description-en: implementation of ActivityStreams 2.0 for Python 3
 Provides an easy API for building ActivityStreams 2.0 based
 applications as well as a test suite for testing
 ActivityStreams 2.0 libraries against.
 .
 This package provides the module for Python 3.x.

Package: python3-adal
Description-md5: d3cce9e074caf3aa276a922a712b09bd
Description-en: Azure Active Directory Authentication Library for Python 3.x
 The ADAL for Python library makes it easy for Python applications to
 authenticate to AAD in order to access AAD protected web resources.
 .
 This package contains the Python 3.x module.

Package: python3-adios
Description-md5: 60a7f3fa2a74e8a93c85becfc5652a45
Description-en: Python3 interface to the ADIOS IO system
 This is a Python3 interface to ADIOS.
 .
 The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists
 to describe the data in their code that may need to be written, read,
 or processed outside of the running simulation. By providing an external
 to the code XML file describing the various elements, their types, and
 how you wish to process them this run, the routines in the host code
 (either Fortran or C) can transparently change how they process the data.

Package: python3-admesh
Description-md5: c755ae3bc415d8ed3ddb335536f19336
Description-en: Python bindings for the ADMesh (Python 3)
 It lets you manipulate 3D models in binary or ASCII STL
 format and partially repair them if necessary.
 .
 This is the Python 3 version of the package.

Package: python3-aeidon
Description-md5: b6fbe79c047ca6f850c9e62f172e5199
Description-en: reading, writing and manipulating text-based subtitle files
 aeidon is separate from the gaupol package, which provides a subtitle editor
 application with a GTK+ user interface.

Package: python3-affine
Description-md5: 6014354f160fc4d63d2c66129ba4efc1
Description-en: Python 3 Library for handling affine transformations of the plane
 This library contains functions for handling affine transformations of the
 plane.
 .
 It can be used in georeferenced datasets to transfer image to world
 coordinates.
 .
 This is the Python 3 version of the library.

Package: python3-agate
Description-md5: 0840501f4edd2aa7a13446e2c1940c78
Description-en: data analysis library optimized for human readability
 Agate is a Python data analysis library that is optimized for humans instead
 of machines. It is an alternative to numpy and pandas that solves real-world
 problems with readable code.
 .
 Why agate?
 .
   - A readable and user-friendly API.
   - A complete set of SQL-like operations.
   - Unicode support everywhere.
   - Decimal precision everywhere.
   - Exhaustive user documentation.
   - Pluggable extensions that add SQL integration, Excel support, and more.
   - Designed with iPython, Jupyter and atom/hydrogen in mind.
   - Pure Python. No C dependencies to compile.
   - Exhaustive test coverage.
   - MIT licensed and free for all purposes.
   - Zealously zen.
   - Made with love.
 .
 This package provides the modules for Python 3.

Package: python3-agatedbf
Description-md5: fed336182ae0155b15b3ac2e74b4a4e5
Description-en: support for dbf files in agate
 Agate-dbf adds read support for dbf files to agate. It uses a monkey patching
 pattern to add read methods for dbf files to all agate.Table instances after
 import of the corresponding agatedbf module.
 .
 This package provides the modules for Python 3.

Package: python3-agateexcel
Description-md5: d4a012f9070b833fb0dc5d0c9ea3f307
Description-en: support for Excel files in agate
 Agate-excel adds read support for Excel files (xls and xlsx) to agate. It uses
 a monkey patching pattern to add read methods for xls and xlsx files to all
 agate.Table instances after import of the corresponding agateexcel module.
 .
 This package provides the modules for Python 3.

Package: python3-agatesql
Description-md5: 07e9427810b9e9ccbad5913ec9179674
Description-en: support for SQL read/write in agate
 Agate-sql adds read/write support for SQL to agate. It uses a monkey patching
 pattern to add read and write methods for SQL to all agate.Table instances
 after import of the corresponding agatesql module.
 .
 This package provides the modules for Python 3.

Package: python3-aggdraw
Description-md5: 57e0e742cb9aa3711139f5f5c9e5156a
Description-en: High quality drawing interface for PIL - Python 3.X
 The aggdraw module implements the basic WCK 2D Drawing Interface on
 top of the AGG library. This library provides high-quality drawing,
 with anti-aliasing and alpha compositing, while being fully compatible
 with the WCK renderer.
 .
 This is the Python 3 version of the package.

Package: python3-aioamqp
Description-md5: d128707f7bbca91dcd8eed893ba696ac
Description-en: AMQP implementation using asyncio (Python3 version)
 aioamqp library is a pure-Python implementation of the AMQP 0.9.1 protocol.
 .
 Built on top on Python's asynchronous I/O support introduced in PEP 3156, it
 provides an API based on coroutines, making it easy to write highly concurrent
 applications.
 .
 This package contains the Python 3 version of the library.

Package: python3-aiocoap
Description-md5: d1001a49d0f96ddaf2c2c87979948445
Description-en: Python implementation of CoAP
 The aiocoap package is a Python implementation of CoAP, the Constrained
 Application Protocol (RFC 7252, more info at http://coap.technology/).
 .
 It uses the asyncio module introduced in Python 3.4 to facilitate concurrent
 operations while maintaining a simple to use interface and not depending on
 anything outside the standard library.

Package: python3-aiodns
Description-md5: 106dfd7473f99ccc06fc0be369bb3229
Description-en: Asynchronous DNS resolver library for Python 3
 aiodns provides a simple way for doing asynchronous DNS resolutions with a
 synchronous looking interface, using pycares.
 .
 This package installs the library for Python 3.

Package: python3-aiofiles
Description-md5: 56df49a15abd4e58a573e565a611ae06
Description-en: support for Python asyncio file operations
 This module includes support for asynchronous file
 operations using the Python asyncio module.

Package: python3-aiohttp
Description-md5: f730c1eb49e4f113817da234d7a43357
Description-en: http client/server for asyncio
 HTTP Client for asyncio (PEP 3156 - Asynchronous I/O Support).
 .
 Features:
  * supports both client and server side of HTTP protocol,
  * supports both client and server Web-Sockets out-of-the-box,
  * web-server has middlewares and pluggable routing.

Package: python3-aiohttp-cors
Description-md5: 515e94b5a4d27fe8a07e76f0302fb5ff
Description-en: Cross Origin Resource Sharing (CORS) support for aiohttp
 aiohttp_cors library implements Cross Origin Resource Sharing (CORS) support
 for aiohttp asyncio-powered asynchronous HTTP server.

Package: python3-aiohttp-dbg
Description-md5: 0bc8244e3e0ab388aafe5b18c4ce87e8
Description-en: http client/server for asyncio - debug version
 HTTP Client for asyncio (PEP 3156 - Asynchronous I/O Support).
 .
 Features:
  * supports both client and server side of HTTP protocol,
  * supports both client and server Web-Sockets out-of-the-box,
  * web-server has middlewares and pluggable routing.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-aiohttp-jinja2
Description-md5: 16a1d656b42fca4349f0839dc1e23104
Description-en: jinja2 template renderer for aiohttp.web
 aiohttp_jinja2 library makes it easier to integrate Jinja2 (template engine for
 Python) templates in aiohttp.web (asyncio HTTP server)

Package: python3-aiohttp-mako
Description-md5: 0080bef28e5d1a525570c50b2804412a
Description-en: mako template renderer for aiohttp.web
 Mako template renderer for aiohttp.web (asyncio HTTP server) based on
 aiohttp_jinja2. Library supports almost same API.

Package: python3-aiohttp-security
Description-md5: 34db91355293427f380a2958edf08ebf
Description-en: provides identity and authorization for aiohttp.web
 aiohttp-security is a set of public API functions as well as a reference
 standard for implementation details for securing access to assets served
 by a wsgi server.

Package: python3-aiohttp-session
Description-md5: ffdd3da6906950a72ffb3addfa84f05d
Description-en: provides sessions for aiohttp.web
 The library allows us to store user-specific data into a session object.
 .
 The session object has a dict-like interface (operations like
 session[key] = value, value = session[key] etc. are present).
 .
 Before processing the session in a web-handler, you have to register the
 session middleware in aiohttp.web.Application.

Package: python3-aiohttp-socks
Description-md5: 4bf501e3f16147164c2bd9de63746a66
Description-en: SOCKS proxy connector for aiohttp (Python 3)
 SOCKS proxy connector for aiohttp that supports SOCKS4(a) and SOCKS5.
 .
 This package installs the library for Python 3.

Package: python3-aiohttp-wsgi
Description-md5: cf279f1ec91624d5a783bdde7883dc7d
Description-en: WSGI adapter for aiohttp (Python 3)
 WSGI adapter for aiohttp.
 .
 Features:
  * Run WSGI applications (e.g. Django, Flask) on aiohttp.
  * Handle thousands of client connections, using asyncio.
  * Add websockets to your existing Python web app.

Package: python3-aioice
Description-md5: 2cf2aa524d8aecb9be2c4c9c1ea62c9a
Description-en: library for Interactive Connectivity Establishment
 aioice is a library for Interactive Connectivity Establishment
 (RFC 5245) in Python. It is built on top of asyncio, Python’s
 standard asynchronous I/O framework.
 .
 Interactive Connectivity Establishment (ICE) is useful for
 applications that establish peer-to-peer UDP data streams, as it
 facilitates NAT traversal. Typical usecases include SIP and
 WebRTC.

Package: python3-aiomeasures
Description-md5: 4d92a3b4ab3ead0d9551e0fe22ec9fd2
Description-en: collect and send metrics to StatsD for Python
 This library allows you to send metrics to your Datadog or Statsd server.
 This works on Python >= 3.3 and relies on asyncio.
 .
 This package contains the Python 3.x module.

Package: python3-aiomysql
Description-md5: f27b7bfa11a097f4a61eb2b13f092ab0
Description-en: library for accessing a MySQL using asyncio (Python 3)
 aiomysql is a driver for accessing a MySQL database from the asyncio
 framework.
 .
 It depends on and reuses most parts of PyMySQL.
 .
 aiomysql tries to be like awesome aiopg library and preserve same API,
 look and feel.
 .
 This package installs the library for Python 3.

Package: python3-aioopenssl
Description-md5: 350ddc0a29f5feee0c4a467b71fbec09
Description-en: Asyncio TLS Transport using PyOpenSSL
 Compared to the built-in ssl functionalities of asyncio, this
 module provides support for STARTTLS and the possibility to
 invoke a coroutine after the handshake but before the data
 transfer is resumed, e.g. to ask for certificate validation.

Package: python3-aiopg
Description-md5: 6cacb969b03a50768360c667bfce23f9
Description-en: PostgreSQL integration with asyncio
 aiopg is a library for accessing a PostgreSQL_ database
 from the asyncio (PEP-3156/tulip) framework. It wraps
 asynchronous features of the Psycopg database driver.

Package: python3-aioprocessing
Description-md5: d2b90a039080c4ba0133b5024aa54cc2
Description-en: integrate the Python multiprocessing module with asyncio
 The aioprocessing module provides asynchronous, asyncio compatible,
 coroutine versions of many blocking instance methods on objects in
 the multiprocessing module.

Package: python3-aioredis
Description-md5: 200939e80f330946452be1e71be50bde
Description-en: asyncio (PEP 3156) Redis support
 The library is intended to provide simple and clear interface to Redis based
 on asyncio.
 .
 Features:
  * Connections pool
  * Low-level & high-level API
  * hiredis parser

Package: python3-aioresponses
Description-md5: 6b7d054dad333a20bc628b7f6da1eddb
Description-en: mock out Python aiohttp ClientSession requests
 Aioresponses is a helper
 to mock/fake web requests in python aiohttp package.
 .
 For requests module there are a lot of packages
 that help us with testing
 (eg. httpretty, responses, requests-mock).
 .
 When it comes to testing asynchronous HTTP requests
 it is a bit harder (at least at the beginning).
 The purpose of this package is to provide
 an easy way to test asynchronous HTTP requests.

Package: python3-aioresponses-doc
Description-md5: 7831adc7981ae19e1ca763843e468da4
Description-en: mock out Python aiohttp ClientSession requests - documentation
 Aioresponses is a helper
 to mock/fake web requests in python aiohttp package.
 .
 For requests module there are a lot of packages
 that help us with testing
 (eg. httpretty, responses, requests-mock).
 .
 When it comes to testing asynchronous HTTP requests
 it is a bit harder (at least at the beginning).
 The purpose of this package is to provide
 an easy way to test asynchronous HTTP requests.
 .
 This package provides documentation for the aioresponses module.

Package: python3-aiorpcx
Description-md5: c1cbfb7d8b38834ce559133854b0af4e
Description-en: generic async RPC implementation, including JSON-RPC (Python 3)
 Transport, protocol and framing-independent async RPC client and server
 implementation.
 .
 This package installs the library for Python 3.

Package: python3-aiorwlock
Description-md5: ac18047ecbfe4b64a4c895f37eb65464
Description-en: Synchronization primitive RWLock for asyncio (Python 3)
 Read write lock for asyncio. A RWLock maintains a pair of associated locks,
 one for read-only operations and one for writing. The read lock may be held
 simultaneously by multiple reader tasks, so long as there are no writers.
 The write lock is exclusive.
 .
 This package installs the library for Python 3.

Package: python3-aiosasl
Description-md5: eef9dd411b634c2b5c6b6848557a92e3
Description-en: Protocol-agnostic SASL library for use with asyncio
 aiosasl provides a generic, asyncio-based SASL library. It can
 be used with any protocol, provided the necessary interface
 code is provided by the application or protocol implementation.
 .
 Supported SASL mechanisms:
 .
  - PLAIN: authenticate with plaintext password (RFC 4616)
  - ANONYMOUS: anonymous "authentication" (RFC 4505)
  - SCRAM-SHA-1, SCRAM-SHA-224, , SCRAM-SHA-512, SCRAM-SHA-384,
    and SCRAM-SHA-256: Salted Challenge Response Authentication
    (RFC 5802), (and the -PLUS variants with channel binding).

Package: python3-aiosmtpd
Description-md5: 37d7db5743094992d54908edd26d34ee
Description-en: Python3 asyncio based SMTP server
 This is a server for SMTP and related protocols, similar in utility to the
 standard library’s smtpd.py module, but rewritten to be based on asyncio for
 Python 3.

Package: python3-aiosqlite
Description-md5: 12766b5e3b986e096c29651cd2a260ad
Description-en: sqlite library for Python 3 using asyncio
 A Python 3 module for using sqlite with asyncio.  It allows interaction with
 SQLite databases on the main asyncio event loop without blocking execution of
 other coroutines while waiting for queries or data fetches.

Package: python3-aiowsgi
Description-md5: be7c6f6d30be6f4c999352a4e8f7cae4
Description-en: minimalist WSGI server implementation using async
 This package provides a simple Python implementation of the
 WSGI interface using the waitress pure Python HTTP implementation.

Package: python3-aioxmlrpc
Description-md5: b3c079341e60fb1d4fa86b00554e7210
Description-en: XML-RPC for asyncio
 Asyncio version of the standard lib xmlrpc.
 .
 Currently only aioxmlrpc.client, which works like xmlrpc.client but
 with coroutine is implemented.
 .
 aioxmlrpc is based on aiohttp for the transport, and just patch
 the necessary from the Python standard library to get it working.
 .
 Example of usage:
 .
  import asyncio
  from aioxmlrpc.client import ServerProxy
 .
  @asyncio.coroutine
  def print_gandi_api_version():
      api = ServerProxy('https://rpc.gandi.net/xmlrpc/')
      result = yield from api.version.info()
      print(result)
 .
  if __name__ == '__main__':
      loop = asyncio.get_event_loop()
      loop.run_until_complete(print_gandi_api_version())
      loop.stop()

Package: python3-aioxmpp
Description-md5: 2198c499beac4efc978016fec02be467
Description-en: XMPP/Jabber client library for asyncio
 A pure-python XMPP client library using asyncio. It implements the internet
 standards RFC 6120, RFC 6121, and RFC 6122, as well as several XMPP Extension
 Proposals (XEPs).

Package: python3-aioxmpp-doc
Description-md5: 92c926453269408b87fc1b708f1ad008
Description-en: XMPP/Jabber client library for asyncio (documentation)
 A pure-python XMPP client library using asyncio. It implements the internet
 standards RFC 6120, RFC 6121, and RFC 6122, as well as several XMPP Extension
 Proposals (XEPs).

Package: python3-aiozmq
Description-md5: 912101c056367d3d56269b4587269708
Description-en: ZeroMQ integration with asyncio
 ZeroMQ integration with asyncio (PEP 3156)
 .
 Features:
  * Implements create_zmq_connection() coroutine for making 0MQ connections.
  * Provides ZmqTransport and ZmqProtocol
  * Provides RPC Request-Reply, Push-Pull and Publish-Subscribe patterns for
    remote calls.

Package: python3-airr
Description-md5: 4f3fdabb6731ccdc1771ed8429af3be4
Description-en: Data Representation Standard library for antibody and TCR sequences
 This package provides a library by the AIRR community to for describing,
 reporting, storing, and sharing adaptive immune receptor repertoire
 (AIRR) data, such as sequences of antibodies and T cell receptors
 (TCRs). Some specific efforts include:
  * The MiAIRR standard for describing minimal information about AIRR
    datasets, including sample collection and data processing information.
  * Data representations (file format) specifications for storing large
    amounts of annotated AIRR data.
  * APIs for exposing a common interface to repositories/databases
    containing AIRR data.
  * A community standard for software tools which will allow conforming
    tools to gain community recognition.
 .
 This package installs the library for Python 3.

Package: python3-ajax-select
Description-md5: 707d48470e42d820d8c242b9a964bb3b
Description-en: Django library for editing fields with autocomplete
 Enables editing of ForeignKey, ManyToMany and simple text fields using the
 Autocomplete - jQuery plugin.

Package: python3-ajpy
Description-md5: b4b00154f8fd60cd920373c04500c2b0
Description-en: Python module to craft AJP requests
 AJPy is a small Python module that allows one to craft and serialize AJP
 packets in order to communicate with AJP connectors from a Python
 application. This pentesting oriented library can be used to test the
 resistance of AJP connectors (e.g. Apache Tomcat), but also for a variety of
 server tests.

Package: python3-alignlib
Description-md5: 875eb1cf8abdeb18635806faa4b92c76
Description-en: edit and Hamming distances for biological sequences
 A small Python module providing edit distance and Hamming distance
 computation. It is a dependency for the IgDiscover package and
 likely future others.

Package: python3-alsaaudio
Description-md5: 5789bf91f87ccfadc5db93b4922478df
Description-en: Alsa bindings for Python 3
 This package contains wrappers for accessing the ALSA API from Python.
 Access to PCM devices and Mixer is supported. Access to MIDI sequencers
 is not supported yet.
 .
 This package provides the alsaaudio module for Python 3.

Package: python3-altgraph
Description-md5: 1b749c7a246f40950066d4f953968667
Description-en: Python 3 graph (network) package
 altgraph is a fork of graphlib: a graph (network) package for constructing
 graphs, BFS and DFS traversals, topological sort, shortest paths, etc. with
 graphviz output.
 .
 altgraph includes some additional usage of Python 2.6+ features and
 enhancements related to modulegraph and macholib.
 .
 This package provides the Python 3.x module.

Package: python3-amp
Description-md5: c4cc9c2a8cdc16940dc3ed6cf18cff9e
Description-en: Atomistic Machine-learning Package (python 3)
 Amp is an open-source package designed to easily bring machine-learning to
 atomistic calculations. This project is being developed at Brown University in
 the School of Engineering, primarily by Andrew Peterson and Alireza Khorshidi,
 and is released under the GNU General Public License. Amp allows for the
 modular representation of the potential energy surface, allowing the user to
 specify or create descriptor and regression methods.
 .
 Amp is designed to integrate closely with the Atomic Simulation Environment
 (ASE). As such, the interface is in pure python, although several
 compute-heavy parts of the underlying code also have fortran versions to
 accelerate the calculations. The close integration with ASE means that any
 calculator that works with ASE ─ including EMT, GPAW, DACAPO, VASP, NWChem,
 and Gaussian ─ can easily be used as the parent method.
 .
 This package provides the python 3 modules.

Package: python3-amqplib
Description-md5: 180ffd24aeb5fe94db9fe6db295fa081
Description-en: simple non-threaded Python AMQP client library (Python3 version)
 Python client for the Advanced Message Queuing Protocol (AMQP) 0-8, featuring
 basic messaging functionality and SSL support.
 .
 python3-amqplib provides synchronous API unlike other (event-driven)
 implementations.
 .
 This package contains the Python 3 version of the library.

Package: python3-angles
Description-md5: b418bf85134ed8ae0ea7f6dacf7c591a
Description-en: Robot OS set of simple math utilities to work with angles (Python 3)
 This package is part of Robot OS (ROS). The utilities cover simple
 things like normalizing an angle and conversion between degrees and
 radians. But even if you're trying to calculate things like the
 shortest angular distance between two joint space positions of your
 robot, but the joint motion is constrained by joint limits, this
 package is what you need. The code in this package is stable and well
 tested.  There are no plans for major changes in the near future.
 .
 This package contains the Python 3 binding.

Package: python3-anosql
Description-md5: 554ccbb494c50d120adbca918217470e
Description-en: Manage your raw SQL Queries in an elegant manner
 Inspired by Yesql library by Kris Jenkins, anosql provides an interface to
 manage your SQL queries against PostgreSQL and SQLite engine.
 .
 The interface gives the full flexibility and features of raw SQL to the
 developer.
 .
 Anosql can be seen as an alternative to ORM(s), and can be installed and used
 at the same time as other ORM libraries.

Package: python3-ansi
Description-md5: 6f76433dd9df82a4d34db337a7f11754
Description-en: cursor movement and graphics - Python 3
 Various ANSI escape codes, used in moving the cursor in a text console or
 rendering coloured text.
 .
 256 RGB colours supported.
 .
 This package installs the library for Python 3.

Package: python3-ansimarkup
Description-md5: 60805def7cf63586d37318bab0ad0abc
Description-en: Produce colored terminal text with an xml-like markup
 This is a python3 module to produce colored terminal texty
 with an XML-like markup.

Package: python3-antlr
Description-md5: 12962a7ebcfb1c989594e440bbf2b708
Description-en: language tool for constructing recognizers, compilers etc - Python 3.x
 This package contains the Python 3.x version of antlr. ANTLR stands for
 ANother Tool for Language Recognition, (formerly PCCTS).
 .
 See antlr package for a complete description.

Package: python3-antlr3
Description-md5: 30fef257c537e2643e19129bbff4d252
Description-en: ANother Tool for Language Recognition - Python 3.x bindings
 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is a language
 tool that provides a framework for constructing recognizers, compilers, and
 translators from grammatical descriptions containing C++ or Java actions (You
 can use PCCTS 1.xx to generate C-based parsers).
 .
 Computer language translation has become a common task. While compilers and
 tools for traditional computer languages (such as C or Java) are still being
 built, their number is dwarfed by the thousands of mini-languages for which
 recognizers and translators are being developed. Programmers construct
 translators for database formats, graphical data files (e.g., PostScript,
 AutoCAD), text processing files (e.g., HTML, SGML).  ANTLR is designed to
 handle all of your translation tasks.
 .
 Note that this package contains patches for OpenStack Congress.
 .
 This package provides the Python 3.x bindings.

Package: python3-apertium-core
Description-md5: 53ade1a1f9e3cd2bbc7e7bdced52af73
Description-en: Shallow-transfer machine translation engine (Python 3 module)
 Python 3 module for applications using the Apertium shallow-transfer
 machine translation engine module.

Package: python3-apertium-lex-tools
Description-md5: 99b4d3dc054160f3cbee076b0a80c495
Description-en: Apertium lexical selection module (Python 3 module)
 Module for compiling lexical selection rules and processing them in the
 pipeline.
 .
 This package provides Python 3 module for apertium-lex-tools.

Package: python3-apipkg
Description-md5: fc9ea005feeedf4f13ef07487135a50b
Description-en: namespace control and lazy-import mechanism for Python 3
 With apipkg you can control the exported namespace of a Python package and
 greatly reduce the number of imports for your users. It is a small pure
 Python module that works on virtually all Python versions, including
 CPython2.3 to Python3.1, Jython and PyPy. It co-operates well with Python's
 help() system, custom importers (PEP302) and common command line completion
 tools.
 .
 This package contains apipkg for Python 3.

Package: python3-aplpy
Description-md5: 15757c37e39a14068119c4de0a4ef3a1
Description-en: Astronomical Plotting Library in Python
 APLpy is a Python module aimed at producing publication-quality plots
 of astronomical imaging data in FITS format. The module uses
 Matplotlib, a powerful and interactive plotting package. It is capable
 of creating output files in several graphical formats, including EPS,
 PDF, PS, PNG, and SVG.

Package: python3-applicationinsights
Description-md5: ad6d04287ec8e5b12aa9d6db4da82d93
Description-en: Azure Application Insights API for Python 3.x
 Application Insights is a service that allows developers to keep their
 application available, performing and succeeding. This Python module will allow
 you to send telemetry of various kinds (event, trace, exception, etc.) to the
 Application Insights service where they can be visualized in the Azure Portal.
 .
 This package contains the Python 3.x module.

Package: python3-apptools
Description-md5: b0a9e5d2768692c2953512628c0a8063
Description-en: ETS Application Tools (Python 3)
 The AppTools project includes a set of packages that Enthought has
 found useful in creating a number of applications. They implement
 functionality that is commonly needed by many applications.
 .
 This is the Python 3 release of the package.

Package: python3-apriltag
Description-md5: 161278abbbf51ea1dfe28d9b092fd9a8
Description-en: AprilTags Visual Fiducial System
 AprilTag is a visual fiducial system, useful for a wide variety of tasks
 including augmented reality, robotics, and camera calibration. Targets can be
 created from an ordinary printer, and the AprilTag detection software computes
 the precise 3D position, orientation, and identity of the tags relative to the
 camera. The AprilTag library is implemented in C with no external dependencies.
 It is designed to be easily included in other applications, as well as be
 portable to embedded devices. Real-time performance can be achieved even on
 cell-phone grade processors.
 .
 This package provides the Python3 interfaces

Package: python3-apscheduler
Description-md5: e115bc2240fc2ac4e80a4fffae44ebae
Description-en: In-process task scheduler with Cron-like capabilities
 The Advanced Python Scheduler (APScheduler) is a light but powerful in-process
 task scheduler that lets you schedule jobs (functions or any Python callables)
 to be executed at times of your choosing.
 .
 This can be a far better alternative to externally run cron scripts for
 long-running applications (e.g. web applications), as it is platform neutral
 and can directly access your application's variables and functions.
 .
 This package contains the Python 3 module.

Package: python3-apsw
Description-md5: 0ec3e15c2f558557db447883ce427e6e
Description-en: another Python SQLite 3 wrapper (Python 3 version)
 APSW (Another Python SQLite Wrapper) is an SQLite 3 wrapper that
 provides the thinnest layer over SQLite 3 possible. Everything you
 can do from the C API to SQLite 3, you can do from Python. Although
 APSW's API looks vaguely similar to Python's DB-API, it is not
 compliant with that API and instead works the way SQLite 3 does.
 .
 This package contains the extension built for Python 3.

Package: python3-apsw-dbg
Description-md5: 9469915db8a1dc4502da7ca345cfe566
Description-en: another Python 3 SQLite 3 wrapper (debug extension)
 APSW (Another Python SQLite Wrapper) is an SQLite 3 wrapper that
 provides the thinnest layer over SQLite 3 possible. Everything you
 can do from the C API to SQLite 3, you can do from Python. Although
 APSW's API looks vaguely similar to Python's DB-API, it is not
 compliant with that API and instead works the way SQLite 3 does.
 .
 This package contains the extension built for the Python 3 debug
 interpreter.

Package: python3-aptdaemon.test
Description-md5: 1a901b5468edd109ab5809bbe10ba40d
Description-en: Test environment for aptdaemon clients
 Aptdaemon is a transaction based package management daemon. It allows
 normal users to perform package management tasks, e.g. refreshing the
 cache, upgrading the system, installing or removing software packages.
 .
 This package provides the aptdaemon.test module and several helper
 scripts and a test repository to write unittests for aptdaemon
 clients.

Package: python3-aptly
Description-md5: 32088f002a653ba16e3a2f0337d5022a
Description-en: Aptly REST API client and useful tooling - Python 3.x
 Module which provides access to Aptly instance from Python programming
 language
 .
 This package contains the Python 3.x module.

Package: python3-arcus
Description-md5: 2ab39e84aba28d3afecc4f8bee51b212
Description-en: message queue for Cura based on protobuf (Python bindings)
 This library contains C++ code and Python3 bindings for creating a socket
 in a thread and using this socket to send and receive messages based on
 the Protocol Buffers library. It is designed to facilitate the communication
 between Cura and its backend and similar code.
 This package contains the Python 3 bindings.

Package: python3-argcomplete
Description-md5: 864b9f1da574363ff4cbee4b92aa144b
Description-en: bash tab completion for argparse (for Python 3)
 Argcomplete provides easy, extensible command line tab completion of
 arguments for your Python script.
 .
 It makes two assumptions:
 .
  * You're using bash as your shell
  * You're using argparse to manage your command line arguments/options
 .
 Argcomplete is particularly useful if your program has lots of
 options or subparsers, and if your program can dynamically suggest
 completions for your argument/option values (for example, if the user
 is browsing resources over the network).
 .
 This package provides the module for Python 3.x.

Package: python3-argh
Description-md5: 0db5206c7debce953a818b7354980a5d
Description-en: simple argparse wrapper (Python 3)
 Argh provides a very simple wrapper for argparse.
 .
 Argparse is a very powerful tool; argh just makes it easy to use.
 .
 Here’s a list of features that argh adds to argparse:
 .
  * mark a function as a CLI command and specify its arguments before
    the parser is instantiated;
  * nested commands made easy: no messing with subparsers;
  * infer command name from function name;
  * infer argument type from the default value;
  * infer argument action from the default value (for booleans);
  * infer arguments from function signature;
  * add an alias root command help for the --help argument;
  * enable passing unwrapped arguments to certain functions instead of
    an argparse.Namespace object.
 .
 Argh is fully compatible with argparse. You can mix argh-agnostic and
 argh-aware code. Just keep in mind that dispatch() does some extra
 work that a custom dispatcher may not do.
 .
 This package installs the library for Python 3.

Package: python3-argon2
Description-md5: 4d4afc54dffe204a354a2a55b7ee2bd6
Description-en: Argon2 password hashing library - Python 3.x Module
 Argon2 is a password-hashing function that can be used to hash passwords
 for credential storage, key derivation, or other applications.
 .
 There are two main versions of Argon2: Argon2i and Argon2d.
 Argon2i is the safest against side-channel attacks, while Argon2d provides
 the highest resistance against GPU cracking attacks.
 .
 Argon2i and Argon2d are parametrized by:
  * A time cost, which defines the amount of computation realized and
    therefore the execution time, given in number of iterations
  * A memory cost, which defines the memory usage, given in kibibytes
  * A parallelism degree, which defines the number of parallel threads
 .
 This module provides argon2 hashing primitives for the Python 3 programming
 language.

Package: python3-argparse-manpage
Description-md5: 3c3272659b00377accd022753b1375a4
Description-en: Automatically build a manpage from argparse
 Generate a manual page in an automatic way from an ArgumentParser object,
 so that the manpage matches to the automatically generated --help output.

Package: python3-args
Description-md5: d0725f559aace750c341624df438fd89
Description-en: Command Arguments for Humans (Python 3)
 This simple module gives you an elegant interface for your command line
 arguments.
 .
 This package contains the module for Python 3.

Package: python3-arpy
Description-md5: a07b18a61e158e4938c801ee7c2f1cab
Description-en: library for accessing "ar" archives
 arpy is a library for accessing the archive files and reading the
 contents. It supports extended long filenames in both GNU and BSD
 format. Right now it does not support the symbol tables, but can
 ignore them gracefully.

Package: python3-arrayfire
Description-md5: d38822b09ea0014414742669f1f6f7bd
Description-en: ArrayFire bindings for Python 3
 ArrayFire is a high performance library for parallel computing with an
 easy-to-use API. It enables users to write scientific computing code that is
 portable across CUDA, OpenCL and CPU devices. This project provides Python
 bindings for the ArrayFire library.
 .
 This package provides the bindings for Python 3.

Package: python3-arrow
Description-md5: a4704507c40f6e213de2a0959b3f4920
Description-en: Python3 library to manipulate dates, times, and timestamps
 A Python3 library that offers a sensible, human-friendly approach to creating,
 manipulating, formatting and converting dates, times, and timestamps.
 It implements and updates the datetime type, plugging gaps in functionality,
 and provides a module API that supports many common creation scenarios.
 Arrow replaces a number of modules: datetime, time, calendar, dateutil, pytz.

Package: python3-artifacts
Description-md5: eb414bee9883111cc8f6f482cb8ace66
Description-en: knowledge base of forensic artifacts (Python 3)
 A free, community-sourced, machine-readable knowledge base of forensic
 artifacts that the world can use both as an information source and within
 other tools.
 .
 This package installs the Python 3 version.

Package: python3-asciitree
Description-md5: 918e31727687b0197dc86722e65239b5
Description-en: Draw tree structures using (ASCII or Unicode) characters
 This package contains functions to draw a tree structure,
 similar to the tree Debian package, from arbitrary nested
 Python data structures, with configurable layout, sets of
 characters (ASCII or various Unicode line drawing), etc.
 It includes predefined structure traversal methods for
 (optionally ordered) dictionaries but allows the user to
 write their own to traverse custom data structures.

Package: python3-asdf
Description-md5: 5de6519bea5b5e62a795a426d96b1ab8
Description-en: Python 3 library for the Advanced Scientific Data Format
 ASDF (Advanced Scientific Data Format) is a proposed
 next generation interchange format for scientific data. ASDF aims to
 exist in the same middle ground that made FITS so successful, by
 being a hybrid text and binary format: containing human editable
 metadata for interchange, and raw binary data that is fast to load
 and use. Unlike FITS, the metadata is highly structured and is
 designed up-front for extensibility.
 .
 This is the Python 3 package.

Package: python3-ase
Description-md5: 13d6c3f5a8659f7f6b402882e6bf0b18
Description-en: Atomic Simulation Environment (Python 3)
 ASE is an Atomic Simulation Environment written in the Python programming
 language with the aim of setting up, stearing, and analyzing atomic
 simulations.  ASE is part of CAMPOS, the CAMP Open Source project.
 .
 ASE contains Python interfaces to several different electronic structure
 codes including Abinit, Asap, Dacapo, Elk, GPAW and SIESTA.
 .
 This package provides the Python 3 modules.

Package: python3-asgiref
Description-md5: c2b26cc335f46cd5a3cfc0d595e0055c
Description-en: ASGI in-memory channel layer (Python3 version)
 ASGI (Asynchronous Server Gateway Interface) is a standard for Python
 asynchronous web apps and servers to communicate with each other, and
 positioned as an asynchronous successor to WSGI.
 .
 It includes ASGI base libraries, such as:
 .
  * Sync-to-async and async-to-sync function wrappers, asgiref.sync
  * Server base classes, asgiref.server
  * A WSGI-to-ASGI adapter, in asgiref.wsgi
 .
 This package contains the Python 3 version of the library.

Package: python3-asn1crypto
Description-md5: 3b673e95dd3f184867373a55aa321b99
Description-en: Fast ASN.1 parser and serializer (Python 3)
 asn1crypto focuses on:
  - Delayed parsing of byte string values
  - Persistence of original ASN.1 encoded data until a value is changed
  - Lazy loading of child fields
  - Utilization of high-level Python stdlib modules
 .
 This package contains the Python 3 version of asn1crypto.

Package: python3-asteval
Description-md5: 0351d3952f4c7bb4b7cf08cb89ec46bf
Description-en: minimalistic evaluator of Python 3 expression using ast module
 ASTEVAL is a safe(ish) evaluator of Python expressions and statements,
 using Python's ast module. The idea is to provide a simple, safe, and
 robust miniature mathematical language that can handle user-input. The
 emphasis here is on mathematical expressions, and so many functions from
 numpy are imported and used if available.
 .
 Many Python lanquage constructs are supported by default, These include
 slicing, subscripting, list comprehension, conditionals (if-elif-else
 blocks and if expressions), flow control (for loops, while loops, and
 try-except-finally blocks). All data are Python objects, and built-in
 data structures (dictionaries, tuple, lists, numpy arrays, strings) are
 fully supported by default.
 .
 Many of the standard builtin Python functions are available, as are all
 mathemetical functions from the math module. If the numpy module is
 installed, many of its functions will also be available. Users can
 define and run their own functions within the confines of the
 limitations of asteval.
 .
 There are several absences and differences with Python, and asteval is
 by no means an attempt to reproduce Python with its own ast module. Some
 of the most important differences and absences are:
 .
   * Variable and function symbol names are held in a simple symbol table
     (a single dictionary), giving a flat namespace.
   * creating classes is not supported.
   * importing modules is not supported.
   * function decorators, yield, lambda, exec, and eval are not
     supported.
   * files can only be opened in read-only mode.
 .
 In addition, accessing many internal methods and classes of objects is
 forbidden in order to strengthen asteval against malicious user code. .
 .
 This package installs the library for Python 3.

Package: python3-astlib
Description-md5: a0aa5fb13bc1a8ee544f54380dbaa38e
Description-en: General Python3 tools for astronomy
 astLib is a set of Python3 modules that provides some tools for research
 astronomers. It can be used for astronomical plots, some statistics, common
 calculations, coordinate conversions, and manipulating FITS images with World
 Coordinate System (WCS) information through PyWCSTools - a simple wrapping of
 WCSTools by Jessica Mink. PyWCSTools is distributed (and developed) as part
 of astLib.

Package: python3-astor
Description-md5: 8fd168e0e528757681d7a38cdc7c66c0
Description-en: Python 3 AST manipulator
 astor is designed to allow easy manipulation of Python source via the AST.
 .
 There are some other similar libraries, but astor focuses on the following
 areas:
 .
  - Round-trip back to Python via Armin Ronacher's codegen.py module:
    - Modified AST doesn't need linenumbers, ctx, etc. or otherwise be directly
      compileable
 .
  - Dump pretty-printing of AST
    - Harder to read than round-tripped code, but more accurate to figure out
      what is going on.
    - Easier to read than dump from built-in AST module
 .
  - Non-recursive treewalk
    - Sometimes you want a recursive treewalk (and astor supports that, starting
      at any node on the tree), but sometimes you don't need to do that. astor
      doesn't require you to explicitly visit sub-nodes unless you want to:
    - You can add code that executes before a node's children are visited,
      and/or
    - You can add code that executes after a node's children are visited, and/or
    - You can add code that executes and keeps the node's children from being
      visited (and optionally visit them yourself via a recursive call)
    - Write functions to access the tree based on object names and/or attribute
      names
    - Enjoy easy access to parent node(s) for tree rewriting
 .
 This package provides Python 3 module bindings only.

Package: python3-astral
Description-md5: 79f7475faf14db0a222fa87a05f4cf22
Description-en: Calculations for the position of the sun and moon (Python 3)
 This is astral, a Python module which calculates times for various
 positions of the sun: dawn, sunrise, solar moon, sunset, dusk,
 solar elevation, solar azimuth and rahukaalam. It also calculates
 phases of the moon.
 .
 This package contains the module for Python 3.

Package: python3-astrodendro
Description-md5: c63461a16e92fbee251415a57aa31535
Description-en: Astronomical Dendrograms in Python 3
 Python 3 module is to provide an easy way to compute dendrograms of observed or
 simulated Astronomical data in Python 3.

Package: python3-astrometry
Description-md5: 17cf233c1fb49e79f9a00e2b5738ddfc
Description-en: Astrometry plate solver (Python package)
 The astrometry engine will take any image and return the astrometry
 world coordinate system (WCS), a standards-based description of the
 transformation between image coordinates and sky coordinates.
 .
 This package contains the Python Package.

Package: python3-astroml
Description-md5: 8e8a4ce58b113433cddaea867fcfe70f
Description-en: Python 3 Machine Learning library for astronomy
 AstroML is a Python 3 module for machine learning and data mining built on
 numpy, scipy, scikit-learn, and matplotlib. It contains a growing library of
 statistical and machine learning routines for analyzing astronomical data in
 Python, loaders for several open astronomical datasets, and a large suite of
 examples of analyzing and visualizing astronomical datasets.

Package: python3-astroplan
Description-md5: c7c7af5113ce1fc8cd984c41e83ca73c
Description-en: Observation planning package for astronomers (Python 3)
 Astroplan is an observation planning package for astronomers that can help
 you plan for everything but the clouds.
 .
 It is an Astropy affiliated package that seeks to make your life as an
 observational astronomer a little less infuriating.
 .
 This is the Python 3 version of the package.

Package: python3-astropy
Description-md5: d68fca10e089e4294adf8b1b26f541e5
Description-en: Core functionality for performing astrophysics with Python
 The astropy package contains core functionality and some common tools
 needed for performing astronomy and astrophysics research with Python.
 It can be extended by a number of "affiliated packages" that are
 intended to work with the core package.

Package: python3-astropy-affiliated
Description-md5: fc39334b803eef0601d9c0232d24195b
Description-en: Collection of all astropy affiliated packages
 A major part of the Astropy project is the concept of “Affiliated
 Packages”. An affiliated package is an astronomy-related Python
 package that is not part of the astropy core package, but has
 requested to be included as part of the Astropy project’s
 community. These packages are expressing an interest in Astropy’s
 goals of improving reuse, interoperability, and interface standards
 for Python astronomy and astrophysics packages.
 .
 This metapackage installs all affiliated packages.

Package: python3-astropy-healpix
Description-md5: 7ed8a3c89fa603ecc76331ec59fe9dac
Description-en: HEALPix representation of spherical data - Python 3
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This is a BSD-licensed HEALPix package developed by the Astropy project
 and based on C code written by Dustin Lang in astrometry.net.
 .
 This package provides modules for Python 3.

Package: python3-astropy-helpers
Description-md5: a9abebad818b2e2b8d0b067dc8db57ab
Description-en: Utilities to install Astropy affiliated packages
 This project provides a Python package, astropy_helpers, which
 includes many build, installation, and documentation-related tools
 used by the Astropy project, but packaged separately for use by
 other projects that wish to leverage this work. The motivation
 behind this package and details of its implementation are in the
 accepted Astropy Proposal for Enhancement (APE) 4.

Package: python3-astropy-sphinx-theme
Description-md5: 6b9f050677afa48efef5ddd20da3691b
Description-en: Sphinx themes for Astropy and affiliated packages
 These are the default Astropy project documentation themes.
 .
 'bootstrap-astropy' is the only theme that is currently available,
 but the structure of the package allows more themes to be added in
 future if needed.

Package: python3-astroquery
Description-md5: 910dda05a050e14d4647a81858f3973c
Description-en: Python online astronomical database querying (Python 3)
 Astroquery is a set of Python tools for querying astronomical web forms and
 databases.
 .
 This is the Python 3 version of the package.

Package: python3-astroscrappy
Description-md5: 3de22ef6cfb247c9a8ef0d2625289d81
Description-en: Optimized Cosmic Ray Annihilation in Python
 Astro-SCRAPPY is designed to detect cosmic rays in images (numpy arrays),
 based on Pieter van Dokkum's L.A.Cosmic algorithm. Parallelization is
 achieved using OpenMP.

Package: python3-asttokens
Description-md5: 67b3cfd0dde4a99bf2cdb0b9a95b4b52
Description-en: annotate Python asbtract syntax trees with code references (Python 3)
 The asttokens module annotates Python abstract syntax trees (ASTs) with the
 positions of tokens and text in the source code that generated them.
 .
 It makes it possible for tools that work with logical AST nodes to find the
 particular text that resulted in those nodes, for example for automated
 efactoring or highlighting.
 .
 This package contains the Python 3 version.

Package: python3-async-generator
Description-md5: 62bb33617da49360a89ee3ee53902061
Description-en: extensions to asynchronous generators for Python3
 The python3 language provides some structures to process streaming
 data with an interface similar to the iteration structures.  This
 module adds some convenient features like the yield from construct or
 context managers.

Package: python3-async-generator-doc
Description-md5: 9b84dd9b9757b3e7056f37ea048bc22b
Description-en: extensions to asynchronous generators for Python3 (documentation)
 The python3 language provides some structures to process streaming
 data with an interface similar to the iteration structures.  This
 module adds some convenient features like the yield from construct or
 context managers.
 .
 This package contains the documentation.

Package: python3-async-timeout
Description-md5: 02717b94af0633086c3d33fb2d1073cd
Description-en: timeout context manager for asyncio programs in Python
 The context manager is useful in cases when you want to apply timeout
 logic around block of code or in cases when asyncio.wait_for() is
 not suitable. Also it's much faster than asyncio.wait_for()
 because timeout doesn't create a new task.
 .
 Example:
 .
  with timeout(1.5):
      yield from inner()

Package: python3-asyncpg
Description-md5: 4bc9984a61be2be66e33a7c0595cc7c4
Description-en: asyncio PosgtreSQL driver
 Database interface library designed specifically for PostgreSQL and
 Python/asyncio.
 asyncpg is an efficient, clean implementation of PostgreSQL server binary
 protocol for use with Python's asyncio framework.
 It implements PostgreSQL server protocol natively and exposes its features
 directly.
 .
 Features:
  * prepared statements,
  * scrollable cursors,
  * partial iteration on query results,
  * automatic encoding and decoding of composite types, arrays, and any
    combination of those,
  * straightforward support for custom data types

Package: python3-asyncssh
Description-md5: ea709ca614c3167bf115b4408f4f223d
Description-en: asyncio-based client and server implementation of SSHv2 protocol
 AsyncSSH is a Python package which provides an asynchronous client
 and server implementation of the SSHv2 protocol on top of the Python
 3.4+ asyncio framework.
 .
 It comes with a full support of SSHv2 and SFTP client and server
 functions, including shell, command and subsystem channels, direct
 and forwarded TCP channels, local and remote TCP port forwarding.
 .
 Other features include:
    + Multiple simultaneous sessions on a single SSH connection
    + Multiple SSH connections in a single event loop
    + Byte and string based I/O with settable encoding
    + A variety of key exchange, encryption, and MAC algorithms
    + Support for gzip compression
    + Password, public key, and keyboard-interactive user authentication methods
    + Many types and formats of public keys and certificates
    + OpenSSH-style known_hosts file support
    + OpenSSH-style authorized_keys file support

Package: python3-asynctest
Description-md5: 0c66a0b77abc0b4d31359d92be8adf15
Description-en: unittest extension for testing asyncio libraries
 The package asynctest is built on top of the standard unittest module
 and cuts down boilerplate code when testing libraries for asyncio.
 .
 Currently, asynctest targets the "selector" model,
 hence, some features will not (yet?) work with Windows' proactor.

Package: python3-asynctest-doc
Description-md5: d1bb8501bc93e1d44c06391f76e0e222
Description-en: unittest extension for testing asyncio libraries - documentation
 The package asynctest is built on top of the standard unittest module
 and cuts down boilerplate code when testing libraries for asyncio.
 .
 Currently, asynctest targets the "selector" model,
 hence, some features will not (yet?) work with Windows' proactor.
 .
 This package provides documentation for the asynctest module.

Package: python3-atomicwrites
Description-md5: 0355be77609105406a9599657209e756
Description-en: Atomic file writes - Python 3.x
 It uses a temporary file in the same directory as the given path. This ensures
 that the temporary file resides on the same filesystem.
 The temporary file will then be atomically moved to the target location: On
 POSIX, it will use rename if files should be overwritten, otherwise a
 combination of link and unlink.
 .
 This package contains the Python 3.x module.

Package: python3-aubio
Description-md5: cecb518ff2dfb8a21c86af42c1ef7fe6
Description-en: Python 3 interface for aubio, a library for audio segmentation
 aubio gathers a set of functions for audio signal segmentation and labelling.
 The library contains a phase vocoder, onset and pitch detection functions, a
 beat tracking algorithm and other sound processing utilities.
 .
 This package provides the aubio module for Python 3.

Package: python3-audioread
Description-md5: 4422c4c1bc55722e9f512ce517d7b016
Description-en: Backend-agnostic audio decoding Python 3 package
 Decode audio files using whichever backend is available. The library
 for Python 3 currently supports:
 .
  * FFmpeg via the ffmpeg command-line interface.
  * The standard library wave and aifc modules (for WAV and AIFF files).

Package: python3-audit
Description-md5: fd94855bbf9417e3ba14572436002335
Description-en: Python3 bindings for security auditing
 The package contains the Python3 bindings for libaudit and libauparse, which
 are used to monitor systems for security related events. Python can be used to
 parse and process the security event messages.

Package: python3-augeas
Description-md5: eb55c41004fff050a504c1745bce8117
Description-en: Python3 bindings for Augeas
 Augeas is a library and command line tool that focuses
 on the most basic problem in handling Linux configurations
 programmatically: editing actual configuration files in a
 controlled manner.
 .
 This module provides a Python3 interface to the Augeas API.

Package: python3-authheaders
Description-md5: fe2e4141487124474b9e495b028f6a73
Description-en: Python 3 module for generating email authentication headers
 Authheaders can generate both authentication results header fields and DKIM/
 ARC sighatures.  It can perform DKIM, SPF, and DMARC validation, and the
 results are packaged into a single Authentication-Results header.  It can
 also DKIM and ARC sign messages and output the corresponding signature
 header fields.
 .
 This is the Python 3 version of the package.

Package: python3-authres
Description-md5: 93efc6715906d7020b0b2b022caa4796
Description-en: RFC 8601 Authentication Results Header manipulation for Python3
 Python3 module to create and parse RFC 8601 Authentication Results headers.
 .
 The module provides a class for creating and parsing RFC compliant headers
 for use in Python3 applications. It supports all currently registered
 extensions in addition to the core RFC 8601 types.
 .
 https://tools.ietf.org/rfc/rfc8601.txt

Package: python3-autobahn
Description-md5: 808e33cbf037c428d6354be6bddad4f4
Description-en: WebSocket client and server library, WAMP framework - Python 3.x
 Autobahn|Python is a networking library that is part of the Autobahn project
 and provides implementations of:
  * The WebSocket Protocol
  * The Web Application Messaging Protocol (WAMP)
 for Twisted and asyncio, on Python 2 & 3 and for writing servers and clients.
 .
 WebSocket allows bidirectional real-time messaging on the Web and WAMP adds
 asynchronous Remote Procedure Calls and Publish & Subscribe on top of
 WebSocket.
 .
 This package contains the Python 3.x module.

Package: python3-autopep8
Description-md5: a1b56f120f61f820dcf34e2d31d350ca
Description-en: tool that automatically formats Python code to conform to PEP 8
 autopep8 automatically formats Python code to conform to the PEP 8 style
 guide. It uses the pep8 utility to determine what parts of the code needs to
 be formatted. autopep8 is capable of fixing most of the formatting issues that
 can be reported by pep8. This package is for Python3.

Package: python3-autopilot
Description-md5: 886ea98eb81ae446683a6d2ea6959915
Description-en: Utility to write and run integration tests easily (Python 3)
 The autopilot engine enables to ease the writing of python tests
 for your application manipulating your inputs like the mouse and
 keyboard. It also provides a lot of utilities linked to the X server
 and detecting applications.

Package: python3-autopilot-tests
Description-md5: 3233a734daec6cdd881b570e776e500f
Description-en: Tests for the autopilot functional test tool. (Python 3)
 This package contains tests for the python3-autopilot package.
 You can use this package to verify that autopilot is functioning
 correctly, or to copy the techniques used in the autopilot tests
 themselves.

Package: python3-autopilot-trace
Description-md5: 341428f7f949327f315b6a2668a05060
Description-en: Support for tracing in autopilot (Python 3)
 This package contains the binary lttng trace point for tracing
 autopilot tests. This is useful when using autopilot to exercise
 an instrumented application.

Package: python3-avogadro
Description-md5: 2c22b7bd749f29f6b4e340f7fd970bbf
Description-en: Molecular Graphics and Modelling System (Python 3 module)
 Avogadro is a molecular graphics and modelling system targeted at small
 to medium molecules.  It can visualize properties like molecular orbitals or
 electrostatic potentials and features an intuitive molecular builder.
 .
 This package provides the Python 3 module.

Package: python3-avro
Description-md5: e204d7bf70a15ed52223bab4255efbf5
Description-en: Apache Avro serialization system (Python 3 library)
 Apache Avro is a data serialization system providing
  * Rich data structures.
  * A compact, fast, binary data format.
  * A container file, to store persistent data.
  * Remote procedure call (RPC).
  * Simple integration with dynamic languages. Code generation is not
    required to read or write data files nor to use or implement RPC protocols.
    Code generation as an optional optimization, only worth implementing for
    statically typed languages.
 .
 This package installs the implementation as a Python 3 library.

Package: python3-aws-requests-auth
Description-md5: 251685931843755bcef10f2f54b9ff12
Description-en: AWS Signature Version 4 Signing Process (Python 3)
 This package contains a Python library to authenticate to AWS with Amazon's
 signature version 4 signing process. The library leverages the Python
 requests library.
 .
 This package installs the library for Python 3.

Package: python3-aws-xray-sdk
Description-md5: b04d8ac9438af1479949c575e1c6f7a7
Description-en: AWS X-Ray SDK for Python 3
 AWS X-Ray is a service that collects data about requests that your
 application serves, and provides tools you can use to view, filter, and
 gain insights into that data to identify issues and opportunities for
 optimization. For any traced request to your application, you can see
 detailed information not only about the request and response, but also
 about calls that your application makes to downstream AWS resources,
 microservices, databases and HTTP web APIs.
 .
 This package provides the Python 3 version of the module.

Package: python3-awsauth
Description-md5: 26df4464ac9544fecd09f6e90d82a6c7
Description-en: AWS authentication for Amazon S3 for the python3-requests module
 Requests allow you to send HTTP/1.1 requests. You can add headers, form data,
 multipart files, and parameters with simple Python dictionaries, and access the
 response data in the same way. It's powered by httplib and urllib3, but it does
 all the hard work and crazy hacks for you.
 .
 This module adds AWS authentication for Amazon S3 based on the python3-requests
 module.

Package: python3-axolotl
Description-md5: b11aea2916de513e217ed4d9d36d1b0a
Description-en: Python 3 port of libaxolotl-android
 This is a Python 3 port of libaxolotol-android package originally written by
 Moxie Marlinspike. The Libaxolotol library is defined as a ratcheting
 forward secrecy protocol that works in synchronous and asynchronous messaging
 environments.

Package: python3-axolotl-curve25519
Description-md5: 771bc87fff40628910e18c10d076fa17
Description-en: Python 3 wrapper for curve25519 library with ed25519 signatures
 This wrapper is meant for use by python3-axolotl.
 By now only includes the following functions:
  - generatePrivateKey
  - generatePublicKey
  - calculateAgreement
  - calculateSignature
  - verifySignature

Package: python3-azext-devops
Description-md5: 76b08942c2c0507662e4ec25c5a91489
Description-en: Azure DevOps Extension for Azure CLI
 The Azure DevOps Extension for Azure CLI adds Pipelines, Boards, Repos,
 Artifacts and DevOps commands to the Azure CLI 2.0.
 Provides the azure-devops python3 module that can be used with az.

Package: python3-azure
Description-md5: 61d4f337f1b510595dc81cb8b71f6098
Description-en: Microsoft Azure SDK for Python 3.x
 The Azure SDK provides a set of Python packages that make it easy to access
 the Microsoft Azure components such as ServiceManagement, Storage, and
 ServiceBug.
 .
 This package contains the Python 3.x modules.

Package: python3-azure-cli
Description-md5: f818e223741d6fe955477c1f5d5e3dc7
Description-en: Azure Command-Line Interface (CLI)
 The Azure command-line interface (CLI) is Microsoft's cross-platform
 command-line experience for managing Azure resources. The Azure CLI is designed
 to be easy to learn and get started with, but powerful enough to be a great
 tool for building custom automation to use Azure resources.
 .
 This package provides the azure-cli python3 module.

Package: python3-azure-cli-core
Description-md5: 4a14048d500b2958b076da03715361f2
Description-en: Azure Command-Line Interface (CLI)
 The Azure command-line interface (CLI) is Microsoft's cross-platform
 command-line experience for managing Azure resources. The Azure CLI is designed
 to be easy to learn and get started with, but powerful enough to be a great
 tool for building custom automation to use Azure resources.
 .
 This package provides the azure-cli-core python3 module.

Package: python3-azure-cli-telemetry
Description-md5: a7df3dbec8757a43f63d7ae4010b1e16
Description-en: Azure Command-Line Interface (CLI)
 The Azure command-line interface (CLI) is Microsoft's cross-platform
 command-line experience for managing Azure resources. The Azure CLI is designed
 to be easy to learn and get started with, but powerful enough to be a great
 tool for building custom automation to use Azure resources.
 .
 This package provides the azure-cli-telemetry python3 module.

Package: python3-azure-cli-testsdk
Description-md5: 37334bbba241c2fa24660a329e37b077
Description-en: Azure Command-Line Interface (CLI)
 The Azure command-line interface (CLI) is Microsoft's cross-platform
 command-line experience for managing Azure resources. The Azure CLI is designed
 to be easy to learn and get started with, but powerful enough to be a great
 tool for building custom automation to use Azure resources.
 .
 This package provides the azure-cli-tesdk python3 module.

Package: python3-azure-cosmos
Description-md5: c6429110971e3e2c2d207fc694f03fe4
Description-en: Azure DocumentDB Python SDK
 This package provides the Python 3 modules for the Azure DocumentDB API.

Package: python3-azure-cosmosdb-table
Description-md5: 9ac54fdb109951ec2635a77441cdffa6
Description-en: Azure CosmosDB services Python SDK
 This package provides a client library in Python that makes it easy to
 consume Microsoft Azure CosmosDB services.

Package: python3-azure-datalake-store
Description-md5: e3e6e5ee1beb4853d67cca1e7b2b3e9d
Description-en: Azure Data Lake Store Filesystem Library for Python
 A pure-python interface to the Azure Data-lake Storage system, providing
 pythonic file-system and file objects, seamless transition between Windows and
 POSIX remote paths, high-performance up- and down-loader.

Package: python3-azure-devtools
Description-md5: b36823b948a315879584779416a6c58c
Description-en: Microsoft Azure Development Tools for Python 3.x
 This package contains tools to aid in developing Python-based Azure code.
 Currently it includes scenario_tests, a testing framework to handle much of
 the busywork associated with testing code that interacts with Azure.
 .
 This is the Python 3 version of the package.

Package: python3-azure-functions-devops-build
Description-md5: 58095e9523b36e9b3af797f32fe210f6
Description-en: Azure Devops Build Manager For Azure Functions
 This project provides the class AzureDevopsBuildManager and supporting classes.
 This manager class allows the caller to manage Azure Devops pipelines that are
 maintained within an Azure Devops account. This project was created to be able
 to support command line tooling for the AZ Cli.

Package: python3-azure-multiapi-storage
Description-md5: c43f2a8b5b27f7efae3d5181c19a8091
Description-en: Azure Storage Data Plane SDK supporting multiple API versions
 Handles multi-API versions of Azure Storage Data Plane as provided by
 python3-azure-storage and python3-azure-cosmos.

Package: python3-azure-storage
Description-md5: d4ce158c5633ec1b55eaba006411d3c5
Description-en: Microsoft Azure Storage Library for Python 3.x
 This Python module provides an API for consuming Microsoft Azure Storage
 services including Blob, Queue, Table and Files.
 .
 This is the Python 3 version of the package.

Package: python3-b2sdk
Description-md5: 20a7594a06cf3f235a92d6c74de48adb
Description-en: Python library to access B2 cloud storage
 Client library and a few handy utilities for easy access to all of
 the capabilities of B2 Cloud Storage.
 .
 B2 command-line tool (backblaze-b2 package) is an example of how it
 can be used to provide command-line access to the B2 service, but
 there are many possible applications (including FUSE filesystems,
 storage backend drivers for backup applications etc).

Package: python3-babelfish
Description-md5: 7b5ce70c4a61614d94984ce9bdc1b55d
Description-en: library to work with countries and languages (Python 3)
 Babelfish makes it easy to work with countries, languages, scripts, ISO codes
 and IETF codes from Python. It has converters between all different data
 can be extended to use custom converters and data.
 .
 This is the Python 3 version of the package.

Package: python3-babelgladeextractor
Description-md5: 4a684afe5ecc5a6cf36b217b16d6fc27
Description-en: Babel Glade XML files translatable strings extractor
 This is a Python 3 module to extract translatable strings from Babel Glade
 XML files.

Package: python3-babeltrace
Description-md5: 9dd4b687e9ba3ffa27127b3e87479227
Description-en: Babeltrace Python 3 bindings
 Babeltrace provides trace reading and writing libraries, as well as a trace
 converter. Plugins can be created for any trace format to allow its conversion
 to/from any other supported format.
 .
 This package provides Python 3 bindings for babeltrace.

Package: python3-backcall
Description-md5: e23cd086fef5ce6c10ea01647108ea3e
Description-en: Callback functions for Python
 Specification for callback functions passed into an API. Provides a
 mechanism for specifying the callback signature using a prototype function
 and adapts callbacks with unneeded arguments to be compatible with the
 original signature.

Package: python3-backports.tempfile
Description-md5: cd66c8e56996e79e7f9765513e48c1ee
Description-en: backports of new features in Python 3 tempfile module
 This package provides backports of new features in Python's tempfile module
 under the backports namespace.
 .
 This package provides the Python 3 version of the module.

Package: python3-backports.weakref
Description-md5: 0a58d1ad7ed21ae431ea84ce5648beac
Description-en: backports of new features in Python 3 weakref module
 This package provides backports of new features in Python's weakref module
 under the backports namespace.
 .
 This package provides the Python 3 version of the module.

Package: python3-backup2swift
Description-md5: cff9799508f66b71baed84b804afd874
Description-en: backup data to OpenStack Swift - python 3.x
 This utility is used to backup data to OpenStack Swift. It provides a command
 interface and backup rotation. It depends on a simple swift client library
 called swiftsc.

Package: python3-bandit
Description-md5: 1d0d74eb1e1e54de3964260838dd372b
Description-en: Security oriented static analyzer for Python code - Python 3.x
 Bandit is a tool designed to find common security issues in Python code. To
 do this Bandit processes each file, builds an Abstract Syntaxt Tree (AST),
 and runs appropriate plugins against the AST nodes.  Once Bandit has
 finished scanning all the files it generates a report.
 .
 This package contains the Python 3.x module.

Package: python3-barectf
Description-md5: 7aebce551a6809e3c5bf8c1471333a5a
Description-en: C99 code generator to write native CTF
 barectf is a command-line utility which generates C99 code that is able to
 write native Common Trace Format (CTF) binary streams. The target audience
 is developers who need to trace bare metal systems (without an operating
 system). The code produced is pure C99 and can be lightweight enough to fit
 on a tiny microcontroller.

Package: python3-barman
Description-md5: 464798471b7a00467de66abe32c3f919
Description-en: Backup and Recovery Manager for PostgreSQL - Python modules
 Barman (Backup and Recovery Manager) is an open-source
 administration tool for disaster recovery of PostgreSQL
 servers written in Python.
 .
 It allows your organization to perform remote backups of
 multiple servers in business critical environments to
 reduce risk and help DBAs during the recovery phase.
 .
 Barman is distributed under GNU GPL 3 and maintained
 by 2ndQuadrant.
 .
 This package provides Python 3 modules only.

Package: python3-base58
Description-md5: 200591ddb9482d13781844ab9ff50d8c
Description-en: base58 encode/decode for Python
 This package contains the following functions, in a form compatible with that
 used by the bitcoin network:
   - b58encode
   - b58decode
   - b58encode_check
   - b58decode_check
 .
 This package installs the library for Python 3.

Package: python3-bashate
Description-md5: 1970e40fea76adcb00faa5b9da4203df
Description-en: bash script style guide checker - Python 3.x
 This program attempts to be an automated style checker for bash scripts to
 fill the same part of code review that pep8 does in most OpenStack projects.
 It started from humble beginnings in the DevStack project, and will continue
 to evolve over time.
 .
 This package contains the Python 3.x module and command line utility.

Package: python3-bayespy
Description-md5: f45d34544a31a41a0fdc37f875d9caed
Description-en: variational Bayesian inference tools for Python
 BayesPy provides tools for Bayesian inference with Python. The user constructs
 a model as a Bayesian network, observes data and runs posterior inference. The
 goal is to provide a tool which is efficient, flexible and extendable enough
 for expert use but also accessible for more casual users.
 .
 This package provides the modules for Python 3

Package: python3-bcdoc
Description-md5: 1ee38e1c1be4183e81c375b4b0320af7
Description-en: ReST document generation tools for botocore (Python 3)
 This is tools to help document botocore-based projects.
 .
 This package contains the module for Python 3.

Package: python3-bcolz
Description-md5: 4403e387cfa99bb12457f65e990e047f
Description-en: high performant compressed data container based on NumPy (Python 3)
 bcolz provides columnar, chunked data containers that can be compressed
 in-memory and on-disk. Column storage allows for efficiently querying
 tables, as well as for cheap column addition and removal. It is based on
 NumPy, and uses it as the standard data container to communicate with
 bcolz objects, but it also comes with support for import/export facilities
 to/from HDF5/PyTables tables and Pandas dataframes.
 .
 This package contains the modules for Python 3.

Package: python3-bdist-nsi
Description-md5: ce06ab96b5fc25645709a5577c68b2d6
Description-en: Create NSIS windows installers for Python modules (Python 3)
 The bdist_nsi module extends Python’s distutils module with a bdist_nsi
 setup command to create binary Windows installers for Python modules,
 based on NSIS. Thereby, bdist_nsi brings all the features of NSIS to
 Windows installers for Python modules, such as silent install, modern
 user interface, and internationalization.
 .
 This package contains the Python 3 build.

Package: python3-beaker
Description-md5: 922e69d14bef57398de1639ba01219cd
Description-en: cache and session library for Python 3
 Beaker is a web session and general caching library that includes WSGI
 middleware for use in web applications.
 .
 As a general caching library, Beaker can handle storing for various times any
 Python object that can be pickled with optional back-ends on a fine-grained
 basis.
 .
 Features:
  * Fast, robust performance
  * Multiple reader/single writer lock system to avoid duplicate simultaneous
    cache creation
  * Cache back-ends include dbm, file, memory, memcached, and database (using
    SQLAlchemy for multiple-db vendor support)
  * Signed cookies to prevent session hijacking/spoofing
  * Cookie-only sessions to remove the need for a database or file backend
    (ideal for clustered systems)
  * Extensible Container object to support new back-ends
  * Caches can be divided into namespaces (to represent templates, objects,
    etc.) then keyed for different copies
  * Create functions for automatic call-backs to create new cache copies after
    expiration
  * Fine-grained toggling of back-ends, keys, and expiration per Cache object

Package: python3-beanbag
Description-md5: db06184fa2957ece9285b3b68d7b0190
Description-en: Helper module for accessing REST APIs - python3
 BeanBag is a simple module that lets you access REST APIs in an easy
 way. See `http://beanbag.readthedocs.org/` for more information.
 .
 This package installs the library for Python 3.

Package: python3-beancount
Description-md5: 0409a028939ba0e3ddd3964473c68297
Description-en: Double-entry accounting from text files - Python module
 A double-entry bookkeeping computer language that lets you define financial
 transaction records in a text file, read them in memory, generate a variety of
 reports from them, and provides a web interface.
 .
 The following features require the following extra dependencies to be
 installed:
  - Beancount Web [python3-bottle]
  - OFX import [python3-bs4]
  - Google Sheets upload [python3-googleapi]
  - External price imports [python3-requests]
 .
 This package provides the underlying Python modules for beancount, allowing
 you to write your own scripts to manipulate your ledger files.

Package: python3-behave
Description-md5: f5307bf800705301e2b3897d3b0fb191
Description-en: behaviour-driven development for Python 3
 Behavior-driven development (or BDD) is an agile software development
 technique that encourages collaboration between developers, QA, and
 non-technical or business participants in a software project.
 .
 behave uses tests written in a natural language style, backed up
 by Python code.
 .
 This package contains the modules for Python 3.

Package: python3-bernhard
Description-md5: 5cea5eaae7ab1210781502f0a6226b4e
Description-en: client for the Riemann event stream processor - Python 3.X
 Riemann aggregates events from your servers and applications with a
 powerful stream processing language. This package provides a Python
 client for Riemann. It supports UDP, TCP and TLS transports.
 .
 This package contains the module for Python 3.

Package: python3-berrynet
Description-md5: dbb78d86f20f65aed821b5a4e1c70378
Description-en: deep learning gateway - python3 modules
 BerryNet turns devices into an intelligent gateway with deep learning
 running on it. No internet connection is required, everything is done
 locally on the local LAN and the IoT devices.
 .
 This package contains the python3 module.

Package: python3-betamax
Description-md5: 9a709aa9df09ab69407d66458d0281ed
Description-en: VCR imitation designed only for python-requests - Python 3.X
 Betamax records HTTP interactions and replay them allowing fast, deterministic
 and accurate tests and it's designed to be used with python-requests. If
 you are not using python-requests, you should checkout vcr.py.
 .
 Betamax comes with integrations for py.test and unittest and supports
 third party packages that provide extra request matchers and cassette
 serializers.
 .
 This package contains the Python 3 version of the library.

Package: python3-bibtexparser
Description-md5: 971fd7822ca3e9ccef91c52079136c42
Description-en: Python 3 library to parse bibtex files
 The bibtexparser module provides parsing and writing of BibTeX files
 functionality. The parsed data is returned as a simple BibDatabase object
 with the main attribute being entries representing bibliographic sources
 such as books and journal articles.
 .
 This is the Python 3 version of the package

Package: python3-bidict
Description-md5: 5d716d11aca452405116dd1b4a8f7e47
Description-en: Bidirectional map data structures and related functionality
 Features:
  - has carefully designed APIs for safety, simplicity, flexibility,
    and ergonomics
  - has extensive test coverage (including property-based tests and benchmarks)
    run continuously on all supported Python versions and OSes
  - integrates natively with Python’s collections interfaces
  - is implemented in concise, well-factored, well-documented pure Python that
    leverages a number of advanced language features

Package: python3-bids-validator
Description-md5: c0d49db205974fd7399c21266ae83919
Description-en: validator for the Brain Imaging Data Structure (BIDS) datasets
 This package contains a Python module to validate BIDS (Brain Imaging
 Data Structure) datasets.  It does not contain original bids-validator
 command line tool (written in JS).

Package: python3-billiard
Description-md5: c7d490ed3ece65410d0c996dba4424d4
Description-en: Multiprocessing Pool Extensions for Python (Python3 version)
 This package contains extensions to the multiprocessing Pool.
 It extends the multiprocessing.Pool with a billiard.pool.DynamicPool
 that can grow in size.
 .
 This package contains the Python 3 version of the library.

Package: python3-binaryornot
Description-md5: 9add4d2c6805ea87fb861284ceb00e91
Description-en: check if a file is binary or text (Python 3 module)
 This Python package provides a function to check if a file is a text
 file or a binary file. It uses the same heuristic as file(1) by
 looking at the first 1024 bytes of the file and checks that all
 characters are printable.
 .
 This package contains the Python 3 module.

Package: python3-binoculars
Description-md5: 590ce270691db14e8b8e10021b8e16d6
Description-en: Surface X-ray diffraction 2D detector data reduction - Python3
 BINoculars is a tool for data reduction and analysis of large sets of
 surface diffraction data that have been acquired with a
 two-dimensional X-ray detector. The intensity of each pixel of a
 two-dimensional detector is projected onto a three-dimensional grid
 in reciprocal-lattice coordinates using a binning algorithm. This
 allows for fast acquisition and processing of high-resolution data
 sets and results in a significant reduction of the size of the data
 set. The subsequent analysis then proceeds in reciprocal space. It
 has evolved from the specific needs of the ID03 beamline at the ESRF,
 but it has a modular design and can be easily adjusted and extended
 to work with data from other beamlines or from other measurement
 techniques.
 .
 This is the Python 3 version of the package.

Package: python3-binwalk
Description-md5: cddab3db4a75a23f313262dcec849124
Description-en: Python3 library for analyzing binary blobs and executable code
 Binwalk is a tool for searching a given binary image for embedded files
 and executable code. Specifically, it is designed for identifying files
 and code embedded inside of firmware images. Binwalk uses the libmagic
 library, so it is compatible with magic signatures created for the Unix
 file utility.
 .
 Binwalk also includes a custom magic signature file which contains
 improved signatures for files that are commonly found in firmware images
 such as compressed/archived files, firmware headers, Linux kernels,
 bootloaders, filesystems, etc.
 .
 This is the Python 3 version of the package.

Package: python3-bioblend
Description-md5: 2b8e4241593d91ca0d4f69e7ae858004
Description-en: CloudMan and Galaxy API library (Python 3)
 BioBlend is a Python library for interacting with CloudMan and Galaxy's API.
 BioBlend is supported and tested on:
  · Python 2.6, 2.7, 3.3 and 3.4
  · Galaxy release_14.02 and later.
 Conceptually, it makes it possible to script and automate  the  process
 of cloud infrastructure provisioning and scaling via CloudMan, and run‐
 ning of analyses via Galaxy. In reality, it makes  it  possible  to  do
 things like this:
  · Create  a CloudMan compute cluster, via an API and directly from your
    local machine:
  · Reconnect to an existing CloudMan instance and manipulate it
  · Interact with Galaxy via a straightforward API
 .
 Although this library allows you to blend these two services into  a
 cohesive  unit,  the  library itself can be used with either service
 irrespective of the other. For example,  you  can  use  it  to  just
 manipulate  CloudMan  clusters or to script the interactions with an
 instance of Galaxy running on your laptop.
 .
 This package installs the library for Python 3.

Package: python3-biom-format
Description-md5: a8e1165fb97cc05bc8c23295225341cd
Description-en: Biological Observation Matrix (BIOM) format (Python 3)
 The BIOM file format (canonically pronounced biome) is designed to be a
 general-use format for representing biological sample by observation
 contingency tables. BIOM is a recognized standard for the Earth
 Microbiome Project and is a Genomics Standards Consortium candidate
 project.
 .
 The BIOM format is designed for general use in broad areas of
 comparative -omics. For example, in marker-gene surveys, the primary use
 of this format is to represent OTU tables: the observations in this case
 are OTUs and the matrix contains counts corresponding to the number of
 times each OTU is observed in each sample. With respect to metagenome
 data, this format would be used to represent metagenome tables: the
 observations in this case might correspond to SEED subsystems, and the
 matrix would contain counts corresponding to the number of times each
 subsystem is observed in each metagenome. Similarly, with respect to
 genome data, this format may be used to represent a set of genomes: the
 observations in this case again might correspond to SEED subsystems, and
 the counts would correspond to the number of times each subsystem is
 observed in each genome.
 .
 This package provides the BIOM format library for the Python 3 interpreter.

Package: python3-biomaj3
Description-md5: f50c72fd27606dc9f468ffd3ccd3a2d3
Description-en: BioMAJ workflow management library
 BioMAJ downloads remote data banks, checks their status and applies
 transformation workflows, with consistent state, to provide ready-to-use
 data for biologists and bioinformaticians. For example, it can transform
 original FASTA files into BLAST indexes. It is very flexible and its
 post-processing facilities can be extended very easily.
 .
 BioMAJ3 is a rewrite of BioMAJ v1.x, see online documentation for migration.
 .
 This package contains the library to manage the workflow update in BioMAJ3,
 it is managed via python3-biomaj3-daemon (for microservices remote operations)
 or biomaj3-cli (local or remote) packages

Package: python3-biomaj3-cli
Description-md5: 7804e2f62e1c1d679c86a7e39bd131cb
Description-en: BioMAJ client
 BioMAJ downloads remote data banks, checks their status and applies
 transformation workflows, with consistent state, to provide ready-to-use
 data for biologists and bioinformaticians. For example, it can transform
 original FASTA files into BLAST indexes. It is very flexible and its
 post-processing facilities can be extended very easily.
 .
 BioMAJ3 is a rewrite of BioMAJ v1.x, see online documentation for migration.
 .
 This package contains the client to execute BioMAJ3 or communicate with the
 BioMAJ daemon process (python3-biomaj3-daemon) in case of microservice config.

Package: python3-biomaj3-core
Description-md5: 509261526e024f4c9f85dd84e25e590d
Description-en: BioMAJ core library - Python 3.X
 BioMAJ downloads remote data banks, checks their status and applies
 transformation workflows, with consistent state, to provide ready-to-use
 data for biologists and bioinformaticians. For example, it can transform
 original FASTA files into BLAST indexes. It is very flexible and its
 post-processing facilities can be extended very easily.
 .
 BioMAJ3 is a rewrite of BioMAJ v1.x, see online documentation for migration.
 .
 This package containers the core library for BioMAJ

Package: python3-biomaj3-daemon
Description-md5: 532486725f4a4d04dd3707b9b2dd7314
Description-en: BioMAJ daemon library
 BioMAJ downloads remote data banks, checks their status and applies
 transformation workflows, with consistent state, to provide ready-to-use
 data for biologists and bioinformaticians. For example, it can transform
 original FASTA files into BLAST indexes. It is very flexible and its
 post-processing facilities can be extended very easily.
 .
 BioMAJ3 is a rewrite of BioMAJ v1.x, see online documentation for migration.
 .
 This package contains the library and microservice to manage daemon and CLI
 in BioMAJ3

Package: python3-biomaj3-download
Description-md5: aada8ee47195cf3aed12eb5b704e37df
Description-en: BioMAJ download management library
 BioMAJ downloads remote data banks, checks their status and applies
 transformation workflows, with consistent state, to provide ready-to-use
 data for biologists and bioinformaticians. For example, it can transform
 original FASTA files into BLAST indexes. It is very flexible and its
 post-processing facilities can be extended very easily.
 .
 BioMAJ3 is a rewrite of BioMAJ v1.x, see online documentation for migration.
 .
 This package contains the library and microservice to manage downloads
 in BioMAJ3

Package: python3-biomaj3-process
Description-md5: 85eaea3dd1f8d7f30a46cc5d00145237
Description-en: BioMAJ process management library
 BioMAJ downloads remote data banks, checks their status and applies
 transformation workflows, with consistent state, to provide ready-to-use
 data for biologists and bioinformaticians. For example, it can transform
 original FASTA files into BLAST indexes. It is very flexible and its
 post-processing facilities can be extended very easily.
 .
 BioMAJ3 is a rewrite of BioMAJ v1.x, see online documentation for migration.
 .
 This package contains the library and microservice to manage processes
 in BioMAJ3

Package: python3-biomaj3-user
Description-md5: b2015bfed552bf32938db8c716d997a2
Description-en: BioMAJ user management library
 BioMAJ downloads remote data banks, checks their status and applies
 transformation workflows, with consistent state, to provide ready-to-use
 data for biologists and bioinformaticians. For example, it can transform
 original FASTA files into BLAST indexes. It is very flexible and its
 post-processing facilities can be extended very easily.
 .
 BioMAJ3 is a rewrite of BioMAJ v1.x, see online documentation for migration.
 .
 This package contains the library and microservice to manage users in BioMAJ3

Package: python3-biomaj3-zipkin
Description-md5: 6883302512f74be145e9dfec2b7f08a7
Description-en: BioMAJ zipkin log tracing library
 This library adds zipkin integration to BioMAJ to track micro services
 communications and follow workflow progress and timing.

Package: python3-biopython
Description-md5: b0edcac4d61d56d664f586a477d26535
Description-en: Python3 library for bioinformatics
 The Biopython Project is an international association
 of developers of freely available Python tools for
 computational molecular biology.
 .
 It is a distributed collaborative effort to develop Python3
 libraries and applications which address the needs of
 current and future work in bioinformatics. The source code
 is made available under the Biopython License, which is
 extremely liberal and compatible with almost every license in
 the world. The project works along with the Open Bioinformatics
 Foundation, who generously provide web and CVS space for
 the project.

Package: python3-biopython-sql
Description-md5: 3f85dd1e1e5cbc938e08ce849e824727
Description-en: Biopython support for the BioSQL database schema (Python 3)
 This is the Biopython interface to a BioSQL database (see www.biosql.org
 for details). BioPerl, BioJava and BioRuby also provide their own BioSQL
 interfaces onto the same shared SQL schema.

Package: python3-biotools
Description-md5: fd0c541879ac3385f0f3a9d4fa00e433
Description-en: Python3 bioinformatics utilities for high-throughput genomic sequencing
 This package contains utilities like
  biotools.align - align sequences (hybrid between Needleman-Wunsch and
                   Smith-Waterman which is used to find the subsequence
                   within a larger sequence that best aligns to a reference)
  biotools.annotation - create annotation files. The annotations can be used
                        to create a hierarchy among the annotations
  biotools.BLAST - manage BLAST databases and interface with the BLAST+
                   standalone program available from NCBI.
  biotools.clustal - interface to clustalw global (multiple nucleotide or
                     peptide sequence alignment)
  biotools.complement - creates the complement of a sequence, which can then be
                        reversed
  biotools.sequence - various tools to deal with sequences
  biotools.translate - translate a nucleotide using the standard genetic code
 .
 This package contains the Python3 module.

Package: python3-bip32utils
Description-md5: 0c3ae30c8c20aaa25e135de52e5145c6
Description-en: Python implementation of Bitcoin hierarchical deterministic wallet
 The bip32utils library is a pure Python implementation of Bitcoin hierarchical
 deterministic wallet ("HD Wallet") ECDSA key generation as specified in
 BIP0032 (Bitcoin Improvement Proposal #0032).
 .
 Deterministic ECDSA key generation allows creating a sequence of Bitcoin
 private and public ECDSA keys from an initial seed and a hierarchical set of
 indices. A number of benefits follow:
  - An entire wallet can be backed up once by storing the wallet seed or master
    extended private key, and all future addresses in the wallet can be restored
    from it.
  - The creation of public and private ECDSA keys may be separated from each
    other. That is, it is possible to create only the public ECDSA key half
    (and receiving address) of an ECDSA key pair, without the ability to create
    the private half. Thus, one can create receiving addresses on a public
    facing system that if compromised would not give the attacker the ability to
    spend bitcoin received at those addresses. A separate, offline machine can
    generate the corresponding private ECDSA keys and sign transactions.
  - Public and private ECDSA keys may be created in a hierarchy, and control
    over or visibility of portions of the hierarchy may be delegated to third
    parties.
    This has uses for auditing, for separating ECDSA key sequences into
    different logical groups or accounts, and for giving 3rd parties the ability
    to create spending transactions without first getting a receiving address
    in advance.

Package: python3-biplist
Description-md5: df2a0769a1cb0bd0465b98b5109bf662
Description-en: Python 3 library for reading/writing Mac OS X binary plists
 biplist is a binary plist parser/generator for Python. Binary Property List
 (plist) files provide a faster and smaller serialization format for property
 lists on Mac OS X. This is a library for generating binary plists which can
 be read by Mac OS X, iOS, or other clients.
 .
 This package contains the Python 3 version of biplist.

Package: python3-bitarray
Description-md5: 75477cdb36ae1e7cd63e2a3b80fb641a
Description-en: Python3 module for efficient boolean array handling
 The bitarry module provides an object type which efficiently represents an
 array of booleans. Bitarrays are sequence types and behave very much like
 usual lists. Eight bits are represented by one byte in contiguous block of
 memory. The user can select between two representations; little-endian and
 big-endian.
 .
 Most of the functionality is implemented in C. Methods for accessing the
 machine representation are provided. This can be useful when bit level access
 to binary files is required, such as portable bitmap image files (.pbm). Also,
 when dealing with compressed data which uses variable bit length encoding, you
 may find this module useful.
 .
 This package provides the Python3 version of the module.

Package: python3-bitbucket-api
Description-md5: eeda5b97e598b2c8859199ad8ef57c7f
Description-en: library to interact with bitbucket API (Python 3)
 python-bitbucket-api provides an API to use the following features in
 bitbucket:
 .
  * Access public user information
  * Access public or private repositories, tags or branches
  * Create, update or delete one of your repository
  * Access, create, update or delete a service (hook)
  * Access, create or delete an SSH key
  * Download a repository as an archive
  * Access, create, update or delete an issue
  * Access, create, update or delete an issue comment
 .
 This package installs the library for Python 3.

Package: python3-bitcoinlib
Description-md5: 71ca3c37679382f9812f6ca739ca00ef
Description-en: Python module with an easy interface to the Bitcoin protocol
 Python 3 module that provides an easy interface to the bitcoin data structures
 and protocol. The approach is low-level and "ground up", with a focus on
 providing tools to manipulate the internals of how Bitcoin works.

Package: python3-bitstring
Description-md5: 8f98aa2ae4f347a6953d146a0a213b3d
Description-en: Python module for manipulation of binary data (Python 3)
 Bitstring is a pure Python module designed to help make the creation and
 analysis of binary data as simple and natural as possible.
 .
 Bitstrings can be constructed from integers (big and little endian), hex,
 octal, binary, strings or files. They can be sliced, joined, reversed,
 inserted into, overwritten, etc. with simple functions or slice notation.
 They can also be read from, searched and replaced, and navigated in, similar
 to a file or stream.
 .
 This package provides the Python 3 version of the module.

Package: python3-bitstruct
Description-md5: 4e2f2f7bab16f06e429af60a758abfa0
Description-en: Python bit pack/unpack package
 This module is intended to have a similar interface as the python struct
 module, but working on bits instead of primitive data types (char, int, ...).
 .
 This package contains the Python 3 module.

Package: python3-bladerf
Description-md5: 8c513f8c7fc8505569e373262635a415
Description-en: Nuand bladeRF software-defined radio device (tools)
 The Nuand bladeRF is an open-source software-defined radio (SDR) system,
 comprised of an RF transceiver, a field-programmable gate array (FPGA),
 a microcontroller driving a USB 3.0 interface, and a suite of host
 libraries and drivers to facilitate interaction with the device.
 .
 This package provides Python bindings for controlling the bladeRF.

Package: python3-bleach
Description-md5: 495f5f4007a392a9683867f5ec7d7416
Description-en: whitelist-based HTML-sanitizing library (Python 3)
 Bleach is an HTML sanitizing library that escapes or strips markup and
 attributes based on a white list. Bleach can also linkify text safely,
 applying filters that Django's urlize filter cannot, and optionally setting
 rel attributes, even on links already in the text.
 .
 Bleach is intended for sanitizing text from untrusted sources. If you find
 yourself jumping through hoops to allow your site administrators to do lots of
 things, you're probably outside the use cases. Either trust those users, or
 don't.
 .
 Because it relies on html5lib, Bleach is as good as modern browsers at dealing
 with weird, quirky HTML fragments. And any of Bleach's methods will fix
 unbalanced or mis-nested tags.
 .
 This is the Python 3 version of the package.

Package: python3-blends
Description-md5: 3b2a729a2c8cb2a0e08445d5c14b9587
Description-en: Python 3 module for Debian Pure Blends support
 This package installs a module to handle Debian Pure Blends tasks.
 It reads the tasks description from unpacked Blend Metapackages
 sources. It is directly possible to create the debian/control file
 and the tasks definition files from it.

Package: python3-blessed
Description-md5: fdc1e8580b0fe4d4fe06ec01b5bf9b3a
Description-en: Thin, practical wrapper around terminal capabilities in Python3
 Blessed is a thin, practical wrapper around terminal capabilities in
 Python. It provides:
 .
  * Styles, color, and maybe a little positioning without necessarily
    clearing the whole screen first.
  * Works great with standard Python string formatting.
  * Provides up-to-the-moment terminal height and width, so you can
    respond to terminal size changes.
  * Avoids making a mess if the output gets piped to a non-terminal:
    outputs to any file-like object such as StringIO, files, or pipes.
  * Uses the terminfo(5) database so it works with any terminal type and
    supports any terminal capability: No more C-like calls to tigetstr
    and tparm.
  * Keeps a minimum of internal state, so you can feel free to mix and
    match with calls to curses or whatever other terminal libraries you
    like.
  * Provides plenty of context managers to safely express terminal modes,
    automatically restoring the terminal to a safe state on exit.
  * Act intelligently when somebody redirects your output to a file,
    omitting all of the terminal sequences such as styling, colors, or
    positioning.  Dead-simple keyboard handling: safely decoding unicode
    input in your system's preferred locale and supports
    application/arrow keys.
  * Allows the printable length of strings containing sequences to be
    determined.
 .
 This package installs the library for Python 3.

Package: python3-blessings
Description-md5: de3793cc433ba5e5afd0922be78be661
Description-en: simple but powerful module to manage terminal color and styling (Python 3)
 Blessings is a pythonic API to manipulate terminal. It provides similar
 features to curses but beating some of their limitations: it does not require
 clearing the whole screen for little changes, scrollback buffer after program
 exits, avoid styling when on output redirection, etc.
 .
 This package contains the module for Python 3.

Package: python3-blist
Description-md5: 1366a64835ca722b0bfbfde00a88604e
Description-en: List-like type for Python 3 with better asymptotic performance
 This package is a drop-in replacement for Python 3 lists providing better
 performance when modifying large lists (provides sortedlist, sortedset,
 weaksortedlist, weaksortedset, sorteddict, and btuple types).

Package: python3-blockdev
Description-md5: 840ff9d6fb99cfdd2365ca6cf281b02e
Description-en: Python 3 gobject-introspection bindings for libblockdev
 This package contains enhancements to the gobject-introspection bindings for
 libblockdev in Python3.

Package: python3-blockdiag
Description-md5: d4cfd28e2e8965546a9fdc503b29a89a
Description-en: generate block-diagram image file from spec-text file for Python 3
 For Python 3.
 Generate block-diagram from dot like text with multilingualization for
 node-label. But this supports utf-8 only. It is able to embed to sphinx with
 using python-sphinxcontrib-blockdiag.

Package: python3-bloom
Description-md5: 7607a6f2fcd28ada7fce80b96934c09b
Description-en: Bloom is a release automation tool from Robot OS (Python 3)
 This package is part of Robot OS (ROS). It (bloom) provides tools for
 releasing software on top of a git repository and uses tools and
 patterns from git-buildpackage. Additionally, bloom uses meta
 and build information from catkin (https://github.com/ros/catkin) to
 automate release branching and the generation of platform specific
 source packages, like debian's src-debs.
 .
 This package installs the library for Python 3.

Package: python3-blosc
Description-md5: e890942893bd1d6550a888f309460bfd
Description-en: Python 3 bindings for the Blosc meta-compressor
 Blosc (http://blosc.org) is a high performance compressor optimized for
 binary data. It has been designed to transmit data to the processor cache
 faster than the traditional, non-compressed, direct memory fetch approach
 via a memcpy() OS call.
 .
 This package provides the official Python bindings in the Python 3 import
 path.

Package: python3-bluez
Description-md5: ea5911c22d240173987b2b6dda557af0
Description-en: Python 3 wrappers around BlueZ for rapid bluetooth development
 PyBluez is an effort to create Python wrappers around BlueZ to allow Python
 developers to use system bluetooth resources. PyBluez works on machines
 running the GNU/Linux operating system and the bluez bluetooth stack.
 .
 This package provides the "bluetooth" Python 3 module.

Package: python3-boltons
Description-md5: b8faeba3f82b3a900310ba8a898f3f4b
Description-en: set of pure-Python utilities (Python 3)
 Boltons is a set of over 160 BSD-licensed, pure-Python utilities in the same
 spirit as — and yet conspicuously missing from — the standard library,
 including:
 .
  - Atomic file saving, bolted on with fileutils
  - A highly-optimized OrderedMultiDict, in dictutils
  - Two types of PriorityQueue, in queueutils
  - Chunked and windowed iteration, in iterutils
  - Recursive data structure iteration and merging, with iterutils.remap
  - Exponential backoff functionality, including jitter, through
    iterutils.backoff
  - A full-featured TracebackInfo type, for representing stack traces, in
    tbutils
 .
 This package installs the library for Python 3.

Package: python3-bond
Description-md5: e04b1cf4511f2183837e37e51af829a3
Description-en: Messages related to Robot OS bond_core - Python 3
 This package is part of Robot OS (ROS). This is the 'bond' process state
 machine library Python 3 bindings.
 .
 Bond is a mechanism for checking when another process has
 terminated. A bond allows two processes, A and B, to know when the
 other has terminated, either cleanly or by crashing. The bond remains
 connected until it is either broken explicitly or until a heartbeat
 times out.

Package: python3-bondpy
Description-md5: 8abddbfb45860468e37517db531cbb87
Description-en: Python 3 implementation of bond
 This package is part of Robot OS (ROS). It is the 'bond' process state
 machine library Python 3 implementation.
 .
 Bond is a mechanism for checking when another process has terminated. A
 bond allows two processes, A and B, to know when the other has terminated,
 either cleanly or by crashing. The bond remains connected until it is either
 broken explicitly or until a heartbeat times out. This package contains
 the Python 3 package.

Package: python3-booleanoperations
Description-md5: 57497e0ec2229e8b7b7ed286e2df6a9a
Description-en: Python library for Boolean operations on paths
 Boolean operations on paths based on a super fast polygon clipper library.

Package: python3-bootstrapform
Description-md5: 5e4ced28ea09679d30f093102a38a942
Description-en: generate twitter-bootstrap form output for django form - Python 3.x
 Django bootstrap form generates twitter-bootstrap form output for django form.
 A simple Django template tag to work with twitter bootstrap.
 .
 This package contains the Python 3.x module.

Package: python3-botan
Description-md5: 2d7057453645c015e5f9e28cf44891c4
Description-en: multiplatform crypto library (2.x version), Python3 module
 Botan is a C++ library which provides support for many common cryptographic
 operations, including encryption, authentication, and X.509v3 certificates and
 CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES,
 MD5, and SHA-1.
 .
 This package contains the Botan (2.x version) module for Python 3.x .

Package: python3-boto3
Description-md5: b23b87efbe093c51d639ea68316419e6
Description-en: Python interface to Amazon's Web Services - Python 3.x
 Boto is the Amazon Web Services interface for Python.  It allows developers
 to write software that makes use of Amazon services like S3 and EC2. Boto
 provides an easy to use, object-oriented API as well as low-level direct
 service access.

Package: python3-botocore
Description-md5: 6bf0620f1a2dee56516d398a617b5493
Description-en: Low-level, data-driven core of boto 3 (Python 3)
 A low-level interface to a growing number of Amazon Web Services.
 The botocore package is the foundation for AWS-CLI.
 .
 This package contains the module for Python 3.

Package: python3-bottle
Description-md5: 3cdc0a603e2b84991d37f2b8b938b900
Description-en: fast and simple WSGI-framework for Python3
 Bottle is a fast and simple WSGI-framework for the Python3 programming
 language. It offers request dispatching with url parameter support
 (routes), templates, key/value databases, a built-in HTTP server and
 adapters for many third party WSGI/HTTP-server and template engines.

Package: python3-bottle-beaker
Description-md5: c496b0471598d8f596d63cb4ef345c3f
Description-en: Bottle plugin beaker, WSGI middleware for sessions and caching - Python 3
 Bottle plugin to session and caching library with WSGI Middleware
 .
 This package provides the Python 3.x module.

Package: python3-bottle-cork
Description-md5: 35c2610fac120719ccbeac3681b3e28d
Description-en: Authentication/Authorization library for Bottle
 Cork is a simple Authentication/Authorization library for the Bottle and Flask
 web frameworks.
 .
 This package provides the Python 3.x module.

Package: python3-bottle-sqlite
Description-md5: 10e563abdef76032cec04c602b085e90
Description-en: SQLite3 integration for Bottle - Python 3.x
 Bottle-sqlite is a plugin that integrates SQLite3 with your Bottle
 application. It automatically connects to a database at the beginning of a
 request, passes the database handle to the route callback and closes the
 connection afterwards.
 .
 To automatically detect routes that need a database connection, the plugin
 searches for route callbacks that require a `db` keyword argument
 (configurable) and skips routes that do not. This removes any overhead for
 routes that don't need a database connection.
 .
 This package provides the Python 3.x module.

Package: python3-bottleneck
Description-md5: a22fbb1fd3f730d288c07b0688a65ae4
Description-en: Fast NumPy array functions written in C (Python 3)
 Bottleneck is a collection of fast NumPy array functions written in C.
 .
 Only arrays with data type (dtype) int32, int64, float32, and float64 are
 accelerated. All other dtypes result in calls to slower, unaccelerated
 functions.
 .
 This package provides the modules for Python 3.

Package: python3-bottleneck-dbg
Description-md5: ec4a6080fcf2debc4572dc4d64861778
Description-en: debug extensions for bottleneck (Python 3)
 Bottleneck is a collection of fast NumPy array functions written in C.
 .
 Only arrays with data type (dtype) int32, int64, float32, and float64 are
 accelerated. All other dtypes result in calls to slower, unaccelerated
 functions.
 .
 This package provides the debug extensions for Python 3.

Package: python3-bpfcc
Description-md5: a99011864dc507457f0b8e60af1babd9
Description-en: Python 3 wrappers for BPF Compiler Collection (BCC)
 BPF Compiler Collection (BCC) is a toolkit for creating efficient
 kernel tracing and manipulation programs
 .
 It makes use of extended BPF (Berkeley Package Filter) and provides tools
 for BPF based Linux IO analysis, networking, monitoring and more
 .
 This package provides the Python 3 bindings

Package: python3-braceexpand
Description-md5: 9682d20200af54028609a37b069bfbca
Description-en: Bash-style brace expansion for Python
 Implements Brace Expansion as described in the bash documentation.
 .
 The braceexpand function returns an iterator over the expansions generated
 from a pattern.

Package: python3-braintree
Description-md5: 9170bf01f14e4401d4abd22f41827f79
Description-en: Braintree Python library
 The Braintree library provides integration access to the Braintree
 Gateway. This module enables a web application to accept payment
 through such commercial, full-stack payments platform.

Package: python3-branca
Description-md5: 0b7c19194d583ab4bcbbba3bba3f2952
Description-en: library with non-map-specific features for folium
 folium builds on the data wrangling strengths of the Python ecosystem
 and the mapping strengths of the Leaflet.js library. Manipulate your
 data in Python, then visualize it in a Leaflet map via folium.
 .
 branca provides the features which are not specific to maps.

Package: python3-breathe
Description-md5: 07ce8e17e1ebd8c4e5d9b09929f6dd87
Description-en: Sphinx autodox support for languages with doxygen support (Python 3)
 Breathe provides a bridge between the Sphinx and doxygen documentation
 systems. It enables Sphinx to generate autodoc for languages other than Python
 with the help of doxygen. It also allows one to embed reStructuredText in
 doxygen markup.
 .
 This package provides the module for Python 3.

Package: python3-breezy
Description-md5: 90082b54332cb2e9520ec69a203ad9c4
Description-en: distributed version control system - Python 3 library
 Breezy is a distributed version control system designed to be easy to
 use and intuitive, able to adapt to many file formats and workflows, reliable,
 and easily extendable.
 .
 This package contains the Breezy Python 3 library.

Package: python3-breezy.tests
Description-md5: 2e5cd1d229fd9829f9ca4585085b31af
Description-en: distributed version control system - Python 3 testsuite
 Breezy is a distributed version control system designed to be easy to
 use and intuitive, able to adapt to many file formats workflows, reliable, and
 easily extendable.
 .
 This package contains the breezy test infrastructure and testsuite,
 which is required to run the 'brz selftest' command and to run
 plugin tests.
 .
 This package contains the Python 3 version.

Package: python3-brial
Description-md5: c156f962c6f2314f9f45be4d5ac79611
Description-en: polynomials over Boolean Rings, Python 3 module
 The core of BRiAl is a C++ library, which provides high-level data
 types for Boolean polynomials and monomials, exponent vectors, as
 well as for the underlying polynomial rings and subsets of the
 powerset of the Boolean variables. As a unique approach, binary
 decision diagrams are used as internal storage type for polynomial
 structures. On top of this C++-library a Python interface
 is provided. This allows parsing of complex polynomial systems, as well
 as sophisticated and extendable strategies for Groebner base
 computation. BRiAl features a powerful reference implementation
 for Groebner basis computation.
 .
 This package contains the BRiAl Python 3 module.

Package: python3-broccoli
Description-md5: b5a1941c26d6dfd4d35ba68e09a17868
Description-en: Python 3 bindings for Broccoli
 This package provides Python bindings for Broccoli, Bro's client
 communication library. In general, the bindings provide the same
 functionality as Broccoli's C API.

Package: python3-brotli
Description-md5: f79f8a65d809e32c7143932aeb4e3c15
Description-en: lossless compression algorithm and format (Python 3 version)
 Brotli is a generic-purpose lossless compression algorithm
 that compresses data using a combination of a modern variant
 of the LZ77 algorithm, Huffman coding and 2nd order context modeling,
 with a compression ratio comparable to the best currently available
 general-purpose compression methods. It is similar in speed with
 deflate but offers more dense compression.
 .
 This package installs a Python 3 module.

Package: python3-bst-external
Description-md5: 16a97aaa98ff921e7c0370605814637b
Description-en: external plugins for BuildStream toolset
 BuildStream is a GNOME project to improve the continuous integration
 of complex systems and applications. The project aims to pay special
 attention to those developers and integrators who care about the
 maintainability of their projects during a long period of time.
 .
 BuildStream is also a powerful and flexible software integration toolset.
 It has been designed to create different outputs out of a unique input
 and, at the same time, it is able to adapt to complex workflows, even
 when additional build tools are required. An important part of
 BuildStream is a sister project called BuildGrid, that allows
 BuildStream to build at scale.
 .
 This package provides a collection of BuildStream plugins that don't
 currently fit in with the core plugins.

Package: python3-btchip
Description-md5: d6b2f6125412a379ca5dcb95d8ec838c
Description-en: Python library to communicate with BTChip dongle (Python 3)
 HW-1 is a secure tiny USB smart card based hardware wallet,
 keeping your bitcoin based crypto-currency assets safe.
 The built-in smart card holds your private keys,
 and signs transactions after you confirm them.
 Cheap, fun, easy to use, yet offering a rich API for developers,
 HW-1 makes crypto coins security convenient.
 .
 For more info, visit: https://hardwarewallet.com/
 .
 This package contains the Python 3 modules.

Package: python3-btrees
Description-md5: 63a4d83978e8ae6a62545f08826506f0
Description-en: scalable persistent object containers for Python 3
 This package contains a set of persistent object containers built around a
 modified BTree data structure. The trees are optimized for use inside ZODB's
 “optimistic concurrency” paradigm, and include explicit resolution of
 conflicts detected by that mechanism.

Package: python3-btrfs
Description-md5: 99ce10569b9c9fd960946bd4143a6aa2
Description-en: python module to inspect btrfs filesystems
 The primary goal of this module is to be able to inspect the internals of a
 mounted, online, filesystem for educational purposes.
 .
 A second goal is to provide a nicer way for automating administration tasks
 and writing monitoring scripts by being able to just programmatically access
 the needed information, instead of having to spend most of the time on parsing
 human readable output from other btrfs tools.

Package: python3-btrfsutil
Description-md5: 05ae11988953c1e7b77d3148e757fb20
Description-en: Checksumming Copy on Write Filesystem utilities (python3 bindings)
 Btrfs is a new copy on write filesystem for Linux aimed at implementing
 advanced features while focusing on fault tolerance, repair and easy
 administration.
 .
 This package contains python3 bindings.

Package: python3-bugzilla
Description-md5: fb1acdca5e7a7e3ff2c82c7946396905
Description-en: Python library for interacting with Bugzilla (Python 3)
 python-bugzilla is a Python library for interacting with Bugzilla
 instances over XML-RPC.  You may also be interested in the
 command-line tool 'bugzilla-cli', which can be used to interact with
 Bugzilla from shell scripts.
 .
 This package installs the library for Python 3.

Package: python3-buildbot
Description-md5: e696135c0b8fcbf17ea242ae867af23d
Description-en: transitional package for buildbot
 This is a transitional package for buildbot. It can safely be removed.

Package: python3-buildbot-doc
Description-md5: 321d17e5b8a49315ab745538ae211638
Description-en: transitional package for buildbot-doc
 This is a transitional package for buildbot-doc. It can safely be
 removed.

Package: python3-buildbot-worker
Description-md5: bb74cff8c1d1aac23ca16973269a0023
Description-en: yet another transitional package for buildbot-worker
 This is a yet another transitional package for buildbot-worker. It can
 safely be removed.

Package: python3-buildstream
Description-md5: 8ddd147aea3ba2a0b38e086c90f4e243
Description-en: Python library for BuildStream toolset
 BuildStream is a GNOME project to improve the continuous integration
 of complex systems and applications. The project aims to pay special
 attention to those developers and integrators who care about the
 maintainability of their projects during a long period of time.
 .
 BuildStream is also a powerful and flexible software integration toolset.
 It has been designed to create different outputs out of a unique input
 and, at the same time, it is able to adapt to complex workflows, even
 when additional build tools are required. An important part of
 BuildStream is a sister project called BuildGrid, that allows
 BuildStream to build at scale.
 .
 This package provides the Python3 libraries.

Package: python3-bumps
Description-md5: ee6eb4da73526e9011a5a0b6822c213f
Description-en: data fitting and Bayesian uncertainty modeling for inverse problems (Python 3)
 Bumps is a set of routines for curve fitting and uncertainty analysis
 from a Bayesian perspective. In addition to traditional optimizers
 which search for the best minimum they can find in the search space,
 bumps provides uncertainty analysis which explores all viable minima
 and finds confidence intervals on the parameters based on uncertainty
 in the measured values. Bumps has been used for systems of up to 100
 parameters with tight constraints on the parameters. Full uncertainty
 analysis requires hundreds of thousands of function evaluations,
 which is only feasible for cheap functions, systems with many
 processors, or lots of patience.
 .
 Bumps includes several traditional local optimizers such as
 Nelder-Mead simplex, BFGS and differential evolution. Bumps
 uncertainty analysis uses Markov chain Monte Carlo to explore the
 parameter space. Although it was created for curve fitting problems,
 Bumps can explore any probability density function, such as those
 defined by PyMC. In particular, the bumps uncertainty analysis works
 well with correlated parameters.
 .
 Bumps can be used as a library within your own applications, or as a
 framework for fitting, complete with a graphical user interface to
 manage your models.
 .
 This package installs the library for Python 3.

Package: python3-bx
Description-md5: 67d503eba4b89cb2b658656d4c7560a1
Description-en: library to manage genomic data and its alignment
 The bx-python project is a Python3 library and associated set of scripts to
 allow for rapid implementation of genome scale analyses. The library contains
 a variety of useful modules, but the particular strengths are:
  * Classes for reading and working with genome-scale multiple local
    alignments (in MAF, AXT, and LAV formats)
  * Generic data structure for indexing on disk files that contain blocks of
    data associated with intervals on various sequences (used, for example, to
    provide random access to individual alignments in huge files; optimized
    for use over network filesystems)
  * Data structures for working with intervals on sequences
  * "Binned bitsets" which act just like chromosome sized bit arrays, but
    lazily allocate regions and allow large blocks of all set or all unset
    bits to be stored compactly
  * "Intersecter" for performing fast intersection tests that preserve both
    query and target intervals and associated annotation

Package: python3-bytesize
Description-md5: e58316983f628d2eb571642ebf527a5b
Description-en: Python 3 bindings for libbytesize
 Many projects need to work with sizes in bytes (be it sizes of storage
 space, memory,...) and all of them need to deal with the same issues
 like:
 .
  * How to get a human-readable string for the given size?
  * How to store the given size so that no significant information is lost?
  * If we store the size in bytes, what if the given size gets over the
    MAXUINT64 value?  How to interpret sizes entered by users according
    to their locale and typing conventions?
  * How to deal with the decimal/binary units (MB vs. MiB) ambiguity?
 .
 This library aims to be as much generally usable as possible, small, fast and
 be easily interfaced from other languages.
 .
 This package contains bindings for libbytesize in Python3.

Package: python3-bz2file
Description-md5: 9ba4db6dc7b63608ea4202e47f3721f3
Description-en: Python3 library for reading and writing bzip2-compressed files
 Bz2file is a Python library for reading and writing bzip2-compressed files.
 .
 It contains a drop-in replacement for the file interface in the standard
 library's bz2 module, including features from the latest development version
 of CPython that are not available in older releases.
 .
 Bz2file for Python3.

Package: python3-cachecontrol
Description-md5: 140987958acc0bd944be64a18665fd7b
Description-en: caching algorithms in httplib2 for use with requests
 CacheControl is a port of the caching algorithms in httplib2 for use with
 requests session object.
 .
 It was written because httplib2's better support for caching is often
 mitigated by its lack of threadsafety. The same is true of requests in
 terms of caching.
 .
 This is the Python 3 library.

Package: python3-cached-property
Description-md5: 0029092df94c4908bc016c540f9668b3
Description-en: Provides cached-property for decorating methods in classes (Python 3)
 This Python module
  * makes caching of time or computational expensive properties quick and easy.
  * is simple to use.
  * works in Python 2 and 3.
 .
 This package is targeting Python version 3.

Package: python3-caffe-cpu
Description-md5: 6b34b6eff5021cb3decd612840f74ee1
Description-en: Python3 interface of Caffe (CPU_ONLY)
 Caffe is a deep learning framework made with expression, speed,
 and modularity in mind. It is developed by the Berkeley AI Research
 Lab (BAIR) and community contributors.
 .
 It contains python3 interface of caffe, configured as CPU_ONLY.

Package: python3-cairocffi
Description-md5: bb30769126852b120adfc03102b2be21
Description-en: cffi-based cairo bindings for Python (Python3)
 cairocffi is a CFFI-based drop-in replacement for Pycairo,
 a set of Python bindings and object-oriented API for cairo.
 Cairo is a 2D vector graphics library with support for multiple backends
 including image buffers, PNG, PostScript, PDF, and SVG file output.
 .
 This package contains the Python 3 version of cairocffi.

Package: python3-cairosvg
Description-md5: b68f944d0583c279b7258fca0cea0b27
Description-en: SVG to PDF/PS/PNG converter based on Cairo (Python3 library)
 CairoSVG is a SVG converter based on Cairo. It can export SVG files to PDF,
 PostScript and PNG files.
 The main part of CairoSVG is a SVG parser, trying to follow the SVG 1.1
 recommendation from the W3C. Once parsed, the result is drawn to a Cairo
 surface that can be exported to various formats: PDF, PostScript, PNG and
 even SVG.
 .
 This package contains the Python3 version of the library.

Package: python3-caja
Description-md5: dab65ce752715a764e23d94ca64f6240
Description-en: Python3 binding for Caja components
 Caja is the official file manager for the MATE desktop. It allows one
 to browse directories, preview files and launch applications associated
 with them. It is also responsible for handling the icons on the MATE
 desktop. It works on local and remote filesystems.
 .
 The Python binding for Caja allows one to write Caja property page
 and menu item extensions in Python.

Package: python3-caldav
Description-md5: b37f41e2dc76a0e9952d43ed01231bb0
Description-en: CalDAV (RFC4791) client library for Python 3
 A simple Python DAV client library
 .
 This contains the Python 3 library

Package: python3-camera-calibration-parsers
Description-md5: 55257ed5f5c2ed8e888fb2cd6d7dae1a
Description-en: Robot OS camera_calibration_parsers Python 3 package
 This package is part of Robot OS (ROS). It provides routines for
 reading and writing camera calibration parameters.
 .
 This package contains the Python 3 wrapper.

Package: python3-can
Description-md5: 877882a238ed05c84e8f2eaaf4616ab1
Description-en: Controller Area Network (CAN) interface module
 The Controller Area Network (CAN, aka "CAN bus") is a bus standard designed
 to allow microcontrollers and devices to communicate with each other. It
 has priority based bus arbitration, reliable deterministic
 communication. It is used in cars, trucks, boats, wheelchairs and more.
 .
 The 'can' package provides controller area network support for Python
 developers; providing "common abstractions to different hardware devices", and
 a suite of utilities for sending and receiving messages on a can bus.
 .
 This package provides the bindings for Python 3.x (and some logging utilities)

Package: python3-canmatrix
Description-md5: cc6c70d177b021032101097f15a95488
Description-en: Handle CAN (Controller Area Network) database formats
 Canmatrix implements a "Python CAN Matrix Object" which describes the
 CAN-communication itself and some auxiliary objects (Boardunits, Frames,
 Signals, Values, ...)
 There are also some extract and merge options for dealing with CAN databases.
 .
 Supported file formats for import:
  * .dbc candb / Vector
  * .dbf Busmaster (open source!)
  * .kcd kayak (open source!)
  * .arxml autosar system description
  * .yaml dump of the Python object
  * .xls(x) excel xls-import, works with .xls-file generated by this lib
  * .sym peak pcan can description
 Supported file formats for export:
  * .dbc
  * .dbf
  * .kcd
  * .xls(x)
  * .json Canard (open source!)
  * .arxml (very basic implementation)
  * .yaml (dump of the Python object)
  * .sym
 .
 This package provides the Python-3.x bindings

Package: python3-canonicaljson
Description-md5: 5f301961a7b37b037083a966cb265320
Description-en: canonical JSON implementation for Python 3
 Canonical JSON implementation for Python 3.
 .
  * Encodes objects and arrays as RFC 7159 JSON.
  * Sorts object keys so that you get the same result each time.
  * Has no inignificant whitespace to make the output as small as possible.
  * Escapes only the characters that must be escaped, U+0000 to U+0019 / U+0022 /
    U+0056, to keep the output as small as possible.
  * Uses the shortest escape sequence for each escaped character.
  * Encodes the JSON as UTF-8.
  * Can encode frozendict immutable dictionaries.

Package: python3-cap-ng
Description-md5: 521b77645310fef36116cdd36c66ce26
Description-en: Python3 bindings for libcap-ng
 This library implements the user-space interfaces to the POSIX
 1003.1e capabilities available in Linux kernels.  These capabilities are
 a partitioning of the all powerful root privilege into a set of distinct
 privileges.
 .
 The libcap-ng library is intended to make programming with POSIX
 capabilities much easier than the traditional libcap library.
 .
 This package contains the Python3 bindings for libcap-ng.

Package: python3-capstone
Description-md5: 2679eabeb00d1331c5045c89aa98552d
Description-en: lightweight multi-architecture disassembly framework - Python bindings
 Capstone is a lightweight multi-platform, multi-architecture disassembly
 framework.
 .
 These are the Python 3 bindings.

Package: python3-cartopy
Description-md5: f2c2546bf719233732463ccf70987f96
Description-en: Cartographic library for Python 3
 Cartopy is a Python package designed to make drawing maps for data analysis
 and visualisation easy.
 .
 It features:
 .
   - object oriented projection definitions
   - point, line, polygon and image transformations between projections
   - integration to expose advanced mapping in matplotlib with a simple and
     intuitive interface
   - powerful vector data handling by integrating shapefile reading with
     Shapely capabilities
 .
 This package provides the Python 3 library.

Package: python3-casacore
Description-md5: ef8e0b896ad9e6e228e48c70e6a884c4
Description-en: Python bindings to the casacore library
 The casacore package contains the core libraries of the old AIPS++/CASA
 package. This split was made to get a better separation of core
 libraries and applications. CASA is now built on top of casacore.
 .
 This is the Python wrapper around the library.

Package: python3-case
Description-md5: d1b4c44baf832bd9e87dd622f05dbfa4
Description-en: Python unittest Utilities (Python3 version)
 Python unittest Utilities. Includes:
 .
  * case.case
  * case.skip
  * case.mock
  * case.utils
 .
 This package contains the Python 3 version of the library.

Package: python3-cassandra
Description-md5: 0ad4bb8d4c04e21ff7d6059a08a76a10
Description-en: Python driver for Apache Cassandra (Python 3)
 A modern, feature-rich and highly-tunable Python client library for Apache
 Cassandra (1.2+) and DataStax Enterprise (3.1+) using exclusively Cassandra's
 binary protocol and Cassandra Query Language v3.
 .
 Features:
  * Synchronous and Asynchronous APIs
  * Simple, Prepared, and Batch statements
  * Asynchronous IO, parallel execution, request pipelining
  * Connection pooling
  * Automatic node discovery
  * Automatic reconnection
  * Configurable load balancing and retry policies
  * Concurrent execution utilities
  * Object mapper
 .
 This package contains the Python 3.x module for python-cassandra

Package: python3-cassandra-doc
Description-md5: b1c77a664f98a4778cd98335933b1fc6
Description-en: Python driver for Apache Cassandra (documentation)
 A modern, feature-rich and highly-tunable Python client library for Apache
 Cassandra (1.2+) and DataStax Enterprise (3.1+) using exclusively Cassandra's
 binary protocol and Cassandra Query Language v3.
 .
 Features:
  * Synchronous and Asynchronous APIs
  * Simple, Prepared, and Batch statements
  * Asynchronous IO, parallel execution, request pipelining
  * Connection pooling
  * Automatic node discovery
  * Automatic reconnection
  * Configurable load balancing and retry policies
  * Concurrent execution utilities
  * Object mapper
 .
 This is the common documentation package.

Package: python3-catkin
Description-md5: 54aaacb9ee4aabda82b85d2f58225b74
Description-en: Python 3 modules for the ROS build system
 Catkin contains CMake macros that are useful in the development of
 ROS-related systems. In ROS (Robot OS) Fuerte and later, many of the
 lower-level libraries are being migrated to be CMake only.
 .
 This package contains the Python 3 module.

Package: python3-catkin-lint
Description-md5: 6a46b6612fa4e54daed380cc0e3a93bb
Description-en: Check Robot OS catkin packages for common errors (transitional package)
 This is a transitional package to ease upgrades to catkin-lint.
 It can be safely removed.

Package: python3-catkin-pkg
Description-md5: d5b12d392c643fc0ddb3ac8a072851b6
Description-en: Low-level build system macros for Robot OS -- Python 3 module
 This package is part of Robot OS (ROS). It is a library for
 retrieving information about catkin packages. Catkin contains CMake
 macros that are useful in the development of ROS-related systems. ROS
 provides libraries and tools to help software developers create robot
 applications.
 .
 This package is a Python 3 module needed to use Catkin.

Package: python3-cbor
Description-md5: ffb24e95d32bc2ed6d2f6c3d760de96f
Description-en: Python3 Implementation of RFC 7049. Concise Binary Object Representation (CBOR)
 CBOR is comparable to JSON, has a superset of JSON’s ability, but serializes
 to a binary format which is smaller and faster to generate and parse.
 .
 The two primary functions are cbor.loads() and cbor.dumps(). This library
 includes a C implementation which runs 3-5 times faster than the Python
 standard library’s C-accelerated implementanion of JSON. This is also includes
 a 100% Python implementation

Package: python3-cccolutils
Description-md5: cc6243040a9863789d8eba3b6029e9a2
Description-en: Python Kerberos Credential Cache Collection Utilities (Python 3)
 This module provides Kerberos 5 credential cache collection utilities
 for Python 2.6+ and 3.
 .
 When a user authenticates to a Kerberos realm (eg. with kinit), the user
 has a short-lived credential in a cache (view it with klist).
 .
 You can use this cccolutils module to easily determine if the user has
 any valid Kerberos credentials, or what the username is for a particular
 Kerberos realm.
 .
 This package installs the library for Python 3.

Package: python3-ccdproc
Description-md5: b3c621c03c0ea9624aa6d6a6429ef1c1
Description-en: Basic data reductions of astronomy CCD images
 The ccdproc package provides many of the necessary tools for processing
 of ccd images built on a framework to provide error propagation and bad
 pixel tracking throughout the reduction process.

Package: python3-cclib
Description-md5: 920ec51a929575588f4cb576acb611ba
Description-en: Parsers and algorithms for computational chemistry (Python3 module)
 A Python library that provides parsers for computational
 chemistry log files. It also provides a platform to implement
 algorithms in a package-independent manner.
 .
 This package contains the Python3 module.

Package: python3-cdiff
Description-md5: 538733da1773069d500f828c1f6194ac
Description-en: Colored, incremental diff with side by side and auto pager support (Python 3)
 Cdiff is a python module to view colored, incremental diff in a Git/Mercurial/
 Svn workspace or from stdin, with side by side and auto pager support.
 .
 This is the Python 3 version of the package.

Package: python3-cdio
Description-md5: 7981ed660b1ad00c07e9f5e68903d88d
Description-en: Python3 interface to libcdio optical media control library
 pycdio is a Python interface to the CD Input and Control library
 (libcdio).
 .
 This package includes the python3 version.

Package: python3-cdo
Description-md5: 137a5154e61d3f7a95c37400e9687389
Description-en: Python3 module for Climate Data Operators
 Climate Data Operators are a collection of command line Operators
 to manipulate and analyse Climate model Data.  Supported data formats are GRIB,
 netCDF, SERVICE, EXTRA and IEG. There are more than 400 operators available.
 This package provides a Python3 wrapper for CDO.

Package: python3-cec
Description-md5: 74e83edd7d35f2144b565fbf903842c1
Description-en: Python 3 bindings for USB CEC Adaptor
 This library provides support for the Pulse-Eight USB-CEC adapter and
 other CEC capable hardware, like the Raspberry Pi.
 .
 This package provides Python 3 bindings for libcec.

Package: python3-ceilometermiddleware
Description-md5: 4b6cb82c0b64567f063a1ed538b8c4c2
Description-en: OpenStack Telemetry middleware for generating metrics - Python 3.x
 This library provides middleware modules designed to enable metric and event
 data generation to be consumed by Ceilometer.
 .
 This package contains the Python 3.x module.

Package: python3-celery
Description-md5: e82e5099e2ae085695f07c4dafce88d9
Description-en: async task/job queue based on message passing (Python3 version)
 Celery is an open source asynchronous task queue/job queue based on
 distributed message passing. It is focused on real-time operation,
 but supports scheduling as well.
 .
 The execution units, called tasks, are executed concurrently on one
 or more worker nodes. Tasks can execute asynchronously (in the
 background) or synchronously (wait until ready).
 .
 Celery is written in Python, but the protocol can be implemented
 in any language. It can also operate with other languages using
 webhooks.
 .
 The recommended message broker is RabbitMQ, but limited support for Redis,
 Beanstalk, MongoDB, CouchDB, and databases (using SQLAlchemy or the Django
 ORM) is also available. Celery is easy to integrate with Django, using the
 python-django-celery package.
 .
 This package contains the Python 3 version of the library.

Package: python3-celery-batches
Description-md5: 4f259897344705c8e18404aa8b0e07cd
Description-en: Task class that buffers messages and processes them as a list
 Celery Batches provides a ``Task`` class that allows processing of multiple
 Celery task calls together as a list. The buffer of tasks calls is flushed on a
 timer and based on the number of queued tasks.

Package: python3-cement
Description-md5: bd84e2dfba41c37d0512a62b0ca8f083
Description-en: CLI Application Framework (Python3 version)
 Cement is an advanced CLI Application Framework for Python. Its goal is to
 introduce a standard, and feature-full platform for both simple and complex
 command line applications as well as support rapid development needs without
 sacrificing quality. Cement is flexible, and it’s use cases span from the
 simplicity of a micro-framework to the complexity of a mega-framework.
 .
 Features include:
  * Core pieces of the framework are customizable via handlers/interfaces
  * Extension handler interface to easily extend framework functionality
  * Config handler supports parsing multiple config files into one config
  * Argument handler parses command line arguments and merges with config
  * Log handler supports console and file logging
  * Plugin handler provides an interface to easily extend your application
  * Hook support adds a bit of magic to apps and also ties into framework
  * Handler system connects implementation classes with Interfaces
  * Output handler interface renders return dictionaries to console
  * Cache handler interface adds caching support for improved performance
  * Controller handler supports sub-commands, and nested controllers
 .
 This package contains the Python 3 version of the library.

Package: python3-ceph
Description-md5: 73168f4688e222dd80dcdae1068a35d8
Description-en: Meta-package for all Python 3.x modules for the Ceph libraries
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 This package is a metapackage for all Ceph Python 3.x bindings.

Package: python3-cerberus
Description-md5: 15c7af03284be58f632dc88a89459b62
Description-en: Lightweight, extensible data validation library for Python
 Cerberus provides powerful yet simple and lightweight data
 validation functionality out of the box and is designed to be
 easily extensible, allowing for custom validation.
 .
 This package installs the library for Python 3.

Package: python3-cerealizer
Description-md5: 53264d5277af478bb22635af49b1589c
Description-en: secure pickle-like module for Python 3
 It support basic types (int, string, unicode, tuple, list,
 dict, set,...), old and new-style classes (you need to
 register the class for security), object cycles, and it can
 be extended to support C-defined type.
 .
 This is the Python 3 version of the package.

Package: python3-certbot
Description-md5: 85358386ec4314ac7c0c6d37555b95ff
Description-en: main library for certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the main libraries.

Package: python3-certbot-apache
Description-md5: 30ce999e68e8586fa200da41d3a2a49f
Description-en: Apache plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the Apache plugin to the main application.

Package: python3-certbot-dns-cloudflare
Description-md5: 4b01a11d1909c1401b2577c7e4d7b3a9
Description-en: Cloudflare DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the Cloudflare DNS plugin to the main application.

Package: python3-certbot-dns-digitalocean
Description-md5: 948f45608eba198edf872e5bb77386c8
Description-en: DigitalOcean DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the DigitalOcean DNS plugin to the main application.

Package: python3-certbot-dns-dnsimple
Description-md5: 9c8537d3bf2949c5f5d26b47c7d41876
Description-en: DNSimple DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the DNSimple DNS plugin to the main application.

Package: python3-certbot-dns-gandi
Description-md5: ccc5f5ff472ee91d69496edd316f0ce8
Description-en: Gandi LiveDNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This is a plugin for Certbot that uses the Gandi LiveDNS API
 to allow Gandi customers to prove control of a domain name.

Package: python3-certbot-dns-gehirn
Description-md5: af5b7d65e3cb1eb452b8e0a906ef41aa
Description-en: Gehirn DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the Gehirn DNS plugin to the main application.

Package: python3-certbot-dns-google
Description-md5: dbea1f2840ef5dbd9be533c907bde00e
Description-en: Google DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the Google DNS plugin to the main application.

Package: python3-certbot-dns-linode
Description-md5: 564508141abf9bd642003c5b2546943b
Description-en: Linode DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the Linode DNS plugin to the main application.

Package: python3-certbot-dns-ovh
Description-md5: f3bcc7b19c14236c9eebf5dc70c96e7a
Description-en: OVH DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the Ovh DNS plugin to the main application.

Package: python3-certbot-dns-rfc2136
Description-md5: 71d4956a33a79b3b166d5a14c83d46b4
Description-en: RFC 2136 DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the RFC 2136 DNS plugin to the main application.

Package: python3-certbot-dns-route53
Description-md5: 1aa190833f2b3b50e05b9f0aea1efab4
Description-en: Route53 DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the Route53 DNS plugin to the main application.

Package: python3-certbot-dns-sakuracloud
Description-md5: 16457904ae3fff6ae7808b2a224188e7
Description-en: SakuraCloud DNS plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the SakuraCloud DNS plugin to the main application.

Package: python3-certbot-nginx
Description-md5: a4b0f37cf92adc225368ca044499723c
Description-en: Nginx plugin for Certbot
 The objective of Certbot, Let's Encrypt, and the ACME (Automated
 Certificate Management Environment) protocol is to make it possible
 to set up an HTTPS server and have it automatically obtain a
 browser-trusted certificate, without any human intervention. This is
 accomplished by running a certificate management agent on the web
 server.
 .
 This agent is used to:
 .
   - Automatically prove to the Let's Encrypt CA that you control the website
   - Obtain a browser-trusted certificate and set it up on your web server
   - Keep track of when your certificate is going to expire, and renew it
   - Help you revoke the certificate if that ever becomes necessary.
 .
 This package contains the Nginx plugin to the main application.

Package: python3-cfgrib
Description-md5: 5d9c4ff679c9e2745faf3100b25ec9e3
Description-en: Python 3 module supporting the CF convention in GRIB files
 This module provides a Python3 interface to map GRIB files to the NetCDF
 Common Data Model following the CF Conventions.
 The high level API is designed to support a GRIB backend for xarray and it is
 inspired by NetCDF-python and h5netcdf.
 Low level access and decoding is performed via the ECMWF ecCodes library.

Package: python3-cftime
Description-md5: 77a45150c9b250ca1f098050979d7f4c
Description-en: Time-handling functionality from netcdf4-python (Python 3)
 Python library for decoding time units and variable values in a netCDF file
 conforming to the Climate and Forecasting (CF) netCDF conventions.
 .
 This package contains the cftime module for Python 3.

Package: python3-cg3
Description-md5: 146ba279a20ac80a4e3f4bb257986234
Description-en: Wrapper for CG-3
 Wrapper to use CG-3 from Python

Package: python3-cgecore
Description-md5: bbc9a4fc33c8cbe7bb904a6c19842a44
Description-en: Python3 module for the Center for Genomic Epidemiology
 This Python3 module contains classes and functions needed to run the
 service wrappers and pipeline scripts developed by the Center for
 Genomic Epidemiology.

Package: python3-chameleon
Description-md5: cbb9bf2233b8ad702cf1b218f5622119
Description-en: XML-based template compiler
 Chameleon compiles templates to Python byte-code. It includes a complete
 implementation of the Zope Page Templates (ZPT) language.
 .
 The engine itself performs 10-15 times better than the reference
 implementation and real-world benchmarks show an overall performance
 improvement in complex applications of 30-50%.
 .
 This is the Python 3 version of the package.

Package: python3-changelog
Description-md5: e7f32501b217ce65c5453ceaf8250e6d
Description-en: Sphinx extension to generate changelog files (Python 3)
 This package provides simple Sphinx markup to render changelog displays
 (this is the Python 3 version of the extension).
 .
 Example:
 .
  ====================
  Changelog for 1.5.6
  ====================
 .
  .. changelog::
      :version: 1.5.6
      :released: Sun Oct 12 2008
 .
      .. change::
          :tags: general
          :tickets: 27
 .
        Improved the frobnozzle.
 .
      .. change::
          :tags: rendering, tests
          :pullreq: 8
          :changeset: a9d7cc0b56c2
 .
        Rendering tests now correctly render.

Package: python3-channels-redis
Description-md5: 5ed689f59028f135ec53ece7920b048b
Description-en: Redis channel layer backend for Django Channels (Python3 version)
 A Django Channels channel layer that uses Redis as its backing store, and
 supports both a single-server and sharded configurations, as well as group
 support.
 .
 This package contains the Python 3 version of the library.

Package: python3-characteristic
Description-md5: c20c8f86c243ce162395bf23ff998b3e
Description-en: helper for implementing attribute-related object protocols (Python 3)
 characteristic is Python package with class decorators that ease the chores
 of implementing the most common attribute-related object protocols.
 .
 You just specify the attributes to work with and characteristic gives you:
 .
   - a nice human-readable __repr__,
   - a complete set of comparison methods,
   - and a kwargs-based initializer (that cooperates with your existing one)
 .
 without writing dull boilerplate code again and again.

Package: python3-charon
Description-md5: b91bb154c24df7c013e175a0768c53fe
Description-en: file metadata and streaming library for cura
 This library will read and write several 3D-printing related file formats.
 It is used by cura, the GUI G-code generator for 3D printers.

Package: python3-chartkick
Description-md5: dc10ef8a135811f9f1100b9d11c2171f
Description-en: create beautiful JavaScript charts with minimal code (Python 3)
 chartkick provides a beautiful JavaScript charts. It supports Chart.js, Google
 Charts, and Highcharts, and works with Django, Flask/Jinja2.
 .
 This package provides Python 3 library.

Package: python3-cheetah
Description-md5: 49c433731a658f96b853af8aec82296b
Description-en: text-based template engine and Python code generator (Python 3)
 Cheetah can be used as a standalone templating utility or referenced as a
 library from other Python applications. It has many potential uses, but web
 developers looking for a viable alternative to ASP, JSP, PHP and PSP are
 expected to be its principle user group.
 .
 Features:
  * Generates HTML, SGML, XML, SQL, Postscript, form email, LaTeX, or any other
    text-based format.
  * Cleanly separates content, graphic design, and program code.
  * Blends the power and flexibility of Python with a simple template language
    that non-programmers can understand.
  * Gives template writers full access to any Python data structure, module,
    function, object, or method in their templates.
  * Makes code reuse easy by providing an object-orientated interface to
    templates that is accessible from Python code or other Cheetah templates.
    One template can subclass another and selectively reimplement sections of
    it.
  * Provides a simple, yet powerful, caching mechanism that can dramatically
    improve the performance of a dynamic website.
  * Compiles templates into optimized, yet readable, Python code.
 .
 This package contains the Python 3 module.

Package: python3-chemps2
Description-md5: 941d657ad9cdecd7ce31610b23c5fa55
Description-en: Python 3 interface for libchemps2-3
 chemps2 is a scientific library which contains a spin-adapted
 implementation of the density matrix renormalization group (DMRG)
 for ab initio quantum chemistry. This wavefunction method allows one
 to obtain numerical accuracy in active spaces beyond the capabilities
 of full configuration interaction (FCI), and allows one to extract
 the 2-, 3-, and 4-particle reduced density matrices (2-, 3- and 4-RDM)
 of the active space.
 .
 For general active spaces up to 40 electrons in 40 orbitals can be
 handled with DMRG, and for one-dimensional active spaces up to 100
 electrons in 100 orbitals. The 2-RDM of these active spaces can
 also be easily extracted, while the 3- and 4-RDM are limited to
 about 28 orbitals.
 .
 When the active space size becomes prohibitively expensive for FCI,
 DMRG can be used to replace the FCI solver in the complete active
 space self consistent field (CASSCF) method and the corresponding
 complete active space second order perturbation theory (CASPT2).
 The corresponding methods are called DMRG-SCF and DMRG-CASPT2,
 respectively. For DMRG-SCF the active space 2-RDM is required, and
 for DMRG-CASPT2 the active space 4-RDM.
 .
 This package installs the library for Python 3.

Package: python3-cheroot
Description-md5: 34fdeb2c23ea75870b6ce8e791c3adbf
Description-en: Pure-Python HTTP server
 Cheroot is the high-performance pure-Python HTTP server used by
 CherryPy.
 .
 This package installs the library for Python 3.

Package: python3-chm
Description-md5: 21dc8a47a8b2ded4e789a04b787c1f14
Description-en: Python binding for CHMLIB - Python 3
 PyCHM is a package that provides Python binding for Jed Wing's CHMLIB library.
 .
 The chm package contains four modules, namely chm.chm, chm.chmlib,
 chm.extra and chm._chmlib, which provide access to the API implemented
 by the C library chmlib and some additional classes and functions.
 They are used to access MS-ITSS encoded files - Compressed Html Help
 files (.chm).
 .
 This package contain the Python 3 version of chm.

Package: python3-chm-dbg
Description-md5: cf889ed24a5aeed2a7c6aa53b6ad992c
Description-en: Python binding for CHMLIB - Python 3 debug extension
 PyCHM is a package that provides Python binding for Jed Wing's CHMLIB library.
 .
 The chm package contains four modules, namely chm.chm, chm.chmlib,
 chm.extra and chm._chmlib, which provide access to the API implemented
 by the C library chmlib and some additional classes and functions.
 They are used to access MS-ITSS encoded files - Compressed Html Help
 files (.chm).
 .
 This package contain the Python 3 debug extension for python3-chm.

Package: python3-circuits
Description-md5: 08db35b069abb53c0d71c14f53c8721d
Description-en: event-driven framework with a component architecture (Python3 version)
 circuits is an event-driven framework with a focus on Component
 Software Architectures where System Functionality is defined in
 Components. Components communicate with one another by propagating
 events throughout the system. Each Component can react to events and
 expose events to other parts of the system Components are able to
 manage their own events and can also be linked to other Components.
 .
 Circuits has a clean architecture and has no external dependencies on
 any other library. It's simplistic design is unmatchable but yet
 delivers a powerful framework for building large, scalable,
 maintainable applications and systems. Circuits was a core integral
 part of the pymills library developed in 2006 and was partly inspired
 by the Trac architecture.
 .
 This package contains the Python 3 version of the library.

Package: python3-citeproc
Description-md5: 346eb24ad0eaa61bdabd587274ebed03
Description-en: Citation Style Language (CSL) processor for Python3
 Citeproc-py is a library that produces formatted bibliographies and
 citations from bibliographic databases following formatting instructions
 provided by XML style files written in the Citation Style Language (CSL).
 .
 Currently, BibTeX and JSON are supported as input database formats, and
 plain text, reStructuredText and HTML as output format.
 .
 This package contains the Python 3 modules and the CLI tool csl_unsorted.

Package: python3-ck
Description-md5: f889d3b5cad4fafc6a3cc67e4726f85e
Description-en: Python3 light-weight knowledge manager
 Collective Knowledge Framework and Repository (CK)
 is a small and portable Python application to organize,
 cross-link, share and reuse research artifacts.
 CK helps decompose complex and hardwired experimental
 workflows into unified and reusable components
 with simple JSON API  and meta-description shared via GitHub
 or any other web service. CK can complement Docker
 and Virtual Machines while helping researchers
 quickly prototype their ideas from shared components
 as LEGO(TM), crowdsource experiments, share knowledge,
 reproduce results and create interactive articles.
 Full documentation and results of GCC/LLVM crowdtuning
 (collaborative program optimization and machine learning)
 are available at https://github.com/ctuning/ck/wiki
 and http://cknowledge.org/repo
 .
 This is the Python 3 version of this package.

Package: python3-clang
Description-md5: 951864defe3e0c6019a2c92a4a1dd20d
Description-en: Clang Python Bindings
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This binding package provides access to the Clang compiler and libraries.

Package: python3-clang-10
Description-md5: 2c9b6237594ba364f2afb9b0458f2f14
Description-en: Clang Python Bindings
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also
 provides most of the support of C++20.
 .
 This binding package provides access to the Clang compiler and libraries.

Package: python3-clang-8
Description-md5: 951864defe3e0c6019a2c92a4a1dd20d
Description-en: Clang Python Bindings
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This binding package provides access to the Clang compiler and libraries.

Package: python3-clang-9
Description-md5: 951864defe3e0c6019a2c92a4a1dd20d
Description-en: Clang Python Bindings
 Clang project is a C, C++, Objective C and Objective C++ front-end
 based on the LLVM compiler. Its goal is to offer a replacement to the
 GNU Compiler Collection (GCC).
 .
 Clang implements all of the ISO C++ 1998, 11 and 14 standards and also
 provides most of the support of C++17.
 .
 This binding package provides access to the Clang compiler and libraries.

Package: python3-clap
Description-md5: 25a9eef2689e8d7fb3cd838733cd8d56
Description-en: command line arguments parser
 CLAP aims at being powerful and advanced command line interface library for
 Python 3 language. Having built-in support for modes, optional and obligatory
 options, options with arguments (with type-checking with arbitrary types) it
 enables programmers to create rich command line interfaces for Python 3
 programs.
 .
 Features:
   * Support for single-level and nested modes (with per-mode and global
     options).
   * Support for grouped short options (ls -lhR).
   * Support for long options with or without equal-sign-connected arguments
     (--log=./file.log and --log ./file.log are both correct).
   * Support for option aliases (short/long names).
   * Support for typed arguments (str, int, float built-in and other arbitrary
     types via callbacks).
   * Built-in type checking of option arguments.
   * Support for multiple arguments for options (e.g. --point 0 0).
   * Checking for missing arguments with options which require them.
   * Checking for conflicting options (eg. --quiet must not come with option
     --verbose).
   * Support for options that MUST be passed to the program.
   * Support for options required by other options (e.g. --key requires
     --value).
   * Support for options wanted by other options (e.g. --which wants --this or
     --that or both).
   * Good set of exceptions with detailed error messages.
   * Ability to load interface from JSON descriptions.
   * Automatic generation of help screens (for your-tool help command) with
     per-mode, per-option, and per-operand descriptions, usage examples,
     and more.
   * Support for shortcuts for command names (shortest-unique name is
     sufficient for CLAP to resolve the command, it is not necessary to
     write full names).
 .
 CLAP is not the most easy to use command line arguments parser for Python,
 but that it is one of the most powerful (if not the most powerful) framework
 for writing command line interfaces. With excellent support for modes,
 options, and operands, automatic input verification, and help screen
 generation you get a big return on your investment.

Package: python3-cliapp
Description-md5: bf09d2f41b736a7ddce74c62ceb3bfcf
Description-en: Python framework for Unix command line programs
 cliapp makes it easier to write typical Unix command line programs,
 by taking care of the common tasks they need to do, such as
 parsing the command line, reading configuration files, setting
 up logging, iterating over lines of input files, and so on.

Package: python3-click-log
Description-md5: f61cb3f6f157752cc43e38d335f18821
Description-en: Logging integration for Click - Python 3.x
 Partly because Python’s logging module aims to be so generic, it doesn’t come
 with sensible defaults for CLI applications. At some point you might also want
 to expose more logging levels through more options, at which point the
 boilerplate code grows even more.
 This is where click-log comes in.
 .
 This package contains the Python 3.x module.

Package: python3-click-plugins
Description-md5: 3f9eca3ac6eb84b70593c63c53ecd5b0
Description-en: Click extension to register external CLI commands (Python 3)
 Click plugins allows developers of Click commandline applications to add a
 plugin mechanism to their tools. This allows the creation of subcommands
 registered by those plugins.
 .
 This is the Python 3 version of the package.

Package: python3-click-threading
Description-md5: 3ae87f0ec44698a0b22127f038314338
Description-en: Utilities for multithreading in click - Python 3.x
 Library for easier multithreaded development with Click.
 Click is a Python package for creating beautiful command line interfaces in a
 composable way with as little code as necessary. It’s the “Command Line
 Interface Creation Kit”. It’s highly configurable but comes with sensible
 defaults out of the box.
 .
 This package contains the Python 3.x module.

Package: python3-cligj
Description-md5: 06e39c6a222588f9c16426d279448634
Description-en: Python 3 library for processing GeoJSON commands
 Cligj is a small library which can be used to standardise processing
 of geoJSON in Python command line programs.
 .
 This package provides the Python 3 version of the library.

Package: python3-clint
Description-md5: 1db304a06f787c80a4f83796bf4eb59e
Description-en: Python Command-line Application Tools
 Clint is a Python module filled with a set of awesome tools for developing
 commandline applications.

Package: python3-cloud-sptheme
Description-md5: 684b274896bfcdc57327c674cc63cb2e
Description-en: Cloud Sphinx theme and related extensions (python3 version)
 cloud_sptheme contains a Sphinx theme named "Cloud", and some related
 Sphinx extensions.  Cloud and its extensions are primarily oriented
 towards generating html documentation for Python libraries. It provides
 numerous small enhancements to make the html documentation more
 interactive, and improve the layout on mobile devices.
 .
 In addition to the Cloud theme, this package provides a few extra Sphinx
 extensions which may be useful when documenting Python projects; and
 should be theme-agnostic:
 .
 cloud_sptheme.ext.autodoc_sections
   Patches the sphinx.ext.autodoc to handle RST section headers inside
   docstrings.
 cloud_sptheme.ext.issue_tracker
   Adds a special :issue: role for quickly linking to your project's
   issue tracker.
 cloud_sptheme.ext.escaped_samp_literals
   Patches Sphinx to permit escaped {} characters within a :samp: role.
 cloud_sptheme.ext.table_styling
   Enhances .. table directive to support per-column text alignment and
   other layout features.
 .
 This package contains the Python 3 version of cloud_sptheme.

Package: python3-cloudflare
Description-md5: 63fa34a6dc1729d6e9e647cbaa19c6e7
Description-en: Python module to interface with Cloudflare's v4 API
 python3-cloudflare is a wrapper around the Cloudflare v4 API that
 lets you perform any action that you would be able to through the
 Cloudflare control panel itself.  For example, you can add and remove
 zones, change DNS records, switch billing information, etc.

Package: python3-cloudkitty
Description-md5: e459ca09b2764b322e6793f13d961706
Description-en: OpenStack Rating as a Service - Python library
 CloudKitty aims at filling the gap between metrics collection systems like
 ceilometer and a billing system.
 .
 Every metrics are collected, aggregated and processed through different rating
 modules. You can then query CloudKitty's storage to retrieve processed data
 and easily generate reports.
 .
 Most parts of CloudKitty are modular so you can easily extend the base code to
 address your particular use case.
 .
 This package contains the Python libraries.

Package: python3-cloudkitty-dashboard
Description-md5: 23a4f35413084f84cfdbecc517fbb9ab
Description-en: OpenStack data processing cluster as a service - dashboard plugin
 The Sahara project provides a simple means to provision a data-intensive
 application cluster (Hadoop or Spark) on top of OpenStack. It's the ex
 Savanna project, renamed due to potential trademark issues.
 .
 This package contains the OpenStack dashboard plugin.

Package: python3-cloudkittyclient
Description-md5: f508172d7715d4180d8ab815c23b50c2
Description-en: API client for Rating as a Service project - Python 3.x
 CloudKitty aims at filling the gap between metrics collection systems like
 ceilometer and a billing system.
 .
 This is a client library for CloudKitty built on the CloudKitty API. It
 provides a Python API (the cloudkittyclient module).
 .
 This package contains the Python 3.x module.

Package: python3-cloudpickle
Description-md5: 99366e54b3427ce0286075f8d80ca073
Description-en: Extended pickling support for Python 3 objects
 cloudpickle makes it possible to serialize Python constructs not supported
 by the default `pickle` module from the Python standard library.
 .
 cloudpickle is especially useful for cluster computing where Python
 expressions are shipped over the network to execute on remote hosts, possibly
 close to the data.
 .
 Among other things, `cloudpickle` supports pickling for lambda expressions,
 functions and classes defined interactively in the `__main__` module.
 .
 This contains the Python 3 version.

Package: python3-cluster
Description-md5: 0519ad521b7880cca0ae0513f9312627
Description-en: allows grouping a list of arbitrary objects into related groups (clusters)
 python-cluster is a "simple" package that allows users to create several groups
 (clusters) of objects from a list. It's meant to be flexible and able to
 cluster any object. To ensure this kind of flexibility, you need not only to
 supply the list of objects, but also a function that calculates the similarity
 between two of those objects. For simple datatypes, like integers, this can be
 as simple as a subtraction, but more complex calculations are possible. Right
 now, it is possible to generate the clusters using a hierarchical clustering
 and the popular K-Means algorithm. For the hierarchical algorithm there are
 different "linkage" (single, complete, average and uclus) methods available.
 .
 This is the Python 3 compatible package.

Package: python3-clustershell
Description-md5: 4e91a2fe7db0ec45977c24b7fe4014ca
Description-en: clustershell python3 libraries
 Event-based Python library to execute commands on local or distant
 cluster nodes in parallel depending on the selected engine and
 worker mechanisms.

Package: python3-cmarkgfm
Description-md5: 9605370846403cb49372a9244f747cae
Description-en: GitHub-flavored Markdown renderer Python bindings
 cmark-gfm is an extended version of the C reference implementation of
 CommonMark, a rationalized version of Markdown syntax with a spec.
 .
 This fork adds GitHub Flavored Markdown extensions to the upstream
 implementation, as defined in the spec.
 .
 This package provides Python bindings for the cmark-gfm library.

Package: python3-cmor
Description-md5: 4742222c510fa64639379b47b73623b3
Description-en: Python3 interface to CMOR
 This is a Python3 interface to CMOR, the Climate Model Output Rewriter.

Package: python3-coards
Description-md5: e1ef40008305b924bf555843c0b97bed
Description-en: COARDS-compliant time parser (Python 3)
 This module is intended to help parse time values represented using the
 COARDS convention.
 .
 What is COARDS?
 .
 The Cooperative Ocean-Atmosphere Research Data Service (COARDS) is joint
 project between NOAA labs and universities. It is a World-Wide-Web-based
 system to locate and retrieve important climate data sets and perform "live
 access" visualizations of selected subsets. Scientists at each of the
 cooperating sites and elsewhere can use COARDS to quickly gain access to
 oceanographic and atmospheric data sets. COARDS is a true "virtual data
 center" where a user can download data from any participating site without
 being concerned as where it actually resides.
 .
 This package provides the library for Python 3.

Package: python3-cobra
Description-md5: 0052f98776eccb61924a15b5d6618e25
Description-en: constraint-based modeling of biological networks with Python 3
 COnstraint-Based Reconstruction and Analysis (COBRA) methods are widely
 used for genome-scale modeling of metabolic networks in both prokaryotes
 and eukaryotes. COBRApy is a constraint-based modeling package that is
 designed to accommodate the biological complexity of the next generation
 of COBRA models and provides access to commonly used COBRA methods, such
 as flux balance analysis, flux variability analysis, and gene deletion
 analyses.

Package: python3-coda
Description-md5: 14da54cba4b2b2d9689e2f13d4e6eec0
Description-en: Python3 interface for CODA science formats library
 The Common Data Access framework allows reading of scientific data
 from various data formats, including structured ascii, structured binary, XML,
 netCDF, CDF, HDF4, HDF5, GRIB, RINEX and SP3. It provides a single consistent
 hierarchical view on data independent of the underlying storage format.
 .
 This package contains Python3 wrappers to use the CODA framework.

Package: python3-codegen
Description-md5: ce69194d72924115d4601d05a0422af5
Description-en: extension to ast that allows AST -> Python code generation
 The codegen module converts a abstract syntax tree (AST) back into Python
 source code. This is useful for debugging purposes, especially when dealing
 with custom ASTs not generated by Python itself.

Package: python3-codicefiscale
Description-md5: 8c71bf2bd86bd8e1e751ba5880eb3bdc
Description-en: Generate and validate Italian "codice fiscale" (Python 3.x)
 This Python library works with Italian fiscal codes for natural persons
 (the local equivalent to SSN in the USA) and allows one to:
  * generate a realistic code from given data;
  * calculate the control code;
  * extract data from an existing code: birthday and sex.
 .
 This package provides Python 3.x version of codicefiscale.

Package: python3-collada
Description-md5: 26d5d09d3b458af01ce44eb69f2d1a77
Description-en: Python 3 module for creating, editing and loading COLLADA
 Pycollada is a Python module for creating, editing and loading COLLADA, which
 is a COLLAborative Design Activity for establishing an interchange file format
 for interactive 3D applications. The library allows you to load a COLLADA file
 and interact with it as a Python object. In addition, it supports creating a
 collada Python object from scratch, as well as in-place editing.
 .
 This package contains the Python 3 files for Pycollada.

Package: python3-colorclass
Description-md5: 9f40952cb3af0bd0b24298fb929fc223
Description-en: ANSI color text library for Python
 Yet another ANSI color text library for Python. Provides "auto colors" for
 dark/light terminals. Works on Linux, OS X, and Windows.
 .
 In Python 2 this library subclasses unicode, while on Python 3 it subclasses
 str. Different colors are chosen using curly-bracket tags, such as
 {red}{/red}. For a list of available colors, call colorclass.list_tags().
 Auto colors are toggled by calling set_light_background() and
 set_dark_background().
 .
 This package provides the Python 3 module.

Package: python3-colored
Description-md5: 4b1c2f392ca57ce4b6f89318dc4af64b
Description-en: simple library for coloring and formatting in terminal
 Collection of color codes and names for 256 color terminal setups.
 The following is a list of 256 colors for Xterm, containing an example
 of the displayed color, Xterm Name, Xterm Number and HEX.

Package: python3-coloredlogs
Description-md5: aea18d21cd773354b1910f7b399706c3
Description-en: colored terminal output for Python 3's logging module
 The coloredlogs package enables colored terminal output for Python's logging
 module. The ColoredFormatter class inherits from logging.Formatter and uses
 ANSI escape sequences to render your logging messages in color. It uses only
 standard colors so it should work on any UNIX terminal.
 .
 This package provides the modules for Python 3.

Package: python3-colorlog
Description-md5: 28c512a4962aea2c9de5b63462abeb34
Description-en: formatter to use with the logging module of Python 3
 python-colorlog allows colors to be placed in the format string, which is
 mostly useful when paired with a StreamHandler that is outputting to a
 terminal. This is accomplished by adding a set of terminal color codes to the
 record before it is used to format the string.
 .
 This is the Python 3 version.

Package: python3-colormap
Description-md5: 00b2f67661e18798ec8acd0b9dfe8f96
Description-en: ease manipulation of matplotlib colormaps and color codecs (Python 3)
 The colormap package provides simple utilities to convert colors between RGB,
 HEX, HLS, HUV and a class to easily build colormaps for matplotlib. All
 matplotlib colormaps and some R colormaps are available altogether. The
 plot_colormap method (see below) is handy to quickly pick up a colormaps and
 the test_colormap is useful to see test a new colormap.
 .
 This package installs the library for Python 3.

Package: python3-colormath
Description-md5: 847a6b3eb082b8726cbd55da042b3340
Description-en: Abstracts common color math operations (Python 3 version)
 python-colormath is a simple Python module that spares the user from directly
 dealing with color math. Some features include: Support for a wide range of
 color spaces. A good chunk of the CIE spaces, RGB, HSL/HSV, CMY/CMYK, and many
 more. Conversions between the various color spaces. For example, XYZ to sRGB,
 Spectral to XYZ, CIE Lab to Adobe RGB. Calculation of color difference. All
 CIE Delta E functions, plus CMC. Chromatic adaptations (changing illuminants).
 RGB to hex and vice-versa. 16-bit RGB support.
 .
 This package contains the Python 3 version of the library.

Package: python3-colorspacious
Description-md5: cbec0f67cf742e92c7e676d07803c672
Description-en: library for doing colorspace conversions - Python 3.x
 Colorspacious is a powerful, accurate, and easy-to-use library for
 performing colorspace conversions.
 .
 In addition to the most common standard colorspaces (sRGB, XYZ, xyY,
 CIELab, CIELCh), we also include: color vision deficiency ("color
 blindness") simulations using the approach of Machado et al (2009); a
 complete implementation of `CIECAM02
 <https://en.wikipedia.org/wiki/CIECAM02>`_; and the perceptually
 uniform CAM02-UCS / CAM02-LCD / CAM02-SCD spaces proposed by Luo et al
 (2006).
 .
 This package contains the python 3 version of colorspacious

Package: python3-colour
Description-md5: 767a856b2801a7820f84a0c6a71f5861
Description-en: converts and manipulates various color representation - Python 2.X
 Converts and manipulates common color representation (RGB, HSL, web, ...)
 .
 Features:
 .
  * Damn simple and pythonic way to manipulate color representation
  * Full conversion between RGB, HSL, 6-digit hex, 3-digit hex, human
    color
  * One object (Color) or bunch of single purpose function (rgb2hex,
    hsl2rgb, ...)
  * web format that use the smallest representation between 6-digit
    (e.g. #fa3b2c), 3-digit (e.g. #fbb), fully spelled color
    (e.g. white), following W3C color naming for compatible CSS or HTML
    color specifications.
  * smooth intuitive color scale generation choosing N color gradients.
  * can pick colors for you to identify objects of your application.

Package: python3-columbus
Description-md5: 69bb45332e3872b04be8a253366ebd56
Description-en: error tolerant matching engine - Python bindings
 These are the Python bindings of libcolumbus.

Package: python3-comedilib
Description-md5: f4872bcee3e433496af7e6a549c8896d
Description-en: Python3 wrapper for Comedilib
 Comedilib is a library for using Comedi, a driver interface for data
 acquisition hardware.  See the libcomedi0 package for more information.
 .
 This package provides Python3 bindings to the comedi library.

Package: python3-commando
Description-md5: 70091b6fa7dd873eafff3de064c3a3fa
Description-en: wrapper for argparse to define declaratively (Python 3)
 A simple wrapper for `argparse` that allows commands and arguments to be
 defined declaratively using decorators. Note that this does not support all
 the features of `argparse` yet.
 .
 This package is for Python 3.

Package: python3-commonmark-bkrs
Description-md5: 1e88d84ba1dd673fca21d647f7fe5987
Description-en: Python parser for the CommonMark Markdown spec -- Python 3
 Pure Python port of `jgm''s CommonMark, a Markdown parser and renderer for the
 CommonMark (http://commonmark.org) specification, using only native modules.
 .
 This provides the CommonMark-py Python package as developed by Bibek Kafle and
 Roland Shoemaker (BKRS). After release 0.5.4, their project moved to `Read the
 Docs' (http://readthedocs.org) and major changes broke compatibility. However
 some Python packages may still depend on their last implementation, hence this
 Debian package which is meant to smooth the transition.
 .
 This package installs the library for Python 3.

Package: python3-compizconfig
Description-md5: 3f98afc222bae1c5d3516e661dab3a7d
Description-en: Compizconfig bindings for Python
 Compiz Fusion is the result of the re-unification of the Beryl-project
 and the community around the Compiz Window Manager. It seeks to provide
 an easy and fun-to-use windowing environment, allowing use of the
 graphics hardware to provide impressive effects, amazing speed and
 unrivalled usefulness
 .
 This package provides Python bindings for the compizconfig system.

Package: python3-compreffor
Description-md5: 681f06974558c0a6583034da55976424
Description-en: CFF table subroutinizer for FontTools
 python3-compreffor is a tool to subroutinize a Compact Font Format (CFF)
 OpenType font.

Package: python3-compyle
Description-md5: 2f80dc2cdc6fc3bed5fe6f505745722b
Description-en: Execute a subset of Python on HPC platforms
 ComPyle allows users to execute a restricted subset of Python (almost
 similar to C) on a variety of HPC platforms. Currently it supports
 multi-core execution using Cython, and OpenCL and CUDA for GPU devices.
 .
 Users start with code implemented in a very restricted Python syntax,
 this code is then automatically transpiled, compiled and executed to run
 on either one CPU core, or multiple CPU cores (via OpenMP_) or on a GPU.
 CPy offers source-to-source transpilation, making it a very convenient
 tool for writing HPC libraries.
 .
 Some simple yet powerful parallel utilities are provided which can allow
 you to solve a remarkably large number of interesting HPC problems.
 .
 ComPyle also features JIT transpilation if you wish making it easy to use.

Package: python3-confget
Description-md5: bfefeb1c4da05cd7cab4792610dca443
Description-en: read variables from INI-style configuration files - Python 3.x library
 The confget utility examines a INI-style configuration file and retrieves
 the value of the specified variables from the specified section.
 Its intended use is to let shell scripts use the same INI-style
 configuration files as other programs, to avoid duplication of data.
 .
 The confget utility may retrieve the values of one or more variables,
 list all the variables in a specified section, list only those whose names
 or values match a specified pattern (shell glob or regular expression), or
 check if a variable is present in the file at all.  It has a "shell-quoting"
 output mode that quotes the variable values in a way suitable for passing
 them directly to a Bourne-style shell.
 .
 This package contains the Python 3.x library.

Package: python3-configargparse
Description-md5: be082b402ee3e635a5773878d3418472
Description-en: replacement for argparse with config files and environment variables (Python 3)
 Applications with more than a handful of user-settable options are best
 configured through a combination of command line args, config files,
 hard-coded defaults, and in some cases, environment variables.
 .
 Python’s command line parsing modules such as argparse have very limited
 support for config files and environment variables, so this module extends
 argparse to add these features.
 .
 This is the Python 3 module.

Package: python3-configshell-fb
Description-md5: 70768f9a4714d18e0845f694e542b539
Description-en: Python library for building configuration shells - Python 3
 The configshell-fb package is a Python library that provides a
 framework for building simple but nice CLI-based applications.
 .
 The configshell-fb package is a fork of the "configshell" code
 written by RisingTide Systems. The "-fb" differentiates between the
 original and this version. Please ensure to use either all "fb"
 versions of the targetcli components -- targetcli, rtslib, and
 configshell, or stick with all non-fb versions, since they are no
 longer strictly compatible.
 .
 This package contains the Python 3 module.

Package: python3-confluent-kafka
Description-md5: 1a7a6a22dd36415d73a342e09a8a774c
Description-en: Python client to interact with Kafka - Python 3.x
 python-confluent-kafka wraps the librdkafka C library, providing full Kafka
 protocol support with great performance and reliability.
 .
 This package provides the Python 3.x module.

Package: python3-congress
Description-md5: 26dec86509d4781d24aa3d4d372f0059
Description-en: OpenStack Policy as a Service - Python libraries
 Congress is an OpenStack project to provide policy as a service across any
 collection of cloud services in order to offer governance and compliance for
 dynamic infrastructures.
 .
 This package contains the Python libraries that are part of Congress.

Package: python3-congressclient
Description-md5: 761b0a24df043b562b7667c6a00349b6
Description-en: client for the open policy framework for the cloud - Python 3.x
 Congress is an open policy framework for the cloud. With Congress, a cloud
 operator can declare, monitor, enforce, and audit "policy" in a heterogeneous
 cloud environment. Congress get inputs from a cloud's various cloud services;
 for example in Openstack, Congress fetches information about VMs from Nova,
 and network state from Neutron, etc. Congress then feeds input data from those
 services into its policy engine where Congress verifies that the cloud's
 actual state abides by the cloud operator's policies. Congress is designed to
 work with any policy and any cloud service.
 .
 This package provides a cli and a Python 3.x client module.

Package: python3-consonance
Description-md5: 6bb48b27dfc13a64d5f4be7d0dda26af
Description-en: WhatsApp handshake implementation using Noise Protocol
 Consonance implements WhatsApp's handshake process which makes use of Noise
 Pipes with Curve25519, AES-GCM, and SHA256 from Noise Protocol.

Package: python3-construct
Description-md5: d2387585dc0207b6e7c725371331f607
Description-en: powerful declarative parser (and builder) for binary data
 Construct is a python library for parsing and building of data structures
 (binary or textual).
 .
 It is based on the concept of defining data structures in a declarative
 manner, rather than procedural code: more complex constructs are composed of
 a hierarchy of simpler ones. It's the first library that makes parsing
 fun, instead of the usual headache it is today.

Package: python3-construct.legacy
Description-md5: 6b6ac0a9958e6922beab1377b7bf654f
Description-en: legacy fork of declarative binary data parser/builder (Python 3)
 Construct is a Python library for parsing and building of data
 structures (binary or textual).
 .
 It is based on the concept of defining data structures in a declarative
 manner, rather than procedural code: more complex constructs are composed of
 a hierarchy of simpler ones. It's the first library that makes parsing
 fun, instead of the usual headache it is today.
 .
 This is a legacy fork of the original construct 2.5.3 repackaged for
 compatibility purposes.
 .
 This package installs the library for Python 3.

Package: python3-consul
Description-md5: bbdce0cee7a5c0163169648d384aaed3
Description-en: Python client for Consul.io - Python 3.X
 Consul is a service discovery and configuration tool.
 This package contains the Python client library for Consul

Package: python3-contextlib2
Description-md5: 7c51245809dc6c7977fd9c6adeff3c15
Description-en: Backport and enhancements for the contextlib module - Python 3.x
 contextlib2 is a backport of the standard library's contextlib module to
 earlier Python versions.
 .
 It also serves as a real world proving ground for possible future
 enhancements to the standard library version.
 .
 This package contains the Python 3.x module.

Package: python3-convertdate
Description-md5: 9788f4ac7d6173b9e2aabe05b8d7fdc0
Description-en: Converts between Gregorian dates and other calendar systems. (Python 3)
 Convertdate allows you to generate calendars according to different
 historical or modern systems:
 .
 Available calendars:
 .
  * Bahai
  * Coptic (Alexandrian)
  * French Republican
  * Gregorian
  * Hebrew
  * Indian Civil
  * Islamic
  * Julian
  * Mayan
  * Persian
  * Positivist
  * Mayan
  * ISO
  * Ordinal (day of year)
  * Dublin day count
  * Julian day count
 .
 The holidays module also provides some useful holiday-calculation,
 with a focus on North American and Jewish holidays.
 .
 This package ships the Python 3 version.

Package: python3-cookies
Description-md5: 75eae436e8aea6a875a92d0fa549e7b4
Description-en: Python 3 RFC 6265-compliant cookie parser and renderer
 Python 3 module for HTTP cookies: parsing and rendering 'Cookie:' request
 headers and 'Set-Cookie:' response headers according to RFC 6265,
 and exposing a convenient API for creating and modifying cookies. It can be
 used as a replacement of Python's Cookie.py (aka http.cookies).
 It provides robust and lenient parsing and extensive documentation.

Package: python3-coreapi
Description-md5: 1daddb41ef0416647ded832b3c095ff9
Description-en: Python3 client library for Core API
 Core API is a format-independent Document Object Model for representing
 Web APIs.
 .
 It can be used to represent either Schema or Hypermedia responses, and
 allows one to interact with an API at the layer of an application
 interface, rather than a network interface.
 .
 This package provides a Python3 client for such APIs

Package: python3-corepywrap
Description-md5: 34e3fc13d18482d169e2bddd5c43dcd6
Description-en: library that exports C++ mmCIF accessors to Python3
 The RCSB Core Wrapper library was developed to provide an object-oriented
 application interface to information in mmCIF format. It includes several
 classes for accessing data dictionaries and mmCIF format data files.
 .
 This library provides Python3 bindings for librcsb-core-wrapper.

Package: python3-coreschema
Description-md5: 927b369ba9d6e3f2fe12a2a27ca58c9b
Description-en: Python3 utilities to describe an abstract data schema to coreapi
 Core API is a format-independent Document Object Model for representing Web
 APIs.
 .
 This package provides an abstract Python library to describe classic data
 types (Integers, Strings et al.).
 .
 This package provides the Python3 library.

Package: python3-cov-core
Description-md5: cdc4ac9a11025741a3df07e6a5ba5772
Description-en: plugin core for use by pytest-cov, nose-cov and nose2-cov
 This is a lib package for use by pytest-cov, nose-cov and nose2-cov.
 .
 This is the Python 3 compatible package.

Package: python3-coverage
Description-md5: 18d886573192e9d7cb0890d2f9d788e1
Description-en: code coverage tool for Python 3
 Coverage.py is a tool for measuring code coverage of Python programs.
 It monitors your program, noting which parts of the code have been
 executed, then analyzes the source to identify code that could have
 been executed but was not.
 .
 Coverage measurement is typically used to gauge the effectiveness of
 tests. It can show which parts of your code are being exercised by
 tests, and which are not.
 .
 This package installs the Python version 3 ‘coverage’ library, and the
 ‘python3-coverage’ program to perform and report coverage tests.

Package: python3-coverage-test-runner
Description-md5: f55a5b15cfb58cb3be2398782c912885
Description-en: fail Python program unit tests unless they test everything
 This package contains the Python module CoverageTestRunner, which runs
 unit tests implemented using the unittest module in the Python standard
 library. It runs them using coverage.py (in the python-coverage package)
 and fails the test if all statements are not covered.

Package: python3-cpl
Description-md5: 6b7b644022ad3042621e17acb7ab2833
Description-en: Control pipeline recipes from the ESO (Python3)
 This module can list, configure and execute CPL-based recipes from Python3.
 The input, calibration and output data can be specified as FITS files
 or as astropy fits objects in memory.
 .
 The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that
 provide a comprehensive, efficient and robust software toolkit. It forms a
 basis for the creation of automated astronomical data-reduction tasks.
 .
 One of the features provided by the CPL is the ability to create
 data-reduction algorithms that run as plugins (dynamic libraries). These are
 called "recipes" and are one of the main aspects of the CPL data-reduction
 development environment.

Package: python3-cpuinfo
Description-md5: 0f2703edd85307b3a564f56d874d195a
Description-en: Python module for getting CPU info (Python 3)
 The cpuinfo Python module provides pure Python tools for getting CPU infos.
 .
 py-cpuinfo works without any extra programs or libraries beyond what the
 OS provides. For instance - on Linux based systems - python-cpuinfo retrieves
 information from various sources, including but not restricted to:
 /proc/cpuinfo, dmesg, lscpu, device-tree ibm features flags, etc.
 .
 This package installs the library for Python 3.

Package: python3-cpuset
Description-md5: 1fc7f0ade159d0d44d54dc99fb74dc2b
Description-en: manipluation of cpusets and provides higher level fun - Python 3.x
 Cpuset is a Python application to make using the cpusets facilities in the
 Linux kernel easier. The actual included command is called cset and it allows
 manipulation of cpusets on the system and provides higher level functions such
 as implementation and control of a basic CPU shielding setup.
 .
 This package contains the Python 3.x modules.

Package: python3-cracklib
Description-md5: ca8c9dcb096cb26cf3e203649faae13d
Description-en: Python3 bindings for password checker library cracklib2
 This package provides Python bindings for cracklib. It contains a
 pythonic interface to cracklib's functions and some Python
 convenience functions.
 .
 You should install this package if you want to use or develop Python3
 applications that want to interface with cracklib2.
 .
 This package provides the Python3 version of cracklib2's Python binding.

Package: python3-cram
Description-md5: 31f378cf149822c3fa4abd566e3ba8ce
Description-en: functional testing framework for command line applications - Python 3.x
 Cram tests look like snippets of interactive shell sessions. Cram runs each
 command and compares the command output in the test with the command's actual
 output.
 .
 This package provides the Python 3.x version.

Package: python3-crank
Description-md5: 66153df977c828fa9748f08dc63266cb
Description-en: dispatch mechanism for use across frameworks - Python 3.x
 Generalized Object based Dispatch mechanism for use across frameworks.
 .
 This package contains the Python 3.x module.

Package: python3-crayons
Description-md5: 2398f640f20fc0f02b627592530a92e7
Description-en: Text UI colors for Python
 This module is really simple, it gives you colored strings for terminal usage.
 Included colors are red, green, yellow, blue, black, magenta, cyan, white, and
 normal ( as well as clean and disable).

Package: python3-crcelk
Description-md5: b69ef820d03aa20fe46006e031dc8194
Description-en: Implementation of the CRC algorithm (Python 3)
 This package contains an updated fork of the crcmoose module for recent
 versions of Python. it provides a pure Python implementation of the crc
 algorithm and allows for variants to easily be defined by providing their
 parameters such as width, starting polynomial, etc.
 .
 This package installs the library for Python 3.

Package: python3-crcmod
Description-md5: bfbc4ef260cf256b38490f57443e36a6
Description-en: CRC Generator - Python 3.x
 CRCmod generates objects that compute the Cyclic Redundancy Check (CRC). It
 allows the use of any 8, 16, 24, 32, or 64 bit CRC. You can generate a Python
 function for the selected polynomial or an instance of the Crc class which
 provides the same interface as the md5 and sha modules from the Python
 standard library. A "Crc" class instance can also generate C/C++ source code
 that can be used in another application.
 .
 This package contains the Python 3.x module.

Package: python3-crontab
Description-md5: dfc946083ccce9025861de23af5a87c1
Description-en: Python3 module for reading and writing crontab files
 python-crontab is a Python module for reading and writing crontab files
 and accessing the system crontabs automatically and simply using a direct
 API. This package includes the module for python3.
 .
 Features include:
 .
  - Displaying and modifying system and user crontab files
  - Adding comments to be displayed with jobs
  - Validating jobs
  - Searching for jobs

Package: python3-cryptography-vectors
Description-md5: 6b127cbeb82166031bb9f16e4d7fd164
Description-en: Test vectors for python-cryptography (Python 3)
 The test vectors for the cryptography library are rather large; thus, they are
 broken out into their own package so that users who do not need to run the
 tests do not need to download and install them.
 .
 This package contains the Python 3 version of cryptography_vectors.

Package: python3-cs
Description-md5: 04645dc738442d82c63096b51c87c075
Description-en: simple, yet powerful CloudStack API client (Python 3 module)
 This module is a simple, yet powerful Apache CloudStack API client
 for Python. It is a thin wrapper on top of the CloudStack API and
 hence it is able to adapt to any future version.
 .
 Apache CloudStack is open source software designed to deploy and
 manage large networks of virtual machines.
 .
 This package contains the Python 3 module.

Package: python3-csa
Description-md5: b51264ff9b75c0459202d85a577e9efa
Description-en: Connection-Set Algebra (CSA) implemented in Python
 The CSA library provides elementary connection-sets and operators for
 combining them. It also provides an iteration interface to such
 connection-sets enabling efficient iteration over existing connections
 with a small memory footprint also for very large networks. The CSA
 can be used as a component of neuronal network simulators or other
 tools.

Package: python3-csb
Description-md5: 415ff5635129f116059bc0ce16ada83c
Description-en: Python framework for structural bioinformatics (Python3 version)
 Computational Structural Biology Toolbox (CSB) is a Python class
 library for reading, storing and analyzing biomolecular structures
 in a variety of formats with rich support for statistical analyses.
 .
 CSB is designed for reusability and extensibility and comes with a clean,
 well-documented API following good object-oriented engineering practice.
 .
 This is the Python3 version of the package.

Package: python3-cson
Description-md5: b49d4f63f3c9ccbcaca301c78e6dd4fb
Description-en: python parser for the Coffeescript Object Notation (CSON)
 CoffeeScript-Object-Notation is similar to JSON but used for
 CoffeeScript objects. This package provides a Python parser for CSON.

Package: python3-csound
Description-md5: 9b7bc8ef4ebe7e6284ae09ed39f6d9a9
Description-en: Python bindings for Csound
 This is the Python binding for the Csound API.
 .
 It also contains the icsound and ctcsound modules (these need
 matplotlib and numpy).
 .
 For more information on Csound, see the csound package.

Package: python3-css-parser
Description-md5: 0cf7bfaff880d7e5f61486f1ac2b26bb
Description-en: CSS related utilities (parsing, serialization, etc) for Python 3
 CSS parser provides utilities for parsing, serialising CSS in Python.
 .
 It is a fork of the cssutils-1.0.2, and adds general bug fixes and
 extensions specific to editing and working with ebooks.  Other
 modifications include Python 2.7+ and 3.x support from the same
 codebase.
 .
 This package installs the library for Python 3.

Package: python3-cssmin
Description-md5: 5be89ac7a1cb7d58ce65a880c6a6e035
Description-en: YUI CSS compression algorithm (Python 3 version)
 cssmin is a Python port of the YUI Cascading Style Sheet (CSS) compressor.
 It can be used as a module from other Python programs, including as a filter
 for python3-webassets bundles.
 .
 This package contains the Python 3 modules for cssmin. See the cssmin package
 for the command line tools.

Package: python3-cssselect
Description-md5: 93179ab0777db9e44357a325443f9cbf
Description-en: cssselect parses CSS3 Selectors and translates them to XPath 1.0
 cssselect parses CSS3 Selectors and translate them to XPath 1.0 expressions.
 Such expressions can be used in lxml or another XPath engine to find the
 matching elements in an XML or HTML document.
 .
 This module used to live inside of lxml as lxml.cssselect before it was
 extracted as a stand-alone project.
 .
 This package provides the Python 3.x module.

Package: python3-cssselect2
Description-md5: da3137d8d55e5c20d963cfac52c8e50d
Description-en: implementation of CSS3 Selectors (Python3 version)
 cssselect2 is a straightforward implementation of CSS3 Selectors for markup
 documents (HTML, XML, etc.) that can be read by ElementTree-like parsers
 (including cElementTree, lxml, html5lib, etc.)
 .
 Unlike cssselect, it does not translate selectors to XPath and therefore does
 not have all the correctness corner cases that are hard or impossible to fix in
 cssselect.
 .
 This package contains the Python 3 version of the library.

Package: python3-cssutils
Description-md5: e0c1addb3b3f1aa0436c123a54cffad2
Description-en: Python3 CSS Cascading Style Sheets parser and builder
 Python3 package to parse and build CSS Cascading Style Sheets. DOM only, not
 any rendering facilities! Base upon and partly implements the following
 specifications:
 .
   * CSS 2.1 and CSS 2.1 Errata
   * CSS3 Module: Syntax
   * DOM Level 2 Style CSS
   * DOM Level 2 Style Stylesheets
   * CSSOM
   * MediaQueries
   * Namespaces
   * Selectors

Package: python3-csvkit
Description-md5: 21aa819882dce5bff5a17fb2ab604284
Description-en: public modules for csvkit (Python 3)
 csvkit is a suite of command-line tools for converting to and working with
 CSV, the king of tabular file formats.
 .
 It is inspired by pdftk, gdal and the original csvcut tool by Joe Germuska and
 Aaron Bycoffe.
 .
 If you need to do more complex data analysis than csvkit can handle, use
 agate.
 .
 This package provides the modules for Python 3.

Package: python3-ctdopts
Description-md5: 22c1a145e7d15baa35fa6c5785987e54
Description-en: Gives your Python tools a CTD-compatible interface
 Common Tool Descriptors (CTDs) are XML documents that represent the inputs,
 outputs, parameters of command line tools in a platform-independent way.
 .
 CTDopts is a module for enabling tools with CTD reading/writing, argument
 parsing, validating and manipulating capabilities.

Package: python3-cu2qu
Description-md5: b7354c55a7ea9a696d9c555efc65dc23
Description-en: Cubic-to-quadratic bezier curve conversion (Python 3 Library)
 cu2qu is a library that approximates cubic bezier curves with quadratic
 splines. This has general utility, but is especially useful for generating
 fonts.

Package: python3-curtsies
Description-md5: ced8bc7db1052dc6e3ae1b5d87d1156d
Description-en: library for terminal interaction (Python 3)
 Curtsies is a library for interacting with the terminal. It features
 string-like objects which carry formatting information, per-line fullscreen
 terminal rendering, and keyboard input event reporting.
 .
 This package provides the module for Python 3.

Package: python3-custodia
Description-md5: 941b9cf4cb61f9fb96e1624c114c66e8
Description-en: Python3 bindings to Custodia -- Modular and pluggable Secrets Manager
 Custodia allows one to serve retrieve, manage and store secrets for other
 applications. It is useful for distributed, stateless applications that use
 an image file base approach for instantiation like container based images.
 But it is alaso useful to manage distribution of key material across a
 multiple machines over a network.
 .
 This package includes the bindings for python3.

Package: python3-cutadapt
Description-md5: 4a50c15e19d8a8c7675b15eb31fda489
Description-en: Clean biological sequences from high-throughput sequencing reads (Python 3)
 Cutadapt helps with biological sequence clean tasks by finding the adapter
 or primer sequences in an error-tolerant way.
 It can also modify and filter reads in various ways.
 Adapter sequences can contain IUPAC wildcard characters.
 Also, paired-end reads and even colorspace data is supported.
 If you want, you can also just demultiplex your input data, without removing
 adapter sequences at all.
 .
 This package contains the Python 3 module.

Package: python3-cv-bridge
Description-md5: ebab9b16328c84738737beb80aa50be6
Description-en: cv_bridge ROS package - Python 3 bindings
 This package is part of Robot OS (ROS). It contains the Python interface for
 CvBridge, which converts between ROS Image messages and OpenCV images.
 .
 This package contains Python 3 code.

Package: python3-cvxopt
Description-md5: c0e935b365e4f8df54576f0262ae6c38
Description-en: Python3 package for convex optimization
 CVXOPT is a Python package for convex optimization. It includes
  * Python classes for storing and manipulating dense and sparse matrices
  * an interface to most of the double-precision real and complex BLAS
  * an interface to the dense linear equation solvers and eigenvalue
    routines from LAPACK
  * interfaces to the sparse LU and Cholesky solvers from UMFPACK and
    CHOLMOD.
  * routines for solving convex optimization problems, an interface to
    the linear programming solver in GLPK, and interfaces to the
    linear and quadratic programming solvers in MOSEK
  * a modeling tool for specifying convex piecewise-linear
    optimization problems.
 .
 This package contains the Python 3 module.

Package: python3-cxx-dev
Description-md5: 8a960c46783beb7399f164e4fb8f3a2a
Description-en: Set of facilities to extend Python3 with C++
 PyCXX is a set of C++ facilities to make it easier to write Python3
 extensions. The main advantage is that it greatly increases the
 probability that your program will not make a reference-counting
 errors and will not have to continually check error returns from
 the Python C API.
 .
 This package contains the Python3 headers and sources.

Package: python3-cyarray
Description-md5: 98c02a17a9b78eda31a76d105f9bf235
Description-en: Fast, typed, resizable, Cython array
 The cyarray package provides a fast, typed, re-sizable, Cython array.
 .
 It currently provides the following arrays: ``IntArray, UIntArray,
 LongArray, FloatArray, DoubleArray``.
 .
 All arrays provide for the following operations:
 .
  - access by indexing.
  - access through get/set function.
  - resizing the array.
  - appending values at the end of the array.
  - reserving space for future appends.
  - access to internal data through a numpy array.
 .
 If you are writing Cython code this is a convenient array to use as it
 exposes the raw underlying pointer to the data.
 For example if you use a ``FloatArray`` and access its ``data``
 attribute it will be a ``float*``.
 .
 Each array also provides an interface to its data through a numpy
 array.
 This is done through the ``get_npy_array`` function.
 The returned numpy array can be used just like any other numpy array
 but for the following restrictions:
 .
  - the array may not be resized.
  - references of this array should not be kept.
  - slices of this array may not be made.
 .
 The numpy array may however be copied and used in any manner.

Package: python3-cycler
Description-md5: c35766b7dde677286f33d88f51d3a307
Description-en: composable kwarg iterator (Python 3)
 When using matplotlib and plotting more than one line, it is common to want to
 be able to cycle over one  or more artist styles; but the plotting logic can
 quickly become very involved.
 .
 To address this and allow easy cycling over arbitrary 'kwargs' the `Cycler`
 class, a composable kwarg iterator, was developed.
 .
 This package contains the Python 3 version of Cycler.

Package: python3-cylc
Description-md5: 9ef4e912e8141ef90fb80c088743e18d
Description-en: Python3 libraries for cylc workflow scheduler
 Cylc ("silk") is a suite engine and meta-scheduler that specializes
 in suites of cycling tasks for weather forecasting, climate modeling,
 and related processing (it can also be used for one-off workflows
 of non-cycling tasks, which is a simpler problem).
 .
 This package contains python library code used by cylc.

Package: python3-cymem
Description-md5: 91f25b04bb986bfa836f308afe8ede53
Description-en: cython memory pool for RAII-style memory management
 Cython Memory Helper provides two small memory-management helpers for
 Cython. They make it easy to tie memory to a Python object's life-cycle,
 so that the memory is freed when the object is garbage collected.

Package: python3-cymruwhois
Description-md5: abba410b20109817bae0054d1a44a356
Description-en: Python library for interfacing with the whois.cymru.com service (Python 3)
 Perform lookups by ip address and return ASN,
 Country Code, and Netblock Owner.
 .
 This package installs the library for Python 3.

Package: python3-cypari2
Description-md5: 4ce72cd59d1d99bc5bef7cb61b958cd3
Description-en: Python interface to PARI -- Python 3
 A Python interface to the number theory library libpari.
 .
 This package installs the library for Python 3.

Package: python3-cysignals-bare
Description-md5: afbb524993271470aec9dc8e8dbde564
Description-en: interrupt and signal handling for Cython -- Python 3 - bare
 Since Cython optimizes for speed, Cython normally does not check
 for interrupts. The cysignals package provides mechanisms to handle
 interrupts (and other signals and errors) in Cython code.
 .
 This package installs the library for Python 3; this very library
 was not built against the PARI/GP library.

Package: python3-cysignals-pari
Description-md5: 63fb5446678b135a71690e05cca05973
Description-en: interrupt and signal handling for Cython -- Python 3 - PARI/GP
 Since Cython optimizes for speed, Cython normally does not check
 for interrupts. The cysignals package provides mechanisms to handle
 interrupts (and other signals and errors) in Cython code.
 .
 This package installs the library for Python 3; this very library
 was built against the PARI/GP library.

Package: python3-cytoolz
Description-md5: bb9bdba01debb1de162678fdd9b31679
Description-en: Toolz in Cython: High performance functional utilities
 Cython implementation of the toolz package,  which provides high performance
 utility functions for iterables, functions, and dictionaries.
 .
 toolz is a pure Python package that borrows heavily from contemporary
 functional languages.  It is designed to interoperate seamlessly with other
 libraries including itertools, functools, and third party libraries.
 High performance functional data analysis is possible with builtin types
 like list and dict, and user-defined data structures; and low memory
 usage is achieved by using the iterator protocol and returning iterators
 whenever possible.
 .
 cytoolz implements the same API as toolz.  The main differences are
 that cytoolz is faster (typically 2-5x faster with a few spectacular
 exceptions) and cytoolz offers a C API that is accessible to other
 projects developed in Cython. Since toolz is able to process very
 large (potentially infinite) data sets, the performance increase
 gained by using cytoolz can be significant.

Package: python3-cyvcf2
Description-md5: 67a29a49a39da035177e5b87de6b1a3e
Description-en: VCF parser based on htslib (Python 3)
 This modules allows fast parsing of VCF and BCF including region-queries
 with Python. This is essential for efficient analyses of nucleotide
 variation with Python on high-throughput sequencing data.
 .
 cyvcf2 is a cython wrapper around htslib.  Attributes like
 variant.gt_ref_depths return a numpy array directly so they are
 immediately ready for downstream use.
 .
 This package installs the library for Python 3.

Package: python3-d2to1
Description-md5: 4d9e295347b358c5864b36ee500ee330
Description-en: Python3 support for distutils2-like setup.cfg files as package metadata
 d2to1 (the 'd' is for 'distutils') allows using distutils2-like setup.cfg
 files for a package's metadata with a distribute/setuptools setup.py script.
 It works by providing a distutils2-formatted setup.cfg file containing all
 of a package's metadata, and a very minimal setup.py which will slurp its
 arguments from the setup.cfg.
 .
 This package provides d2to1 on the Python 3 module path. It is complemented
 by python-d2to1.

Package: python3-daemon
Description-md5: dd4f5fa234f0cc361e50158fcd50c8fb
Description-en: library for making a Unix daemon process — Python 3
 ‘daemon’ is a library that assists a Python program to turn itself
 into a well-behaved Unix daemon process, as specified in PEP 3143.
 .
 This library provides a ‘DaemonContext’ class that manages the
 following important tasks for becoming a daemon process:
 .
  * Detach the process into its own process group.
  * Set process environment appropriate for running inside a chroot.
  * Renounce suid and sgid privileges.
  * Close all open file descriptors.
  * Change the working directory, uid, gid, and umask.
  * Set appropriate signal handlers.
  * Open new file descriptors for stdin, stdout, and stderr.
  * Manage a specified PID lock file.
  * Register cleanup functions for at-exit processing.
 .
 This package installs the library for Python 3.

Package: python3-daemonize
Description-md5: 6d81002ab09470fbc52cb27faef78d10
Description-en: enable your code to run as a daemon process - Python 3.x
 Daemonize is a library for writing system daemons in Python. It has some bits
 from http://daemonize.sourceforge.net, and should work under Python 2.x and
 3.x.
 .
 This package contains the Python 3.x module.

Package: python3-daiquiri
Description-md5: de2e9578b8eaba1cb032005e6b510e64
Description-en: Python library to easily setup basic logging functionality
 The daiquiri library provides an easy way to configure logging. It also
 provides some custom formatters and handlers.
 .
 Its promise is to setup a complete standard Python logging system with just
 one function call. Nothing more, nothing less. The interesting features are:
 .
  * Logs to stderr by default.
  * Uses colors if logging to a terminal.
  * File-based logging.
  * Uses program name as the name of the logging file (so providing just a
    directory for logging will work).
  * syslog & journald support.
  * JSON output.
  * Arbitrary key/value context information providing.
  * Capture the warnings emitted by the warnings module.
  * Native logging of any exception.
 .
 This is the Python 3 version of the package.

Package: python3-daphne
Description-md5: 0638aa31000eb5ab780f039aae79d74d
Description-en: Django Channels HTTP/WebSocket server (Python3 version)
 Daphne is a HTTP, HTTP2 and WebSocket protocol server for ASGI, and developed
 to power Django Channels.
 .
 It supports automatic negotiation of protocols; there's no need for URL
 prefixing to determine WebSocket endpoints versus HTTP endpoints.
 .
 This package contains the Python 3 version of the library.

Package: python3-darts.lib.utils.lru
Description-md5: 50dddb8d8372768f1cf743058f3ab822
Description-en: Simple dictionary with LRU behaviour in Python3
 An LRUDict is basically a simple dictionary, which has a defined maximum
 capacity, that may be supplied at construction time, or modified at run-time
 via the capacity property.
 The class SynchronizedLRUDict, which exposes the same interface as plain
 LRUDict, but fully thread-safe.
 .
 This is the Python 3 version of the package.

Package: python3-dask
Description-md5: 8ccf60b157f0812944e076d85706353a
Description-en: Minimal task scheduling abstraction for Python 3
 Dask is a flexible parallel computing library for analytics,
 containing two components.
 .
 1. Dynamic task scheduling optimized for computation. This is similar
 to Airflow, Luigi, Celery, or Make, but optimized for interactive
 computational workloads.
 2. "Big Data" collections like parallel arrays, dataframes, and lists
 that extend common interfaces like NumPy, Pandas, or Python iterators
 to larger-than-memory or distributed environments. These parallel
 collections run on top of the dynamic task schedulers.
 .
 This contains the Python 3 version.

Package: python3-dask-sphinx-theme
Description-md5: 8011197cc03058fd6c8fda3661b936e2
Description-en: Dask theme for Sphinx
 This is the official Sphinx theme for Dask documentation.  It extends the
 sphinx_rtd_theme project, but adds custom styling and a navigation bar to
 additional Dask subprojects.

Package: python3-datalad
Description-md5: 172e4d3174cb1fa69bd02bf832a2e1a3
Description-en: data files management and distribution platform
 DataLad is a data management and distribution platform providing
 access to a wide range of data resources already available online.
 Using git-annex as its backend for data logistics it provides following
 facilities built-in or available through additional extensions
 .
  - command line and Python interfaces for manipulation of collections of
    datasets (install, uninstall, update, publish, save, etc.) and
 separate files/directories (add, get)
  - extract, aggregate, and search through various sources of metadata
    (xmp, EXIF, etc; install datalad-neuroimaging for DICOM, BIDS, NIfTI
    support)
  - crawl web sites to automatically prepare and update git-annex
    repositories with content from online websites, S3, etc (install
    datalad-crawler)
 .
 This package installs the module for Python 3, and Recommends install
 all dependencies necessary for searching and managing datasets, publishing,
 and testing.  If you need base functionality, install without Recommends.

Package: python3-dateparser
Description-md5: aeab91635203464efb6887eb12e535db
Description-en: python parser for human readable dates
 dateparser provides modules to easily parse localized dates in almost
 any string formats commonly found on web pages.
 .
  * Generic parsing of dates in over 200 language locales plus
    numerous formats in a language agnostic fashion.
  * Generic parsing of relative dates like: '1 min ago', '2 weeks
    ago', '3 months, 1 week and 1 day ago', 'in 2 days', 'tomorrow'.
  * Generic parsing of dates with time zones abbreviations or UTC
    offsets like: 'August 14, 2015 EST', 'July 4, 2013 PST', '21 July
    2013 10:15 pm +0500'.
  * Date lookup in longer texts.
  * Support for non-Gregorian calendar systems.
  * Extensive test coverage.

Package: python3-datrie
Description-md5: e633bd737133d7dce3420f1c9dad8f4e
Description-en: Super-fast, efficiently stored Trie for Python 3
 trie variable is a dict-like object that can have unicode keys of certain
 ranges and Python objects as values.
 .
 In addition to implementing the mapping interface, tries facilitate finding
 the items for a given prefix, and vice versa, finding the items whose keys are
 prefixes of a given string. As a common special case, finding the
 longest-prefix item is also supported.
 .
 This package installs the library for Python 3.

Package: python3-dballe
Description-md5: b82d1cfe15f7e7f7561fc7803ace2b92
Description-en: DB-ALL.e Python library for weather research
 DB-All.e is a fast on-disk database where meteorological observed and
 forecast data can be stored, searched, retrieved and updated.
 .
 These are the Python bindings.

Package: python3-dbf
Description-md5: 6aab947e02a3ea4c7eb432b94239f9cf
Description-en: Python module for reading and writing dbf files (Python 3)
 A pure Python 3 module for reading and writing dBase III, FoxPro, and Visual
 FoxPro 6 .dbf files (including memos).
 Text is returned as unicode, and codepage settings in tables are honored.
 .
 Currently not supported: index files, null fields, auto-incrementing fields.
 .
 This package installs the library for Python 3.

Package: python3-dbfread
Description-md5: 9104362b32d975a965e51b8e3f2d94ce
Description-en: read DBF Files with Python
 DBF is a file format used by databases such dBase, Visual FoxPro, and
 FoxBase+. This library reads DBF files and returns the data as native
 Python data types for further processing. It is primarily intended for
 batch jobs and one-off scripts.
 .
 This package provides the modules for Python 3.

Package: python3-dbus-tests
Description-md5: 79ffa70594d155e9f0431e4e0a033937
Description-en: simple interprocess messaging system (Python 3 interface - tests)
 D-Bus is a message bus, used for sending messages between applications.
 .
 This package contains automated tests for the "dbus" Python bindings for
 the reference D-Bus implementation, to be run under Python 3.
 .
 See the dbus description for more information about D-Bus in general.

Package: python3-dbus.mainloop.pyqt5
Description-md5: be523e63db7264bed09b49d691202ca1
Description-en: D-Bus Qt main loop support for Python 3
 The dbus.mainloop.pyqt5 module provides support for Qt main loop for
 applications that use dbus-python. By default Qt uses main loop from
 GLib on UNIX-like systems, not its own one.
 .
 This package contains the Python 3 version of this module.

Package: python3-dbus.mainloop.pyqt5-dbg
Description-md5: 431a24f77c9e2f673bfe287159ae40a0
Description-en: D-Bus Qt main loop support for Python 3 (debug extension)
 The dbus.mainloop.pyqt5 module provides support for Qt main loop for
 applications that use dbus-python. By default Qt uses main loop from
 GLib on UNIX-like systems, not its own one.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-dbusmock
Description-md5: 48d6276267bd1d71ed51b7d2c8ce5f82
Description-en: mock D-Bus objects for tests
 With python-dbusmock you can easily create mock objects on D-Bus. This is
 useful for writing tests for software which talks to D-Bus services such as
 upower, systemd, ConsoleKit, gnome-session or others, and it is hard (or
 impossible without root privileges) to set the state of the real services to
 what you expect in your tests.
 .
 Mock objects look like the real API (or at least the parts that you actually
 need), but they do not actually do anything (or only some action that you
 specify yourself). You can configure their state, behaviour and responses as
 you like in your test, without making any assumptions about the real system
 status.
 .
 You can use this with any programming language, as you can run the mocker as a
 normal program. The actual setup of the mock (adding objects, methods,
 properties, etc.) all happen via D-Bus methods on the
 org.freedesktop.DBus.Mock interface. You just don't have the convenience
 D-Bus launch API that way.

Package: python3-dbussy
Description-md5: d3d9323af21b0371b08f3c34b9e0d670
Description-en: Python 3 language bindings for libdbus
 DBussy is a wrapper around libdbus, written in pure Python. It is
 intended to give access to essentially all the low-level
 functionality of libdbus, which includes the option to run without an
 event loop, or to implement your own custom event loop, or hook into
 asyncio.

Package: python3-dcos
Description-md5: 1554a484d642f522d10e7b11dd268b18
Description-en: DCOS Common Modules - Python 3.x
 The DCOS Command Line Interface (CLI) is a command line utility that provides
 a user-friendly yet powerful way to manage DCOS installations. You can use the
 Mesosphere DCOS command-line interface (CLI) to remotely manage your cluster,
 install software packages, and inspect the cluster state. With the DCOS CLI
 you can:
  * Find and install packages from DCOS Universe and Multiverse.
  * Uninstall DCOS services.
  * Administer the DCOS init process, Marathon.
  * Install and uninstall subcommands that extend and add functionality to the
    DCOS CLI.
 .
 After you install the CLI, you can use it through a bash shell on Unix/Linux
 systems or PowerShell on a Windows system.
 .
 This package contains the Python 3.x module.

Package: python3-deap
Description-md5: f473b1a528f26713ef4c7bfe1acc04fb
Description-en: Distributed Evolutionary Algorithms in Python3
 DEAP is a novel evolutionary computation framework for rapid prototyping and
 testing of ideas. It seeks to make algorithms explicit and data structures
 transparent. It works in perfect harmony with parallelisation mechanism such as
 multiprocessing and SCOOP.
 .
 This package contains the modules for Python3.

Package: python3-debianbts
Description-md5: 9982e1a0a8643a6046dd278e33efcf4a
Description-en: Python interface to Debian's Bug Tracking System
 This package provides the debianbts module, which allows one to query Debian's
 BTS via it's SOAP-interface and returns the answer in Python's native data
 types.

Package: python3-debiancontributors
Description-md5: 6989bfbf68b7b2598286a717abe34dfe
Description-en: Manage submissions to contributors.debian.org (Python3)
 This module contains the code to submit and parse contributions to
 contributors.debian.org.
 .
 It can be used to prepare submissions and submit them to the site,
 and it is used by the site to parse and validate them.
 .
 This package contains the Python3 version of the code.

Package: python3-decouple
Description-md5: 7f5d2f52fb0af410c90795bab78168ee
Description-en: Helps you to organize your Django|Flask settings
 Decouple helps you to organize your settings so that you can
 change parameters without having to redeploy your app.
 .
 It also makes easy for you to:
 .
 store parameters on ini or .env files;
 define comprehensive default values;
 properly convert values to the correct data type;
 have only one configuration module to rule all your instances.
 .
 It was originally designed for Django, but became an independent
 generic tool for separating settings from code.
 .
 Framework Django
 Framework Flask
 .
 This package installs the library for Python 3.

Package: python3-deepdiff
Description-md5: 790561bb097d6598994c7c1d1d001090
Description-en: Deep Difference and search of any Python object/data
 Deep Difference of dictionaries, iterables, strings and other objects. It will
 recursively look for all the changes.
 .
 This package contains the python3 module.

Package: python3-deeptools
Description-md5: 1a4a85e0833bbe6364cf77cb248d6b16
Description-en: platform for exploring biological deep-sequencing data
 Aiming for compatibility with the Galaxy worklfow environment, but
 also independently contributing to a series of workflows in
 genomics, this package provides a series of tools to address
 common tasks for the processing of high-throughput DNA/RNA sequencing.

Package: python3-deeptoolsintervals
Description-md5: 05590a66a3fd7f1cbbeec6b3e0aaa55b
Description-en: handlig GTF-like sequence-associated interal-annotation
 Regions in biological sequences are described (annotated) as genes,
 transcription factor binding sites, low complexity, ... whatever
 biological research brings.
 .
 This package supports the efficienct operation with this information.

Package: python3-defcon
Description-md5: 25b8e07f6f33d5f9431ddf9099957d99
Description-en: UFO based objects for use in font editing applications
 Defcon is a set of UFO based objects optimized for use in font
 editing applications. The objects are built to be lightweight, fast
 and flexible. The objects are very bare-bones and they are not meant
 to be end-all, be-all objects. Rather, they are meant to provide base
 functionality so that you can focus on your application’s behavior,
 not object observing or maintaining cached data. Defcon implements
 UFO3 as described by the UFO font format.

Package: python3-demjson
Description-md5: 99f4b9a6bdab297d077e2e77149e4690
Description-en: encoder, decoder, and lint/validator for JSON in Python (python3)
 demjson is a comprehensive Python language binding to the JSON
 language-independent data encoding standard, which is often used as a
 simpler substitute for XML in AJAX-based web applications.
 .
 This package contains the python3 library and the jsonlint-py3 script.

Package: python3-dendropy
Description-md5: 173120c43a7a9cd56e70e30abc2ae681
Description-en: DendroPy Phylogenetic Computing Library (Python 3)
 DendroPy is a Python library for phylogenetic computing. It provides
 classes and functions for the simulation, processing, and manipulation
 of phylogenetic trees and character matrices, and supports the reading
 and writing of phylogenetic data in a range of formats, such as NEXUS,
 NEWICK, NeXML, Phylip, FASTA, etc. Application scripts for performing
 some useful phylogenetic operations, such as data conversion and tree
 posterior distribution summarization, are also distributed and installed
 as part of the library. DendroPy can thus function as a stand-alone
 library for phylogenetics, a component of more complex multi-library
 phyloinformatic pipelines, or as a scripting “glue” that assembles and
 drives such pipelines.
 .
 This package provides python3 modules.

Package: python3-depinfo
Description-md5: c30fdd2e415f77391a00ccfaf07810c7
Description-en: retrieve and print Python 3 package dependencies
 This is a utility Python package intended for other library packages.
 It provides a function that when called with your package name,
 will print platform and dependency information.

Package: python3-deprecated
Description-md5: b91b8f00487bf2369f774e1b6231d3ce
Description-en: Python decorator for old classes, functions or methods
 The deprecated module provides the @deprecated decorator to deprecate old
 Python classes, functions or methods.
 .
 This package contains the module for Python 3.

Package: python3-derpconf
Description-md5: cf1320cec12fdc0c0769916b55dd5e60
Description-en: Python module to abstract loading configuration files for your app
 Abstracts loading configuration files for your app.

Package: python3-descartes
Description-md5: 0ca7d919894c36af94fb09b8bff38587
Description-en: Matplotlib extension to work with geometric objects (Python3)
 Descartes allows the usage of geometric objects as matplotlib paths
 and patches.
 .
 This is the Python 3 version of the library.

Package: python3-designate-dashboard
Description-md5: 2a0f83e6a565f6bbfa49bedfd356bf0b
Description-en: OpenStack DNS as a Service - Python 3 dashboard plugin
 Designate provides DNSaaS services for OpenStack. It provides a multi-tenant
 REST API for domain & record management. It is Integrated with Keystone for
 authentication, and provides a framework in place to integrate with Nova and
 Neutron notifications (for auto-generated records). Designate supports
 PowerDNS and Bind9 out of the box.
 .
 This package contains the Python 3 OpenStack dashboard plugin.

Package: python3-devpi-common
Description-md5: 7072facad312bede1f6e56d4e379a345
Description-en: PyPI server and packaging/testing/release tool - Common modules
 devpi provides a powerful PyPI-compatible server and complementary
 command-line tool to drive packaging, testing and release activities with
 Python.
 .
 Its main features are:
  - fast PyPI mirror
  - uploading, testing and staging with private indexes
  - index inheritance
  - web interface and search
  - replication
  - import/export
  - Jenkins integration
 .
 This package provides the base modules common to both devpi's server
 and client components.

Package: python3-dexml
Description-md5: a74cd3a9c02f06783aa1f0d6b0e052ee
Description-en: Dead-simple Object-XML mapper for Python3
 Dexml module takes the obvious mapping between XML tags and Python objects
 and lets you capture that as cleanly as possible. Loosely inspired by Django's
 ORM, you write simple class definitions to define the expected structure of
 your XML document.
 .
 This package provides module for python3 series.

Package: python3-dfdatetime
Description-md5: 39c13f575d9c04bbf54263f7edf4be3f
Description-en: Digital Forensics date and time library for Python 3
 dfDateTime, or Digital Forensics date and time, provides date and
 time objects to preserve accuracy and precision.

Package: python3-dfvfs
Description-md5: 9cea8998efa06c2279bd12e538b85ce4
Description-en: Digital Forensics Virtual File System
 The Digital Forensics Virtual File System, provides read-only access
 to file-system objects from various storage media types and file
 formats. The goal of dfVFS is to provide a generic interface for
 accessing file-system objects, for which it uses several back-ends
 that provide the actual implementation of the various storage media
 types, volume systems and file systems.

Package: python3-dfwinreg
Description-md5: 3471d5110b2d4e257bc9845ab5502e08
Description-en: Digital Forensics Windows Registry library for Python 3
 dfWinReg, or Digital Forensics Windows Registry, provides read-only
 access to Windows Registry objects. The goal of dfWinReg is to
 provide a generic interface for accessing Windows Registry objects
 that resembles the Registry key hierarchy as seen on a live Windows
 system.
 .
 This package contains the library for Python 3.

Package: python3-diagnostic-msgs
Description-md5: 1e59c095042195dce44487497939d069
Description-en: Messages relating to Robot OS diagnostic, Python 3 interface
 This package is part of Robot OS (ROS), and contains the messages
 which provide the standardized interface for the diagnostic and
 runtime monitoring systems in ROS. These messages are currently used
 by the https://wiki.ros.org/diagnostics stack, which provides
 libraries for simple ways to set and access the messages, as well as
 automated ways to process the diagnostic data.
 .
 This package contains the generated Python 3 package.

Package: python3-dialog
Description-md5: 0adf5eb5f591989ce658eaa3be1a6a20
Description-en: Python module for making simple terminal-based user interfaces
 pythondialog is a Python wrapper for the dialog utility originally
 written by Savio Lam, and later rewritten by Thomas E. Dickey. Its
 purpose is to provide an easy to use, pythonic and comprehensive Python
 interface to dialog. This allows one to make simple text-mode user
 interfaces on Unix-like systems.
 .
 pythondialog provides dialog boxes (widgets) of many different types.
 Among these, one can find infobox, msgbox, yesno, menu, checklist,
 radiolist, fselect (for selecting a file), rangebox, buildlist,
 treeview, calendar. These widgets, and those not listed here, allow one
 to build nice interfaces quickly and easily. However, it is not possible
 to create new widgets without modifying dialog itself.
 .
 For most widgets, the following settings can be chosen:
  * width, height and other parameters where applicable;
  * two user-defined buttons, referred to as "Help" and "Extra", may be
    added and their labels freely chosen.
 Additionally, a color theme may be defined for all widgets via a
 configuration file.
 .
 pythondialog has good Unicode support. Precisely, this support should be
 as good as allowed by the combination of the Python interpreter, dialog,
 the terminal and the locale settings in use.

Package: python3-diaspy
Description-md5: d88d0f806b7571df22fd95102f501e06
Description-en: unofficial interface to the Diaspora social network (Python 3)
 diaspy is a set of modules which form an Python interface to the
 API of Disapora* social network.
 .
 This package provides the diaspy Python module for Python 3.x.

Package: python3-dib-utils
Description-md5: 27287ff6646827db902ca38b868d04b0
Description-en: Standalone tools related to diskimage-builder - Python 3.x
 These tools were originally part of the diskimage-builder project, but they
 have uses outside of that project as well. Because disk space is at a premium
 in base cloud images, pulling in all of diskimage-builder and its dependencies
 just to use something like dib-run-parts is not desirable. This project allows
 consumers to use the tools while pulling in only one small package with few/no
 additional dependencies.
 .
 This package contains the Python 3.x module.

Package: python3-dicoclient
Description-md5: 9033d25d65d6cbf9d89aa3ec4f2aecee
Description-en: RFC 2229 compliant dictionary client module and shell
 GNU Dico is an implementation of the DICT protocol as defined in RFC 2229.
 It is fully modular: the daemon itself (dicod) provides only the server
 functionality, and knows nothing about database formats. Actual searches
 are performed by functions supplied in loadable modules. A single module
 can serve one or more databases.
 .
 This package contains a Python module that provides a Dico client and a simple
 interactive shell.

Package: python3-dicom
Description-md5: fb23916c143a8e3f4c09f80c90e3faf1
Description-en: transitional package for python3-pydicom
 This is a transitional package. It can safely be removed.

Package: python3-dicompylercore
Description-md5: ff86834afb7d0180a98c27dd562a0bc3
Description-en: core radiation therapy modules for DICOM / DICOM RT used by dicompyler
 This package provides a Python3 library of core radiation therapy
 modules for DICOM / DICOM RT used by dicompyler. It includes:
 .
  * dicomparser: parse DICOM objects in an easy-to-use manner
  * dvh: Pythonic access to dose volume histogram (DVH) data
  * dvhcalc: Independent DVH calculation using DICOM RT Dose & RT Structure Set

Package: python3-dict2xml
Description-md5: 2cdc6c8c010d0f29c8f4c507a90dde54
Description-en: Utility module to convert a Python dictionary to an xml string
 Super Simple Python 3 utility to convert a Python dictionary into an xml
 string.

Package: python3-dicteval
Description-md5: d2b90e86f204354f27a7cc53f29cc312
Description-en: Library to evaluate expressions in dict/json objects
 Module dicteval will evaluate basic types with no modifications but it will
 evaluate dicts (or json objects) containing keys started with = (equal)
 symbol. You can provide a dictionary with context to be used during
 evaluation process. You can also wrap your string content with @{} to force
 a Python eval() with the context provided.

Package: python3-dictobj
Description-md5: 560a84fd98e17637a15cd0463b152476
Description-en: Python3 dictionary where keys can be accessed as instance attributes
 This package extends the functionality of the normal Python dictionary by
 affording the ability to lookup dictionary keys as instance attributes
 (i.e. __getattr__) instead of "indices" (i.e. __getitem__).
 .
 This package contains the Python 3 version.

Package: python3-dicttoxml
Description-md5: f1e6412c3b7809202ad585ffea4203d8
Description-en: Python 3 module for converting dict into a XML string
 dicttoxml is a Python module for a Python dictionary or other native data type
 into a valid XML string.
 .
 Supports item (int, float, long, decimal.Decimal, bool, str, unicode, datetime,
 none and other number-like objects) and collection (list, set, tuple and dict,
 as well as iterable and dict-like objects) data types, with arbitrary nesting
 for the collections. Items with a datetime type are converted to ISO format
 strings. Items with a none type become empty XML elements.
 .
 This is the Python 3 version of the package.

Package: python3-diff-match-patch
Description-md5: 770abe550d8c9c3f852d93081f74b084
Description-en: robust algorithms for synchronizing plain text (Python 3 module)
 The Diff Match and Patch libraries offer robust algorithms to perform the
 operations required for synchronizing plain text.
 .
  * Diff: Compare two blocks of plain text and efficiently return a list of
    differences.
  * Match: Given a search string, find its best fuzzy match in a block of plain
    text. Weighted for both accuracy and location.
  * Patch: Apply a list of patches onto plain text. Use best-effort to apply
    patch even when the underlying text doesn't match.
 .
 This package provides the Python 3 version of the module.

Package: python3-digitalocean
Description-md5: be2dca3a7184a2b285c11b345f33e8db
Description-en: Python bindings for the DigitalOcean API (Python 3)
 python-digitalocean provides Python bindings for the DigitalOcean API allowing
 you to access and perform actions on DigitalOcean resources such Droplets
 (virtual machinces), Images, Volumes, Load Balancers, and more.
 .
 This package installs the library for Python 3.

Package: python3-dijitso
Description-md5: f50b1ed65c8de68cc2de17d9191c3f7c
Description-en: distributed just-in-time building of shared libraries (Python 3)
 Dijitso was written to improve a core component of the FEniCS
 framework, namely the just in time compilation of C++ code that is
 generated from Python modules, but is only called from within a C++
 library, and thus do not need wrapping in a nice Python interface.
 .
 The main approach of dijitso is to use ctypes to import the dynamic
 shared library directly with no attempt at wrapping it in a Python
 interface.
 .
 As long as the compiled code can provide a simple factory function to
 a class implementing a predefined C++ interface, there is no limit to
 the complexity of that interface as long as it is only called from
 C++ code, If you want a Python interface to your generated code,
 dijitso is probably not the answer.
 .
 Although dijitso serves a very specific role within the FEniCS
 project, it does not depend on other FEniCS components.
 .
 The parallel support depends on the mpi4py interface, although mpi4py
 is not actually imported within the dijitso module so it would be
 possible to mock the communicator object with a similar interface.
 .
 This package installs the library for Python 3.

Package: python3-dill
Description-md5: 2d9c5f0002e5b56dddd6ade65a4e9a06
Description-en: Serialize all of Python 3 (almost)
 Dill extends Python 3's 'pickle' module for serializing and
 de-serializing Python 3 objects to the majority of the built-in Python 3 types.
 Dill provides the user the same interface as the 'pickle' module, and also
 includes some additional features. In addition to pickling Python 3 objects,
 dill provides the ability to save the state of an interpreter session in a
 single command.
 Dill can be used to store Python 3 objects to a file, but the primary usage is
 to send Python 3 objects across the network as a byte stream.

Package: python3-dirspec
Description-md5: f9dfa9b4ef0d949089a8a7deed841988
Description-en: Python User Folders Specification Library
 A library for handling the XDG Base Directory specification, and the
 XDG User Directories for music, videos, etc…
 .
 This package contains the Python 3.x version of the library.

Package: python3-diskimage-builder
Description-md5: d421ab8e976dfcde02b6263ad7c14e62
Description-en: image building tools for Openstack - Python 3.x
 Diskimage-builder tools are the components of TripleO that are responsible for
 building disk images. It has the core functionality for building disk images,
 filesystem images and ramdisk images for use with OpenStack (both virtual and
 bare metal). The core functionality includes the various operating system
 specific modules for disk/filesystem images, and deployment and hardware
 inventory ramdisks.
 .
 The TripleO project also develops elements that can be used to deploy
 OpenStack itself. While users and operators can manually script or put
 together ram disks and disk images, mature automation makes customisation and
 testing easier.
 .
 This package provides the Python 3.x version.

Package: python3-dissononce
Description-md5: eb720b3a893474303bbc4727ebd30fd9
Description-en: Python implementation for Noise Protocol Framework
 Dissononce is a Python implementation for Noise Protocol Framework. A main goal
 of this project is to provide a simple, easy to read and understand practical
 reference for Noise enthusiasts, implementers and users.
 Therefore this project attempts to stick to the following guidelines:
  - Syntax that resembles as closely as possible definitions and pseudo code
    mentioned in Noise Specs.
  - As minimal Python "magic" as possible (explicit is better than implicit).
  - Code that is simple, easy to read, follow and understand.
  - Flexibility to easily adopt future changes to Noise specifications.
  - Deviations from Noise Specs
    (additions, opinionated specs and API changes..etc) are  isolated from
    original implementation/API and are optional to use.
  - Deviations from Noise Specs do not influence adjustments to original
    implementation/API that conflict with Noise Specs.

Package: python3-distlib
Description-md5: 44daa2f9de8460fc76b9e2c3c64334dc
Description-en: low-level components of python distutils2/packaging
 Distlib is a library which implements low-level functions that relate to
 packaging and distribution of Python software. It is intended to be used
 as the basis for third-party packaging tools.

Package: python3-distorm3
Description-md5: 7393996e9c1d4317bad2d689887b6967
Description-en: powerful disassembler library for x86/AMD64 binary streams (Python3 bindings)
 diStorm3 is a binary stream disassembler library project.
 .
 With diStorm3, no more parsing strings is needed. diStorm3 is really a
 decomposer, which means it takes an instruction and returns a binary
 structure which describes it rather than static text. This is great for
 advanced binary code analysis.
 .
 This package provides the Python3 bindings.

Package: python3-distributed
Description-md5: 0d4f20ab65ec461e8daf7c72aa3cfc85
Description-en: Dask Distributed computing for Python 3
 Dask.distributed is a lightweight library for distributed computing in
 Python. It extends both the concurrent.futures and dask APIs to
 moderate sized clusters.
 .
 This contains the Python 3 version

Package: python3-distutils-extra
Description-md5: bd9e232ec557429c1c7dd0062eaa54e1
Description-en: enhancements to the Python3 build system
 This package provides additional functions to Python's distutils and
 setuptools. It allows you to easily integrate gettext, icons and GNOME
 documentation into your build and installation process.
 .
 It also provides an "auto" module which provides a "do what I mean" automatic
 build system; if you stick to the conventions, you do not need to write
 setup.cfg, POTFILES.in, or MANIFEST.in, and setup.py just needs to have the
 package metadata (such as project name and version).

Package: python3-dj-database-url
Description-md5: 7ace7b7e417cb44cee75b7d3f66937d9
Description-en: Django utility to utilize a DB env var (Python 3)
 This simple Django utility allows you to utilize the 12factor
 inspired DATABASE_URL environment variable to configure your
 Django application.
 .
 The dj_database_url.config method returns a Django database
 connection dictionary, populated with all the data specified
 in your URL. There is also a conn_max_age argument to easily
 enable Django’s connection pool.
 .
 If you’d rather not use an environment variable, you can pass
 a URL in directly instead to dj_database_url.parse.
 .
 This package installs the library for Python 3.

Package: python3-dj-static
Description-md5: 26659db4fff4a6c006295e81839dc366
Description-en: Serve production static files with Django (Python 3)
 This is a simple Django middleware utility that allows you to
 properly serve static assets from production with a WSGI server
 like Gunicorn.
 .
 Django doesn’t recommend the production use of its static file
 server for a number of reasons. There exists, however, a lovely
 WSGI application aptly named Static.
 .
 It is suitable for the production use of static file serving,
 unlike Django.
 .
 This package installs the library for Python 3.

Package: python3-django-adminsortable
Description-md5: bcef780cea1fda24650e3897329b6790
Description-en: drag-and-drop ordering for objects in Django Admin (Python 3)
 Generic drag-and-drop ordering for objects and tabular inlines in Django Admin.
 .
 This package installs the library for Python 3.

Package: python3-django-allauth
Description-md5: 6f721cb61d6e1e5aef73f1b63175f20d
Description-en: Django app for local and social authentication (Python 3 version)
 Integrated set of Django applications addressing authentication,
 registration, account management as well as 3rd party (social)
 account authentication with the following supported providers:
 .
  * Amazon (OAuth2)
  * AngelList (OAuth2)
  * Bitly (OAuth2)
  * Dropbox (OAuth)
  * Facebook (both OAuth2 and JS SDK)
  * Feedly (OAuth2)
  * Github (OAuth2)
  * Google (OAuth2)
  * Instagram (OAuth2)
  * LinkedIn (OAuth, OAuth2)
  * OpenId
  * Paypal (OAuth2)
  * Persona
  * QuickBooks
  * SoundCloud (OAuth2)
  * Stack Exchange (OAuth2)
  * Telegram
  * Twitch (OAuth2)
  * Twitter (OAuth)
  * Vimeo (OAuth)
  * VK (OAuth2)
  * Weibo (OAuth2)
 .
 This package contains the Python 3 version of the library.

Package: python3-django-assets
Description-md5: 9944accda84f9d7ed4328ce8d91f5de0
Description-en: integrate webassets into Django applications (Python3 version)
 django-assets will automatically merge and compress bundle’s source files the
 first time a template including them is rendered, and will automatically update
 the compressed file every time a source file changes. If debugging is enabled,
 each source file will be outputted individually instead.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-auth-ldap
Description-md5: 179b66a3ddeffe0f9523791ab4633f67
Description-en: Django LDAP authentication backend (Python3 version)
 Django authentication backend that authenticates against an LDAP
 service. Configuration can be as simple as a single distinguished
 name template, but there are many rich configuration options for
 working with users, groups, and permissions.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-auto-one-to-one
Description-md5: fdceb708775a323ffa8c96d143f4b8c5
Description-en: Django module to connect child model instances to parent class
 This module for the Django web development framework automatically creates
 "child" model instances when a parent class is created.
 .
 This is very useful when associating more information with one of the Django
 internal models (such as the built-in User) but also to separate concerns
 across an entire Django project.

Package: python3-django-axes
Description-md5: ef635390c060107c96b3280dc901fb2e
Description-en: Keep track of failed login attempts in Django-powered sites
 django-axes is a very simple way for you to keep track of failed login
 attempts, both for the Django admin and for the rest of your site.
 .
 To start using django-axes, just add "axes" to your INSTALLED_APPS.

Package: python3-django-axes-doc
Description-md5: 43cba31ce5df991b78936a4201d0db2f
Description-en: Keep track of failed login attempts in Django - documentation
 django-axes is a very simple way for you to keep track of failed login
 attempts, both for the Django admin and for the rest of your site.
 .
 This package contains the Python module documentation.

Package: python3-django-babel
Description-md5: 1020a37b7c3218428ace62421f670fb4
Description-en: Utilities for using Babel in Django - Python 3.x
 This package contains various utilities for integration of Babel into the
 Django web framework:
  * A message extraction plugin for Django templates.
  * A middleware class that adds the Babel Locale object to requests.
  * A set of template tags for date and number formatting.
 .
 Babel provides a message extraction framework similar to GNU xgettext, but
 more extensible and geared towards Python applications. While Django does
 provide wrapper scripts for making the use of xgettext more convenient, the
 extraction functionality is rather limited. For example, you can't use
 template files with an extension other than .html, and everything needs to be
 in your project package directory.
 .
 This package contains the Python 3.x module.

Package: python3-django-bitfield
Description-md5: 5e873d5288caa3309681e00414c80746
Description-en: Django module implementing BitFields (Python3 version)
 django-bitfield provides a custom field which allows various bits to be
 stored inside one fixed-width BigIntegerField.
 .
 The custom field provides syntatic sugar for accessing those flags
 easily from a Django application.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-braces
Description-md5: 6ff40b839bb87064d3f76136ebee5a4d
Description-en: reusable, generic mixins for Django class based views (Python3 version)
 Django-braces provides a set of reusable, generic mixins for the Django
 class based views system. The mixins can be used for access control, form
 handling and other purposes.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-captcha
Description-md5: 521149b432323f70661598fd77b7d078
Description-en: Django Simple Captcha Django application
 Django Simple Captcha is an extremely simple, yet highly customizable Django
 application to add captcha images to any Django form.

Package: python3-django-cas-server
Description-md5: 2727bbf3b54c6a0c1896a4717861a81d
Description-en: CAS server library for Django
 CAS Server is a Django application implementing the Central Authentication
 Service (CAS) Protocol version 3.0 specification.
 .
 This package installs the library for Python 3.

Package: python3-django-cas-server-doc
Description-md5: 0960c2e25d56e353a967d058773357dc
Description-en: CAS server library for Django (documentation)
 CAS Server is a Django application implementing the Central Authentication
 Service (CAS) Protocol version 3.0 specification.
 .
 This package installs the library documentation.

Package: python3-django-casclient
Description-md5: 1dd43a3abd07515ea9a32573eb723e4a
Description-en: CAS client library for Django, K-State's version (Python 3)
 Django-cas is a Central Authentication Service (CAS) client library for
 Django, offering single sign-on web authentication.  It is K-State's fork of
 the original and includes Edmund Crewe's proxy ticket patch and several
 additional features as well as features merged from KTHse's django-cas2.
 .
 This package installs the library for Python 3.

Package: python3-django-celery-beat
Description-md5: b07a942c8ef9114d94be77c5cfe00be5
Description-en: Database-backed Periodic Tasks (Documentation)
 This extension enables you to store the periodic task schedule in the database.
 .
 The periodic tasks can be managed from the Django Admin interface, where you
 can create, edit and delete periodic tasks and how often they should run.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-celery-haystack
Description-md5: 1b4628fc1dac1ecdb750dcccc9a17cf7
Description-en: utilize Celery for automatic haystack index updates (Python3 version)
 This Django app allows you to utilize Celery for automatically updating and
 deleting objects in a Haystack search index. It provides a SearchIndex
 subclass and a signal processor to trigger the updates.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-celery-results
Description-md5: d825f6d4da3e96e5eecc68e9a8a606d9
Description-en: Celery result backends for Django (Python3 version)
 This extension enables you to store Celery task results using the Django ORM.
 .
 It defines a single model (django_celery_results.models.TaskResult) used to
 store task results, and you can query this database table like any other Django
 model.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-channels
Description-md5: 67313aae23eab60aa74abc896cd58c98
Description-en: Developer-friendly asynchrony for Django (Python3 version)
 Channels is a project to make Django able to handle more than just plain HTTP
 requests, including WebSockets and HTTP2, as well as the ability to run code
 after a response has been sent for things like thumbnailing or background
 calculation.
 .
 It’s an easy-to-understand extension of the Django view model, and easy to
 integrate and deploy.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-classy-tags
Description-md5: 3253a01d01c439dbaf8d4d3a0637f604
Description-en: Class based template tags for Django projects (Python3 version)
 django-classy-tags is an approach at making writing template tags in
 Django easier, shorter and more fun. It provides an extensible
 argument parser which reduces most of the boiler plate code you
 usually have to write when coding custom template tags.
 .
 django-classy-tags does no magic by design. Thus you will not get
 automatic registering/loading of your tags like other solutions
 provide. You will not get automatic argument guessing from function
 signatures but rather you have to declare what arguments your tag
 accepts. There is no magic in your template tag class either,
 it’s just a subclass of django.template.Node which invokes a parser
 class to parse the arguments when it’s initialized and resolves those
 arguments into keyword arguments in it’s render method and calls its
 render_tag method with those keyword arguments.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-contact-form
Description-md5: 8842b1e90c001d9613b8a4d966e90617
Description-en: extensible contact-form application for Django (Python 3)
 This is a reusable application which simplifies including a contact form within
 Django, the Python web framework. It provides a basic contact form which, once
 appropriate templates have been written, will email site administrators when
 the form is submitted. The form and view used are designed to be extensible and
 allow for easy adaptation to more complex needs.
 .
 This package installs the library for Python 3.

Package: python3-django-cors-headers
Description-md5: 22f7b11dbf653bc5e174e00fe88a459c
Description-en: Django application for handling CORS.
 A Django application for handling the server headers required for Cross-Origin
 Resource Sharing (CORS).
 .
 This package contains the Python 3 version.

Package: python3-django-countries
Description-md5: a211f034ba539ea0e5dcd2c3e9edf86c
Description-en: provides a country field for Django models (Python3 version)
 A Django application which provides all ISO 3166-1 countries as choices for
 use with forms, and a country field for models.
 It also includes a small template filter for getting country flags by
 ISO 3166-1 alpha-2 country code.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-crispy-forms
Description-md5: 2d057b6635fd8846a28bfea5e4676294
Description-en: app for Django providing elegant form rendering (Python3 version)
 django-crispy-forms provides you with a |crispy filter and {% crispy %} tag
 that will let you control the rendering behavior of your Django forms in a
 very elegant and DRY way. Have full control without writing custom form
 templates. All this without breaking the standard way of doing things in
 Django, so it plays nice with any other form application.
 .
 Django-crispy-forms supports several frontend frameworks, such as Twitter
 Bootstrap (versions 2 and 3), Uni-form and Foundation. You can also easily
 adapt your custom company's one, creating your own, see the docs for more
 information. You can easily switch among them using CRISPY_TEMPLATE_PACK
 setting variable.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-csp
Description-md5: fe874c26157778c6fa26349f7f6faf3d
Description-en: Content Security Policy for Django (Python3 version)
 django-csp adds Content-Security-Policy headers to Django applications. It
 provides a middleware that takes care of setting the correct header values and
 has several configuration settings to create custom policies.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-dbconn-retry
Description-md5: e6f9867f49ff9821aa4e414064f0370a
Description-en: reconnect on a failed database (Python3 version)
 This library monkeypatches django.db.backends.base.BaseDatabaseWrapper so that
 when a database operation fails because the underlying TCP connection was
 already closed, it first tried to reconnect, instead of immediately raising an
 OperationException.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-debug-toolbar
Description-md5: 8db7c179ca37bbd04ae75e2dac97140d
Description-en: Embedded debugging toolbar for Django projects (Python 3 version)
 The Django Debug Toolbar is a plug-in Django application that displays a set
 of panels which conveys information about the current request at the top of the
 rendered page. It can show:
 .
   * Total time taken to process request
   * Request variables, including GET, POST, cookie, and session information.
   * All SQL queries performed during response creation and processing,
     including timing and 'EXPLAIN' output for each query in conjunction with
     the total time spent performing SQL queries. If python-pygments is
     installed, the SQL syntax is highlighted.
   * Current Django version
   * HTTP headers
   * Total requests, time, hits and misses of the cache.
   * Which templates were rendered the context provided to each template.
 .
 This package contains the Python 3 version.

Package: python3-django-dirtyfields
Description-md5: a6bd1cfee6b719cbe2f993bbc3b167a3
Description-en: package for tracking dirty fields on a Django model instance (Python 3)
 django-dirtyfields is a package for tracking dirty fields on a Django model
 instance, where dirty means that field in-memory and database values are
 different.
 .
 This is the Python 3 version of the package.

Package: python3-django-downloadview
Description-md5: 9a4a618ff4df998d873abcb8f01ba0a8
Description-en: efficient static file serving with Django (Python3 version)
 django-downloadview makes it easy to serve files with Django:
 .
  * manage files with Django (permissions, filters, generation, ...);
  * files are stored somewhere or generated somehow (local filesystem,
    remote storage, memory...);
  * django-downloadview helps to stream the files with very little
    code;
  * django-downloadview helps to improve performances with reverse
    proxies, via mechanisms such as Nginx’s X-Accel or Apache’s
    X-Sendfile.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-environ
Description-md5: e32852047a907011809fe1e539a709b5
Description-en: Simplified environment variables for Django
 Simplifies configuring key aspects of Django Applications through
 environment variables. This package contains the Python3 version.

Package: python3-django-etcd-settings
Description-md5: 8af039576ff6bcb00de6bd1fed7e4581
Description-en: config manager for Django apps based on ETCD (Python3 version)
 This application allows you to extend the Django settings as configured in the
 settings.py file with:
 .
  * Environment dependent values
  * Values in different config sets, identified by name, which can be selected
    on a 'per request' basis using the X-DYNAMIC-SETTINGS HTTP header
 .
 Both the added configuration values and config sets would live at ETCD,
 which will be continuously monitored by this library in order to
 transparently update your app settings upon changes.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-extensions
Description-md5: 1c6908ff76736a299c37fb2a34aaa619
Description-en: Useful extensions for Django projects (Python 3 version)
 This is a collection of useful third-party tools for projects that use the
 Django web development framework. It provides the management commands:
 .
  * runserver_plus - a "runserver" that uses the interactive Werkzeug debugger
  * runprofileserver - starts runserver with profiling tools enabled
  * shell_plus - similar to the built-in "shell" but autoloads all models
  * graph_models - creates a GraphViz dot file of your model arrangement
  * describe_form - generate template form definitions for a model
  * export_emails - export the addresses of your users in many formats
  * print_user_for_session - print the user information from a session key
  * create_jobs/runjob/runjobs - manage scheduled maintenance jobs
  * (plus many more)
 .
 python-django-extensions also includes a number of custom fields and abstract
 models, including ones that manage created and updated times automatically.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-extra-views
Description-md5: 1bb72ecfb19212fdff1dad0d6d7dea80
Description-en: Complementary class-based generic views for Django (Python3 version)
 Django Extra Views provides a number of additional class-based generic views to
 complement those provide by Django itself.
 .
 Features:
  * FormSet and ModelFormSet views - The formset equivalents of FormView and
    ModelFormView.
  * InlineFormSetView - Lets you edit formsets related to a model (uses
    inlineformset_factory).
  * CreateWithInlinesView and UpdateWithInlinesView - Lets you edit a model and
    its relations.
  * GenericInlineFormSetView, the equivalent of InlineFormSetView but for
    GenericForeignKeys.
  * Support for generic inlines in CreateWithInlinesView and
    UpdateWithInlinesView.
  * Support for naming each inline or formset with NamedFormsetsMixin.
  * SortableListMixin - Generic mixin for sorting functionality in your views.
  * SearchableListMixin - Generic mixin for search functionality in your views.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-filters
Description-md5: dfbb8a57e8b099f3ace19c94901d0269
Description-en: filter Django QuerySets based on user selections
 Django-filter is a generic, reusable application to alleviate some of the more
 mundane bits of view code. Specifically allowing the users to filter down a
 queryset based on a model’s fields and displaying the form to let them do this.

Package: python3-django-formtools
Description-md5: ee0c8ca5124a45eaedae7a9ff5e28e9f
Description-en: set of high-level abstractions for Django forms - Python 3.x
 Django's formtools is a set of high-level abstractions for Django forms.
 Currently for form previews and multi-step forms.
 .
 This code used to live in Django proper: in django.contrib.formtools. But it
 was separated into a standalone package in Django 1.8 to keep the framework's
 core clean.
 .
 This package contains the Python 3.x module.

Package: python3-django-fsm
Description-md5: 54439859d594832fb9e47dd43d07c8e8
Description-en: Django friendly finite state machine support (Python3 version)
 django-fsm adds declarative states management for django models. Instead of
 adding some state field to a django model, and managing its values by hand,
 you could use FSMState field and mark model methods with the transition
 decorator. Your method could contain the side-effects of the state change.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-fsm-admin
Description-md5: 819c09bd3fe72ed83f36c57e44954a96
Description-en: django-fsm state transitions for Django admin (Python3 version)
 Mixin and template tags to integrate django-fsm transitions into the django
 admin. It assumes that your workflow state field is named state but you can
 override it or add additional workflow state fields with the attribute
 fsm_field.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-gravatar2
Description-md5: 3ebf39b98e9f41fad75735bb4367e530
Description-en: Python3 library that provides essential Gravatar support
 Python3 django library that provides support for Gravatars.
 .
 It features:
   * Helper methods for constructing a gravatar url and checking an email
     for an existing gravatar
   * Templatetags for generating a gravatar url or gravatar <img> tag.

Package: python3-django-guardian
Description-md5: a7fedf4f97e40b754ea45a0af96244f5
Description-en: per object permissions of django for Python3
 For Python3.
 Implementation of per object permissions as authorization backend which is
 supported since Django 1.2. It provides features as followings;
  - Object permissions for Django_
  - AnonymousUser support
  - High level API
  - Heavely tested
  - Django's admin integration
  - Decorators

Package: python3-django-haystack
Description-md5: f3b3d7d1d35e1bc30ca1cc9c9387b533
Description-en: modular search for Django (Python version)
 Haystack provides modular search for Django. It features a unified, familiar
 API that allows you to plug in different search backends (such as Solr,
 Elasticsearch, Whoosh, Xapian, etc.) without having to modify your code.
 .
 It plays nicely with third-party app without needing to modify the source and
 supports advanced features like faceting, More Like This, highlighting, spatial
 search and spelling suggestions.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-housekeeping
Description-md5: 523c53911442e86bb1181841ebe43fdd
Description-en: Pluggable housekeeping framework for Django sites in Python 3
 django\_housekeeping provides a management command that finds and run
 tasks defined by your Django apps.
 .
 Tasks can declare dependencies on each other, run in multiple stages
 and provide infrastructure that other tasks can use.
 .
 This is the Python 3 version of the package.

Package: python3-django-hvad
Description-md5: cf94ffb9ef36d92e52aa1be561c94131
Description-en: Painless translations in Django
 Painless translations in django, using the regular ORM. Integrates
 easily into existing projects and apps. Easy convertible from
 django-multilingual-ng.
 .
 This project adds support for model translations in Django. It is
 designed to be unobtrusive, efficient and reliable. On the technical
 side, it uses an automatically created Translations Model to store
 translatable fields in arbitrary languages with a foreign key to the
 main model, enabling fast queries.
 .
 Started in 2011, hvad has grown mature and is now used on large scale
 applications.

Package: python3-django-hyperkitty
Description-md5: 65a1aef453e1d681adaf79f986f0b50c
Description-en: Web user interface to access GNU Mailman3 archives
 The hyperkitty Django app provides a web user interface
 to access GNU Mailman3 archives, and manage it. This
 interface uses django, and requires some configuration.

Package: python3-django-imagekit
Description-md5: bc7b5d465577ed8d6e5e5d5bd17afa3b
Description-en: Automated image processing for Django (Python3 version)
 ImageKit is a Django app for processing images. Need a thumbnail? A
 black-and-white version of a user-uploaded image? ImageKit will make them for
 you. If you need to programmatically generate one image from another, you need
 ImageKit.
 .
 ImageKit comes with a bunch of image processors for common tasks like resizing
 and cropping, but you can also create your own.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-impersonate
Description-md5: 68d2f2d3f9136c76464914918d2fb8e1
Description-en: Django module for superusers to impersonate accounts (Python 3)
 Simple Django application to allow superusers to "impersonate" other
 non-superuser accounts.
 .
 This is the Python 3 version of the package.

Package: python3-django-ipware
Description-md5: ee26e51030c0ef54c9726adfa0f15be9
Description-en: Django app to retrieve client's IP address
 django-ipware can be used in a view or a middleware where the
 `request` object is available. It will attempt to get the client's IP
 address, and determine if that IP address is publicly routable on the
 Internet.

Package: python3-django-jinja
Description-md5: 611ab1eacc13ebe80d423778729edd19
Description-en: Jinja2 templating language integrated in Django (Python 3 version)
 Jinja2 provides certain advantages over the native system of Django, for
 example, explicit calls to callable from templates, has better performance
 and has a plugin system
 .
 Features:
 .
    * Auto-load templatetags compatible with Jinja2 the same way as Django.
    * Django templates can coexist with Jinja2 templates without any problems.
      It works as middleware, intercepts Jinja templates by file path pattern.
    * Django template filters and tags can mostly be used in Jinja2 templates.
    * I18n subsystem adapted for Jinja2 (makemessages now collects messages
      from Jinja templates)
    * jinja2 bytecode cache adapted for using django's cache subsystem.
    * Support for django context processors.

Package: python3-django-js-asset
Description-md5: be79b54042e7c670cb6fca1d36846a4c
Description-en: script tag with additional attributes for django.forms.Media
 This package provides a way of inserting script tags into Django templates
 that provide extra attributes such as `id` and `data-*` for CSP-compatible
 data injection.

Package: python3-django-js-reverse
Description-md5: 4347f37f57f5eb0e10df1073cd00aa32
Description-en: Javascript url handling for Django that doesn't hurt
 Django JS Reverse is a small django app that makes url handling of
 named urls <https://docs.djangoproject.com="" en="" dev="" topics=""
 http="" urls="" #naming-url-patterns="">` in javascript easy and
 non-annoying.

Package: python3-django-jsonfield
Description-md5: 6924d720d8ccab569d5deb383dbfd080
Description-en: JSON field for Django models (Python 3)
 This package provides a Django field (jsonfield.JSONField) that you can use to
 store arbitrary JSON structures in a simple text field at the database level.
 .
 Accessing the field returns a decoded object (list, dict, string).
 .
 In forms, it appears like a TextField but the input is validated to be valid
 JSON.
 .
 This is the Python 3 version of the package.

Package: python3-django-ldapdb
Description-md5: 0f2721f9ab7af7b887ce3738917509d9
Description-en: Python3 LDAP database backend for Django
 django-ldapdb is an LDAP database backend for Django, allowing one to
 manipulate LDAP entries through Django models.
 .
 It supports most of the same APIs as a classical Django model.
 .
 This package provides the Python3 library.

Package: python3-django-macaddress
Description-md5: 71f5fdc23818720abb9a9a4e70be4545
Description-en: MAC address model and form fields for Django apps
 MAC Address model and form fields for Django
 .
 netaddr is used to parse and validate the MAC address.  The tests aren't
 complete yet.
 .
 This is the Python3 package.

Package: python3-django-mailer
Description-md5: c707a5e8c758856ed87531da7fb7b5b9
Description-en: easy to use django app for queing the sending of email
 A reusable Django app for queuing the sending of email.

Package: python3-django-mailman3
Description-md5: 74f02444987d0827ae16b2634f62fe4d
Description-en: Django library to help interaction with Mailman3 (Python 3 version)
 This package contains libraries and templates for Django-based interfaces
 interacting with Mailman.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-maintenancemode
Description-md5: 766da3b8d20abe04e230d3be6f387ed0
Description-en: django module that sets a site down for maintenance (Python 3)
 Django Maintenancemode is a middleware that allows you to temporarily
 shutdown your site for maintenance work.
 .
 Logged in users having staff credentials can still fully use the site as can
 users visiting the site from an IP address defined in Django's
 ``INTERNAL_IPS``.
 .
 This is the Python 3 version of the package.

Package: python3-django-markupfield
Description-md5: acffeb1af70dfb20818066ce22c2dee3
Description-en: custom Django field for easy use of markup in text fields (Python3 version)
 An implementation of a custom MarkupField for Django. A MarkupField is in
 essence a TextField with an associated markup type. The field also caches
 its rendered value.
 .
 It supports these markup types by default:
  * html: allows HTML, potentially unsafe
  * plain: plain text markup, calls urlize and replaces text with linebreaks
 And these markups if the appropriate Python module is installed:
  * markdown: default markdown renderer (requires python-markdown)
  * restructuredtext: default ReST renderer (requires python-docutils)
  * textile: default textile renderer (requires python-textile)
 .
 This package contains the Python 3 version of the library.

Package: python3-django-measurement
Description-md5: 51b6e6a5bd0afb6532606e4defba20a3
Description-en: fields and classes for handling measurements for Django
 This package provides a model field and an admin interface for storing
 measurements, as provided by python3-measurement, in Django models. This
 allows one to easily use, manipulate, and store unit-aware measurement in
 Django model objects.
 .
 This package is for Python 3.

Package: python3-django-memoize
Description-md5: 15e6bd3f09a5b8b4c9216e2c3344cf85
Description-en: implementation of memoization technique for Django (Python 3)
 django-memoize is an implementation of the memoization technique for Django.
 You can think of it as a cache for function or method results.
 .
 In memoization, the functions arguments are also included into the cache_key.
 Memoize is also designed for methods, since it will take into account the repr
 of the ‘self’ or ‘cls’ argument as part of the cache key. The theory behind
 memoization is that if you have a function you need to call several times in
 one request, it would only be calculated the first time that function is
 called with those arguments.

Package: python3-django-model-utils
Description-md5: da075381a80b953b9d7ced1d3076bd1e
Description-en: Django model mixins and utilities — Python 3
 Django is a high-level web application framework that loosely follows
 the model-view-controller design pattern.
 .
 The ‘django-model-utils’ library provides some mixins and utilities
 for Django:
 .
  * QueryManager: one-line definition of Managers returning a
    custom QuerySet.
  * InheritanceCastModel: more efficient use of model inheritance
  * TimeStampedModel: self-updating ‘created’ and ‘modified’ fields.
 .
 This package installs the library for Python 3.

Package: python3-django-modelcluster
Description-md5: e7d53e579b94e387a0c5c65bbd138430
Description-en: clusters of models as a single unit (Python3 version)
 django-modelcluster extends Django's foreign key relations to make it possible
 to work with a 'cluster' of related objects, without necessarily holding them
 in the database. It introduces a new type of relation, ParentalKey, where the
 related models are stored locally to the 'parent' model until the parent is
 explicitly saved. Up to that point, the related models can still be accessed
 through a subset of the QuerySet API.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-modeltranslation
Description-md5: 4a021ee1d55b65f2ec45239df98f6578
Description-en: Translate dynamic content of Django models (Python 3)
 The modeltranslation application can be used to translate dynamic content of
 existing models to an arbitrary number of languages without having to change
 the original model classes. It uses a registration approach (comparable to
 Django's admin app) to be able to add translations to existing or new projects
 and is fully integrated into the Django admin backend.
 .
 This package installs the library for Python 3.

Package: python3-django-mptt
Description-md5: df330ca1f0c9c30be902515ad8c672ec
Description-en: Modified Preorder Tree Traversal Django application
 Django MPTT is a reusable/standalone Django application which aims to
 make it easy for you to use Modified Preorder Tree Traversal with your
 own Django models in your own applications.
 .
 It takes care of the details of managing a database table as a tree
 structure and provides tools for working with trees of model instances.

Package: python3-django-navtag
Description-md5: f5040754a11ef20ae58718bc5a0b3c2b
Description-en: Django template tag to handle navigation (Python3 version)
 A simple Django template tag to handle navigation item selection. It works
 through template inheritance and allows one to define hierarchical navigation
 menu structures in the presentation layer. It differentiates itself from other
 solutions by sole reliance on templates.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-netfields
Description-md5: 1963217ee3aebb0b1299b7f1a39e3c03
Description-en: Django PostgreSQL netfields implementation (Python3 version)
 This project is an attempt at making proper PostgreSQL net related fields for
 Django. In Django pre 1.4 the built in IPAddressField does not support IPv6 and
 uses an inefficient HOST() cast in all lookups. As of 1.4 you can use
 GenericIPAddressField for IPv6, but the casting problem remains.
 .
 In addition to the basic IPAddressField replacement a CIDR and a MACADDR field
 have been added. This library also provides a manager that allows for advanced
 IP based lookup directly in the ORM.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-nose
Description-md5: 18283204da1e3105b972710a16fd2f16
Description-en: Django test runner that uses python-nose (Python3 version)
 python-django-nose integrates python-nose into Django test runner by
 extending the Django management CLI with nose-related options.
 Those options are targeted at running nose tests directly from within the
 Django management system (e.g. django-admin).
 .
 This package contains the Python 3 version of the library.

Package: python3-django-notification
Description-md5: 70c0228de13b4d5966c3cef5b8de4ab4
Description-en: user notification management for Django (Python 3 version)
 Many sites need to notify users when certain events have
 occurred and to allow configurable options as to how those
 notifications are to be received, including:
 .
  * submission of notification messages by other apps
  * notification messages on signing in
  * notification messages via email (configurable by user)
  * notification messages via feed
 .
 This package is for Python3.

Package: python3-django-oauth-toolkit
Description-md5: f4b4bf3241c69b40815cf054156f48cb
Description-en: OAuth2 capabilities for Django projects (Python3 version)
 Django OAuth Toolkit can help you providing out of the box all the endpoints,
 data and logic needed to add OAuth2 capabilities to your Django projects.
 Django OAuth Toolkit makes extensive use of OAuthLib, so that everything is
 rfc-compliant.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-ordered-model
Description-md5: 42c491f269d72ee0c157be055e682554
Description-en: Allows Django models to be ordered (Python3 version)
 django-ordered-model allows models to be ordered and provides a simple admin
 interface for reordering them. Model instances have a set of methods to move
 them relative to each other. It is also possible to order only within a subset
 of objects.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-organizations
Description-md5: ce544397543cdcf5e993257683a23887
Description-en: Django groups and multi-user account management module (Python 3)
 Django Organizations adds user-managed, multi-user groups to your Django
 project. Use Django Organizations whether your site needs organizations that
 function like social groups or multi-user account objects to provide account
 and subscription functionality beyond the individual user.
 .
   * Works with your existing user model, whether
  `  django.contrib.auth` or a custom model. No additional user
     or authentication functionality required.
   * Users can be belong to and own more than one organization (account, group)
   * Invitation and registration functionality works out of the box for many
     situations and can be extended as need to fit specific requirements.
   * Start with the base models or use your own for greater customization.
 .
 This is the Python 3 version of the package.

Package: python3-django-otp
Description-md5: cc57af5088f1a43796f379765c6687db
Description-en: pluggable framework for two-factor authentication (Python3 version)
 This project makes it easy to add support for one-time passwords (OTPs) to
 Django. It can be integrated at various levels, depending on how much
 customization is required. It integrates with django.contrib.auth, although it
 is not a Django authentication backend. The primary target is developers
 wishing to incorporate OTPs into their Django projects as a form of two-factor
 authentication.
 .
 This project includes several simple OTP plugins and more are available
 separately. This package also includes an implementation of OATH HOTP and TOTP
 for convenience, as these are standard OTP algorithms used by multiple plugins.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-pagination
Description-md5: c82785d27cb49e1661e883bdc551d242
Description-en: utilities for creating pagination tools for Django
 A set of utilities for creating robust pagination tools throughout a Django
 application.
 .
 It supports quickly create nice-looking paginated lists without altering your
 views, multiple lists per page, and support for using custom templates for
 each pagination.

Package: python3-django-paintstore
Description-md5: c07bacba3fe5fdada05f00c188872079
Description-en: Integrates jQuery ColorPicker in Django admin (Python 3 version)
 Django app that integrates the jQuery ColorPicker in the Django admin.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-picklefield
Description-md5: ed5eb3e85e6569b193bb1846574c9488
Description-en: Pickled object field for Django (Python3 version)
 django-picklefield provides an implementation of a pickled object field
 for the Django framework. Such fields can contain any picklable objects.
 .
 It is useful for storing just about anything in the database when there
 isn't a 'proper' field for the job.
 PickledObjectField is database-agnostic, and should work with any database
 backend you can throw at it. You can pass in any Python object and it will
 automatically be converted behind the scenes. You never have to manually
 pickle or unpickle anything.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-pipeline
Description-md5: 8ca944c20fba588fc0fbe79c6a8ce962
Description-en: Asset packaging library for Django (Python3 version)
 Pipeline is an asset packaging library for Django, providing both CSS and
 JavaScript concatenation and compression, built-in JavaScript template support,
 and optional data-URI image and font embedding.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-polymorphic
Description-md5: de045775fee62acc4bc6a6be237f457c
Description-en: Seamless Polymorphic Inheritance for Django Models (Python3 version)
 Django-polymorphic simplifies using inherited models in Django projects. When a
 query is made at the base model, the inherited model classes are returned.
 .
 Features:
  * Full admin integration.
  * ORM integration:
    + Support for ForeignKey, ManyToManyField, OneToOneField descriptors.
    + Support for proxy models.
    + Filtering/ordering of inherited models (ArtProject___artist).
    + Filtering model types: instance_of(...) and not_instance_of(...)
    + Combining querysets of different models (qs3 = qs1 | qs2)
    + Support for custom user-defined managers.
  * Uses the minimum amount of queries needed to fetch the inherited models.
  * Disabling polymorphic behavior when needed.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-postorius
Description-md5: 016171c4fe953e390f5bf45b1ec10ef6
Description-en: Web user interface to access GNU Mailman3
 The Postorius Django app provides a web user interface
 to access GNU Mailman3, and manage it. This interface
 uses django, and requires some configuration.

Package: python3-django-prometheus
Description-md5: 0986558f59bd889c8d17953b9e38c335
Description-en: Django middlewares to enable monitoring with Prometheus (Python 3)
 The django-prometheus library provides integrations to monitor database
 interaction, usage of models, and HTTP requests. Any custom metrics are also
 handled directly by the Python Prometheus client library.
 .
 This library just facilitates gathering and exporting the data. A separate
 service (or set of services) must be used to gather, store and process this
 data.
 .
 This package contains the Python 3 library.

Package: python3-django-push-notifications
Description-md5: fdfef1ec850c526356ab4c7b7e10d865
Description-en: Send push notifications through GCM or APNS in Django (Python3 version)
 A minimal Django app that implements Device models that can send messages
 through APNS, FCM/GCM and WNS. It provides three models:
 .
  * GCMDevice
  * APNSDevice
  * WNSDevice
 .
 The app also implements an admin panel, through which you can test single and
 bulk notifications.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-python3-ldap
Description-md5: c2909f5300118d071a2e1cd60ceb353d
Description-en: Django LDAP user authentication backend (Python3 version)
 django-python3-ldap provides a Django LDAP user authentication backend for
 Python. It uses the pure Python ldap3 library for all LDAP related operations.
 This makes it easier to deploy instead of solutions that depend on the OpenLDAP
 library.
 .
 It provides the following features:
  * Authenticate users with an LDAP server.
  * Sync LDAP users with a local Django database.
  * Supports custom Django user models.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-q
Description-md5: 26834dd9a10f405458c0be3f6f8aacf4
Description-en: Django multiprocessing distributed task queue (Python 3 version)
 A multiprocessing distributed task queue for Django with following features:
 .
  * Multiprocessing worker pool
  * Asynchronous tasks
  * Scheduled and repeated tasks
  * Encrypted and compressed packages
  * Failure and success database or cache
  * Result hooks, groups and chains
  * Django Admin integration
  * PaaS compatible with multiple instances
  * Multi cluster monitor
  * Redis, Disque, IronMQ, SQS, MongoDB or ORM
  * Rollbar support
 .
 This package contains the Python 3 version of the library.

Package: python3-django-ranged-response
Description-md5: c7d52c3ca86c581a844fa50fee9ab580
Description-en: Django file response to stream to browsers properly (Python 3)
 Provides RangedFileResponse that is a modified FileResponse that returns
 Content-Range headers with the response, so browsers that request the file,
 can stream the response properly.
 .
 This package installs the library for Python 3.

Package: python3-django-recurrence
Description-md5: f8c574f4c0fa4e40a2efd25c2aff23b2
Description-en: Django utility wrapping dateutil.rrule (Python3 version)
 django-recurrence is a utility for working with recurring dates in Django.
 .
 It provides:
  * Recurrence/Rule objects using a subset of rfc2445 (wraps dateutil.rrule)
    for specifying recurring date/times
  * RecurrenceField for storing recurring datetimes in the database
  * a JavaScript widget
 .
 This package contains the Python 3 version of the library.

Package: python3-django-redis
Description-md5: 4dcabc98787b1ceba626af953ff53730
Description-en: Redis cache backend for Django (Python 3)
 django-redis is a full featured Redis cache/session backend for Django. It
 provides several features to fully utilize Redis:
  * Pluggable clients.
  * Pluggable parsers.
  * Pluggable serializers.
  * Master-Slave support in the default client.
  * Supports infinite timeouts.
  * Facilities for raw access to Redis client/connection pool.
  * Highly configurable (can emulate memcached exception behavior, for example).
  * Unix sockets supported by default.
 .
 This is the Python 3 version of the package.

Package: python3-django-redis-admin
Description-md5: dee5173747337daf7a6cdb033d26b7e7
Description-en: Django admin panel add-on to view/delete Redis keys (Python 3)
 `django-simple-redis-admin` is an addition to your Django admin panel that
 allows you to view and delete your Redis keys.
 .
 This package does not use models, so no database tables need to be created.
 Just add to INSTALLED_APPS and go.  Users must have is_superuser == True to
 view the Redis admin. No django admin logs are created with this package.
 .
 This is the Python 3 version of the package.

Package: python3-django-redis-sessions
Description-md5: a5f1b7396cb480736651e3e37423baea
Description-en: Redis database backend for your Django sessions (Python 3)
 Session backend for Django that stores sessions in a Redis database
 .
 This is the Python 3 version of the package.

Package: python3-django-registration
Description-md5: 2ee1c6d2bda2b3c9381aad72be815cd1
Description-en: User-registration application for Django (Python 3)
 This is a fairly simple user-registration application for Django,
 designed to make allowing user signups as painless as possible.
 .
 Its main feature over Django's standard user-registration application is
 the support of email confirmation.
 .
 This application enables a fairly common workflow for user signups:
  1. User signs up for an account.
  2. User gets emailed an activation link.
  3. User clicks the activation link before it expires.
  4. User becomes a happy and productive contributor to your site.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-rest-hooks
Description-md5: b46426c41019ed259e86ea630912f6bd
Description-en: Add webhook subscriptions to Django apps (Python3 version)
 REST Hooks are advanced versions of webhooks. Traditional webhooks are usually
 managed manually by the user, but REST Hooks are not. They encourage RESTful
 access to the hooks (or subscriptions) themselves. Add several hooks for any
 combination of event and URLs, then get notificatied in real-time by a bundled
 threaded callback mechanism.
 .
 By reusing Django's signals framework, this library can be integrated into any
 existing Django app.
 .
 Using built-in actions, no work is required to support any basic created,
 updated, and deleted actions across any Django model. It also allows for custom
 actions (IE: beyond CRUD) to be simply defined and triggered for any model, as
 well as truly custom events that let an application send arbitrary payloads.
 .
 By default, this library will just POST Django's JSON serialization of a model,
 but it  provides a serialize_hook method to customize payloads.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-restframework-guardian
Description-md5: 200e7412d12a0e33770badc775c5719c
Description-en: django-guardian support for Django REST Framework (Python3 version)
 django-rest-framework-guardian provides django-guardian integrations for Django
 REST Framework.
 It provides an ObjectPermissionsFilter to which will ensure that querysets only
 returns objects for which the user has the appropriate view permission and an
 ObjectPermissionsAssignmentMixin that allows permissions to be easily assigned
 to users and/or groups through serializers.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-restricted-resource
Description-md5: 5bc6ca3f960a4675666087d29db5ccc8
Description-en: Django Base model for ownership and access control (Python 3)
 Restricted resources can have owners, users or groups of users,
 organised within the django admin interface. Resources can be
 public or private to allow an app to provide access control
 over model objects.
 .
 This package contains the python3 module.

Package: python3-django-reversion
Description-md5: b95c837f068963c5c2f3aa61fe538032
Description-en: Provides comprehensive version control facilities for Django (Python3 version)
 Reversion is an extension to the Django web framework that provides
 comprehensive version control facilities.
 .
 Features:
  * Roll back to any point in a model's history - an unlimited undo facility!
  * Recover deleted models - never lose data again!
  * Admin integration for maximum usability.
  * Group related changes into revisions that can be rolled back in a single
    transaction.
  * Automatically save a new version whenever your model changes using Django's
    flexible signalling framework.
  * Automate your revision management with easy-to-use middleware.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-rules
Description-md5: ec42791052ee6384d60e095bb9b8aa28
Description-en: object-level permissions for Django (Python3 version)
 rules is a tiny but powerful app providing object-level permissions to Django,
 without requiring a database. At its core, it is a generic framework for
 building rule-based systems, similar to decision trees. It can also be used as
 a standalone library in other contexts and frameworks.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-saml2
Description-md5: 3af35431751d83bda1f07fb58fb86e5d
Description-en: Django application that integrates PySAML2 (Python3 version)
 djangosaml2 is a Django application that integrates the PySAML2 library into
 your project. This mean that you can protect your Django based project with a
 service provider based on PySAML. This way it will talk SAML2 with your
 Identity Provider allowing you to use this authentication mechanism.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-sass-processor
Description-md5: 96b6180322445d29bfa234c7e7553514
Description-en: compile files from markup languages such as SASS/SCSS
 django-sass-processor converts *.scss or *.sass files into *.css
 while rendering templates. For performance reasons this is done only
 once, since the preprocessor keeps track on the timestamps and only
 recompiles, if any of the imported SASS/SCSS files is younger than
 the corresponding generated CSS file.
 .
 This Django app provides a templatetag {% sass_src
 'path/to/file.scss' %}, which can be used instead of the built-in
 templatetag static. Since version 0.3.4 this also works for Jinja2
 templates.
 .
 If SASS/SCSS files shall be referenced through the Media class, or
 media property, the SASS processor can be used directly.
 .
 Additionally, django-sass-processor is shipped with a management
 command, which can convert the content of all occurrences inside the
 templatetag sass_src as an offline operation. Hence the libsass
 compiler is not required in a production environment.
 .
 During development, a `sourcemap <https://developer.chrome.com=""
 devtools="" docs="" css-preprocessors="">`__ is generated along side
 with the compiled *.css file. This allows to debug style sheet errors
 much easier.
 .
 With this tool, you can safely remove your Ruby installations
 "Compass" and "SASS" from your Django projects. You neither need any
 directory "watching" daemons based on node.js.

Package: python3-django-sekizai
Description-md5: 31189ebc2982e95a40d9237bc8e09cf8
Description-en: template blocks for Django projects (Python3 version)
 Sekizai means “blocks” in Japanese, and that’s what this app provides.
 A fresh look at blocks. With django-sekizai you can define placeholders
 where your blocks get rendered and at different places in your templates
 append to those blocks. This is especially useful for css and javascript.
 Your subtemplates can now define css and javscript files to be included,
 and the css will be nicely put at the top and the javascript to the bottom,
 just like you should. Also sekizai will ignore any duplicate content in
 a single block.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-session-security
Description-md5: a84128a8e7acb3940521ebf8044ee3e7
Description-en: Python3 Django module to log a user out after X minutes
 A little javascript and middleware work together to ensure that the
 user was active during the past X minutes in any tab he has open.
 Otherwise, display a warning leaving a couple of minutes to show any
 kind of activity like moving the mouse. Otherwise, logout the user.
 .
 This is the Python 3 version.

Package: python3-django-setuptest
Description-md5: 7d9c21ceaf4ba3cdd5c0450718727eab
Description-en: simple test suite enabling Django app testing via setup.py (Python3 version)
 Django Setuptest is a simple module enabling Django app testing via setup.py.
 .
 Normally when you execute
 .
  $ python setup.py test
 .
 for Django related modules you're almost certain to run into
 DJANGO_SETTINGS_MODULE environment variable issues, e.g.:
 .
     ImportError: Settings cannot be imported, because environment variable
     DJANGO_SETTINGS_MODULE is undefined.
 .
 This module overcomes this by configuring the DJANGO_SETTINGS_MODULE
 environment variable before executing your test suite. As a bonus it also
 generates Coverage and PEP 8 reports as part of the test.
 .
 This is the Python 3 version of the package.

Package: python3-django-shortuuidfield
Description-md5: 477e98c04361b2d50656f334fdc356a7
Description-en: Short UUIDField for Django for Python 3
 For Python 3.
 Provides a ShortUUIDField for your Django models which uses the base-57 "Short
 UUID" package. Originally, a fork from David Cramer's excellent
 django-uuidfield, but not much is left of that besides a bit of structure.

Package: python3-django-simple-history
Description-md5: 524febfb87eb500d2fdf1253e05f8280
Description-en: simple version control from Django model objects (Python 3)
 django-simple-history stores Django model state on every create/update/delete.
 Versions are stored in a parallel table, and can save all of the fields from
 the original table, or a subset of them.
 .
 This package provides django-simple-history for Python 3.

Package: python3-django-sitetree
Description-md5: 6734ddf2d1d895d2334d36c5bd0e9449
Description-en: site tree, menu and breadcrumbs navigation for Django (Python3 version)
 django-sitetree is a reusable application for Django, introducing site tree,
 menu and breadcrumbs navigation elements. Site structure in django-sitetree is
 described through Django admin interface in a so called site trees. Every item
 of such a tree describes a page or a set of pages through the relation of URI
 or URL to human-friendly title.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-split-settings
Description-md5: 5c125041f500a859226211f4138d0568
Description-en: Organize Django settings into multiple files and directories (Python3 version)
 Organize Django settings into multiple files and directories. Easily override
 and modify settings. Use wildcards in settings file paths and mark settings
 files as optional.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-storages
Description-md5: 95598936a873b1c459d74de133b47226
Description-en: storage backends in Django (Python3 version)
 django-storages is a collection of custom storage backends for Django. Each
 storage backend has its own unique settings you will need to add to your
 settings.py file. Read the documentation for your storage engine(s) of choice
 to determine what you need to add.
 .
 Each backend is shipped as a separate package to ensure granular dependencies.
 This package contains the common files of the library.

Package: python3-django-storages-azure
Description-md5: 832d47a9fc82ccb6c99b71a4f5483f97
Description-en: storage backends in Django (Azure)
 django-storages is a collection of custom storage backends for Django. Each
 storage backend has its own unique settings you will need to add to your
 settings.py file. Read the documentation for your storage engine(s) of choice
 to determine what you need to add.
 .
 Each backend is shipped as a separate package to ensure granular dependencies.
 This package contains the azure backend for AWS.

Package: python3-django-storages-boto3
Description-md5: f482501f9f6c5ba4248af0cde3d1229b
Description-en: storage backends in Django (Boto3)
 django-storages is a collection of custom storage backends for Django. Each
 storage backend has its own unique settings you will need to add to your
 settings.py file. Read the documentation for your storage engine(s) of choice
 to determine what you need to add.
 .
 Each backend is shipped as a separate package to ensure granular dependencies.
 This package contains the boto3 backend for AWS.

Package: python3-django-storages-dropbox
Description-md5: a47650ea4670a20920cc2c149c780b44
Description-en: storage backends in Django (Dropbox)
 django-storages is a collection of custom storage backends for Django. Each
 storage backend has its own unique settings you will need to add to your
 settings.py file. Read the documentation for your storage engine(s) of choice
 to determine what you need to add.
 .
 Each backend is shipped as a separate package to ensure granular dependencies.
 This package contains the Dropbox backend.

Package: python3-django-storages-ftp
Description-md5: 3c1921c7bbfded368fe9a2db942a69a0
Description-en: storage backends in Django (FTP)
 django-storages is a collection of custom storage backends for Django. Each
 storage backend has its own unique settings you will need to add to your
 settings.py file. Read the documentation for your storage engine(s) of choice
 to determine what you need to add.
 .
 Each backend is shipped as a separate package to ensure granular dependencies.
 This package contains the FTP backend.

Package: python3-django-storages-libcloud
Description-md5: 48f52c5e02b5a95c1aa67f35c224ee9a
Description-en: storage backends in Django (Libcloud)
 django-storages is a collection of custom storage backends for Django. Each
 storage backend has its own unique settings you will need to add to your
 settings.py file. Read the documentation for your storage engine(s) of choice
 to determine what you need to add.
 .
 Each backend is shipped as a separate package to ensure granular dependencies.
 This package contains the libcloud backend.

Package: python3-django-storages-sftp
Description-md5: 2a380792c318ee87e32246cefdcd432e
Description-en: storage backends in Django (SFTP)
 django-storages is a collection of custom storage backends for Django. Each
 storage backend has its own unique settings you will need to add to your
 settings.py file. Read the documentation for your storage engine(s) of choice
 to determine what you need to add.
 .
 Each backend is shipped as a separate package to ensure granular dependencies.
 This package contains the SFTP backend.

Package: python3-django-stronghold
Description-md5: 57cd2821d2e2277f4b8cd609115955a6
Description-en: Python3 Django app to make all views default login_required
 Stronghold is a very small and easy to use Django app that makes all
 your Django project default to require login for all of your views.
 This is the Python3 package

Package: python3-django-tables2
Description-md5: fbe640c1afb571f1e6512523f9277380
Description-en: Table/data-grid framework for Django (Python 3)
 django-tables2 simplifies the task of turning sets of data into HTML tables. It
 has native support for pagination and sorting. It does for HTML tables what
 ``django.forms`` does for HTML forms.
 .
 This package provides the Python 3 module.

Package: python3-django-tagging
Description-md5: f8fc49dcf3062a852e5ef8b71bdbf309
Description-en: Generic tagging application for Django projects (Python 3)
 This is a generic tagging application for Django, which allows
 association of a number of tags with any Model instance and makes
 retrieval of tags simple.
 .
 Models can be expanded with a new TagField; web templates
 can easily include tag clouds and dedicated views are available
 to browse objects by tag.
 .
 This is the Python 3 version of the package.

Package: python3-django-taggit
Description-md5: ee1e145abe03626e79eb5224542c8579
Description-en: simple tagging for Django (Python 3)
 This is a generic tagging application for Django, which allows
 association of a number of tags with any Model instance and makes
 retrieval of tags simple.
 .
 django-taggit a simpler approach to tagging with Django. Add "taggit" to your
 INSTALLED_APPS then just add a TaggableManager to your model.
 .
 This package installs the library for Python 3.

Package: python3-django-tastypie
Description-md5: 9a8915648a20cfdc80bc4d668a11dc7a
Description-en: webservice API framework for Django (Python 3)
 Tastypie is an webservice API framework for Django. It provides a
 convenient, yet powerful and highly customizable, abstraction for
 creating REST-style interfaces.
 .
 This is the Python 3 version of the package.

Package: python3-django-test-without-migrations
Description-md5: f11ed578d469d85c02e023cb7efc53a7
Description-en: Disable migrations when running your tests (Python 3)
 Test Without Migrations is a manage.py test command extension.
 .
 The Django 1.7 and 1.8 migration backend demands that you
 create a migration every time you change a model.
 .
 This can be inconvenient when you’re just trying to explore
 your models code.
 .
 In older Django versions, with South you could use the
 SOUTH_TEST_MIGRATIONS settings to tell Django to simply create
 all model tables without running migrations.
 .
 This app adds this capability to Django by extending the
 'manage.py test' command with a –nomigrations option.
 .
 Test Without Migrations works with Django 1.7+.

Package: python3-django-testproject
Description-md5: e558ac3d5e54e5e6f4ee7135e0f44bb7
Description-en: Django test project support (Python 3 version)
 This package provides django test project support to
 make it easier to run application unit tests without
 testing other parts of django core.
 .
 Projects can use run_tests to specify which parts of
 the codebase listed in INSTALLED_APPLICATIONS will
 run unit tests.
 .
 This package contains the python3 module.

Package: python3-django-testscenarios
Description-md5: 26151419cd67a7e70a899e01b5c48b73
Description-en: Django unit test scenarios support (Python 3)
 This package provides django test support for
 using testscenarios.TestCase together with
 django.tests.TestCase. Tests can be given
 scenarios which all share the django database
 setup methods.
 .
 This package contains the python3 module.

Package: python3-django-timezone-field
Description-md5: 9796a8fffc40f7c99fa4a3edaa3aab7b
Description-en: database and form fields for pytz objects (Python3 version)
 A Django app providing database and form fields for pytz timezone objects.
 Values are validated against pytz.common_timezones and stored as strings. The
 form field can display timezones as locations or locations with offsets.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-treebeard
Description-md5: 3cf0c133af7062c008910ef431fa8e1b
Description-en: Efficient implementations of tree data structures for Django (Python3 version)
 Django Treebeard is a library that implements efficient data structures for
 storing hierarchical data in a database using the Django web development
 framework.
 .
 It currently includes 3 different tree implementations: adjacency list,
 materialized path and nested sets. Each one has it's own strengths and
 weaknesses but share the same API, so it’s easy to switch between them.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-uwsgi
Description-md5: 17370b22f11b45f13b30637a8230b2f5
Description-en: uWSGI related tools for Django (Python3 version)
 django-uwsgi provides several features for Django projects deployed to uWSGI:
 .
  * Admin page with uWSGI stats (options to reload/stop uWSGI, clear uWSGI
    cache)
  * uWSGI Cache Backend for Django
  * uWSGI Email Backend for Django(send emails via uWSGI's spooler)
  * Debug Panel for django-debug-toolbar (offers same functions as admin page)
  * Django template loader for embedded into uWSGI files
  * Django Management Command runuwsgi (with live autoreload when DEBUG is True)
  * uWSGI config generator
  * Django CBV Mixins based on uWSGI decorators
 .
 This package contains the Python 3 version of the library.

Package: python3-django-waffle
Description-md5: dd2524cb1dc3290f6e54774fc64507c3
Description-en: feature flipper for Django (Python3 version)
 Django Waffle is feature flipper for Django. You can define the conditions for
 which a flag should be active, and use it in a number of ways. Feature flags
 are a critical tool for continuously integrating and deploying applications.
 .
 Waffle aims to
  * provide a simple, intuitive API everywhere in your application;
  * cover common use cases with batteries-included;
  * be simple to install and manage;
  * be fast and robust enough to use in production; and
  * minimize dependencies and complexity.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-webpack-loader
Description-md5: e27edd37e398879bf4073a956dccceaa
Description-en: Transparently use webpack with Django (Python3 version)
 Use webpack to generate your static bundles without Django's staticfiles or
 opaque wrappers.
 .
 Django webpack loader consumes the output generated by webpack-bundle-tracker
 and lets you use the generated bundles in Django.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-websocket-redis
Description-md5: cf3269ad7b106dfa3b7ae04240384697
Description-en: Websockets for Django applications using Redis (Python3 version)
 This module implements websockets on top of Django without requiring any
 additional framework. For messaging it uses the Redis datastore. In a
 production environment, it is intended to work under uWSGI and behind NGiNX or
 Apache. In a development environment, it can be used with the Django built-in
 webserver.
 .
 This package contains the Python 3 version of the library.

Package: python3-django-wkhtmltopdf
Description-md5: ab6f060ccef79fb3b6a9283b6fb7a011
Description-en: Django module with views for HTML to PDF conversions (Python 3)
 Django Wkhtmltopdf provides Django views to wrap the HTML to PDF conversion
 of the `wkhtmltopdf <http://wkhtmltopdf.org>` binary.
 .
 This is the Python 3 version of the package.

Package: python3-django-x509
Description-md5: 5052800474fc4c4eb796c5cbae1778a9
Description-en: x509 PKI certificates management for Django (Python3 version)
 Simple reusable django app implementing x509 PKI certificates management.
 .
 Features:
  * CA generation
  * Import existing CAs
  * End entity certificate generation
  * Import existing certificates
  * Certificate revocation
  * CRL view (public or protected)
  * Possibility to specify x509 extensions on each certificate
  * Random serial numbers based on uuid4 integers
 .
 This package contains the Python 3 version of the library.

Package: python3-django-xmlrpc
Description-md5: 8fb4b8d53d0585a84084862d4fd1bf55
Description-en: XMLRPC library for Django
 django_xmlrpc offers a means by which a Django developer can expose their
 views (or indeed any other function) using XML-RPC.

Package: python3-djangorestframework
Description-md5: 7ccfec6853f671f140e90ccbb386fac5
Description-en: Web APIs for Django, made easy for Python3
 For Python3.
 powerful and flexible toolkit that makes it easy to build Web APIs.
 Some reasons you might want to use REST framework:
  * The Web browseable API is a huge useability win for your developers.
  * Authentication policies including OAuth1a and OAuth2 out of the box.
  * Serialization that supports both ORM and non-ORM data sources.
  * Customizable all the way down - just use regular function-based views if you
    don't need the more powerful features.
  * Extensive documentation, and great community support.

Package: python3-djangorestframework-extensions
Description-md5: 18f4e7f3970a1ec13f96410acb609471
Description-en: custom extensions for Django REST framework (Python3 version)
 a collection of custom extensions for Django REST Framework. It provides
 several mixins and extensions to code mechanics of Django REST framework.
 .
 Some of the features included:
  * DetailSerializerMixin
  * Caching
  * Conditional requests
  * Customizable key construction for caching and conditional requests
  * Nested routes
  * Bulk operations
 .
 This package contains the Python 3 version of the library.

Package: python3-djangorestframework-filters
Description-md5: 9b31f27d8b0581fe9f68e7b10c106ee1
Description-en: Filters for Django REST Framework (Python3 version)
 An extension to Django REST framework and Django filter that makes it easy
 to filter across relationships. Historically, this extension also provided
 a number of additional features and fixes, however some have now been merged
 back into django-filter
 .
 This package contains the Python 3 version of the library.

Package: python3-djangorestframework-generators
Description-md5: e65d246d7440f14dec28d3037df3918e
Description-en: Generate DRF Serializers, Views, and URLs (Python3 version)
 Writing APIs can be boring and repetitive work. With DRF Generators, one simple
 command will generate all Views, Serializers, and even Urls for a Django Rest
 Framework application.
 .
 This is not intended to give a production quality API. It was intended to
 jumpstart development and save developers from writing the same code over and
 over for each model.
 .
 This package contains the Python 3 version of the library.

Package: python3-djangorestframework-gis
Description-md5: 5e1486374e886290951662e5349f3f17
Description-en: Geographic add-ons for Django REST Framework (Python3 version)
 djangorestframework-gis extends the Django REST Framework to also handle
 geographic data as used by GeoDjango during serialization and deserialization.
 It provides the following features:
 .
  * GeometryField: This field handles GeoDjango geometry fields, providing
      custom to_native and from_native methods for GeoJSON input/output.
  * GeoModelSerializer: This serializer updates the field_mapping dictionary to
      include field mapping of GeoDjango geometry fields to the above
      GeometryField.
  * GeoFeatureModelSerializer: GeoFeatureModelSerializer is a subclass of
      GeoModelSerializer which will output data in a format that is GeoJSON
      compatible.
  * InBBOXFilter: Filters a queryset to only those instances within a certain
      bounding box.
 .
 This package contains the Python 3 version of the library.

Package: python3-djangorestframework-haystack
Description-md5: 2c9ce0b9ccba39b24eb2d0bcf23ae04f
Description-en: Haystack for Django REST Framework (Python3 version)
 A small library which tries to simplify integration of Haystack with Django
 REST Framework. Contains a Generic ViewSet, a Serializer and a couple of
 Filters in order to make search as painless as possible.
 .
 It supports several haystack features:
  * Autocomplete
  * GEO Spatial searching
  * Highlighting
  * More Like This
 .
 This package contains the Python 3 version of the library.

Package: python3-djoser
Description-md5: 35a6a32b6636c4d07d4ef039f7be0cb5
Description-en: REST implementation of Django authentication system (Python3 version)
 Djoser library provides a set of Django Rest Framework views to handle basic
 actions such as registration, login, logout, password reset and account
 activation. It works with custom user model.
 .
 Instead of reusing Django code (e.g. PasswordResetForm), it reimplementes a few
 things to fit better into a Single Page App architecture.
 .
 Supported authentication backends are:
  * HTTP Basic Auth (Default)
  * Token based authentication from Django Rest Framework
 .
 This package contains the Python 3 version of the library.

Package: python3-djvu
Description-md5: 6a38e826eea05166bee08d60aea0ef16
Description-en: Python3 support for the DjVu image format
 python-djvulibre is a set of Python bindings for the DjVuLibre library,
 an open source implementation of DjVu. This image format is designed
 primarily for scanned documents, it includes advanced techniques like
 image layer separation and arithmetic coding compression.
 .
 This package contains the Python3 bindings, please see python-djvu-doc
 for its documentation and python3-djvu-dbg for debugging symbols.

Package: python3-djvu-dbg
Description-md5: b53c369969517c780f7fedd6776cd231
Description-en: Python3 support for the DjVu image format (debug extension)
 python-djvulibre is a set of Python bindings for the DjVuLibre library,
 an open source implementation of DjVu. This image format is designed
 primarily for scanned documents, it includes advanced techniques like
 image layer separation and arithmetic coding compression.
 .
 This package contains the detached debugging symbols and the extensions built
 for the Python3 debug interpreter.

Package: python3-dkim
Description-md5: 7a3ffba672fb6e6b64f76b37d24af8ef
Description-en: Python 3 module for DKIM and ARC signing and verification
 Python 3 module that implements DKIM (DomainKeys Identified Mail) email
 signing and verification as well as ARC (Authenticated Received Chain)
 signing and verification.  Supports both RSA and Ed25519 signing and
 verification.  It also provides helper scripts for key generation and command
 line signing and verification.
 .
 This is the Python 3 version of the package.

Package: python3-dlt
Description-md5: b8d26746ae1023b7262489745c635bf2
Description-en: Python wrapper for libdlt to read and process DLT logs from devices
 Diagnostic Log and Trace (DLT) library wrapper for Python using ctypes
 .
 This component provides a log and trace interface, based on the standardised
 protocol specified in the AUTOSAR standard 4.0 DLT. This library can be used to
 connect to a DLT logging facility and process saved DLT log files.

Package: python3-dltlyse
Description-md5: bb664cbf3c6eb29dd49d0b5dc725bb2d
Description-en: DLT Analyser tool to programmatically extract data from DLT logs
 A Python module and a collection of plugins to support analysis of DLT traces.
 .
 This component provides a log and trace interface, based on the standardised
 protocol specified in the AUTOSAR standard 4.0 DLT. This program can be used to
 connect to process saved DLT log files with Python plugins.

Package: python3-dmidecode
Description-md5: 97b24bd90cdf6fd1ee6eade4a9aa4644
Description-en: Python extension module for dmidecode - Python 3
 DMI (the desktop management interface) provides a standardized description of
 a computer's hardware, including characteristics such as BIOS serial number
 and hardware connectors.
 .
 This package provides an interface to the DMI data available from the BIOS.
 It is intended to be used as a back-end tool by other hardware detection
 programs implemented in Python.

Package: python3-dmidecode-dbg
Description-md5: 03f54a4981a205efb9510616a7a8a240
Description-en: Python extension module for dmidecode (debug) - Python 3
 DMI (the desktop management interface) provides a standardized description of
 a computer's hardware, including characteristics such as BIOS serial number
 and hardware connectors.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-dmsh
Description-md5: c03ed286aa53a07736c43ed9478bef9f
Description-en: High-quality 2D mesh generator based on distmesh
 dmsh: "The worst mesh generator you'll ever use."
 .
 Inspired by distmesh, dmsh is slow, requires a lot of memory, and
 isn't terribly robust either.
 .
 On the plus side, it's got a usable interface, is pure Python (and
 hence easily installable on any system), and if it works, it produces
 pretty high-quality meshes.
 .
 Combined with optimesh, dmsh produces the highest-quality 2D meshes
 in the west.
 .
 Example capabilities:
  * Primitives
   - circle, rectangle, polygon
   - halfspace
  * Combinations
   - difference
   - nonconstant edge length
   - union
   - intersection
  * Transformations
   - rotation, translation, scaling
  * Local refinement

Package: python3-dna-jellyfish
Description-md5: c2eaea16b29e14b42b1c6e9b47551cd2
Description-en: count k-mers in DNA sequences (Python bindings of jellyfish)
 JELLYFISH is a tool for fast, memory-efficient counting of k-mers in
 DNA. A k-mer is a substring of length k, and counting the occurrences
 of all such substrings is a central step in many analyses of DNA
 sequence. JELLYFISH can count k-mers using an order of magnitude less
 memory and an order of magnitude faster than other k-mer counting
 packages by using an efficient encoding of a hash table and by
 exploiting the "compare-and-swap" CPU instruction to increase
 parallelism.
 .
 JELLYFISH is a command-line program that reads FASTA and multi-FASTA
 files containing DNA sequences. It outputs its k-mer counts in an
 binary format, which can be translated into a human-readable text
 format using the "jellyfish dump" command.
 .
 This package contains the Python bindings of jellyfish.

Package: python3-dnaio
Description-md5: 3ccc6baa42c30d039ef447bec8c16308
Description-en: Python 3 library for fast parsing of FASTQ and FASTA files
 dnaio is a Python 3 library for fast parsing of FASTQ and also FASTA files.
 The code was previously part of the cutadapt tool and has been improved
 since it has been split out.

Package: python3-dns
Description-md5: bc46a4d62ff67d35816f4e0b0942d158
Description-en: DNS client module for Python 3
 This Python 3 module provides an DNS API for looking up DNS entries from
 within Python 3 modules and applications. This module is a simple,
 lightweight implementation. It is not as complete as python-dnspython, but is
 useful for many common applications.

Package: python3-dnslib
Description-md5: db04767195cb21cf806e3bc94d75fa51
Description-en: Module to encode/decode DNS wire-format packets (Python 3)
 This DNS encode/decode Python 3 module provides:
 .
  - Support for encoding/decoding DNS packets between wire format, Python
    objects, and Zone/DiG textual representation (dnslib.dns)
  - A server framework allowing the simple creation of custom DNS resolvers
    (dnslib.server) and a number of example servers created using this
    framework
  - A number of utilities for testing (dnslib.client, dnslib.proxy,
    dnslib.intercept)
 .
 This is the Python 3 version of the package.

Package: python3-dnsq
Description-md5: 94ce7e1a2a6af8cd95ceeab154869b68
Description-en: Python DNS query tool
 dnsq is a high-level wrapper around dnspython for making caching DNS
 queries from Python.
 .
 This is the Python 3 version of this package.

Package: python3-doc8
Description-md5: 89e8bef59c77292a209347870cdec910
Description-en: Style checker for Sphinx (or other) RST documentation - Python 3.x
 Doc8 is an opinionated style checker for rst (with basic support for plain
 text) styles of documentation.
 .
 This package contains the Python 3.x module.

Package: python3-docker
Description-md5: f35f9a5a7263514cf0e810ca4e05fc20
Description-en: Python 3 wrapper to access docker.io's control socket
 This package contains oodles of routines that aid in controlling
 docker.io over it's socket control, the same way the docker.io
 client controls the daemon.
 .
 This package provides Python 3 module bindings only.

Package: python3-dockerpty
Description-md5: 16cf4a35a10b12e557a8a8a17316e7a2
Description-en: Pseudo-tty handler for docker Python client (Python 3.x)
 Provides the functionality needed to operate the pseudo-tty (PTY) allocated to
 a docker container, using the Python client.
 .
 This package provides Python 3.x version of dockerpty.

Package: python3-dockerpycreds
Description-md5: 4fbe264f8a325bfe3509d8103346fe3a
Description-en: Python3 bindings for the docker credentials store API
 This module provides bindings to use the native OS credential storage
 provided by the golang-docker-credential-helpers package.

Package: python3-docopt
Description-md5: 79e1ff312d3ca5dc0bb1710b7f00817d
Description-en: command-line interface description language (Python3)
 docopt helps you define an interface for your command-line app and
 automatically generate a parser for it. Its interface descriptions are
 based on a formalization of the standard conventions used in help
 messages and man pages.
 .
 This is the Python 3 compatible version of the package.

Package: python3-docs-theme
Description-md5: 685fd90e24495823cbec4451a47d3537
Description-en: theme for the Python core documentation.
 Theme used for the Python Core and Python packaging documentation.

Package: python3-dogtail
Description-md5: cef356bff4d960adaf9d52cf75599f82
Description-en: GUI test tool and automation framework
 dogtail is a GUI test tool and automation framework written in Python.
 It uses Accessibility (a11y) technologies to communicate with desktop
 applications. dogtail scripts are written in Python.

Package: python3-doit
Description-md5: 61f831e603ff32687e404ea1628922f3
Description-en: Automation tool (Python3) to execute any kind of task in a build-tools fashion
 doit is an automation tool that brings the power of build-tools to execute any
 kind of task.
 .
 A task describes some computation to be done (actions), and contains some
 extra meta-data.
 The actions can be external programs or Python functions. A single task may
 define more than one action.
 doit uses the task’s meta-data to:
  * cache task results
  * correct execution order
  * parallel execution
  * powerful dependency system
 .
 This is the Python 3 version of the package.

Package: python3-dolfin
Description-md5: 48f43f7fdbc5d9fcb7af69e97fd2889d
Description-en: Python interface for DOLFIN (Python 3)
 DOLFIN is the Python and C++ interface of the FEniCS project for the
 automated solution of differential equations, providing a consistent
 PSE (Problem Solving Environment) for solving ordinary and partial
 differential equations. Key features include a simple, consistent and
 intuitive object-oriented API; automatic and efficient evaluation of
 variational forms; automatic and efficient assembly of linear
 systems; and support for general families of finite elements.
 .
 This package contains the Python interface for DOLFIN (Python 3).

Package: python3-dominate
Description-md5: 3ffef9a764f8be775fc2bce4b57f2484
Description-en: Python 3 library for creating and manipulating HTML documents
 Dominate is a Python library for creating and manipulating HTML documents
 using an elegant DOM API. It allows you to write HTML pages in pure Python
 very concisely, which eliminate the need to learn another template language,
 and to take advantage of the more powerful features of Python.
 .
 This package installs the library for Python 3.

Package: python3-dotenv
Description-md5: bf8d0330262801e67b57fd3cfa3bc208
Description-en: Get and set values in the .env file in local and production servers
 Reads key value pairs from the .env file and adds them to environment.
 variables. It is useful to manage settings during development and in
 production following the 12-factor principles: http://12factor.net/
 The executable is named python-dotenv

Package: python3-dotenv-cli
Description-md5: de2be671577e65bf262864a77d46c3dc
Description-en: CLI that loads .env configuration
 This package provides the dotenv command. It reads the .env file from the
 current directory puts the contents in the environment and executes the given
 command.
 .
 dotenv supports alternative .env files like .env.development via the -e or
 --dotenv parameters.

Package: python3-doubleratchet
Description-md5: 4107b1e88142ea4461db53bde8a1e7b0
Description-en: Python 3 implementation of the Double Ratchet algorithm
 This python library offers an implementation of the Double Ratchet
 algorithm as specified here.
 .
 The goal is to provide a configurable and independent implementation of
 the algorithm, while keeping the structure close to the specification
 and providing recommended settings.
 .
 This library was developed as part of python-omemo, a pretty cool
 end-to-end encryption protocol.
 .
 This package provides the Python 3.x module.

Package: python3-doublex
Description-md5: dc873f3a21820bf66e10019fd9337743
Description-en: test doubles framework for Python 3
 doublex is a test doubles framework for the Python unittest module. It may be
 used as a effective tool to perform Test Driven Development.
 .
 It provides stubs, spies, proxy-spies, mocks, individual methods, properties,
 etc. Methods support observer attachment or delegate return value generation
 to iterables, generators or even third party functions. A special double
 factory (called Mimic) allows one to create doubles inheriting original class
 superclasses. This provides replacements for the original instances even for
 code performing explicit type checking.

Package: python3-doxypypy
Description-md5: 5ec2c80a2dab741ff37fed9f1d30db8c
Description-en: More Pythonic version of doxypy, a Doxygen filter for Python
 For now Doxygen has limited support for Python. It recognizes Python
 comments, but otherwise treats the language as being more or less
 like Java. It doesn’t understand basic Python syntax constructs like
 docstrings, keyword arguments, generators, nested functions,
 decorators, or lambda expressions. It likewise doesn’t understand
 conventional constructs like doctests or ZOPE-style interfaces. It
 does however support inline filters that can be used to make input
 source code a little more like what it’s expecting.
 .
 The excellent doxypy makes it possible to embed Doxygen commands in
 Python docstrings, and have those docstrings converted to
 Doxygen-recognized comments on the fly per Doxygen’s regular input
 filtering process. It however does not address any of the other
 previously mentioned areas of difficulty.
 .
 This project started off as a fork of doxypy but quickly became quite
 distinct. It shares little (if any) of the same code at this point
 (but maintains the original license just in case). It is meant to
 support all the same command line options as doxypy, but handle
 additional Python syntax beyond docstrings.

Package: python3-dpkt
Description-md5: 91f05dbb6b6f588b67be78572d70903c
Description-en: Python 3 packet creation / parsing module for basic TCP/IP protocols
 Python 3 module for fast, simple packet creation / parsing, with support for
 basic protocols often related to TCP/IP protocols. This includes (but is not
 limited to):
  - ethernet
  - HTTP
  - ICMP
  - UDP
  - TCP/IP (v4 and v6)
  - BGP
  - radius
  - ssl
 and many other protocols as well as support for parsing pcap files.

Package: python3-dput
Description-md5: 75e5a89d6a9ac7a2865fa85d84682bae
Description-en: next generation Debian package upload tool (Python 3 library)
 dput-ng is a Debian package upload tool which provides an easy to use
 interface to Debian (like) package archive hosting facilities. It allows
 anyone who works with Debian packages to upload their work to a remote
 service, including Debian's ftp-master, mentors.debian.net, Launchpad or other
 package hosting facilities for Debian package maintainers.
 .
 This package provides Python library functions providing core functionality to
 dput-ng and tools building on top of that. This library provides upload
 classes, profile parser, sanity checks and helper functions required to build
 a dput service.
 .
 The recommended package python3-paramiko is needed to upload via SSH.

Package: python3-dracclient
Description-md5: cef3550839b2ec069c0e80df68d07594
Description-en: library for managing machines with Dell iDRAC cards - Python 3.x
 This package is a library for managing machines with Dell iDRAC cards. Besides
 normal IPMI stuff, it has BIOS settings list, set, RAID management, CPU list,
 and more.
 .
 This package contains the Python 3.x module.

Package: python3-drizzle
Description-md5: d641a747bf69c9589b161bdb2dc9b59d
Description-en: Dithered image combination for Python
 The drizzle library is a Python package for combining dithered images
 into a single image. This library is derived from code used in
 drizzlepac. Like drizzlepac, most of the code is implemented in the C
 language. The biggest change from drizzlepac is that this code passes
 an array that maps the input to output image into the C code, while
 the drizzlepac code computes the mapping by using a Python
 callback. Switching to using an array allowed the code to be greatly
 simplified.

Package: python3-drmaa
Description-md5: 064a05537fc1e445c922ab1c844b28e1
Description-en: interface to DRMAA-compliant distributed resource management systems
 This is a Python implementation of the Distributed Resource Management (DRM)
 Application API (DRMAA). It provides all high-level functionality necessary
 to consign a job to a DRM system (e.g. Sun Gridengine), including common
 operations on jobs, such as termination or suspension.

Package: python3-drms
Description-md5: 72c8b222890d128b22090ad4344bc7a6
Description-en: Access HMI, AIA and MDI data with Python
 The drms module provides an easy-to-use Python interface for
 accessing HMI, AIA and MDI data with Python. It uses the publicly
 accessible Joint Science Operations Center (JSOC) server by default,
 but can also be used with local NetDRMS sites.
 .
 The Helioseismic and Magnetic Imager (HMI) and the Atmospheric
 Imaging Assembly (AIA) are instruments aboard the Solar Dynamics
 Observatory (SDO) designed to study oscillations and the magnetic
 field at the solar surface. The Michelson Doppler Imager (MDI) is the
 predecessor to the current HMI and was launched aboard the Solar and
 Heliospheric Observatory (SOHO). HMI and MDI observe the full solar
 disk at 6173 Å with high resolution, while AIA is designed to study
 the solar corona.

Package: python3-dropbox
Description-md5: 9aabb05cdcbd12af8e9085e8e8ddb76d
Description-en: Official Dropbox API Client (Python3 version)
 A Python SDK for integrating with the Dropbox API v2. You need to create an
 Dropbox Application to make API requests. All requests need to be made with an
 OAuth 2 access token. To get started, once you've created an app, you can go to
 the app's console and generate an access token for your own Dropbox account.
 .
 This package contains the Python 3 version of the library.

Package: python3-drslib
Description-md5: c064d9c0c61deaa629f86845a98db01d
Description-en: Library for processing the CMIP5 Data Reference Syntax (Python3)
 CMIP5 is the Climate Model Intercomparison Project5.  This library supports
 the generation of paths and filenames corresponding to version 0.28 of thei
 CMIP Data Reference Syntax. It includes tools for converting from previous
 CMIP3 forms.

Package: python3-dsv
Description-md5: 2953a17edc6ef3ade7d50bb0b0ee71c8
Description-en: Python module for delimiter-separated-value files (Python 3)
 Python-DSV is an alternative to Python's standard csv module, with
 somewhat different usage and optional support for wxWidgets-mediated
 user interaction in the course of format autodetection.  Like the
 standard module, it supports a wide range of delimiters and handles
 both import and export.
 .
 This package installs the library for Python 3.

Package: python3-dtcwt
Description-md5: 2cf54df74a6e4d475c08d6953a30fbc0
Description-en: Dual-Tree Complex Wavelet Transform library for Python 3
 The dtcwt library provides a Python implementation of the 1, 2 and 3-D
 dual-tree complex wavelet transform along with some associated algorithms. It
 contains a pure CPU implementation which makes use of NumPy along with an
 accelerated GPU implementation using OpenCL.
 .
 This package provides the modules for Python 3.

Package: python3-dtfabric
Description-md5: 83255b0828eea8188b738eab368011c6
Description-en: Tooling for data type and structure management - Python 3.x
 Data types fabric (dtFabric) is a proof-of-concept YAML-based
 definition language to specify format and data types.
 .
 Supported data types
 .
  Storage data types, such as integers, characters, structures
  Semantic data types, such as constants, enumerations
  Layout data types, such as format, vectors, trees
 .
 This package contains the Python 3 version of the package.

Package: python3-duecredit
Description-md5: bc59925ba10291c85d0aea9fe4e8d5b4
Description-en: Publications (and donations) tracer
 duecredit is being conceived to address the problem of inadequate
 citation of scientific software and methods, and limited visibility of
 donation requests for open-source software.
 .
 It provides a simple framework (at the moment for Python only) to
 embed publication or other references in the original code so they are
 automatically collected and reported to the user at the necessary
 level of reference detail, i.e. only references for actually used
 functionality will be presented back if software provides multiple
 citeable implementations.
 .
 To get a sense of what duecredit is about, simply run
 or your analysis script with `-m duecredit`, e.g.
 .
     python3 -m duecredit examples/example_scipy.py

Package: python3-dugong
Description-md5: b3572beee7877ee697a814ccabee9d65
Description-en: HTTP 1.1 client module for Python
 The Python Dugong module provides an API for communicating with HTTP 1.1
 servers. It is an alternative to the standard library's http.client (formerly
 httplib) module. In contrast to http.client, Dugong:
 .
  * allows you to send multiple requests right after each other without having
    to read the responses first.
  * supports waiting for 100-continue before sending the request body.
  * raises an exception instead of silently delivering partial data if the
    connection is closed before all data has been received.
  * raises one specific exception (ConnectionClosed) if the connection has been
    closed (while http.client connection may raise any of BrokenPipeError,
    BadStatusLine, ConnectionAbortedError, ConnectionResetError, IncompleteRead
    or simply return '' on read)
  * supports non-blocking, asynchronous operation and is compatible with the
    asyncio module.
  * can in most cases distinguish between an unavailable DNS server and
    an unresolvable hostname.
  * is not compatible with old HTTP 0.9 or 1.0 servers.
 .
 All request and response headers are represented as str, but must be encodable
 in latin1. Request and response body must be bytes-like objects or binary
 streams.

Package: python3-dulwich
Description-md5: 0e652fa6210d2be4be4c8bcbadddd180
Description-en: Python Git library - Python3 module
 Dulwich is a Python implementation of the file formats and protocols
 used by the Git version control system. It can currently read from and write
 to existing Git repositories and implements the protocol for pushing and
 receiving packs from remote servers.
 .
 All functionality is available in pure Python, but for improved performance
 replacements of some modules written in C are also available. This package
 includes the high performance versions.
 .
 This package contains the module built for Python version 3.x.

Package: python3-dynamic-reconfigure
Description-md5: 97d1616cfed834810ef40f8c69ef88cd
Description-en: Robot OS dynamic-reconfigure library - Python 3 bindings
 This package is part of Robot OS (ROS), and contains the
 dynamic_reconfigure package which provides a means to change node
 parameters at any time without having to restart the node.
 .
 This package contains the Python 3 bindings.

Package: python3-easydev
Description-md5: a7cf893e52525407baf4f3198c50f1c7
Description-en: common utilities to ease the development of Python packages (Python 3)
 The package easydev provides miscellaneous functions that are often used in
 other Python packages. easydev should help developers in speeding up their
 own developments.
 .
 This package installs the library for Python 3.

Package: python3-easygui
Description-md5: 89efc49f8647a3ad338f333b9c3572ed
Description-en: module for very simple, very easy GUI programming in Python3
 This package provides the Python3 modules EasyGUI. Experienced Pythonistas
 need support for quick and dirty GUI features. New Python programmers need
 GUI capabilities that don't require any knowledge of Tkinter, frames,
 widgets, callbacks or lambda. This is what EasyGUI provides. Using EasyGUI,
 all GUI interactions are invoked by simple function calls.

Package: python3-easyprocess
Description-md5: d4f8bff81e3105538f8e9714c0d1eb88
Description-en: easy to use python subprocess interface - Python 3.X
 Features:
 .
  * layer on top of subprocess_ module
  * easy to start, stop programs
  * easy to get standard output/error, return code of programs
  * command can be list or string
  * logging
  * timeout
  * global config file with program aliases
  * shell is not supported
  * pipes are not supported
  * stdout/stderr is set only after the subprocess has finished
  * stop() does not kill whole subprocess tree
  * unicode support
  * Method chaining
 .
 This package contains the python 3 version of easyprocess

Package: python3-easywebdav
Description-md5: 268de51e14122ac54db1d9d213836f79
Description-en: straight-forward WebDAV client module (Python 3 interface)
 EasyWebDAV is an easy to use WebDAV client implementation for Python.
 It features:
  - Basic authentication
  - Creating directories, removing directories and files
  - Uploading and downloading files
  - Directory listing
  - Support for client side SSL certificates
 .
 This package provides the Python 3.x module.

Package: python3-ebooklib
Description-md5: 983605bfbbb7d15600ef0e419fdb06cd
Description-en: Python 3 E-book library for handling EPUB2/EPUB3/Kindle formats
 EbookLib is a Python library for managing EPUB2/EPUB3 and Kindle files. It's
 capable of reading and writing EPUB files programmatically (Kindle support is
 under development).
 .
 The API is designed to be as simple as possible, while at the same time making
 complex things possible too. It has support for covers, table of contents,
 spine, guide, metadata and more.

Package: python3-ecasound
Description-md5: f6a382d9afd4ef72660d8b4fadc7ffaf
Description-en: multitrack-capable audio recorder and effect processor (Python bindings)
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides ecasound's Python bindings.

Package: python3-eccodes
Description-md5: 6995e8164993eb8901d5860eb94f13d1
Description-en: Python 3 module Python interface to the ecCodes BUFR and GRIB de/encoder
 ecCodes is the ECMWF encoding/decoding software for GRIB and BUFR.
 This is a Python wrapper for the eCCodes library.
 .
 ECMWF is the European Centre for Medium-Range Weather Forecasts.

Package: python3-ecdsa
Description-md5: 3a2d04c64c6147001787c4d6d9fbe68c
Description-en: ECDSA cryptographic signature library (Python 3)
 This is an easy-to-use implementation of ECDSA cryptography (Elliptic Curve
 Digital Signature Algorithm), implemented purely in Python.  With this library,
 you can quickly create keypairs (signing key and verifying key), sign messages,
 and verify the signatures. The keys and signatures are very short, making them
 easy to handle and incorporate into other protocols.
 .
 This package contains the module for Python 3.

Package: python3-ecflow
Description-md5: 3b9b6741698e7be3f2253bc23fdd0cae
Description-en: Python3 libraries for ecFlow workflow tools
 ecFlow is a meteorological work flow package that enables
 users to run a large number of programs ( with dependencies
 on each other and on time) in a controlled environment.
 .
 This package contains Python3 modules used in writing ecflow scripts.

Package: python3-edgegrid
Description-md5: 4f249fca56e704683a288065e91a9de6
Description-en: Authentication handler for Akamai OPEN EdgeGrid Authentication scheme - Python 3
 An OPEN EdgeGrid API Client is the conduit between your application and the Akamai
 Intelligent Platform.
 .
 This library implements an Authentication handler for requests that provides the
 Akamai {OPEN} Edgegrid Authentication scheme.
 .
 This package contains the Python 3 module.

Package: python3-editobj3
Description-md5: 7992d4a53dccdcc5b8908915c8046b8a
Description-en: automatic dialog box generator for Python objects (Python 3)
 Editobj3 is an automatic dialog box generator for Python objects. It supports
 several backends (Qt, GTK, and HTML).
 .
 Editobj3 dialog boxes are composed of an attribute list, a luxurious
 good-looking but useless icon and title bar, and a tree view (if the edited
 object is part of a tree-like structure). Editobj3 includes an advanced
 introspection module that usually guesses how to edit any object; it can also
 be customized for a given class of object through the editobj3.introsp module.
 Editobj3 also supports the simultaneous edition of a group of objects, as if
 they were a single object.
 .
 This package contains the Python 3 module.

Package: python3-editor
Description-md5: 8c7bcf12d8cc99149dbb82ab1212421c
Description-en: programmatically open an editor, capture the result - Python 3.x
 python-editor is a library that provides the editor module for
 programmatically interfacing with your system's $EDITOR. The user can then
 enter a commit message for example.
 .
 Editor first looks for the  environment variable.  If set, it uses
 the value as-is, without fallbacks. If no $EDITOR is set, editor will search
 through a list of known editors, and use the first one that exists on the
 system. For example, on Linux, editor will look for the following editors in
 order:
  * vim
  * emacs
  * nano
 .
 When calling the edit() function, editor will open the editor in a
 subprocess, inheriting the parent process's stdin, stdout
 .
 This package contains the Python 3.x module.

Package: python3-editorconfig
Description-md5: b1d19aecefacae782fb3c22d56ad7647
Description-en: library for working with EditorConfig — Python 3
 EditorConfig makes it easy to maintain the correct coding style when
 switching between different text editors and between different
 projects.
 .
 When developing an editor plugin for reading EditorConfig files, the
 EditorConfig core code can be used to locate and parse these files.
 .
 This package installs the library for Python 3.

Package: python3-edlib
Description-md5: ed0de8ecbfe0977072f548ff6191fe28
Description-en: library for sequence alignment using edit distance (Python3 module)
 A lightweight and super fast C/C++ library for sequence alignment using
 edit distance.
 .
 Calculating edit distance of two strings is as simple as:
 .
  edlibAlign("hello", 5, "world!", 6,
             edlibDefaultAlignConfig()).editDistance;
 Features
 .
  * Calculates edit distance (Levehnstein distance).
  * It can find optimal alignment path (instructions how to transform
    first sequence into the second sequence).
  * It can find just the start and/or end locations of alignment path -
    can be useful when speed is more important than having exact
    alignment path.
  * Supports multiple alignment methods: global(NW), prefix(SHW) and
    infix(HW), each of them useful for different scenarios.
  * You can extend character equality definition, enabling you to e.g.
    have wildcard characters, to have case insensitive alignment or to
    work with degenerate nucleotides.
  * It can easily handle small or very large sequences, even when finding
    alignment path, while consuming very little memory.
  * Super fast thanks to Myers's bit-vector algorithm.
 .
 This package contains the Python3 module.

Package: python3-efilter
Description-md5: 70c1a0fad20db5d3043a48951b17c90d
Description-en: EFILTER query language (Python 3)
 EFILTER is a general-purpose destructuring and search language implemented in
 Python, and suitable for integration with any Python project that requires a
 search function for some of its data.
 .
 This package installs the library for Python 3.

Package: python3-einsteinpy
Description-md5: 3177305117e8eee627b5bdb745fe9b7b
Description-en: General Relativity in Python
 EinsteinPy is an open source pure Python package
 dedicated to problems arising in General Relativity
 and relativistic physics, such as goedesics plotting
 for schwarzschild space-time model, calculation of
 schwarzschild radius for any mass given, symbolic
 calculation of various functions related to GR such
 as christoffel symbols. Features like visualization
 of geodesics of curved black holes and 3D visualizations
 are some of the features which are planned.
 It is released under the MIT license.

Package: python3-elasticsearch
Description-md5: 6c7f0614651415eaf732c3bb33c7d69b
Description-en: Python client for Elasticsearch (Python3 version)
 Official low-level client for Elasticsearch. Its goal is to provide common
 ground for all Elasticsearch-related code in Python; because of this it tries
 to be opinion-free and very extendable.
 .
 The client's features include:
  * translating basic Python data types to and from json (datetimes are not
    decoded for performance reasons)
  * configurable automatic discovery of cluster nodes
  * persistent connections
  * load balancing (with pluggable selection strategy) across all available
    nodes
  * failed connection penalization (time based - failed connections won't be
    retried until a timeout is reached)
  * thread safety
  * pluggable architecture
 .
 This package contains the Python 3 version of the library.

Package: python3-eliot
Description-md5: 115f160e14855137140dff4a827afd09
Description-en: logging library for Python that tells you why things happen
 Most logging systems tell you what happened in your application,
 whereas eliot also tells you why it happened.
 .
 eliot is a Python logging system that outputs causal chains of actions:
 actions can spawn other actions, and eventually they either succeed or fail.
 The resulting logs tell you the story of what your software did: what
 happened, and what caused it.
 .
 Eliot works well within a single process, but can also be used across
 multiple processes to trace causality across a distributed system.
 .
 Eliot is only used to generate your logs; you will still need tools like
 Logstash and ElasticSearch to aggregate and store logs if you are using
 multiple processes.

Package: python3-emcee
Description-md5: 3837b34037cc1385d92e192c70fd565b
Description-en: Affine-invariant ensemble MCMC sampling for Python 3
 emcee is an extensible, pure-Python implementation of Goodman &
 Weare's Affine Invariant Markov chain Monte Carlo (MCMC) Ensemble
 sampler. It's designed for Bayesian parameter estimation.

Package: python3-empy
Description-md5: 11f63ca7d17aa21d9e9fac270281d492
Description-en: templating system for Python (Python 3)
 EmPy is a system for embedding Python expressions and statements
 in template text; it takes an EmPy source file, processes it, and
 produces output.
 This is accomplished via expansions, which are special signals
 to the EmPy system and are set off by a special prefix (by default
 the at sign, '@').  EmPy can expand arbitrary Python expressions
 and statements in this way, as well as a variety of special forms.
 Textual data not explicitly delimited in this way is sent unaffected
 to the output, allowing Python to be used in effect as a markup
 language.
 Also supported are "hook" callbacks, recording and playback via
 diversions, and dynamic, chainable filters.
 The system is highly configurable via command line options and
 embedded commands.
 .
 This is the Python 3 version of the package. This version installs
 the empy executable under the name "empy3"

Package: python3-enable
Description-md5: c51f2fe463072852ef4a0f0b2c91eae4
Description-en: Drawing and interaction packages (Python 3)
 The Enable project provides two related multi-platform packages for
 drawing GUI objects.
 .
   * Enable: An object drawing library that supports containment and
     event notification.
   * Kiva: A multi-platform DisplayPDF vector drawing engine.
 .
 This is the Python 3 version of the package.

Package: python3-enchant
Description-md5: c888de05396abd0bd00ac78cc02d8d6f
Description-en: spellchecking library for Python 3
 PyEnchant consists of Python bindings to the Enchant spellchecking
 library and some wrapper classes. It includes all the functionality
 of Enchant in a Pythonic object-oriented interface, and also provides
 some higher-level functionality which is not available in the C API.

Package: python3-enet
Description-md5: 0128c5ae83f8b537d46a4c7f170857d9
Description-en: Python3 wrapper for the ENet library
 python-enet provides a thin wrapper around the enet networking
 library. ENet is a thin layer to provide reliable communication over
 UDP.

Package: python3-engineio
Description-md5: 7d1544b724f333c8150a1863ff20556a
Description-en: python3 implementation of the Engine.IO realtime server
 This project implements an Engine.IO server that can run standalone or
 integrated with a python3 WSGI application. The following are some of its
 features:
 .
 Fully compatible with the Javascript engine.io-client library.
 Compatible with python3.
 Supports large number of clients even on modest hardware when used with an
 asynchronous server based on asyncio (sanic, aiohttp or tornado), eventlet or
 gevent. For development and testing, any WSGI compliant multi-threaded
 server can also be used.
 Includes a WSGI middleware that integrates Engine.IO traffic with standard
 WSGI applications.
 Uses an event-based architecture implemented with decorators that hides the
 details of the protocol.
 Implements HTTP long-polling and WebSocket transports.
 Supports XHR2 and XHR browsers as clients.
 Supports text and binary messages.
 Supports gzip and deflate HTTP compression.
 Configurable CORS responses to avoid cross-origin problems with browsers.

Package: python3-enigma
Description-md5: 5fc3a13237a62ae8720c0ded6ed81410
Description-en: historically accurate Enigma Machine library
 Py-Enigma is a Python 3 library for simulating the Enigma machines
 used by the German armed forces (Wehrmacht) during World War
 2. Py-Enigma makes it possible to both encrypt and decrypt messages
 that can be sent to, or received from, actual Enigma machines used by
 the German army (Heer), air force (Luftwaffe), and navy
 (Kriegsmarine).
 .
 This package installs the library for Python 3.

Package: python3-envisage
Description-md5: 65df8e484af129a194889a1774442a23
Description-en: Extensible Application Framework
 Envisage is a Python-based framework for building extensible
 applications, that is, applications whose functionality can be
 extended by adding "plug-ins". Envisage provides a standard mechanism
 for features to be added to an application, whether by the original
 developer or by someone else. In fact, when you build an application
 using Envisage, the entire application consists primarily of
 plug-ins. In this respect, it is similar to the Eclipse and Netbeans
 frameworks for Java applications.

Package: python3-envparse
Description-md5: d85ead32f0327dfa8b8f11788dbf4314
Description-en: environment variable parsing (Python 3)
 This package contains a simple utility to parse environment variables.
 os.environ is a great choice to start off with but over time you'll find
 yourself duplicating quite a bit of code around handling raw environment
 variables. envparse aims to eliminate this duplicated, often inconsistent
 parsing code and instead provide a single, easy-to-use wrapper.
 .
 This package installs the library for Python 3.

Package: python3-envs
Description-md5: 040da612760523bb2924dd1533701b49
Description-en: Easy access to environment variables in Python
 This library provides easy access to environment variables via
 Python objects instead of just strings.
 .
 It has support for booleans, strings, lists, tuples, integers, floats, and
 dicts.

Package: python3-enzyme
Description-md5: e08a4ab0c6aca13bf3a1b943f7da162f
Description-en: video metadata parser (Python 3)
 Python module to parse metadata in video files.
 .
 enzyme extracts metadata such as the type and encoding of video streams, type,
 encoding and language of audio streams, chapters, tags and subtitle tracks
 from video files.
 .
 This package contains the Python 3 module.

Package: python3-epc
Description-md5: 16c9ea72661ecf212756a47f8cb2eb86
Description-en: RPC stack for Emacs Lisp (Python3 version)
 EPC is an RPC stack for Emacs Lisp and Python-EPC is its server side
 and client side implementation in Python. Using Python-EPC, you can
 easily call Emacs Lisp functions from Python and Python functions
 from Emacs.
 .
 This package installs the library for Python 3.

Package: python3-ephem
Description-md5: ecb5aeb18fd6897c40ab2aea263fa698
Description-en: Compute positions of the planets and stars with Python
 PyEphem provides an ephem Python package for performing high-precision
 astronomy computations. The underlying numeric routines are coded in C and
 are the same ones that drive the popular XEphem astronomy application, whose
 author, Elwood Charles Downey, generously gave permission for their use in
 PyEphem.
 .
 The name ephem is short for the word ephemeris, which is the
 traditional term for a table giving the position of a planet, asteroid, or
 comet for a series of dates.

Package: python3-epr
Description-md5: 5a1f101eea6bd1356225449835c81821
Description-en: Python ENVISAT Product Reader API (Python 3)
 PyEPR provides Python bindings for the ENVISAT Product Reader C API
 (EPR API) for reading satellite data from ENVISAT ESA (European Space
 Agency) mission.
 .
 PyEPR, as well as the EPR API for C, supports ENVISAT MERIS, AATSR
 Level 1B and Level 2 and also ASAR data products. It provides access
 to the data either on a geophysical (decoded, ready-to-use pixel
 samples) or on a raw data layer. The raw data access makes it possible
 to read any data field contained in a product file.
 .
 This package contains pyepr for Python 3.

Package: python3-epr-dbg
Description-md5: ae17b31163514742c8c8888369d673b7
Description-en: Python ENVISAT Product Reader API (debug extension for Python 3)
 PyEPR provides Python bindings for the ENVISAT Product Reader C API
 (EPR API) for reading satellite data from ENVISAT ESA (European Space
 Agency) mission.
 .
 PyEPR, as well as the EPR API for C, supports ENVISAT MERIS, AATSR
 Level 1B and Level 2 and also ASAR data products. It provides access
 to the data either on a geophysical (decoded, ready-to-use pixel
 samples) or on a raw data layer. The raw data access makes it possible
 to read any data field contained in a product file.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-escript
Description-md5: 161221349900ce5c5e10a39dad0d9ca4
Description-en: Escript/Finley finite elements Python3 system (with OpenMP)
 Escript is a programming tool for implementing mathematical models in Python
 using the finite element method (FEM). Because users do not access the data
 structures it is very easy to use and scripts can run on desktop computers as
 well as highly parallel supercomputer without changes. Application areas for
 escript include earth mantle convection, geophysical inversion, earthquakes,
 porous media flow, reactive transport, plate subduction, erosion, and
 tsunamis.
 .
 Scripts can be executed in parallel (on varying numbers of threads or nodes)
 without any special modifications.
 .
 Escript now includes the esys.downunder module for 3D inversion of geophysical
 data sets. The current version supports gravity, magnetic and joint inversion.
 .
 This package contains the commands and libraries for Python3 with
 OpenMP threading and MPI multiprocess support.

Package: python3-escript-mpi
Description-md5: fca9a2727bc4a045bd7f00e0f16e5aa7
Description-en: Escript/Finley finite elements Python3 system (OpenMP + MPI)
 Escript is a programming tool for implementing mathematical models in Python
 using the finite element method (FEM). Because users do not access the data
 structures it is very easy to use and scripts can run on desktop computers as
 well as highly parallel supercomputer without changes. Application areas for
 escript include earth mantle convection, geophysical inversion, earthquakes,
 porous media flow, reactive transport, plate subduction, erosion, and
 tsunamis.
 .
 Scripts can be executed in parallel (on varying numbers of threads or nodes)
 without any special modifications.
 .
 Escript now includes the esys.downunder module for 3D inversion of geophysical
 data sets. The current version supports gravity, magnetic and joint inversion.
 .
 This package contains the commands and libraries for Python3 with
 both OpenMP threading and MPI multiprocess support.

Package: python3-esmre
Description-md5: 89ee6a2fdffaa32ff4fa2c05b540c9c3
Description-en: Regular expression acceleration in Python3
 esmre is a Python module that can be used to speed up the execution of a large
 collection of regular expressions. It works by building a index of compulsory
 substrings from a collection of regular expressions, which it uses to quickly
 exclude those expressions which trivially do not match each input.
 .
 This is the Python 3 version of the package.

Package: python3-espeak
Description-md5: 8fa9a62634571ff57803f31fc8795ee2
Description-en: Python bindings for eSpeak
 eSpeak is a software speech synthesizer for English, and some other
 languages.
 .
 eSpeak produces good quality English speech. It uses a different synthesis
 method from other open source text to speech (TTS) engines, and sounds quite
 different. It's perhaps not as natural or "smooth", but some find the
 articulation clearer and easier to listen to for long periods.
 .
 This package contains bindings to use eSpeak from within Python
 applications.
 .
 Be aware that python-espeak is still in an early state; it's incomplete
 and the API may change in future versions.

Package: python3-et-xmlfile
Description-md5: f22a3500337199cf7f4da97bc56fe315
Description-en: low memory library for creating large XML files (Python 3)
 et_xmlfile is based upon the xmlfile module from lxml with the aim of
 allowing code to be developed that will work with both libraries. It was
 developed initially for the openpyxl project but is now a standalone module.
 .
 This package installs the library for Python 3.

Package: python3-etcd
Description-md5: 87929afe19ef42b14bd4340da4418a30
Description-en: Python Etcd client library - Python3 module
 Client library for accessing and manipulating etcd contents.
 .
 This package contains the module built for Python version 3.x.

Package: python3-etcd3gw
Description-md5: 9a43ccd87d809cd9ba588bbd628a469c
Description-en: client for etcd3 grpc-gateway v3 API - Python 3.x
 A python client for etcd3 grpc-gateway v3alpha API.
 .
 This package contains the Python 3.x module.

Package: python3-ethtool
Description-md5: 7e6a97883d00c2c5fc3b5c823cca765e
Description-en: Python bindings for the ethtool kernel interface - Python 3.x
 Allows querying and changing of ethernet card settings, such as speed,
 port, autonegotiation, and PCI locations.
 .
 This package provides the Python 3.x module.

Package: python3-evdev
Description-md5: 8c69b0df818b35392c8b99763562d437
Description-en: Python 3 bindings for the Linux input subsystem
 This package provides bindings to the generic input event interface
 in Linux. The evdev interface serves the purpose of passing events
 generated in the kernel directly to userspace through character
 devices that are typically located in /dev/input/.
 .
 This package also comes with bindings to uinput, the userspace input
 subsystem. Uinput allows userspace programs to create and handle
 input devices that can inject events directly into the input
 subsystem.
 .
 This package installs the library for Python 3.

Package: python3-evemu
Description-md5: 0596af802710bcd74eb97864c3283f55
Description-en: Linux Input Event Device Emulation Library - Python3 bindings
 The evemu library and tools are used to describe devices, record data,
 create emulation devices and replay data from kernel evdev (input event)
 devices.
 .
 This package contains the Python3 bindings for the evemu library.

Package: python3-evtx
Description-md5: d220a3bdf7647eb46f3948481b82de2a
Description-en: parser for recent Windows Event Log files -- Python 3 version
 This module provides programmatic access to the File and Chunk
 headers, record templates, and event entries from Microsoft Windows
 Vista and later.
 .
 This package contains modules for Python 3.

Package: python3-ewmh
Description-md5: c6799c22af5591d31b698b668f720100
Description-en: Python interface to EWMH-compliant window managers (Python 3)
 python-ewmh is a 100% pure Python implementation of the EWMH (Extended
 Window Manager Hints) protocol, which can be used to query and control
 EWMH-compliant window managers.
 .
 This package installs the library for Python 3.

Package: python3-exabgp
Description-md5: b13fb8fa75c739d856bc1c3ca2097161
Description-en: BGP swiss army knife of networking - Python 3 module
 ExaBGP allows engineers to control their network from commodity
 servers. Think of it as Software Defined Networking using BGP by
 transforming BGP messages into friendly plain text or JSON.
 .
 Current documented use cases include DDOS mitigation, network
 visualisation, service high availability, anycast.
 .
 It features ASN4 (RFC 4893), IPv6 (RFC 4760), MPLS (RFC 4659), VPLS
 (RFC 4762), Flow (RFC 5575), Graceful Restart (RFC 4724), Enhanced
 Route Refresh (RFC 7313), AIGP (RFC 7311) and more.
 .
 This package provides the Python 3 module of exabgp.

Package: python3-exactimage
Description-md5: e47e4ef00b54dbe0a61365bacf616cfa
Description-en: fast image manipulation library (Python3 bindings)
 ExactImage is a fast C++ image processing library. Unlike many other library
 frameworks it allows operation in several color spaces and bit depths
 natively, resulting in low memory and computational requirements.
 .
 This package contains the Python3 bindings.

Package: python3-exam
Description-md5: 28168891799234b57aad301daa178b3b
Description-en: Python module to help write better tests
 Exam is a Python toolkit for writing better tests.  It aims to remove
 a lot of the boiler plate testing code one often writes, while still
 following Python conventions and adhering to the unit testing
 interface.
 .
 Aside from the obvious "does the code work?", writings tests has many
 additional goals and benefits:
 .
 - If written semantically, reading tests can help demonstrate how the
 code is supposed to work to other developers.
 .
 - If quick running, tests provide feedback during development that
 your changes are working or not having an adverse side effects.
 .
 - If they're easy to write correctly, developers will write more tests
 and they will be of a higher quality.
 .
 Unfortunately, the common pattern for writing Python unit tests tends
 to not offer any of these advantages. Often times results in
 inefficient and unnecessarily obtuse testing code. Additionally,
 common uses of the mock library can often result in repetitive
 boiler-plate code or inefficiency during test runs.
 .
 exam aims to improve the state of Python test writing by providing a
 toolkit of useful functionality to make writing quick, correct and
 useful tests and as painless as possible.
 .
 This package installs the library for Python 3.

Package: python3-exchangelib
Description-md5: 17219aff6b8d7a3b29c290e70f8be7e4
Description-en: Client for Microsoft Exchange Web Services (Python3 version)
 This module provides an well-performing, well-behaving, platform-independent
 and simple interface for communicating with a Microsoft Exchange 2007-2016
 Server or Office365 using Exchange Web Services (EWS). It currently implements
 autodiscover, and functions for searching, creating, updating, deleting,
 exporting and uploading calendar, mailbox, task, contact and distribution list
 items.
 .
 This package contains the Python 3 version of the library.

Package: python3-execnet
Description-md5: 7b37584b6bdc29b861e3880e1eb53f8c
Description-en: rapid multi-Python deployment (Python 3)
 execnet provides carefully tested means to ad-hoc interact with Python
 interpreters across version, platform and network barriers. It provides
 a minimal and fast API targeting the following uses:
 .
  * distribute tasks to local or remote CPUs
  * write and deploy hybrid multi-process applications
  * write scripts to administer a bunch of exec environments
 .
 This package contains execnet for Python 3.

Package: python3-exif
Description-md5: d95dcf73b0fe59e7a4528a96a8594236
Description-en: Transition package for python3-exifread
 This is a Python library to extract Exif information from digital camera
 image files. It contains the EXIF.py script and the exifread library.
 .
 This is a dummy package.

Package: python3-exifread
Description-md5: efd1158f9f191e9f8c9a5368bf65dd34
Description-en: Python library to extract Exif data from TIFF and JPEG files
 This is a Python library to extract Exif information from digital camera
 image files. It contains the EXIF.py script and the exifread library.
 .
 This package provides the Python 3.x module.

Package: python3-exotel
Description-md5: b70259e7417fd4b9f4a8e0eb8db476e2
Description-en: Python library for exotel APIs (Python 3)
 This package contains a Python module for exotels call and SMS APIs
 (unofficial).
 .
 This package installs the library for Python 3.

Package: python3-expeyes
Description-md5: 56e589ba3b5716d80874391eeb335061
Description-en: Python3 library for expeyes
 This package provides low level interfaces for making science experiments
 with an ExpEYES box. The library allows one to develop new programs easily
 .
 ExpEYES is from the PHOENIX project of Inter-University Accelerator
 Centre, New Delhi. It is a hardware & software framework for
 developing science experiments, demonstrations and projects and learn
 science and engineering by exploration. Capable of doing real time
 measurements and analysing the data in different ways. Analog
 voltages are measured with 0.025% resolution and time intervals with
 one microsecond. This project is based on Free and Open Source
 software, mostly written in Python programming language. The hardware
 design is also open.

Package: python3-expiringdict
Description-md5: 94f30d16c86deac011787a8658fe5406
Description-en: Python3 caching libarry
 expiringdict is a Python caching library, providing an ordered
 dictionary with auto-expiring values for caching purposes. Expiration
 happens on any access, object is locked during cleanup from expired
 values.  ExpiringDict stores at most a maximum number of elements -
 the oldest will be deleted.
 .
 This is the Python 3 version of this package.

Package: python3-extractor
Description-md5: b51235972018a5f1f2c2df7b8f6046b3
Description-en: extracts meta-data from files of arbitrary type (Python bindings)
 GNU libextractor provides developers of file-sharing networks, file managers,
 and WWW-indexing bots with a universal library to obtain meta-data about files.
 .
 This package contains the Python bindings.

Package: python3-eyed3
Description-md5: b4d22a223dcc57515507ff05e4080b4c
Description-en: Python module for id3-tags manipulation (Python 3 version)
 A Python module for the manipulation of ID3 tags. It supports versions
 1.0, 1.1, 2.3, and 2.4 of the ID3 standard. It can also retrieve
 information such as length and bit rate from an MP3 file.
 .
 This is the Python 3 version of the package.

Package: python3-fabio
Description-md5: 64f5ad9d72ec97e968ee4ab1244f7420
Description-en: I/O library for images produced by 2D X-ray detector - Python3
 FabIO is an I/O library for images produced by 2D X-ray detectors and
 written in Python. FabIO support images detectors from a dozen of
 companies (including Mar, Dectris, ADSC, Hamamatsu, Oxford, ...), for
 a total of 20 different file formats (like CBF, EDF, TIFF, ...) and
 offers an unified interface to their headers (as a Python dictionary)
 and datasets (as a numpy ndarray of integers or floats)
 .
 This is the Python 3 version of the package.

Package: python3-fabio-dbg
Description-md5: 7e753eb03e94a1b0bc0a74005911828c
Description-en: I/O library for images produced by 2D X-ray detector - Python3 debug
 FabIO is an I/O library for images produced by 2D X-ray detectors and
 written in Python. FabIO support images detectors from a dozen of
 companies (including Mar, Dectris, ADSC, Hamamatsu, Oxford, ...), for
 a total of 20 different file formats (like CBF, EDF, TIFF, ...) and
 offers an unified interface to their headers (as a Python dictionary)
 and datasets (as a numpy ndarray of integers or floats)
 .
 This package contains the extension built for the Python 3 debug
 interpreter.

Package: python3-fabric
Description-md5: 6dc4cbb49d2b72c9a82d39a597494411
Description-en: Simple Pythonic remote deployment tool
 Fabric is designed to upload files and run shell commands on a number of
 servers in parallel or serially. These commands are grouped in tasks (which
 are regular Python functions) and specified in a 'fabfile.'
 .
 It is similar to Capistrano, except it's implemented in Python and doesn't
 expect you to be deploying Rails applications.
 .
 This package contains the Python 3 modules.

Package: python3-fabulous
Description-md5: fbb2657bc851236a325e490d83f1518a
Description-en: Python module to make your terminal output fabulous
 Fabulous is a Python library designed to make the output of terminal
 applications look fabulous. Fabulous allows you to print colors, images, and
 stylized text to the console (without curses.) Fabulous also offers features
 to improve the usability of Python's standard logging system.

Package: python3-factory-boy
Description-md5: 2c6a3b9ee49474e5e65a743a7f67a170
Description-en: test fixtures replacement based on factory_girl for Ruby - Python 3.x
 factory_boy is a fixtures replacement based on thoughtbot's factory_girl. Like
 factory_girl it has a straightforward definition syntax, support for multiple
 build strategies (saved instances, unsaved instances, attribute dicts, and
 stubbed objects), and support for multiple factories for the same class,
 including factory  inheritance.
 .
 Django support is included, and support for other ORMs can be easily added.
 .
 This package provides the Python 3.x module.

Package: python3-fake-factory
Description-md5: bd8199ac3d04d6ce7bc39c53b9d9fcdb
Description-en: Faker is a Python library that generates fake data (Python 3)
 The fake data can be used to bootstrap a database, create XML documents, or
 anonymize data taken from a production service.
 .
 This is the Python 3 version of the package.

Package: python3-fakeredis
Description-md5: c6be3d53e2f94bcb44fa2c7092e68ce8
Description-en: Fake version of a redis-py
 fakeredis is a pure Python implementation of the redis-py Python client that
 simulates talking to a redis server. This was created for a single purpose:
 to write unittests. Setting up redis is not hard, but many times you want to
 write unittests that do not talk to an external server (such as redis).
 This module now allows tests to simply use this module as a reasonable
 substitute for redis.

Package: python3-fakesleep
Description-md5: 673e2afa858d12f763689508a64517a2
Description-en: Fake version of time.sleep() for use in tests
 The fakesleep module helps testing code that use `time.sleep()`. Sleeping in
 tests is generally considered bad (as it makes tests run slow). Using
 sleep with `time.time()` can lead to spurious failures, as clock
 precision / interpreter overhead can cause small differences in reported
 times.
 .
 This package provides the Python 3.x module.

Package: python3-falcon
Description-md5: 85f1d9e9c94098afac75d2fb7d4cdc55
Description-en: supersonic micro-framework for building cloud APIs - Python 3.x
 Falcon is a high-performance Python framework for building cloud APIs. It
 encourages the REST architectural style, and tries to do as little as possible
 while remaining highly effective.
 .
 Unlike other Python web frameworks, Falcon won't bottleneck your API's
 performance under highly concurrent workloads. Many frameworks max out at
 serving simple "hello world" requests at a few thousand req/sec, while Falcon
 can easily serve many more on the same hardware.
 .
 Falcon isn't very opinionated. In other words, the framework leaves a lot of
 decisions and implementation details to you.
 .
 Features:
  * Intuitive routing via URI templates and resource classes
  * Easy access to headers and bodies through request and response classes
  * Idiomatic HTTP error responses via a handy exception base class
  * DRY request processing using global, resource, and method hooks
  * Snappy unit testing through WSGI helpers and mocks
  * 20% speed boost when Cython is available
  * Python 2.6, Python 2.7, PyPy and Python 3.3 support
 .
 This package provides the Python 3.x module.

Package: python3-fann2
Description-md5: bd7766b851cb1d68579040ab42297d54
Description-en: Python 3 bindings for FANN
 Fast Artificial Neural Network Library is a free open source neural network
 library, which implements multilayer artificial neural networks in C with
 support for both fully connected and sparsely connected networks.
 .
 This package contains the Python 3 bindings for FANN.

Package: python3-fast-histogram
Description-md5: 9ef0a65847195355b8c79acfc2dadcaa
Description-en: Fast 1D and 2D histogram functions in Python
 fast-histogram aims to provide simple and fast histogram functions for
 regular bins that don't compromise on performance. It doesn't do
 anything complicated - it just implements a simple histogram algorithm in C and
 keeps it simple. The aim is to have functions that are fast but also robust and
 reliable. The result is a 1D histogram function here that is 7-15x faster than
 numpy.histogram, and a 2D histogram function that is 20-25x faster than
 numpy.histogram2d.

Package: python3-fast5
Description-md5: 958ed5394333b52acc452eb50a652b9b
Description-en: library for reading Oxford Nanopore Fast5 files -- Python 3
 A lightweight C++11 library to read raw signal data from Oxford
 Nanopore's FAST5 files.
 .
 This package provides the Python 3 library

Package: python3-fastchunking
Description-md5: 612f51d64b697a87d36a3fb5a9d3fb06
Description-en: Fast text chunking algorithms for Python (Python 3)
 This package contains a Python library that contains efficient and easy-to-use
 implementations of string chunking algorithms.
 .
 This package installs the library for Python 3.

Package: python3-fastcluster
Description-md5: 6c6213f1ce213becdc440deefb803999
Description-en: Fast hierarchical clustering routines for Python 3
 This library provides Python functions for hierarchical
 clustering. It generates hierarchical clusters from distance matrices
 or from vector data.
 .
 Part of this module is intended to replace the functions
 .
     linkage, single, complete, average, weighted, centroid, median, ward
 .
 in the module scipy.cluster.hierarchy with the same functionality but
 much faster algorithms. Moreover, the function 'linkage_vector'
 provides memory-efficient clustering for vector data.
 .
 The interface is very similar to MATLAB's Statistics Toolbox API to
 make code easier to port from MATLAB to Python/Numpy. The core
 implementation of this library is in C++ for efficiency.
 .
 This package provides the package's Python 3 interface.

Package: python3-fastentrypoints
Description-md5: 26bc2811fe2bd5b0cb72ee9a75c811f8
Description-en: Make entry_points specified in setup.py load more quickly
 Using entry_points in your setup.py makes scripts that start really slowly
 because it imports pkg_resources, which is a horrible thing to do if you
 want your trivial script to execute more or less instantly.
 .
 fastentrypoints aims to fix that bypassing pkg_resources, making scripts
 load a lot faster.

Package: python3-fastimport
Description-md5: 2799877234a774c99641df3d5e3e4613
Description-en: Fastimport file format parser and generator library
 Python library for parsing and generating the fastimport file format.
 The fastimport format is used for serializing version control histories.
 .
 This package contains the module built for Python version 3.x.

Package: python3-fastkml
Description-md5: dd4c65bbf6d49817725c03242c618398
Description-en: fast KML processing (Python 3)
 Fastkml is a library to read, write and manipulate KML files. It aims to keep
 it simple and fast (using lxml if available). Fast refers to the time you
 spend to write and read KML files as well as the time you spend to get
 acquainted to the library or to create KML objects.

Package: python3-fava
Description-md5: 3d2d93d79a97d5158f098e1715870b19
Description-en: Web interface for the double-entry accounting tool Beancount
 Fava is a web interface for the plain-text, double-entry bookkeeping
 tool and language Beancount.
 .
 An online demo of Fava is available at https://fava.pythonanywhere.com .
 .
 For more information about Beancount itself see the beancount Debian
 package.

Package: python3-fdb
Description-md5: 621d3d4246d4a795f5fb43b6d328da9c
Description-en: Python3 DB-API driver for Firebird
 FDB is a Python library package that implements Python Database API
 2.0-compliant support for the open source relational database Firebird®.
 In addition to the minimal feature set of the standard Python DB API,
 FDB also exposes nearly the entire native client API of the database
 engine.  This version installs the Python3 byte code.
 .
 FDB is a replacement for python-kinterbasdb, which is no longer
 maintained by upstream.

Package: python3-feather-format
Description-md5: 8d7f86af431cc2a4bdf85d2ce1ddd02a
Description-en: Python interface to the Apache Arrow-based Feather File Format (Python 3)
 Feather is binary columnar serialization for data frames. It is
 designed to read and write data frames very efficiently, and to make it
 easy to share data across multiple data analysis languages.
 .
 This package provides python3 binding for feather format.

Package: python3-feature-check
Description-md5: 4937491f19899e6913ebf4114f863d12
Description-en: query a program for supported features - Python 3.x library
 The feature-check tool obtains the list of supported features from
 a program via various methods (e.g. running it with the --features
 command-line option) and allows other programs to check for
 the presence and, possibly, versions of specific features.
 .
 This package contains the Python 3.x library.

Package: python3-fedora
Description-md5: c3addf9c9fbd1b66445e18f3be282bf4
Description-en: Python modules for interacting with Fedora Services (Python 3)
 The python-fedora module provides a Python API for connecting to web
 services provided by the fedora infrastructure.
 .
 Specifically, this package provides clients for the Fedora Account
 System, for the Fedora Package Database, for the Fedora Build System
 (bodhi), and for the Fedora wiki, as well as a more generic client for
 the other Fedora web services.
 .
 This package installs the library for Python 3.

Package: python3-feedgenerator
Description-md5: 6680984589c58d81a4b084777eec8021
Description-en: Syndication feed generation library (Python 3 version)
 Feedgenerator is a standalone version of Django's feedgenerator, which
 is a syndication feed generation library used for generating RSS.
 .
 This is the Python 3 version of the feedgenerator module.

Package: python3-ferret
Description-md5: fe860b69c27804876aa0d564945a8fc1
Description-en: Python3 wrapper for the Ferret visualization and analysis environment,
 Ferret is an interactive computer visualization and analysis environment
 designed to meet the needs of oceanographers and meteorologists analyzing
 large and complex gridded data sets. It can transparently access extensive
 remote Internet data bases using OPeNDAP (formerly known as DODS)
 .
 This is the Python3 wrapper for Ferret

Package: python3-ffc
Description-md5: 44b15667912cb3e28bf13b9131f66695
Description-en: compiler for finite element variational forms (Python 3)
 The FEniCS Form Compiler FFC provides state-of-the-art automatic and
 efficient evaluation of general multilinear forms (variational
 formulations) for FEniCS. FFC functions as the form evaluation
 system for DOLFIN but can also be used to compile forms for other
 systems.
 .
 FFC works as a compiler for multilinear forms by generating code (C
 or C++) for the evaluation of a multilinear form given in
 mathematical notation. This new approach to form evaluation makes it
 possible to combine generality with efficiency; the form can be given
 in mathematical notation and the generated code is as efficient as
 hand-optimized code.
 .
 This package installs the library for Python 3.

Package: python3-fiat
Description-md5: bc6dede12563627381fb27dbda42d181
Description-en: tabulation of finite element function spaces (Python 3)
 The FInite element Automatic Tabulator FIAT supports generation of arbitrary
 order instances of the Lagrange elements on lines, triangles, and tetrahedra.
 Other supported elements include arbitrary order Raviart-Thomas elements,
 Brezzi-Douglas-Marini elements and a few others.
 .
 This package installs the library for Python 3.

Package: python3-fido2
Description-md5: 3c90b6b6e8cc2efd4d5acbba3a4d4730
Description-en: Python library for implementing FIDO 2.0
 A Python library for communicating with a FIDO device over USB HID as
 well as verifying attestation and assertion signatures.
 .
 FIDO (Fast Identity Online) is a set of protocols, including U2F (Universal 2nd
 Factor) and UAF (Universal Authentication Framework), that support usable,
 strong authentication of users using hardware tokens.

Package: python3-fife
Description-md5: e0baa100ef078b23019808e5d303ed95
Description-en: FIFE is a multi-platform isometric game engine
 FIFE stands for Flexible Isometric Free Engine and is a cross
 platform game creation framework written in C++. It provides you with
 the ability to create a game using Python interfaces. FIFE also comes
 as a DLL or static library so you can use C++ as well.

Package: python3-file-encryptor
Description-md5: d907257e1c38135eabb5ac17fd666df4
Description-en: Python 3 module to convergently encrypt and decrypt files
 This Python 3 module contains helper methods to encrypt and decrypt files
 inline (without using extra space) and to decrypt streams.

Package: python3-filelock
Description-md5: 85b9c60c63af7f2b18c53d7a2b9800d3
Description-en: platform independent file locking module
 This package contains a single module, which implements a platform independent
 file locking mechanism for Python. The lock includes a lock counter and is
 thread safe. This means, when locking the same lock object twice, it will not
 block.

Package: python3-fiona
Description-md5: 18f9774796849a9721c4cd7e95dce662
Description-en: Python 3 API for reading/writing vector geospatial data
 Fiona is a Python wrapper around the OGR vector data abstraction library.
 Fiona is designed to be simple and dependable. It focuses on reading
 and writing data in standard Python IO style and relies upon familiar
 Python types and protocols such as files, dictionaries, mappings, and
 iterators instead of classes specific to OGR. Fiona can read and write
 real-world data using multi-layered GIS formats and zipped virtual
 file systems and integrates readily with other Python GIS packages
 such as pyproj, Rtree, and Shapely.
 .
 This package provides the Python 3 API

Package: python3-fire
Description-md5: 0fbfb728c6a4e660293b8856dcd106aa
Description-en: automatically generate CLIs from absolutely any Python object
 Python Fire is a library for automatically generating command line interfaces
 (CLIs) from absolutely any Python object.
 .
  * Python Fire is a simple way to create a CLI in Python.
  * Python Fire is a helpful tool for developing and debugging Python code.
  * Python Fire helps with exploring existing code or turning other people's
    code into a CLI.
  * Python Fire makes transitioning between Bash and Python easier.
  * Python Fire makes using a Python REPL easier by setting up the REPL with
    the modules and variables you'll need already imported and created.

Package: python3-firewall
Description-md5: af886a0978346647c973846fe9db7375
Description-en: Python3 bindings for firewalld
 firewalld is a dynamically managed firewall daemon with support for
 network/firewall zones to define the trust level of network connections
 or interfaces. It has support for IPv4, IPv6 firewall settings and for
 ethernet bridges and has a separation of runtime and persistent
 configuration options.
 It also provides a D-Bus interface for services or applications to add
 and apply firewall rules on-the-fly.
 .
 This package provides Python3 bindings for firewalld.

Package: python3-first
Description-md5: e7365c57d26d1c8766a5a5e1e0097533
Description-en: simple function that returns the first true value from an iterable
 A MIT licensed Python package with a simple function that returns the
 first true value from an iterable, or None if there is none. If you need
 more power, you can also supply a key function that is used to judge the
 truth value of the element or a default value if None doesn’t fit your
 use case.

Package: python3-fisx
Description-md5: 0e4ad68f886d704b1ad561cc2572203b
Description-en: Quantitative X-Ray Fluorescence Analysis Support Library - (Python 3)
 This software library implements formulas to calculate, given an
 experimental setup, the expected x-ray fluorescence intensities. The
 library accounts for secondary and tertiary excitation, K, L and M
 shell emission lines and de-excitation cascade effects. The basic
 implementation is written in C++ and a Python binding is provided.
 .
 This is the Python 3 version of the package.

Package: python3-fisx-dbg
Description-md5: 3dad6129a43998361f315a1f62581fab
Description-en: Quantitative X-Ray Fluorescence Analysis Support Library - (Python 3 Debug)
 This software library implements formulas to calculate, given an
 experimental setup, the expected x-ray fluorescence intensities. The
 library accounts for secondary and tertiary excitation, K, L and M
 shell emission lines and de-excitation cascade effects. The basic
 implementation is written in C++ and a Python binding is provided.
 .
 This is the Python 3 Debug version of the package.

Package: python3-fitbit
Description-md5: 9201dfa0922e9d00c0e7a7f6c91c84ac
Description-en: FitBit REST API Client Implementation - Python 3
 A Python module containing an implementation of a client for the FitBit
 REST API. It uses OAuth for authentication, it supports both US and SI
 units.
 .
 This package contains the Python 3 module.

Package: python3-fitsio
Description-md5: 764444aae34487203eab60ce5b16e38b
Description-en: Python 3 library to read from and write to FITS files
 Fitsio provides an easy to use object-oriented API including
 providing a Numpy interface to FITS files read from CFITSIO. It
 allows direct access to the columns of a FITS binary table which can
 be useful for reading large fits files. Compared to astropy.io.fits,
 this package is closer to the underlying cfitsio library.
 .
 Fitsio also exposes a microlanguage (implemented in CFITSIO) for
 making efficient SQL-like queries of tables (single tables only
 though–no joins or anything like that).

Package: python3-fitz
Description-md5: 1b264cc3bd2489ead54ea158943957b5
Description-en: Python binding for MuPDF
 Allows one to access files in PDF, XPS, OpenXPS, CBZ, EPUB, and FB2 (e-books)
 formats, and it is known for its top performance and high rendering quality.
 .
 PDF manipulation and generation functions are available, including metadata
 and bookmark maintenance, document restructuring, annotation / link handling
 and document or page creation.

Package: python3-fiu
Description-md5: 05390b922b968c08d14856b992b26b8c
Description-en: userspace fault injection framework (Python 3 bindings)
 libfiu is a C library for fault injection. It provides functions to mark
 "points of failure" inside your code (the "core API"), and functions to
 enable/disable the failure of those points (the "control API").
 .
 This package contains Python 3 bindings to libfiu.

Package: python3-flake8
Description-md5: 2ce5d35e72b6eb7696719df6e1ed4fd4
Description-en: code checker using pycodestyle and pyflakes - Python 3.x
 Flake8 is a wrapper around PyFlakes, pycodestyle, and Ned's McCabe script.
 Flake8 runs all tools by launching the single 'flake8' script, but ignores
 pycodestyle and PyFlakes extended options and just uses defaults. It displays
 the warnings in a per-file, merged output.
 .
 This package provides Python 3 module bindings only.

Package: python3-flake8-docstrings
Description-md5: 0f29d2dd0cadf6c3a72d7f96b3268e85
Description-en: flake8 extension to check docstrings
 A simple module which integrates pydocstyle to flake8.
 .
 pydocstyle is a standalone docstring style checker.
 .
 flake8 is a modular source code checker for Python.
 .
 This package provides the modules for Python 3.

Package: python3-flake8-polyfill
Description-md5: 6d504dfef767d043c25b66797a2975e4
Description-en: polyfill package for Flake8 plugins
 Flake8-polyfill is a package that provides some compatibility helpers for
 Flake8 plugins that intend to support Flake8 2.x and 3.x simultaneously.
 .
 This package provides the modules for Python 3.

Package: python3-flaky
Description-md5: 4a5e656e114e9be66dc200af9506b087
Description-en: Plugin for nose or py.test that automatically reruns flaky tests (Python 3)
 Flaky is a plugin for nose or py.test that automatically reruns flaky tests.
 .
 Ideally, tests reliably pass or fail, but sometimes test fixtures must rely on
 components that aren't 100% reliable. With flaky, instead of removing those
 tests or marking them to @skip, they can be automatically retried.
 .
 This package contains the Python 3 version of flaky.

Package: python3-flasgger
Description-md5: 74081a6d0c2eca48b05efc4b27710707
Description-en: Extract swagger specs from your flask project
 Flasgger is a Flask extension to extract OpenAPI-Specification from all
 Flask views registered in your API.
 It also comes with SwaggerUI embedded so you can access
 http://localhost:5000/apidocs and visualize and interact
 with your API resources.
 It also provides validation of the incoming data, using the same specification
 it can validates if the data received as a POST, PUT, PATCH is valid against
 the schema defined using YAML, Python dictionaries or Marshmallow Schemas.
 Flasgger can work with simple function views or MethodViews using docstring
 as specification, or using @swag_from decorator to get specification from
 YAML or dict and also provides SwaggerView which can use
 Marshmallow Schemas as specification.

Package: python3-flask-api
Description-md5: 5a3cfbde6eb435f66f1826464092d2eb
Description-en: Browsable web APIs for the Flask micro web framework
 Flask API is an implementation of the same web browsable APIs that the
 Django REST framework provides. It gives you properly content
 negotiated responses and smart request parsing.
 .
 This package contains the Python 3 version of Flask API

Package: python3-flask-assets
Description-md5: 49993dc160ed12ba68d473119c63638a
Description-en: Python 3 flask extension that provides webassets integration
 This extension to the flask framework integrates support for the ``webassets``
 library, adding support for merging, minifying and compiling CSS and
 Javascript files.

Package: python3-flask-autoindex
Description-md5: 2886f108e96ed47976bc664eb68138ab
Description-en: automatically generated index pages for Flask applications (Python 3)
 Flask-AutoIndex is a Flask extension that generates index pages for
 directories automatically. The result is similar to the pages generated by
 Apache's mod_autoindex.

Package: python3-flask-babel
Description-md5: 5e8c296a7dfa2f972afe4d4833897294
Description-en: internationalization and localization support for Flask (Python 3)
 Flask-Babel is an extension to Flask that adds internationalization and
 localization support. It has a friendly interface to gettext translations and
 supports date formatting with timezones.
 .
 This is the Python 3 version of the package.

Package: python3-flask-babelex
Description-md5: 772952b7380e0e52b8a1bd4378346376
Description-en: Adds i18n/l10n support to Flask applications
 Flask-BabelEx adds i18n/l10n support to Flask applications with the help of
 the Babel library. It is a fork of the official Flask-Babel extension with
 the following features:
 .
  1. It is possible to use multiple language catalogs in one Flask application;
  2. Localization domains: your extension can package localization file(s) and
     use them if necessary;
  3. Does not reload localizations for each request.
 .
 Flask-BabelEx is API-compatible with Flask-Babel.

Package: python3-flask-bcrypt
Description-md5: 5c990bae76674dd1620cc344dffcc79d
Description-en: Python 3 flask extension that provides bcrypt hashing utilities
 Due to the recent increased prevelance of powerful hardware,
 such as modern GPUs, hashes have become increasingly easy to crack.
 A proactive solution to this is to use a hash that was designed to be
 "de-optimized". Bcrypt is such a hashing facility; unlike hashing algorithms
 such as MD5 and SHA1, which are optimized for speed, bcrypt is intentionally
 structured to be slow.
 .
 This is a Python 3 package

Package: python3-flask-caching
Description-md5: 77e315670f460063a8055b90b11ca016
Description-en: Cache support for flask applications
 Flask extension that provides smart caching support.
 .
 This is a fork of python3-flask-cache that is still maintained,
 which maintains a high level of backward compatibility and new
 features.

Package: python3-flask-compress
Description-md5: fbfe733c025a5636297253b5ca2b8dc1
Description-en: Compress responses in a Flask app with gzip
 Flask-Compress allows one to compress HTTP responses generated by a
 Flask application running standalone with GZip. It does so without
 a complex setup for some MIME types that benefit from it, like
 HTML and JSON types.

Package: python3-flask-cors
Description-md5: f45f8f35375e2753ef40ce68e141698c
Description-en: Flask extension for handling CORS (Python 3)
 A Flask extension for handling Cross Origin Resource Sharing (CORS), making
 cross-origin AJAX possible.
 .
 This package has a simple philosophy, when you want to enable CORS, you wish
 to enable it for all use cases on a domain. This means no mucking around with
 different allowed headers, methods, etc. By default, submission of cookies
 across domains is disabled due to the security implications, please see the
 documentation for how to enable credential’ed requests, and please make sure
 you add some sort of CSRF protection before doing so!
 .
 This package installs the library for Python 3.

Package: python3-flask-flatpages
Description-md5: cf0b92b69cd0a62c182b8122a15fc77b
Description-en: Provides flat static pages to a Python 3 Flask application
 Flask-FlatPages provides flat static pages to a Flask application,
 based on text files as opposed to a relational database.
 .
 This is a Python 3 package

Package: python3-flask-gravatar
Description-md5: 48bfeaac49eeb3dcf37171f3730cf1be
Description-en: Extension for Flask to make usage of Gravatar service
 flask-gravatar is a small extension for Flask to make usage of
 Gravatar service easy.

Package: python3-flask-htmlmin
Description-md5: ffac1fa46673f808ac9506f21370a723
Description-en: Minimize render templates html
 flask-htmlmin minifies flask text/html mime types responses using
 htmlmin.

Package: python3-flask-httpauth
Description-md5: 8d9cd46230cc60b135ea59c8dc42264b
Description-en: Basic and Digest HTTP authentication for Flask (Python 3)
 Flask-HTTPAuth is a simple extension that provides Basic and Digest HTTP
 authentication for Flask routes.
 .
 This package installs the library for Python 3.

Package: python3-flask-jwt-simple
Description-md5: 94bc5ec74b0562204fbd9b326d2569ca
Description-en: Protecting flask endpoints with JSON Web Tokens (Python 3)
 Flask-JWT-Simple adds barebones support for protecting flask endpoints
 with JSON Web Tokens. It is particularly good for fast prototyping or
 consuming/producing JWTs that work with other providers and consumers.
 .
 This package installs the library for Python 3.

Package: python3-flask-ldapconn
Description-md5: 78020ff80cf1a48b6b74febaf7301a37
Description-en: LDAP connection and ORM for Flask Applications (Python 3)
 Flask-LDAPConn is a Flask extension providing ldap3 (an LDAP V3 pure Python
 client) connection for accessing LDAP servers.
 .
 To abstract access to LDAP data, this extension provides a simple ORM model.
 .
 This package contains the Python 3 version of the module.

Package: python3-flask-login
Description-md5: 4d8ca92d488c078ae78c497927065c97
Description-en: user session management for Flask -- Python 3 module
 Flask-Login provides user session management for Flask. It handles the
 common tasks of logging in, logging out, and remembering your users'
 sessions over extended periods of time.
 .
 Flask-Login is not bound to any particular database system or permissions
 model. The only requirement is that your user objects implement a few
 methods, and that you provide a callback to the extension capable of
 loading users from their ID.
 .
 This package provides the Python 3 module.

Package: python3-flask-mail
Description-md5: d3a2872d1647544a545610d26cd755dc
Description-en: Flask extension for sending email
 The Flask-Mail extension provides a simple interface to set up SMTP with
 your Flask application and to send messages from your views and scripts.

Package: python3-flask-marshmallow
Description-md5: 117859413fb569dcfb8290f0f51db65b
Description-en: Flask + marshmallow for beautiful APIs
 Flask-Marshmallow is a thin integration layer for Flask (a Python web
 framework) and marshmallow (an object serialization/deserialization library)
 that adds additional features to marshmallow, including URL and Hyperlinks
 fields for HATEOAS-ready APIs.
 .
 It also (optionally) integrates with Flask-SQLAlchemy.

Package: python3-flask-migrate
Description-md5: d8477884d05db5321e97abbff751837c
Description-en: SQLAlchemy migrations for Flask using Alembic and Python 3
 Flask-Migrate is an extension that handles SQLAlchemy database migrations for
 Flask applications using Alembic. The database operations are provided as
 command line arguments for Flask-Script.

Package: python3-flask-mongoengine
Description-md5: 0abd8078eea09bcc36753ee31dcc05a0
Description-en: Extension that provides integration with MongoEngine (Python 3)
 Flask extension that provides integration with MongoEngine.
 .
 It handles connection management for your app.  You can also use
 WTForms as model forms for your models.
 .
 This is a Python 3 package.

Package: python3-flask-oauthlib
Description-md5: 65a5578d6c8344590dab7f02348e2788
Description-en: OAuthlib support for Flask
 Flask-OAuthlib is an extension to Flask that allows interaction with
 remote OAuth enabled applications. On the client site, it is a replacement
 for Flask-OAuth. It also supports creating OAuth providers.
 .
 This package contains the Python 3 version of the module.

Package: python3-flask-openid
Description-md5: 51c82a88934a1f5b8239d5e57170e60a
Description-en: OpenID support for Flask applications (Python 3)
 Flask-OpenID is an extension to Flask to add OpenID based authentication. It
 supports OpenID 2.x and has basic support for the Simple Registration (SReg)
 and Attribute Exchange (AX) extensions.
 .
 This package contains the module for Python 3.

Package: python3-flask-paranoid
Description-md5: 39e6d7d9e075565b2a10666bcfe4cec4
Description-en: Simple user session protection
 Flask-Paranoid protects user sessions. When a client connects to this
 application, a "paranoid" token will be generated according to the IP address
 and user agent. In all subsequent requests, the token will be recalculated and
 checked against the one computed for the first request. If the session cookie
 is stolen and the attacker tries to use it from another location, the
 generated token will be different, and in that case the extension will clear
 the session and block the request.

Package: python3-flask-peewee
Description-md5: 2e353300c9d9cfe2059521b289a1e3aa
Description-en: Peewee integration for flask (Python 3)
 Provides a layer of integration between the flask web framework and
 the peewee ORM.
 .
 This is the Python 3 package.

Package: python3-flask-principal
Description-md5: f2a97ba2f12441b5ba858e167ac4046b
Description-en: identity management for Flask
 Flask-Principal provides a very loose framework to tie in providers of two
 types of service, often located in different parts of a web application:
 .
  - Authentication providers
  - User information providers
 .
 For example, an authentication provider may be oauth, using Flask-OAuth and
 the user information may be stored in a relational database. Looseness of
 the framework is provided by using signals as the interface.
 .
 This is the Python 3 version of the package.

Package: python3-flask-rdf
Description-md5: d1ef8f9d01fd813a4a2635625de97963
Description-en: Flask decorator to output RDF using content negotiation (Python 3)
 Apply the @flask_rdf decorator to a view function and return an rdflib
 Graph object. Flask_rdf will automatically format it into an RDF output
 format, depending on what the request’s Accept header says. If the view
 function returns something besides an rdflib graph, it will be passed
 through without modification.
 .
 Custom formats can be registered easily. After registering the new
 serializer with rdflib’s plugin support, use the decide_format method to
 register a new mimetype request to use the new formatter.
 .
 This package works with Python versions 3.x.

Package: python3-flask-script
Description-md5: b7719d0ed710280db99eb1cfbb5d18e2
Description-en: set of utilities for use with the Flask framework and Python 3
 Flask is a micro web framework for Python based on Werkzeug, Jinja 2 and good
 intentions.
 .
 This python3 package adds support for external scripts in Flask.

Package: python3-flask-security
Description-md5: fe8e64f002dd96a9fb27abc7a5fbd898
Description-en: Simple security for Flask apps (Python 3)
 Flask-Security allows you to quickly add common security mechanisms
 to your Flask application. They include:
 .
   * Session based authentication
   * Role management
   * Password encryption
   * Basic HTTP authentication
   * Token based authentication
   * Token based account activation (optional)
   * Token based password recovery / resetting (optional)
   * User registration (optional)
   * Login tracking (optional)
   * JSON/Ajax Support
 .
 This is the Python 3 version of the package.

Package: python3-flask-seeder
Description-md5: 310cf7b5bd8e9068c93559221b91877c
Description-en: Flask extension to seed database through scripts
 Flask-Seeder is a Flask extension to help with seeding database with initial
 data, for example when deploying an application for the first time.
 .
 This extensions primary focus is to help populating data once, for example in
 a demo application where the database might get wiped over and over but you
 still want users to have some basic data to play around with.

Package: python3-flask-silk
Description-md5: 084426282bbc17edf891a178235f3956
Description-en: FamFamFam Silk icon set for Flask applications (Python 3)
 Flask-Silk is a Flask extension that provides the icons from the FamFamFam
 Silk icon set for Flask applications, blueprints and other extensions. It also
 allows one to serve custom icons replacing or extending those from the
 FamFamFam Silk icon set.
 .
 This package contains the module for Python 3.

Package: python3-flask-socketio
Description-md5: 803e16c8f1febbe84ddf219a8a4cc30b
Description-en: Socket.IO integration for Flask applications
 Flask-SocketIO gives Flask applications access to low latency bi-directional
 communications between the clients and the server. The client-side
 application can use any of the SocketIO official clients libraries in
 Javascript, C++, Java and Swift, or any compatible client to establish a
 permanent connection to the server.

Package: python3-flask-sockets
Description-md5: e2a966f7115aa2e05be7a1b2c128f827
Description-en: elegant WebSockets for your Flask apps
 This package provides Flask-Sockets, a websocket library to be used with Flask.
 It is based on gevent-websocket and supports Flask Blueprints.

Package: python3-flask-sqlalchemy
Description-md5: 01bfa9edc99db513007c13860aefeded
Description-en: adds SQLAlchemy support to your Python 3 Flask application
 Flask is a micro web framework for Python based on Werkzeug, Jinja 2 and good
 intentions.
 .
 This python3 package adds SQLAlchemy support to Flask.

Package: python3-flask-testing
Description-md5: 75c5fe7982e2247965eeb0c924aa5474
Description-en: unit testing utilities for the Flask micro web framework
 Flask-Testing is an extension for the Flask micro web framework that provides
 unit testing helpers for Flask-based web applications.
 .
 This package contains the Python 3 modules for flask-testing

Package: python3-flaskext.multistatic
Description-md5: cb8529a32f501ad35e879d1de8a6fc5f
Description-en: Simple flask plugin for overriding static files (Python 3)
 A simple flask plugin allowing to override static files, making
 theming flask applications really easy.
 .
 This package installs the library for Python 3.

Package: python3-flaskext.wtf
Description-md5: 90cde37df228a26d25988c2fe265bdb8
Description-en: Simple integration of Flask and WTForms (Python 3)
 Flask-WTF is an extension for Flask, providing a simple integration
 of WTForms. It includes optional CSRF handling and Recaptcha support.
 .
 This is a Python 3 package

Package: python3-flatlatex
Description-md5: cc8f24ab9388978fc392ed6d5a533a5e
Description-en: Python3 LaTeX math converter to unicode text - library
 flatlatex is a basic converter from LaTeX math to human readable text
 math using unicode characters.
 .
 It allows one to inline small equations into emails or on instant messaging
 clients, thus allowing  to avoid sending a pdf while still being
 understandable.
 .
 This package provides the Python3 library.

Package: python3-flexmock
Description-md5: e5978a5fcc8eeea66d20dd4ad360b1d8
Description-en: Mock/Stub/Spy library for Python 3
 flexmock is a testing library for Python that makes it easy to create mocks,
 stubs and fakes.
 .
 The API is inspired by a Ruby library of the same name, but Python flexmock is
 not a clone of the Ruby version. It omits a number of redundancies in the Ruby
 flexmock API, alters some defaults, and introduces a number of Python-only
 features.
 .
 flexmock's design focuses on simplicity and intuitiveness. This means that the
 API is as lean as possible, though a few convenient short-hand methods are
 provided to aid brevity and readability.
 .
 flexmock declarations are structured to read more like English sentences than
 API calls, and it is possible to chain them together in any order to achieve
 high degree of expressiveness in a single line of code.
 .
 This package contains the Python 3 version of flexmock.

Package: python3-flickrapi
Description-md5: d5be2d271bd0192b7a06efd804231f89
Description-en: Flickr API wrapper for Python 3
 Python-flickrapi is a complete and easy to use Python
 module for interfacing with the Flickr API (see
 https://www.flickr.com/services/api/ for details on
 the Flickr API).
 .
 the main features are:
 .
   - keeping track of authentication credentials
     (no need to pass them on every Flickr API call)
   - caching of API calls
   - support for uploading and replacing photos
   - support for different XML parsers
 .
 This package installs the library for Python 3.

Package: python3-flor
Description-md5: 73801fcdf30405371ccfbb0802c0c56f
Description-en: efficient Bloom filter library (Python3 version)
 Flor implements a Bloom filter class. A Bloom filter has a capacity (n) and a
 false positive probability (p) that gives the probability that a filter filled
 to capacity (i.e. with (n) distinct values inserted) will return True for an
 element that is not in the filter.
 .
 This package contains the Python 3 version of the library.

Package: python3-flufl.bounce
Description-md5: a263073ab19bfb523ea985a0baca6f39
Description-en: email bounce detectors (Python 3)
 This library provides a set of heuristics and an API for detecting the
 original bouncing email addresses from a bounce message.  Many formats found
 in the wild are supported, as are VERP and RFC 3464 (DSN).
 .
 This is the Python 3 version of the package.

Package: python3-flufl.enum
Description-md5: 6891b044116013a4c4069e3ea57b311b
Description-en: yet another Python enumeration package (Python 3)
 This package provides a Python enumeration, with an emphasis on simple,
 specific, concise semantics in an easy to read and write syntax.
 ``flufl.enum`` has just enough of the features needed to make enumerations
 useful, but without a lot of extra baggage to weigh them down.
 .
 This is the Python 3 version of the package.

Package: python3-flufl.i18n
Description-md5: d308fda17dffcdbd45f0e08565f9441f
Description-en: high level API for Python internationalization (Python 3)
 This package provides a high level, convenient API for managing
 internationalization translation contexts in Python application.  There is a
 simple API for single-context applications, such as command line scripts which
 only need to translate into one language during the entire course of their
 execution.  There is a more flexible, but still convenient API for
 multi-context applications, such as servers, which may need to switch language
 contexts for different tasks.

Package: python3-flufl.lock
Description-md5: 487f538e4caedecbd35cd1d924d30da8
Description-en: NFS-safe file-based lock with timeouts (Python 3)
 This package provides an NFS-safe file-based locking algorithm influenced
 by the GNU/Linux open(2) manpage, under the description of the O_EXCL option.
 .
 This is the python3 library. There is no longer python2 library.

Package: python3-flufl.password
Description-md5: 45441fad2b8746eee0dba4855db4f337
Description-en: password hashing and verification
 This package provides utilities for hashing and verification of passwords, as
 well as generating user-friendly passwords.
 .
 This is the Python 3 version of the package.

Package: python3-flufl.testing
Description-md5: 631122d25ba017e169518e113d5a5ceb
Description-en: small collection of Python test helpers
 This package includes plugins for the following test tools: nose2, flake8.
 The plugins provide useful features (e.g. filtering tests based on a regular
 expression) and ensure some common coding styles (e.g. import order).  They
 can be enabled independently.
 .
 This package refactors code in the test suites of several other packages and
 will be used to eliminate the usual skew due to cargo culting.
 .
 This is the Python 3 version of the package.

Package: python3-fluids
Description-md5: 87d766b2c7fb200cc2aa777eb5f1fe1f
Description-en: Python 3 fluid dynamics and engineering design library
 Fluids is open-source software for engineers and technicians working in
 the fields of chemical, mechanical, or civil engineering. It includes
 modules for piping, fittings, pumps, tanks, compressible flow,
 open-channel flow, and more.
 .
 The fluids library depends on the SciPy library to provide numerical
 constants, interpolation, integration, and numerical solving functionality.
 .
 This package contains the Python 3 version of fluids.

Package: python3-fontforge
Description-md5: 0d9c2a8d0179b0821fdbcbe2d7cba478
Description-en: font editor - Python bindings
 FontForge is a font editor.
 Use it to create, edit and convert fonts
 in OpenType, TrueType, UFO, CID-keyed, Multiple Master,
 and many other formats.
 .
 This package provides the Python modules (the libraries fontforge and
 psMat) to access a FontForge program for font manipulations.

Package: python3-fontmake
Description-md5: 32a6dbbb023f54766cd951e7d329b135
Description-en: Python library for compiling fonts from UFO or Glyphs to OTF/TTF
 This library provides a wrapper for several other Python libraries which
 together compile fonts from various sources (.glyphs, .ufo) into binaries
 (.otf, .ttf).

Package: python3-fontmath
Description-md5: 89cf5dca4a1bfb4953fb367ae36eecef
Description-en: Objects for performing math operations on font data
 This is a math library for fonts required by several font building
 libraries.

Package: python3-fontparts
Description-md5: c7c2790f9912fca31ad2c2e3f38bb970
Description-en: API for interacting with the parts of fonts
 FontParts is a Python API for programmatically creating and editing parts of
 fonts during the type design process, and it is application-independent to
 allow scripts to be portable across multiple applications.
 .
 This package installs the library for Python 3.

Package: python3-fontpens
Description-md5: f02d4e4232753471266a98588a20fcea
Description-en: Classes implementing Pen protocol for manipulating UFO glyphs
 fontPens implements Pen protocol, which are instructions to draw glyphs.  This
 protocol is established by RoboFabs, and this library is the reference
 implementation of the protocol, which is for manipulating UFO files.
 .
 The API is also compatible with FontForge Python API and FontLab VI Python
 API.

Package: python3-fonttools
Description-md5: 9692c4b2675bf033fc7ef3a1d2778405
Description-en: Converts OpenType and TrueType fonts to and from XML (Python 3 Library)
 FontTools/TTX is a library to manipulate font files from Python.
 It supports reading and writing of TrueType/OpenType fonts, reading
 and writing of AFM files, reading (and partially writing) of PS Type 1
 fonts.  It also contains a tool called "TTX" which converts
 TrueType/OpenType fonts to and from an XML-based format.
 .
 This is the Python 3 version of the fontTools package.

Package: python3-forge
Description-md5: 2e2d7a992db76ed5136e6e741a40b5f6
Description-en: mocking and testing modules (Python 3 version)
 Forge is a mocking library for Python. It draws most of its inspiration
 from Mox. It aims to be simple, but still feature-rich, and provide maximum
 flexibility for unit testing using the mock approach.
 .
 This package contains the Python 3 version of the forge module.

Package: python3-fpylll
Description-md5: 07c0d891c61c6e5a0c48c1c4e549438e
Description-en: Python wrapper for LLL-reduction of Euclidean lattices -- Python 3
 fpylll is a Python library for performing lattice reduction on lattices over
 the Integers; it is based on the fpLLL C++ library.
 .
 This package installs the library for Python 3.

Package: python3-freecontact
Description-md5: cff3ff1f1e4977970446ae50e7cd5aad
Description-en: fast protein contact predictor - binding for Python3
 FreeContact is a protein residue contact predictor optimized for speed.
 Its input is a multiple sequence alignment. FreeContact can function as an
 accelerated drop-in for the published contact predictors
 EVfold-mfDCA of DS. Marks (2011) and
 PSICOV of D. Jones (2011).
 .
 FreeContact is accelerated by a combination of vector instructions, multiple
 threads, and faster implementation of key parts.
 Depending on the alignment, 8-fold or higher speedups are possible.
 .
 A sufficiently large alignment is required for meaningful results.
 As a minimum, an alignment with an effective (after-weighting) sequence count
 bigger than the length of the query sequence should be used. Alignments with
 tens of thousands of (effective) sequences are considered good input.
 .
 jackhmmer(1) from the hmmer package, or hhblits(1) from hhsuite
 can be used to generate the alignments, for example.
 .
 This package contains the Python3 binding.

Package: python3-freetype
Description-md5: 4a7aedfe28e0d28a22d7490e94239045
Description-en: Freetype Python bindings for Python 3
 Freetype Python provides bindings for the FreeType library.
 Only the high-level API is bound.
 .
 All the font access is done through the FreeType2 library,
 which supports many formats.  It can render images of characters with
 high-quality hinting and antialiasing, extract metrics information, and
 extract the outlines of characters in scalable formats like TrueType.
 .
 This is the Python 3 version of the package.

Package: python3-freezegun
Description-md5: 89585db7e2a348374135be2fc48e7c8c
Description-en: Python3 library to mock the datetime module in unit testing
 FreezeGun allows easy mocking of the datetime module by freezing the return
 value of the methods datetime.datetime.now(), datetime.datetime.utcnow(),
 datetime.date.today(), and time.time() to a fixed point in time. Use it
 in unit testing to make the tests deterministic and time-independent.

Package: python3-freezer-api
Description-md5: 34f37bbe07ecc81dd92e64328a049bf6
Description-en: OpenStack backup restore and disaster recovery service - Python files
 Freezer is a distributed backup restore and disaster recovery as a service
 platform. It is designed to be multi OS (Linux, Windows, OSX, *BSD), focused
 on providing efficiency and flexibility for block based backups, file based
 incremental backups, point-in-time actions, jobs synchronization (i.e.
 backup synchronization over multiple nodes) and many other features.
 It is aimed at being useful for all environments, including large
 ephemeral Clouds.
 .
 This package contains the Python libraries.

Package: python3-freezer-web-ui
Description-md5: 48aa6035f64ac595d1450c2bb4e8b498
Description-en: OpenStack Freezer - Dashboard plugin
 Freezer is a distributed backup restore and disaster recovery as a service
 platform. It is designed to be multi OS (Linux, Windows, OSX, *BSD), focused
 on providing efficiency and flexibility for block based backups, file based
 incremental backups, point-in-time actions, jobs synchronization (i.e.
 backup synchronization over multiple nodes) and many other features.
 It is aimed at being useful for all environments, including large
 ephemeral Clouds.
 .
 This package contains the OpenStack dashboard plugin.

Package: python3-freezerclient
Description-md5: 5c1d9bffb2384b10f0ebb531804a4e1f
Description-en: OpenStack backup restore and disaster recovery service - client files
 Freezer is a distributed backup restore and disaster recovery as a service
 platform. It is designed to be multi OS (Linux, Windows, OSX, *BSD), focused
 on providing efficiency and flexibility for block based backups, file based
 incremental backups, point-in-time actions, jobs synchronization (i.e.
 backup synchronization over multiple nodes) and many other features.
 It is aimed at being useful for all environments, including large
 ephemeral Clouds.
 .
 This package contains the Python 3.x module.

Package: python3-frozen-flask
Description-md5: b243c78b65bfc16a5f670fbc28949aac
Description-en: Freezes a Flask application into a set of static files
 Frozen-Flask freezes a Flask application into a set of static files.
 The result can be hosted without any server-side software other than a
 traditional web server.
 .
 This is a python3 package.

Package: python3-frozendict
Description-md5: 1cc571a645c69ae862e705c8ab41fc25
Description-en: immutable dictionary - Python 3.x
 Frozendict is an immutable wrapper around dictionaries that implements the
 complete mapping interface. It can be used as a drop-in replacement for
 dictionaries where immutability is desired.
 .
 Of course, this is Python, and you can still poke around the object's
 internals if you want.
 .
 The frozendict constructor mimics dict, and all of the expected interfaces
 (iter, len, repr, hash, getitem) are provided. Note that a frozendict does not
 guarantee the immutability of its values, so the utility of hash method is
 restricted by usage.
 .
 The only difference is that the copy() method of frozendict takes variable
 keyword arguments, which will be present as key/value pairs in the new,
 immutable copy.
 .
 This package contains the Python 3.x module.

Package: python3-fs
Description-md5: dd602105ff3a6c7f5bc6eed14c9ee01f
Description-en: Python filesystem abstraction
 Pyfilesystem is a Python module that provides a common interface to many types
 of filesystem, and provides some powerful features such as exposing
 filesystems over an internet connection, or to the native filesystem.

Package: python3-fsapfs
Description-md5: 42826f0c02cc40b257a6881305232f72
Description-en: APFS access library -- Python 3 bindings
 libfsapfs is a library to access the Apple File System (APFS).
 .
 This package includes Python 3 bindings.

Package: python3-fsspec
Description-md5: 8e3ca0edbc9e3f65aa4d22daaa364169
Description-en: specification that Python filesystems should adhere to (Python 3)
 The package produce a template or specification for a file-system interface,
 that specific implementations should follow, so that applications making use
 of them can rely on a common behaviour and not have to worry about the
 specific internal implementation decisions with any given backend.

Package: python3-fswrap
Description-md5: 0c8e04b86160f0dcf956bcc6e269c9ae
Description-en: unified object oriented interface to file system objects (Python 3)
 File system operations in Python are distributed across modules: os,
 os.path, fnmatch, shutil and distutils. This module attempts to make the
 right choices for common operations to provide a single interface.
 .
 This package is for Python 3.

Package: python3-ftdi1
Description-md5: bad084b8544ef8723f48620dac086146
Description-en: Python module to control and program the FTDI USB controllers
 This library could talk to FTDI's FT232BM, FT245BM, FT2232C, FT2232D, FT245R,
 FT232H and FT230X type USB chips from userspace. It uses libusb 1.0 to
 communicate with the chips.
 .
 Functionalities include the possibility to use the chips in standard
 mode, in bitbang mode, and to read or write the serial EEPROM.
 .
 This package provides the ftdi1 module on the Python 3 module path.

Package: python3-ftputil
Description-md5: dd42d4dbd682adbc78a6184176ce999c
Description-en: high-level FTP client library for Python
 High-level FTP client library for the Python programming
 language. ftputil implements a virtual file system for accessing FTP
 servers, that is, it can generate file-like objects for remote files.
 The library supports many functions similar to those in the os,
 os.path and shutil modules. ftputil has convenience functions for
 conditional uploads and downloads, and handles FTP clients and servers
 in different timezones.

Package: python3-fudge
Description-md5: 9a12d4ecc175dbe6541b5ac6decf16dd
Description-en: Python 3 module for using fake objects for tests
 Fudge is a Python module for using fake objects (mocks and stubs) to
 test real ones.
 .
 In readable Python code, you declare what methods are available on
 your fake and how they should be called. Then you inject that into
 your application and start testing. This declarative approach means
 you don’t have to record and playback actions and you don’t have to
 inspect your fakes after running code. If the fake object was used
 incorrectly then you’ll see an informative exception message with a
 traceback that points to the culprit.
 .
 Fudge was inspired by Mocha which is a simpler version of jMock. But
 unlike Mocha, Fudge does not automatically hijack real objects; you
 explicitly patch them in your test. And unlike jMock, Fudge is only
 as strict about expectations as you want it to be. If the type of
 arguments sent to the fake method aren’t important then you don’t
 have to declare an expectation for them.
 .
 This is the Python 3 version of the package.

Package: python3-funcparserlib
Description-md5: f59daa3b72703586b9ef5d8a6089bc1d
Description-en: Recursive descent parsing library for python3
 For python3.
 Parser combinators are just higher-order functions that take parsers as
 their arguments and return them as result values. Parser combinators are:
 .
 First-class values. Extremely composable. Tend to make the code quite compact.
 Resemble the readable notation of xBNF grammars.
 .
 Parsers made with funcparserlib are pure-Python LL(*) parsers. It means that
 it's very easy to write them without thinking about look-aheads and all that
 hardcore parsing stuff. But the recursive descent parsing is a rather slow
 method compared to LL(k) or LR(k) algorithms.
 .
 So the primary domain for funcparserlib is parsing little languages or external
 DSLs (domain specific languages).
 .
 The library itself is very small. Its source code is only 0.5 KLOC, with lots
 of comments included. It features the longest parsed prefix error reporting,
 as well as a tiny lexer generator for token position tracking.

Package: python3-funcsigs
Description-md5: 456d3eebc2737cfd7512fba1ac22db26
Description-en: function signatures from PEP362 - Python 3.x
 funcsigs is a backport of the PEP 362 function signature features from Python
 3.3's inspect module. The backport is compatible with Python 2.6, 2.7 as well
 as 3.2 and up.
 .
 This package contains the Python 3.x module.

Package: python3-furl
Description-md5: b45895c298c0a57dd13a37fe935e6992
Description-en: Small Python library for URLs parsing and manipulating
 Python's standard urllib and urlparse modules provide a number of URL
 related functions, but using these functions to perform common URL
 operations proves tedious. Furl makes parsing and manipulating URLs
 easy.

Package: python3-fuse
Description-md5: 62934b5dece6695d372e25992639e4f0
Description-en: Python bindings for FUSE (Filesystems in USErspace) (Python 3 package)
 This is a Python interface to FUSE.
 .
 FUSE (Filesystem in USErspace) is a simple interface for userspace
 programs to export a virtual filesystem to the Linux kernel. FUSE
 also aims to provide a secure method for non privileged users to
 create and mount their own filesystem implementations.
 .
 This is the Python 3 package

Package: python3-fusepy
Description-md5: a46f247b43c259db0849e6be082f0708
Description-en: simple Python interface to FUSE (Python 3)
 fusepy is a Python module that provides a simple interface to FUSE and
 MacFUSE. It's just one file and is implemented using ctypes.
 Due to a name clash with the existing API-incompatible python-fuse package,
 the importable module name for fusepy in Debian is 'fusepy' instead of
 upstream's 'fuse'.
 .
 This package installs the Python 3 version.

Package: python3-fuzzywuzzy
Description-md5: 79bef7f68df0f885de741eb7f93a3f3f
Description-en: Python 3 module for fuzzy string matching
 Various methods for fuzzy matching of strings in Python, including:
 .
   - String similarity: Gives a measure of string similarity between 0 and 100.
   - Partial string similarity: Inconsistent substrings are a common problem
     when string matching. To get around it, use a "best partial" heuristic
     when two strings are of noticeably different lengths.
   - Token sort: This approach involves tokenizing the string in question,
     sorting the tokens alphabetically, and then joining them back into a
     string.
   - Token set: A slightly more flexible approach. Tokenize both strings, but
     instead of immediately sorting and comparing, split the tokens into two
     groups: intersection and remainder.
 .
 This package contains fuzzywuzzy for Python 3.

Package: python3-fysom
Description-md5: d315e1d571b701488c0a9cf877a176c9
Description-en: pYthOn Finite State Machine (Python3 package)
 This is standalone Python micro-framework providing a finite state machine.
 .
 Originally implemented in Java by Jake Gordon.
 .
 This is the Python 3 version of the package.

Package: python3-gabbi
Description-md5: 1e545e79a6b97487d213a7907993f490
Description-en: declarative HTTP testing library - Python 3.x
 Gabbi is a tool for running HTTP tests where requests and responses are
 represented in a declarative form.
 .
 Gabbi works to bridge the gap between human readable YAML files that represent
 HTTP requests and expected responses and the obscured realm of Python-based,
 object-oriented unit tests in the style of the unittest module and its
 derivatives.
 .
 Each YAML file represents an ordered list of HTTP requests along with the
 expected responses. This allows a single file to represent a process in the
 API being tested. For example:
 .
  * Create a resource.
  * Retrieve a resource.
  * Delete a resource.
  * Retrieve a resource again to confirm it is gone.
 .
 At the same time it is still possible to ask gabbi to run just one request.
 If it is in a sequence of tests, those tests prior to it in the YAML file will
 be run (in order). In any single process any test will only be run once.
 Concurrency is handled such that one file runs in one process.
 .
 These features mean that it is possible to create tests that are useful for
 both humans (as tools for improving and developing APIs) and automated CI
 systems.
 .
 This package contains the Python 3.x module.

Package: python3-galpy
Description-md5: 86c45e40e5e19712db9c6fdbabd1e1c7
Description-en: Python 3 package for Galactic Dynamics
 Python 3 module that supports orbit integration in a variety of potentials,
 evaluating and sampling various distribution functions, and the calculation of
 action-angle coordinates for all static potentials.

Package: python3-gammapy
Description-md5: c47c36129622c5a0efe91bdf8f8da940
Description-en: Python package for gamma-ray astronomy
 Gammapy is an in-development affiliated package of Astropy
 that builds on the core scientific Python stack to provide
 tools to simulate and analyse the gamma-ray sky for telescopes
 such as CTA, H.E.S.S., VERITAS, MAGIC, HAWC and Fermi-LAT.

Package: python3-gammu
Description-md5: ab0e42995396a2322998e36530912db5
Description-en: Python module to communicate with mobile phones (Python 3)
 This provides gammu module, that can work with any phone Gammu
 supports - many Nokias, Siemens, Alcatel, ...

Package: python3-gau2grid
Description-md5: 701e67fd282d8ffcad55a85b75a3a25b
Description-en: Computing gaussians on a grid (Python 3 module)
 gau2grid is a python-generated C library for vectorized computation of grid to
 gaussian collocation matrices. The core of gau2grid is generating the
 collocation matrices between a real space grid and a gaussian basis set
 expanded to a given angular momenta.
 .
 This package contains the python3 module.

Package: python3-gbulb
Description-md5: 9eecffd6efbd7863578e2daa1d7db967
Description-en: PEP 3156 event loop based on GLib (Python 3)
 Gbulb is a Python library that implements a PEP 3156 interface for the GLib
 main event loop under UNIX-like systems. As much as possible, except where
 noted, it mimics asyncio's interface.
 .
 This package installs the library for Python 3.

Package: python3-gccjit
Description-md5: 8157c5345db73f57ae95a0afc3bf0797
Description-en: Python3 bindings for libgccjit
 The bindings support both CPython 2 and CPython 3 (using Cython).
 .
 Note that both libgccjit and the bindings are of "Alpha" quality;
 the APIs are not yet set in stone, and they shouldn't be used in
 production yet.

Package: python3-gccjit-dbg
Description-md5: 04f02dc60964bed94c37cf070f10bb76
Description-en: Python3 bindings for libgccjit (debug build)
 The bindings support both CPython 2 and CPython 3 (using Cython).
 .
 Note that both libgccjit and the bindings are of "Alpha" quality;
 the APIs are not yet set in stone, and they shouldn't be used in
 production yet.

Package: python3-gdal
Description-md5: 202849247dbe86b8eaf9fbe133b4dc09
Description-en: Python 3 bindings to the Geospatial Data Abstraction Library
 GDAL is a translator library for raster geospatial data formats.
 As a library, it presents a single abstract data model to the
 calling application for all supported formats. The related OGR
 library (which lives within the GDAL source tree) provides
 a similar capability for simple features vector data.
 .
 GDAL supports 40+ popular data formats, including commonly used
 ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in
 GIS and remote sensing software packages (ERDAS Imagine,
 ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote
 sensing and scientific data distribution formats such as HDF,
 EOS FAST, NOAA L1B, NetCDF, FITS.
 .
 OGR library supports popular vector formats like ESRI Shapefile,
 TIGER data, S57, MapInfo File, DGN, GML and more.
 .
 This package contains Python 3 bindings for the GDAL/OGR library.

Package: python3-gdcm
Description-md5: e7d1dd5a1c511a0ce2a4b259beed61b3
Description-en: Grassroots DICOM Python bindings
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 Python bindings to the GDCM DICOM library.

Package: python3-gdl
Description-md5: 31fe21263fa6b8ee43503daf97e9cc34
Description-en: Python interface for the GNU Data Language
 GNU Data Language (GDL) is a free IDL (Interactive Data Language)
 compatible incremental compiler. It has full syntax compatibility
 with IDL 7.1. IDL is a registered trademark of ITT Visual Information
 Solutions. From IDL 8.0, the following language elements are
 supported:
 .
  * FOREACH loop
  * negative array indices
  * garbage collection pointers and objects
  * call methods on an object using "." (e. g. `object.aMemberProcedure,arg1`)
 .
 The file input output system is fully implemented. GUI support (widgets) is
 officially provided, but it's not complete yet.
 .
 This package contains the Python library/interface.

Package: python3-gdspy
Description-md5: 42601acf3af3a02d4bd48035892e21f4
Description-en: Python library for GDSII handling (Python 3)
 Gdspy is a Python module for creating/importing/merging GDSII stream files. It
 includes key libraries for creating complex CAD layouts.
 .
 Features:
  - Boolean operations on polygons (AND, OR, NOT, XOR) based on clipping
    algorithm
  - Polygon offset (inward and outward rescaling of polygons)
  - Efficient point-in-polygon solutions for large array sets
 .
 This package installs the library for Python 3.

Package: python3-gear
Description-md5: fbbf1270f64a4151dd13c51a584e0e30
Description-en: Pure Python Async Gear Protocol Library - Python 3.x
 python-gear is a pure-Python asynchronous library to interface with Gearman.
 .
 It provides interfaces to build a client or worker, and access to the
 administrative protocol. The design approach is to keep it simple, with a
 relatively thin abstration of the Gearman protocol itself. It should be easy
 to use to build a client or worker that operates either synchronously or
 asynchronously.
 .
 The module also provides a simple Gearman server for use as a convenience in
 unit tests. The server is not designed for production use under load.
 .
 Installing the suggested python-statsd package would add support for reporting
 to statsd a frontend to Graphite a stats collection and graphing server.
 .
 This package contains the Python 3.x module.

Package: python3-geis
Description-md5: b3f96d5623078e8d0b698cc3a5258c7d
Description-en: python bindings for libgeis
 A common API for clients of a systemwide gesture recognition and propagation
 engine.
 .
 This package provides native bindings so that you can use GEIS from
 a Python program.

Package: python3-gencpp
Description-md5: 5e7b7a9e93ec3d226c1e5f6524ae0f7c
Description-en: C++ Robot OS message and service generators - Python 3 version
 This package is part of Robot OS (ROS). gencpp is a Python program
 that generates ROS messages and service code for C++. It lets you
 generate the code for your program from plain text files.
 .
 This package contains the Python 3 module.

Package: python3-geneimpacts
Description-md5: 5aa691288383fd64ae986ad138293a4c
Description-en: wraps command line tools to assess variants in gene sequences
 Interpersonal differences in DNA is responsible for variations in
 response to external stimuli, the efficiency of metabolism or
 may even cause what is referenced as a genetic disorder.
 .
 A range of tools have been created to predict the importance of
 differences (polymorphisms) in genetic sequences at single nucleotides,
 SNPs.  This Python class wraps and represents findings provided by any
 of the tools snpEff, VEP and BCFT.

Package: python3-gengeo
Description-md5: 3aecceffb406d5a0a648aefa67090dc5
Description-en: DEMGenGeo is a library for creating geometry files (Python 3)
 DEMGenGeo is a library for creating geometry files used by ESyS-Particle.
 It provides a convenient method for creating arbitrary geometries of simple
 spherical particles and indivisible particle clusters. An LSMGenGeo script
 written in Python specifies the geometry that will be written to a text file
 and the format that this file takes. The geometry file can then be read
 by an ESyS-Particle script
 .
 This package contains the Python 3 module.

Package: python3-genlisp
Description-md5: cc7e75db63d8acd8e9acfcd88cd5a8a3
Description-en: Common-Lisp Robot OS message and service generators - Python 3 version
 This package is part of Robot OS (ROS). genlisp is a Python program
 that generates ROS messages and service code for Lisp. It lets you
 generate the code for your program from plain text files.
 .
 This package contains the Python 3 module.

Package: python3-genmsg
Description-md5: c64a14163fc92b028d9b6036c55952ad
Description-en: Python 3 library for generating Robot OS message and service data
 This package is part of Robot OS (ROS). Project genmsg exists in
 order to decouple code generation (from .msg & .srv format files) from
 the parsing of these files and from implementation details of the
 build system.
 .
 This package contains the Python 3 module.

Package: python3-genometools
Description-md5: bd25c77e8c4ad0b12627542eebce2e67
Description-en: Python3 bindings for genometools
 Partial Python3 bindings for the GenomeTools library. Besides basic
 bioinformatics data structures, the library contains components for sequence
 and annotation handling, sequence compression, index structure generation and
 access, efficient matching, annotation visualization and much more.

Package: python3-genpy
Description-md5: f58fce4cbe69668e2d51ba9467274b52
Description-en: Python 3 Robot OS message and service generators
 This package is part of Robot OS (ROS). genpy is a Python program
 that generates ROS messages and service code for Python. It lets you
 generate the code for your program from plain text files.
 .
 This package contains the Python 3 module.

Package: python3-genty
Description-md5: fbcbbb41a4bc67118864bb1b6409c96c
Description-en: Allows you to run a test with multiple data sets (Python 3)
 Genty, pronounced “gen-tee”, stands for “generate tests”. It is a Python
 library for generative testing, where a single test can execute over a variety
 of input.
 .
 This package contains the Python 3 version of genty.

Package: python3-geoalchemy2
Description-md5: 30db65496c8766baeea6493aeb62c284
Description-en: SQLAlchemy extension for spatial databases using PostGIS
 GeoAlchemy 2 provides extensions to SQLAlchemy for working with spatial
 databases.
 .
 The only SQL dialect supported by GeoAlchemy 2 is PostgreSQL/PostGIS.

Package: python3-geographiclib
Description-md5: d57d39f7a62430e984d55085f810b315
Description-en: Python 3 implementation of GeographicLib
 GeographicLib is a small set of C++ classes for converting between
 geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates,
 for geoid calculations, and for computing geodesic. It is a suitable
 replacement for the core functionality provided by NGA Geotrans.
 .
 This package contains the Python 3 implementation of GeographicLib.

Package: python3-geoip
Description-md5: 95062c5b304c5669da5867898cc338c9
Description-en: Python3 bindings for the GeoIP IP-to-country resolver library
 GeoIP is a library that enables the user to find the country that any
 IP address or hostname originates from, using a database instead of
 DNS.
 .
 This package contains the Python3 bindings for GeoIP, allowing to use
 this library within a Python3 program.

Package: python3-geojson
Description-md5: a8bc8329edd586d9fbdfbe9a2fd3af15
Description-en: Python 3 bindings and utilities for GeoJSON
 This library contains:
 .
  * Functions for encoding and decoding GeoJSON formatted data
  * Classes for all GeoJSON Objects
  * An implementation of the Python geo_interface Specification
 .
 This is the Python 3 version of the library

Package: python3-geolinks
Description-md5: c748a56caef041c873386d0454217c02
Description-en: Python 3 Library for using geospatial links (catalogue interoperablity)
 This package is a utility library to work with geospatial links.
 It is an implementation of the Cat-Interop work described at
 https://wiki.osgeo.org/wiki/Cat-Interop
 .
 This package provides the Python 3 version of the library.

Package: python3-geomet
Description-md5: 3a18544bfeebc691fa6c0b2ae444639e
Description-en: convert GeoJSON to/from WKT/WKB (Python)
 Geomet converts GeoJSON to/from WKT/WKB (Well-Known Text/Binary), and vice
 versa.
 .
 This package contains the module for Python.

Package: python3-geometry-msgs
Description-md5: 030ae66e6535727cccc77405ae5bda31
Description-en: Messages relating to Robot OS geometry, Python 3 interface
 This package is part of Robot OS (ROS), and provides the messages for
 common geometric primitives such as points, vectors, and poses. These
 primitives are designed to provide a common data type and facilitate
 interoperability throughout the system.
 .
 This package contains the generated Python 3 package.

Package: python3-geopandas
Description-md5: 7915f3a9bc68354c563139f895e789dc
Description-en: Python3 tools for geographic data
 GeoPandas is a project to add support for geographic data to
 pandas objects.  It currently implements
 `GeoSeries` and `GeoDataFrame` types which are subclasses of
 `pandas.Series` and `pandas.DataFrame` respectively.  GeoPandas
 objects can act on shapely  geometry objects and perform geometric operations.
 .
 GeoPandas geometry operations are cartesian.  The coordinate reference
 system (crs) can be stored as an attribute on an object, and is
 automatically set when loading from a file.  Objects may be
 transformed to new coordinate systems with the `to_crs()` method.
 There is currently no enforcement of like coordinates for operations,
 but that may change in the future.
 .
 This package contains the Python 3 version of the library.

Package: python3-geopy
Description-md5: 64dfd1cc578a5052479d76acf43ded9b
Description-en: geocoding toolbox for Python3
 geopy makes it easy for developers to locate the coordinates of addresses,
 cities, countries, and landmarks across the globe using third-party geocoders
 and other sources of data, such as wikis.
 It also comes with the necessary means for parsing geographical coordinates
 and geodesic distance calculation (using great-circle distance or Vincenty
 distance). The distance module also contains useful routines for converting
 between length and angle units.
 .
 This package contains the Python 3 version of the library.

Package: python3-geotiepoints
Description-md5: 45fcb520afb037b4ef2ee9e6f17bfd5b
Description-en: Interpolation of geographic tiepoints in Python
 Python-geotiepoints is a Python module that interpolates (and extrapolates
 if needed) geographical tiepoints into a larger geographical grid.
 This is useful when the full resolution lon/lat grid is needed while only
 a lower resolution grid of tiepoints was provided.
 .
 Some helper functions are provided to accommodate for satellite data, but
 the package should be generic enough to be used for any kind of data.
 .
 In addition python-geotiepoints provides a fast multilinear interpolation
 of regular gridded data using Cython.

Package: python3-gerritlib
Description-md5: d8bd09cb3688648ea93b77d7d63d7acd
Description-en: client library for accessing Gerrit with Python3
 Gerritlib is a Python3 library for interacting with Gerrit.
 .
 It aims to provide a more conventionally pythonic way of managing a
 Gerrit instance.

Package: python3-getdns
Description-md5: e47babfbd0295119fd56b4042bde2f94
Description-en: modern asynchronous DNS API (python 3 bindings)
 getdns is a modern asynchronous DNS API.  It implements DNS entry
 points from a design developed and vetted by application developers,
 in an API specification edited by Paul Hoffman.  With the development
 of this API, we intend to offer application developers a modernized
 and flexible way to access DNS security (DNSSEC) and other powerful
 new DNS features; a particular hope is to inspire application
 developers towards innovative security solutions in their
 applications.
 .
 This package contains python 3 bindings for the library.

Package: python3-getfem++
Description-md5: a8da0c6a81c7481721d270b35a63edb7
Description-en: Python interface to the GETFEM++ generic finite element library
 GETFEM++ is a library allowing the computation of any elementary matrix (even
 for mixed finite element methods) on the largest class of methods and elements,
 and for arbitrary dimension.
 .
 This package contains the Python 3 interface to GETFEM++.

Package: python3-gevent
Description-md5: bbee70605383e4a4707e6eaa92881059
Description-en: gevent is a coroutine-based Python networking library
 gevent uses greenlet to provide a high-level synchronous API on top of
 libevent event loop.

Package: python3-gevent-dbg
Description-md5: 9561d9b2a48b61f8d58fb39f994123c5
Description-en: gevent is a coroutine-based Python networking library - debugging symbols
 gevent uses greenlet to provide a high-level synchronous API on top of
 libevent event loop.
 .
 This is the debugging symbols for gevent.

Package: python3-gevent-websocket
Description-md5: 581bf4c44fee91950001ae66007c9b71
Description-en: websocket library for the gevent networking library (Python 3)
 gevent-websocket is a WebSocket library for the gevent networking library.
 .
 Features include:
 .
  * Integration on both socket level or using an abstract interface.
  * RPC and PubSub framework using WAMP (WebSocket Application Messaging
    Protocol).
  * Easily extendible using a simple WebSocket protocol plugin API.
 .
 This package contains the Python 3 module.

Package: python3-gfapy
Description-md5: f2ce45a3b8a978e1b20d18febb3bd7ab
Description-en: flexible and extensible software library for handling sequence graphs
 The Graphical Fragment Assembly (GFA) are formats for the representation of
 sequence graphs, including assembly, variation and splicing graphs. Two
 versions of GFA have been defined (GFA1 and GFA2) and several sequence
 analysis programs have been adopting the formats as an interchange format,
 which allow the user to easily combine different sequence analysis tools.
 .
 This library implements the GFA1 and GFA2 specification. It is possible to
 create a Gfa object from a file in the GFA format or from scratch, to
 enumerate the graph elements (segments, links, containments, paths and header
 lines), to traverse the graph (by traversing all links outgoing from or
 incoming to a segment), to search for elements (e.g. which links connect two
 segments) and to manipulate the graph (e.g. to eliminate a link or a segment
 or to duplicate a segment distributing the read counts evenly on the copies).
 .
 The GFA format can be easily extended by users by defining own custom tags
 and record types. In Gfapy, it is easy to write extensions modules, which
 allow one to define custom record types and datatypes for the parsing and
 validation of custom fields. The custom lines can be connected, using
 references, to each other and to lines of the standard record types.

Package: python3-gffutils
Description-md5: a79ef5e6695b430db7b8b73d5ce44064
Description-en: Work with GFF and GTF files in a flexible database framework
 A Python package for working with and manipulating the GFF and GTF format
 files typically used for genomic annotations.  Files are loaded into a
 sqlite3 database, allowing much more complex manipulation of hierarchical
 features (e.g., genes, transcripts, and exons) than is possible with
 plain-text methods alone.

Package: python3-gflags
Description-md5: fb9602864c27a6166b6d5dd0d10a22ad
Description-en: implementation of the Google command line flags module - Python 3.x
 GFlags defines a distributed command line system, replacing systems like
 getopt(), optparse and manual argument processing. Rather than an application
 having to define all flags in or near main(), each Python module defines flags
 that are useful to it.  When one Python module imports another, it gains
 access to the other's flags.
 .
 It includes the ability to define flag types (boolean, float, integer, list),
 autogeneration of help (in both human and machine readable format) and reading
 arguments from a file. It also includes the ability to automatically generate
 man pages from the help flags.
 .
 This package provides the Python 3.x module.

Package: python3-ghdiff
Description-md5: 6d2a01a0f1ef517e0388a80ef507a735
Description-en: Generator of Github-style HTML for unified diffs
 ghdiff is a simple Python module that can generate unified diffs and display
 them in HTML, optionally including CSS.

Package: python3-gimmik
Description-md5: 474f35a96f82b66c7b683f8ad87f99bc
Description-en: generator of matrix multiplication kernels (Python 3)
 GiMMiK is a Python based kernel generator for matrix multiplication kernels
 for various accelerator platforms. For small operator matrices the generated
 kernels are capable of outperfoming the state-of-the-art general matrix
 multiplication routines such as cuBLAS GEMM or clBLAS GEMM.
 .
 This package provides the library for Python 3.

Package: python3-ginga
Description-md5: d731bb4342e78bd6d6d942e6aaf91c8c
Description-en: Astronomical image toolkit for Python
 Ginga is a toolkit designed for building viewers for scientific image
 data in Python, visualizing 2D pixel data in numpy arrays.
 It can view astronomical data such as contained in files based on the
 FITS (Flexible Image Transport System) file format.  It is written and
 is maintained by software engineers at the Subaru Telescope, National
 Astronomical Observatory of Japan.
 .
 The Ginga toolkit centers around an image display object which supports
 zooming and panning, color and intensity mapping, a choice of several
 automatic cut levels algorithms and canvases for plotting scalable
 geometric forms.  In addition to this widget, a general purpose
 "reference" FITS viewer is provided, based on a plugin framework.
 A fairly complete set of standard plugins are provided for features
 that is expected from a modern FITS viewer: panning and zooming windows,
 star catalog access, cuts, star pick/fwhm, thumbnails, etc.

Package: python3-git
Description-md5: dd1eea5d67641d7d149545a794018c50
Description-en: Python library to interact with Git repositories - Python 3.x
 python-git provides object model access to a Git repository, so Python can be
 used to manipulate it. Repository objects can be opened or created, which can
 then be traversed to find parent commit(s), trees, blobs, etc.
 .
 This package provides the Python 3.x module.

Package: python3-git-os-job
Description-md5: 2db8bbf052698b3c05c5e5761aa93792
Description-en: Show the OpenStack job logs for a commit - Python 3.x
 The OpenStack project stores the logs for all of the test jobs related to a
 commit on http://logs.openstack.org organized by the commit hash. To review
 the logs after a job runs, most developers start with the message jenkins
 leaves on gerrit, and click through to the log files. Not all jenkins jobs are
 triggered by or related to a gerrit review, though (e.g, release tags).
 .
 git-os-job makes it easy to find those logs by finding the hash of the commit
 and using it to build the right URL. It will then either print the URL or open
 a web browser directly.
 .
 This package contains the Python 3.x module.

Package: python3-gitdb
Description-md5: 8b358f070487d7a6aa53e28ce4f2638f
Description-en: pure-Python git object database (Python 3)
 The GitDB project implements interfaces to allow read and write access to
 git repositories. In its core lies the db package, which contains all
 database types necessary to read a complete git repository. These are
 the LooseObjectDB, the PackedDB and the ReferenceDB which are combined
 into the GitDB to combine every aspect of the git database.
 .
 This package contains the Python 3.x module.

Package: python3-github
Description-md5: 27d73fda4c7142654ad9c0f330dc4e8b
Description-en: Access the full Github API v3 from Python3
 This is a Python library to access the Github API v3.
 With it, you can manage Github resources (repositories, user
 profiles, organizations, etc.) from Python scripts.
 .
 It covers almost the full API and all methods are tested against the
 real Github site.

Package: python3-gitlab
Description-md5: e9dc584385a9ffc8a7b0ebbb7aa98e97
Description-en: Python 3 GitLab API client library
 Provides access to the GitLab v4 server API.
 This package contains the Python 3 library.

Package: python3-glad
Description-md5: e521b368f6fd1df6e2fc5a3220d1f0c3
Description-en: GL/GLES/EGL/GLX/WGL Loader-Generator (Python 3)
 This package provides the implementation of what is also available as
 a webservice on
  http://glad.dav1d.de/
 to transform OpenGL specs into an API that one can program against.
 It uses the official Khronos-XML specs to generate a GL/GLES/EGL/GLX/WGL
 Loader made for your needs. Glad currently supports the languages C,
 D and Volt.
 .
 The package is not meant for end users and will not be installed
 by the users of the package that it helped building.  It is a build
 dependency that is prepared to be integrated with CMake or Conan into
 the build process.
 .
 This package installs the library for Python 3.

Package: python3-glare
Description-md5: c70ed8801bfe8f8e01ff308dfecf6637
Description-en: OpenStack Artifact Repository - Python 2.7 library
 The Glance project provides services for discovering, registering, and
 retrieving virtual machine images over the cloud. They may be stand-alone
 services, or may be used to deliver images from object stores, such as
 OpenStack's Swift service, to Nova's compute nodes.
 .
 This package contains the Python libraries.

Package: python3-glareclient
Description-md5: ea817660c088b3a71dcf78014378eac3
Description-en: client library for the OpenStack GLare Artifact REpository API - Python 3.x
 Glare (from GLare Artifact REpository) is a service that provides access to a
 unified catalog of structured meta-information as well as related binary data
 (these structures are also called 'artifacts').
 .
 This package contains the Python 3.x module.

Package: python3-gleetex
Description-md5: 15531bab8dc833aa52d35e4b4c912482
Description-en: Module to embed LaTeX equations in HTML files
 GleeTeX is a python module to create images from formulas. It can parse HTML
 documents and replace all occurrences of <eq>some formula</eq> through images.
 Each image will get an alt attribute as well, which is useful for screen
 readers or text-mode browsers.
 It can also just create formula images out of LaTeX formulas and the process
 can be embedded into a web application.
 .
 GleeTeX is able to convert multiple images concurrently and it also keeps a
 cache of already converted formulas, speeding up the conversion.

Package: python3-glob2
Description-md5: 4ddd792a20f84bbf6379ab7b547c8723
Description-en: Enhanced glob module for Python
 This module provides an extended version of Python's builtin glob
 module with a number of additions.
 .
 This package contains the python 3 version.

Package: python3-glue
Description-md5: cda164a782a94826c5b0f862140869d8
Description-en: Python 3 library for data interaction
 python3-glue is a Python library for data interaction, it blurs the boundary
 between GUI-centric and code-centric data exploration.
 There are many ways to leverage Glue from Python. Among other things, you can
 write code to do the following:
 .
  * Send data in the form of NumPy arrays or Pandas DataFrames to Glue for
    exploration.
  * Write startup scripts that automatically load and clean data,
    before starting Glue.
  * Write custom functions to parse files, and plug these functions into the
    Glue GUI.
  * Write custom functions to link datasets, and plug these into the Glue GUI.
  * Create your own visualization modules.

Package: python3-glymur
Description-md5: c7b51df49b86cf1db33d72ce8812406e
Description-en: Python tools for accessing JPEG2000 files
 Glymur is an interface to the OpenJPEG library which allows one to
 read and write JPEG 2000 files from Python.
 Glymur supports both reading and writing of JPEG 2000 images,
 but writing JPEG 2000 images is currently limited to images that
 can fit in memory.
 Glymur can read images using OpenJPEG library versions as far back
 as 1.3, but it is strongly recommended to use at least version 2.1.2.
 .
 In regards to metadata, most JP2 boxes are properly interpreted.
 Certain optional JP2 boxes can also be written, including XML boxes
 and XMP UUIDs.
 There is incomplete support for reading JPX metadata.
 .
 Glymur will look to use lxml when processing boxes with XML content,
 but can fall back upon the standard library’s ElementTree if lxml
 is not available.

Package: python3-glyphslib
Description-md5: 3faa35773c61eec4a235dd18bec7cfe2
Description-en: Library for converting between Glyphs files (.glyphs) and UFOs
 This tool provides conversions between Glyphs source files (.glyphs) and
 Unified Font Objects (UFOs) via defcon.

Package: python3-gmplot
Description-md5: f4edd410b6ab77e803607fbc2b081576
Description-en: matplotlib-like interface to plotting data with Google Maps (python3)
 Plotting data on Google Maps, the easy way. A matplotlib-like interface to
 generate the HTML and javascript to render all the data you’d like on top of
 Google Maps. Several plotting methods make creating exploratory map views
 effortless.

Package: python3-gmpy2
Description-md5: fc39a0694e0a8cad53d3140dbb59bf62
Description-en: interfaces GMP to Python 3 for fast, unbound-precision computations
 gmpy is a C-coded Python extension module that wraps the GMP library to
 provide to Python code fast multiprecision arithmetic (integer, rational, and
 float), random number generation, advanced number-theoretical functions, and
 more.
 .
 This package installs Python 3 support for gmpy2.

Package: python3-gmsh
Description-md5: b8894f4be0d9d8096f498cea62846ec0
Description-en: Three-dimensional finite element mesh generator Python 3 wrapper
 Gmsh is a 3D finite element grid generator with a build-in CAD engine
 and post-processor. Its design goal is to provide a fast, light and
 user-friendly meshing tool with parametric input and advanced
 visualization capabilities. Gmsh is built around four modules: geometry,
 mesh, solver and post-processing. The specification of any input to
 these modules is done either interactively using the graphical user
 interface or in ASCII text files using Gmsh's own scripting language.
 .
 See Gmsh's reference manual for a more thorough overview of Gmsh's
 capabilities.
 .
 This package contains the Python 3 wrapper for gmsh.

Package: python3-gmusicapi
Description-md5: cbc8abbb329532fae288984e153f578a
Description-en: Unofficial API for Google Play Music
 gmusicapi allows control of Google Play Music from Python.
 .
 gmusicapi is not supported nor endorsed by Google.

Package: python3-gnocchi
Description-md5: 6b5b41cf44ea17b675fc0d051108811c
Description-en: Metric as a Service - Python 3
 Gnocchi is a service for managing a set of resources and storing metrics about
 them, in a scalable and resilient way. Its functionalities are exposed over an
 HTTP REST API.
 .
 This package contains the Python 3 module.

Package: python3-gntp
Description-md5: db963c9854219d183ddb77b3eec74c8f
Description-en: Python library for the Growl Notification Transport Protocol
 Gntp is a Python library for working with the Growl Notification
 Transport Protocol.
 .
 Its GrowlNotifier class is intended to mostly mirror older Python
 bindings for growl, and should work as a dropin replacement.

Package: python3-gnucash
Description-md5: 0159a1bd740fb6c78f4da3876f98da1f
Description-en: Gnucash interface for Python
 Gnucash provides accounting functions suitable for use by small businesses and
 individuals. It can track finances in multiple accounts, keeping running and
 reconciled balances. There is support for customer, vendor and employee
 processing. It has an X based graphical user interface, double entry, a
 hierarchy of accounts, expense accounts (categories), and can import Quicken
 QIF files and OFX files.
 .
 This package contains the Python bindings for Gnucash which allow you to read
 and manipulate Gnucash data files.

Package: python3-gnupg
Description-md5: df5055eac3c87e4d151f1a8c413898a5
Description-en: Python wrapper for the GNU Privacy Guard (Python 3.x)
 Python-GnuPG allows easy and well-documented access to basic GnuPG
 functionality such as generating and managing keys, encrypting and
 decrypting data, signing and verifying messages.
 .
 This package provides Python 3.x version of python-gnupg.

Package: python3-gnuplot
Description-md5: a59e3e2bca063d7f19297c6c4c031185
Description-en: Python interface to the gnuplot plotting program
 Gnuplot.py is a Python module that interfaces to gnuplot, the popular
 plotting program. It allows you to use gnuplot from within Python to
 plot arrays of data from memory, data files, or mathematical
 functions. If you use Python to perform computations or as `glue' for
 numerical programs, you can use this module to plot data on the fly as
 they are computed. And the combination with Python makes it is easy to
 automate things, including to create crude `animations' by plotting
 different datasets one after another.

Package: python3-gnuplotlib
Description-md5: 4c8ba0bd913813729374634a21d61620
Description-en: Gnuplot-based plotter for numpy
 gnuplotlib allows numpy data to be plotted using Gnuplot as a backend. As much
 as was possible, this module acts as a passive pass-through to Gnuplot, thus
 making available the full power and flexibility of the Gnuplot backend.
 .
 This is the package for Python3

Package: python3-goocalendar
Description-md5: 033aa43de6ba198ef652c0edfe316958
Description-en: Calendar widget for GTK+ using PyGoocanvas (Python 3)
 The GooCalendar module supplies a calendar widget drawn with GooCanvas that
 can display a month view and a week view. It also supplies classes to manage
 events you can add to the calendar.
 .
 This package is targeting Python version 3.

Package: python3-google-auth
Description-md5: 1f6e656c08958b267b5681a9ca422ee7
Description-en: Google Authentication Library - Python 3.x
 This library simplifies using Google's various server-to-server authentication
 mechanisms to access Google APIs.
 .
 This package contains the Python 3.x module.

Package: python3-google-auth-httplib2
Description-md5: 4e0ee1c12a0a6d03f43c962520c1ef91
Description-en: Google Authentication Library: httplib2 transport
 Python library providing a httplib2 transport for google-auth.
 .
 Note that httplib has lots of problems such as lack of thread safety and
 insecure usage of TLS. Using it is highly discouraged.
 .
 This library is intended to help existing users of oauth2client migrate
 to google-auth.

Package: python3-google-i18n-address
Description-md5: f58223008225432d360425f4c08863c2
Description-en: Internationalization address metadata (python3 bindings)
 This package contains a copy of Google’s i18n address metadata
 repository that contains great data but comes with no uptime
 guarantees.
 .
 Contents of this package will allow you to programmatically build
 address forms that adhere to rules of a particular region or country,
 validate local addresses and format them to produce a valid address
 label for delivery.

Package: python3-googleapi
Description-md5: 7b258060ff264931cebd68c92a3a2a56
Description-en: Google APIs Client Library - Python 3.x
 This package contains the Google APIs Client Library for Python, which is the
 core Python library for accessing. Samples are available in the
 python3-googleapi-samples package.
 .
 This package provides the Python 3.x module.

Package: python3-googleapi-samples
Description-md5: d8ce597ba0e33cd434123ead43ecce4d
Description-en: Google APIs Client Library for Python, samples
 This package contains the Google APIs Client Library for Python, which is the
 core Python library for accessing.
 .
 This package contains some samples for the python3-googleapi package.

Package: python3-gpapi
Description-md5: 3af56d9bf8b4c7e3f7a5f63b148f01a1
Description-en: Unofficial Python API for Google Play
 This Python library provides an API for accessing
 Google Play. It includes support for searching,
 downloading APKs, etc.

Package: python3-gphoto2
Description-md5: aca34ff40197f1d6c35ded2266b417d8
Description-en: Python interface to libgphoto2 (Python 3)
 Python-gphoto2 is a comprehensive Python interface (or binding) to
 libgphoto2. It is built using SWIG to automatically generate the
 interface code. This gives direct access to nearly all the libgphoto2
 functions, but sometimes in a rather un-Pythonic manner.
 .
 This package installs the library for Python 3.

Package: python3-gphoto2cffi
Description-md5: 36478989c96d838b49f6a525e200e80c
Description-en: GPhoto2 bindings with simpler API
 Python bindings for libgphoto2 with an interface that strives to be idiomatic.
 In contrast to other bindings for Python, gphoto2-cffi hides most of the
 lower-level abstractions and reduces the API surface while still offering
 access to most of the library's features.
 .
 Python3 version.

Package: python3-gpiozero
Description-md5: 7ba4db0f7f784b810c7d628e7aa571d3
Description-en: simple interface to everyday GPIO components used with Raspberry Pi (Python 3)
 gpiozero is an object-oriented wrapper around using various elelctronic
 components with the GPIO interface on the Raspberry Pi. It allows
 interacting with components like LEDs and buttons by defining them as
 object instances which provide callback hooks to react to changes, e.g.
 a button being pressed.
 .
 This package contains the Python 3 module.

Package: python3-gps
Description-md5: 7b4f907a0ca0e341fe779775f6873ccd
Description-en: Global Positioning System - Python 3 libraries
 The gpsd service daemon can monitor one or more GPS devices connected to
 a host computer, making all data on the location and movements of the
 sensors available to be queried on TCP port 2947.
 .
 This package contains a Python 3 interface to connect to gpsd, together with
 a module providing a controlled testing environment.

Package: python3-gpsoauth
Description-md5: 72cbd63e042024162c62a80397bb39d1
Description-en: Client library for Google Play Services OAuth
 gpsoauth allows Python code to use the "master token" authentication flow
 that Android apps use to authenticate with Google Play services.

Package: python3-gpumodules
Description-md5: e36e9959c8c0cbd6a9e13e20c3f59cc3
Description-en: adjustment and inspection of AMD GPUs
 An internal module used by the Ricks-Lab's
 AMD GPU Utilities.

Package: python3-gpxpy
Description-md5: a39a126a65198562a6809b6508aa3a42
Description-en: GPX file parser and GPS track manipulation library (Python 3)
 gpxpy is a simple Python library for parsing and manipulating GPX files.
 GPX is an XML based format for GPS tracks.
 .
 The library also contains utility functions that are used in GPX file
 handling, but can also be used separately, e.g. simple functions for
 calculating geographical coordinates.
 .
 This package contains the Python 3 version.

Package: python3-gpyfft
Description-md5: d0c58c4b3bfdb859664e84bf3b912f14
Description-en: Wrapper for the OpenCL FFT library clFFT (Python 3)
 This python wrapper is designed to tightly integrate with
 PyOpenCL. It consists of a low-level Cython based wrapper with an
 interface similar to the underlying C library. On top of that it
 offers a high-level interface designed to work on data contained in
 instances of pyopencl.array.Array, a numpy work-alike array class for
 GPU computations. The high-level interface takes some inspiration
 from pyFFTW. For details of the high-level interface see fft.py.
 .
 This package installs the library for Python 3.

Package: python3-gpyfft-dbg
Description-md5: 4c0dedc9f7a2155f1f7276940b7af776
Description-en: Wrapper for the OpenCL FFT library clFFT (Python 3 debug)
 This python wrapper is designed to tightly integrate with
 PyOpenCL. It consists of a low-level Cython based wrapper with an
 interface similar to the underlying C library. On top of that it
 offers a high-level interface designed to work on data contained in
 instances of pyopencl.array.Array, a numpy work-alike array class for
 GPU computations. The high-level interface takes some inspiration
 from pyFFTW. For details of the high-level interface see fft.py.
 .
 This package installs the library for Python 3 debug.

Package: python3-grapefruit
Description-md5: f20903eaf300ea1b1d8c4565c0759e7c
Description-en: Python module to manipulate color information easily (Python 3)
 GrapeFruit is a pure Python module that lets you easily manipulate and convert
 color information. Its primary goal is to be natural and flexible.
 .
 The following color systems are supported by GrapeFruit:
   * RGB (sRGB)
   * HSL
   * HSV
   * YIQ
   * YUV
   * CIE-XYZ
   * CIE-LAB (with the illuminant you want)
   * CMY
   * CMYK
   * HTML/CSS color definition (#RRGGBB, #RGB or the X11 color name)
   * RYB (artistic color wheel)
 .
 This package provides the Python3 version.

Package: python3-graphite2
Description-md5: b98c89ed7aa7617f435289d8bf699294
Description-en: Python bindings for libgraphite2
 Graphite is a system that can be used to create and use "smart fonts" capable
 of displaying writing systems with various complex behaviors, such as:
 contextual shaping, ligatures, reordering, split glyphs, bidirectionality,
 stacking diacritics and complex positioning.
 .
 This library was designed and developed by the NRSI (Non-Roman Script
 Initiative) within SIL International (www.sil.org) to act as a complement to
 other smart font rendering technologies with limited practical local
 extensibility. Its purpose is to help meet the needs of a very large number
 of "minority language" communities for local extensibility of complex script
 behaviors.
 .
 The behavior of the rendering engine for a given writing system is specified
 through extra tables added to a TrueType font.  These tables are generated by
 compiling a GDL (Graphite Description Language) source file into a font using
 grcompiler.
 .
 This package contains the Python 3 bindings for libgraphite2.

Package: python3-graphviz
Description-md5: 93c288fb71b065b2472b2292109362fb
Description-en: Simple Python 3 interface for Graphviz
 This package facilitates the creation and rendering of graph descriptions in
 the DOT language of the Graphviz graph drawing software from Python.
 .
 Create a graph object, assemble the graph by adding nodes and edges, and
 retrieve its DOT source code string. Save the source code to a file and render
 it with the Graphviz installation of your system.
 .
 Use the ``view`` option/method to directly inspect the resulting (PDF, PNG,
 SVG, etc.) file with its default application. Graphs can also be rendered
 and displayed within Jupyter notebooks.
 .
 This contains the Python 3 version.

Package: python3-graypy
Description-md5: 11e6bc1b1e3a53c98bf881f11a4f653f
Description-en: Python logging handler that sends messages in GELF (Python 3)
 This package can be used to sent messages to Graylog2 using a custom handler
 for the builtin logging library in the Graylog Extended Log Format (GELF).
 .
 Alternately, GELFRabbitHandler can be used to send messages to RabbitMQ. Your
 Graylog2 server needs to be configured to consume messages via AMQP then. This
 prevents log messages from being lost due to dropped UDP packets (GELFHandler
 sends messages to Graylog2 using UDP). You will need to configure RabbitMQ
 with a 'gelf_log' queue and bind it to the 'logging.gelf' exchange so messages
 are properly routed to a queue that can be consumed by Graylog2 (the queue and
 exchange names may be customized to your liking).
 .
 graypy can be easily integrated into Django's logging settings.
 .
 This is the Python 3 version of the package.

Package: python3-grib
Description-md5: 914b7563eb5a65791173632367a72e64
Description-en: Python 3 module for reading and writing GRIB files
 Python 3 module for reading and writing GRIB (editions 1 and 2) files.
 GRIB is the World Meterological Organization standard for
 distributing gridded data. The module is a Python 3 interface
 to the GRIB API C library from the
 European Centre for Medium-Range Weather Forecasts (ECMWF).
 .
 This package also contains the cnvgrib1to2, grib_list, grib_repack, and
 cnvgrib2to1 scripts.

Package: python3-grpc-tools
Description-md5: 42552e9b8fee90fb85a37d9dc1202e4d
Description-en: Protobuf code generator for gRPC (Python 3)
 gRPC is a modern open source high performance RPC framework. It can
 efficiently connect services in and across data centers with pluggable support
 for load balancing, tracing, health checkin and authentication.
 .
 It is also applicable in last mile of distributed computing to connect
 devices, mobile applications and browsers to backend services.
 .
 This package installs the Protobuf code generator for Python 3.

Package: python3-grpcio
Description-md5: 75cb8347218d07aad16058ccf058b2c9
Description-en: GRPC system (Python 3)
 A modern, open source remote procedure call (RPC) framework that can
 run anywhere. It enables client and server applications to communicate
 transparently, and makes it easier to build connected systems.
 .
 This package contains the Python 3 bindings.

Package: python3-gssapi
Description-md5: a053dd476e9b75a0a51c7a1fb005d186
Description-en: Python 3 interface to GSSAPI
 Python3 Bindings for GSSAPI.  These bindings are for both RFC 2743/2744 and
 many extensions.  They are native bindings produced using Cython.
 .
 Available extensions will vary based on what your GSSAPI implementation
 supports; see package documentation for a detailed list of what is available.

Package: python3-gst-1.0
Description-md5: 0010fdd48915098a7ea5bb58f2d0e137
Description-en: GStreamer GObject Introspection overrides for Python (Python 3)
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains GObject Introspection overrides for Python that
 can be used by Python applications using GStreamer.

Package: python3-gst-1.0-dbg
Description-md5: 436e700a95baa9b205c28a65dacb0d7b
Description-en: GStreamer GObject Introspection overrides for Python (Python 3, debug extensions)
 GStreamer is a streaming media framework, based on graphs of filters
 which operate on media data.  Applications using this library can do
 anything from real-time sound processing to playing videos, and just
 about anything else media-related.  Its plugin-based architecture means
 that new data types or processing capabilities can be added simply by
 installing new plug-ins.
 .
 This package contains GObject Introspection overrides for Python that
 can be used by Python applications using GStreamer.

Package: python3-gsw
Description-md5: cfd76272cd1cafb6f95a59fc43cde895
Description-en: Python 3 implementation of the Thermodynamic Equation of Seawater
 This package implements the TEOS-10 Equation of State for seawater.
 TEOS-10 is based on a Gibbs function formulation from which all
 thermodynamic properties of seawater (density, enthalpy, entropy sound speed,
 etc.) can be derived in a thermodynamically consistent manner.
 TEOS-10 was adopted by the Intergovernmental Oceanographic Commission at its
 25th Assembly in June 2009 to replace EOS-80 as the official description of
 seawater and ice properties in marine science.
 .
 For further information, see http://www.teos-10.org/

Package: python3-gtkspellcheck
Description-md5: 3dba18f535804a9b1acf7522d87bffec
Description-en: Python 3 spellchecking library for GTK+ based on Enchant
 It supports both GTK+'s Python bindings, PyGObject and PyGtk, and for both
 Python 2 and 3 with automatic switching and binding autodetection. For
 automatic translation of the user interface it can use GEdit's translation
 files.
 .
 This package contains the Python 3 version with support for PyGObject.

Package: python3-gtts
Description-md5: 841f8844288fa1485273ee4b25fbae2d
Description-en: Wrapper and CLI utility for the Google TTS (Text-to-Speech) API (Python 3)
 A Python interface for Google's Text to Speech API. Create an mp3
 file with the gTTS module or the gtts-cli tool. It allows unlimited
 lengths to be spoken by tokenizing long sentences where the speech
 would naturally pause.
 .
 This package contains the module for Python 3.

Package: python3-gtts-token
Description-md5: 808c2da0b0c06553b462af53ce5c79ca
Description-en: Calculates a token to run the Google Translate text to speech (Python 3)
 A Python implementation of the token validation of Google Translate.
 This can be used to authenticate with their TTS engine and then use it
 (as in python-gtts).
 .
 This package contains the module for Python 3.

Package: python3-gudhi
Description-md5: ad18942a5c2c34b278ab7819a482ecc5
Description-en: Python 3 interface to the GUDHI library
 The GUDHI library is a generic open source C++ library for
 Topological Data Analysis (TDA) and Higher Dimensional Geometry
 Understanding. The library offers state-of-the-art data structures
 and algorithms to construct simplicial complexes and compute
 persistent homology.
 .
 The GUDHI library is developed as part of the GUDHI project supported
 by the European Research Council.
 .
 This package contains GUDHI's Python (3) interface.

Package: python3-guess-language
Description-md5: 9ab229fe6fa6810ffc2e0213136d3d21
Description-en: library to detect the natural language of a text (Python 3 version)
 guess_language is a Python library to guess the natural language
 that a given text is written it. To achieve this, it uses models
 precomputed for each language and, optionally, spellchecking of
 words via pyenchant library.
 .
 This package installs the library for Python 3.

Package: python3-guessit
Description-md5: 0e8fbf1fe096ee82caa74797e1472751
Description-en: library for guessing information from video filenames (Python 3)
 GuessIt is a Python library that tries to extract as much information as
 possible from a video file. It has a very powerful filename matcher that allows
 one to guess a lot of metadata from a video using only its filename. This
 matcher works with both movies and TV show episodes.
 .
 This package contains the Python 3 module.
 .
 It also contains the "guessit" binary to interact with this library.

Package: python3-guestfs
Description-md5: 0f4dad0418f659813a0a1911917e8612
Description-en: guest disk image management system - Python 3 bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains the Python 3 bindings.

Package: python3-guidata
Description-md5: 0c3370da3d232a3419dbe8e90d474aa2
Description-en: dataset manipulation GUI generator - Python 3
 Based on the Qt Python binding module PyQt4, guidata is a Python
 library generating graphical user interfaces for easy dataset editing
 and display. It also provides helpers and application development
 tools for PyQt4.
 .
 This is the Python 3 version of the package.

Package: python3-guiqwt
Description-md5: 876a7c9a44c2c517a730539cc91cf39b
Description-en: efficient 2D data-plotting library - Python 3
 The guiqwt Python library provides efficient 2D data-plotting
 features (curve/image visualization and related tools) for
 signal/image processing application development and interactive
 computing. It's based on the scientific modules NumPy and SciPy, and
 the PyQwt plotting widgets for PyQt4 graphical user interfaces.
 .
 This is the Python 3 version of the package.

Package: python3-guizero
Description-md5: 22a8d8c1e54f42b5e5704713248572fa
Description-en: module to allow quick and easy GUI creation (Python 3)
 guizero is a wrapper for Tkinter, and aims to make the process of creating
 simple GUIs quick, accessible and understandable by:
 .
  - working with the standard Python GUI library
  - providing an accessible widget naming system
  - abstracting away details novice programmers find hard
  - being flexible enough to be used for projects up to A-Level (UK) standard
  - providing comprehensive and accessible documentation with examples
  - providing helpful error messages
 .
 This package provides the guizero library for Python 3.

Package: python3-guizero-doc
Description-md5: cbb442286de370c49c64ab07e435fe8a
Description-en: module to allow quick and easy GUI creation (documentation)
 guizero is a wrapper for Tkinter, and aims to make the process of creating
 simple GUIs quick, accessible and understandable by:
 .
  - working with the standard Python GUI library
  - providing an accessible widget naming system
  - abstracting away details novice programmers find hard
  - being flexible enough to be used for projects up to A-Level (UK) standard
  - providing comprehensive and accessible documentation with examples
  - providing helpful error messages
 .
 This package provides the guizero user documentation in HTML format.

Package: python3-gumbo
Description-md5: 3bdfa062c0e001420fab2ee2a77d2bc6
Description-en: pure-C HTML5 parser Python 3 bindings
 Gumbo is an implementation of the [HTML5 parsing algorithm implemented
 as a pure C99 library with no outside dependencies.  It's designed to serve
 as a building block for other tools and libraries such as linters,
 validators, templating languages, and refactoring and analysis tools.
 .
 This package contains Python 3 bindings of Gumbo.

Package: python3-gunicorn
Description-md5: 09a7d941cfaad6a1c9d3ac57aa53ca80
Description-en: Event-based HTTP/WSGI server (Python 3 libraries)
 Green Unicorn (gunicorn) is an HTTP/WSGI server designed to serve fast clients
 or sleepy applications. That is to say; behind a buffering front-end server
 such as nginx or lighttpd.
 .
  * Optional support for Eventlet, Tornado and Gevent to provide asynchronous
    long-polling ("Comet") connections.
  * Process management: Gunicorn reaps and restarts workers that die.
  * Easy integration with Django and Paster compatible applications (Pylons,
    TurboGears 2, etc.
  * Load balancing via pre-fork and a shared socket
  * Graceful worker process restarts
  * Upgrading without losing connections
  * Decode chunked transfers on-the-fly, allowing upload progress notifications
    or stream-based protocols over HTTP
 .
 This is the Python 3 library.

Package: python3-guzzle-sphinx-theme
Description-md5: c5067828aae43478022c1c66d9aacb10
Description-en: Sphinx theme used by Guzzle
 This package contains the Sphinx theme used by Guzzle: http://guzzlephp.org

Package: python3-gv
Description-md5: 3b4cf31a508df299de2062cf7bfdf1ba
Description-en: Python3 bindings for graphviz
 Graphviz is a set of graph drawing tools. See the description of the graphviz
 package for a full description.
 .
 This package contains the Python3 bindings.

Package: python3-gwcs
Description-md5: 849090319f2f4fc3412d1f7616a20729
Description-en: Tools for managing the WCS of astronomical data (Python 3)
 GWCS takes a general approach to WCS. It supports a data model which includes
 the entire transformation pipeline from input coordinates (detector by
 default) to world coordinates.  The goal is to provide a flexible toolkit
 which is easily extendible by adding new transforms and frames.
 .
 This package contains the Python 3 version of the package.

Package: python3-gyoto
Description-md5: 86c128dab0a3d590c897a8a50d909742
Description-en: General relativistic geodesic integration for the Python 3 language
 Gyoto aims at providing a framework for computing orbits and
 ray-traced images in General relativity.
 .
 This package also includes a Gyoto plug-in allowing to write new
 Gyoto objects in the Python 3 language.
 .
 This package provides an extension for the Python 3 programming language
 exposing the Gyoto facilities. It allows using Gyoto interactively
 from the Python 3 prompt or running complex Gyoto scripts.
 .
 Producing videos requires the python3-opencv package.

Package: python3-h11
Description-md5: d8249c3770b1eb352da2c8ab75fb2c89
Description-en: Pure-Python, bring-your-own-I/O implementation of HTTP/1.1 (Python 3)
 HTTP/1.1 library written from scratch in Python, heavily inspired by
 hyper-h2.
 .
 It's a "bring-your-own-I/O" library; h11 contains no IO code
 whatsoever. This means you can hook h11 up to your favorite network
 API, and that could be anything you want: synchronous, threaded,
 asynchronous, or your own implementation of RFC 6214 – h11 won’t
 judge you.
 .
 This is the Python 3 package.

Package: python3-h2
Description-md5: fd40a31273f591d31bfd23aee85a87f2
Description-en: Pure-Python3 HTTP/2 State-Machine based protocol implementation in Python
 This module contains a pure-Python HTTP/2 of a HTTP/2 protocol
 stack. It’s written from the ground up to be embeddable in whatever
 program you choose to use, ensuring that you can speak HTTP/2
 regardless of your programming paradigm.
 .
 This is the Python 3 version of the package.

Package: python3-h5netcdf
Description-md5: 10b13a9965c0f989c8312e372a9355be
Description-en: netCDF4 support via h5py for Python 3
 A Python interface for the netCDF4 file-format that reads and writes local or
 remote HDF5 files directly via h5py or h5pyd, without relying on the Unidata
 netCDF library.
 .
 This package provides the modules for Python 3.

Package: python3-h5py
Description-md5: f2ce0cfd21d00d27dba4d20ab7f624d2
Description-en: general-purpose Python interface to hdf5 (Python 3)
 HDF5 for Python (h5py) is a general-purpose Python interface to the
 Hierarchical Data Format library, version 5. HDF5 is a versatile, mature
 scientific software library designed for the fast, flexible storage of
 enormous amounts of data.
 .
 From a Python programmer's perspective, HDF5 provides a robust way to
 store data, organized by name in a tree-like fashion. You can create
 datasets (arrays on disk) hundreds of gigabytes in size, and perform
 random-access I/O on desired sections. Datasets are organized in a
 filesystem-like hierarchy using containers called "groups", and accessed
 using the tradional POSIX /path/to/resource syntax.
 .
 H5py provides a simple, robust read/write interface to HDF5 data from
 Python. Existing Python and Numpy concepts are used for the interface;
 for example, datasets on disk are represented by a proxy class that
 supports slicing, and has dtype and shape attributes. HDF5 groups are
 presented using a dictionary metaphor, indexed by name.
 .
 This package provides the modules for Python 3.

Package: python3-h5py-dbg
Description-md5: e5c37f5c0ffb72137241575244c5ff78
Description-en: debug extensions for h5py (Python 3)
 HDF5 for Python (h5py) is a general-purpose Python interface to the
 Hierarchical Data Format library, version 5. HDF5 is a versatile, mature
 scientific software library designed for the fast, flexible storage of
 enormous amounts of data.
 .
 From a Python programmer's perspective, HDF5 provides a robust way to
 store data, organized by name in a tree-like fashion. You can create
 datasets (arrays on disk) hundreds of gigabytes in size, and perform
 random-access I/O on desired sections. Datasets are organized in a
 filesystem-like hierarchy using containers called "groups", and accessed
 using the tradional POSIX /path/to/resource syntax.
 .
 H5py provides a simple, robust read/write interface to HDF5 data from
 Python. Existing Python and Numpy concepts are used for the interface;
 for example, datasets on disk are represented by a proxy class that
 supports slicing, and has dtype and shape attributes. HDF5 groups are
 presented using a dictionary metaphor, indexed by name.
 .
 This package provides the debug extensions for Python 3.

Package: python3-hacking
Description-md5: 583479cfcd5a124dc6cb7eb2c383634b
Description-en: Flake8 OpenStack Hacking Guidelines Enforcement plugins - Python 3.x
 Hacking is a set of flake8 plugins that test and enforce the OpenStack Style
 Commandments. It checks that your code matches the coding style which is
 mandatory for contributing to OpenStack.
 .
 This package provides the Python 3.x module.

Package: python3-haproxy-log-analysis
Description-md5: b19f6e70b4c9cc96bf8facb61a64f050
Description-en: generate aggregate statistics from HAProxy HTTP logs (Python 3)
 haproxy log analysis can generate aggregate statistics from HAProxy logs in
 the HTTP log format. This can be over a specific period, or over a subset of
 the entries by specifying a filter.
 .
 This package contains the Python 3 library.

Package: python3-harmony
Description-md5: 99cbac1fe47fbe3cc51b49417a281de1
Description-en: program and library for creating and managing Discord accounts
 A program and library for performing various actions with
 the Discord messaging service. Currently, it supports:
 .
  * Creating an account
  * Verifying the email address
  * Viewing the account tag
  * Change the username, email address, password, and avatar
  * Change safety and privacy settings
  * List servers you’re in and members in those servers
  * Transfer and delete servers you own
  * Accept server invites
  * Delete your account
 .
 This program does not support messaging with Discord,
 please use Pidgin with the purple-discord plugin for that.
 .
 https://en.wikipedia.org/wiki/Discord_(software)

Package: python3-harp
Description-md5: 6d30a8d170809b61faf2c8a4b3fc7c6e
Description-en: Python3 interface for the HARP data harmonisation toolset
 HARP is a toolset for ingesting, processing and inter-comparing satellite or
 model data against correlative data. The toolset is composed of a set of
 command line tools, a C library of analysis functions, and import/export
 interfaces for Python. The main goal of HARP is to assist
 in the inter-comparison of data sets. By appropriatelty chaining calls to
 the HARP command line tools one can preprocess satellite, model, and/or
 correlative data such that two datasets that need to be compared end up
 having the same temporal/spatial grid, same data format/structure, and same
 physical units.
 .
 This package contains Python3 wrappers for the HARP toolset.

Package: python3-hashids
Description-md5: a39162f85c73aea3400db1f15939d781
Description-en: Python implementation of hashids (Python 3 version)
 A Python port of the JavaScript hashids implementation. It generates
 YouTube-like hashes from one or many numbers. Use hashids when you do not
 want to expose your database ids to the user.
 .
 This package contains python-hashids for Python 3.

Package: python3-hbmqtt
Description-md5: 1fa36fa492728649cee71aa9321f47cc
Description-en: MQTT client/broker for asyncio
 HBMQTT is a MQTT client and broker implementation built on top of asyncio.
 .
 HBMQTT implements the full set of MQTT 3.1.1 protocol specifications and
 provides the following features:
 .
  * Support QoS 0, QoS 1 and QoS 2 messages flow
  * Client auto-reconnection on network lost
  * Authentication through password file
    (more methods can be added through a plugin system)
  * Basic $SYS topics
  * TCP and websocket support
  * SSL support over TCP and websocket
  * Plugin system

Package: python3-hdate
Description-md5: f73cd9e45ca0d2569156f959dc5014f8
Description-en: Provides a library that help use Hebrew dates (Python bindings)
 LibHdate is a small C,C++ library for Hebrew dates,
 holidays, and reading sequence (parasha). It is using
 the source code from Amos Shapir's "hdate" package fixed and
 patched by Nadav Har'El. The Torah reading sequence
 is from tables by Zvi Har'El.
 .
 This package contains Python bindings to libhdate

Package: python3-hdf-compass
Description-md5: 19519dd83cdfbe74bde35af03a66d1fb
Description-en: public modules for the HDF Compass
 HDF Compass is an experimental viewer program for HDF5 and related formats,
 designed to complement other more complex applications like HDFView. Strong
 emphasis is placed on clean minimal design, and maximum extensibility through
 a plugin system for new formats.
 .
 This package provides the public modules used by the HDF Compass application
 and third-party plugins.

Package: python3-hdf4
Description-md5: 120edb0812a1d043ea31f18e17155857
Description-en: Python-HDF4: Python interface to the NCSA HDF4 library
 The Python-HDF4 package wraps the functionality of the NCSA HDF version
 4 library inside a Python OOP framework. The SD (scientific dataset),
 VS (Vdata) and V (Vgroup) APIs are currently implemented.  SD datasets
 are read/written through numpy arrays. NetCDF files can also be read
 and modified with Python-HDF4.
 .
 This package is a fork of pyhdf (http://pysclint.sourceforge.net/pyhdf/).

Package: python3-hdf5storage
Description-md5: 625a7f16487a053ef7e31d0865209ad9
Description-en: high-level utilities to read from and write to HDF5 (Python 3)
 This package provides high level utilities to read/write a variety of Python
 types to/from HDF5 (Heirarchal Data Format) formatted files. This package also
 provides support for MATLAB MAT v7.3 formatted files, which are just HDF5 files
 with a different extension and some extra meta-data.
 .
 This package provides hdf5storage for the Python 3 interpreter.

Package: python3-hdmedians
Description-md5: 82a0e983f6ff42f8d792e9b88a0b998a
Description-en: high-dimensional medians in Python3
 Various definitions for a high-dimensional median exist and this Python
 package provides a number of fast implementations of these definitions.
 Medians are extremely useful due to their high breakdown point (up to
 50% contamination) and have a number of nice applications in machine
 learning, computer vision, and high-dimensional statistics.
 .
 This package currently has implementations of medoid and geometric
 median with support for missing data using NaN.

Package: python3-hdmf
Description-md5: 647d19e798c2566211577b097b17ea92
Description-en: Hierarchical Data Modeling Framework
 The Hierarchical Data Modeling Framework (HDMF) is a Python package
 for working with hierarchical data.  It provides APIs for specifying
 data models, reading and writing data to different storage backends,
 and representing data with Python object.

Package: python3-healpy
Description-md5: 0bf0c1a24964e5387d62f4b693d05b4f
Description-en: HEALPix representation of spherical data - Python3 interface
 HEALPix is an acronym for Hierarchical Equal Area isoLatitude Pixelization
 of a sphere. As suggested in the name, this pixelization produces a
 subdivision of a spherical surface in which each pixel covers the same
 surface area as every other pixel. It is commonly used to store all-sky
 astronomical images, most famously maps of the cosmic microwave background.
 .
 This package provides a Python3 wrapper around the C++ implementation of
 HEALPix.

Package: python3-heapdict
Description-md5: d4d80e1277041156e6b06c43518fe95f
Description-en: heap with decrease-key and increase-key operations for Python 3
 heapdict implements the MutableMapping ABC, meaning it works pretty
 much like a regular Python dict.  It's designed to be used as a
 priority queue.
 .
 This contains the Python 3 version

Package: python3-heat-dashboard
Description-md5: d96cef6d6a50f56e3fa01755b948c6f1
Description-en: OpenStack orchestration service - Python 3 dashboard plugin
 Heat is a service to orchestrate multiple composite cloud applications using
 templates, through both an OpenStack-native ReST API and a
 CloudFormation-compatible Query API.
 .
 This package contains the Python 3 OpenStack dashboard plugin.

Package: python3-hgapi
Description-md5: b5e349185b7dabda12111ba58a4e84fa
Description-en: module providing a pure-Python API to Mercurial (Python 3)
 python3-hgapi is a pure-Python API to the Mercurial command-line,
 instead of the internal Mercurial API.
 .
 python3-hgapi works for all versions of Mercurial, and will instantly
 reflect any changes to the repository (including hgrc).
 .
 This package installs the library for Python 3.

Package: python3-hglib
Description-md5: 19652f43c253e8483575109e9c2e6675
Description-en: Python3 library for interfacing with Mercurial's command server
 python3-hglib is a library with a fast, convenient interface to Mercurial.
 It uses Mercurial's command server for communication with hg.  This approach
 avoids relying on Mercurial's (unstable) internal Python API, and avoids
 licensing issues for non-GPL code.

Package: python3-hid
Description-md5: 6aa4e475cd1ef027375277af0c201d1d
Description-en: cython3 interface to hidapi
 This has been tested with:
 .
 * the PIC18F4550 on the development board from CCS with their example program.
 * the Fine Offset WH3081 Weather Station.
 .
 It works on Linux, Windows XP and OS X.
 .
 HIDAPI is a multi-platform library which allows an application to interface
 with USB and Bluetooth HID-Class devices on Windows, Linux, FreeBSD, and Mac
 OS X.  HIDAPI can be either built as a shared library (.so or .dll) or
 can be embedded directly into a target application by adding a single source
 file (per platform) and a single header.
 .
 This package contains HIDAPI for Python 3.

Package: python3-hidapi
Description-md5: 0c15f16372b048c56bd59420d249c6ce
Description-en: Python bindings for the HID API
 Python bindings for libhidapi for working with Human Interface Devices
 such as mouses and keyboards.
 .
 Python3 version.

Package: python3-hiera
Description-md5: 2841ed3cc2c1e10110548541a247290f
Description-en: Python language bindings for the hiera hierarchical database (Python 3)
 Hiera is a key/value lookup tool for configuration data, often used in Puppet
 and created and built to make Puppet better and let you set node-specific data
 without repeating yourself.
 .
 Hiera’s hierarchical lookups follow a “defaults, with overrides” pattern,
 meaning you specify common data once, and override it in situations where the
 default won’t work.
 .
 The hierarchical data can be organised as JSON, YAML, and EYAML files.
 .
 This package installs the library for Python 3 of the hiera bindings to work
 with formatted hierarchical data.

Package: python3-hinawa-utils
Description-md5: 9040e5bc9976ae74266e1073177560b6
Description-en: Library to control Audio and Music units on FireWire (IEEE1394)
 This package provides Python3 libraries with help of PyGObject for
 gobject-introspection which is based on libhinawa API's.
 .
 This package doesn't ship command line tools for specific Audio and Music units
 so, install hinawa-utils package and use hinawa-*-cui tools to control them.

Package: python3-hips
Description-md5: ffc312a097cd86c6baf21bed7e6b9226
Description-en: Python package for Hierarchical Progressive Surveys
 HiPS (Hierarchical Progressive Surveys) is a way to store large
 astronomical survey sky image and catalog datasets on servers (such
 as HiPS at CDS), that allows clients to efficiently fetch only the
 image tiles or catalog parts for a given region of the sky they are
 interested in. Similar to Google maps, but for astronomy (see the
 HiPS paper).
 .
 This is a Python package to fetch and draw HiPS images.

Package: python3-hiredis
Description-md5: df9fe422c54b97f44cf2123767cc6350
Description-en: redis protocol reader for Python using hiredis
 This package provides a Python extension that wraps the protocol parsing
 code in Hiredis. It is targeted at speeding up parsing multi bulk replies from
 redis-server.
 .
 Hiredis is a minimalistic C client library for the Redis database, a
 persistent key-value database with support for atomically manipulating and
 querying data structures such as lists and sets.
 .
 Note that it is not a stand-alone redis client library and requires other
 code to perform low-level I/O with redis.

Package: python3-hiro
Description-md5: d4e3e2796f468770e36358b6e4c6288b
Description-en: time manipulation utilities for Python
 The hiro module provides a context-manager which hijacks a few commonly used
 time function to manipulate time in its context. It allows you to rewind,
 forward, freeze, unfreeze, and scale time according to given settings.
 .
 Most notably, the builtin functions time.sleep, time.time, time.gmtime,
 datetime.now, datetime.utcnow and datetime.today behave according the
 configuration of the context.
 .
 This package provides the Python 3 version of the hiro module.

Package: python3-hisat2
Description-md5: e4e54f7ce22e56031a8aabf0c8c9a868
Description-en: Python scripts accompanying hisat2
 HISAT2 is a fast and sensitive alignment program for mapping next-
 generation sequencing reads (both DNA and RNA) to a population of human
 genomes (as well as against a single reference genome). Based on an
 extension of BWT for graphs a graph FM index (GFM) was designed and
 implementd. In addition to using one global GFM index that represents a
 population of human genomes, HISAT2 uses a large set of small GFM
 indexes that collectively cover the whole genome (each index
 representing a genomic region of 56 Kbp, with 55,000 indexes needed to
 cover the human population). These small indexes (called local indexes),
 combined with several alignment strategies, enable rapid and accurate
 alignment of sequencing reads. This new indexing scheme is called a
 Hierarchical Graph FM index (HGFM).
 .
 This package provides a serires of platform-independent scripts that are
 typically expected to be co-installed with the hisat2 binary.

Package: python3-hivex
Description-md5: 802f69909ae8a4552451fc8cbfcc2949
Description-en: Python 3 bindings for hivex
 Python 3 bindings for libhivex, a library for reading and writing
 Windows Registry "hive" binary files.

Package: python3-hkdf
Description-md5: 87127d3558537706b76a1ceb69615748
Description-en: HMAC-based Extract-and-Expand Key Derivation Function (HKDF)
 This module implements the HMAC Key Derivation function, defined at
 http://tools.ietf.org/html/draft-krawczyk-hkdf-01
 .
 There are two interfaces: a functional interface, with separate
 extract and expand functions as defined in the draft RFC, and a
 wrapper class for these functions.

Package: python3-hl7
Description-md5: 5f72a9e83f7233a644ef19f2c0d03682
Description-en: Python3 library for parsing HL7 messages
 HL7 is a communication protocol and message format for health care data.
 It is the de-facto standard for transmitting data between clinical
 information systems and between clinical devices. The version 2.x series,
 which is often is a pipe delimited format is currently the most widely
 accepted version of HL7 (version 3.0 is an XML-based format).
 python-hl7 currently only parses HL7 version 2.x messages into an easy
 to access, list-based, data structure.
 .
 This package contains the Python3 API.

Package: python3-holidays
Description-md5: 0a3d7dab8a5e417dbfb595b686e17c99
Description-en: Python library for generating sets of holidays
 A fast, efficient Python library for generating country, province and state
 specific sets of holidays on the fly. It aims to make determining whether a
 specific date is a holiday as fast and flexible as possible.
 .
 This package contains the Python 3.x module.

Package: python3-hpack
Description-md5: adc008237cf5c2baa13ab0c794a57aa6
Description-en: Pure-Python3 HTTP/2 header encoding (HPACK)
 This module contains a pure-Python HTTP/2 header encoding (HPACK) logic
 for use in Python programs that implement HTTP/2. It also contains a
 compatibility layer that automatically enables the use of nghttp2 if
 it’s available.
 .
 This is the Python 3 version of the package.

Package: python3-hpilo
Description-md5: 4b01d06990979d9a36bccf9a7e97a2b9
Description-en: HP iLO XML interface access from Python (Python 3)
 This module will make it easy for you to access the Integrated Lights Out
 management interface of your HP hardware. It supports RILOE II, iLO, iLO 2, iLO
 3 and iLO 4. It uses the XML interface or hponcfg to access and change the iLO.
 .
 This package contains the Python 3 version of hpilo.

Package: python3-hplefthandclient
Description-md5: 3de573038b67fbb7f18941bdd04c2c68
Description-en: HP LeftHand/StoreVirtual HTTP REST Client - Python 3.x
 This is a Client library that can talk to the HP LeftHand/StoreVirtual Storage
 array. The HP LeftHand storage array has a REST web service interface.
 .
 This client library implements a simple interface to talk with that REST
 interface using the Python httplib2 http library.
 .
 This package contains the Python 3.x module.

Package: python3-html2text
Description-md5: 5f069457d18a9521dea2d1f5a6f19736
Description-en: Python module for converting HTML to Markdown text (Python3 version)
 html2text is a Python module that converts a page of HTML into clean,
 easy-to-read plain ASCII text. Better yet, that ASCII also happens to be
 valid Markdown (a text-to-HTML format).
 .
 This package contains the Python 3 version of the library, and a script,
 html2markdown.

Package: python3-html5-parser
Description-md5: b448f81acc1e180c8aea8788f156d555
Description-en: fast, standards compliant, C based, HTML 5 parser for python
 A fast implementation of the HTML 5 parsing spec for Python. Parsing is
 done in C using a variant of the gumbo parser. The gumbo parse tree is
 then transformed into an lxml tree, also in C, yielding parse times that
 can be a thirtieth of the html5lib parse times. That is a speedup of 30x.
 This differs, for instance, from the gumbo python bindings, where the
 initial parsing is done in C but the transformation into the final
 tree is done in python.

Package: python3-htmlmin
Description-md5: 886ac0eb154ca8078619ff76a4755519
Description-en: HTML Minifier
 htmlmin is an HTML minifier that just works. It comes with safe
 defaults and an easily configurable set options.

Package: python3-htseq
Description-md5: ffe5034bc59f88e9b8ed360ec7976622
Description-en: Python3 high-throughput genome sequencing read analysis utilities
 HTSeq can be used to performing a number of common analysis tasks
 when working with high-throughput genome sequencing reads:
 .
   * Getting statistical summaries about the base-call quality scores to
     study the data quality.
   * Calculating a coverage vector and exporting it for visualization in
     a genome browser.
   * Reading in annotation data from a GFF file.
   * Assigning aligned reads from an RNA-Seq experiments to exons and
     genes.
 .
 This package contains the Python 3 module.

Package: python3-httmock
Description-md5: 54f53fa7b3ec7ed7531a45b11694ecbe
Description-en: Mocking library for python3-requests
 This library allows unit tests to provide mocked responses to HTTP requests
 made using the 'requests' library, either conditionally using the
 'urlmatch' decorator or for all requests using the 'all_requests'
 decorator.

Package: python3-http-parser
Description-md5: dc639189d3605033747f88456898f79e
Description-en: http request/response parser (Python 3)
 HTTP request/response parser for Python in C under MIT License, based on
 http-parser from Ryan Dahl.
 .
 This package installs the library for Python 3.

Package: python3-httpbin
Description-md5: 0794f60858b9409fcee467723bbbfd7c
Description-en: HTTP request and response service (Python 3 package)
 httpbin is a test server for testing HTTP libraries and apps. It
 features several endpoints to cover a multitude of HTTP scenarios.
 httpbin ships as a Python library and could be run directly by
 the Python interpreter, or as a WSGI app e.g. with Gunicorn. The
 endpoint responses are JSON-encoded.
 .
 This package provides httpbin in the Python 3 module path.

Package: python3-httpretty
Description-md5: 31713a11a906985587954bea1bbcd8ce
Description-en: HTTP client mock - Python 3.x
 Once upon a time a Python developer wanted to use a RESTful API, everything
 was fine but until the day he needed to test the code that hits the RESTful
 API: what if the API server is down? What if its content has changed ?
 .
 Don't worry, HTTPretty is here for you.
 .
 This package provides the Python 3.x module.

Package: python3-httpsig
Description-md5: 76e3ac15fcef32c305b8a980339e0685
Description-en: sign HTTP requests (IETF HTTP Signatures) - Python 3 version of the package
 Sign HTTP requests with secure signatures according to the draft IETF HTTP
 Signatures specification.

Package: python3-httptools
Description-md5: 4aa50adf784d05ad123f5ebfb562d405
Description-en: framework independent HTTP protocol utils (Python3 version)
 httptools is a Python binding for nodejs HTTP parser. It contains two classes
 httptools.HttpRequestParser, httptools.HttpResponseParser and a function for
 parsing URLs httptools.parse_url.
 .
 This package contains the Python 3 version of the library.

Package: python3-hug
Description-md5: a18089fceaf55931e00f4808670f8d89
Description-en: Python3 framework for HTTP and CLI APIs
 hug makes developing a Python driven API as succinct as a written definition.
 Built-in API version management, validation and documentation generation.
 Encourages self-documenting code and easy testing.
 hug is Python 3+ only and built upon Falcon's high performance HTTP library.

Package: python3-hug-doc
Description-md5: c4e24aa1280638a2f383c17644e54387
Description-en: Python3 framework for HTTP APIs - documentation
 hug makes developing a Python driven API as succinct as a written definition.
 Built-in API version management, validation and documentation generation.
 Encourages self-documenting code and easy testing.
 hug is Python 3+ only and built upon Falcon's high performance HTTP library.
 This is the documentation package.

Package: python3-humanfriendly
Description-md5: b4731979b9b8c91c6b7dec28b3d2dab4
Description-en: Python3 library to make user friendly text interfaces
 A Python3 library that can be used to make text interfaces more user friendly.
 It provides parsing and formatting numbers, file sizes, pathnames and
 timespans in simple human friendly formats. It provides easy to use timers for
 long running operations, prompts for selecting a choice from a list of options
 by typing the option's number or a unique substring of the option, and
 terminal interaction including text styling (ANSI escape sequences), user
 friendly rendering of usage messages and querying the terminal for its size.
 .
 This package installs the library for Python 3.

Package: python3-humanize
Description-md5: 6155dec4f9a3ba853eee650b883e0d21
Description-en: Python Humanize library (Python 3)
 This library proposes various common humanization utilities, like turning
 a number into a fuzzy human readable duration ('3 minutes ago') or into a
 human readable size or throughput.
 .
 This is the Python 3 version of the package.

Package: python3-hunspell
Description-md5: 5e70c52a57655e12a70e4a8a91f5fabf
Description-en: Python 3 binding for Hunspell
 Pyhunspell is a set of Python bindings for the Hunspell spell-checker
 engine. It lets developers load Hunspell dictionaries, check words, get
 suggestions, add new words, etc. It also provides some basic morphological
 analysis related methods.
 .
 This package provides the binding to Python 3.

Package: python3-hupper
Description-md5: 8978ef1154d8cff8b84e39b13aee8564
Description-en: Integrated process monitor for developing servers. (Python 3)
 hupper is an integrated process monitor that will track changes to any
 imported Python files in sys.modules as well as custom paths. When files
 are changed the process is restarted.
 .
 This package installs the library for Python 3.

Package: python3-hurry.filesize
Description-md5: 6bb6aab8329bbb2781cce98328752b4e
Description-en: human readable file sizes or anything sized in bytes - Python 3.x
 hurry.filesize a simple Python library that can take a number of bytes and
 returns a human-readable string with the size in it, in kilobytes (K),
 megabytes (M), etc.
 .
 The default system it uses is "traditional", where multipliers of 1024
 increase the unit size.
 .
 This package provides the Python 3.x module.

Package: python3-hvac
Description-md5: 87f3d1dc985dc89bc5ba925dedb0e579
Description-en: Python 3 client library for Hashicorp Vault
 HVAC allows accessing secrets stored in a Vault directly from
 Python code.
 .
 An access token must be created first, using a separate tool
 like vault or vault-client.
 .
 This is the Python 3 version of the package.

Package: python3-hy
Description-md5: 6a814200f86d16c4a13a8fffa0a379a0
Description-en: Lisp (s-expression) based frontend to Python 3
 Hy is a wonderful dialect of Lisp that's embedded in Python 3.
 .
 Since Hy transforms its Lisp code into the Python Abstract Syntax Tree, you
 have the whole beautiful world of Python at your fingertips, in Lisp form!
 .
 This package contains Python 3 bindings only.

Package: python3-hydroffice.bag
Description-md5: 111b920b04e0d4c5f01b906ac279ab80
Description-en: manage Bathymetric Attributed Grid (BAG) data files (Python 3)
 HydrOffice is a research development environment for ocean mapping. It
 provides a collection of hydro-packages, each of them dealing with a
 specific issue of the field. The main goal is to speed up both
 algorithms testing and research-2-operation.
 .
 The BAG hydro-package collects tools for working with BAG files. BAG is
 a data format by the ONS-WG (Open Navigation Surface Working Group).
 .
 This package provides the Python 3 library.

Package: python3-hyperframe
Description-md5: 1e6600b34844c74adf54967f5ee2ffb5
Description-en: Pure-Python3 HTTP/2 framing code
 This module contains a pure-Python codebase that is capable of
 decoding a binary stream into HTTP/2 frames.
 .
 This is the Python 3 version of the package.

Package: python3-hypothesis
Description-md5: 466954f1c5c38e1a2d5163868bd81bf8
Description-en: advanced Quickcheck style testing library for Python 3
 Hypothesis is a library for testing your Python code against a much
 larger range of examples than you would ever want to write by
 hand. It's based on the Haskell library, Quickcheck, and is designed
 to integrate seamlessly into your existing Python unit testing work
 flow.
 .
 Hypothesis is both extremely practical and also advances the state of
 the art of unit testing by some way. It's easy to use, stable, and
 extremely powerful. If you're not using Hypothesis to test your
 project then you're missing out.
 .
 This package contains the Python 3 module.

Package: python3-iapws
Description-md5: c8f836273017a5a33dfe9e5577c8d9dd
Description-en: Python3 implementation of the international APWS-IF97 steam tables
 This is a Python3 class to model a state for liquid water or steam
 with the Industrial Formulation IAPWS-IF97.
 .
 Further information on the standard is available at http://www.iapws.org

Package: python3-ibm-cloud-sdk-core
Description-md5: 69ceb73c6b8cb237eb35ee5d3a1b83ca
Description-en: Client library for the IBM Cloud services (Python3 version)
 This project contains the core functionality used by Python SDK's generated by
 the IBM OpenAPI 3 SDK Generator (openapi-sdkgen). Python code generated by
 openapi-sdkgen will depend on the function contained in this project.
 .
 It supports the following types of authentication:
  * Basic Authentication
  * Bearer Token
  * Identity and Access Management (IAM)
  * Cloud Pak for Data
  * No Authentication
 .
 This package contains the Python 3 version of the library.

Package: python3-icalendar
Description-md5: 9cd6afd48afa28b45feb00610e783caf
Description-en: parser/generator of iCalendar files for use with Python3
 The icalendar package is a parser/generator of iCalendar files for use with
 Python.
 .
 This package contains only Python3 bindings, no userland scripts.

Package: python3-ifaddr
Description-md5: cb02f0a50eabd9e5280fc207e4d7813b
Description-en: Pure Python implementation for detecting IP addresses
 This is a small Python library which allows you to find all the IP addresses
 of the computer. Both ipv4 and ipv6 addresses will be found.
 .
 It is a pure Python implementation in contrast to some other Python libraries
 providing more or less the same functionality.

Package: python3-igraph
Description-md5: 4fc5629b0cf1795301093ff15f11c348
Description-en: High performance graph data structures and algorithms (Python 3)
 Python interface to the igraph high performance graph library, primarily
 aimed at complex network research and analysis.
 .
 Graph plotting functionality is provided by the Cairo library, so make
 sure you install the Python bindings of Cairo if you want to generate
 publication-quality graph plots.
 .
 This package contains the Python 3 version of igraph.

Package: python3-ijson
Description-md5: 530f3321b26d15270252d0ccd13b7899
Description-en: event-driven JSON parser (Python 3 version)
 Ijson is an iterative, event-driven JSON parser with a standard
 Python iterator interface. The principle is similar to Java SAX
 parser: the user can parse a document on-line, without storing the
 whole object in memory.
 .
 This package installs the library for Python 3.

Package: python3-ilorest
Description-md5: f0da474c4cfe70397327f84e6c818138
Description-en: RESTful API for HPE iLO and iLO Chassis Manager based HPE servers (Python3)
 HPE RESTful API for iLO is a RESTful application programming interface for the
 management of iLO and iLO Chassis Manager based HPE servers.
 .
 REST (Representational State Transfer) is a web based software architectural
 style consisting of a set of constraints that focuses on a system's resources.
 iLO REST library performs the basic HTTP operations GET, POST, PUT, PATCH and
 DELETE on resources using the HATEOAS (Hypermedia as the Engine of Application
 State) REST architecture. The API allows the clients to manage and interact
 with iLO through a fixed URL and several URIs.
 .
 This package contains the Python 3 version.

Package: python3-image-geometry
Description-md5: 8bb5f3f03e6e5da18f1109fa34959694
Description-en: image_geometry Robot OS package - Python 3 bindings
 This package is part of Robot OS (ROS). It contains libraries for interpreting
 images geometrically. It interfaces the calibration parameters in
 sensor_msgs/CameraInfo messages with OpenCV functions such as
 image rectification, much as cv_bridge interfaces ROS sensor_msgs/Image with
 OpenCV data types.
 .
 This package contains the Python 3 interface.

Package: python3-imageio
Description-md5: 75ff5eb88d8663e92e4685e27c8e1187
Description-en: library for reading and writing image data (Python 3)
 Imageio is a Python library that provides an easy interface to read and write
 a wide range of image data, including animated images, video, volumetric data,
 and scientific formats.
 .
 This package provides the library for Python 3.

Package: python3-imaplib2
Description-md5: 9c733301e99380dcf9f20e0a5e5cd784
Description-en: Threaded Python IMAP4 client (Python 3)
 Python IMAP4 rev1 mail protocol client class using threads for parallel
 operation, allowing full use of the IMAP4 concurrency features and to
 decouple a user of imaplib from i/o lags, except where explicitly allowed.

Package: python3-imdbpy
Description-md5: eb384f3fa66cd3ad15dcbd7f9d0fbec6
Description-en: Python package to access the IMDb's movie database (Python 3)
 IMDbPY is a Python package useful to retrieve and manage the data of
 the IMDb movie database about both movies and people.
 It can be very easily used by programmers and developers to provide
 access to the IMDb's data to their programs.

Package: python3-imexam
Description-md5: 7619e1bc218729b9f09915122df4aefb
Description-en: Simple interactive astronomical image examination and plotting
 Imexam is an affiliated package of AstroPy. It was designed to be a
 lightweight library which enables users to explore data using common
 methods which are consistent across viewers. It can be used from a
 command line interface, through a Jupyter notebook or through a
 Jupyter console. It can be used with multiple viewers, such as DS9 or
 Ginga, or without a viewer as a simple library to make plots and grab
 quick photometry information.

Package: python3-img2pdf
Description-md5: cf38916468373f04862e9f6a73f032b3
Description-en: Lossless conversion of raster images to PDF (library)
 This module will take a list of raster images and produce a PDF file with the
 images embedded in it. JPEG and JPEG2000 images will be included without
 recompression. Raster images in other formats will be included with zip/flate
 encoding which usually leads to an increase in the resulting size because
 formats like png compress better than PDF which just zip/flate compresses the
 RGB data. As a result, this module is able to losslessly wrap images into a
 PDF container with a quality to filesize ratio that is typically better (in
 case of JPEG and JPEG2000 images) or equal (in case of other formats) than
 that of existing tools.
 .
 Img2pdf includes its own PDF writer but will use the pdfrw module if
 available instead.
 .
 This package contains the Python library.

Package: python3-imobiledevice
Description-md5: 881ca305b8d08944d6aa494579297ae7
Description-en: Python 3 bindings for communicating with iPhone and other Apple device
 libimobiledevice is a library that talks the native Apple USB protocols that
 the iPhone, iPad and iPod Touch use. Unlike other projects, libimobiledevice
 does not depend on using any existing libraries from Apple.
 .
 This package contains the Python 3 bindings

Package: python3-impacket
Description-md5: 6b7437307c8d1ba16ac0359b9927fbdc
Description-en: Python3 module to easily build and dissect network protocols
 Impacket  is a  collection  of Python3  classes  focused on  providing
 access  to network  packets.   Impacket allows  Python3 developers  to
 craft and decode network packets in simple and consistent manner.  It
 includes support for low-level protocols  such as IP, UDP and TCP, as
 well as higher-level protocols such as NMB and SMB.
 .
 Impacket is highly  effective when used in conjunction  with a packet
 capture utility or package such as Pcapy.  Packets can be constructed
 from  scratch, as  well as  parsed from  raw data.   Furthermore, the
 object  oriented API  makes  it  simple to  work  with deep  protocol
 hierarchies.

Package: python3-importmagic
Description-md5: 94a329e9a99d0431c677c22f69fd452c
Description-en: automagically add, remove and manage Python 3 imports
 The goal of this package is to be able to automatically manage
 imports in Python. To that end it can:
   * Build an index of all known symbols in all packages.
   * Find unresolved references in source, and resolve them against the
     index, effectively automating imports.
   * Automatically arrange imports according to PEP8.
 .
 This contains the Python 3 version

Package: python3-indexed-gzip
Description-md5: eff4400f0f58b52e433ac1fa514e0954
Description-en: fast random access of gzip files in Python
 Drop-in replacement `IndexedGzipFile` for the built-in Python `gzip.GzipFile`
 class that does not need to start decompressing from the beginning of the
 file when for every `seek()`. It gets around this performance limitation by
 building an index, which contains *seek points*, mappings between
 corresponding locations in the compressed and uncompressed data streams. Each
 seek point is accompanied by a chunk (32KB) of uncompressed data which is
 used to initialise the decompression algorithm, allowing to start reading
 from any seek point. If the index is built with a seek point spacing of 1MB,
 only 512KB (on average) of data have to be decompressed to read from any
 location in the file.
 .
 This package provides the Python 3 module.

Package: python3-indigo
Description-md5: e09afc9c84e49887e5a88d1b5efaed79
Description-en: Organic Chemistry Toolkit (Python module)
 Indigo is a C++ based organic chemistry and cheminformatics software
 environment.  Features Include:
 .
  * Molecule and reaction rendering including SVG support
  * Automatic layout for SMILES-represented molecules and reactions
  * Canonical (isomeric) SMILES computation
  * Exact matching, substructure matching, SMARTS matching
  * Matching of tautomers and resonance structures
  * Molecule fingerprinting, molecule similarity computation
  * Fast enumeration of SSSR rings, subtrees, and edge sugraphs
  * Molecular weight, molecular formula computation
  * R-Group deconvolution and scaffold detection
  * Computation of the exact maximum common substructure for an
    arbitrary amount of input structures
  * Combinatorial chemistry
  * Plugin support in the API
 .
 File formats Indigo support include MDL Mol, SDF, RDF, CML, SMILES and
 SMARTS.
 .
 This package contains the Python modules.

Package: python3-inflect
Description-md5: 2db7c861f3aa4bf3b477c11645513a3f
Description-en: Generate plurals, singular nouns, ordinals, indefinite articles (Python 3)
 The inflect Python module correctly generates plurals, singular nouns,
 ordinals and indefinite articles. It can also convert numbers to words.
 .
 This package contains the Python 3 version of this module.

Package: python3-inflection
Description-md5: 39c55487687f6bf1bc895e47d0dd211f
Description-en: Port of Ruby on Rails' inflector (Python 3)
 Inflection is a string transformation library. It singularizes and
 pluralizes English words, and transforms strings from CamelCase
 to underscored string.
 .
 This package contains the module for Python 3.

Package: python3-influxdb
Description-md5: d6b82f703c69e5dce936bd97c389ff4a
Description-en: Client for InfluxDB - Python 3.x
 API bindings for InfluxDB. Supports both InfluxDB v0.8 and InfluxDB >= 0.9.
 InfluxDB is an open source distributed time series database with no external
 dependencies. It's useful for recording metrics, events, and performing
 analytics.
 .
 This package contains the Python 3.x module.

Package: python3-inifile
Description-md5: 43e4d042121f0cdde8318977b6a2fe68
Description-en: Small INI library for Python 3
 Inifile implements simplified read and write access to INI files in
 a way that preserves the original files as well as possible.
 It allows customizing the dialect of the ini file. The default
 configuration is a compromise between the general Windows format and
 what's common on Unix systems.
 .
 This package installs the library for Python 3.

Package: python3-iniparse
Description-md5: 22ff1f5c8dc1f7cce706b1253d64bcd3
Description-en: access and modify configuration data in INI files (Python 3)
 iniparse is a INI parser for Python which is:
 .
  * Compatible with ConfigParser: Backward compatible implementations of
    ConfigParser, RawConfigParser, and SafeConfigParser are included that are
    API-compatible with the Python standard library. They pass all the unit
    tests in Python-2.4.4.
 .
  * Preserves structure of INI files: Order of sections & options, indentation,
    comments, and blank lines are preserved as far as possible when data is
    updated.
 .
  * More convenient: Values can be accessed using dotted notation
    (cfg.user.name), or using container syntax (cfg['user']['name']).
 .
 It is very useful for config files that are updated both by users and by
 programs, since it is very disorienting for a user to have her config file
 completely rearranged whenever a program changes it. iniparse also allows
 making the order of entries in a config file significant, which is desirable
 in applications like image galleries.
 .
 This is a Python 3 version of the package

Package: python3-instagram
Description-md5: 60c045d0cfef690255956a25f31cd33b
Description-en: Python 3 client for the Instagram REST and Search APIs
 Python-instagram contains the Python bindings to access the Instagram
 REST and Search API.
 .
 This package provides the Python 3.x module.

Package: python3-intbitset
Description-md5: 486cac6e16a19a5327daebbdced27a6c
Description-en: unordered sets with ultra fast operations
 The ``intbitset`` library provides a set implementation to store sorted
 unsigned integers either 32-bits integers (between ``0`` and
 ``2**31 - 1`` or ``intbitset.__maxelem__``) or an infinite range
 with fast set operations implemented via bit vectors in a *Python C
 extension* for speed and reduced memory usage.

Package: python3-intelhex
Description-md5: 192358b496649948db0904601e85da49
Description-en: Python support for Intel HEX (Python3)
 The Intel HEX file format is widely used in the microprocessors
 and microcontrollers area as the de facto standard for code
 representation for microelectronic devices programming.
 .
 This package implements an intelhex Python library to read, write,
 create from scratch and manipulate data from HEX (also known as
 Intel HEX) file format.

Package: python3-interactive-markers
Description-md5: 1b0ddc280c5baa41ace689a60ee06996
Description-en: Robot OS interactive_markers - Python 3 package
 This package is part of Robot OS (ROS). It is a 3D interactive marker
 communication library for RViz and similar tools.
 .
 This package contains the Python 3 bindings.

Package: python3-internetarchive
Description-md5: 7473d5c6dcad46ec622d03a45a11792f
Description-en: python interface to archive.org
 Python library for searching, downloading and uploading content to
 the Internet Archive.

Package: python3-intervaltree
Description-md5: 89984f5d80002db1fbba73f66e370796
Description-en: mutable, self-balancing interval tree (Python 3)
 This library contains a mutable, self-balancing interval tree
 implementation for Python. Queries may be by point, by range overlap,
 or by range envelopment.
 .
 It was designed to allow tagging text and time intervals, where the
 intervals include the lower bound but not the upper bound.
 .
 This package installs the library for Python 3.

Package: python3-intervaltree-bio
Description-md5: 57c331edd13a836d3be67f507809924e
Description-en: Interval tree convenience classes for genomic data -- Python 3 library
 Convenience classes for loading UCSC genomic annotation records into
 a set of interval tree data structures.
 .
 This package provides the Python 3 library.

Package: python3-invocations
Description-md5: 02801c8bc0a60403bb9b9df0a13ecab3
Description-en: Reusable Invoke tasks - Python 3.x
 Invocations is a collection of reusable "Invoke" tasks/task modules, including
 (but not limited to) Python project management tools such as documentation
 building and dependency organization.
 .
 It has no stand-alone components and is designed to be imported into your
 pre-existing Invoke task files.
 .
 This package contains the Python 3.x module.

Package: python3-invoke
Description-md5: 37b0d11b40c9c03444abfd51e61b0bda
Description-en: Pythonic task execution - Python 3.x
 Invoke is a Python (2.6+ and 3.2+) task execution tool and library, drawing
 inspiration from various sources to arrive at a powerful and clean feature
 set.
 .
 Like Ruby's Rake tool and Invoke's own predecessor Fabric 1.x, it provides a
 clean, high level API for running shell commands and defining/organizing task
 functions from a tasks.py file.
 .
 From GNU Make, it inherits an emphasis on minimal boilerplate for common
 patterns and the ability to run multiple tasks in a single invocation.
 .
 Following the lead of most Unix CLI applications, it offers a traditional
 flag-based style of command-line parsing, deriving flag names and value types
 from task signatures.
 .
 Like many of its predecessors, it offers advanced features as well:
 namespacing, task aliasing, before/after hooks, parallel execution and more.
 .
 This package contains the Python 3.x module.

Package: python3-iowait
Description-md5: fc59bde767ae328033150148ba8a18de
Description-en: Platform-independent module for I/O completion events
 Different operating systems provide different ways to wait for I/O completion
 events: there's select(), poll(), epoll() and kqueue(). For cross-platform
 applications it can be a pain to support all this system functions, especially
 because each one provides a different interface.
 .
 IOWait solves this problem by providing a unified interface and using always
 the best and faster function available in the platform. Its only limitation is
 that, on Windows, it only works for sockets.
 .
 This package provides the module for Python 3.

Package: python3-ipaclient
Description-md5: 1a622f82f4ba13245475896690d7fdca
Description-en: FreeIPA centralized identity framework -- Python3 modules for ipaclient
 FreeIPA is an integrated solution to provide centrally managed Identity
 (machine, user, virtual machines, groups, authentication credentials), Policy
 (configuration settings, access control information) and Audit (events,
 logs, analysis thereof).
 .
 This Python3 module is used by FreeIPA client.

Package: python3-ipaddr
Description-md5: 95422496e1d934344579109e6b3337eb
Description-en: Python module for working with IP addresses, both IPv4 and IPv6
 This library is used to create/poke/manipulate IPv4 and IPv6 addresses
 and networks in Python.  It is intended to be fast and lightweight.
 .
 This is a pure Python implementation of classes for IPv4/6 addresses and
 networks. It supports comparisons to determine if IP addresses are contained
 inside a defined network, conversion of lists of IP addresses into compact
 CIDR lists, and other IP address manipulation.
 .
 This module was incorporated into python3.3 and later as ipaddress. A direct
 backport of ipaddress is available in Debian as python-ipaddress.  There are
 incompatibilies between the two, so both are provided. This module primarily
 exists to support pip in Python 2.7 virtualenvs.

Package: python3-ipalib
Description-md5: dbed34609e442f49b98a970df0c04e38
Description-en: FreeIPA centralized identity framework -- shared Python3 modules
 FreeIPA is an integrated solution to provide centrally managed Identity
 (machine, user, virtual machines, groups, authentication credentials), Policy
 (configuration settings, access control information) and Audit (events,
 logs, analysis thereof).
 .
 This Python3 module is used by other FreeIPA packages.

Package: python3-ipdb
Description-md5: 0e6cdf4a7250147dec9e4e1e5b2f1b70
Description-en: IPython-based pdb replacement (Python 3 version)
 ipdb is a replacement for pdb Python debugger, adding IPython features (tab
 completion, syntax highlighting, better tracebacks, better introspection) to
 it.
 .
 ipdb contains replacements for all functions from the pdb stdlib module. It
 also contains the ipdb3 binary which can be used instead of the pdb3 binary to
 debug standalone scripts.
 .
 This package contains the Python 3 version of the module.

Package: python3-ipfix
Description-md5: a034e4b838a2fa1f9e26c88fd202b7cd
Description-en: IPFIX implementation for Python 3
 This module provides a Python interface to IPFIX message streams, and
 provides tools for building IPFIX Exporting and Collecting Processes.
 It handles message framing and deframing, encoding and decoding IPFIX
 data records using templates, and a bridge between IPFIX ADTs and
 appropriate Python data types.

Package: python3-iptables
Description-md5: b9d65ec51d0a5a1d5e9b1c80b927b67e
Description-en: Python bindings for iptables (Python 3 interface)
 python-iptables provides a pythonesque wrapper via Python bindings to iptables
 under Linux. Interoperability with iptables is achieved by using the iptables
 C libraries (libiptc, libxtables, and the iptables extensions), instead of
 calling the iptables binary and parsing its output.
 It is meant primarily for dynamic and/or complex routers and firewalls, where
 rules are often updated or changed, or Python programs wish to interface with
 the Linux iptables framework...
 .
 This package provides the Python 3.x module

Package: python3-ipy
Description-md5: caecb551b839f985485780e640eba2ec
Description-en: Python3 module for handling IPv4 and IPv6 addresses and networks
 IPy is a Python3 module for handling IPv4 and IPv6 addresses and
 networks in a fashion similar to perl's Net::IP and friends. The IP
 class allows a comfortable parsing and handling for most notations in
 use for IPv4 and IPv6 addresses and networks.

Package: python3-ipykernel
Description-md5: 8f6a572e5729c8a9ad706abad96ef8b1
Description-en: IPython kernel for Jupyter (Python 3)
 This software component provides an IPython kernel, which will hook
 itself into Jupyter.
 .
 This package installs the library for Python 3.

Package: python3-ipython
Description-md5: 0e78173741a89e44c6c0babc81b3f10a
Description-en: Enhanced interactive Python shell (Python 3 version)
 IPython can be used as a replacement for the standard Python shell,
 or it can be used as a complete working environment for scientific
 computing (like Matlab or Mathematica) when paired with the standard
 Python scientific and numerical tools. It supports dynamic object
 introspections, numbered input/output prompts, a macro system,
 session logging, session restoring, complete system shell access,
 verbose and colored traceback reports, auto-parentheses, auto-quoting,
 and is embeddable in other Python programs.
 .
 This package contains the backend terminal shell for Python 3: for
 the actual frontend install ipython3.

Package: python3-ipython-genutils
Description-md5: afd08f4c7114d64cfdaac64f9f67e378
Description-en: IPython vestigial utilities for Python 3
 Contains some utilities shared by the IPython and Jupyter projects.
 .
 No new code should be written against those utilities.
 .
 This package installs the library for Python 3.

Package: python3-ipywidgets
Description-md5: 3d8af55ca201e7710e25b1ac86e14d7d
Description-en: Interactive widgets for the Jupyter notebook (Python 3)
 Notebooks come alive when interactive widgets are used. Learning becomes an
 immersive and fun experience. Researchers can easily see how changing inputs
 to a model impact the results.
 .
 This package installs the library for Python 3 notebooks.

Package: python3-irc
Description-md5: 38a3f7e22be4315ffe9147013475e9c9
Description-en: Internet Relay Chat (IRC) protocol client library — Python 3
 This library is intended to encapsulate the IRC protocol at a quite low level.
 It provides an event-driven IRC client framework. It has a fairly thorough
 support for the basic IRC protocol, CTCP and DCC connections.
 .
 This package installs the library for Python 3.

Package: python3-irodsclient
Description-md5: d0e97fc28838ff0719da2e993723ea54
Description-en: Client API for iRods
 iRODS is an open source distributed data management system.
 This is a client API implemented in Python 3

Package: python3-ironic
Description-md5: 232396c2e8995c1bc5fe3c8276581ac1
Description-en: Openstack bare metal provisioning service - Python 3 library
 Ironic is an Incubated OpenStack project which aims to provision
 bare metal machines instead of virtual machines, forked from the
 Nova Baremetal driver. It is best thought of as a bare metal
 hypervisor **API** and a set of plugins which interact with
 the bare metal hypervisors. By default, it will use PXE and IPMI
 in concert to provision and turn on/off machines, but Ironic
 also supports vendor-specific plugins which may implement additional
 functionality.
 .
 This package contains the Python 3 libraries.

Package: python3-ironic-inspector
Description-md5: f5e02387771ffd33805d8bbb129bcfac
Description-en: discovering hardware properties for OpenStack Ironic - Python 3
 This is an auxiliary service for discovering hardware properties for a node
 managed by OpenStack Ironic. Hardware introspection or hardware properties
 discovery is a process of getting hardware parameters required for scheduling
 from a bare metal node, given it's power management credentials (e.g. IPMI
 address, user name and password).
 .
 A special discovery ramdisk is required to collect the information on a node.
 The default one can be built using diskimage-builder and
 ironic-inspector-ramdisk element.
 .
 This package contains the Python 3 files.

Package: python3-ironic-inspector-client
Description-md5: 68bbf3c06644f5927d3844ffb5adf0ed
Description-en: client for Ironic Inspector - Python 3.x
 This is an auxiliary service for discovering hardware properties for a node
 managed by OpenStack Ironic. Hardware introspection or hardware properties
 discovery is a process of getting hardware parameters required for scheduling
 from a bare metal node, given it's power management credentials (e.g. IPMI
 address, user name and password).
 .
 A special discovery ramdisk is required to collect the information on a node.
 The default one can be built using diskimage-builder and
 ironic-inspector-ramdisk element.
 .
 This package contains the Python 3.x module.

Package: python3-ironic-lib
Description-md5: 75b08068dceb7d73eb9f6662cd77c835
Description-en: common library used by various Ironic projects - Python 3.x
 A common library to be used by various projects in the Ironic ecosystem.
 .
 Ironic provision bare metal machines instead of virtual machines. It is a fork
 of the Nova Baremetal driver. It is best thought of as a bare metal hypervisor
 API and a set of plugins which interact with the bare metal hypervisors. By
 default, it will use PXE and IPMI in concert to provision and turn on/off
 machines, but Ironic also supports vendor-specific plugins which may implement
 additional functionality.
 .
 This package provides the Python 3.x module.

Package: python3-ironic-neutron-agent
Description-md5: f1231679ae2e6d4ae134a5b7baac32ae
Description-en: OpenStack virtual network service - Ironic agent Python 3.x library
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Python 3.x files for the Ironic agent.

Package: python3-ironic-ui
Description-md5: 72db15c987c6c3da01dc0c1a6423ecfa
Description-en: bare metal hypervisor API for OpenStack - Dashboard plugin
 Ironic provision bare metal machines instead of virtual machines. It is a fork
 of the Nova Baremetal driver. It is best thought of as a bare metal hypervisor
 API and a set of plugins which interact with the bare metal hypervisors. By
 default, it will use PXE and IPMI in concert to provision and turn on/off
 machines, but Ironic also supports vendor-specific plugins which may implement
 additional functionality.
 .
 This package contains the Ironic OpenStack dashboard plugin.

Package: python3-ironicclient
Description-md5: bff5deb8852a7580988b611b1ed40efa
Description-en: Client for OpenStack bare metal Service - Python 3.x
 Ironic provision bare metal machines instead of virtual machines. It is a fork
 of the Nova Baremetal driver. It is best thought of as a bare metal hypervisor
 API and a set of plugins which interact with the bare metal hypervisors. By
 default, it will use PXE and IPMI in concert to provision and turn on/off
 machines, but Ironic also supports vendor-specific plugins which may
 implement
 additional functionality.
 .
 This is a client for the OpenStack Ironic API. There's a Python API
 (the "ironicclient" module), and a command-line script ("ironic").
 .
 Installing this package gets you a shell command, that you can use to
 interact with Ironic's API.
 .
 This package provides the Python 3.x support.

Package: python3-isbnlib
Description-md5: 4a93a7a68756d6e91187e22c131c3e2e
Description-en: ISBN processing library
 ISBNlib is a pure Python library for validating, cleaning and getting
 metadata from ISBN strings.
 .
 ISBN or International Standard Book Number format is somewhat fluid so
 this library provides ways to parse it to canonical form and then also to
 validate the numbers via databases as well as get metainfo for issued
 ISBNs.
 .
 Python3 version.

Package: python3-isc-dhcp-leases
Description-md5: c764a1dc4a00aef6943d7fd9e1caf384
Description-en: Python module for reading dhcp leases files (Python 3 interface)
 This is a small Python module for reading /var/lib/dhcp/dhcpd.leases as written
 by isc-dhcp-server.
 It also supports reading lease files from the isc dhcp daemon running
 in IPv6 mode.
 .
 This package provides the Python 3.x module.

Package: python3-iso3166
Description-md5: a849363965b93098861984c4e34fe98a
Description-en: Standalone ISO 3166-1 country definitions (Python 3)
 ISO 3166-1 defines two-letter, three-letter, and three-digit
 country codes. python-iso3166 is a self-contained module that
 converts between these codes and the corresponding country name.
 .
 This package contains the Python 3 library.

Package: python3-isodate
Description-md5: d938d0cd93aeee2fc8043de0cfd1d6d3
Description-en: ISO 8601 date/time/duration parser and formatter (Python 3 module)
 This Python 3 module implements ISO 8601 date, time and duration parsing.

Package: python3-isort
Description-md5: a06ce7136189528ae3e12f061ec47a92
Description-en: library for sorting Python imports (Python 3)
 isort is a Python utility / library to sort imports alphabetically, and
 automatically separated into sections. It provides a command line
 utility, Python library and plugins for various editors to quickly sort
 all your imports.
 .
 This package contains the isort library for Python 3.

Package: python3-isospec
Description-md5: 51300d4007970491bcd37aa4a3566555
Description-en: Isotopic fine structure calculator for Python 3
 IsoSpec implements an algorithm for fast computation of isotopologues of
 chemical substances that can alternate between joint probability and peak
 height threshold.
 .
 This package provides the package's Python 3 interface.

Package: python3-isoweek
Description-md5: ac7c11e242bd369cc8ea8ea0d6661516
Description-en: Python module to provide the class Week (Python 3)
 The isoweek Python module provide the class Week. Instances
 represent specific weeks spanning Monday to Sunday. There are 52 or 53
 numbered weeks in a year. Week 1 is defined to be the first week with 4
 or more days in January.
 .
 This is the python3 version of the package.

Package: python3-itango
Description-md5: 4bdcbfc3010276fb68ee3b98923b2f9e
Description-en: Interactive Tango client - Python 3
 ITango works like a normal python console, but it provides a nice set of
 features from IPython. It also adds set of PyTango specific features:
 .
  * automatic import of Tango objects
  * device and attribute name completion
  * list tango devices, classes, servers
  * customized tango error message
  * database utilities
 .
 This is the Python 3 version of the package.

Package: python3-itypes
Description-md5: eefd931bf31883a30c3bc6cfa8ddbe27
Description-en: Python3 basic immutable containers types library
 This package provides basic immutable container types for Python.
 .
 The classic use case of these is in circumstances where it may result in more
 comprehensible code, or when one wants to create custom types with restricted,
 immutable interfaces.
 .
 This package contains the python3 version of the library.

Package: python3-ixion
Description-md5: 57b33b4e36ac352d0e794c59684b0669
Description-en: general purpose formula parser & interpreter library -- python module
 Ixion is a general purpose formula parser & interpreter that can calculate
 multiple named targets, or “cells”.
 .
 This package contains the Python module.

Package: python3-jack-client
Description-md5: 382d4e46ccd2141cbcc2f49b1e613b7d
Description-en: JACK Audio Connection Kit (JACK) Client for Python 3
 Python 3 module that provides bindings for the JACK library.
 The module is able to create audio input and output ports,
 also provides the functionality to manage MIDI ports.
 .
 This package installs the library for Python 3.

Package: python3-janus
Description-md5: 3f31127f2f896fae5af2f3399af1254a
Description-en: thread-safe asyncio-aware queue for Python
 Mixed sync-async queue,
 supposed to be used for communicating
 between classic synchronous (threaded) code
 and asynchronous (in terms of asyncio) one.
 .
 Like Janus god,
 the queue object from the library has two faces:
 synchronous and asynchronous interface.
 .
 Synchronous is fully compatible with standard queue,
 asynchronous one follows asyncio queue design.

Package: python3-jaraco.functools
Description-md5: bae123a4db518e0ac7c92a820d69515c
Description-en: additional functools in the spirit of stdlib's functools
 Jaraco.functools provides additional functools in the spirit of stdlib's
 functools, mostly needed as a dependency of other projects by the same
 upstream developer such as the 'tempora' module.

Package: python3-jaraco.itertools
Description-md5: d6467266726c1874cb9dd270ac2dd857
Description-en: Tools for working with iterables (Python 3)
 This module contains tools for working with Python iterables,
 complementing itertools and more_itertools.
 .
 This package contains the module for Python 3.

Package: python3-javaproperties
Description-md5: 1ee9d728be4fc67e8ed1ffc91783da21
Description-en: Python library for reading & writing Java .properties files
 javaproperties provides support for reading & writing Java .properties files
 (both the simple line-oriented format and XML) with a simple API based on the
 json module — though, for recovering Java addicts, it also includes a
 Properties class intended to match the behavior of Java 8's
 java.util.Properties as much as is Pythonically possible.

Package: python3-jdcal
Description-md5: 9fb3acd43a13002b42ffee8f2ab7bd49
Description-en: Julian dates from proleptic Gregorian and Julian calendars
 This module contains functions for converting between Julian dates
 and calendar dates.
 .
 Different regions of the world switched to Gregorian calendar from
 Julian calendar on different dates. Having separate functions for
 Julian and Gregorian calendars allow maximum flexibility in choosing
 the relevant calendar.

Package: python3-jedi
Description-md5: 2c9cf980f8c0cfe92286502b805edda8
Description-en: autocompletion tool for Python 3
 Jedi is an autocompletion tool for Python. It works. With and without syntax
 errors. Sometimes it sucks, but that's normal in dynamic languages. But it
 sucks less than other tools. It understands almost all of the basic Python
 syntax elements including many builtins.

Package: python3-jeepney
Description-md5: 8327346e25788d8f456a896029a2f0ba
Description-en: pure Python D-Bus interface
 This is a low-level, pure Python D-Bus protocol client. It has an
 I/O-free core, and integration modules for different event loops.
 .
 DBus is an inter-process communication system, mainly used in Linux.

Package: python3-jellyfish
Description-md5: e26d5a75bd005fcf8ef2b08c4b3d3dff
Description-en: Library for approximate and phonetic matching of strings (Python 3)
 Jellyfish is a Python library for doing approximate and phonetic
 matching of strings. Includes algorithms for string comparison
 (Levenshtein Distance, Damerau-Levenshtein Distance, Jaro Distance,
 Jaro-Winkler Distance, Match Rating Approach Comparison, Hamming
 Distance) and phonetic encoding (American Soundex, Metaphone, NYSIIS,
 Match Rating Codex).
 .
 This package installs the library for Python 3.

Package: python3-jenkins
Description-md5: e4079279f899215a4809da3e8b55ef5a
Description-en: bindings for the Jenkins Remote API - Python 3.x
 This package provides Python bindings for the Jenkins Remote
 API. It current supports management of:
 .
  * Project configuration
  * Build control
  * Slave node configuration
 .
 This package contains the Python 3.x module.

Package: python3-jenkins-job-builder
Description-md5: 4134cd13326e7fbdff9488bdeda2aaa2
Description-en: Configure Jenkins using YAML files - Python 3.x
 Jenkins Job Builder takes simple descriptions of Jenkins jobs in YAML format
 and uses them to configure Jenkins. You can keep your job descriptions in
 human readable text format in a version control system to make changes and
 auditing easier. It also has a flexible template system, so creating many
 similarly configured jobs is easy.
 .
 This package contains the Python 3.x module.

Package: python3-jenkinsapi
Description-md5: ca8ada3827a339bf9b131dd4732d148b
Description-en: bindings for Python usage of the Jenkins remote API
 Jenkins is the market leading continuous integration system, originally
 created by Kohsuke Kawaguchi. This API makes Jenkins even easier to use
 by providing an easy to use conventional Python interface.
 .
 Jenkins (and its predecessor Hudson) are useful projects for automating
 common development tasks (e.g., unit-testing, production batches) - but
 they are somewhat Java-centric. Thankfully the designers have provided
 an excellent and complete REST interface. This library wraps up that
 interface as more conventional Python objects in order to make most
 Jenkins-oriented tasks simpler.

Package: python3-jieba
Description-md5: 92c691f9a300c78649ef7339077b87f8
Description-en: Jieba Chinese text segmenter (Python 3)
 "Jieba" (Chinese for "to stutter")is a high-accuracy Chinese text segmenteran
 based on HMM-model and Viterbi algorithm. It uses dynamic programming to find
 the most probable combination based on the word frequency.
 .
 It supports three types of segmentation mode:
  * Accurate Mode attempts to cut the sentence into the most accurate
    segmentations, which is suitable for text analysis.
  * Full Mode gets all the possible words from the sentence. Fast but not
    accurate.
  * Search Engine Mode, based on the Accurate Mode, attempts to cut long words
    into several short words, which can raise the recall rate. Suitable for
    search engines.
 Traditional Chinese and customized dictionaries are also supported.
 .
 This package installs the library for Python 3.

Package: python3-jira
Description-md5: 8508483fb3653f69731b5a33b6039573
Description-en: JIRA Python library (Python 3)
 This package contains a library that eases the use of the JIRA REST API
 from Python.
 .
 This package installs the library for Python 3.

Package: python3-joblib
Description-md5: d9cbe6074eb37b71b9b1da9e9ad4a60d
Description-en: tools to provide lightweight pipelining in Python
 Joblib is a set of tools to provide lightweight pipelining in
 Python. In particular, joblib offers:
 .
  - transparent disk-caching of the output values and lazy
    re-evaluation (memoize pattern)
  - easy simple parallel computing
  - logging and tracing of the execution
 .
 Joblib is optimized to be fast and robust in particular on large,
 long-running functions and has specific optimizations for numpy arrays.
 .
 This package contains the Python 3 version.

Package: python3-josepy
Description-md5: a6d8be6a1e2747e5b5cffc91a865ed9a
Description-en: JOSE implementation for Python 3.x
 This package is a Python implementation of the standards developed by
 IETF Javascript Object Signing and Encryption (Active WG), in
 particular the following RFCs:
 .
   - JSON Web Algorithms (JWA)
   - JSON Web Key (JWK)
   - JSON Web Signature (JWS)
 .
 This package was originally developed as part of the ACME protocol
 implementation.  This is the Python 3 library.

Package: python3-jpy
Description-md5: db18bda83d7557a9012acc8e479e2824
Description-en: Bi-directional Python-Java bridge (Python3)
 jpy is a **bi-directional** Python-Java bridge which you can use to embed
 Java code in Python programs or the other way round.
 It has been designed particularly with regard to maximum
 data transfer speed between the two languages.
 It comes with a number of outstanding features:
 .
  * Fully translates Java class hierarchies to Python
  * Transparently handles Java method overloading
  * Support of Java multi-threading
  * Fast and memory-efficient support of primitive Java array parameters via
    `Python buffers <http://docs.python.org/3.3/c-api/buffer.html>`_
  * Support of Java methods that modify primitive Java array parameters
    (mutable parameters)
  * Java arrays translate into Python sequence objects
  * Java API for accessing Python objects (``jpy.jar``)
  .
  This package provides the Python3 interface.

Package: python3-jpylyzer
Description-md5: 63a02abf11cb8dbb297d4663e85087f2
Description-en: JP2 (JPEG 2000 Part 1) validator and properties extractor
 Validator and feature extractor for JP2 (JPEG 2000 Part 1 - ISO/IEC 15444-1)
 images. Jpylyzer was specifically created to check that a JP2 file really
 conforms to the format's specifications. Additionally jpylyzer is able to
 extract the technical characteristics of each image.

Package: python3-jpype
Description-md5: 8fd1626e6144d9b2c9b037af7c1e3fd7
Description-en: Binding the worlds of Java and Python (for Python 3)
 JPype is an effort to allow Python programs full access to java class
 libraries. This is achieved not through re-implementing Python, as
 Jython/JPython has done, but rather through interfacing at the native
 level in both Virtual Machines.
 .
 Eventually, it should be possible to replace Java with Python in many,
 though not all, situations. JSP, Servlets, RMI servers and IDE plugins
 are good candidates.
 .
 This package for Python 3.

Package: python3-jsbeautifier
Description-md5: c1ab2d9e2c9a1e7bf0ccdbd96763b1b8
Description-en: JavaScript unobfuscator and beautifier (python3)
 Beautify, unpack or deobfuscate JavaScript, leveraging popular online
 obfuscators.
 .
 This is the Python 3 version of the package.

Package: python3-jsmin
Description-md5: aa77df65814d1fa872e7c9627458253e
Description-en: JavaScript minifier written in Python - Python 3.x
 Python-jsmin is a JavaScript minifier, it is written in pure
 Python and actively maintained.
 .
 This package provides the Python 3.x module.

Package: python3-json-tricks
Description-md5: 436cecd7aa1e1ddf80a2541e217b5afb
Description-en: Python module with extra features for JSON files
 The json_tricks Python module provides extra features for handling JSON
 files from Python:
   - Store and load numpy arrays  in human-readable format
   - Store and load class instances  both generic and customized
   - Store and load date/times  as a dictionary (including timezone)
   - Preserve map order  OrderedDict
   - Allow for comments   in json files by starting lines with #
   - Sets, complex numbers, Decimal, Fraction, enums, compression, duplicate
     keys, ...

Package: python3-jsondiff
Description-md5: f84a05f2b27abb1df88283dc83cadcb1
Description-en: diff JSON and JSON-like structures in Python 3
 Python 3 module providing diff JSON and JSON-like structures.
 .
 This package provides the Python 3 version of the module.

Package: python3-jsonext
Description-md5: f09c779f2a7a7b9fa7ebeed1d7d4802d
Description-en: serialisation to/from JSON for extra types — Python 3
 ‘jsonext’ makes easy JSON serialisation of Python objects outside of
 the standard Python built-in-types.
 .
 This package installs the library for Python 3.

Package: python3-jsonhyperschema-codec
Description-md5: 46aa6f68ac6ed792d9aa60f9a8e42a6b
Description-en: Python3 JSON Hyper-Schema codec for Core API
 Core API is a format-independent Document Object Model for representing
 Web APIs.
 .
 It can be used to represent either Schema or Hypermedia responses, and
 allows one to interact with an API at the layer of an application
 interface, rather than a network interface.
 .
 Core API is developed in such a way that one can plug a codec to allow it to
 work with specific endpoints. This package provides a Python3 codec library
 that provides to Python's Core API client some support for decoding and
 interacting with JSON HyperSchema endpoints.

Package: python3-jsonnet
Description-md5: 1193f3ee350591a3b1ed50b10fd6f785
Description-en: data templating language (Python)
 A data templating language for app and tool developers
 .
  * Generate config data
  * Side-effect free
  * Organize, simplify, unify
  * Manage sprawling config
 .
 A simple extension of JSON
 .
  * Open source (Apache 2.0)
  * Familiar syntax
  * Reformatter, linter
  * Editor & IDE integrations
  * Formally specified
 .
 Eliminate duplication with object-orientation. Or, use functions.
 Integrate with existing / custom applications. Generate JSON, YAML,
 INI, and other formats.
 .
 This package ships the Python binding.

Package: python3-jsonpickle
Description-md5: e39a09a2083b554d49fd368169ff773e
Description-en: Python library for serializing object graphs into JSON (Python 3)
 jsonpickle is a Python library for serialization and deserialization of
 complex Python objects to and from JSON. The standard Python libraries for
 encoding Python into JSON, such as the stdlib’s json, simplejson, and
 demjson,  can only handle Python primitives that have a direct JSON
 equivalent (e.g. dicts, lists, strings, ints, etc.). jsonpickle builds on
 top of these libraries and allows more complex data structures to be
 serialized to JSON. jsonpickle is highly configurable and
 extendable–allowing the user to choose the JSON backend  and add additional
 backends.
 .
 This is the Python 3 version of the package.

Package: python3-jsonrpc
Description-md5: 0ef5e3d7190c5e263013dfb0e5cdad95
Description-en: Python implementation of JSON-RPC 1.0 and 2.0 (Python 3)
 JSON-RPC is a stateless, light-weight remote procedure call (RPC) protocol.
 Primarily this specification defines several data structures and the rules
 around their processing. It is transport agnostic in that the concepts can be
 used within the same process, over sockets, over http, or in many various
 message passing environments. It uses JSON (RFC 4627) as data format.
 .
 This package provides the modules for Python 3.

Package: python3-jsonrpclib-pelix
Description-md5: 5749809e03e9f1f3777fee8616ee4626
Description-en: Implementation of the JSON-RPC v2.0 specification (Python 3)
 This library is an implementation of the JSON-RPC specification.
 It supports both the original 1.0 specification, as well as the
 new (proposed) 2.0 specification, which includes batch submission, keyword
 arguments, etc.
 .
 This is a patched version of the original ``jsonrpclib`` project.
 .
 The suffix *-pelix* only indicates that this version works with Pelix Remote
 Services, but it is **not** a Pelix specific implementation.

Package: python3-jujuclient
Description-md5: 1586295229c62324202de75797b8156e
Description-en: Python API client for Juju (Python 3)
 A simple synchronous python client for the Juju websocket API.
 .
 This package installs the library for Python 3.

Package: python3-junit.xml
Description-md5: 93b5d58b19f2b70d514e9a1e145da17a
Description-en: creates JUnit XML test result docs readable by tools such as Jenkins (py3k)
 A Python module for creating JUnit XML test result documents that can be read
 by tools such as Jenkins. If you are ever working with test tool or test suite
 written in Python and want to take advantage of Jenkins’ pretty graphs and test
 reporting capabilities, this module will let you generate the XML test reports.
 .
 This package contains the Python 3 version of junit_xml module .

Package: python3-junitparser
Description-md5: f8cf9732b7842a8c62e531c623a05df1
Description-en: Manipulates JUnit/xUnit Result XML files
 junitparser is a JUnit/xUnit result XML Parser. Use it to parse and manipulate
 existing Result XML files, or create new JUnit/xUnit result XMLs from scratch.
 .
 There are already a lot of modules that convert JUnit/xUnit XML from a
 specific format, but you may run into some proprietary or less-known formats
 and you want to convert them and feed the result to another tool, or, you may
 want to manipulate the results in your own way.

Package: python3-junitxml
Description-md5: c169fbabac91bb8a2e7146219f0dc17f
Description-en: PyUnit extension for reporting in JUnit compatible XML
 junitxml is a Python module for recording test results from a stdlib unittest
 runner in a JUnit compatible XML stream.
 .
 This package contains the junitxml module for Python 3.x.

Package: python3-junos-eznc
Description-md5: 0142e463de1f6ea22370ffd163280a03
Description-en: Junos 'EZ' automation for non-programmers (Python 3)
 Junos PyEZ is designed to provide the same capabilities as a user
 would have on the Junos CLI, but in an environment built for
 automation tasks. These capabilities include, but are not limited to:
 .
  - Remote connectivity and management of Junos devices via NETCONF
  - Provide "facts" about the device
  - Retrieve "operational" or "run-state" information
  - Retrieve configuration information
  - Make configuration changes in unstructured and structured ways
  - Provide common utilities for tasks such as secure copy of files and
    software updates
 .
 This package contains the Python 3 version.

Package: python3-jupyter-client
Description-md5: 4850016a74f71ab72487766bd8f0aa6a
Description-en: Jupyter protocol client APIs (Python 3)
 This software component contains the reference implementation
 of the Jupyter protocol. It also provides client and kernel
 management APIs to work with kernels, the "jupyter kernelspec"
 entry point to install kernelspecs for use with Jupyter frontends
 and the "jupyter run" command to execute a script on a jupyter kernel.
 .
 This package installs the library for Python 3.

Package: python3-jupyter-console
Description-md5: a2b845cd7b9f2d8a701e58e7b043b925
Description-en: Jupyter terminal client (Python 3)
 Terminal-based console frontend for Jupyter kernels, like ipython but with
 support for non-python kernels.
 .
 This package installs the library for Python 3.

Package: python3-jupyter-core
Description-md5: 23139771ce692fc9df56a674cfacaae1
Description-en: Core common functionality of Jupyter projects for Python 3
 This software component contains the base framework (application
 classes and configurations) for the rest of the Jupyter projects ; it
 doesn't do much by itself.
 .
 This package installs the library for Python 3.

Package: python3-jupyter-sphinx
Description-md5: aad3257db603d4b9e9bce980533f61d7
Description-en: Jupyter Sphinx Extension - Python3
 Jupyter-sphinx is a Sphinx extension that executes embedded code in a
 Jupyter kernel, and embeds outputs of that code in the document. It
 has support for rich output such as images, Latex math and even
 javascript widgets, and it allows one to enable thebelab for live code
 execution with minimal effort.
 .
 This is the Python3 version of the package.

Package: python3-jupyter-sphinx-theme
Description-md5: c221aa6e7f6e814cb43d5a0ec1f3e7a0
Description-en: Jupyter Sphinx Theme -- Python 3
 A Jupyter Sphinx theme for narrative documentation.
 .
 It integrates the Bootstrap CSS / JavaScript framework with various layout
 options, hierarchical menu navigation, and mobile-friendly responsive design.
 It is configurable, extensible and can use any number of different Bootswatch
 CSS themes.
 .
 This package contains the Python 3 version of the theme.

Package: python3-jwcrypto
Description-md5: 01501c75f479b47da9b386d685fe4cc2
Description-en: Python3 implementation of JOSE Web standards
 This python module implements JWK,JWS,JWE specifications using
 python-cryptography.
 .
 This package includes the python3 version.

Package: python3-k8sclient
Description-md5: b2ec637fcab8a2d784d046f3d5a19447
Description-en: Kubernetes API Python client code - Python 3.x
 K8sclient is the Kubernetes API Python client code. This code is generated by
 swagger-codegen. Kubernetes provide swagger-spec to generate client code for
 different versions.
 .
 This package contains the Python 3.x module.

Package: python3-kafka
Description-md5: 9e85b7660667257ec7878e23f22ec996
Description-en: Pure Python client for Apache Kafka - Python 3.x
 This module provides low-level protocol support for Apache Kafka as well as
 high-level consumer and producer classes. Request batching is supported by the
 protocol as well as broker-aware request routing. Gzip and Snappy compression
 is also supported for message sets.
 .
 This package contains the Python 3.x module.

Package: python3-kaitaistruct
Description-md5: bc06518e0bb8cfa25686737e9761bbed
Description-en: Kaitai Struct declarative parser generator for binary data (python3)
 This library implements Kaitai Struct API for Python.
 .
 Kaitai Struct is a declarative language used for describe various
 binary data structures, laid out in files or in memory: i.e. binary
 file formats, network stream packet formats, etc.
 .
 It is similar to Python's construct and Construct3, but it is
 language-agnostic. The format description is done in YAML-based .ksy
 format, which then can be compiled into a wide range of target
 languages.
 .
 This is the Python3 package.

Package: python3-kajiki
Description-md5: 4f97511f35a2a790c7bc8c017ef63634
Description-en: Really fast well-formed xml templates - Python 3.x
 Are you tired of the slow performance of Genshi? But you still long for the
 assurance that your output is well-formed that you miss from all those other
 templating engines? Do you wish you had Jinja's blocks with Genshi's syntax?
 Then look  no further, Kajiki is for you! Kajiki quickly compiles Genshi-like
 syntax to *real Python bytecode* that renders with blazing-fast speed! Don't
 delay! Pick up your copy of Kajiki today!
 .
 This package provides the Python 3.x module.

Package: python3-kanboard
Description-md5: d57b3dec6ef4771974c27ce4d0da81d4
Description-en: minimalist kanboard Python client (Python 3)
 Kanboard (https://kanboard.net/) is project management software that
 focuses on the Kanban methodology. It has the following features:
 .
  * Visualize your work
  * Limit your work in progress to be more efficient
  * Customize your boards according to your business activities
  * Multiple projects with the ability to drag and drop tasks
  * Reports and analytics
  * Fast and simple to use
  * Access from anywhere with a modern browser
  * Plugins and integrations with external services
  * Free, open source and self-hosted
  * Super simple installation
 .
 This package installs the library for Python 3.

Package: python3-kaptan
Description-md5: 024bd5164492a395e3f59f4b57adcaa4
Description-en: Python 3 configuration manager in various formats
 Configuration manager that allows users to transparently access
 configuration data stored in various formats (INI, JSON, YAML, dict,
 file).
 .
 This is the Python 3 package

Package: python3-karborclient
Description-md5: f0fb02e729ec8e5fbacbcd9f10aaf96f
Description-en: Karbor API client - Python 3.x
 Karbor mission statement is to protect the Data and Metadata that comprises an
 OpenStack-deployed Application against loss/damage (e.g. backup, replication)
 by providing a standard framework of APIs and services that allows vendors to
 provide plugins through a unified interface.
 .
 This package contains the Python 3.x module.

Package: python3-kdcproxy
Description-md5: 33b5a7d6a8f55675c17f520f09a04427
Description-en: Kerberos KDC HTTP proxy WSGI module for python3
 This package contains a WSGI module for proxying KDC requests over HTTP by
 following the [MS-KKDCP] protocol. It aims to be simple to deploy, with
 minimal configuration.
 .
 This package includes the python3 version.

Package: python3-kdtree
Description-md5: 79bf452a7a2aaef21d31b4adc1c299ce
Description-en: container for kd-tree sorting for Python 3
 A container implementing k-dimensional space sorting using a kd-tree. It:
 .
  - supports two- to six-dimensional spaces
  - can store any data structure
  - provides standard find as well as range queries
  - has amortised O(lg n) time (O(n lg n) worst case) on most operations
    (insert/erase/find optimised) and worst-case O(n) space
  - provides means to rebalance and thus optimise the tree
 .
 This package contains the extension built for the Python 3 interpreter.

Package: python3-kdtree-dbg
Description-md5: 27408556c84386ef34f83ac36747b357
Description-en: container for kd-tree sorting for Python 3 (debug extension)
 A container implementing k-dimensional space sorting using a kd-tree. It:
 .
  - supports two- to six-dimensional spaces
  - can store any data structure
  - provides standard find as well as range queries
  - has amortised O(lg n) time (O(n lg n) worst case) on most operations
    (insert/erase/find optimised) and worst-case O(n) space
  - provides means to rebalance and thus optimise the tree
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-kea-connector
Description-md5: a8e1242ff1e7813b498dfa58115bda2b
Description-en: Python3 management connector for ISC KEA DHCP server
 KEA is an IPv4 and IPv6 DHCP server developed by Internet Systems Consortium.
 .
 This package provides Python3 connector

Package: python3-keepalive
Description-md5: 36bc621083007c5b2e8e74533c138c98
Description-en: urllib keepalive support for Python 3
 An HTTP handler for urllib2 that supports HTTP 1.1 and keepalive.
 .
 This package provides keepalive for Python 3.

Package: python3-keras
Description-md5: 104b94887fae7bdf8b8527f2de8b8f81
Description-en: deep learning framework running on Theano or TensorFlow
 Keras is a Python library for machine learning based on deep (multi-
 layered) artificial neural networks (DNN), which follows a minimalistic
 and modular design with a focus on fast experimentation.
 .
 Features of DNNs like neural layers, cost functions, optimizers,
 initialization schemes, activation functions and regularization schemes
 are available in Keras a standalone modules which can be plugged together
 as wanted to create sequence models or more complex architectures.
 Keras supports convolutions neural networks (CNN, used for image
 recognition resp. classification) and recurrent neural networks (RNN,
 suitable for sequence analysis like in natural language processing).
 .
 It runs as an abstraction layer on the top of Theano (math expression
 compiler) by default, which makes it possible to accelerate the computations
 by using (GP)GPU devices. Alternatively, Keras could run on Google's
 TensorFlow (not yet available in Debian).

Package: python3-keras-applications
Description-md5: 4f5ca07117a131b469d0ce564cd826ee
Description-en: popular models and pre-trained weights for the Keras deep learning framework
 Keras is a Python library for machine learning based on deep (multi-
 layered) artificial neural networks (DNN), which follows a minimalistic
 and modular design with a focus on fast experimentation.
 .
 Features of DNNs like neural layers, cost functions, optimizers,
 initialization schemes, activation functions and regularization schemes
 are available in Keras a standalone modules which can be plugged together
 as wanted to create sequence models or more complex architectures.
 Keras supports convolutions neural networks (CNN, used for image
 recognition resp. classification) and recurrent neural networks (RNN,
 suitable for sequence analysis like in natural language processing).
 .
 It runs as an abstraction layer on the top of Theano (math expression
 compiler) by default, which makes it possible to accelerate the computations
 by using (GP)GPU devices. Alternatively, Keras could run on Google's
 TensorFlow (not yet available in Debian).
 .
 Keras Applications is the applications module of the Keras deep
 learning library. It provides model definitions and pre-trained
 weights for a number of popular architectures, such as VGG16, ResNet50,
 Xception, MobileNet, and more.

Package: python3-keras-preprocessing
Description-md5: 7d866d8e7f78413ecd6df26865608110
Description-en: data preprocessing module for the Keras deep learning framework
 Keras is a Python library for machine learning based on deep (multi-
 layered) artificial neural networks (DNN), which follows a minimalistic
 and modular design with a focus on fast experimentation.
 .
 Features of DNNs like neural layers, cost functions, optimizers,
 initialization schemes, activation functions and regularization schemes
 are available in Keras a standalone modules which can be plugged together
 as wanted to create sequence models or more complex architectures.
 Keras supports convolutions neural networks (CNN, used for image
 recognition resp. classification) and recurrent neural networks (RNN,
 suitable for sequence analysis like in natural language processing).
 .
 It runs as an abstraction layer on the top of Theano (math expression
 compiler) by default, which makes it possible to accelerate the computations
 by using (GP)GPU devices. Alternatively, Keras could run on Google's
 TensorFlow (not yet available in Debian).
 .
 Keras Preprocessing is the data preprocessing and data augmentation
 module of the Keras deep learning library. It provides utilities for
 working with image data, text data, and sequence data.

Package: python3-kerberos
Description-md5: c51cb7a8ea205afc51055231bfe7eb11
Description-en: GSSAPI interface module - Python 3.x
 This Python package is a high-level wrapper for Kerberos (GSSAPI) operations.
 The goal is to avoid having to build a module that wraps the entire
 Kerberos.framework, and instead offer a limited set of functions that do what
 is needed for client/server Kerberos authentication based on
 <http://www.ietf.org/rfc/rfc4559.txt>.
 .
 Much of the C-code here is adapted from Apache's mod_auth_kerb-5.0rc7.
 .
 This package contains the Python 3.x module.

Package: python3-keyman-config
Description-md5: f323c509765de72ce210b68516265c21
Description-en: Keyman for Linux configuration
 Python module to install, uninstall and view information about Keyman keyboard
 packages.
 .
 It is available for other programs to use or adapt for their own
 use to manage Keyman keyboard packages.

Package: python3-keyrings.alt
Description-md5: d21cdce01dd5caf806d94d06ba7f9dd9
Description-en: alternate backend implementations for python3-keyring
 The Python keyring library provides an easy way to access the system keyring
 service from Python. It can be used in any application that needs safe
 password storage.
 .
 Keyrings.alt module contains the alternate keyring backend implementations
 for use with python-keyring, most importantly the file backend which does
 not need any external services to work.
 .
 Keyrings in this package may have security risks or other implications.
 These backends were extracted from the main keyring project to make them
 available for those who wish to employ them, but are discouraged for general
 production use. Include this module and use its backends at your own risk.
 .
 This package contains the Python 3 version of keyrings.alt.

Package: python3-keyutils
Description-md5: d87ca759f43626d6733af19f949e1056
Description-en: Python3 bindings for keyutils
 python-keyutils is a set of Python bindings for keyutils (available from
 http://people.redhat.com/~dhowells/keyutils), a key management suite that
 leverages the infrastructure provided by the Linux kernel for safely storing
 and retrieving sensitive information in your programs.
 .
 This is the Python3 package.

Package: python3-kitchen
Description-md5: b557adba6e31effbf6fd3c6349f7a746
Description-en: Python library of containers, text and i18n helpers (Python 3)
 The kitchen Python package aims at gathering in one place all the snippets of
 code that the author originally carried around from project to project to ease
 the development.
 .
 A non-exhaustive list of the features provided by kitchen:
   * helpers for text and specifically unicode handling i18n support
   * a dictionary that treats unicode and str strings as different values
   * An helper function to change any non-list value into a single-element list
   * Tools to help deal with PEP386 version format
 .
 This package installs the library for Python 3.

Package: python3-kivy
Description-md5: 7b13b0a4804710f12e8976cd327bf197
Description-en: Kivy - Multimedia / Multitouch framework in Python (Python 3)
 Kivy is an open source library for developing multi-touch applications. It is
 completely cross platform (Linux/OSX/Win/Android) and released under the terms
 of the MIT license.
 .
 It comes with native support for many multi-touch input devices, a growing
 library of multi-touch aware widgets, hardware accelerated OpenGL drawing, and
 an architecture that is designed to let you focus on building custom and highly
 interactive applications as quickly and easily as possible.
 .
 Kivy is a mixed Python library with Cython code, to take advantage of its
 highly dynamic nature and use any of the thousands of high quality and open
 source Python libraries out there, with the speed of C code.
 .
 This package contains the Python 3 compatible version of the library.

Package: python3-kiwisolver
Description-md5: c263065af0e27eb0a8113d00023f1d17
Description-en: fast implementation of the Cassowary constraint solver - Python 3.X
 Kiwi is an efficient C++ implementation of the Cassowary constraint solving
 algorithm. Kiwi is an implementation of the algorithm based on the seminal
 Cassowary paper. It is *not* a refactoring of the original C++ solver. Kiwi
 has been designed from the ground up to be lightweight and fast. Kiwi ranges
 from 10x to 500x faster than the original Cassowary solver with typical use
 cases gaining a 40x improvement. Memory savings are consistently > 5x.
 .
 In addition to the C++ solver, Kiwi ships with hand-rolled Python bindings.
 .
 This package contains the Python 3 version of kiwisolver.

Package: python3-kiwisolver-dbg
Description-md5: 3fb6d83824e19d6c378320dee70f93e2
Description-en: fast implementation of the Cassowary constraint solver - Python 3.X debug
 Kiwi is an efficient C++ implementation of the Cassowary constraint solving
 algorithm. Kiwi is an implementation of the algorithm based on the seminal
 Cassowary paper. It is *not* a refactoring of the original C++ solver. Kiwi
 has been designed from the ground up to be lightweight and fast. Kiwi ranges
 from 10x to 500x faster than the original Cassowary solver with typical use
 cases gaining a 40x improvement. Memory savings are consistently > 5x.
 .
 In addition to the C++ solver, Kiwi ships with hand-rolled Python bindings.
 .
 This package contains the Python 3 debug symbols for kiwisolver.

Package: python3-klaus
Description-md5: 0c1a20913b5931c15092161b801858c5
Description-en: simple easy-to-set-up Git web viewer
 Features:
 .
  * Easy to set up -- almost no configuration required
  * Syntax highlighting
  * Git Smart HTTP support
 .
 This package contains the Python 3.x version.

Package: python3-knack
Description-md5: bec416ae28c3e90041cadf09da9df85a
Description-en: Python command line interface framework
 Knack provides a set of python modules to build a custom command line
 interface.

Package: python3-knitpy
Description-md5: 4c18917b470e6538ab5911661e557d4e
Description-en: report generation tool with Python
 Knitpy is an elegant, flexible and fast dynamic report generation with
 Python 3. It is a port of knitr and rmarkdown to Python and is used to
 convert pymd documents (*.pymd) to various other formats.
 .
 Codeblocks and inline code are executed via IPython kernels and the results
 are inserted into the document. Documents should follow rmarkdown syntax
 substituting 'python' for 'r' if python code should be executed.
 .
 Features:
  - Code blocks and inline code.
  - Plots are shown inline.
  - Output formats html, pdf and docx.
  - Code chunk arguments eval, results (apart form "hold"), include and echo
  - Errors in code chunks are shown in the document.
  - Uses the IPython display framework, so rich output for objects implementing
    _repr_html_() or _repr_markdown_(). Mimetypes not understood by the final
    output format are automatically converted via pandoc.
  - Can be imported and used from Python.
  - Supports a --debug mode.

Package: python3-kolabformat
Description-md5: 17d4838c16d967ecf3fe20ed979b8078
Description-en: Python 3 bindings for Kolab Format 3.0 XML parsing
 Libkolabxml is the reference implementation of the Kolab XML format.
 For more information see the libkolabxml package.
 .
 This package provides Python 3 bindings for libkolabxml.

Package: python3-kopano
Description-md5: 62eb0ef30eed62766ccbd67f469f76d6
Description-en: Complete and feature rich groupware solution - Python bindings
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 Using this module, you can create Python programs which access the
 Kopano server using a higher level abstraction than python3-mapi
 provides.

Package: python3-kubernetes
Description-md5: e563921b6f8e31fb3ea79252740f40c8
Description-en: Kubernetes Python client - Python 3.x
 This package provides a Python client for kubernetes. Kubernetes is a system
 for automating deployment, scaling, and management of containerized
 applications.
 .
 This package contains the Python 3.x module.

Package: python3-kyotocabinet
Description-md5: 51e14da913542dc8ba6638a9f1abc6f8
Description-en: Straightforward implementation of DBM - Python 3 bindings
 Kyoto Cabinet is a library of routines for managing a database. The
 database is a simple data file containing records, each is a pair of
 a key and a value. Every key and value is serial bytes with variable
 length. Both binary data and character string can be used as a key and
 a value. Each key must be unique within a database. There is neither
 concept of data tables nor data types. Records are organized in
 hash table or B+ tree.
 .
 This package provides a Python 3 interface to Kyoto Cabinet.

Package: python3-kyotocabinet-dbg
Description-md5: f339764549a238c24f394d45d309aff3
Description-en: Straightforward implementation of DBM - Python 3 debugging symbols
 Kyoto Cabinet is a library of routines for managing a database. The
 database is a simple data file containing records, each is a pair of
 a key and a value. Every key and value is serial bytes with variable
 length. Both binary data and character string can be used as a key and
 a value. Each key must be unique within a database. There is neither
 concept of data tables nor data types. Records are organized in
 hash table or B+ tree.
 .
 This package provides the debugging symbols for the Python 3 interface to
 Kyoto Cabinet.

Package: python3-kytos-sphinx-theme
Description-md5: 0c17dd4271b51e59457ee03171115c35
Description-en: Theme used by kytos with sphinx -- Python
 It is a sphinx theme to be used, for instance, into python-openflow
 documentation and others kytos projects.
 .
 This theme is part of Kytos project. Kytos is a SDN Platform made by Kytos
 Team.

Package: python3-l20n
Description-md5: ef15fc0dfa987aaab0bdf1a453cd03c5
Description-en: Mozilla Web localization framework (Python 3)
 L20n is a localization framework developed by Mozilla for the Web. It
 allows localizers to put small bits of logic into localization resources
 to codify the grammar of the language.
 .
 L20n's framework removes the need for developers to thoroughly understand
 the specifics of a natural language and provides an opportunity for
 localizers to create better translations.
 .
 This package includes the l20n modules for Python 3.

Package: python3-lammps
Description-md5: 04b4494aeaa9c04a128e31482705755c
Description-en: Molecular Dynamics Simulator
 LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale
 Atomic/Molecular Massively Parallel Simulator.
 .
 LAMMPS has potentials for soft materials (biomolecules, polymers) and
 solid-state materials (metals, semiconductors) and coarse-grained or
 mesoscopic systems. It can be used to model atoms or, more generically, as a
 parallel particle simulator at the atomic, meso, or continuum scale.
 .
 LAMMPS runs on single processors or in parallel using message-passing
 techniques and a spatial-decomposition of the simulation domain. The code is
 designed to be easy to modify or extend with new functionality.
 .
 This package provides the Python module for LAMMPS.

Package: python3-langdetect
Description-md5: 963cb77b2f05320247bdcc10a78e6213
Description-en: Python library for language-detection
 Python port of langdetect, a language-detection library.
 .
 This library provides tools for
  - generating language profiles from Wikipedia abstract xml
  - detect the language of a text using naive Bayesian filter (99% over
    precision for 53 languages).

Package: python3-lark
Description-md5: bb659c939f2a1bcf7ca96805566a80ff
Description-en: Parsing library for Python (Python 3)
 lark is a parsing library for Python which allows one to parse any
 context-free grammar. It has implemented the following parsing
 algorithms: Earley, LALR(1) and CYK.
 .
 This package installs the library for Python 3.

Package: python3-lasagne
Description-md5: 9f222184ce65b3b0bf4c00a619ddb7d7
Description-en: deep learning library build on the top of Theano (Python3 modules)
 Lasagne is a Python library to build and train deep (multi-layered) artificial
 neural networks on the top of Theano (math expression compiler). In comparison
 to other abstraction layers for that like e.g. Keras, it abstracts Theano as
 little as possible.
 .
 Lasagne supports networks like Convolutional Neural Networks (CNN, mostly used
 for image recognition resp. classification) and the Long Short-Term Memory type
 (LSTM, a subtype of Recurrent Neural Networks, RNN).
 .
 This package contains the modules for Python 3.

Package: python3-laser-geometry
Description-md5: eab6a2feaee4ceef0ff9d09a521a5ab8
Description-en: Robot OS laser geometry package - Python 3 bindings
 This package is part of Robot OS (ROS). It contains a class for
 converting from a 2D laser scan as defined by sensor_msgs/LaserScan
 into a point cloud as defined by sensor_msgs/PointCloud or
 sensor_msgs/PointCloud2. In particular, it contains functionality to
 account for the skew resulting from moving robots or tilting laser
 scanners.
 .
 This package contains the Python 3 bindings.

Package: python3-lasso
Description-md5: 69483e412988938f8df3295419ae6dd8
Description-en: Library for Liberty Alliance and SAML protocols - Python bindings
 Lasso is an implementation of Liberty Alliance and related protocols, for
 network identity federations, single sign-on and other web services protocols.
 The main specifications implemented by the library are ID-FF, ID-WSF and SAML.
 .
 This package contains Python bindings for liblasso, needed to use lasso
 in Python applications.

Package: python3-latexcodec
Description-md5: 1282952a1c9d3780807a4752837acfd7
Description-en: LaTeX lexer and codec library for Python3
 latexcodec is a Python library for converting from and into
 LaTeX encoding. It includes a codec module which contains classes
 and functions for LaTeX code translation, and a module for lexing
 LaTeX code, which includes general purpose base classes for
 incremental LaTeX decoders and encoders.
 .
 This package contains the modules for Python3.

Package: python3-lazr.config
Description-md5: 0265926e04501df094fe6bff12713424
Description-en: ini-file format handling supporting schemas and inheritance
 The LAZR config system is typically used to manage process configuration.
 Process configuration is for saying how things change when we run systems on
 different machines, or under different circumstances.
 .
 This system uses ini-like file format of section, keys, and values.  The
 config file supports inheritance to minimize duplication of information across
 files. The format supports schema validation.
 .
 This is the Python 3 version.

Package: python3-lazr.delegates
Description-md5: 95939b0488022b22d38cd87a9051b193
Description-en: easily write objects that delegate behavior
 The ``lazr.delegates`` package makes it easy to write objects that delegate
 behavior to another object. The new object adds some property or behavior on
 to the other object, while still providing the underlying interface, and
 delegating behavior.
 .
 This is the Python 3 version.

Package: python3-lazr.smtptest
Description-md5: b5a2932b63850bcdb7645fa4d2af115f
Description-en: framework for testing SMTP-based applications and libraries
 This is LAZR smtptest, a framework for testing SMTP-based applications
 and libraries.  It provides a real, live SMTP server that you can send
 messages to, and from which you can read those test messages.  This
 can be used to ensure proper operation of your applications which send
 email.
 .
 This is the Python 3 version.

Package: python3-ldap3
Description-md5: 80cc59296cd0c35abdd18e9f3eaa5e56
Description-en: Pure Python LDAP client library
 A pure Python 3 LDAP version 3 strictly conforming to RFC4511 released under
 the LGPL v3 open source license. RFC4511 is the current LDAP specification
 (June 2006) from IETF and obsoletes the previous LDAP RFCs 2251, 2830, 3771
 (December 1997)
 .
 This package contains the Python 3.x version of the library.

Package: python3-ldapdomaindump
Description-md5: 99eb0d980721274ddc174ccec5da82bb
Description-en: Active Directory information dumper via LDAP (Python 3)
 This package contains an Active Directory information dumper via LDAP. In an
 Active Directory domain, a lot of interesting information can be retrieved via
 LDAP by any authenticated user (or machine). This makes LDAP an interesting
 protocol for gathering information in the recon phase of a pentest of an
 internal network. A problem is that data from LDAP often is not available in
 an easy to read format.
 .
 ldapdomaindump is a tool which aims to solve this problem, by collecting and
 parsing information available via LDAP and outputting it in a human readable
 HTML format, as well as machine readable json and csv/tsv/greppable files.
 .
 This package installs the library for Python 3.

Package: python3-ldappool
Description-md5: 609ee3bb820a7cd6b0168b08572afd69
Description-en: connection pool for python-ldap - Python 3.x
 A simple connector pool for python-ldap. The pool keeps LDAP connectors alive
 and let you reuse them, drastically reducing the time spent to initiate a ldap
 connection.
 .
 The pool has useful features like:
  * transparent reconnection on failures or server restarts
  * configurable pool size and connectors timeouts
  * configurable max lifetime for connectors
  * a context manager to simplify acquiring and releasing a connector
 .
 This package provides the Python 3.x module.

Package: python3-ldif3
Description-md5: 127b372f617628457030d4219c79e4c6
Description-en: generate and parse LDIF data (Python3 version)
 This module parses and generates LDAP data in the format LDIF. It is
 implemented in pure Python and does not rely on any non-standard modules. The
 codebase is a fork of the ldif module from python-ldap with additional
 python3/unicode support.
 .
 This package contains the Python 3 version of the library.

Package: python3-ldns
Description-md5: a0755ef3230fff37be5968f5fd2e2523
Description-en: Python3 bindings for the ldns library for DNS programming
 The goal of ldns is to simplify DNS programming, it supports recent RFCs
 like the DNSSEC documents, and allows developers to easily create software
 conforming to current RFCs, and experimental software for current Internet
 Drafts.
 .
 This archive contains modules that allow you to use LDNS Library in
 Python3 programs.

Package: python3-leather
Description-md5: 65a96db1ce3cae1434afcab8eb106b70
Description-en: charting library for Python
 Leather is the Python charting library for those who need charts now and don’t
 care if they’re perfect.
 .
 Why leather?
 .
   - A readable and user-friendly API.
   - Optimized for exploratory charting.
   - Produces scale-independent SVG charts.
   - Completely type-agnostic. Chart your data, whatever it is.
   - Designed with iPython, Jupyter and atom/hydrogen in mind.
   - Pure Python. No C dependencies to compile.
   - MIT licensed and free for all purposes.
   - Zealously zen.
   - Made with love.
 .
 This package provides the modules for Python 3.

Package: python3-lensfun
Description-md5: 240c6aa05efbf1ac22a42501b312abc1
Description-en: Lens Correction library - Python3 module
 Lensfun is an opensource database of photographic lenses and their
 characteristics. It contains three kinds of objects:
  * mounts
  * cameras
  * lenses
 .
 It is used in various photo-related software in which it allows the
 correction of various artifacts:
  * distortion
  * transveral (also known as lateral) chromatic aberrations
  * vignetting
  * colour contribution of the lens (correcting said "yellowish" or "blueish"
    images)
 .
 It provides a way to read and search for specific things in the database.
 .
 This package contains the Python3 'lensfun' module.

Package: python3-lepl
Description-md5: f9f21d48597795548a99f91f5b867242
Description-en: recursive descent parser library (Python 3)
 A recursive descent parser for Python 2.6+ (including 3!). Lepl is powerful,
 simple to use, and easy to extend: grammars are written directly as Python
 code, using a syntax similar to BNF; new matchers can be simple functions.
 .
 This is the Python 3 version of the package.

Package: python3-lesscpy
Description-md5: 7e8300eb2ac87ab67b8768fd883f0ab1
Description-en: LessCss Compiler for Python 3.x
 Lesscpy is a compiler written in Python for the lesscss language. It is very
 useful if node.js can't be installed in the environment. Not all features of
 lesscss are supported (yet). Some features wil probably never be supported
 (JavaScript evaluation).
 .
 This program uses PLY (Python Lex-Yacc) to tokenize/parse the input and is
 considerably slower than the nodejs compiler.
 .
 This package provides the Python 3.x module.

Package: python3-levenshtein
Description-md5: eda62cb06c8caf5471ddacff49ef0854
Description-en: extension for computing string similarities and edit distances (Python 3)
 The Levenshtein module computes Levenshtein distances, similarity ratios,
 generalized medians and set medians of Unicode or non-Unicode strings.
 Because it's implemented in C, it's much faster than the corresponding
 Python library functions and methods.
 .
 The Levenshtein distance is the minimum number of single-character
 insertions, deletions, and substitutions to transform one string into
 another.
 .
 It is useful for spell checking, or fuzzy matching of gettext messages.
 .
 This package contains Levenshtein for Python 3.

Package: python3-levenshtein-dbg
Description-md5: 38f93e2822a57b84091727eed10a1966
Description-en: extension for computing string similarities and edit distances(py3k debug ext)
 The Levenshtein module computes Levenshtein distances, similarity ratios,
 generalized medians and set medians of Unicode or non-Unicode strings.
 Because it's implemented in C, it's much faster than the corresponding
 Python library functions and methods.
 .
 The Levenshtein distance is the minimum number of single-character
 insertions, deletions, and substitutions to transform one string into
 another.
 .
 It is useful for spell checking, or fuzzy matching of gettext messages.
 .
 This package contains the extensions built for the Python 3 debug interpreter.

Package: python3-lexicon
Description-md5: 5679e157dfa35eae8e6bef8c6841c3f2
Description-en: Manipulate DNS records on various DNS providers (Python 3)
 Lexicon provides a way to manipulate DNS records on multiple DNS
 providers in a standardized way. Lexicon was designed to be used in
 automation, specifically letsencrypt.
 .
 This package installs the library for Python 3.

Package: python3-liac-arff
Description-md5: 777212dfacab6a70576ad78e62a39bec
Description-en: library for reading and writing ARFF files in Python
 The liac-arff module implements functions to read and write ARFF files in
 Python. It was created in the Connectionist Artificial Intelligence
 Laboratory (LIAC), which takes place at the Federal University of Rio Grande
 do Sul (UFRGS), in Brazil.
 .
 ARFF (Attribute-Relation File Format) is an file format specially created for
 describing datasets which are used commonly for machine learning experiments
 and software. This file format was created to be used in WEKA, the best
 representative software for machine learning automated experiments.

Package: python3-lib389
Description-md5: 312a10ddcf41c03aed17c8e2759b4410
Description-en: Python3 module for accessing and configuring the 389 Directory Server
 This Python3 module contains tools and libraries for accessing, testing,
 and configuring the 389 Directory Server.

Package: python3-libarchive-c
Description-md5: 1d89fa92872446c03c97985239fe2438
Description-en: Python3 interface to libarchive
 The libarchive library provides a flexible interface for reading and writing
 archives in various formats such as tar and cpio. libarchive also supports
 reading and writing archives compressed using various compression filters such
 as gzip and bzip2.
 .
 This package contains a Python3 interface to libarchive written using the
 standard ctypes module to dynamically load and access the C library.

Package: python3-libbde
Description-md5: 1da949abfb5e1c0bb9fdbff06ff90765
Description-en: BitLocker Drive Encryption access library -- Python 3 bindings
 The BDE format is used by Microsoft Windows (Vista and later) to
 encrypt data on a storage media volume.
 .
 This package includes Python 3 bindings.

Package: python3-libcegui-mk2-0.8.7
Description-md5: 9b74982e59bfc3d4deed14b6200e1a9a
Description-en: Crazy Eddie's GUI (Python 3 Bindings)
 CEGUI is a free library providing windowing and widgets for graphics
 APIs and engines where such functionality is not natively available
 or is severely lacking. The library is written in C++, is object
 oriented, and is primarily targeted at games developers who should be
 spending their time creating great games, not building GUI sub-systems
 .
 This package contains the Python 3 bindings for the CEGUI library.

Package: python3-libcloud
Description-md5: 8525aaa25b589b22ccdc9bc19e09ccdf
Description-en: unified Python interface into the cloud (Python3 version)
 libcloud is a pure Python client library for interacting with many of the
 popular cloud server providers using a unified API. It was created to make it
 easy for developers to build products that work between any of the services
 that it supports.
 .
  * Avoid vendor lock-in
  * Use the same API to talk to many different providers
  * More than 30 supported providers total
  * Four main APIs: Compute, Storage, Load Balancers, DNS
  * Supports Python 2.7, PyPy and Python 3.4 or newer.
 .
 Resource you can manage with Libcloud are divided in the following categories:
 .
  * Cloud Servers and Block Storage - services such as Amazon EC2 and
    Rackspace Cloud Servers (libcloud.compute.*)
  * Cloud Object Storage and CDN - services such as Amazon S3 and
    Rackspace CloudFiles (libcloud.storage.*)
  * Load Balancers as a Service, LBaaS (libcloud.loadbalancer.*)
  * DNS as a Service, DNSaaS (libcloud.dns.*)
  * Container virtualization services (libcloud.container.*)
 .
 libcloud was originally created by the folks over at Cloudkick, but has
 since grown into an independent free software project licensed under
 the Apache License (2.0).
 .
 This is the Python 3 version of the package.

Package: python3-libconcord
Description-md5: 4542656ac580966050e07826854c4764
Description-en: Harmony remote configuration tool - Python 3 bindings
 The Logitech Harmony is a highly configurable universal IR remote control
 compatible with most multimedia devices. The concordance software suite
 allows you to program such remotes using a configuration object retrieved
 from the harmonyremote.com website.
 .
 This package contains the Python 3 bindings for the concordance framework.

Package: python3-libdiscid
Description-md5: 11704e18aeab8771807445bc24a33e04
Description-en: libdiscid binding for Python 3
 libdiscid allows one to create MusicBrainz DiscIDs from audio CDs. It reads a
 CD's table of contents and generates and identifier which can be used to
 lookup the CD at MusicBrainz. python-libdiscid provides a binding to work with
 libdiscid from Python.
 .
 This package provides the binding for Python 3.

Package: python3-libdiscid-dbg
Description-md5: dffef6d86196a250724ed8dd031280e5
Description-en: libdiscid binding for Python 3 (debug extension)
 libdiscid allows one to create MusicBrainz DiscIDs from audio CDs. It reads a
 CD's table of contents and generates and identifier which can be used to
 lookup the CD at MusicBrainz. python-libdiscid provides a binding to work with
 libdiscid from Python.
 .
 This package provides the extensions built for the Python 3 debug interpreter.

Package: python3-libesedb
Description-md5: 09833088414c67ffada0a1fb225a4ff8
Description-en: Extensible Storage Engine DB access library -- Python 3 bindings
 libesedb is a library to access the Extensible Storage Engine (ESE)
 Database File (EDB) format. The ESE database format is used in may
 different applications like Windows Search, Windows Mail, Exchange,
 Active Directory, etc..
 .
 This package contains Python 3 bindings for libesedb.

Package: python3-libevdev
Description-md5: 845fb96f81f966da1111145388972fd6
Description-en: Python 3 wrapper for libevdev
 This is a Python wrapper around libevdev, a wrapper library for evdev
 devices. It provides a simpler API around evdev and takes advantage
 of libevdev’s advanced event handling, providing
 .
  * access to the state of the device (not just the events)
  * correct handling of fake multitouch devices
  * synchronisation of slots and per-slot state
  * transparent generation of missing tracking ids
  * per-context event handling
 .
 This package installs the library for Python 3.

Package: python3-libevt
Description-md5: 01f937c7875246d67efc569725c716ad
Description-en: Windows Event Log (EVT) format access library -- Python 3 bindings
 libevt is a library to access the Windows Event Log (EVT) format.
 .
 This package contains Python 3 bindings for libevt.

Package: python3-libevtx
Description-md5: 0ad9d499ad5852ff336945fcf01174fa
Description-en: Windows XML Event Log format access library -- Python 3 bindings
 libevtx is a library to access the Windows XML Event Log (EVTX) format.
 .
 This package contains Python 3 bindings for libevtx.

Package: python3-libewf
Description-md5: 2bec733323bc609c88788bf391962b7e
Description-en: support for Expert Witness Compression format -- Python 3 bindings
 Libewf is a library with support for reading and writing the Expert Witness
 Compression Format (EWF).
 This library allows you to read media information of EWF files in the SMART
 (EWF-S01) format and the EnCase (EWF-E01) format. It supports files created
 by EnCase 1 to 6, linen and FTK Imager. The libewf is useful for forensics
 investigations.
 .
 This package contains Python 3 bindings for libewf.

Package: python3-libfsntfs
Description-md5: 3908901343c0ea125fd45c679e50d4d6
Description-en: NTFS access library -- Python 3 bindings
 NTFS is the primary file system for Microsoft Windows versions that
 are based on Windows NT. libfsntfs provides a library and tools to
 access NTFS volumes.
 .
 This package includes Python 3 bindings.

Package: python3-libfvde
Description-md5: 129ee26166338160ca2aa97d777096a0
Description-en: FileVault Drive Encryption access library -- Python 3 bindings
 The FVDE format is used by Mac OS X, as of Lion, to encrypt data on a
 storage media volume.
 .
 This package contains Python 3 bindings for libfvde.

Package: python3-libfwnt
Description-md5: b600faa7670111079335560ee8ccb5d9
Description-en: Windows NT data type library -- Python 3 bindings
 libfwnt is a library for Windows NT data types.
 .
 This package contains Python 3 bindings for libfwnt.

Package: python3-libfwsi
Description-md5: e808d650187ab3731325c99631742326
Description-en: Windows Shell Item format access library -- Python 3 bindings
 libfwsi is a library to access the Windows Shell Item format.
 .
 This package contains Python 3 bindings for libfwsi.

Package: python3-libgpiod
Description-md5: e69873ca634f7a6bf7510464d06fc01b
Description-en: Python bindings for libgpiod (Python 3)
 libgpiod encapsulates the ioctl calls and data structures
 behind a straightforward API. This new character device
 interface guarantees all allocated resources are freed after
 closing the device file descriptor and adds several new
 features that are not present in the obsolete sysfs interface
 (like event polling, setting/reading multiple values at once or
 open-source and open-drain GPIOs).
 .
 This package contains Python 3 bindings for the libgpiod library.

Package: python3-libguess
Description-md5: 58cd9aa4f3ccb85ea18eedc33fd4ad06
Description-en: high-speed character set detection library (Python 3 wrapper)
 libguess employs discrete-finite automata to deduce the character set of the
 input buffer.  The advantage of this is that all character sets can be checked
 in parallel, and quickly.
 .
 This package contains a Python 3 wrapper for libguess.

Package: python3-libhamlib2
Description-md5: 188aa72adeb9311d2d532ae3f6818013
Description-en: Run-time Python3 library to control radio transceivers and receivers
 Most recent amateur radio transceivers allow external control of their
 functions through a computer interface. Unfortunately, control commands are
 not always consistent across a manufacturer's product line and each
 manufacturer's product line differs greatly from its competitors.
 .
 This library addresses that issue by providing a standardised programming
 interface that applications can talk to and translating that into the
 appropriate commands required by the radio in use.
 .
 This package provides the Python3 bindings of the library.

Package: python3-libhfst
Description-md5: 61a87c7415dabec5b163d47a939d3119
Description-en: Helsinki Finite-State Transducer Technology (Python3 module)
 The Helsinki Finite-State Transducer software is intended for the
 implementation of morphological analysers and other tools which are
 based on weighted and unweighted finite-state transducer technology.
 .
 This package provides Python3 module for libhfst.

Package: python3-libi8x
Description-md5: c34ccd1ed07f18ffb36ffee361c05383
Description-en: infinity note execution library (Python3 bindings)
 Infinity is a platform-independent system for executables and shared
 libraries to export information to software development tools such as
 debuggers.
 .
 In Infinity, executable and shared library files contain *Infinity
 notes* in addition to their regular contents. Each Infinity note
 contains a function encoded in a platform-independent instruction
 set that note-consuming tools can load and execute.
 .
 This package contains the development files for the execution library.

Package: python3-libiio
Description-md5: bbd6d6a477c12a28b7262d9a4ff76c03
Description-en: Python bindings for libiio
 Libiio is a library that has been conceived to ease the development of
 applications interfacing Industrial Input/Output (IIO) devices through
 the IIO subsystem of the Linux kernel.
 .
 This package contains the Python bindings for libiio.

Package: python3-libipa-hbac
Description-md5: 212cdd41ce04657029030f82f6a07c23
Description-en: Python3 bindings for the FreeIPA HBAC Evaluator library
 The libipa_hbac-python contains the bindings so that libipa_hbac can be
 used by Python applications.
 .
 This package installs the library for Python 3.

Package: python3-liblinear
Description-md5: 29042e3f385cbd59e2267884f7f914ec
Description-en: Python 3 bindings for LIBLINEAR
 LIBLINEAR is a library for learning linear classifiers for large scale
 applications. It supports Support Vector Machines (SVM) with L2 and L1
 loss, logistic regression, multi class classification and also Linear
 Programming Machines (L1-regularized SVMs). Its computational complexity
 scales linearly with the number of training examples making it one of
 the fastest SVM solvers around. It also provides Python bindings.
 .
 This package contains the Python 3 bindings.

Package: python3-liblnk
Description-md5: 6d37c221bf51c90668ad3e0762570189
Description-en: Windows Shortcut File format access library -- Python 3 bindings
 liblnk is a library to access the Windows Shortcut File (LNK) format.
 .
 This package contains Python 3 bindings for liblnk.

Package: python3-liblo
Description-md5: 211348b6a5cdea4df715779e5722b02b
Description-en: Python 3 bindings for liblo, the lightweight OSC library
 pyliblo, provides Python 3 bindings for liblo,
 which is an implementation of the Open Sound Control specification
 and facilitates communication between various music applications.
 .
 Open Sound Control (OSC) is a protocol for communication among computers,
 sound synthesizers, and other multimedia devices that is optimized for modern
 networking technology.

Package: python3-libmaas
Description-md5: 8ea5a5f3edc9ff9793d7985136477e83
Description-en: MAAS asyncio client library (Python 3)
 The MAAS Python Client library provides an asyncio based library
 to interact with MAAS.
 .
 This package installs the library for Python 3.

Package: python3-libmodernize
Description-md5: b9d529e78859f8d7c04e19ebc23557ed
Description-en: Modernizes Python code for eventual Python 3 migration (Python 3)
 This library is a very thin wrapper around lib2to3 to utilize it to make
 Python 2 code more modern with the intention of eventually porting it over
 to Python 3.
 .
 This package installs the library for Python 3.

Package: python3-libmsiecf
Description-md5: 9ba7f3e5e3c847f3d91e50a5df4559cd
Description-en: Microsoft Internet Explorer Cache File access library -- Python 3 bindings
 libmsiecf is a library to access the Microsoft Internet Explorer
 (MSIE) Cache File (index.dat) files.
 .
 This package contains Python 3 bindings for libmsiecf.

Package: python3-libnacl
Description-md5: 862c7d82e33d1ef199262c8a168a43db
Description-en: Python 3 bindings for libsodium based on ctypes
 This library is used to gain direct access to the functions exposed by
 Daniel J. Bernstein's nacl library via libsodium.  It has been constructed
 to maintain extensive documentation on how to use nacl as well as being
 completely portable.
 .
 This library is unrelated to Native Client (NaCl), the sandbox used in
 Chromium.

Package: python3-libnatpmp
Description-md5: 4ac8e79402c81b1721bc374882710cae
Description-en: portable and fully compliant implementation of NAT-PMP (Python 3 bindings)
 NAT-PMP is the direct concurrent to the UPnP IGD specification. It is
 providing a way to do NAT traversal. It is part of the Bonjour protocol
 specifications. At the moment it is mainly supported by Apple software and
 hardware. For a way to add NAT-PMP capabilities to your *BSD, Linux or
 (Open)Solaris Router, you should have a look at MiniUPnPd which is
 supporting NAT-PMP in addition to UPnP since December 2007 !
 .
 libnatpmp is an attempt to make a portable and fully compliant
 implementation of the protocol NAT-PMP for the client side. It is based on non
 blocking sockets and all calls of the API are asynchronous. It is therefore
 very easy to integrate the NAT-PMP code to any event driven code.
 .
 This package contains the Python 3 bindings.

Package: python3-libnbd
Description-md5: c59bd34986f97f1e7492b1fedcce9bcf
Description-en: Network Block Device client library -- Python 3 bindings
 NBD — Network Block Device — is a protocol for accessing Block
 Devices (hard disks and disk-like things) over a Network.
 .
 This is the NBD client library in userspace, a simple library for
 writing NBD clients.
 .
 This package contains Python 3 bindings and nbdsh, an interactive
 shell.

Package: python3-libnmap
Description-md5: 61b2c03c76dc3f1d18dc603aad56da48
Description-en: Python 3 NMAP library
 This package contains a Python library enabling Python developers to
 manipulate nmap process and data:
    * automate or schedule nmap scans on a regular basis
    * manipulate nmap scans results to do reporting
    * compare and diff nmap scans to generate graphs
    * batch process scan reports
    * ...
 The lib currently offers the following modules:
    * process: enables you to launch nmap scans
    * parse: enables you to parse nmap reports or scan results (only XML so
      far) from a file, a string,…
    * report: enables you to manipulate a parsed scan result and de/serialize
      scan results in a json format
    * diff: enables you to see what changed between two scans
    * common: contains basic nmap objects like NmapHost and NmapService. It is
      to note that each object can be “diff()ed” with another similar object.
    * plugins: enables you to support datastores for your scan results directly
      in the “NmapReport” object. from report module:
        * mongodb: insert/get/getAll/delete
        * sqlalchemy: insert/get/getAll/delete
        * aws s3: insert/get/getAll/delete (not supported for Python3 since boto
          is not supporting py3)
 .
 This package installs the library for Python 3.

Package: python3-libolecf
Description-md5: 6c6bcc4d8eaede53097281366de89ce6
Description-en: OLE2 Compound File format access library -- Python 3 bindings
 libolecf is a library to access the OLE 2 Compound File (OLECF) format.
 .
 This package contains Python 3 bindings for libolecf.

Package: python3-libproxy
Description-md5: c6da08d2b736a2677aeb8665659233eb
Description-en: automatic proxy configuration management library (Python 3)
 libproxy is a lightweight library which makes it easy to develop
 applications proxy-aware with a simple and stable API.
 .
 This package contains the Python 3 bindings.

Package: python3-libqcow
Description-md5: c71d27865ff2dc205319c0befda6e59c
Description-en: QEMU Copy-On-Write image format access library -- Python 3 bindings
 libqcow is a library to access the QEMU Copy-On-Write (QCOW) image format.
 .
 This package contains Python 3 bindings for libqcow.

Package: python3-librecaptcha
Description-md5: 22141a6b1d1553488153b9ebe7374479
Description-en: program and library for solving reCAPTCHA challenges
 A program and library for solving reCAPTCHA challenges.
 It provides an interface through which a human can solve them.
 .
 https://en.wikipedia.org/wiki/ReCAPTCHA
 .
 Please note that tokens from successfully completed CAPTCHAs
 may be rejected due to lack of support for BotGuard:
 .
 https://github.com/nickolas360/librecaptcha/issues/7

Package: python3-libregf
Description-md5: 4f4ba6e6dde131fa13be9d4a8488e9b8
Description-en: Windows NT Registry File (REGF) format access library -- Python 3 bindings
 libregf is a library to access the Windows NT Registry File (REGF)
 format.
 .
 This package contains Python 3 bindings for libregf.

Package: python3-librouteros
Description-md5: ced6a8bdd6ece4b3693ff6620d4318e8
Description-en: Python implementation of RouterOS API
 It allows one to communicate with Mikrotik's RouterOS powered device to
 gather information, modify settings and do other management tasks.
 .
 This package installs the library for Python 3.

Package: python3-librtmp
Description-md5: 3de35038a74d009b26269f819fe5d8ff
Description-en: librtmp binding for Python 3
 librtmp allows one to dump the media content streamed over
 the RTMP protocol.
 .
 This package provides the binding for Python 3.

Package: python3-librtmp-dbg
Description-md5: 360350a699e429722c0f5abc1a3dc1a5
Description-en: librtmp binding for Python 3 - Debugging symbols
 librtmp allows one to dump the media content streamed over
 the RTMP protocol.
 .
 This package contains debugging symbols for Python 3.

Package: python3-libsass
Description-md5: 4ff2783661f5e52fd0a86e09dc46c859
Description-en: SASS for Python 3: a straightforward binding of libsass for Python
 This package provides a simple Python 3 extension module sass which is binding
 Libsass (written in C/C++ by Hampton Catlin and Aaron Leung). It's very
 straightforward and there isn't any headache related Python
 distribution/deployment.
 That means you can add just libsass into your setup.py's install_requires list
 or requirements.txt file. Need no Ruby nor Node.js.

Package: python3-libscca
Description-md5: aa93e70767eca539b04146e381ceb2c3
Description-en: Windows Prefetch File access library -- Python 3 bindings
 libscca is a library to access the Windows Prefetch File (SCCA)
 format.
 .
 This package contains Python 3 bindings for libscca.

Package: python3-libsigscan
Description-md5: c3e3ddf34244b73560cef2ccaade53aa
Description-en: binary signature scanning library -- Python 3 bindings
 libsigscan is a library for binary signature scanning, using simple
 offset/string-based signatures.
 .
 This package contains Python 3 bindings for libsigscan.

Package: python3-libsmbios
Description-md5: ccb46a201683badc2983b2fabba59db6
Description-en: Provide access to (SM)BIOS information -- Python libraries
 libsmbios aims towards providing access to as much BIOS information as
 possible. This package provides Python functions that can be imported
 into userspace programs.

Package: python3-libsmdev
Description-md5: 7939ef8cfdd83865f0b6723e6aeb8e49
Description-en: storage media device access library -- Python 3 bindings
 libsmdev is a library to access to storage media devices.
 .
 This package contains Python 3 bindings for libsmdev.

Package: python3-libsmraw
Description-md5: f40541cccec2a9975b8ca58465b2a4ca
Description-en: split RAW image format access library -- Python 3 bindings
 libsmraw is a library to access the (split) RAW image format.
 .
 This package contains Python 3 bindings for libsmraw.

Package: python3-libsss-nss-idmap
Description-md5: 9dc7d17bee37f7358268401ea7fe5b96
Description-en: Python3 bindings for the SID lookups library
 This package contains the bindings for libnss_sss_idmap to be used by
 Python applications.
 .
 This package installs the library for Python 3.

Package: python3-libsvm
Description-md5: eda08f70b2882cf1b9c96babba99e55b
Description-en: Python interface for support vector machine library
 Python interface for the LIBSVM library using ctypes. This new Python
 interface is provided since 2.91, and it is incompatible with the old
 one.

Package: python3-libthumbor
Description-md5: 92751cf5ece56652c3fa3dceb4b690c2
Description-en: Python module to make usage of Thumbor easy in Python
 Allows easy usage of Thumbor in Python, by facilitating the generation
 of signed URLs to thumbor-generated assets.

Package: python3-libtiff
Description-md5: c845e1c7fc8feef33aca74aec83ba40b
Description-en: wrapper to the libtiff library to Python using ctypes (Python 3 version)
 PyLibTiff is a package that provides:
 .
  * a wrapper to the libtiff library to Python using ctypes.
  * a pure Python module for reading and writing TIFF and LSM files. The images
    are read as numpy.memmap objects so that it is possible to open images that
    otherwise would not fit to computers RAM.
 .
 There exists many Python packages such as PIL, FreeImagePy that support reading
 and writing TIFF files. The PyLibTiff project was started to have an efficient
 and direct way to read and write TIFF files using the libtiff library without
 the need to install any unnecessary packages or libraries. The pure Python
 module was created for reading "broken" TIFF files such as LSM files that in
 some places use different interpretation of TIFF tags than what specified in
 the TIFF specification document. The libtiff library would just fail reading
 such files. In addition, the pure Python module is more memory efficient as the
 arrays are returned as memory maps. Support for compressed files is not
 implemented yet.
 .
 Warning: pylibtiff currently supports reading and writing images that are
 stored using TIFF strips. Patches are welcome to support tiled TIFF images.
 .
 This package contains PyLibTiff for Python 3.

Package: python3-libtmux
Description-md5: 0aa16ea4fc23159928e508e79b540aef
Description-en: Python scripting library and ORM for tmux (python3)
 libtmux is the tool behind tmuxp, a tmux workspace manager in Python.
 .
 Builds upon tmux's target and formats to create an object mapping to
 traverse, inspect and interact with live tmux sessions.
 .
 This is the Python 3 package

Package: python3-libtorrent
Description-md5: 1ae4d06eab5e84835d075e1f7376d626
Description-en: Python bindings for libtorrent-rasterbar (Python 3)
 Bittorrent library by Rasterbar Software (Arvid Norberg).
 libtorrent-rasterbar is a C++ library that aims to be a good alternative to
 all the other bittorrent implementations around.
 .
 The main goals of libtorrent-rasterbar are:
  * to be cpu efficient
  * to be memory efficient
  * to be very easy to use
 .
 This package contains Python 3 bindings for the libtorrent-rasterbar library.

Package: python3-libtorrent-dbg
Description-md5: 07186e3086a9a888fcd7b46b564b3de1
Description-en: Python bindings for libtorrent-rasterbar (debug symbols) (Python 3)
 Bittorrent library by Rasterbar Software (Arvid Norberg).
 libtorrent-rasterbar is a C++ library that aims to be a good alternative to
 all the other bittorrent implementations around.
 .
 The main goals of libtorrent-rasterbar are:
  * to be cpu efficient
  * to be memory efficient
  * to be very easy to use
 .
 This package contains Python 3 bindings for the libtorrent-rasterbar library
 (debug symbols).

Package: python3-libtrace
Description-md5: 0c31921660863a7144a9fcfa3cecc218
Description-en: Python 3 bindings for the libtrace API
 python-libtrace (plt) is a Python module that allows you to work with
 packet trace data using WAND's libtrace library.
 .
 It's intended to provide a clean, simple, Python-like way of working
 with libtrace, rather than a simple translation of the libtrace calls
 from C into Python. For example for the protocol header decodes, the
 field names from the RFCs are used rather than libtrace's names.
 .
 This package contains the Python 3 bindings.

Package: python3-libusb1
Description-md5: 6e4a447b91bda6fa90c67f70ecf54494
Description-en: Python wrapper for libusb1 (Python 3)
 Makes the whole libusb1 API available to Python. Both synchronous and
 asynchronous APIs are exposed, giving access to all USB transfer types
 (control, bulk, interrupt, isochronous).
 .
 This python module differs from pyusb in that it only aims to wrap
 libusb1. As a consequence, it can expose all libusb1 features and not a
 subset common to various usb libraries.

Package: python3-libvhdi
Description-md5: 151cb5d43507fa5e59d35e3b9e9ddc80
Description-en: Virtual Hard Disk image format access library -- Python 3 bindings
 libvhdi is a library to access the Virtual Hard Disk (VHD) image format.
 .
 This package contains Python 3 bindings for libvhdi.

Package: python3-libvmdk
Description-md5: c625b53f29b96ba46725c0d214692d6c
Description-en: VMWare Virtual Disk format access library -- Python 3 bindings
 libvmdk is a library to access the VMware Virtual Disk (VMDK) format.
 .
 This package contains Python 3 bindings for libvmdk.

Package: python3-libvshadow
Description-md5: 9272304f681c79b6c3da759fb3f4ebd9
Description-en: Volume Shadow Snapshot format access library -- Python 3 bindings
 libvshadow is a library to access the Volume Shadow Snapshot (VSS)
 format. The VSS format is used by Windows, as of Vista, to maintain
 copies of data on a storage media volume.
 .
 This package contains Python 3 bindings for libvshadow.

Package: python3-libvslvm
Description-md5: 19ab4f6036e2d29b7ae2571292ab4a31
Description-en: Linux LVM volume system format access library -- Python 3 bindings
 libvslvm is a library to access the Linux Logical Volume Manager
 (LVM) volume system format.
 .
 This package contains Python 3 bindings for libvslvm.

Package: python3-line-profiler
Description-md5: 2b723206dbc0fb0981d1dd99e6e85fec
Description-en: line-by-line profiling for Python
 LineProfiler can be given functions to profile, and it will time the execution
 of each individual line inside those functions. In a typical workflow, one
 only cares about line timings of a few functions because wading through the
 results of timing every single line of code would be overwhelming. However,
 LineProfiler does need to be explicitly told what functions to profile.
 .
 If you are using IPython, there is an implementation of an %lprun magic
 command which will let you specify functions to profile and a statement to
 execute.

Package: python3-link-grammar
Description-md5: 5c1153b356bf9caea7dd5d13f2968c48
Description-en: Carnegie Mellon University's link grammar parser (Python 3)
 In Sleator, D. and Temperley, D. "Parsing English with a Link Grammar"
 (1991), the authors defined a new formal grammatical system called a
 "link grammar". A sequence of words is in the language of a link
 grammar if there is a way to draw "links" between words in such a way
 that the local requirements of each word are satisfied, the links do
 not cross, and the words form a connected graph. The authors encoded
 English grammar into such a system, and wrote this program to parse
 English using this grammar.
 .
 link-grammar can be used for linguistic parsing for information
 retrieval or extraction from natural language documents. It can also be
 used as a grammar checker.
 .
 This package contains a Python 3 library for using link-grammar.

Package: python3-linux-procfs
Description-md5: 168ba649c77ce07cad5efab6674328eb
Description-en: Linux /proc abstraction classes in Python
 Python abstractions to extract information from the Linux kernel /proc
 files.
 .
 The proc filesystem is a pseudo-filesystem which provides an interface to
 kernel data structures. This package provides a means to query that system
 from a Python module.

Package: python3-livereload
Description-md5: 925df12d708a76d870010a9fb2e342b1
Description-en: automatic browser refresher (Python 3)
 It is really boring for Web developers to need to refresh their browser
 every time they save a (CSS, JavaScript, or HTML) file. LiveReload will
 take care of that for you, so that when you save a file, your browser
 will refresh itself - and what's more, it can perform tasks such as
 compiling LESS to CSS before the browser reload.
 .
 This package contains the Python 3 version of livereload.

Package: python3-lldb
Description-md5: ed1e4284488e6c595a1f6ce741ffb7bf
Description-en: Next generation, high-performance debugger, python lib
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This binding package provides access to lldb.

Package: python3-lldb-10
Description-md5: 0185ffb3cdaadce7edcc313c1e68ae92
Description-en: Next generation, high-performance debugger, python3 lib
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This binding package provides access to lldb.

Package: python3-lldb-8
Description-md5: 0185ffb3cdaadce7edcc313c1e68ae92
Description-en: Next generation, high-performance debugger, python3 lib
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This binding package provides access to lldb.

Package: python3-lldb-9
Description-md5: 0185ffb3cdaadce7edcc313c1e68ae92
Description-en: Next generation, high-performance debugger, python3 lib
 LLDB is a next generation, high-performance debugger. It is built as a set of
 reusable components which highly leverage existing libraries in the larger LLVM
 Project, such as the Clang expression parser and LLVM disassembler.
 .
 This binding package provides access to lldb.

Package: python3-llfuse
Description-md5: 02d722fcffd764bdf03e4e6fde3150e4
Description-en: Python 3 bindings for the low-level FUSE API
 Python-llfuse is a set of Python bindings for the low level FUSE API.
 This package provides the extension for the Python 3 interpreter. The
 documentation is contained in the python-llfuse-doc package.
 .
 FUSE (Filesystem in USErspace) is a simple interface for userspace
 programs to export a virtual filesystem to the Linux kernel. FUSE
 also aims to provide a secure method for non privileged users to
 create and mount their own filesystem implementations.

Package: python3-llfuse-dbg
Description-md5: 5ab70a2c35c2464b19d7ba92983880f9
Description-en: Python 3 bindings for the low-level FUSE API (debugging symbols)
 Python-llfuse is a set of Python bindings for the low level FUSE API.
 .
 FUSE (Filesystem in USErspace) is a simple interface for userspace
 programs to export a virtual filesystem to the Linux kernel. FUSE
 also aims to provide a secure method for non privileged users to
 create and mount their own filesystem implementations.
 .
 This package contains the debugging symbols as well as the extension
 built for the Python 3 debug interpreter.

Package: python3-llvmlite
Description-md5: 8090258776d91bdab8ef00c3902fe8a9
Description-en: LLVM Python 3 binding for writing JIT compilers
 llvmlite uses the LLVM library for JIT (just-in-time) compilation of
 Python code into native machine instructions during runtime. Instead
 of exposing large parts of the LLVM C++ API for direct calls into the
 LLVM library, llvmlite follows a lightweight multi-layered approach.
 .
 This package contains the modules and the binding for Python 3.

Package: python3-lmdb
Description-md5: 7bb704b9f4deb49c928ccd89e0933e55
Description-en: Python 3 binding for LMDB Lightning Memory-Mapped Database
 Lightning Memory-Mapped Database (LMDB) is an ultra-fast, ultra-compact
 key-value embedded data store developed for the OpenLDAP Project. It uses
 memory-mapped files, so it has the read performance of a pure in-memory
 database while still offering the persistence of standard disk-based
 databases, and is only limited to the size of the virtual address space (it
 is not limited to the size of physical RAM).
 .
 This package contains the 'lmdb' Python 3 extension module.

Package: python3-lmfit
Description-md5: b173ac402654d4372a51c519aaac81c5
Description-en: Least-Squares Minimization with Constraints (Python 3)
 The lmfit Python package provides a simple, flexible interface to
 non-linear optimization or curve fitting problems. The package
 extends the optimization capabilities of scipy.optimize by replacing
 floating pointing values for the variables to be optimized with
 Parameter objects. These Parameters can be fixed or varied, have
 upper and/or lower bounds placed on its value, or written as an
 algebraic expression of other Parameters.
 .
 The principal advantage of using Parameters instead of simple
 variables is that the objective function does not have to be
 rewritten to reflect every change of what is varied in the fit, or
 what relationships or constraints are placed on the Parameters. This
 means a scientific programmer can write a general model that
 encapsulates the phenomenon to be optimized, and then allow user of
 that model to change what is varied and fixed, what range of values
 is acceptable for Parameters, and what constraints are placed on the
 model. The ease with which the model can be changed also allows one
 to easily test the significance of certain Parameters in a fitting
 model.
 .
 The lmfit package allows a choice of several optimization methods
 available from scipy.optimize. The default, and by far best tested
 optimization method used is the Levenberg-Marquardt algorithm from
 MINPACK-1 as implemented in scipy.optimize.leastsq. This method
 is by far the most tested and best support method in lmfit, and much
 of this document assumes this algorithm is used unless explicitly
 stated. An important point for many scientific analysis is that this
 is only method that automatically estimates uncertainties and
 correlations between fitted variables from the covariance matrix
 calculated during the fit.
 .
 A few other optimization routines are also supported, including
 Nelder-Mead simplex downhill, Powell's method, COBYLA, Sequential
 Least Squares methods as implemented in scipy.optimize.fmin, and
 several others from scipy.optimize. In their native form, some of
 these methods setting allow upper or lower bounds on parameter
 variables, or adding constraints on fitted variables. By using
 Parameter objects, lmfit allows bounds and constraints for all of
 these methods, and makes it easy to swap between methods without
 hanging the objective function or set of Parameters.
 .
 Finally, because the approach derived from MINPACK-1 usin the
 covariance matrix to determine uncertainties is sometimes questioned
 (and sometimes rightly so), lmfit supports methods to do a brute
 force search of the confidence intervals and correlations for sets of
 parameters.
 .
 This is the Python 3 version of the package.

Package: python3-locket
Description-md5: 80305016d18039485f9f2a4d1b6e80d9
Description-en: File-based locks for Python 3 for Linux and Windows
 Locket implements a lock that can be used by multiple processes
 provided they use the same path.
 .
 This contains the Python 3 version

Package: python3-logfury
Description-md5: d973cd2233c7696f7d3e43e211724348
Description-en: Responsible, logging of method calls for Python libs - Python 3.x
 logfury is for Python library maintainers. It allows for responsible,
 low-boilerplate logging of method calls.
 .
 This package contains the Python 3.x module.

Package: python3-logging-tree
Description-md5: 24db8b37cad61c1663a6687bd038dcdc
Description-en: introspect and display the logging tree in Python3
 A debugging tool for inspecting the hierarchy of loggers in Python3.
 It is useful for troubleshooting applications where the logging levels seem
 inconsistent across modules and libraries.
 The loggers and their configuration is printed in a ascii tree.

Package: python3-logilab-constraint
Description-md5: ee01d70073c967b43f72fed3bdb74aeb
Description-en: constraints satisfaction solver in Python - Python 3
 Extensible constraint satisfaction problem solver written in pure
 Python, using constraint propagation algorithms. The
 logilab.constraint module provides finite domains with arbitrary
 values, finite interval domains, and constraints which can be applied
 to variables linked to these domains.

Package: python3-logzero
Description-md5: 1d58c31e8d8d6905092f1fde3a3dddfe
Description-en: robust and effective logging for Python (Python 3)
 logzero is a python library that provides effective and robust logging.
 Features:
   * Easy logging to console and/or (rotating) file.
   * Provides a fully configured standard.
   * Pretty formatting, including level-specific colors in the console.
   * Windows color output supported by colorama
   * Robust against str/bytes encoding problems, works with all kinds of
     character encodings and special characters.
   * Multiple loggers can write to the same logfile (also across multiple
     Python files).
 .
 This package installs the library for Python 3.

Package: python3-londiste
Description-md5: 8baa85b59f53c86c6ea2d3babba7d423
Description-en: Database replication for PostgreSQL based on PgQ
 Londiste is a PostgreSQL database replication tool written in Python, using
 PgQ as event transport. It is part of the Skytools toolkit.

Package: python3-louvain
Description-md5: 24c872b90aeeca6d0956d84576b4019e
Description-en: community graph analysis implementing Louvain method
 This package address a special form of graph analysis, using
 the NetworkX graph operations.

Package: python3-ltfatpy
Description-md5: 3dbf7f17ac58670b635cb30a8d91b2c0
Description-en: Large Time-Frequency Toolbox (LTFAT) in Python
 The ltfatpy package is a partial Python port of the Large Time/Frequency
 Analysis Toolbox (LTFAT), a MATLAB®/Octave toolbox for working with
 time-frequency analysis and synthesis.
 .
 It is intended both as an educational and a computational tool.
 .
 The package provides a large number of linear transforms including Gabor
 transforms along with routines for constructing windows (filter prototypes)
 and routines for manipulating coefficients.
 .
 This package provides the modules for Python 3.

Package: python3-ltfatpy-dbg
Description-md5: 7503794dcb857c4301f861c192409178
Description-en: debug extensions for ltfatpy
 The ltfatpy package is a partial Python port of the Large Time/Frequency
 Analysis Toolbox (LTFAT), a MATLAB®/Octave toolbox for working with
 time-frequency analysis and synthesis.
 .
 It is intended both as an educational and a computational tool.
 .
 The package provides a large number of linear transforms including Gabor
 transforms along with routines for constructing windows (filter prototypes)
 and routines for manipulating coefficients.
 .
 This package provides the debug extensions for Python 3.

Package: python3-lti
Description-md5: 5c868ae113904ae17fabb652b9a7f1b9
Description-en: Learning Tools Interoperability implementation (Python3 version)
 The primary goal of this library is to provide classes for building Python LTI
 tool providers (LTI apps). It is designed to be generic but can easily
 integrated into frameworks like Django or Flask.
 .
 This package contains the Python 3 version of the library.

Package: python3-lttng
Description-md5: 06538578032004dd4ccedd9880a95072
Description-en: LTTng control and utility Python bindings
 The LTTng project aims at providing highly efficient tracing tools for Linux.
 Its tracers help tracking down performance issues and debugging problems
 involving multiple concurrent processes and threads. Tracing across multiple
 systems is also possible.
 .
 This package provides the Python bindings to lttng.

Package: python3-lttnganalyses
Description-md5: 6079b66992f877c4e965c6b16a4060f7
Description-en: LTTng 2.0 trace analysis tools (Python 3)
 The LTTng project aims at providing highly efficient tracing tools for Linux.
 Its tracers help tracking down performance issues and debugging problems
 involving multiple concurrent processes and threads. Tracing across multiple
 systems is also possible.
 .
 This package contains various tools to analyse LTTng kernel traces and extract
 monitoring data and metrics. As opposed to other diagnostic or monitoring
 solutions, this approach is designed to allow users to record their system's
 activity with a low overhead, wait for a problem to occur and then diagnose
 its cause offline.

Package: python3-lttngust
Description-md5: f5ddf90e8eb1a4188a10744c729de60c
Description-en: LTTng 2.0 Userspace Tracer (Python 3 UST agent)
 The userspace tracer is designed to provide detailed information about
 userspace activity. Like the kernel tracer, performance is the main goal.
 Tracing does not require system calls or traps. UST instrumentation points may
 be added in any userspace code including signal handlers and libraries.
 .
 This package contains the Python 3.x UST agent.

Package: python3-lttoolbox
Description-md5: ff7cfb6849e035051b7823f6e52b5cda
Description-en: Apertium lexical processing modules and tools (Python 3 module)
 Python 3 module for applications using the Apertium lexical processing
 modules and tools.

Package: python3-lunardate
Description-md5: ecb6cb5fcb33bdd8b135f9c418014445
Description-en: Chinese Calendar Library in Pure Python (Python3 version)
 This library performs date conversion between the Gregorian Solar Calendar (SC)
 and the Chinese Lunar Calendar (LC). Given a date in either calendar, it also
 outputs the corresponding "shengxiao" animal of the year) and "ganzhi"
 characters. The date range currently covered is from about 1900 A.D. to 2049
 A.D.
 .
 This package contains the Python 3 version of the library.

Package: python3-lupa
Description-md5: afc828ce03a50984c256d42227bc8b52
Description-en: Python wrapper around LuaJIT or Lua (Python3 version)
 Lupa integrates the runtimes of Lua or LuaJIT2 into CPython. It is a partial
 rewrite of LunaticPython in Cython with some additional features such as proper
 coroutine support.
 .
 Features:
  * separate Lua runtime states through a LuaRuntime class
  * Python coroutine wrapper for Lua coroutines
  * iteration support for Python objects in Lua and Lua objects in Python
  * proper encoding and decoding of strings (configurable per runtime, UTF-8 by
    default)
  * frees the GIL and supports threading in separate runtimes when calling into
    Lua
  * written for LuaJIT2, but also works with the normal Lua interpreter
  * easy to hack on and extend as it is written in Cython, not C
 .
 This package contains the Python 3 version of the library.

Package: python3-lxc
Description-md5: 7946e18f47cc9392e405736d5ef8cc27
Description-en: Linux Containers userspace tools (Python3 bindings)
 Containers are insulated areas inside a system, which have their own namespace
 for filesystem, network, PID, IPC, CPU and memory allocation and which can be
 created using the Control Group and Namespace features included in the Linux
 kernel.
 .
 This package contains the Python 3.x bindings

Package: python3-ly
Description-md5: 82c973388494637cb09038957470abc4
Description-en: Tool and library for manipulating LilyPond files (Python 3)
 python-ly provides a Python library "ly" containing various Python modules
 to parse, manipulate or create documents in LilyPond format.
 A command line program "ly" is also provided that can be used to do various
 manipulations with LilyPond files.
 .
 The python-ly package is Free Software, licensed under the GPL. This package
 is written by the Frescobaldi developers and is used extensively by the
 Frescobaldi project. The main author is Wilbert Berendsen.
 .
 The LilyPond format is a plain text input format that is used by the
 GNU music typesetter LilyPond (www.lilypond.org).
 .
 This package installs the "ly" tool and library for Python 3.

Package: python3-lz4
Description-md5: bd1e322e31550cf004847f8076c2d9d6
Description-en: Python interface to the lz4 compression library (Python 3)
 This package provides bindings for the liblz4 compression library.
 .
 LZ4 is a very fast lossless compression algorithm, providing compression
 speed at 400 MB/s per core, scalable with multi-cores CPU. It also
 features an extremely fast decoder, with speed in multiple GB/s per core,
 typically reaching RAM speed limits on multi-core systems.
 .
 This package is for Python 3.

Package: python3-lz4tools
Description-md5: a14659fa4da623e9cbac15021ec2b0cb
Description-en: Tools for working with LZ4 compression algorithm.
 LZ4 is lossless compression algorithm, providing compression speed > 500 MB/s
 per core, scalable with multi-cores CPU. It features an extremely fast
 decoder, with speed in multiple GB/s per core, typically reaching RAM speed
 limits on multi-core systems.
 .
 Speed can be tuned dynamically, selecting an "acceleration" factor which
 trades compression ratio for faster speed. On the other end, a high
 compression derivative, LZ4_HC, is also provided, trading CPU time for
 improved compression ratio. All versions feature the same decompression speed.
 .
 LZ4 is also compatible with dictionary compression, and can ingest any input
 file as dictionary, including those created by Zstandard Dictionary Builder.

Package: python3-lzo
Description-md5: 5fafe9e07623ed2258d61d8349787783
Description-en: Python bindings for the LZO data compression library (Python 3)
 This module provides Python bindings for the LZO data compression library.
 .
 LZO is a portable lossless data compression library written in ANSI C.
 It offers pretty fast compression and *very* fast decompression.
 Decompression requires no memory.
 .
 In addition there are slower compression levels achieving a quite
 competitive compression ratio while still decompressing at
 .
 This package is for Python 3.

Package: python3-lzstring
Description-md5: c723ad1dac86ff91c6e634954b56c166
Description-en: LZ-based compression algorithm for Python (Python 3 version)
 python-lzstring is a simple Python module that compression data using LZ-based
 algorithm.
 .
 This package contains the Python 3 version of the library.

Package: python3-m2crypto
Description-md5: 008b98d28832b4ee63d25ccaed126efd
Description-en: Python wrapper for the OpenSSL library (Python 3 modules)
 m2crypto features the following:
   * RSA, DSA, DH, HMACs, message digests, symmetric ciphers (including AES).
   * SSL functionality to implement clients and servers.
   * HTTPS extensions to Python's httplib, urllib, and xmlrpclib.
   * Unforgeable HMAC'ing AuthCookies for web session management.
   * FTP/TLS client and server.
   * S/MIME.
   * ZServerSSL: A HTTPS server for Zope.
   * ZSmime: An S/MIME messenger for Zope.
 .
 This package contains the modules for the Python 3.x interpreter.

Package: python3-m2r
Description-md5: 59ff0902dcffd6e8de57dc32e7f0c63d
Description-en: Markdown and reStructuredText in a single file - Python3 library
 M2R converts a markdown file including reStructuredText (rst) markups
 to a valid rst format.
 .
 Use case is writing sphinx document in markdown,
 since it's widely used now and easy to write code blocks and lists.
 However, converters using pandoc or recommonmark
 do not support many rst markups and sphinx extensions.
 For example, rst's reference link like ``see `ref`_``
 (this is very convenient in long document
 in which same link appears multiple times)
 will be converted to a code block in HTML
 like `see <code>ref</code>_`,
 which is not expected.
 .
 This package provides m2r module
 for Python 3.

Package: python3-m3u8
Description-md5: 3fc344166af181c915d4aff3609a11c9
Description-en: Python m3u8 parser - Python 3.x
 m3u8 library can load and parse m3u8 HTTP Live Streaming (HLS) playlist.
 It supports DRM and variable bitrates.
 .
 The basic usage is to create a playlist object from uri, file path or
 directly from a string.
 .
 This package contains the Python 3.x module.

Package: python3-macholib
Description-md5: f927cd8d14dcb15e34e33fb0ce7deeb3
Description-en: module for Mach-O header analysis and editing (Python 3 interface)
 This module can be used to analyze and edit Mach-O headers, the executable
 format used by Mac OS X.
 It's typically used as a dependency analysis tool, and also to rewrite dylib
 references in Mach-O headers to be @executable_path relative.
 Though this tool targets a platform specific file format, it is pure Python
 code that is platform and endian independent.
 .
 This package provides the Python 3.x module.

Package: python3-magcode-core
Description-md5: 4c69a4704cd9b491868160a414fc7de3
Description-en: MAG Code python3 core module of common utility code.
 MAG Code python3 core module of utility modules. This includes the process
 command line script and daemon framework, SQL Alchemy framework with event
 queue and common WSGI code for JSON http RPC backends.

Package: python3-magic-wormhole-mailbox-server
Description-md5: b9495612233d9e1bfe56756b4ce46420
Description-en: Magic Wormhole Mailbox Server
 This is the main server that Magic-Wormhole clients connect to. The
 server performs store-and-forward delivery for small key-exchange and
 control messages. Bulk data is sent over a direct TCP connection, or
 through a transit-relay.
 .
 Clients connect with WebSockets, for low-latency delivery in the
 happy case where both clients are attached at the same time. Message
 are stored to enable non-simultaneous clients to make forward
 progress. The server uses a small SQLite database for persistence
 (and clients will reconnect automatically, allowing the server to be
 rebooted without losing state). An optional "usage DB" tracks
 historical activity for status monitoring and operational
 maintenance.

Package: python3-magics++
Description-md5: bfcdff4e189e422926463e212dacf0ed
Description-en: Python3 support for Magics++
 Magics++ is the latest generation of the ECMWF's Meteorological plotting
 software MAGICS. Although completely redesigned in C++, it is intended to be
 as backwards-compatible as possible with the Fortran interface.
 .
 This package provides Python3 support for the magics++ library.

Package: python3-magnum
Description-md5: f4d8dc2574026ec1e2611acf745bdc04
Description-en: OpenStack containers as a service - Python 3 library
 Magnum is an OpenStack project which offers container orchestration engines
 for deploying and managing containers as first class resources in OpenStack.
 It features:
  * Abstractions for bays, containers, nodes, pods, replication controllers,
    and services
  * Integration with Kubernetes and Docker for backend container technology
  * Integration with Keystone for multi-tenant security
  * Integration with Neutron for Kubernetes multi-tenancy network security
 .
 This package contains the Python 3 code.

Package: python3-magnum-ui
Description-md5: 3c5deb91be684441ec12614376ade9e6
Description-en: OpenStack Magnum - dashboard plugin
 Magnum is an OpenStack project which offers container orchestration engines
 for deploying and managing containers as first class resources in OpenStack.
 It features:
  * Abstractions for bays, containers, nodes, pods, replication controllers,
    and services
  * Integration with Kubernetes and Docker for backend container technology
  * Integration with Keystone for multi-tenant security
  * Integration with Neutron for Kubernetes multi-tenancy network security
 .
 This package contains the OpenStack dashboard plugin.

Package: python3-mailer
Description-md5: 8ca5b7a69e1c797dc89166bbde09da9a
Description-en: Python module that simplifies sending email (Python 3)
 Simplifies sending emails in Python, features a single class to send
 plain text, HTML email, and attachments.  Mailer auto detects attachment
 types and has support for internationalized headers.
 .
 This is the Python 3 version of the package.

Package: python3-mailman-hyperkitty
Description-md5: 447f1d289579b851478eb0852fe67675
Description-en: Mailman3 plugin to archive emails with HyperKitty
 Mailman3 allows emails sent to its mailing lists to be archived by
 any software provided that there is a plugin (loadable by Mailman3) designed
 to communicate with it properly.
 .
 This module contains a Mailman3 archiver plugin which sends emails
 to HyperKitty, the official Mailman3 web archiver.

Package: python3-mailmanclient
Description-md5: 777bedc6173f83bd9d8d21fa4c69c43f
Description-en: Python bindings for Mailman3 REST API (Python 3 version)
 Mailmanclient provides the official REST API to interact with Mailman3 server.
 This library is required by the official web interfaces for archive browsing
 and administration of a server.
 .
 This package contains the Python 3 version of the library.

Package: python3-mailutils
Description-md5: 7771fa360fb87b42722dca01f34cb412
Description-en: GNU Mail abstraction library (Python3 interface)
 GNU Mailutils is a rich and powerful protocol-independent mail framework.
 It contains a series of useful mail libraries, clients, and servers.
 .
 This is the Python3 interface to the Mailutils library.

Package: python3-mallard.ducktype
Description-md5: efba64bc0092ee45baf87efe6743ed34
Description-en: Parser for Ducktype, a lightweight documentation syntax
 Ducktype is a lightweight non-XML syntax for Mallard, a topic-oriented
 markup language for help files. Mallard is primarily used by GNOME's help
 browser, Yelp.
 .
 This package contains the Python 3 library.

Package: python3-mando
Description-md5: 13f9314cfa6a589da1e6671b8ca3f17b
Description-en: command line argument parser for python3
 Mando attempts to simplify command line argument parsing
 with multiple commands by using decorators to infer the
 boilerplate for argparse directly from the function
 declarations.
 .
 This package installs the library for Python 3.

Package: python3-manila
Description-md5: 5ba275d895b0256344975d32eb259f22
Description-en: OpenStack shared file system as a service - Python 3 libs
 Manila is an OpenStack project to provide Shared Filesystems as a service.
 It provides coordinated access to shared or distributed file systems. While
 the primary consumption of file shares would be across OpenStack Compute
 instances, the service is also intended to be accessible as an independent
 capability in line with the modular design established by other OpenStack
 services. Manila is extensible for multiple backends (to support vendor or
 file system specific nuances / capabilities) and accommodates any of a
 variety of shared or distributed file system types.
 .
 This package contains the Python 3 library for Manila.

Package: python3-manila-ui
Description-md5: a3e99e9eefaa5de137ca465a047de95d
Description-en: OpenStack shared file system as a service - Python 3 Dashboard plugin
 Manila is an OpenStack project to provide Shared Filesystems as a service.
 It provides coordinated access to shared or distributed file systems. While
 the primary consumption of file shares would be across OpenStack Compute
 instances, the service is also intended to be accessible as an independent
 capability in line with the modular design established by other OpenStack
 services. Manila is extensible for multiple backends (to support vendor or
 file system specific nuances / capabilities) and accommodates any of a
 variety of shared or distributed file system types.
 .
 This package contains the Python 3 Manila OpenStack dashboard plugin.

Package: python3-manuel
Description-md5: 48bf58a4f084dce95c6e4fa12c650036
Description-en: Python3 library for testable documents and documented tests
 Manuel is a Python library for writing tested documentation which
 makes it possible to mix traditional doctests with custom test syntax.
 Several plug-ins providing different test features are included, but
 Manuel is also open to create custom plugins. Currently, unittests and
 zope.testing are the supported test runners.
 .
 This package contains the modules for Python3.

Package: python3-map-msgs
Description-md5: 208676d1562c2b78225ee4b1cd7d2730
Description-en: Python 3 code for map-related ROS Messages
 This package is part of Robot OS (ROS). This package defines messages commonly
 used in mapping packages.
 .
 It contains the map-related Python 3 package.

Package: python3-mapi
Description-md5: 354dcd280ec7d227de05cc52e537bd9a
Description-en: Complete and feature rich groupware solution - Python MAPI bindings
 The Kopano Collaboration Platform (KCP) is a complete, stable and
 flexible groupware solution supporting open source as well as proprietary
 clients including mobile ones. It also features a web interface.
 .
 Using this module, you can create Python programs which use MAPI
 calls to interact with Kopano.

Package: python3-mapnik
Description-md5: 694098027e7b1d2cef137bba1917d013
Description-en: Python 3 interface to the mapnik library
 Mapnik is an OpenSource C++ toolkit for developing GIS
 (Geographic Information Systems) applications. At the core is a C++
 shared library providing algorithms/patterns for spatial data access and
 visualization.
 .
 Essentially a collection of geographic objects (map, layer, datasource,
 feature, geometry), the library doesn't rely on "windowing systems" and
 is intended to work in multi-threaded environments
 .
 This package contains the bindings for Python 3.

Package: python3-mapproxy
Description-md5: 4b231bf5bb4c25ed4e6daf92191e5cc6
Description-en: open source proxy for geospatial data - Python 3 module
 MapProxy is an open source proxy for geospatial data. It caches, accelerates
 and transforms data from existing map services and serves any desktop or web
 GIS client.
 .
 MapProxy is a tile server (WMS-C, TMS, WMTS, KML SuperOverlays), and also a
 fully compliant WMS server supporting any WMS client (desktop and web).
 .
 This package provides the mapproxy module for Python 3.

Package: python3-mapscript
Description-md5: ce80a1e608ad656e3a782c28ae112af8
Description-en: Python library for MapServer
 Python MapScript provides MapServer functions for Python scripts.
 .
 MapServer is a CGI-based framework for Internet map services which
 supports Open Geospatial Consortium (OGC) standards.

Package: python3-marathon
Description-md5: b5fcad15e24bcd63e4b704dc7e08afc6
Description-en: Marathon Client Library - Python 3.x
 This package is a Python library for interfacing with the Marathon server via
 Marathon's REST API.
 .
 This package contains the Python 3.x module.

Package: python3-marisa
Description-md5: 20b5de98bbe5e135add55f319a55629f
Description-en: Python3 bindings for MARISA
 Matching Algorithm with Recursively Implemented StorAge (MARISA) is a static
 and space-efficient trie data structure.
 .
 This package contains Python 3 bindings for MARISA.

Package: python3-markdown2
Description-md5: 7462ccbb0816696e409b827e273f0839
Description-en: Fast and complete implementation of Markdown in Python3
 Markdown is a text-to-HTML filter; it translates an easy-to-read /
 easy-to-write structured text format into HTML. Markdown’s text format is most
 similar to that of plain text email, and supports features such as headers,
 emphasis, code blocks, blockquotes, and links.
 .
 This is a fast and complete Python3 implementation of the Markdown spec.

Package: python3-markups
Description-md5: d2b05685ee3ea2c13104830b8786aecb
Description-en: Wrapper around various text markups, implemented in Python 3
 This module provides a wrapper around various text markup languages.
 .
 Available by default are Markdown, reStructuredText and Textile, but you
 can easily add your own markups.
 .
 It is also possible to implement custom markups (inherited from AbstractMarkup
 class).

Package: python3-marshmallow
Description-md5: 1a099bb8906c1e6ba2997f896b95efce
Description-en: Lightweight library for converting complex datatypes
 An ORM/ODM/framework-agnostic library for converting complex datatypes,
 such as objects, to and from native Python datatypes.

Package: python3-marshmallow-doc
Description-md5: 01bdcadecd2f0e7c3e3ccada0806b3fb
Description-en: Library for converting complex datatypes - documentation
 An ORM/ODM/framework-agnostic library for converting complex datatypes,
 such as objects, to and from native Python datatypes.
 Documentation package.

Package: python3-marshmallow-sqlalchemy
Description-md5: 208aa8d02d14e861ea5083df2f3c4fb2
Description-en: SQLAlchemy integration with marshmallow
 SQLAlchemy integration with the marshmallow (de)serialization library.
 .
 It allows one to declare your models, generate marshmallow schemas, and
 (de)serialize your data.

Package: python3-mastodon
Description-md5: 40ca8bb04ca416d11f33b779e1b1ce59
Description-en: Library for the Mastodon API (Python 3)
 Mastodon is an ActivityPub and OStatus based twitter-like federated social
 network node. It has an API that allows you to interact with its every aspect.
 .
 This is a simple Python 3 module for that API.

Package: python3-mathgl
Description-md5: 46e282d9763a159040077958b6cab027
Description-en: library for scientific graphs (Python module)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package provides the Python v.3 module for mathgl.

Package: python3-matplotlib
Description-md5: 29e115db1f22ec2264a195b584329de9
Description-en: Python based plotting system in a style similar to Matlab (Python 3)
 Matplotlib is a pure Python plotting library designed to bring
 publication quality plotting to Python with a syntax familiar to
 Matlab users. All of the plotting commands in the pylab interface can
 be accessed either via a functional interface familiar to Matlab
 users or an object oriented interface familiar to Python users.
 .
 This package contains the Python 3 version of matplotlib.

Package: python3-matplotlib-dbg
Description-md5: a0fbd335ade92ab723e27b43197bd5df
Description-en: Python based plotting system (debug extension, Python 3)
 Matplotlib is a pure Python plotting library designed to bring
 publication quality plotting to Python with a syntax familiar to
 Matlab users. All of the plotting commands in the pylab interface can
 be accessed either via a functional interface familiar to Matlab
 users or an object oriented interface familiar to Python users.
 .
 This package contains the debug extension for python3-matplotlib.

Package: python3-matplotlib-venn
Description-md5: 2f3f7b6b9ac173a79277d52765840c13
Description-en: Python 3 plotting area-proportional two- and three-way Venn diagrams
 Matplotlib is a pure Python plotting library designed to bring
 publication quality plotting to Python with a syntax familiar to
 Matlab users. All of the plotting commands in the pylab interface can
 be accessed either via a functional interface familiar to Matlab
 users or an object oriented interface familiar to Python users.
 .
 This module provides routines for plotting area-weighted two- and
 three-circle venn diagrams.
 .
 This package contains the Python 3 version of matplotlib.

Package: python3-mbed-host-tests
Description-md5: c141da7975a28811bdbcce77cf485fb0
Description-en: module and utilities to flash, reset and test Mbed Enabled devices
 The mbed-host-tests module and mbedhtrun and mbedflsh utilities are used
 during ARM Mbed Enabled device development for:
 .
  * Driving test binary flashing
  * Device reset
  * Test execution
 .
 The default binary flashing method is one supported by mbed-enabled devices:
 a binary file is copied onto the mbed-enabled DUT's (Device Under Test) mounted
 drive (MSD). This procedure will automatically flash device with given binary
 file content.
 .
 The default DUT reset method is one supported by mbed-enabled devices: the
 serial port (CDC) "sendBreak" command resets the target MCU on mbed-enabled
 platform.
 .
 The test execution phase will consist of:
 .
  * Opening connection between host computer and DUT
  * DUT will send to host preamble with test runner information such as:
    - test environment version
    - test timeout
    - preferred host test script (Python script which is used to
      supervise/instrument test execution)
  * Host will spawn host test script and test execution will be instrumented
  * Exchange data (in most cases text) between host and DUT
 .
 This package contains the Python 3 module and mbedhtrun and mbedflsh
 utilities.

Package: python3-mbed-host-tests-doc
Description-md5: 503d0c81bd4220c8d02126d9283687fe
Description-en: module and utilities to flash, reset and test Mbed Enabled devices (docs)
 The mbed-host-tests module and mbedhtrun and mbedflsh utilities are used
 during ARM Mbed Enabled device development for:
 .
  * Driving test binary flashing
  * Device reset
  * Test execution
 .
 The default binary flashing method is one supported by mbed-enabled devices:
 a binary file is copied onto the mbed-enabled DUT's (Device Under Test) mounted
 drive (MSD). This procedure will automatically flash device with given binary
 file content.
 .
 The default DUT reset method is one supported by mbed-enabled devices: the
 serial port (CDC) "sendBreak" command resets the target MCU on mbed-enabled
 platform.
 .
 The test execution phase will consist of:
 .
  * Opening connection between host computer and DUT
  * DUT will send to host preamble with test runner information such as:
    - test environment version
    - test timeout
    - preferred host test script (Python script which is used to
      supervise/instrument test execution)
  * Host will spawn host test script and test execution will be instrumented
  * Exchange data (in most cases text) between host and DUT
 .
 This package contains library and utility documentation.

Package: python3-mbed-ls
Description-md5: 878bf753be00729c2e1f1cc925e43895
Description-en: module listing mbed-enabled devices connected to host (Python 3)
 This module detects and lists "Mbed Enabled" devices connected to the
 host computer.
 .
 mbedls provides the following information for all connected boards using
 console (terminal) output:
 .
  -  Mbed OS platform name
  -  mount point (MSD or disk)
  -  serial port
 .
 This package contains the module for Python 3 and the mbedls utility.

Package: python3-mbed-ls-doc
Description-md5: c7282916408ad632b95e987c819ba319
Description-en: mbed-ls library documentation
 This module detects and lists "Mbed Enabled" devices connected to the
 host computer.
 .
 mbedls provides the following information for all connected boards using
 console (terminal) output:
 .
  -  Mbed OS platform name
  -  mount point (MSD or disk)
  -  serial port
 .
 This package contains library documentation.

Package: python3-mccabe
Description-md5: 1547802815a486c241ac8d315d32d8ae
Description-en: Python code complexity checker (Python 3)
 This module provides a plugin for the flake8 Python code checker to run the
 McCabe code complexity script against Python 3 code.
 .
 This package provides Python 3 module bindings only.

Package: python3-mdp
Description-md5: 71eb9c685b299655741b10f39f63fd1d
Description-en: Modular toolkit for Data Processing
 Python data processing framework for building complex data processing software
 by combining widely used machine learning algorithms into pipelines and
 networks. Implemented algorithms include: Principal Component Analysis (PCA),
 Independent Component Analysis (ICA), Slow Feature Analysis (SFA), Independent
 Slow Feature Analysis (ISFA), Growing Neural Gas (GNG), Factor Analysis,
 Fisher Discriminant Analysis (FDA), and Gaussian Classifiers.
 .
 This package contains MDP for Python 3.

Package: python3-mdx-math
Description-md5: bbe486eaba9ac8e16a32305325780006
Description-en: math extension for Python-Markdown
 This package extends Python-Markdown with support for displaying math
 formulas using MathJax. It supports TeX and AsciiMath syntax.
 .
 You need to include MathJax.js in the head tag of your HTML template.
 Please see the included README.md for details on how to do it.

Package: python3-measurement
Description-md5: a47f5af041ed5065939938c5d584bdb9
Description-en: unit-aware measurement objects (Python3 version)
 Easily use and manipulate unit-aware measurement objects in Python.
 .
 django.contrib.gis.measure has these wonderful Distance objects that can be
 used not only for storing a unit-aware distance measurement, but also for
 converting between different units and adding/subtracting these objects from
 one another.
 .
 This module not only provides those Distance and Area measurement objects
 (courtesy of Django), but also other measurements including Weight, Volume, and
 Temperature.
 .
 This package contains the Python 3 version of the library.

Package: python3-mecab
Description-md5: 53463162703e0adc4a636412172ce840
Description-en: Mecab binding for Python3
 Mecab is a Japanese morphological analysis system.
 .
 python3-mecab is its binding for Python3.

Package: python3-mecavideo
Description-md5: 9cb1ab8907472adbd88d60cdff64dcff
Description-en: pedagogic tool to analyze video records for mechanics
 You can track the movement of one or many points in video frames and export
 the position measurements to standard analysis tools.

Package: python3-mechanicalsoup
Description-md5: 47a6715de33cb4cf25a4ae894edf19fe
Description-en: library for automating interaction with websites (Python 3)
 A Python library for automating interaction with websites. MechanicalSoup
 automatically stores and sends cookies, follows redirects, and can follow
 links and submit forms. It doesn't do Javascript.
 .
 MechanicalSoup provides a similar API to the Mechanize library using Requests
 (for http sessions) and BeautifulSoup (for document navigation).
 .
 This package provides the modules for Python 3.

Package: python3-mechanize
Description-md5: f2124c4d65496b13e4adfe113870b2df
Description-en: stateful programmatic web browsing
 A library for browsing the web in Python. Mechanize acts like a browser
 allowing you to do web scraping, functional testing of web sites and
 things no one has thought of yet.
 .
 Among other things, mechanize:
  * Follows links
  * Fills in HTML forms
  * Automatically observes robots.txt
  * Has a browser history
 .
 It was modelled after the Perl module WWW::Mechanize.

Package: python3-med
Description-md5: 13c13ef11c3d073128bee61ef196c720
Description-en: python3 API for MED-fichier
 MED-fichier (Modélisation et Echanges de Données, in English Modelisation
 and Data Exchange) is a library to store and exchange meshed data or
 computation results. It uses the HDF5 file format to store the data.
 .
 This package contains the Python3 API.

Package: python3-mediainfodll
Description-md5: dabb794f5777d21eaa1da8e6cd9c0159
Description-en: library for reading metadata from media files -- shared library
 MediaInfo is a library used for retrieving technical information and other
 metadata about audio or video files.
 .
 A non-exhaustive list of the information MediaInfo can retrieve from media
 files include:
  - General: title, author, director, album, track number, date, duration...
  - Video: codec, aspect, fps, bitrate...
  - Audio: codec, sample rate, channels, language, bitrate...
  - Text: language of subtitle
  - Chapters: number of chapters, list of chapters
 .
 MediaInfo supports the following formats:
  - Video: MKV, OGM, AVI, DivX, WMV, QuickTime, Real, MPEG-1, MPEG-2,
           MPEG-4, DVD (VOB)...
  - Video Codecs: DivX, XviD, MSMPEG4, ASP, H.264, AVC...)
  - Audio: OGG, MP3, WAV, RA, AC3, DTS, AAC, M4A, AU, AIFF...
  - Subtitles: SRT, SSA, ASS, SAMI...
 .
 This package contains the Python 3.x module required for using this library
 from Python 3.x applications.

Package: python3-meep
Description-md5: 1c29e1e26b00e6212b5a7ce7483ddae1
Description-en: software package for FDTD simulation with Python
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the Python binding

Package: python3-meep-mpi-default
Description-md5: 1c29e1e26b00e6212b5a7ce7483ddae1
Description-en: software package for FDTD simulation with Python
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the Python binding

Package: python3-meep-openmpi
Description-md5: 1c29e1e26b00e6212b5a7ce7483ddae1
Description-en: software package for FDTD simulation with Python
 Meep is a free and open-source software package for electromagnetics
 simulation via the finite-difference time-domain (FDTD) method.
 .
 Its features include:
   * Free and open-source software under the GNU GPL.
   * Complete scriptability via Python, Scheme, or C++ APIs.
   * Simulation in 1d, 2d, 3d, and cylindrical coordinates.
   * Distributed memory parallelism on any system supporting MPI.
   * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ,
     along with dispersive ε(ω) and μ(ω) including loss/gain,
     nonlinear (Kerr & Pockels) dielectric and magnetic materials,
     electric/magnetic conductivities σ, and saturable gain/absorption.
   * Perfectly-matched layer (PML) absorbing boundaries as well as
     Bloch-periodic and perfect-conductor boundary conditions.
   * Exploitation of symmetries to reduce the computation size, including
     even/odd mirror planes and 90°/180° rotations.
   * Arbitrary current sources including a guided-mode launcher.
   * Frequency-domain solver for finding the response to a
      continuous-wave (CW) source.
   * ε/μ and field import/export in the HDF5 data format.
   * GDSII file import for planar geometries.
   * Materials library containing predefined broadband, complex
     refractive indices.
   * Field analyses including Poynting flux, mode decomposition, near to far
     transformations, frequency extraction, local density of states (LDOS),
     modal volume, Maxwell stress tensor, arbitrary functions; completely
     programmable.
 .
 This package contains the Python binding

Package: python3-meld3
Description-md5: 398a221345148fd4d6a41996d22b7d8a
Description-en: HTML/XML templating system for Python (Python 3)
 meld3 is an HTML/XML templating system for Python 2.3+ which keeps
 template markup and dynamic rendering logic separate from one
 another. meld3 can deal with HTML or XML/XHTML input and can output
 well-formed HTML or XML/XHTML.
 .
 This package installs the library for Python 3.

Package: python3-memoize
Description-md5: b8c59e6ac68a4f0ec7573c2f6f07f800
Description-en: Simple Python cache and memoizing module (Python3)
 This is a (relatively) simple Python memoizing module (ie. a function
 cache), in which any dict-like can be used as the actual storage
 object.
 .
 This is the Python3 package.

Package: python3-memory-profiler
Description-md5: ac5eb33c05b38fd2fbc443cc1eae5085
Description-en: memory consumption analysis for Python programs (Python 3)
 This is a Python module for monitoring memory consumption of a process
 as well as line-by-line analysis of memory consumption for Python
 programs.
 .
 This package provides the modules for Python 3.

Package: python3-meshio
Description-md5: 9194c72b006832b0ca7b3b3d09fc86c1
Description-en: library for reading and writing mesh data (Python 3)
 Meshio can read from and write to various data formats representing
 unstructured meshes, such as DOLFIN, Gmsh, H5M or VTK.
 .
 This package provides the modules for Python 3.

Package: python3-message-filters
Description-md5: a72f115dccb172630606730cc407752a
Description-en: Python 3 library for Robot OS message-filters
 This package is part of Robot OS (ROS). It implements a set of
 message filters which take in messages and may output those messages
 at a later time, based on the conditions that filter needs being met.
 .
 This package contains the Python 3 library.

Package: python3-metaconfig
Description-md5: 4c711b557182e079f07afa069d577164
Description-en: Python ConfigParser bootstrapping library (Python3)
 Metaconfig is a library for centralising Python's ConfigParser files.
 It is inspired by the logging module where it is increadibly easy to start
 writing code that depends on logging whilst deferring how log messages will
 be handled until later.

Package: python3-mia
Description-md5: 47dfd0c1edfb508e21678f65aab46421
Description-en: Python-3 bindings for the MIA image processing library
 MIA comprises a set of tools, libraries, and plug-ins for general purpose
 2D and 3D gray scale image processing and basic handling of triangular
 meshes. The libraries provide a basic infrastructure and  generic
 algorithms, that can be specialized by specifying the appropriate plug-ins.
 This package provides the Python-3 bindings.

Package: python3-midiutil
Description-md5: 38a8605d0499d52e995692995966db42
Description-en: Python 3 library to write multi-track MIDI files
 MIDIUtil is a pure Python library that allows one to write multi-track Musical
 Instrument Digital Interface (MIDI) files from within Python programs. It is
 object-oriented and allows one to create and write these files with a minimum
 of fuss.
 .
 This package provides the Python 3.x module.

Package: python3-mido
Description-md5: fd9861f874d8445ec041e6cbe001fa5f
Description-en: Python 3 module for working with MIDI messages and ports
 Python 3 module for working with convenient messages objects, it has full
 support for the 18 messages defined by the MIDI standard.
 Mido brings support for MIDI files (read, write, create and play) with
 complete access to every message in the file, including all common meta
 messages. Implements MIDI over TCP/IP with socket ports, allowing wireless MIDI
 between two computers. Also includes programs for playing MIDI files, listing
 ports and serving and forwarding ports over a network.

Package: python3-milksnake
Description-md5: 332252c840c6fc3fbfcb15324fb0500c
Description-en: setuptools/wheel/cffi extension to embed a binary data in wheels
 Milksnake is an extension for setuptools that allows you to distribute dynamic
 linked libraries in Python wheels that are very portable.
 .
 It gives you a hook to invoke your own build process and to then take the
 resulting dynamic linked library.

Package: python3-milter
Description-md5: bc98024ac5c7fdefd5397daa8b93cbba
Description-en: Python 3 extension for Sendmail Milter Protocol
 Python milter provides Python extensions for the Milter protocol traditionally
 used by Sendmail and now also used by Postfix. It provides a thin layer of
 Python over the Milter protocol.
 .
 This is the Python 3 version of the package

Package: python3-mimerender
Description-md5: 7b47f89757ddbacebd3d55e582b58262
Description-en: RESTful HTTP Content Negotiation for web frameworks (Python 3)
 mimerender provides a decorator that wraps an HTTP request handler to
 select the correct render function for a given HTTP Accept header. It
 uses mimeparse to parse the Accept string and select the best available
 representation.
 .
 mimerender supports Flask, Bottle, web.py and webapp2 out of the
 box, and it’s easy to add support for other frameworks.
 .
 This package contains the Python 3 module.

Package: python3-minecraftpi
Description-md5: bccaab426adf2221ff9480ee32df5cb3
Description-en: Raspberry PI Minecraft API python client library
 Make it easy to program minetest and minecraft from python, as
 described in the book Learn to program using Minecraft.
 .
 This package provide the 'mcpi' python library.

Package: python3-mini-buildd
Description-md5: 9de35f17c5da9684ee3f7e460026c4e4
Description-en: minimal build daemon - Python library and user tool
 Mini-buildd is an easy-to-configure autobuilder and
 repository for deb packages.
 .
 This package contains the "mini_buildd" Python package.

Package: python3-minidb
Description-md5: 20f663414d8562b97b21a7c57f224aa1
Description-en: simple SQLite3-based store for Python objects
 minidb 2 makes it easy to store Python objects in a SQLite 3 database and work
 with the data in an easy way with concise syntax.
 Designed for Python 3.

Package: python3-minieigen
Description-md5: 875bfc6ff6d51a184a596fea72ae298a
Description-en: Wrapper of parts of the Eigen library (Python 3)
 Small wrapper for core parts of Eigen, c++ library for linear algebra.
 It is mainly useful for inspecting c++ code which already uses eigen and
 boost::python. Supported types are Vectors (2,3,6 and dynamic-sized with
 integer and floating-point values), Matrices (3x3, 6x6 and dynamic-sized
 with floating-point values) and Quaternions. Numerous methods are wrapped
 and the original API of Eigen is followed.
 .
 This is the Python 3 version of the package.

Package: python3-minimock
Description-md5: e1dfcc240aed2a02751a1b12f4f5aad1
Description-en: simple library for Python mock objects
 minimock is a simple Python library for using mock objects.
 .
 Its mock objects will report any access made to the mock object's
 interfaces. The programmer can easily make assertions about how mock
 objects are used in the test cases, by using the standard-library
 ‘doctest’ module to match the reported access against expected
 behaviour.
 .
 Mock objects can return specified values, raise exceptions, etc.
 to simulate the mocked behaviour. Existing objects can optionally
 be replaced in-place in their namespace by a mock object, and
 restored safely after testing.

Package: python3-miniupnpc
Description-md5: 35c1a4d0138099dfc327bc83403d4c22
Description-en: UPnP IGD client lightweight library Python 3 bindings
 The UPnP protocol is supported by most home adsl/cable routers and Microsoft
 Windows 2K/XP. The aim of the MiniUPnP project is to bring a free software
 solution to support the "Internet Gateway Device" part of the protocol. The
 MediaServer/MediaRenderer UPnP protocol is also becoming very popular.
 .
 Miniupnpc aims at the simplest library possible, with the smallest footprint
 and no dependencies to other libraries such as XML parsers or HTTP
 implementations. All the code is pure ANSI C. Compiled on a x86 PC, the
 miniupnp client library have less than 15KB code size. For instance, the upnpc
 sample program is around 20KB. The miniupnp daemon is much smaller than any
 other IGD daemon and is ideal for using on low memory device for this reason.
 .
 This package contains the Python 3 bindings.

Package: python3-mir-perf-framework
Description-md5: fdac02d05ac9472c7cdabac7e8875a6f
Description-en: Display server for Ubuntu - performance testing framework
 Mir is a display server running on linux systems, with a focus on efficiency,
 robust operation and a well-defined driver model.
 .
 This package contains a python3 framework to facilitate writing performance
 tests for Mir. It also contains a few interesting performance tests written
 using the framework.

Package: python3-mirtop
Description-md5: ba4c0b2482a45cbe63525d7bbfdc1194
Description-en: annotate miRNAs with a standard mirna/isomir naming (Python 3)
 The main goal of this project is to create a reflection group on metazoan
 microRNAs (miRNAs), open to all interested researchers, to identify blockages
 and develop standards and guidelines to improve miRNA research, resources and
 communication. This can go through the use of standardized file formats, gene
 and variants nomenclature guidelines, and advancements in miRNA biology
 understanding. The group will eventually also aim at expanding its breadth to
 the development of novel tools, data resources, and best-practices guidelines
 to benefit the scientific community by providing high confidence validated
 research and analysis strategies, regardless the expertise in this field.
 This package provides the Python modules for mirtop to execute correctly.

Package: python3-misaka
Description-md5: 545f99c5d3360c05ce336da3b8fc5404
Description-en: binding for Sundown, a markdown parsing library - Python 3.x
 Misaca is A Python 2 and 3 binding for Sundown, a really fast Markdown parser
 implemented in C. Misaka is written in Cython and C. And it features a set of
 Markdown extensions and customizable renderers. Just like the Sundown binding
 for Ruby, Redcarpet.
 .
 This package provides the Python 3.x module.

Package: python3-mistral
Description-md5: d7ff477800ff35ea2648387222578609
Description-en: OpenStack Workflow Service - Python 3 libraries
 Mistral is a workflow service. Most business processes consist of multiple
 distinct interconnected steps that need to be executed in a particular order
 in a distributed environment. One can describe such process as a set of tasks
 and task relations and upload such description to Mistral so that it takes
 care of state management, correct execution order, parallelism,
 synchronization and high availability. Mistral also provides flexible task
 scheduling so that it can run a process according to a specified schedule
 (i.e. every Sunday at 4.00pm) instead of running it immediately. Such set of
 tasks and relations between them is called a workflow.
 .
 This package contains the Python 3 libraries.

Package: python3-mistral-dashboard
Description-md5: 67495c06de74cf14822293bc31481e75
Description-en: OpenStack Workflow Service - dashboard plugin
 Mistral is a workflow service. Most business processes consist of multiple
 distinct interconnected steps that need to be executed in a particular order
 in a distributed environment. One can describe such process as a set of tasks
 and task relations and upload such description to Mistral so that it takes
 care of state management, correct execution order, parallelism,
 synchronization and high availability. Mistral also provides flexible task
 scheduling so that it can run a process according to a specified schedule
 (i.e. every Sunday at 4.00pm) instead of running it immediately. Such set of
 tasks and relations between them is called a workflow.
 .
 This package contains the OpenStack dashboard plugin.

Package: python3-mistral-lib
Description-md5: bbbc6759eeaf60ec5d4eb6afcb69f15b
Description-en: Mistral shared routings and utilities - Python 3.x
 This library contains data types, exceptions, functions and utilities common
 to Mistral, python-mistralclient and mistral-extra repositories.  This library
 also contains the public interfaces for 3rd party integration (e.g. Actions
 API, YAQL functions API, etc.)
 .
 If you want to use OpenStack in your custom actions or functions, you will
 also need to use http://git.openstack.org/cgit/openstack/mistral-extra.
 .
 This package contains the Python 3.x module.

Package: python3-mistune
Description-md5: a730a3c498e49bf90a01faba61c7b874
Description-en: Markdown parser for Python 3
 A fast markdown parser in pure Python, inspired by marked, also providing
 renderer features.
 .
 This package installs the library for Python 3.

Package: python3-mlpack
Description-md5: 4707785b90eb24554464596be079bbeb
Description-en: intuitive, fast, scalable C++ machine learning library (Python bindings)
 This package contains Python bindings for the mlpack Library.
 .
 Machine Learning Pack (mlpack) is an intuitive, fast, scalable C++
 machine learning library, meant to be a machine learning analog to
 LAPACK.  It aims to implement a wide array of machine learning
 methods and function as a "swiss army knife" for machine learning
 researchers.

Package: python3-mlt
Description-md5: c33f6feea04a0b91662e31b0e992ebba
Description-en: multimedia framework (Python bindings)
 MLT is an open source multimedia framework, designed and developed for
 television broadcasting. It provides a toolkit for broadcasters, video
 editors, media players, transcoders, web streamers and many more types of
 applications. The functionality of the system is provided via an assortment
 of ready to use tools, xml authoring components, and an extendible plug-in
 based API.
 .
 This package contains the Python bindings for the mlt package.

Package: python3-mmllib
Description-md5: fc23c275a93c33ae04194fec021f22b2
Description-en: library for handling Music Macro Language (Python 3)
 MMLlib is a pure Python implementation of functionality related to the
 Music Macro Language as implemented by Microsoft® GW-BASIC® and
 compatibles, which is its most common form, also implemented by the PC
 speaker driver in Linux and BSD, with a number of extensions and
 changes.
 .
 This package contains the Python 3 build.

Package: python3-mne
Description-md5: 71529dec1903b376b2a8e21e9f88f8bf
Description-en: Python modules for MEG and EEG data analysis
 This package is designed for sensor- and source-space analysis of MEG
 and EEG data, including frequency-domain and time-frequency analyses
 and non-parametric statistics.

Package: python3-mnemonic
Description-md5: f364d49965480901d75f5a082584d27d
Description-en: Implementation of Bitcoin BIP-0039 (Python 3)
 This BIP describes the implementation of a mnemonic code or mnemonic sentence.
 A group of easy to remember words for the generation of deterministic wallets.
 .
 It consists of two parts: generating the mnenomic, and converting it into a
 binary seed. This seed can be later used to generate deterministic wallets
 using BIP-0032 or similar methods.
 .
 ==BIP paper==
 See https://github.com/bitcoin/bips/blob/master/bip-0039.mediawiki for
 full specification.
 .
 This package contains the Python 3 version.

Package: python3-mock
Description-md5: 4e0c3a991e1f0679db23da5bd156bed7
Description-en: Mocking and Testing Library (Python3 version)
 mock provides a core mock.Mock class that is intended to reduce the
 need to create a host of trivial stubs throughout your test suite.
 After performing an action, you can make assertions about which methods
 / attributes were used and arguments they were called with. You can
 also specify return values and set specific attributes in the normal
 way.
 .
 This package contains the Python 3 version of the library.

Package: python3-mockldap
Description-md5: fbacfdf5181a837b9ccc6878ab96b9fe
Description-en: simple mock implementation of python-ldap (Python3 version)
 This project provides a mock replacement for python-ldap. It’s useful for any
 project that would like to write unit tests against LDAP code without relying
 on a running LDAP server.
 .
 The goal of mockldap is to provide a mock instance of LDAPObject in response to
 any call to ldap.initialize. In the general case, you would register return
 values for all LDAPObject calls that you expect the code under test to make.
 Your assertions would then verify that the tested code behaved correctly given
 this set of return values from the LDAP APIs.
 .
 As a convenience, the mock LDAPObject isn’t just a dumb mock object. The
 typical way to use mockldap is to provide some static directory content and
 then let LDAPObject generate real return values. This will only work for simple
 LDAP operations–this obviously isn’t a complete Python LDAP server
 implementation–but those simple operations tend to cover a lot of cases.
 .
 This package contains the Python 3 version of the library.

Package: python3-mockupdb
Description-md5: d4170f6b4b78335e6a365885c179023c
Description-en: MongoDB Wire Protocol server library - Python 3.x
 Mock server for testing MongoDB clients and creating MongoDB Wire Protocol
 servers.
 .
 This package contains the Python 3.x module.

Package: python3-model-mommy
Description-md5: bfede4f759f3b43196bf768ebe5da55b
Description-en: smart object creation facility for Django (Python 3 version)
 Model-mommy offers you a smart way to create fixtures for testing in Django.
 With a simple and powerful API you can create many objects with a single
 line of code.
 .
 This package provides Python 3 module bindings only.

Package: python3-moksha.common
Description-md5: 3b1d4a2484452652b2e5e9f348feb507
Description-en: Common components for the Moksha framework (Python 3)
 Moksha is a combination of Web framework and messaging hub that is
 written on top of widely-used and tested components such as Twisted,
 0mq or TurboGears.
 .
 This package provides the core components for this framework.
 .
 This package installs the library for Python 3.

Package: python3-molotov
Description-md5: a0f2aa365fec99c8719b0bea4bc2e75f
Description-en: tool to write load tests in Python
 Create a load test in Python using functions decorated with the scenario
 decorator – those functions needs to be coroutines. Based on asyncio, built
 with aiohttp.
 .
 This package contains the Python 3.x module and CLI.

Package: python3-monajat
Description-md5: 3af6d0c82137b5610e4e959e12a63e2c
Description-en: Islamic supplications backend
 Monajat is a small application that displays Islamic supplications (azkar) at
 predetermined times.
 .
 This is the Python monajat library needed by monajat front ends.

Package: python3-monasca-statsd
Description-md5: a6d35d07d13e4433f4ad2b308075e8e2
Description-en: monasca statsd client - Python 3.x
 Monasca is a highly scalable, performant, fault-tolerant
 monitoring-as-a-service solution that integrates with OpenStack. It uses a
 REST API for high-speed metrics processing and querying and has a streaming
 alarm engine and notification engine.
 .
 This Python module provides a client to connect to Monasca's statsd REST API.
 With this client, you can handle counters, gauge, get histograms, timers and
 more.
 .
 This package contains the Python 3.x module.

Package: python3-moneyed
Description-md5: 3ec2cf34ac3ae861c97a707e48008752
Description-en: Currency and Money classes for use in Python 3 code
 The need to represent instances of money frequently arises in software
 development, particularly any financial/economics software. To address that
 need, this package provides the classes of Money and Currency, at a level more
 useful than just using Python's Decimal class, or even the float primitive.
 The package is meant to be stand-alone and easy to either use directly, or
 subclass further.
 .
 This is the Python 3 version of the package.

Package: python3-mongoengine
Description-md5: d8beb39398f4cc40fc7f4dcded49d669
Description-en: Python 3 Document-Object Mapper for working with MongoDB
 MongoEngine is a Document-Object Mapper (think ORM, but for document
 databases) for working with MongoDB from Python. It uses a simple declarative
 API, similar to the Django ORM.
 .
 This is the Python 3 package.

Package: python3-montage-wrapper
Description-md5: 1dff9f0906f8ca786f7b5d167906ce39
Description-en: Python-3 wrapper for the Montage mosaicking toolkit
 This package provides a Python-3 wrapper to the Montage Astronomical Image
 Mosaic Engine, including both functions to access individual Montage
 commands, and high-level functions to facilitate mosaicking and
 re-projecting.

Package: python3-montagepy
Description-md5: 4af8a19b56f15f136c297b35af31a100
Description-en: Python toolkit for assembling FITS images into mosaics
 MontagePy is a toolkit for assembling astronomical images into custom mosaics.
 .
 It uses algorithms that preserve the calibration and positional (astrometric)
 fidelity of the input images to deliver mosaics that meet user-specified
 parameters of projection, coordinates, and spatial scale. It supports all
 projections and coordinate systems in use in astronomy.
 .
 It contains independent modules for analyzing the geometry of images on the
 sky, and for creating and managing mosaics; these modules are powerful tools
 in their own right and have applicability outside mosaic production, in areas
 such as data validation.

Package: python3-morph
Description-md5: ef7533f4395c4074efce8a9b1a56573e
Description-en: collection of routines to help identify and morph objects - Python 3.x
 Morph provides a bunch of functions to help identify object type:
  * isstr()
  * isseq()
  * isdict()
 .
 Morph’s pick and omit functions allow you to extract a set of keys (or
 properties) from a dict-like object. The morph.xform helper function can be
 used to recursively transform all the items in a list & dictionary tree
 .
 This package contains the Python 3.x module.

Package: python3-morris
Description-md5: 7ea9bab2db6d27e1de954fa5634a0784
Description-en: announcement (signal/event) system for Python 3
 Morris is a simple Python library for creating notification mechanism similar
 to Qt signals or C# events. Application developers can create signals with a
 simple decorator (@signal), send signals by calling the decorated method or
 function, connect to and disconnect from signals with signal.connect() and
 signal.disconnect().
 .
 Morris comes with support for writing high-level unit tests using the
 SignalTestCase.{watchSignal,assertSignalFired,assertSignalNotFired}() methods.
 Appropriate ordering constraints on multiple signals can be tested using the
 SignalTestCase.assertSignalOrdering() method.
 .
 This package contains version of the library for Python 3

Package: python3-morse-simulator
Description-md5: 67bbe300f6dd2e9e5580c7fcdd4f116a
Description-en: Multi-OpenRobot Simulation Engine
 List of morse features:
  * Versatile simulator for generic mobile robots simulation
    (single or multi robots),
  * Realistic and dynamic environments (interaction with other agents like
    humans or objects),
  * Based on well known and widely adopted open source projects (Blender for 3D
    rendering + UI, Bullet for physics simulation, dedicated robotic
    middlewares for communications + robot hardware support),
  * Seamless workflow: since the simulator rely on Blender for both modeling
    and the real time 3D engine, creating and modifying a simulated scene is
    straightforward.
  * Entirely scriptable in Python,
  * Adaptable to various level of simulation realism (for instance the
    simulation of exteroceptive sensors like cameras or a direct access to
    higher level representations of the world, like labeled artifacts),
  * Currently compatible with ROS, YARP and the LAAS OpenRobots robotics
    frameworks,
  * Easy to integrate to other environments via a simple socket interface,
  * Fully open source, BSD license.
 .
 This package contains the Python extension.

Package: python3-motor
Description-md5: 83aacea8dd60bded38d1dd571862bec2
Description-en: full-featured, non-blocking MongoDB driver - Python 3.x
 Motor presents a callback- or Future-based API for non-blocking access to
 MongoDB from Tornado or asyncio.
 .
 This package contains the Python 3.x module.

Package: python3-move-base-msgs
Description-md5: a4fc2fd5bae2fa219ce6d595b5fe8fef
Description-en: Python 3 code for move-base-related ROS Messages,
 This package is part of Robot OS (ROS). Holds the action description and
 relevant messages for the move_base package.
 .
 It contains the navigation-related Python 3 package.

Package: python3-mox3
Description-md5: f633d06cc949c5cdfc0594b4a7a21d9d
Description-en: Mock object framework - Python 3.x
 Mox3 is an unofficial port of the Google mox framework (see
 http://code.google.com/p/pymox/) to Python 3. It was meant to be as compatible
 with mox as possible, but small enhancements have been made.
 .
 This package provides the Python 3.x module.

Package: python3-mpd
Description-md5: 53e5fa885a438ff468662578adcff625
Description-en: Python MPD client library (Python 3)
 Fast MPD (Music Player Daemon) client library written in pure Python.
 It was written to be a replacement for python-mpdclient which is a bit
 outdated and does not perform good in many situations.
 .
 This is the Python 3 version of python-mpd2, a fork of the original
 python-mpd.

Package: python3-mpegdash
Description-md5: dec4354dfbf40a9e6214af2b726d270e
Description-en: Python MPEG-DASH parser - Python 3.x
 mpd library can load and parse MPEG-DASH MPD(Media Presentation Description)
 metafile
 .
 The basic usage is to create a playlist object from uri, file path or
 directly from a string.
 .
 This package contains the Python 3.x module.

Package: python3-mpi4py
Description-md5: df56770de9e33454460005eda8d75b21
Description-en: bindings of the Message Passing Interface (MPI) standard
 MPI for Python (mpi4py) provides bindings of the Message Passing
 Interface (MPI) standard for the Python programming language,
 allowing any Python program to exploit multiple processors.
 .
 mpi4py is constructed on top of the MPI-1/MPI-2 specification
 and provides an object oriented interface which closely follows MPI-2
 C++ bindings.  It supports point-to-point (sends, receives) and
 collective (broadcasts, scatters, gathers) communications of any
 picklable Python object as well as optimized communications of Python
 object exposing the single-segment buffer interface (NumPy arrays,
 builtin bytes/string/array objects).

Package: python3-mpi4py-dbg
Description-md5: 57cd8c5b32ff830142ca5c8462a69cb3
Description-en: bindings of the Message Passing Interface (MPI) standard
 MPI for Python (mpi4py) provides bindings of the Message Passing
 Interface (MPI) standard for the Python programming language,
 allowing any Python program to exploit multiple processors.
 .
 mpi4py is constructed on top of the MPI-1/MPI-2 specification
 and provides an object oriented interface which closely follows MPI-2
 C++ bindings.  It supports point-to-point (sends, receives) and
 collective (broadcasts, scatters, gathers) communications of any
 picklable Python object as well as optimized communications of Python
 object exposing the single-segment buffer interface (NumPy arrays,
 builtin bytes/string/array objects).
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-mpi4py-fft
Description-md5: f723494203de25ed6cf9d13254d5968d
Description-en: a Python package for computing Fast Fourier Transforms (FFTs) with MPI
 mpi4py-fft is a Python package for computing Fast Fourier Transforms
 (FFTs). Large arrays are distributed and communications are handled
 under the hood by MPI for Python (mpi4py). To distribute large arrays
 we are using a new and completely generic algorithm that allows for
 any index set of a multidimensional array to be distributed. We can
 distribute just one index (a slab decomposition), two index sets
 (pencil decomposition) or even more for higher-dimensional arrays.
 .
 In mpi4py-fft there is also included a Python interface to the FFTW
 library. This interface can be used without MPI, much like pyfftw,
 and even for real-to-real transforms, like discrete cosine or sine
 transforms.
 .
 The package provides a Python interface to FFTW, but with MPI
 parallelisation. This enables strong scaling tested to 16000 cores,
 or weak scaling tested to 2000 cores. The algorithm is documented at
 https://arxiv.org/abs/1804.09536
 .
 This package installs the library for Python 3.

Package: python3-mpi4py-fft-doc
Description-md5: 7dc441f383af726a22a899a4f07e48d6
Description-en: a Python package for computing Fast Fourier Transforms (FFTs) with MPI (docs)
 mpi4py-fft is a Python package for computing Fast Fourier Transforms
 (FFTs). Large arrays are distributed and communications are handled
 under the hood by MPI for Python (mpi4py). To distribute large arrays
 we are using a new and completely generic algorithm that allows for
 any index set of a multidimensional array to be distributed. We can
 distribute just one index (a slab decomposition), two index sets
 (pencil decomposition) or even more for higher-dimensional arrays.
 .
 In mpi4py-fft there is also included a Python interface to the FFTW
 library. This interface can be used without MPI, much like pyfftw,
 and even for real-to-real transforms, like discrete cosine or sine
 transforms.
 .
 The package provides a Python interface to FFTW, but with MPI
 parallelisation. This enables strong scaling tested to 16000 cores,
 or weak scaling tested to 2000 cores. The algorithm is documented at
 https://arxiv.org/abs/1804.09536
 .
 This is the common documentation package.

Package: python3-mpl-scatter-density
Description-md5: 03f7c4112e8e3da0382fe8b7ad2b35c7
Description-en: Fast scatter density plots for Matplotlib
 This package provides functionality to
 make it easy to make your own scatter density maps, both for interactive
 and non-interactive use. Fast.
 .
 This package installs the library for Python 3.

Package: python3-mplexporter
Description-md5: 7d63f855c9bc19a92ed01bd2c43026fd
Description-en: general matplotlib exporter
 A Python module to export matplotlib graphs, e.g. for mpld3.
 .
 This package provides the Python 3.x module.

Package: python3-mpltoolkits.basemap
Description-md5: 59ad67ae600fc749311b40373d63fccd
Description-en: matplotlib toolkit to plot on map projections (Python 3)
 The matplotlib basemap toolkit is a library for plotting 2D data on maps in
 Python. It is similar in functionality to the matlab mapping toolbox, the IDL
 mapping facilities, GrADS, or the Generic Mapping Tools. PyNGL and CDAT are
 other libraries that provide similar capabilities in Python.
 .
 Basemap does not do any plotting on its own, but provides the facilities to
 transform coordinates to one of 23 different map projections (using the PROJ.4
 C library). Matplotlib is then used to plot contours, images, vectors, lines or
 points in the transformed coordinates. Shoreline, river and political boundary
 datasets (from Generic Mapping Tools) are provided, along with methods for
 plotting them. The GEOS library is used internally to clip the coastline and
 political boundary features to the desired map projection region.
 .
 Basemap provides facilities for reading data in netCDF and Shapefile formats,
 as well as directly over http using OPeNDAP. This functionality is provided
 through the PyDAP client, and a Python interface to the Shapefile C library.
 .
 Basemap is geared toward the needs of earth scientists, particular
 oceanographers and meteorologists. The author originally wrote Basemap to help
 in his research (climate and weather forecasting), since at the time CDAT was
 the only other tool in Python for plotting data on map projections. Over the
 years, the capabilities of Basemap have evolved as scientists in other
 disciplines (such as biology, geology and geophysics) requested and contributed
 new features.
 .
 This package contains the Python 3 version of python-mpltoolkits.basemap.
 .
 WARNING: this package is deprecated in favour of cartopy.

Package: python3-mpltoolkits.basemap-dbg
Description-md5: f83f6c7b42c1a4255328cecd21368c22
Description-en: matplotlib toolkit to plot on map projections (Python 3 debug)
 The matplotlib basemap toolkit is a library for plotting 2D data on maps in
 Python. It is similar in functionality to the matlab mapping toolbox, the IDL
 mapping facilities, GrADS, or the Generic Mapping Tools. PyNGL and CDAT are
 other libraries that provide similar capabilities in Python.
 .
 Basemap does not do any plotting on its own, but provides the facilities to
 transform coordinates to one of 23 different map projections (using the PROJ.4
 C library). Matplotlib is then used to plot contours, images, vectors, lines or
 points in the transformed coordinates. Shoreline, river and political boundary
 datasets (from Generic Mapping Tools) are provided, along with methods for
 plotting them. The GEOS library is used internally to clip the coastline and
 political boundary features to the desired map projection region.
 .
 Basemap provides facilities for reading data in netCDF and Shapefile formats,
 as well as directly over http using OPeNDAP. This functionality is provided
 through the PyDAP client, and a Python interface to the Shapefile C library.
 .
 Basemap is geared toward the needs of earth scientists, particular
 oceanographers and meteorologists. The author originally wrote Basemap to help
 in his research (climate and weather forecasting), since at the time CDAT was
 the only other tool in Python for plotting data on map projections. Over the
 years, the capabilities of Basemap have evolved as scientists in other
 disciplines (such as biology, geology and geophysics) requested and contributed
 new features.
 .
 This package contains the debug extension for python3-mpltoolkits.basemap.

Package: python3-mpmath
Description-md5: 1fe0defc6bc8924e23914d13b987ed7a
Description-en: library for arbitrary-precision floating-point arithmetic (Python3)
 Mpmath is a pure-Python library for multiprecision floating-point
 arithmetic. It provides an extensive set of transcendental functions,
 unlimited exponent sizes, complex numbers, interval arithmetic,
 numerical integration and differentiation, root-finding, linear
 algebra, and much more. Almost any calculation can be performed just
 as well at 10-digit or 1000-digit precision, and in many cases mpmath
 implements asymptotically fast algorithms that scale well for
 extremely high precision work.
 .
 If available, mpmath will (optionally) use gmpy to speed up high
 precision operations. If matplotlib is available, mpmath also
 provides a convenient plotting interface.
 .
 Its features include:
 .
   * Fair performance -- typically 10-100x faster than Python's
     decimal library
   * Transcendental functions -- all functions from Python's math and
     cmath modules, plus a few more like gamma, factorial, erf
   * Complex numbers -- with support for transcendental functions
   * Directed rounding -- floor, ceiling, down, up, half-down,
     half-up, half-even
   * Unlimited exponents -- no overflow or underflow
 .
 This package contains the Python 3 version of mpmath.

Package: python3-mrtparse
Description-md5: b056ed996cef9a9751d1b50a96f535bd
Description-en: read and analyze the MRT format data (Python 3.x module)
 The MRT format can be used to export routing protocol messages, state
 changes, and routing information base contents, and is defined in
 RFC6396.
 .
 Programs like Quagga / Zebra, BIRD, OpenBGPD and PyRT can dump the
 MRT format data. Archives are also available from the Route Views
 Projects and RIPE NCC.
 .
 This package contains the module for Python 3.x.

Package: python3-msal
Description-md5: b570855f0d497fd77a17183163acd7cd
Description-en: Microsoft Authentication Library (MSAL) for Python
 The Microsoft Authentication Library for Python enables applications to
 integrate with the Microsoft identity platform. It allows you to sign in users
 or apps with Microsoft identities (Azure AD, Microsoft Accounts and Azure AD
 B2C accounts) and obtain tokens to call Microsoft APIs such as Microsoft Graph
 or your own APIs registered with the Microsoft identity platform. It is built
 using industry standard OAuth2 and OpenID Connect protocols

Package: python3-msal-extensions
Description-md5: ca8e2846b05bfc6634816dfe9061c07c
Description-en: Microsoft Authentication extensions for MSAL for Python
 The Microsoft Authentication Library (MSAL) for Python enables applications to
 integrate with the Microsoft identity platform.
 The Microsoft Authentication extensions for MSAL for Python provides
 cross-platform utilities for interacting with MSAL, including a shared cache
 for token that is safe to use concurrently among multiple processes. It is
 intended to be used with python3-msal.

Package: python3-msgpack-numpy
Description-md5: dfc5fdb5c60c9bdd372642096bf6eb30
Description-en: serialize numpy arrays using msgpack
 This package provides encoding and decoding routines that enable the
 serialization and deserialization of numerical and array data types
 provided by numpy using the highly efficient msgpack format.
 Serialization of Python's native complex data types is also supported.

Package: python3-mshr
Description-md5: 9dc7da72df500cfb97c705f62aca027c
Description-en: Python 3 interface for mshr
 mshr generates simplicial DOLFIN meshes in 2D and 3D from geometries
 described by Constructive Solid Geometry (CSG) or from surface files,
 utilizing CGAL and Tetgen as mesh generation backends.
 .
 This package contains the Python 3 interface for mshr, and examples
 and demo programs.

Package: python3-msoffcrypto-tool
Description-md5: c5b76908b697e9540515c87780f8728d
Description-en: Python tool and library for decrypting MS Office files
 msoffcrypto-tool (formerly ms-offcrypto-tool) is a Python tool and library
 for decrypting encrypted MS Office files with password, intermediate key,
 or private key which generated its escrow key.

Package: python3-msrest
Description-md5: 48c6c55f52e466864fe85cee3fb1ee71
Description-en: AutoRest swagger generator Python 3.x client runtime
 The AutoRest tool generates client libraries for accessing RESTful web
 services. Input to AutoRest is a spec that describes the REST API using the
 Swagger format. This package contains a Swagger generator for AutoRest for
 Python 3.x applications.

Package: python3-msrestazure
Description-md5: 7c71b04f86c32f6c3de64cfd905ec6af
Description-en: Runtime library for AutoRest generated Python 3.x clients
 The AutoRest tool generates client libraries for accessing Azure's
 RESTful web services. Input to AutoRest is a spec that describes the
 REST API using the Swagger format. This package contains a Swagger
 generator for AutoRest for Python 3.x applications.

Package: python3-multi-key-dict
Description-md5: 1c6a8cb7a6b27260b2df98957aa89ef3
Description-en: Multi key dictionary implementation - Python 3.x
 Implementation of a multi-key dictionary, i.e.:
  (key1[,key2, ..]) => value
 .
 This dictionary has a similar interface to the standard dictionary, but is
 extended to support multiple keys referring to the same element.
 .
 Multi-key dict provides also extended interface for iterating over items and
 keys (e.g. by the key type), which might be useful when creating, e.g.
 dictionaries with index-name key pair allowing to iterate over items using
 either: names or indexes. It can be useful for many other similar use-cases,
 and there is no limit to the number of keys used to map to the value.
 .
 There are few other useful methods, e.g. to iterate over dictionary (by/using)
 selected key type, finding other keys mapping to the same value etc.
 .
 This package contains the Python 3.x module.

Package: python3-multicorn
Description-md5: 746fa0ae2f4824351dab10765cb9d349
Description-en: multicorn utility module for postgresql-X.Y-python3-multicorn
 Multicorn is a PostgreSQL extension meant to make Foreign Data
 Wrapper development easy, by allowing the programmer to use the
 Python programming language
 .
 This package provides a common Python 3 module used by all PostgreSQL
 versions of the extension.

Package: python3-multidict
Description-md5: e884e3d5439781cc2d7a6185e877101f
Description-en: multidict implementation (Python library)
 Multidicts are useful for working with HTTP headers, URL query args etc.
 .
 HTTP Headers and URL query string require specific data structure:
 multidict. It behaves mostly like a dict but it can have
 several values for the same key.

Package: python3-multidict-dbg
Description-md5: b01e175df206db511fa8f496463c123b
Description-en: multidict implementation (Python library, debug extension)
 Multidicts are useful for working with HTTP headers, URL query args etc.
 .
 HTTP Headers and URL query string require specific data structure:
 multidict. It behaves mostly like a dict but it can have
 several values for the same key.
 .
 This package contains extension built for the Python 3 debug interpreter.

Package: python3-multipletau
Description-md5: 970a54ae90604dec7668692725e5e934
Description-en: multiple-tau algorithm for Python3/NumPy
 Multiple-tau correlation is computed on a logarithmic scale (less
 data points are computed) and is thus much faster than conventional
 correlation on a linear scale such as `numpy.correlate`
 .
 An online reference is available
 at http://paulmueller.github.io/multipletau
 .
 This is the Python 3 version of the package

Package: python3-munkres
Description-md5: 34a86bd9ea5cb48579586f81f5131da0
Description-en: munkres algorithm for the Assignment Problem - Python 3 library
 The Munkres module provides an implementation of the Munkres algorithm (also
 called the Hungarian algorithm or the Kuhn-Munkres algorithm), useful for
 solving the Assignment Problem.
 .
 The Assignment Problem:
 Let C be an nxn matrix representing the costs of each of n workers to perform
 any of n jobs. The Assignment Problem is to assign jobs to workers in a way
 that minimizes the total cost.
 .
 This package contains the munkres module for Python 3.x.

Package: python3-murano
Description-md5: 9629a931b8c0c6f52e54eca1ad15a775
Description-en: cloud-ready application catalog - Python 3 libs
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the Python 3 library for Murano.

Package: python3-murano-agent
Description-md5: 740b6b98e43bfaad2252dddcd12d4bca
Description-en: cloud-ready application catalog - Python 3 library
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the python 3 library.

Package: python3-murano-dashboard
Description-md5: dc59bb83ab924bef931b0e54429a0d33
Description-en: cloud-ready application catalog - Python 3 dashboard plugin
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package contains the Python 3 OpenStack dashboard plugin.

Package: python3-murano-pkg-check
Description-md5: cf872ca093214e1600a8305446eee104
Description-en: murano package validator tool - Python 3.x
 This package provide a way to validate Murano APPs. It checks packages
 against a list of known files and directories, the APP manifest consistency,
 and many other things.
 .
 This package contains the Python 3.x module.

Package: python3-muranoclient
Description-md5: 505155772f0f430ea5230492b20ecaad
Description-en: cloud-ready application catalog - Python 3.x client module
 Murano Project introduces an application catalog, which allows application
 developers and cloud administrators to publish various cloud-ready
 applications in a browsable categorised catalog, which may be used by the
 cloud users (including the inexperienced ones) to pick-up the needed
 applications and services and composes the reliable environments out of them
 in a "push-the-button" manner.
 .
 This package provides the Python 3.x module.

Package: python3-murmurhash
Description-md5: 935699fcd5513c5cadb78e463b63ac00
Description-en: Cython bindings for MurmurHash2
 This package ships Cython bindings for MurmurHash2.

Package: python3-music
Description-md5: 7a44573e162c8ad36da4c00c19891357
Description-en: Multi-Simulation Coordinator for MPI -- Runtime library
 MUSIC allows spike events and continuous time series to be
 communicated between parallel applications within the same MPI job in
 a cluster computer.  Typical usage cases are connecting models
 developed for different simulators and connecting a parallel
 simulator to a post-processing tool.
 .
 This package contains the MUSIC library python bindings.

Package: python3-musicbrainzngs
Description-md5: cb21a057d4db6915556d833f68f2aafd
Description-en: Python 3 bindings for the Musicbrainz NGS webservice interface
 This package provides a Python 3 interface to the
 Musicbrainz NGS API.
 .
 Musicbrainz is an open music encyclopedia that collects music metadata
 and makes it available to the public.

Package: python3-musicpd
Description-md5: eeea05b1153fed112851b8a57e33ea2a
Description-en: Python MPD client library
 An MPD (Music Player Daemon) client library written in pure Python.
 .
 python-musicpd is actually a fork of python-mpd
 .
 While python-mpd runs only with python2, this fork intends to support python3
 only.

Package: python3-mutagen
Description-md5: b5c73118a873f0c5a0c6c81bce55a7e5
Description-en: audio metadata editing library (Python 3)
 Mutagen is a Python module to handle audio metadata. It supports FLAC,
 M4A, MP3, Ogg FLAC, Ogg Speex, Ogg Theora, Ogg Vorbis, True Audio, and
 WavPack audio files. All versions of ID3v2 are supported, and all
 standard ID3v2.4 frames are parsed. It can read Xing headers to
 accurately calculate the bitrate and length of MP3s. ID3 and APEv2
 tags can be edited regardless of audio format. It can also manipulate
 Ogg streams on an individual packet/page level.
 .
 This package is built for Python 3.

Package: python3-mutatormath
Description-md5: 6f440e3833a0282c341802436f361972
Description-en: Library for piecewise linear interpolations in n-dimensions
 MutatorMath is a Python library for the calculation of piecewise
 linear interpolations in n-dimensions with any number of masters. It
 was developed for interpolating data related to fonts, but if can
 handle any arithmetic object.

Package: python3-mwclient
Description-md5: d047222998e6dd230a6c8db8d375ea95
Description-en: MediaWiki API client in Python
 mwclient is a lightweight Python client library to the MediaWiki API
 which provides access to most API functionality. It works with Python
 2.7, 3.3 and above, and supports MediaWiki 1.16 and above. For
 functions not available in the current MediaWiki, a
 MediaWikiVersionError is raised.
 .
 Most properties and generators accept the same parameters as the API,
 without their two-letter prefix. Exceptions to this rule:
 .
  * Image.imageinfo is the imageinfo of the latest image. Earlier
    versions can be fetched using imagehistory()
  * Site.all*: parameter  [ap]from renamed to start
  * categorymembers is implemented as Category.members
  * deletedrevs is deletedrevisions
  * usercontribs is usercontributions
  * First parameters of search and usercontributions are search and
    user respectively
 .
 Properties and generators are implemented as Python generators. Their
 limit parameter is only an indication of the number of items in one
 chunk. It is not the total limit. Doing list(generator(limit =
 limit)) will return ALL items of generator, and not be limited by the
 limit value. Default chunk size is generally the maximum chunk size.

Package: python3-mwparserfromhell
Description-md5: d0873b25f6ff81281cae023612066ab8
Description-en: Outrageously powerful parser for MediaWiki wikicode (Python 3)
 mwparserfromhell is a pure-Python parser (with optional C speedup) for
 MediaWiki wikicode. It allows parsing and manipulation of complex
 structures like nested templates, tables, and much more.
 .
 Documentation at https://mwparserfromhell.readthedocs.org/

Package: python3-mygpoclient
Description-md5: 47f6c34b4812d98b17ce41f43dac87c9
Description-en: Client library for the gpodder.net web service
 The mygpoclient library allows developers to utilize a
 Pythonic interface to the gpodder.net web services (formerly
 known as my.gpodder.org).
 .
 In addition to the user-specific API endpoints, a public
 (non-authenticated) API is provided for searching podcasts
 and retrieving the podcast toplist from the server.
 .
 This package installs the library for Python 3.

Package: python3-myhdl
Description-md5: 5cc53903f5f3b892baf7cbd7a427fbd9
Description-en: Hardware description language for Python (Python 3)
 MyHDL turns Python into a hardware description and verification language,
 providing hardware engineers with the power of the Python ecosystem.
 .
 Python can then be used as an event-driven simulator using Python decorators
 actively to specify what corresponds to 'processes' in Verilog / VHDL and
 thereby achieve concurrency.
 .
 This package installs the library for Python 3.

Package: python3-mypy
Description-md5: d098e93d00ac49e756bebaf5ec34a6ca
Description-en: public modules for mypy (Python 3)
 Add type annotations to your Python programs, and use mypy to type check them.
 Mypy is essentially a Python linter on steroids, and it can catch many
 programming errors by analyzing your program, without actually having to run
 it. Mypy has a powerful type system with features such as type inference,
 gradual typing, generics and union types.
 .
 This package provides the modules for Python 3.

Package: python3-mypy-extensions
Description-md5: fca2de19f7db3de2eaa67688a329981c
Description-en: Experimental type system extensions for mypy typechecker (Python 3)
 Add type annotations to your Python programs, and use mypy to type check them.
 Mypy is essentially a Python linter on steroids, and it can catch many
 programming errors by analyzing your program, without actually having to run
 it. Mypy has a powerful type system with features such as type inference,
 gradual typing, generics and union types.
 .
 The "mypy_extensions" module defines experimental extensions to the
 standard "typing" module that are supported by the mypy typechecker.
 .
 This package provides the modules for Python 3.

Package: python3-mysql.connector
Description-md5: 4bca3815f5856ddf4a629b418ec76c8f
Description-en: pure Python implementation of MySQL Client/Server protocol (Python3)
 MySQL driver written in Python which does not depend on MySQL C client
 libraries and implements the DB API v2.0 specification (PEP-249).
 .
 MySQL Connector/Python is implementing the MySQL Client/Server protocol
 completely in Python. This means you don't have to compile anything or MySQL
 (client library) doesn't even have to be installed on the machine.
 .
 This package contains the Python 3 version of mysql.connector.

Package: python3-nagiosplugin
Description-md5: 55f125de7c8769a625e051a92d3b63d0
Description-en: Python class library for writing Nagios (Icinga) plugins (Python 3)
 nagiosplugin is a Python class library which helps writing Nagios
 (or Icinga) compatible plugins easily in Python. It cares for much of
 the boilerplate code and default logic commonly found in Nagios
 checks, including:
 .
  - Nagios 3 Plugin API compliant parameters and output formatting
  - Full Nagios range syntax support
  - Automatic threshold checking
  - Multiple independent measures
  - Custom status line to communicate the main point quickly
  - Long output and performance data
  - Timeout handling
  - Persistent "cookies" to retain state information between check runs
  - Resume log file processing at the point where the last run left
  - No dependencies beyond the Python standard library (except for Python 2.6).
 .
 This is the Python 3 version of the package.

Package: python3-namecheap
Description-md5: 4643d953d6119f313a23019e60d27104
Description-en: API library for DNS provider Namecheap (Python 3)
 This package provides support for registering a domain, checking domain name
 availability, listing registered domains, getting contact information for a
 domain, setting DNS info to default values and setting DNS host records.
 .
 This package installs the library for Python 3.

Package: python3-nameparser
Description-md5: 272aaa26b3aa9fde2d1fb30beace7639
Description-en: Python 3 module for parsing names into individual components
 The HumanName class splits a name string up into name parts based on placement
 in the string and matches against known name pieces like titles. It joins name
 pieces on conjunctions and special prefixes to last names like "del". Titles
 can be chained together and include conjunctions to handle titles like
 "Asst Secretary of State". It can also try to correct capitalization of all
 upper or lowercase names.
 .
 It attempts the best guess that can be made with a simple, rule-based approach.
 Unicode is supported, but the parser is not likely to be useful for languages
 that to not share the same structure as English names. It's not perfect, but it
 gets you pretty far.

Package: python3-natsort
Description-md5: 98d2b44c329103b0763d58c8d8e1dcd6
Description-en: Natural sorting for Python (Python3)
 natsort lets you apply natural sorting to your sequences easily, for example:
 .
  >>> from natsort import natsorted
  >>> a = ['a2', 'a9', 'a1', 'a4', 'a10']
  >>> data = [['a1', 'a5'], ['a1', 'a40'], ['a10', 'a1'], ['a2', 'a5']]
  >>> natsorted(a)
  ['a1', 'a2', 'a4', 'a9', 'a10'
  >>> natsorted(data)
  [['a1', 'a5'], ['a1', 'a40'], ['a2', 'a5'], ['a10', 'a1']]
 .
 natsort identifies the numbers and sorts them separately from strings.
 .
 natsort comes with a shell script to use natural sorting in shell scripts. You
 can also execute natsort from the command line with Python -m natsort.
 .
 There exists another natural sorting package for Python called
 python-naturalsort. You may prefer that package if you wish to only sort
 version numbers.
 .
 This is the Python 3 version of the package.

Package: python3-nautilus
Description-md5: 5b75bd8d7120972ad64bf7e3cdafa38e
Description-en: Python binding for Nautilus components (Python 3 version)
 Python binding for Nautilus, to allow Nautilus property page and menu item
 extensions to be written in Python.
 .
 This package contains the Python 3 version of the library.

Package: python3-nav-msgs
Description-md5: 41eec02eb0ef41bfed796e93ea73bd1f
Description-en: Messages relating to Robot OS nav, Python 3 interface
 This package is part of Robot OS (ROS), and provides the common
 messages used to interact with the 'nav' https://wiki.ros.org/navigation
 stack.
 .
 This package contains the generated Python 3 package.

Package: python3-nb2plots
Description-md5: 85e3ee520b034ccc0f92b4c51c815c8d
Description-en: Converting between ipython notebooks and sphinx docs (Python 3)
 nb2plots converts Jupyter notebooks to ReST files for Sphinx, and back again.
 .
 Nb2plots assumes that the ReST document will become the source for your Sphinx
 web pages, but also for future versions of the notebook.  The notebook may
 serve as a draft for the polished ReST page, and an output format from the
 Sphinx build.
 .
 This package contains the Python 3 version of nb2plots.

Package: python3-nbconvert
Description-md5: 9cde2fea40ba2116bfdf10b8c0583669
Description-en: Jupyter notebook conversion (Python 3)
 Jupyter nbconvert converts notebooks to various other formats
 using Jinja templates.
 .
 This package installs the library for Python 3.

Package: python3-nbformat
Description-md5: 8c77b81fcbbe4b8024dd7183d4224fb5
Description-en: Jupyter notebook format (Python 3)
 This software component contains the reference implementation of the Jupyter
 notebook format, and Python APIs to work with notebooks.
 .
 This package installs the library for Python 3.

Package: python3-nbsphinx
Description-md5: 9176017bf23f55370a5b24394d5e3a46
Description-en: Jupyter Notebook Tools for Sphinx -- Python 3
 nbsphinx is a Sphinx extension that provides a source parser for *.ipynb
 files. Custom Sphinx directives are used to show Jupyter Notebook code cells
 (and of course their results) in both HTML and LaTeX output.
 Un-evaluatednotebooks, i.e., notebooks without stored output cells, will be
 automatically executed during the Sphinx build process.
 .
 This package installs the library for Python 3.

Package: python3-nbxmpp
Description-md5: a5493fa5fe1a833bf0864475645d4cc3
Description-en: Non blocking Jabber/XMPP Python 3 library
 python-nbxmpp is a Python library that provides a way for Python applications
 to use Jabber/XMPP networks in a non-blocking way. This library is initialy a
 fork of xmpppy one, but using non-blocking sockets.
 .
 This is the Python 3 version of this library.

Package: python3-ncclient
Description-md5: d1fd3c958bccc13eab402c9edb4348cc
Description-en: Python library for NETCONF clients (Python 3)
 ncclient is a Python library that facilitates client-side scripting
 and application development around the NETCONF protocol.
 .
 NETCONF (Network Configuration Protocol) provides mechanisms to install,
 manipulate, and delete the configuration of network devices. Its operations
 are realized on top of a simple remote procedure call (RPC) layer.
 .
 The NETCONF protocol uses an Extensible Markup Language (XML) based data
 encoding for the configuration data as well as the protocol messages.
 .
 This package provides the Python 3 version of the library.

Package: python3-ndcube
Description-md5: e549e2111e6304c303a2dceb75b56606
Description-en: Package for multi-dimensional coordinate-aware arrays (Python 3)
 ndcube is a SunPy affiliated package for manipulating, inspecting and
 visualizing multi-dimensional contiguous and non-contiguous coordinate-aware
 data arrays. It combines data, uncertainties, units, metadata, masking, and
 coordinate transformations into classes with unified slicing and generic
 coordinate transformations and plotting/animation capabilities. It is
 designed to handle data of any number of dimensions and axis types (e.g.
 spatial, temporal, spectral, etc.) whose relationship between the array
 elements and the real world can be described by World Coordinate System (WCS)
 translations.
 .
 This is the Python 3 version of the package.

Package: python3-ndcube-doc
Description-md5: 853e4123a3e61b6ad59f208b8ebe30db
Description-en: Package for multi-dimensional coordinate-aware arrays (documentation)
 ndcube is a SunPy affiliated package for manipulating, inspecting and
 visualizing multi-dimensional contiguous and non-contiguous coordinate-aware
 data arrays. It combines data, uncertainties, units, metadata, masking, and
 coordinate transformations into classes with unified slicing and generic
 coordinate transformations and plotting/animation capabilities. It is
 designed to handle data of any number of dimensions and axis types (e.g.
 spatial, temporal, spectral, etc.) whose relationship between the array
 elements and the real world can be described by World Coordinate System (WCS)
 translations.
 .
 This is the common documentation package.

Package: python3-ndg-httpsclient
Description-md5: 6e6217087398480eff7018ee5c92e27b
Description-en: enhanced HTTPS support for httplib and urllib2 using PyOpenSSL for Python3
 ndg-httpsclient is a HTTPS client implementation for httplib and
 urllib2 based on PyOpenSSL. PyOpenSSL provides a more fully featured SSL
 implementation over the default provided with Python and importantly
 enables full verification of the SSL peer.
 .
 This is the Python3 package

Package: python3-neo
Description-md5: fa239962d364563d533b8061aede44cb
Description-en: Python IO library for electrophysiological data formats
 NEO stands for Neural Ensemble Objects and is a project to provide common
 classes and concepts for dealing with electro-physiological (in vivo
 and/or simulated) data to facilitate collaborative software/algorithm
 development. In particular Neo provides: a set a classes for data
 representation with precise definitions, an IO module with a simple API,
 documentation, and a set of examples.
 .
 NEO offers support for reading data from numerous proprietary file formats
 (e.g. Spike2, Plexon, AlphaOmega, BlackRock, Axon), read/write support for
 various open formats (e.g. KlustaKwik, Elan, WinEdr, WinWcp, PyNN), as well
 as support common file formats, such as HDF5 with Neo-structured content
 (NeoHDF5, NeoMatlab).
 .
 Neo's IO facilities can be seen as a pure-Python and open-source Neuroshare
 replacement.

Package: python3-neovim
Description-md5: a5c8edd2e468efe26034ff0306cb9680
Description-en: transitional dummy package
 This is a transitional dummy package.  It can safely be removed.

Package: python3-netcdf4
Description-md5: e34b73949ef3966b0f0ba92d68733944
Description-en: Python 3 interface to the netCDF4 (network Common Data Form) library
 NetCDF version 4 has many features not found in earlier versions of the
 library and is implemented on top of HDF5. This module can read and write
 files in both the new netCDF 4 and the old netCDF 3 format, and can create
 files that are readable by HDF5 clients. The API is modelled after
 Scientific.IO.NetCDF, and should be familiar to users of that module.
 .
 Most new features of netCDF 4 are implemented, such as multiple unlimited
 dimensions, groups and zlib data compression. All the new numeric data types
 (such as 64 bit and unsigned integer types) are implemented. Compound and
 variable length (vlen) data types are supported, but the enum and opaque data
 types are not. Mixtures of compound and vlen data types (compound types
 containing vlens, and vlens containing compound types) are not supported.
 .
 This package contains the netCDF 4 module for Python 3.

Package: python3-netdisco
Description-md5: 2a5a8befaea5e3f8ff9fa7d8ad5e1e4b
Description-en: Library to discover local devices and services (Python 3)
 This is a Python 3 library to discover local devices and services on the
 network. It allows one to scan on demand or offer a service that will scan the
 network in the background in a set interval.
 .
 Current methods of scanning:
 .
  - mDNS (includes Chromecast, Homekit)
  - uPnP
  - Plex Media Server using Good Day Mate protocol
  - Logitech Media Server discovery protocol
  - Daikin discovery protocol
  - Web OS discovery protocol

Package: python3-netfilter
Description-md5: 3d6fa0a3e764a957a254b96892a596dd
Description-en: Python module for manipulating netfilter rules (Python 3)
 This Python module acts as a wrapper around iptables to manipulate
 the Linux kernel's packet filtering tables.
 .
 Typical applications include building firewalls or network access
 controllers.
 .
 This package contains the module for Python 3.

Package: python3-netgen
Description-md5: a5cd80ea677cf4ad38dfa74aa3ea219e
Description-en: Automatic 3d tetrahedral mesh generator Python 3 bindings
 NETGEN is an automatic 3d tetrahedral mesh generator. It accepts
 input from constructive solid geometry (CSG) or boundary
 representation (BRep) from STL file format. The connection to a
 geometry kernel allows the handling of IGES and STEP files. NETGEN
 contains modules for mesh optimization and hierarchical mesh
 refinement.
 .
 This package contains Python 3 bindings.

Package: python3-netmiko
Description-md5: d13a009448cbeed43e542211a5a8129d
Description-en: multi-vendor library for SSH connections to network devices - Python 3.X
 This library simplifies Paramiko SSH connections to network devices. It
 provides support for the following devices:
  - Cisco IOS
  - Cisco IOS-XE
  - Cisco ASA
  - Cisco NX-OS
  - Cisco IOS-XR
  - Cisco WLC (limited testing)
  - Arista vEOS
  - HP ProCurve
  - HP Comware (limited testing)
  - Juniper Junos
  - Brocade VDX (limited testing)
  - F5 LTM (experimental)
  - Huawei (limited testing)
  - A10 (limited testing)
  - Avaya ERS (limited testing)
  - Avaya VSP (limited testing)
  - Dell-Force10 DNOS9 (limited testing)
  - OVS (experimental)
  - Enterasys (experimental)
  - Extreme (experiemental)
  - Fortinet (experimental)
  - Alcatel-Lucent SR-OS (experimental)
 .
 This package includes the module for Python 3.x.

Package: python3-networking-arista
Description-md5: fe696c6e1678cd80c34f8c7e0f385b2a
Description-en: OpenStack virtual network service - Arista plugin
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Python files for the Arista plugin.

Package: python3-networking-bagpipe
Description-md5: 65fb0a7313311c5e5a966052b84f62c4
Description-en: Driver and agent code to use BagPipe implementation (Python 3)
 Driver and agent code to use BaGPipe lightweight implementation
 of BGP-based VPNs as a backend for Neutron.
 .
 This package installs the library for Python 3.

Package: python3-networking-bgpvpn
Description-md5: 78f8f1b31b6039bace6f9737fc117b31
Description-en: BGP-MPLS VPN Extension for OpenStack Networking (Python 3)
 This project provides an API and Framework to interconnect BGP/MPLS VPNs
 to Openstack Neutron networks, routers and ports.
 .
 The Border Gateway Protocol and Multi-Protocol Label Switching are widely
 used Wide Area Networking technologies. The primary purpose of this project
 is to allow attachment of Neutron networks and/or routers to VPNs built in
 carrier provided WANs using these standard protocols. An additional purpose
 of this project is to enable the use of these technologies within the Neutron
 networking environment.
 .
 This package installs the library for Python 3.

Package: python3-networking-hyperv
Description-md5: df60fe0ea8a4ab58760a46f043f4107f
Description-en: OpenStack Networking Hyper-V ML2 mechanism driver - Python 3
 Neutron is a virtual network service for Openstack, and a part of
 Netstack. Just like OpenStack Nova provides an API to dynamically
 request and configure virtual servers, Neutron provides an API to
 dynamically request and configure virtual networks. These networks
 connect "interfaces" from other OpenStack services (e.g., virtual NICs
 from Nova VMs). The Neutron API supports extensions to provide
 advanced network capabilities (e.g., QoS, ACLs, network monitoring,
 etc.)
 .
 This package provides the Hyper-V mechanism driver for Neutron.

Package: python3-networking-l2gw
Description-md5: 4f6371090ef49fd18becc33b0ca90aab
Description-en: OpenStack virtual network service - L2 gateway extension
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Python 3 module for the Layer 2 gateway extension.

Package: python3-networking-mlnx
Description-md5: 009eee372b7b3472c07b8097d6d94848
Description-en: OpenStack virtual network service - Mellanox plugin Python 2.7 files
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Python 2.7 files for the Mellanox plugin.

Package: python3-networking-odl
Description-md5: 62f3930ec7d04d1c2e37bdf774e05a75
Description-en: OpenStack Networking OpenDayLight ML2 mechanism driver
 Neutron is a virtual network service for Openstack, and a part of
 Netstack. Just like OpenStack Nova provides an API to dynamically
 request and configure virtual servers, Neutron provides an API to
 dynamically request and configure virtual networks. These networks
 connect "interfaces" from other OpenStack services (e.g., virtual NICs
 from Nova VMs). The Neutron API supports extensions to provide
 advanced network capabilities (e.g., QoS, ACLs, network monitoring,
 etc.)
 .
 This package provides the Python 3 module for the OpenDayLight
 mechanism driver for Neutron.

Package: python3-networking-sfc
Description-md5: bf8a77372a3cd3b1a36aa34a70bfe9a9
Description-en: OpenStack virtual network service - SFC extension
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Python 3 module for the Service Function Chaining
 (SFC) extension.

Package: python3-networkmanager
Description-md5: 1fa1329fee919ebdbf8cc1c7108cf018
Description-en: Python 3 interface to the NetworkManager D-Bus interface
 python-networkmanager wraps NetworkManager's D-Bus interface so you can be
 less verbose when talking to NetworkManager from Python. All interfaces have
 been wrapped in classes, properties are exposed as Python properties and
 function calls are forwarded to the correct interface.
 .
 See docs/index.rst for the documentation. An HTML version can be found on
 http://packages.python.org/python-networkmanager/
 .
 This package provides the Python 3.x module.

Package: python3-neuron
Description-md5: e13f492c7dbdb166b986a634161db152
Description-en: Neuron simulation environment - Python bindings
 NEURON is a simulation environment for modeling individual neurons and networks
 of neurons. It provides tools for conveniently building, managing, and using
 models in a way that is numerically sound and computationally efficient. It is
 particularly well-suited to problems that are closely linked to experimental
 data, especially those that involve cells with complex anatomical and
 biophysical properties.
 .
 This package contains Python bindings for NEURON.

Package: python3-neutron-dynamic-routing
Description-md5: e7fdf1d9a521f5b2c24b5f7b01c8cfce
Description-en: OpenStack Neutron Dynamic Routing - Python 3 library
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 Neutron dynamic routing enables advertisement of self-service (private)
 network prefixes to physical network devices that support dynamic routing
 protocols such as routers, thus removing the conventional dependency on static
 routes.
 .
 It advertises three classes of routes:
  * Host routes for floating IP addresses hosted on non-DVR routers, the
    nexthop is the centralized router.
  * Host routes for floating IP addresses hosted on DVR routers, the nexthop is
    the appropriate compute node.
  * Prefix routes for directly routable tenant networks with address scopes,
    the nexthop is the centralized router, the same for DVR and CVR.
 .
 Neutron dynamic routing consists of service plug-in and agent. The service
 plug-in implements the Networking service extension and the agent manages
 dynamic routing protocol peering sessions. The plug-in communicates with the
 agent through RPC.
 .
 This package provides the Python 3 library.

Package: python3-neutron-fwaas-dashboard
Description-md5: 26b797b1135eeac37acda5911511ef99
Description-en: OpenStack Firewall as a Service - dashboard plugin
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package contains the Neutron FWaaS OpenStack dashboard plugin.

Package: python3-neutron-taas
Description-md5: 5da97a4c04d6011028a106740459eb85
Description-en: OpenStack virtual network service - Tap-as-a-Service extension
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 Tap-as-a-Service (TaaS) is an extension to the OpenStack network service
 (Neutron). It provides remote port mirroring capability for tenant virtual
 networks.
 .
 Port mirroring involves sending a copy of packets entering and/or leaving one
 port to another port, which is usually different from the original
 destinations of the packets being mirrored.
 .
 This service has been primarily designed to help tenants (or the cloud
 administrator) debug complex virtual networks and gain visibility into their
 VMs, by monitoring the network traffic associated with them. TaaS honors
 tenant boundaries and its mirror sessions are capable of spanning across
 multiple compute and network nodes. It serves as an essential infrastructure
 component that can be utilized for supplying data to a variety of network
 analytics and security applications (e.g. IDS).
 .
 This package provides the Python 2 files for the Tap-as-a-Service (TaaS)
 extension.

Package: python3-neutron-tempest-plugin
Description-md5: e96ec79f7adc559285ac355321c41559
Description-en: OpenStack Integration Test Suite - Neutron plugin
 Tempest is a set of integration tests to be run against a live Openstack
 cluster in order to make sure that all components are working as expected.
 Tempest will start and stop virtual machine in order to check that your
 cloud is working as expected.
 .
 This package contains the OpenStack networking (aka: Neutron) plugin.

Package: python3-neutron-vpnaas-dashboard
Description-md5: 26139e3052f5a9c92fb386e73168b9cb
Description-en: OpenStack VPN as a Service - dashboard plugin
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 Neutron VPNaaS provides Virtual Private Network as a Service (VPNaaS)
 capabilities to Neutron.
 .
 This package contains the Neutron VPNaaS OpenStack dashboard plugin.

Package: python3-nfs-ganesha
Description-md5: b4ab9e0f4dd260595e0a09065f0946b0
Description-en: Python 3 bindings for nfs-ganesha
 NFS-GANESHA is a NFS Server running in user space with a large cache.
 It comes with various backend modules to support different file systems
 and namespaces. Supported name spaces are POSIX, PROXY, SNMP, FUSE-like,
 HPSS, LUSTRE, XFS and ZFS.
 .
 This package contains Python 3 bindings for nfs-ganesha admin

Package: python3-nftables
Description-md5: 163efcd8a2defdfa0f6887c346e8955d
Description-en: nftables/libnftables python3 module
 The libnftables library provides high level semantics to interact with the
 nftables framework by the Netfilter project.
 .
 nftables replaces the old popular iptables, ip6tables, arptables and ebtables.
 .
 Netfilter software and nftables in particular are used in applications such
 as Internet connection sharing, firewalls, IP accounting, transparent
 proxying, advanced routing and traffic control.
 .
 A Linux kernel >= 3.13 is required. However, >= 4.14 is recommended.
 .
 This package contains the libnftables python3 bindings.

Package: python3-ngs
Description-md5: 339536e9adbe8549aa1efd268c2ee905
Description-en: Next Generation Sequencing language Bindings (Python3 bindings)
 NGS is a new, domain-specific API for accessing reads, alignments and
 pileups produced from Next Generation Sequencing. The API itself is
 independent from any particular back-end implementation, and supports
 use of multiple back-ends simultaneously. It also provides a library for
 building new back-end "engines". The engine for accessing SRA data is
 contained within the sister repository ncbi-vdb.
 .
 The API is currently expressed in C++, Java and Python languages. The
 design makes it possible to maintain a high degree of similarity between
 the code in one language and code in another - especially between C++
 and Java.
 .
 Python3 bindings.

Package: python3-nibabel
Description-md5: eba85b71688d495c2c568f6575f9b5e2
Description-en: Python3 bindings to various neuroimaging data formats
 NiBabel provides read and write access to some common medical and
 neuroimaging file formats, including: ANALYZE (plain, SPM99, SPM2), GIFTI,
 NIfTI1, MINC, as well as PAR/REC. The various image format classes give full
 or selective access to header (meta) information and access to the image data
 is made available via NumPy arrays.  NiBabel is the successor of PyNIfTI.

Package: python3-nipy
Description-md5: 1b32129c672ebf51bd9d3ef07a7f5f16
Description-en: Analysis of structural and functional neuroimaging data
 NiPy is a Python-based framework for the analysis of structural and
 functional neuroimaging data.  It provides functionality for
  - General linear model (GLM) statistical analysis
  - Combined slice time correction and motion correction
  - General image registration routines with flexible cost functions,
    optimizers and re-sampling schemes
  - Image segmentation
  - Basic visualization of results in 2D and 3D
  - Basic time series diagnostics
  - Clustering and activation pattern analysis across subjects
  - Reproducibility analysis for group studies

Package: python3-nipy-lib
Description-md5: 7af31728c220de9c3024ef17afda9a27
Description-en: Analysis of structural and functional neuroimaging data (compiled modules)
 NiPy is a Python-based framework for the analysis of structural and
 functional neuroimaging data.
 .
 This package provides architecture-dependent builds of the libraries.

Package: python3-nipy-lib-dbg
Description-md5: 2c9e88c0c6695315147e9d2a9fdd7e47
Description-en: Analysis of structural and functional neuroimaging data (debug symbols)
 NiPy is a Python-based framework for the analysis of structural and
 functional neuroimaging data.
 .
 This package provides debugging symbols for architecture-dependent
 builds of the libraries.

Package: python3-nitime
Description-md5: a66a7e6036860163ef6f11111de354b5
Description-en: timeseries analysis for neuroscience data (nitime)
 Nitime is a Python module for time-series analysis of data from
 neuroscience experiments.  It contains a core of numerical algorithms
 for time-series analysis both in the time and spectral domains, a set
 of container objects to represent time-series, and auxiliary objects
 that expose a high level interface to the numerical machinery and
 make common analysis tasks easy to express with compact and
 semantically clear code.

Package: python3-nlopt
Description-md5: f7749fc3345d2b12bfaf5159c94a13b5
Description-en: nonlinear optimization library -- Python 3 bindings
 NLopt is a free/open-source library for nonlinear optimization, providing
 a common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms. Its features include:
 .
    * Callable from C, C++, Fortran, GNU Octave, Python, GNU Guile, GNU R.
    * A common interface for many different algorithms
    * Support for large-scale optimization.
    * Both global and local optimization algorithms.
    * Algorithms using function values only (derivative-free) and also
      algorithms exploiting user-supplied gradients.
    * Algorithms for unconstrained optimization, bound-constrained optimization,
      and general nonlinear inequality/equality constraints.
 .
 This package contains the Python 3 bindings.

Package: python3-nltk
Description-md5: 98b8b4aedf8d1739d4ddb7af7b2e0bc0
Description-en: Python3 libraries for natural language processing
 The Natural Language Toolkit (NLTK) is a leading platform for building
 Python programs to work with human language data. It provides easy-to-use
 interfaces to over 50 corpora and lexical resources such as WordNet,
 along with a suite of text processing libraries for classification,
 tokenization, stemming, tagging, parsing, and semantic reasoning.
 .
 This package contains the modules for Python3.

Package: python3-nmap
Description-md5: 099d70767a4fecff68dd0297b8262488
Description-en: Python3 interface to the Nmap port scanner
 It helps manipulating nmap scan results and can
 be useful to systems administrators to
 automate scanning task and reports.
 It also supports nmap script outputs.

Package: python3-nmea2
Description-md5: d565a485923a789684ba35ff909a6b19
Description-en: Python library for the NMEA 0183 protocol
 A Python Library for the NMEA 0183 protocol
 .
 NMEA 0183 is a combined electrical and data specification for communication
 between marine electronics such as echo sounder, sonars, anemometer,
 gyrocompass, autopilot, GPS receivers and many other types of instruments.

Package: python3-nodelet
Description-md5: 9b5040f17f1e8b95f5eabe7ce335df10
Description-en: Robot OS nodelet library - service files - Python 3
 This package is part of Robot OS (ROS). Nodelet is designed to
 provide a way to run multiple algorithms in the same process with
 zero copy transport between algorithms. The nodelet base class needed
 for implementing a nodelet, and the NodeletLoader class used for
 instantiating nodelets are provided.
 .
 This package contains the Python 3 interface.

Package: python3-nodelet-topic-tools
Description-md5: cd72753c2e49fa2a30e6fcb80e11b68c
Description-en: Robot OS nodelet_topic_tools library - Python 3 service files
 This package is part of Robot OS (ROS). Nodelet is designed to
 provide a way to run multiple algorithms in the same process with
 zero copy transport between algorithms.
 .
 This package contains the generated Python 3 package for common
 nodelet tools such as a mux, demux and throttle.

Package: python3-noise
Description-md5: 016519c927de06059c8652aa992c8502
Description-en: Perlin noise for image generation
 Perlin noise is ubiquitous in modern CGI. Used for procedural texturing,
 animation, and enhancing realism, Perlin noise has been called the "salt" of
 procedural content. Perlin noise is a type of gradient noise, smoothly
 interpolating across a pseudo-random matrix of values.
 .
 The noise library includes native-code implementations of Perlin "improved"
 noise and Perlin simplex noise. It also includes a fast implementation of
 Perlin noise in GLSL, for use in OpenGL shaders. The shader code and many of
 the included examples require Pyglet (http://www.pyglet.org), the native-code
 noise functions themselves do not, however.

Package: python3-nordugrid-arc
Description-md5: fc38f2862c8e98451ce06ede3bdd3c95
Description-en: ARC Python wrapper
 NorduGrid is a collaboration aiming at development, maintenance and
 support of the middleware, known as the Advanced Resource
 Connector (ARC).
 .
 Python bindings for ARC.

Package: python3-nose
Description-md5: 6d797fcd415c26ef21491e78c602db0f
Description-en: test discovery and running for Python3 unittest
 nose provides an alternate test discovery and running process for Python3
 unittest, one that is intended to mimic the behavior of py.test as
 much as is reasonably possible without resorting to too much magic

Package: python3-nose-cov
Description-md5: d4c0ff0eed6984cf888c1a14d943d038
Description-en: nose plugin for coverage reporting - python 3 flavor
 This plugin produces coverage reports. It also supports coverage of
 subprocesses.
 .
 This is the Python 3 compatible package.

Package: python3-nose-exclude
Description-md5: a643ac76100ce501c201a889d38c64f4
Description-en: exclude specific directories from nosetests runs - Python 3.x
 nose-exclude is a Nose plugin that allows you to easily specify directories to
 be excluded from testing. The --exclude-dir= option is made available after
 installation of the plugin. The option may be used multiple times to exclude
 multiple directories from testing. The directory paths provided may be
 absolute or relative.
 .
 This is the Python 3 version.

Package: python3-nose-json
Description-md5: 9af4516e1b3bb695c0501c2bc826eec1
Description-en: nose pugin for json reporting - python 3 flavor
 This plugin produces json reports with nose.
 .
 This is the Python 3 compatible packages.

Package: python3-nose-parameterized
Description-md5: 1d0cfadce74c46fa104e0b271c26b37d
Description-en: Decorator for parameterized testing with Nose - Python 3.x
 nose-parameterized is a decorator for parameterized testing of Python code
 with nose.
 .
 The provided decorators make it simple to pass lists, iterables, tuples or
 callables to the test functions. This allows you to separate the data from the
 test without having to subclass unittest.testcase.
 .
 This package contains the Python 3.x module.

Package: python3-nose-random
Description-md5: d879f83eed5aa693868a99918474828e
Description-en: nose plugin to facilitate randomized unit testing with Python 3
 Python nose-random is designed to facilitate Monte-Carlo style unit testing.
 The idea is to improve testing by running your code against a large number
 of randomly generated input scenarios.
 .
 Even with random testing it's important that test success/failure is
 reproducible, otherwise it's hard to
 .
   * know if you've fixed a failing test
   * know if an test fails only on some machines or configurations and not
     others
   * debug a failing test
 .
 nose-random avoids this pitfall because it
 .
   * uses a fixed seed so that each test run is identical
   * tells you which scenario caused a test to fail
   * lets you to run the test only on a specific scenario to facilitate
     debugging
 .
 This package provides the Python 3 version of the module.

Package: python3-nose-timer
Description-md5: e663af3ec4a6a86329a3a21193f7b748
Description-en: timer plugin for nosetests - Python 3.x
 A timer plugin for nosetests that answers the question: how much time does
 every test take? Run nosetests with the --with-timer flag, and you will see
 a list of the tests and the time spent by each one (in seconds):
 .
  myapp.tests.ABigTestCase.test_the_world_is_running: 56.0010s
  myapp.tests.ABigTestCase.test_the_rest_of_the_galaxy_is_running: 2356.0010s
 .
 It is also possible to list the 10 slowest tests this way:
 .
  nosetests --with-timer --timer-top-n 10
 .
 This package contains the Python 3.x module.

Package: python3-nose-yanc
Description-md5: 8a68a0b70073d75930017f61f11ee55d
Description-en: Color output plugin for nose
 YANC is color output plugin for nose that plays nicely with others.

Package: python3-nose2
Description-md5: bcd50cbcf29cd2dc397ff059f680cafb
Description-en: Next generation of nicer testing for Python3
 Nose2 is testing library aimed at being compatible with unittest and
 providing additional features, such as timed tests, better testing for
 exceptions. It also provides fixture methods at the package, module, class or
 test case level, depending on the developer's needs.
 .
 At an extended level, nose2 also provides a lot of builtin plugins to help
 with specific needs, including tests coverage, profiling, or doctests.
 .
 Nose2 is based on the Nose testsuite, which is no longer developed. It
 provides a better plugin API and supports Python2 and Python3 from the same
 codebase.
 .
 This package provides the python3 version of nose2.

Package: python3-nose2-cov
Description-md5: 62ba8b269d4accb92dd5ac4ad6a7c5df
Description-en: nose2 plugin for coverage reporting
 This plugin produces coverage reports. It also supports coverage of
 subprocesses.
 .
 This is the Python 3 compatible package.

Package: python3-nosehtmloutput
Description-md5: 48611e5b348bc10b49ab774c3d785b36
Description-en: plugin to produce test results in html - Python 3.x
 A plugin for nosetests that will write out test results to results.html. The
 code is adapted from the example html output plugin at:
  https://github.com/nose-devs/nose/blob/master/examples/html_plugin/htmlplug.py
 and the pyunit Html test runner at
  http://tungwaiyip.info/software/HTMLTestRunner.html.
 .
 This package contains the Python 3.x module.

Package: python3-nosexcover
Description-md5: ce557cdb562227c71481a9644835606b
Description-en: Add Cobertura-style XML coverage report to nose (Python3 version)
 A companion to the built-in nose.plugins.cover, this plugin will write
 out an XML coverage report to a file named coverage.xml.
 .
 It will honor all the options you pass to the Nose coverage plugin,
 especially --cover-package.
 .
 This package contains the Python 3 version of the module.

Package: python3-notebook
Description-md5: 5da681a9ca3b32654d12c11e9bca9ffe
Description-en: Jupyter interactive notebook (Python 3)
 The Jupyter Notebook is a web application that allows you to create and
 share documents that contain live code, equations, visualizations, and
 explanatory text. The Notebook has support for multiple programming
 languages, sharing, and interactive widgets.
 .
 This package contains the Python 3 library.

Package: python3-notify2
Description-md5: 73b582537fd3d142468d06ce460d786b
Description-en: desktop notifications API for Python 3
 notify2 provides a Python interface to the Freedesktop notifications system,
 which allows programs to display information to the user in an unobtrusive way.
 Notifications are sent over DBus to a notification daemon, which is responsible
 for presenting them to the user.
 .
 notify2 is intended as a replacement for pynotify (package python-notify), and
 its interface is largely copied from there, although it isn't a complete clone.
 .
 This is the Python 3 version of the package.

Package: python3-notmuch
Description-md5: 4928a39a6d2a81900b936a3a2e23214f
Description-en: Python 3 interface to the notmuch mail search and index library
 Notmuch is a system for indexing, searching, reading, and tagging
 large collections of email messages in maildir or mh format. It uses
 the Xapian library to provide fast, full-text search with a very
 convenient search syntax.
 .
 This package provides a Python 3 interface to the notmuch
 functionality, directly interfacing with a shared notmuch library.

Package: python3-nototools
Description-md5: 43a2c6947a62e837a3b446e69cf0f7f9
Description-en: font support tools from the Noto Fonts project
 Noto is a collection of font families, each visually harmonized across
 scripts.
 .
 The name "Noto" is short for "No Tofu", describing the aim of covering
 all living Unicode scripts.
 .
 Tofu (豆腐) is Japanese jargon for unicode replacement character "�"
 (U+FFFD) often displayed as replacement for unassigned or unknown
 characters.
 .
 This package contains Python scripts used to maintain the fonts.

Package: python3-novnc
Description-md5: b0c6a6afac84b7f718cfbd184ca21a58
Description-en: HTML5 VNC client - Python 3.x libraries
 noVNC is a HTML5 VNC (WebSockets, Canvas) with encryption (wss://) support
 client that runs well in any modern browser including mobile browsers
 (iPhone/iPad and Android). More than 16 companies/projects have integrated
 noVNC into their products including Ganeti Web Manager, OpenStack, and
 OpenNebula.
 .
 This package installs the core Python 3.x parts of NoVNC.

Package: python3-nox
Description-md5: 3069ba8986ceaa295be457b305591e0d
Description-en: Flexible test automation (Python3 version)
 Nox is a command-line tool that automates testing in multiple Python
 environments, similar to tox. Unlike tox, Nox uses a standard Python file for
 configuration.
 It will automatically create virtualenv with the appropriate interpreter,
 install the specified dependencies, and run the commands in order.
 .
 This package contains the Python 3 version of the library.

Package: python3-nss
Description-md5: 06b8d6d8777a6a45f2e520304567d7cd
Description-en: Python3 bindings for Network Security Services (NSS)
 This package provides Python3 bindings for Network Security Services (NSS)
 and the Netscape Portable Runtime (NSPR).
 .
 NSS is a set of libraries supporting security-enabled client and
 server applications. Applications built with NSS can support SSL v2
 and v3, TLS, PKCS #5, PKCS #7, PKCS #11, PKCS #12, S/MIME, X.509 v3
 certificates, and other security standards. Specific NSS
 implementations have been FIPS-140 certified.

Package: python3-ntlm-auth
Description-md5: 1db0fe89d0c79f3cc914cf9ab99c8d10
Description-en: NTLM low-level Python library
 This library handles the low-level details of NTLM authentication for
 use in authenticating with a service that uses NTLM. It will create
 and parse the 3 different message types in the order required and
 produce a base64 encoded value that can be attached to the HTTP
 header.
 .
 The goal of this library is to offer full NTLM support including
 signing and sealing of messages as well as supporting MIC for message
 integrity and the ability to customise and set limits on the messages
 sent.

Package: python3-ntp
Description-md5: c4d6513317681c5e7fd330d6d5438546
Description-en: Python 3 NTP Helper Classes
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, or similar time signals.
 .
 This package contains the Python "ntp" module, which contains helper
 classes for NTP utilities written in Python.
 .
 This is part of NTPsec.  NTPsec is a secure, hardened, and improved
 implementation derived from the original NTP project.

Package: python3-ntplib
Description-md5: cd83c15448982ccf31ba96b0a24aac2d
Description-en: Python NTP library (Python 3.x)
 This module offers a simple interface to query NTP servers from Python.
 .
 It also provides utility functions to translate NTP fields values to
 text. It is pure Python, and only depends on core modules.
 .
 This package contains the Python 3.x module.

Package: python3-nudatus
Description-md5: 6866e273c6906f86ac34927f7fcb41cb
Description-en: module to remove comments from Python 3 scripts
 Nudatus was created to help fit longer, heavily-documented Python programs
 onto the micro:bit single-board computer (SBC). It should be suitable for
 similar platforms with restricted storage capacity.
 .
 Although the library is designed to be embedded, this package also provides
 the `nudatus` CLI utility.
 .
 Nudatus uses the tokenizer built into Python, so only supports the syntax of
 the version of Python it's running on.
 .
 This package installs the library and CLI utility for Python 3.

Package: python3-num2words
Description-md5: 60f1299c500c5c0a651e401bbcc8a91f
Description-en: Convert numbers to words in multiple languages (Python 3)
 This package contains a Python library that converts numbers like ``42`` to
 words like ``forty-two``.  It supports multiple languages and can even
 generate ordinal numbers like ``forty-second`` (although this last feature is
 a bit buggy for some languages at the moment).
 .
 This package installs the library for Python 3.

Package: python3-numba
Description-md5: 4171f6228d88332177aa544844f33488
Description-en: native machine code compiler for Python 3
 Numba compiles native machine code instructions from Python programs at
 runtime using the LLVM compiler infrastructure. It could be easily employed
 by decorating individual computation intensive functions in the Python code.
 Numba could significantly speed up the performance of computations, and
 optionally supports compilation to run on GPU processors through Nvidia's
 CUDA platform.
 It integrates well with the Python scientific software stack, and
 especially recognizes Numpy arrays.
 .
 This package contains the modules for Python 3.

Package: python3-numcodecs
Description-md5: efccce064f7c6c71ce9472166c38d382
Description-en: buffer compression and transformation codecs for Python
 Numcodecs is a Python package providing buffer compression and
 transformation codecs for use in data storage and communication
 applications. These include:
 .
   - Compression codecs, e.g., Zlib, BZ2, LZMA and Blosc.
   - Pre-compression filters, e.g., Delta, Quantize, FixedScaleOffset,
     PackBits, Categorize.
   - Integrity checks, e.g., CRC32, Adler32.
 .
 All codecs implement the same API, allowing codecs to be organized into
 pipelines in a variety of ways.

Package: python3-numexpr
Description-md5: 9f5986b01d11f6090f9a74fdea6dfb49
Description-en: Fast numerical array expression evaluator for Python 3 and NumPy
 Numexpr package evaluates multiple-operator array expressions many
 times faster than NumPy can. It accepts the expression as a string,
 analyzes it, rewrites it more efficiently, and compiles it to faster
 Python code on the fly. It's the next best thing to writing the
 expression in C and compiling it with a specialized just-in-time
 (JIT) compiler, i.e. it does not require a compiler at runtime.
 .
 This package contains numexpr for Python 3.

Package: python3-numexpr-dbg
Description-md5: 8c2701c9a73ea3eb8d3fab648f4f7e19
Description-en: Fast numerical array expression evaluator for Python 3 and NumPy (debug ext)
 Numexpr package evaluates multiple-operator array expressions many
 times faster than NumPy can. It accepts the expression as a string,
 analyzes it, rewrites it more efficiently, and compiles it to faster
 Python code on the fly. It's the next best thing to writing the
 expression in C and compiling it with a specialized just-in-time
 (JIT) compiler, i.e. it does not require a compiler at runtime.
 .
 This package contains the extension built for the Python 3 debug
 interpreter.

Package: python3-numpydoc
Description-md5: 893c75e53d1af3c028e73ee6e0c07a62
Description-en: Sphinx extension to support docstrings in Numpy format -- Python3
 This package defines several extensions for the Sphinx documentation
 system, shipped in the numpydoc Python package. In particular, these provide
 support for the Numpy docstring format in Sphinx.
 .
 This package provides the Python 3 version.

Package: python3-numpysane
Description-md5: 546fe782aadfb5983c05b240a6d9b005
Description-en: more-reasonable core functionality for numpy
 numpysane is a collection of core routines to provide basic numpy functionality
 in a more reasonable way. This package provides the Python3 module.

Package: python3-nwdiag
Description-md5: d3e9146f8dc2170b7cc32b4f7a43fdb8
Description-en: generate network-diagram image file for Python3
 For Python3.
 Generate network-diagram from dot like text with multilingualization for
 node-label. But this supports utf-8 only. And get some examples and generated
 images.

Package: python3-nxs
Description-md5: 59b05ce8e4ee1df6bf1c8960d0c1e9f4
Description-en: NeXus scientific data file format - Python 3 binding
 NeXus is a common data format for neutron, X-ray, and muon science. It
 is being developed as an international standard by scientists and
 programmers representing major scientific facilities in Europe, Asia,
 Australia, and North America in order to facilitate greater cooperation
 in the analysis and visualization of neutron, X-ray, and muon data.
 .
 This is the package containing the Python 3 bindings.

Package: python3-oauth2client
Description-md5: fefa01b53b1c21c34d394695e37510e9
Description-en: OAuth 2.0 client library - Python 3.x
 The oauth2client is a client library for OAuth 2.0.
 .
 OAuth is an open standard for authorization. OAuth provides a method for
 clients to access server resources on behalf of a resource owner (such as a
 different client or an end-user). It also provides a process for end-users to
 authorize third-party access to their server resources without sharing their
 credentials (typically, a username and password pair), using user-agent
 redirections.
 .
 OAuth is a service that is complementary to, and therefore distinct from,
 OpenID. OAuth is also distinct from OATH, which is a reference architecture
 for authentication (i.e. not a standard).
 .
 This package provides the Python 3.x module.

Package: python3-objgraph
Description-md5: 615e8a368de58a1a4dd63df17d2c1577
Description-en: Module for exploring Python object reference graphs
 objgraph is a module that lets you visually explore Python object graphs.
 .
 It can be used for counting and statistics, finding root references
 responsible for large object trees and export the object reference graphs in
 graphviz format.

Package: python3-obsub
Description-md5: 9855454cdd1430a816039460ed54fbdf
Description-en: Python 3.x module that implements the observer pattern via a decorator
 The @event decorator makes functions or methods "observable". Consuming code
 can subscribe callbacks to these decorated callables, and the callbacks will be
 triggered every time the callables are invoked.
 .
 This package sports the Python 3 module.

Package: python3-octavia
Description-md5: 54d77733b5a5e3d1070da1f11407c04a
Description-en: OpenStack Load Balancer as a Service - Python libraries
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package contains the Python files and libraries.

Package: python3-octavia-dashboard
Description-md5: f4182ff16cc73caa804288b0f83036bd
Description-en: OpenStack Load Balance as a service - dashboard plugin
 Openstack Load Balancer as a Service, codenamed Octavia was borne
 out of the Neutron LBaaS project. Its conception influenced
 the transformation of the Neutron LBaaS project, as Neutron LBaaS
 moved from version 1 to version 2. Starting with the Liberty
 release of OpenStack, Octavia has become the reference implementation
 for Neutron LBaaS version 2.
 .
 Octavia accomplishes its delivery of load balancing services by
 managing a fleet of virtual machines, containers, or bare metal
 servers—collectively known as amphorae— which it spins up on demand.
 This on-demand, horizontal scaling feature differentiates Octavia from
 other load balancing solutions, thereby making Octavia truly
 suited “for the cloud.”.
 .
 This package contains the OpenStack dashboard plugin.

Package: python3-octavia-lib
Description-md5: 0b23de935bae5a2715d247461fa7fa45
Description-en: Library to support Octavia provider drivers
 Octavia provides the Load Balancer as a Service for
 OpenStack clouds.
 .
 This python module provides a python library for Octavia
 LBaaS provider driver developers.
 .
 This package contains the Python 3 module.

Package: python3-odb-api
Description-md5: f622e67d2b9c8aa7dba1c37d16377a8a
Description-en: Python Observational Data processing API for meteorology
 ODB API is a software developed at ECMWF for encoding and processing of
 observational data. It includes a SQL filtering and statistics engine,
 command line tools and APIs for C/C++, Fortran and Python.
 .
 This package provides the Python wrappers to the ODB API.

Package: python3-odf
Description-md5: 5bfaa51bb25352b2ea61da1c157cf2a3
Description-en: Python3 API to manipulate OpenDocument files
 Odfpy is a library to read and write OpenDocument v. 1.1 files.
 The main focus has been to prevent the programmer from creating invalid
 documents. It has checks that raise an exception if the programmer adds
 an invalid element, adds an attribute unknown to the grammar, forgets to
 add a required attribute or adds text to an element that doesn't allow it.
 .
 These checks and the API itself were generated from the RelaxNG
 schema, and then hand-edited. Therefore the API is complete and can
 handle all ODF constructions.
 .
 In addition to the API, there are a few scripts:
 .
  - csv2ods - Create OpenDocument spreadsheet from comma separated values
  - mailodf - Email ODF file as HTML archive
  - odf2xhtml - Convert ODF to (X)HTML
  - odf2mht - Convert ODF to HTML archive
  - odf2xml - Create OpenDocument XML file from OD? package
  - odfimgimport - Import external images
  - odflint - Check ODF file for problems
  - odfmeta - List or change the metadata of an ODF file
  - odfoutline - Show outline of OpenDocument
  - odfuserfield - List or change the user-field declarations in an ODF file
  - xml2odf - Create OD? package from OpenDocument in XML form

Package: python3-odil
Description-md5: edb149e886b0c597389ca24fb21a8ca8
Description-en: C++11 library for the DICOM standard (Python 3 wrappers)
 Odil leverages C++ constructs to provide a user-friendly API of the
 different parts of the DICOM standard. Included in Odil are exception-based
 error handling, generic access to datasets elements, standard JSON and XML
 representation of datasets, and generic implementation of messages, clients
 and servers for the various DICOM protocols.
 .
 This package contains the Python 3 wrappers.

Package: python3-odoorpc
Description-md5: 5f3dc2be82e7f79ee8ba379601c15c03
Description-en: pilot Odoo servers through RPC (Python 3)
 OdooRPC is a Python module providing an easy way to pilot your Odoo
 (formerly known as OpenERP or TinyERP) servers through RPC.
 .
 Features supported:
  - access to all data model methods (even browse) with an API similar
    to the server-side API,
  - use named parameters with model methods,
  - user context automatically sent providing support for
    internationalization,
  - browse records,
  - execute workflows,
  - manage databases,
  - reports downloading,
  - JSON-RPC protocol (SSL supported)
 .
 OdooRPC is a modern alternative to the older OERPLib by the same
 author. It supports both Python 2 and 3.
 .
 This package provides the module for Python 3.x.

Package: python3-offtrac
Description-md5: 1dce6342491f9f6290e85c04e618343f
Description-en: Python-based xmlrpc client library for trac instances (Python 3)
 The Offtrac library aims to be a python-based xmlrpc client library for
 trac instances. It offers the !TracServer class, used for interaction with a
 Trac instance. It supports ticket and milestone queries and offers means for
 creating new tickets and milestones.
 .
 This package installs the library for Python 3.

Package: python3-ofxclient
Description-md5: 825dd8dd06267800592d32cdc3517301
Description-en: OFX client for dowloading transactions from banks
 Simple ofxclient command line utility and OFX client libraries for development.

Package: python3-ofxhome
Description-md5: 040534906c508f0a3fe987aa55294598
Description-en: ofxhome.com financial institution lookup REST client (Python 3)
 ofxhome is a REST client for the web service provided by ofxhome.com.
 .
 ofxhome.com provides a way to discover the Open Financial Exchange
 (OFX) URLs and financial institution IDs for banks and other
 financial institutions.
 .
 ofxhome is a sort of "DNS" for financial institution OFX URLs and
 IDs.
 .
 This client by itself is not all that useful unless you are coupling
 it with software that needs this lookup capability.
 .
 This package is for Python 3.

Package: python3-ofxparse
Description-md5: 0d7d02b55b5e9689b4584141778b53ab
Description-en: parser for Open Financial Exchange (.ofx) format files
 ofxparse is a parser for Open Financial Exchange (.ofx) format files.
 OFX files are available from almost any online banking site, so they
 work well if you want to pull together your finances from multiple
 sources. Online trading accounts also provide account statements in
 OFX files.
 .
 There are three different types of OFX files, called BankAccount,
 CreditAccount and InvestmentAccount files. This library has been
 tested with real-world samples of all three types. If you find a file
 that does not work with this library, please consider contributing the
 file so ofxparse can be improved. See the Help! section below for
 directions on how to do this.

Package: python3-ogre-1.12
Description-md5: 9049ffc0c34f953c77854e014271b0d5
Description-en: 3D Object-Oriented Graphics Rendering Engine (Python3)
 OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible
 3D engine written in C++ designed to make it easier and more intuitive for
 developers to produce applications utilising hardware-accelerated 3D
 graphics. The class library abstracts all the details of using the underlying
 system libraries like Direct3D and OpenGL and provides an interface based on
 world objects and other intuitive classes.
 .
 This package contains the bindings for Python 3.

Package: python3-olm
Description-md5: 283263b31b0af5b822f9b7a0a1300661
Description-en: Python bindings for the olm Double Ratchet implementation
 Olm is an implementation of the Double Ratchet cryptographic ratchet described
 by https://whispersystems.org/docs/specifications/doubleratchet/, written in C
 and C++11 and exposed as a C API.
 .
 This library also includes an implementation of the Megolm cryptographic
 ratchet which is intended for encrypted messaging applications where there
 may be a large number of recipients of each message, thus precluding the use of
 peer-to-peer encryption systems such as Olm.
 .
 Megolm is the end-to-end encryption system for the Matrix communications
 protocol.
 .
 This package contains the Python 3 bindings for libolm.

Package: python3-omemo
Description-md5: c73a7543306ea2aa6dfa8ec375fe414a
Description-en: Python 3 implementation of the OMEMO protocol
 This python library offers an open implementation of the OMEMO Multi-End
 Message and Object Encryption protocol as specified here.
 .
 Goals of this implementation are:
 .
  * Do not use libsignal but offer a solid alternative to it
  * Stay away from GPL (not possible until we move away from libsignals
    wireformat)
  * Be flexible to changes that might happen to the OMEMO protocol
  * Keep the structure close to the spec
  * Provide the parts of the protocol (X3DH, Double Ratchet) as own
    repositories
 .
 This package provides the Python 3.x module.

Package: python3-omemo-backend-signal
Description-md5: c20a23dea01a10de714fe3420a2b521b
Description-en: Python 3 backend for python-omemo with libsignal compatibility
 This library implements a backend for python-omemo offering
 compatibility with libsignal (C, Java, JavaScript). Look at
 python-omemo for further usage information.
 .
 This package provides the Python 3.x module.

Package: python3-omg
Description-md5: f27282728481208ab734e81a0d32dc6c
Description-en: Python library for manipulating doom-style WAD files
 omgifol ("Oh My God, It's Full of Lumps") is a Python library for
 manipulating doom-style WAD files. It includes general purpose
 lump-manipulation routines as well as more specific map and texture
 editing facilities.
 .
 This package installs the library for Python 3.

Package: python3-onedrivesdk
Description-md5: 93f5099035b6fa8615af82a8ca912bf2
Description-en: Official Python OneDrive SDK for interfacing with OneDrive APIs
 Provides a feature-rich Python API to perform many actions on OneDrive:
 .
   * Authentication (OneDrive and OneDrive Business)
   * Copy, download, rename and upload an Item
   * Saving and loading sessions
 .
 This module also offers an asyncio interface.

Package: python3-ontospy
Description-md5: cdaa5d7041013a99e048700e6d565d20
Description-en: query, inspect and visualize RDF/OWL ontologies - library
 Ontospy is a lightweight Python library and command line tool
 for inspecting and visualizing vocabularies
 encoded using W3C Semantic Web standards,
 that is, RDF or any of its dialects (RDFS, OWL, SKOS).
 .
 Ontospy can be used to generate HTML documentation
 for an ontology pretty easily.
 .
 This package provides the Ontospy library for Python 3.

Package: python3-ooolib
Description-md5: 03062423e5fa6888d939a3b40b8e9c60
Description-en: Python module for creating OpenDocument documents (sp.sheet/text)
 ooolib is a python module to be used to create simple OpenDocument
 spreadsheet and text documents.
 .
 In contrast to libooolib-perl this supports ODT but *not* the
 old format.

Package: python3-oops
Description-md5: 5795978c971955c95c96a613425abb94
Description-en: Serialization, deserialization and allocation of OOPS reports (Python 3)
 The oops project provides an in-memory model and basic serialisation,
 deserialisation and allocation of OOPS reports. An OOPS report is a report
 about something going wrong in a piece of software... thus, an 'oops' :)
 .
 This core package is rarely used directly: most programs or services that want
 to generate OOPS reports will do so via a framework specific adapter (e.g.
 python-oops_wsgi).
 .
 This package installs the library for PYthon 3.

Package: python3-oops-datedir-repo
Description-md5: 1728590dc20a3414a7f873973be66b24
Description-en: Disk storage, management, and a serialisation format for OOPSes
 This package provides disk storage, management, and a serialisation format for
 OOPSes stored in the repository. Programs or services that are generating OOPS
 reports need this package or other similar ones, if they want to persist the
 reports.

Package: python3-opcua
Description-md5: 1d8711bd11290abd94fc69a44b402fa6
Description-en: Pure Python OPC-UA Client and Server (Python 3)
 An OPC-UA (Open Platform Communications - Unified Architecture)
 client and server library, written entirely in Python.
 .
 This package contains the Python 3 library.

Package: python3-openbabel
Description-md5: aa2a39e7aee58768c87aec68f06497d6
Description-en: Chemical toolbox library (Python bindings)
 Open Babel is a chemical toolbox designed to speak the many languages of
 chemical data. It allows one to search, convert, analyze, or store data from
 molecular modeling, chemistry, solid-state materials, biochemistry, or related
 areas.  Features include:
 .
  * Hydrogen addition and deletion
  * Support for Molecular Mechanics
  * Support for SMARTS molecular matching syntax
  * Automatic feature perception (rings, bonds, hybridization, aromaticity)
  * Flexible atom typer and perception of multiple bonds from atomic coordinates
  * Gasteiger-Marsili partial charge calculation
 .
 File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL
 Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC.
 .
 This package contains the Python binding.

Package: python3-opencv
Description-md5: dee464304625bd8d8fc8e276062d8904
Description-en: Python 3 bindings for the computer vision library
 This package contains Python 3 bindings for the OpenCV (Open Computer Vision)
 library.
 .
 The Open Computer Vision Library is a collection of algorithms and sample
 code for various computer vision problems. The library is compatible with
 IPL (Intel's Image Processing Library) and, if available, can use IPP
 (Intel's Integrated Performance Primitives) for better performance.
 .
 OpenCV provides low level portable data types and operators, and a set
 of high level functionalities for video acquisition, image processing and
 analysis, structural analysis, motion analysis and object tracking, object
 recognition, camera calibration and 3D reconstruction.

Package: python3-opencv-apps
Description-md5: 3531dc636d6bc96ac3eb16004bf289cb
Description-en: opencv_apps Robot OS package - Python 3 bindings
 This package is part of Robot OS (ROS). It contains several ROS
 packages for working providing OpenCV functionalities in a simplest
 manner in ROS, i.e., running a launch file that corresponds to
 the functionality.
 .
 The package contains implementations for edge detection, structural analysis,
 people/face detection, motion analysis and object segmentation.
 .
 It contains the Python 3 bindings for the opencv_apps library.

Package: python3-openems
Description-md5: f76432812adbf32054d5b8f0267021ec
Description-en: Python3 interface for openems
 This package contains the Python-files needed for
 openEMS (Python 3).
 .
 openEMS is a simulator for electromagnetic problems using
 the FDTD algorithm.

Package: python3-openflow
Description-md5: 24bf350d43b4e6ed88f4c6f040962484
Description-en: low level library to parse OpenFlow messages
 If you want to read an OpenFlow packet from an open socket or send a message
 to an OpenFlow switch, this is your best friend. The main features are:
 high performance, latest specification compliance, short learning curve and
 free software license.
 .
 This library is part of Kytos project, a collaborative project between
 SPRACE (from São Paulo State University, Unesp) and Caltech (California
 Institute of Technology). python-openflow was developed to be used with
 Kytos controller, but feel free to use this simple and intuitive library
 in another project with another controller.

Package: python3-opengl
Description-md5: 6cd85f297c2f35e11fe3999051811826
Description-en: Python bindings to OpenGL (Python 3)
 PyOpenGL is a cross-platform open source Python binding to the standard
 OpenGL API providing 2D and 3D graphic drawing. PyOpenGL supports the
 GL, GLU, GLE, and GLUT libraries. The library can be used with the Tkinter,
 wxPython, FxPy, and Win32GUI windowing libraries (or almost any Python
 windowing library which can provide an OpenGL context).
 .
 This is the Python 3 version of the package.

Package: python3-openid
Description-md5: 1585ce9e8bb81935adbb94d57d4d6adb
Description-en: Python3 OpenID package for modern servers and consumers
 This is a set of Python packages to support use of the OpenID decentralized
 identity system in your application.

Package: python3-openid-cla
Description-md5: 651e8552f183377759fe07a047dd627a
Description-en: OpenID CLA extension for python-openid (Python 3)
 Implementation of the OpenID CLA extension for python-openid.  The
 purpose of this extension is to allow retrieving a list of signed
 contributor license agreements.
 .
 This package installs the library for Python 3.

Package: python3-openid-teams
Description-md5: d19c721f78ada3ade01f81575e8ed1cf
Description-en: OpenID teams extension for python-openid (Python 3)
 Implementation of the OpenID teams extension for python-openid.  The
 purpose of the extension is to allow retrieving group membership
 information from OpenID providers.
 .
 This package installs the library for Python 3.

Package: python3-openimageio
Description-md5: 7662f33e552a57d36a3348e60e14b856
Description-en: Library for reading and writing images - Python bindings
 OpenImageIO is a library for reading and writing images, and a bunch of
 related classes, utilities, and applications. Main features include:
 .
  * Extremely simple but powerful ImageInput and ImageOutput APIs for reading
    and writing 2D images that is format agnostic -- that is, a "client app"
    doesn't need to know the details about any particular image file formats.
    Specific formats are implemented by DLL/DSO plugins.
 .
  * Format plugins for TIFF, JPEG/JFIF, OpenEXR, PNG, HDR/RGBE, Targa,
    JPEG-2000, DPX, Cineon, FITS, BMP, ICO, RMan Zfile, Softimage PIC, DDS,
    SGI, PNM/PPM/PGM/PBM, Field3d, WebP. More coming! The plugins are really
    good at understanding all the strange corners of the image formats, and
    are very careful about preserving image metadata (including Exif, GPS, and
    IPTC data).
 .
  * An ImageCache class that transparently manages a cache so that it can access
    truly vast amounts of image data (thousands of image files totaling hundreds
    of GB) very efficiently using only a tiny amount (tens of megabytes at most)
    of runtime memory. Additionally, a TextureSystem class provides filtered
    MIP-map texture lookups, atop the nice caching behavior of ImageCache.
 .
  * Several image tools based on these classes, including iinfo (print detailed
    info about images), iconvert (convert among formats, data types, or modify
    metadata), idiff (compare images),igrep (search images for matching
    metadata). Because these tools are based on ImageInput/ImageOutput, they
    work with any image formats for which ImageIO plugins are available.
 .
  * A really nice image viewer, iv, also based on OpenImageIO classes (and so
    will work with any formats for which plugins are available).
 .
  * Supported on Linux, OS X, and Windows.
 .
  * All available under the BSD license, so you may modify it and use it in both
    open source or proprietary apps.
 .
 This package provides Python bindings to the OpenImageIO library.

Package: python3-openpyxl
Description-md5: 18de1750fb6df3433324cbfa4b75efe3
Description-en: Python 3 module to read/write OpenXML xlsx/xlsm files
 Openpyxl is a pure Python 3 module to read/write Excel 2007 (OpenXML)
 xlsx/xlsm files.

Package: python3-openrazer
Description-md5: 0d16626103a60ab0df16372f91ebe96b
Description-en: OpenRazer peripheral drivers (Python 3)
 OpenRazer is a collection of GNU/Linux drivers for the Razer devices.
 Supported devices include keyboards, mice, mouse-mats, headsets and
 various other devices.
 .
 This package contains a library for interacting with the OpenRazer daemon.

Package: python3-openshot
Description-md5: 79199ed0a47be51aad3ca4fa3552a838
Description-en: OpenShot video library bindings for Python 3
 OpenShot Library (libopenshot) is an open-source project dedicated to
 delivering high quality video editing, animation, and playback solutions
 to the world. The API currently supports C++, Python, and Ruby.
 .
 This package provides the bindings for Python 3.

Package: python3-openslide
Description-md5: 5f74ba15de517a38a2378ca65d671ac2
Description-en: Python 3 wrapper for reading whole slide image files
 OpenSlide is a C library that provides a simple interface to read whole-slide
 images also known as virtual slides.
 .
 Whole-slide images, also known as virtual slides, are large, high resolution
 images used in digital pathology. Reading these images using standard image
 tools or libraries is a challenge because these tools are typically designed
 for images that can comfortably be uncompressed into RAM or a swap file.
 Whole-slide images routinely exceed RAM sizes, often occupying tens of
 gigabytes when uncompressed. Additionally, whole-slide images are typically
 multi-resolution, and only a small amount of image data might be needed at a
 particular resolution.
 .
 This library currently supports:
  - Aperio (.svs, .tif)
  - Hamamatsu (.vms, .vmu, .ndpi)
  - Leica (.scn)
  - MIRAX (.mrxs)
  - Sakura (.svslide)
  - Trestle (.tif)
  - Generic tiled TIFF (.tif)
 .
 This package contains the Python 3 module needed to run OpenSlide applications.

Package: python3-openstack.nose-plugin
Description-md5: b1ae0d01123cb1df706eb276e863a615
Description-en: nosetests output to mimic the output of openstack's run_tests.py - Python 3.x
 This package provides a nose plugin that allow's nosetests output to mimic the
 output of openstack's run_tests.py.
 .
 This package provides the module for Python 3.x.

Package: python3-openstackdocstheme
Description-md5: 01916b7c9189557f223987c323f9cfd0
Description-en: extension support for Sphin OpenStack doc - Python 3.x
 Theme and extension support for Sphinx documentation that is published to
 docs.openstack.org. Intended for use by OpenStack projects.
 .
 This package contains the Python 3.x module.

Package: python3-opentimestamps
Description-md5: 89eca9603efc38630c719f8808bd33aa
Description-en: Python3 library for creating and verifying OpenTimestamps proofs
 OpenTimestamps aims to be a standard format for blockchain timestamping.
 The format is flexible enough to be vendor and blockchain independent.
 .
 A timestamp proves that some data existed prior to some point in time.
 OpenTimestamps defines a set of operations for creating provable timestamps and
 later independently verifying them.
 .
 This package installs the library for Python 3.

Package: python3-openturns
Description-md5: 8b25f3dcaf8ac5517420c1b6bb95981f
Description-en: Python3 front-end of OpenTURNS (aka TUI)
 OpenTURNS is a powerful and generic tool to treat and quantify
 uncertainties in numerical simulations in design, optimization and
 control. It allows both sensitivity and reliability analysis studies:
  * defining the outputs of interest and decision criterion;
  * quantify and model the source of uncertainties;
  * propagate uncertainties and/or analyse sensitivity and
  * rank the sources of uncertainty
 .
 Targeted users are all engineers who want to introduce the
 probabilistic dimension in their so far deterministic studies.
 .
 This package provides the Python3 bindings to the library.

Package: python3-openvdb
Description-md5: 6dbc7dca3cb3e1ceb9118d380bac5e32
Description-en: Sparse Volume Processing toolkit - Python 3 binding
 OpenVDB is an open source C++ library comprising a novel hierarchical data
 structure and a suite of tools for the efficient storage and manipulation of
 sparse volumetric data discretized on three-dimensional grids. It is developed
 and maintained by DreamWorks Animation for use in volumetric applications
 typically encountered in feature film production.
 .
 This is the package for the Python 3 binding.

Package: python3-optlang
Description-md5: 03285dff193d5ee2d1c03a644b9eed5e
Description-en: sympy based mathematical programming language (Python 3)
 Optlang is a Python package for solving mathematical optimization problems,
 i.e. maximizing or minimizing an objective function over a set of variables
 subject to a number of constraints. Optlang provides a common interface to a
 series of optimization tools, so different solver backends can be changed in
 a transparent way. Optlang's object-oriented API takes advantage of the
 symbolic math library sympy to allow objective functions and constraints to
 be easily formulated from symbolic expressions of variables.
 .
 This package installs the library for Python 3.

Package: python3-orbit-predictor
Description-md5: 363de7ca633d8973c663540e91c4c35e
Description-en: Python library to propagate satellite orbits
 Orbit Predictor is a Python library to propagate orbits of
 Earth-orbiting objects such as satellites or ISS working on top of SGP4

Package: python3-orcus
Description-md5: 5b5cde819a8de795ca1faf93805be9a0
Description-en: library for processing spreadsheet documents - python bindings
 Orcus is a library that provides a collection of standalone file processing
 filters.  It is currently focused on providing filters for spreadsheet
 documents, but filters for other productivity application types (such as
 wordprocessor and presentation) are in consideration.
 .
 The library currently includes filters for xlsx, ods, csv, and generic XML
 formats.
 .
 This package contains the python bindings for liborcus.

Package: python3-orderedattrdict
Description-md5: 15aa5e00185eea40b47eab46c1066c30
Description-en: Python OrderedDict with attribute-style access (Python3)
 An ordered dictionary with attribute-style access.
 .
 AttrDict behaves exactly like collections.OrderedDict, but also allows
 keys to be accessed as attributes.
 .
 It also allows for loading JSON and YAML while preserving the order of
 keys
 .
 This is the Python3 package.

Package: python3-orderedmultidict
Description-md5: d7ac28e94c4fd17b2eb3ac8bdb407e7f
Description-en: Ordered multivalue dictionary that retains method parity with Python's dict
 a multivalue dictionary is a dictionary that can store multiple values per
 key. An ordered multivalue dictionary is a multivalue dictionary that
 retains the order of insertions and deletions.

Package: python3-os-api-ref
Description-md5: 7422a2bb14de865d047fb827610c7179
Description-en: Sphinx Extensions to support API reference sites in OpenStack - Python 3.x
 This project is a collection of sphinx stanzas that assist in building an API
 Reference site for an OpenStack project in RST. RST is great for unstructured
 English, but displaying semi structured (and repetitive) data in tables is
 not it's strength. This provides tooling to insert semi-structured data
 describing request and response parameters, and turn those into nice tables.
 .
 The project also includes a set of styling (and javascript) that is expected
 to layer on top of an oslosphinx theme base. This provides a nice set of
 collapsing sections for REST methods and javascript controls to expand /
 collapse all sections.
 .
 This package contains the Python 3.x module.

Package: python3-os-apply-config
Description-md5: 4d0e1bf9e97c910478697aeef9fc90a1
Description-en: Creates config files out of cloud metadata
 os-apply-config apply configuration out of the cloud metadata (JSON) that it
 receives from a metadata server.
 .
 It turns metadata from one or more JSON files like this:
 .
  {"keystone":
   {"database":
    {"host": "127.0.0.1", "user": "keystone", "password": "foobar"}
   }
  }
 .
 into service config files like this:
 .
  [sql]
  connection = mysql://keystone:foobar@127.0.0.1/keystone

Package: python3-os-collect-config
Description-md5: b80374112e636224d8f769d9899d8230
Description-en: collect and cache metadata, run hooks on changes
 os-collect-config collects data from defined configuration sources and runs a
 defined hook whenever the metadata has changed. You must define what sources
 to collect configuration data from in os-collect-config configuration file at
 /etc/os-collect-config/sources.ini. These sources will be polled and whenever
 any of them changes, default.command will be run. A file will be written to
 the cache dir, os_config_files.json, which will be a json list of the file
 paths to the current copy of each metadata source. This list will also be set
 as a colon separated list in the environment variable OS_CONFIG_FILES for the
 command that is run.

Package: python3-os-faults
Description-md5: bebdf221db0eec0dc9b875357743a265
Description-en: OpenStack fault-injection library - Python 3.x
 The library does destructive actions inside an OpenStack cloud. It provides an
 abstraction layer over different types of cloud deployments. The actions are
 implemented as drivers (e.g. DevStack driver, Fuel driver, Libvirt driver,
 IPMI driver).
 .
 This package contains the Python 3.x module.

Package: python3-os-refresh-config
Description-md5: c390bffe279d7bbfb0f8b09369779802
Description-en: refresh system configuration of an OpenStack TripleO node
 Runs through all of the phases to ensure configuration is applied and enabled
 on a machine running a TripleO undercloud. Will exit with an error if any
 phase has a problem.

Package: python3-os-testr
Description-md5: 1c71275c7ef47114a8f9c315e551f6bc
Description-en: Utility wrappers for testrepository for OpenStack projects - Python 3.x
 A number of small wrappers to support use of testrepository
 across OpenStack projects.
 .
 This package contains the Python 3.x module and binaries.

Package: python3-oslosphinx
Description-md5: 21164cbb03fc04dc4ac190ce997fc76e
Description-en: theme and extension support for openstack - Python 3.x
 Theme and extension support for Sphinx documentation from the OpenStack
 project. To use the theme, symply add 'oslosphinx' to the extensions list in
 the conf.py file in your Sphinx project.
 .
 This package contains the Python 3.x module.

Package: python3-oslotest
Description-md5: fa1cdcf756e4468e2a1731247764e453
Description-en: OpenStack test framework - Python 3.x
 OpenStack test framework that provides base classes and fixtures for creating
 unit and functional tests.
 .
 This package contains the Python 3.x module.

Package: python3-osmapi
Description-md5: 9d19997a2f0be6d73e4282ca2f467dd0
Description-en: Python 3 interface to OpenStreetMap API
 This package contains a Python module implementing the OpenStreetMap
 API (version 0.6). The module allows access the OSM database, and
 provides full featured manipulation of data using Python. Please note
 that scripted imports and automated edits should only be carried out by
 those with experience and understanding of the way the OpenStreetMap
 community create maps, and only with careful planning and consultation.
 .
 This is the Python 3 version of the library.

Package: python3-osmnx
Description-md5: 17bdfc22b4609b0fe46a5bed872f83df
Description-en: tool to study street networks from OpenStreetMap -- Python3
 OSMnx retrieves, models, analyzes, and visualizes street networks
 from OpenStreetMap (OSM).
 .
 OSMnx is a Python package that lets you download spatial geometries
 and model, project, visualize, and analyze street networks from
 OpenStreetMap's APIs. Users can download and model walkable, drivable,
 or bikable urban networks with a single line of Python code, and then
 easily analyze and visualize them.
 .
 This package contains the Python 3 version of OSMnx.

Package: python3-ospurge
Description-md5: e2ef73d5a6a398a4413aafd3c53e8f00
Description-en: client-side tool to delete all resources of an OpenStack project
 OSPurge is a standalone client-side tool that aims at deleting all resources,
 taking into account their interdependencies, in a specified OpenStack project.
 .
 OSPurge ensures in a quick and automated way that no resource is left behind
 when a project is deleted.
 .
 OSPurge can be used by a cloud administrator, this means a user with the admin
 role, to cleanup any project or by a non-privileged user to cleanup his own
 project.
 .
 At the moment it is possible to purge the following resources from a project:
  * Floating IP
  * Glance Images
  * Instances
  * Networks
  * Routers
  * Security groups
  * Swift containers
  * Swift objects
  * Volumes / Volume snapshots / Volume backups

Package: python3-outcome
Description-md5: 4dc4e972df05c44051a5832fe513af6b
Description-en: capture the outcome of Python function calls
 Outcome provides a function `capture' for capturing the outcome of a Python
 function call, so that it can be passed around - even if the function raises
 an exception. It also provides the async equivalent function `acapture'.

Package: python3-overpass
Description-md5: 80f533b302ef52a867ad9b71439a8a08
Description-en: wrapper for the OpenStreetMap Overpass API (Python 3)
 overpass is a Python module providing a thin wrapper around the OpenStreetMap
 Overpass API, which is a read-only API that serves up custom selected parts of
 the OSM map data. It acts as a database over the web: the client sends a query
 to the API and gets back the data set that corresponds to the query.
 .
 This package contains the Python 3 version of overpass and its command-line
 tool.

Package: python3-overpy
Description-md5: 9779083ddd70ccd72bfd9141345d1767
Description-en: wrapper to access the OpenStreetMap Overpass API (Python 3)
 Overpy is an advanced Python wrapper that allows you to query the OpenStreetMap
 Overpass APIs using the full power of their query language.

Package: python3-ovn-octavia-provider
Description-md5: f4c75eb020a7db0532922c9f50c3f600
Description-en: OpenStack Octavia Integration with OVN - Python 3 library
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 OVN provides virtual networking for Open vSwitch and is a component of the
 Open vSwitch project.
 .
 This package provides the Python 3 module for the integration between Octavia and OVN.

Package: python3-owslib
Description-md5: 3be6737f5281a74fd00736340b31ed7b
Description-en: Client library for Open Geospatial (OGC) web services (Python 3)
 OWSLib is a Python package for client programming with Open Geospatial
 Consortium (OGC) web service (hence OWS) interface standards, and their
 related content models.
 .
 Full documentation is available at https://geopython.github.io/OWSLib
 .
 OWSLib provides a common API for accessing service metadata and wrappers
 for numerous OGC Web Service interfaces.
 .
 This package provides the Python 3 version of the library.

Package: python3-pacparser
Description-md5: 520d0c667be868f4f30eb643bef97dd0
Description-en: Python 3 module to parse proxy auto-config files
 a library to parse proxy auto-config (PAC) files. Proxy auto-config files are
 a vastly used proxy configuration method these days. Web browsers can use a PAC
 file to determine which proxy server to use or whether to go direct for a given
 URL. PAC files are written in JavaScript and can be programmed to return
 different proxy methods (e.g. "PROXY proxy1:port; DIRECT") depending upon URL,
 source IP address, protocol, time of the day etc. PAC files introduce a lot of
 possibilities.
 .
 Needless to say, PAC files are now a widely accepted method for proxy
 configuration management and companies all over are using them in corporate
 environments. Almost all popular web browsers support PAC files. The idea
 behind pacparser is to make it easy to add this PAC file parsing capability to
 any program (C and Python supported right now).
 .
 This package contains the Python 3 bindings for the shared library

Package: python3-padme
Description-md5: 4f87a90c241a7bd7d66c86963d1706de
Description-en: mostly transparent proxy class for Python 3
 Padme, named after the Star Wars (tm) character, is a library for creating
 proxy objects out of any other Python object.
 .
 The resulting object is as close to mimicking the original as possible. Some
 things are impossible to fake in CPython so those are highlighted in the
 documentation (though mainly object identity). All other operations are
 silently forwarded to the original.
 .
 This package contains the Python 3.x version of the library

Package: python3-pafy
Description-md5: eefcaa8e8e6ecb669f557bad694cb231
Description-en: Download videos and retrieve metadata from YouTube
 Pafy is a Python library for interacting with YouTube from within your
 Python programs. Its main features include:
  * Retrieve metadata such as viewcount, duration, rating, author, thumbnail,
   keywords
  * Download video or audio at requested resolution / bitrate / format /
   filesize
  * Command line tool (ytdl) for downloading directly from the command line
  * Retrieve the URL to stream the video in a player such as vlc or mplayer
  * Works with age-restricted videos and non-embeddable videos
  * Small, standalone, single importable module file (pafy.py)
  * Select highest quality stream for download or streaming
  * Download video only (no audio) in m4v or webm format
  * Download audio only (no video) in ogg or m4a format
  * Retrieve playlists and playlist metadata

Package: python3-pager
Description-md5: 689028a553b1efeb2a385cce337e5f42
Description-en: terminal/console pager module in pure Python - Python 3.x
 Pager is a Python module that pages output to the screen, reads keys and
 console dimensions without executing external utils. It was meant to be
 included in the Python standard library.
 .
 This package contains the Python 3.x module.

Package: python3-paho-mqtt
Description-md5: 068c1df87d6cda4cc4c34c49c5bc9bc5
Description-en: MQTT client class (Python 3)
 This code provides a client class which enable applications to connect
 to an MQTT broker to publish messages, and to subscribe to topics and
 receive published messages. It also provides some helper functions to
 make publishing one off messages to an MQTT server very straightforward.
 .
 The MQTT protocol is a machine-to-machine (M2M)/”Internet of Things”
 connectivity protocol. Designed as an extremely lightweight publish/
 subscribe messaging transport, it is useful for connections with remote
 locations where a small code footprint is required and/or network
 bandwidth is at a premium.
 .
 This is the Python 3 version of the package.

Package: python3-pallets-sphinx-themes
Description-md5: 91f61d8e75b87e2309d906a7b5203b97
Description-en: Sphinx themes for Pallets and related projects
 Available themes:
  * flask
  * jinja
  * werkzeug
  * click
 .
 This package contains the Python 3.x module.

Package: python3-pampy
Description-md5: a3ab2b0f87c8352216746c1581ac4cf1
Description-en: Python module for simple PAM authentications (Python 3)
 Provide an authenticate() function that will allow the caller to
 authenticate a user against the Pluggable Authentication Modules
 (PAM) on the system.
 .
 The module pam.py is a single file, implemented using ctypes, so
 no compilation is necessary. This package provides the pam.py
 module for Python 3.

Package: python3-pamqp
Description-md5: 6bb891d60c9efc0c90a0522099f13c82
Description-en: RabbitMQ Focused AMQP low-level library (Python3 version)
 pamqp is a low level AMQP 0-9-1 frame encoding and decoding library for Python.
 It is not a end-user client library for talking to RabbitMQ but rather is used
 by client libraries for marshaling and unmarshaling AMQP frames.
 .
 AMQP class/method command class mappings can be found in the
 pamqp.specification module while actual frame encoding and encoding should be
 run through the pamqp.frame module.
 .
 This package contains the Python 3 version of the library.

Package: python3-pandas
Description-md5: cebad5283eae2d4545bd561b1c18aa4e
Description-en: data structures for "relational" or "labeled" data
 pandas is a Python package providing fast, flexible, and expressive
 data structures designed to make working with "relational" or
 "labeled" data both easy and intuitive. It aims to be the fundamental
 high-level building block for doing practical, real world data
 analysis in Python. pandas is well suited for many different kinds of
 data:
 .
  - Tabular data with heterogeneously-typed columns, as in an SQL
    table or Excel spreadsheet
  - Ordered and unordered (not necessarily fixed-frequency) time
    series data.
  - Arbitrary matrix data (homogeneously typed or heterogeneous) with
    row and column labels
  - Any other form of observational / statistical data sets. The data
    actually need not be labeled at all to be placed into a pandas
    data structure
 .
 This package contains the Python 3 version.

Package: python3-pandas-lib
Description-md5: b329f4ac7b25b8b49b6f051c081f5999
Description-en: low-level implementations and bindings for pandas
 This is a low-level package for python3-pandas providing
 architecture-dependent extensions.
 .
 Users should not need to install it directly.

Package: python3-pandocfilters
Description-md5: c5be40d1e209859ce585b693b87cc70e
Description-en: python3 bindings for Pandoc's filters
 Pandoc is a powerful utility to transform various input formats into a wide
 range of output formats. To alter the exported output document, Pandoc allows
 the usage of filters, which are pipes that read a JSON serialization of the
 Pandoc AST from stdin, transform it in some way, and write it to stdout. It
 allows therefore to alter the processing of Pandoc's supported input formats,
 for instance one can add new syntax elements to markdown, etc.
 .
 This package provides Python3 bindings.

Package: python3-panko
Description-md5: 54e4f5e5a096533bce5ce1f2df3a22a3
Description-en: Event storage dispatcher for Ceilometer (Python 3)
 Event storage dispatcher for Ceilometer.
 .
 This package installs the library for Python 3

Package: python3-pankoclient
Description-md5: a15ae484a00022ddc711b539e203b38f
Description-en: Python bindings to the OpenStack Panko API - Python 3.x
 Panko is an event storage service that provides the ability to store and
 query event data generated by Ceilometer with potentially other sources.
 .
 This package contains the client library for Panko built on the Panko API.
 It provides a Python API (the pankoclient module) and a OSC (the
 openstackclient CLI) command-line tool (openstack event).
 .
 This package provides the Python 3.x module.

Package: python3-panoramisk
Description-md5: 3625c553784f29804179ed0dbde49aae
Description-en: asyncio based library to play with asterisk (Python 3 library)
 Panoramisk is a library based on python’s AsyncIO to play with Asterisk’s
 manager.
 It uses the TCP manager server to listen to events and send actions.
 .
 This is the Python 3 library package.

Package: python3-panwid
Description-md5: 0346a47cb426242dad4794eeca36394f
Description-en: Useful widgets for urwid
 Collection of widgets for urwid.
 .
 Currently consists of:
  - dropdown menu widget with autocomplete support
  - widget for displaying tabular data
  - listbox with an optional scrollbar

Package: python3-parallel
Description-md5: c20e475bbc4513971aa766095c11ce45
Description-en: pyparallel - module encapsulating access for the parallel port
 This module capsulates the access for the parallel port. It provides
 backends for standard Python running on Windows, Linux, BSD (possibly
 any POSIX compliant system). The module named "parallel" automatically
 selects the appropriate backend.

Package: python3-parameterized
Description-md5: 197d3bffd1b970d48f55b89ea8916c1f
Description-en: parameterized testing for Python 3
 The parameterized module provides a set of decorators for parameterized
 testing in Python. It supports nose, nose2, pytest and unittest.
 .
 The @parameterized decorator can be used for class methods, and standalone
 functions, whilst the @parameterized.expand should be used for classes
 deriving from unittest.TestCase.
 .
 This package provides the modules for Python 3.

Package: python3-paraview
Description-md5: 22f1a0e82172ee811a05b35f38dad1a6
Description-en: Parallel Visualization Application. python-support
 ParaView is an open-source, multi-platform application designed to
 visualize data sets of size varying from small to very large. The
 goals of the ParaView project include the following:
 .
     * Develop an open-source, multi-platform visualization application.
     * Support distributed computation models to process large data sets.
     * Create an open, flexible, and intuitive user interface.
     * Develop an extensible architecture based on open standards.
 .
 ParaView runs on distributed and shared memory parallel as well as
 single processor systems and has been successfully tested on Windows,
 Mac OS X, Linux and various Unix workstations, clusters and
 supercomputers. Under the hood, ParaView uses the Visualization
 Toolkit as the data processing and rendering engine and has a user
 interface written using Qt. Enables python support.

Package: python3-parfive
Description-md5: 4a7447ddc602216adffb4e8b3f0b6ba3
Description-en: HTTP and FTP parallel file downloader for Python
 Parfive is a small library for downloading files, its objective is to
 provide a simple API for queuing files for download and then
 providing excellent feedback to the user about the in progress
 downloads. It also aims to provide a clear interface for inspecting
 any failed downloads.
 .
 Parfive supports downloading files over either HTTP or FTP using
 aiohttp and aioftp.

Package: python3-parse
Description-md5: 1a78dda95a8d64d6dc49f8e52d2ab4b1
Description-en: Parse provides the reverse function for format(), Python3 package
 Parse strings using a specification based on the Python format() syntax.
 .
    ``parse()`` is the opposite of ``format()``
 .
 The module is set up to only export ``parse()``, ``search()`` and
 ``findall()`` when ``import *`` is used:
 .
 >>> from parse import *
 .
 From there it's a simple thing to parse a string:
 .
 >>> parse("It's {}, I love it!", "It's spam, I love it!")
 <Result ('spam',) {}>
 >>> _[0]
 'spam'
 .
 Or to search a string for some pattern:
 .
 >>> search('Age: {:d}\n', 'Name: Rufus\nAge: 42\nColor: red\n')
 <Result (42,) {}>
 .
 This is the Python 3 package

Package: python3-parse-type
Description-md5: 2df8a7da50a3ffc1042ed016585368ac
Description-en: BDD plugin for py.test.
 Extends the parse module (opposite of string.format()) with
 the a number of additional features.
 .
 This package contains the python 3 version.

Package: python3-parsedatetime
Description-md5: 4d161e1cc640230937c0082f1e34ba67
Description-en: Python 3 module to parse human-readable date/time expressions
 parsedatetime is able to parse, for example, the following:
 .
   * August 25th, 2008
   * 25 Aug 2008
   * Aug 25 5pm
   * 5pm August 25
   * next saturday
   * tomorrow
   * next thursday at 4pm
   * at 4pm
   * eod
   * tomorrow eod
   * eod tuesday
   * eoy
   * eom
   * in 5 minutes
   * 5 minutes from now
   * 5 hours before now
   * 2 hours before noon
   * 2 days from tomorrow
 .
 This package provides the modules for Python 3.

Package: python3-parsel
Description-md5: 4224b6ee0b2da5bfefa139bb32eb56b3
Description-en: Python 3 library to extract HTML/XML data using XPath/CSS selectors
 Parsel is a Python library to extract data from HTML and XML using XPath and
 CSS selectors
 .
 This module is used to, for example:
  - Extract text using CSS or XPath selectors
  - Regular expression helper methods
 .
 This is the Python 3 version of the package.

Package: python3-parso
Description-md5: e635c0ee32596f7972cf7149e68ca563
Description-en: Python parser that supports error recovery - Python 3.X
 Parso is a Python parser that supports error recovery and round-trip parsing
 for different Python versions (in multiple Python versions). Parso is also able
 to list multiple syntax errors in your Python file.
 .
 Parso has been battle-tested by jedi. It was pulled out of jedi to be useful
 for other projects as well.
 .
 Parso consists of a small API to parse Python and analyse the syntax tree.
 .
 A simple example:
 .
  >>> import parso
  >>> module = parso.parse('hello + 1', version="3.6")
  >>> expr = module.children[0]
  >>> expr
  PythonNode(arith_expr, [<Name: hello@1,0>, <Operator: +>, <Number: 1>])
  >>> print(expr.get_code())
  hello + 1
  >>> name = expr.children[0]
  >>> name
  <Name: hello@1,0>
  >>> name.end_pos
  (1, 5)
  >>> expr.end_pos
  (1, 9)
 .
 To list multiple issues:
 .
  >>> grammar = parso.load_grammar()
  >>> module = grammar.parse('foo +\nbar\ncontinue')
  >>> error1, error2 = grammar.iter_errors(module)
  >>> error1.message
  'SyntaxError: invalid syntax'
  >>> error2.message
  "SyntaxError: 'continue' not properly in loop"

Package: python3-partd
Description-md5: ab18d10c0f3fa02d03bf34daaf0ab8ba
Description-en: Appendable key-value storage for Python 3
 Key-value byte store with appendable values
 .
  - Partd stores key-value pairs.
  - Values are raw bytes.
  - Appends on old values.
 .
 Partd excels at shuffling operations.
 .
 This contains the Python 3 version.

Package: python3-path
Description-md5: fc0fdb9769787900771b405f3352349d
Description-en: module wrapper for os.path for Python 3
 path.py implements a path objects as first-class entities, allowing common
 operations on files to be invoked on those path objects directly.
 .
 This package installs the library for Python 3.

Package: python3-path-and-address
Description-md5: 98d7ee7e3822a31b7fab1b597b8a444f
Description-en: Functions for server CLI applications used by humans (Python 3)
 Path-and-address resolves ambiguities for command-line interface applications
 with the following pattern:
 .
 $ your_app [<path>] [<address>]
 .
 The library applies the principal of least surprise to command-line
 interfaces.
 .
 This package is built for Python 3.

Package: python3-pathspec
Description-md5: 9e2c94cb750f360fd31f03855f465bd7
Description-en: utility library for gitignore style pattern matching of file paths (Python 3)
 pathspec is a utility library for pattern matching of file paths.
 So far this only includes Git's wildmatch pattern matching which itself is
 derived from Rsync's wildmatch. Git uses wildmatch for its gitignore files.
 .
 This package installs the library for Python 3.

Package: python3-pathtools
Description-md5: ab1dfd21441a76c9058d5d095a41b82f
Description-en: Path utilities for Python - Python 3.x
 Python API library which provides pattern matching functions
 and various utilities for filesystem paths.
 .
 This is the Python 3 version.

Package: python3-patiencediff
Description-md5: fb91831ff1ffbef73fc5b16598209189
Description-en: diff implementation with balanced performance, output and implementation
 This package contains the implementation of the ``patiencediff`` algorithm, as
 first described by Bram Cohen.
 .
 Like Python's ``difflib``, this module provides both a convience
 ``unified_diff`` function for the generation of unified diffs of text files as
 well as a SequenceMatcher that can be used on arbitrary lists.
 .
 Patiencediff provides a good balance of performance, nice output for humans,
 and implementation simplicity.
 .
 This package contains the Python 3 version of the library.

Package: python3-patsy
Description-md5: 9c746571984d68b3487f009052a062bc
Description-en: statistical models in Python using symbolic formulas
 patsy is a Python library for describing statistical models
 (especially linear models, or models that have a linear component)
 and building design matrices.
 .
 This package contains the Python 3 version.

Package: python3-pattern
Description-md5: 7a6692dcfe4ec1c4a5e4363cc4724cbe
Description-en: web mining module for Python 3
 Pattern is a web mining module for the Python programming language. It has
 tools for data mining (Google, Twitter and Wikipedia API, a web crawler,
 a HTML DOM parser), natural language processing (part-of-speech taggers,
 n-gram search, sentiment analysis, WordNet), machine learning (vector space
 model, clustering, SVM), network analysis and <canvas> visualization.
 .
 The module is free, well-document and bundled with 50+ examples and
 350+ unit tests.

Package: python3-paypal
Description-md5: e2dd5db333c8d7dd0f8b40894fe61b08
Description-en: PayPal API implementation (Python 3)
 This package implements Paypal's Website Payments Pro NVP API in Python.
 This currently includes Direct Payments (Credit card payments without a PayPal
 Account) and PayPal Express Checkout (Payment via a PayPal account).
 .
 python-paypal does no real validation, doesn't hold hands, and is generally
 only going to handle authentication, http-level stuff, and serializing
 a list of keyword arguments passed to the API methods. You'll need to
 read the official PayPal documentation for what key/values to pass:
 https://developer.paypal.com/docs/classic/
 .
 This package installs the library for Python 3.

Package: python3-pbbanana
Description-md5: 6f57128ed5dfc7adb9a33c0c935fa757
Description-en: additional utilities for the pbsuite
 PBBanana contains some assembly and consensus utilities
 for the PBSuite.
  * OLCAssembly - A shortcut to calling pacbio's Allora Assembler
  * Polish - A quick consensus caller
  * PBJNovo - DeNovo Assembler of PacBio only reads
 .
 This package is intended for use internally by the PBSuite

Package: python3-pbconsensuscore
Description-md5: 16f22ef5da163f632eca84dd528cba74
Description-en: algorithms for PacBio multiple sequence consensus -- Python 3
 ConsensusCore is a library of C++ algorithms for Pacific Biosciences
 multiple sequence consensus that powers Quiver (Python) and ConsensusTools
 (.NET). This library primarily exists as the backend for GenomicConsensus,
 which implements Quiver.
 .
 This package is part of the SMRT Analysis suite.
 It provides the Python3 bindings.

Package: python3-pbcore
Description-md5: 6ba5e8d51dabd199abaca71d5fb93f51
Description-en: Python 3 library for processing PacBio data files
 The pbcore package provides Python modules for processing Pacific Biosciences
 data files and building PacBio bioinformatics applications. These modules
 include tools to read/write PacBio data formats, sample data files for
 testing and debugging, base classes, and utilities for building bioinformatics
 applications.
 .
 This package is part of the SMRTAnalysis suite.
 .
 This is the Python 3 module.

Package: python3-pbkdf2
Description-md5: a1ef881a7891a943961d4fa056686a2b
Description-en: Python RSA PKCS#5 v2.0 module (Python 3)
 This module implements the password-based key derivation
 function, PBKDF2, specified in RSA PKCS#5 v2.0.
 .
 PKCS#5 v2.0 Password-Based Key Derivation is a key derivation
 function which is part of the RSA Public Key Cryptography
 Standards series. The provided implementation takes a password
 or a passphrase and a salt value (and optionally a iteration
 count, a digest module, and a MAC module) and provides a file-like
 object from which an arbitrarly-sized key can be read.
 .
 This is the Python 3 version of the package.

Package: python3-pbsuite-utils
Description-md5: 22a1c90e99cade6a005b8554e6baf7ba
Description-en: software for Pacific Biosciences sequencing data -- Python utilities
 The PBSuite currently contains two projects created and maintained
 by Adam English for analysis of Pacific Biosciences long-read
 sequencing data.
  * PBJelly - genome upgrading tool
  * PBHoney - structural variation discovery
 .
 This package contains Python 3 utilities for the suite.

Package: python3-pcapy
Description-md5: ab4e5babd9708d9ef18c26e53144237a
Description-en: Python interface to the libpcap packet capture library (Python 3)
 Pcapy is a  Python extension module that interfaces  with the libpcap
 packet capture library.
 .
 Pcapy enables Python scripts to capture packets on the network. Pcapy
 is highly  effective when used in conjunction  with a packet-handling
 package such as Impacket, which is a collection of Python classes for
 constructing and dissecting network packets.
 .
 This package installs the library for Python 3.

Package: python3-pcbasic
Description-md5: e442d85ac19f74a2b94683fa79d32f11
Description-en: cross-platform emulator for the GW-BASIC family of interpreters (Python2)
 PC-BASIC is a free, cross-platform interpreter for GW-BASIC, Advanced BASIC
 (BASICA), PCjr Cartridge Basic and Tandy 1000 GWBASIC. It interprets these
 BASIC dialects with a high degree of accuracy, aiming for bug-for-bug
 compatibility. PC-BASIC emulates the most common video and audio hardware on
 which these BASICs used to run. PC-BASIC runs plain-text, tokenised and
 protected .BAS files. It implements floating-point arithmetic in the Microsoft
 Binary Format (MBF) and can therefore read and write binary data files created
 by GW-BASIC.
 .
 This package installs the library for Python 3.

Package: python3-pcbasic-doc
Description-md5: abe3d5284f056a439039ef8b4b171204
Description-en: cross-platform emulator for the GW-BASIC family of interpreters (doc)
 PC-BASIC is a free, cross-platform interpreter for GW-BASIC, Advanced BASIC
 (BASICA), PCjr Cartridge Basic and Tandy 1000 GWBASIC. It interprets these
 BASIC dialects with a high degree of accuracy, aiming for bug-for-bug
 compatibility. PC-BASIC emulates the most common video and audio hardware on
 which these BASICs used to run. PC-BASIC runs plain-text, tokenised and
 protected .BAS files. It implements floating-point arithmetic in the Microsoft
 Binary Format (MBF) and can therefore read and write binary data files created
 by GW-BASIC.
 .
 This is the common documentation package.

Package: python3-pcl
Description-md5: 4c25482e055f408a0a1da19dba971ce3
Description-en: Python 3 binding to the Pointcloud library (PCL)
 The following parts of the API are wrapped (all methods operate on PointXYZ)
 point types:
  - I/O and integration; saving and loading PCD files
  - segmentation
  - SAC
  - smoothing
  - filtering
  - registration (ICP, GICP, ICP_NL)
 .
 This package installs the library for Python 3.

Package: python3-pcl-msgs
Description-md5: b7a5b75d0fc361ad7c324a16c8f9d8ef
Description-en: Python 3 code for PCL-related Robot OS Messages
 This package is part of Robot OS (ROS). It contains messages which
 provide the glue between the Point Cloud Library and ROS.
 .
 This package contains the generated Python 3 package.

Package: python3-pcp
Description-md5: 76f8ad71025cffd0c42d363ccbcfc0e9
Description-en: Performance Co-Pilot Python3 PMAPI module
 The PCP Python3 module contains the language bindings for
 building Performance Metric API client tools using Python3.
 .
 The Performance Co-Pilot provides a unifying abstraction for
 all of the interesting performance data in a system, and allows
 client applications to easily retrieve and process any subset of
 that data.

Package: python3-pdal
Description-md5: c427600e4a6077e6ba58a735ad84ac5b
Description-en: Point Data Abstraction Library - Python extension
 PDAL is a BSD licensed library for translating and manipulating point
 cloud data of various formats. PDAL can be used to read, write and
 translate point cloud data in many formats. Support is included for
 input files of LAS, LAZ, SBET, BPF, QFIT and others. PDAL can also read
 from and write to databases that support point cloud storage, including
 Oracle, Postgres and SQLite.
 .
 PDAL should not be confused with PCL (Point Cloud Library). PCL is a
 library specifically designed to provide algorithmic analysis and
 modification of point clouds. PDAL provides a limited interface to the
 facilities of PCL, but does not in general attempt to duplicate its
 capabilities.
 .
 This package contains the PDAL extension for Python.

Package: python3-pdfkit
Description-md5: fe04bfbe4d2851e8394a4f796a4deb09
Description-en: Python wrapper for wkhtmltopdf to convert HTML to PDF (Python 3)
 Python wrapper for wkhtmltopdf utility to convert HTML to PDF using Webkit.
 .
 Wkhtmltopdf conversion and all wkhtmltopdf options are available in Python
 from this module
 .
 This is the Python 3 version of the package.

Package: python3-pdfminer
Description-md5: 1873cb3a2975b23ff7d791feaf628684
Description-en: PDF parser and analyser (Python3)
 PDFMiner is a tool for extracting information from PDF documents, which
 focuses entirely on getting and analyzing text data. It allows one to obtain
 the exact location of text portions in a page, as well as other information
 such as fonts or lines. It includes a PDF converter that can transform PDF
 files into other text formats (such as HTML). It has an extensible PDF parser
 that can be used for other purposes than text analysis.
 .
 This package provides the Python3 module and the command-line tools: pdf2txt,
 dumppdf and latin2ascii.

Package: python3-pdfrw
Description-md5: 5577ed6ca1456d2716aa7e754f767348
Description-en: PDF file manipulation library (Python 3)
 pdfrw can read and write PDF files, and can also be used to read in PDFs which
 can then be used inside reportlab.
 .
 pdfrw tries to be agnostic about the contents of PDF files, and support them
 as containers, but to do useful work, something a little higher-level is
 required. It supports the following:
 .
  * PDF pages. pdfrw knows enough to find the pages in PDF files you read in,
    and to write a set of pages back out to a new PDF file.
  * Form XObjects. pdfrw can take any page or rectangle on a page, and convert
    it to a Form XObject, suitable for use inside another PDF file
  * reportlab objects. pdfrw can recursively create a set of reportlab objects
    from its internal object format. This allows, for example, Form XObjects to
    be used inside reportlab.
 .
 This package installs the library for Python 3.

Package: python3-peakutils
Description-md5: cb86d69cac448d2e12c93da7f2432476
Description-en: utilities for detecting peaks in 1D data
 PeakUtils provides utilities related to the detection of peaks on 1D data.
 Includes functions to estimate baselines, finding the indexes of peaks in the
 data and performing Gaussian fitting or centroid computation to further
 increase the resolution of the peak detection.

Package: python3-peewee
Description-md5: 25677cfefea73f5d23e4874555abcce8
Description-en: Simple ORM for PostgreSQL, MySQL and SQLite (Python 3)
 Peewee is a simple and small ORM. It has few (but expressive)
 concepts, making it easy to learn and intuitive to use.
 .
   * A small, expressive ORM
   * Written in python with support for versions 2.6+ and 3.2+.
   * built-in support for sqlite, mysql and postgresql numerous
     extensions available (postgres hstore/json/arrays, sqlite
     full-text-search, schema migrations, and much more).
 .
 This is the Python 3 package.

Package: python3-pefile
Description-md5: 95e16bbc200a1e9f0bf8f2e913078741
Description-en: Portable Executable (PE) parsing module for Python
 pefile is a Python module to read and work with Portable Executable (PE)
 files. Most of the information in the PE header is accessible, as well as all
 the sections, section information and data.
 .
 All the basic PE file structures are available with their default names as
 attributes of the returned instance.
 .
 Processed elements such as the import table are made available with lowercase
 names, to differentiate them from the upper case basic structure names.
 .
 pefile has been tested against the limits of valid PE headers; that is,
 Windows malware. Lots of packed malware attempt to abuse the format beyond its
 standard use.
 .
 Some of the tasks that pefile makes possible are:
  * Modifying and writing back to the PE image
  * Header inspection
  * Section analysis
  * Retrieving data
  * Warnings for suspicious and malformed values
  * Packer detection with PEiD signatures
  * PEiD signature generation

Package: python3-pem
Description-md5: 4ba0321b6bd0cd58fdd5cc065656cbb8
Description-en: easy PEM file parsing in Python
 pem is a Python module for parsing and splitting of PEM files,
 i.e. Base64 encoded DER keys and certificates.
 .
 pem does not attempt to interpret the certificate data in any way.
 .
 Additionally to the vanilla parsing code, pem also contains helpers
 for Twisted that save a lot of boilerplate code.

Package: python3-pep517
Description-md5: e0c4f2a156536fedd08b47950b76ea99
Description-en: Specifies a standard API for systems which build Python packages
 This package contains wrappers around the hooks specified by PEP 517. It
 provides:
 .
  - A mechanism to call the hooks in a subprocess, so they are isolated from
    the current process.
  - Fallbacks for the optional hooks, so that frontends can call the hooks
    without checking which are defined.
  - Higher-level functions which install the build dependencies into a
    temporary environment and build a wheel/sdist using them.
 .
 This is the Python 3 version of the package.

Package: python3-pep8-naming
Description-md5: 117519ac9e296712440d8436d37aeecb
Description-en: check for PEP 8 naming conventions (flake8 plugin for Python3)
 The PEP 8 recommendation is a style guide for Python code. This plugin for
 flake8 checks whether the naming conventions of PEP 8 have been complied
 with. However written as plugin for flake8, some tools make independent use
 of the module.
 .
 This package contains the module for Python3.

Package: python3-periodictable
Description-md5: 18c9aaea5dda8dc08cc506d7e2684dc5
Description-en: Extensible periodic table of the elements (Python 3)
 This package provides a periodic table of the elements with support
 for mass, density and xray/neutron scattering information.
 .
 Masses, densities and natural abundances come from the NIST Physics
 Laboratory, but do not represent a critical evaluation by NIST
 scientists.
 .
 Neutron scattering calculations use values collected by the Atomic
 Institute of the Austrian Universities. These values do corresponding
 to those from other packages, though there are some differences
 depending to the tables used. Bound coherent neutron scattering for
 gold in particular is significantly different from older value:
 7.63(6) as measured in 1974 compared to 7.90(7) as measured in 1990.
 .
 X-ray scattering calculations use a combination of empirical and
 theoretical values from the LBL Center for X-ray Optics. These values
 differ from those given in other sources such as the International
 Tables for Crystallography, Volume C, and so may give different
 results from other packages.
 .
 This package installs the library for Python 3.

Package: python3-periphery
Description-md5: 0fed753b9e3dd1d909d9d63a2511055e
Description-en: Peripheral I/O (Python3 version)
 A pure Python library for GPIO, LED, PWM, SPI, I2C, MMIO, and Serial peripheral
 I/O interface access in userspace Linux. It is useful in embedded Linux
 environments (including Raspberry Pi, BeagleBone, etc. platforms) for
 interfacing with external peripherals.
 .
 This package contains the Python 3 version of the library.

Package: python3-persist-queue
Description-md5: 181a857af152caaa8f0374b52c32c8f5
Description-en: thread-safe disk based persistent queue
 persist-queue implements a file-based queue and a serial of
 sqlite3-based queues. The goals is to achieve following
 requirements:
 .
  * Disk-based: each queued item should be stored in disk in
    case of any crash.
  * Thread-safe: can be used by multi-threaded producers and
    multi-threaded consumers.
  * Recoverable: Items can be read after process restart.
  * Green-compatible: can be used in greenlet or eventlet
    environment.

Package: python3-persistent
Description-md5: 137138d4375ea45c61c1f6ca98759339
Description-en: Automatic persistence for Python objects
 This package contains a generic persistence implementation for Python. It
 forms the core protocol for making objects interact "transparently" with
 a database such as the ZODB.
 .
 This is the Python 3 version.

Package: python3-petsc4py
Description-md5: 977c5bad930b4c8b4984aff6e288663f
Description-en: Python 3 bindings for PETSc libraries
 PETSc is a suite of data structures and routines for the scalable (parallel)
 solution of scientific applications modeled by partial differential equations.
 It employs the MPI standard for all message-passing communication.
 .
 This is a dummy package that depends on the python3 petsc4py package with
 either real or complex number support.

Package: python3-petsc4py-complex
Description-md5: 0b93d90e1b8295896d3ace8d2c5c8044
Description-en: Python 3 bindings for PETSc libraries (complex numbers)
 PETSc is a suite of data structures and routines for the scalable (parallel)
 solution of scientific applications modeled by partial differential equations.
 It employs the MPI standard for all message-passing communication.
 .
 This package provides Python 3 bindings to almost all functions of PETSc
 with complex number support.

Package: python3-petsc4py-real
Description-md5: 6794ccc97ba1b426808e9997e452fccd
Description-en: Python 3 bindings for PETSc libraries (real numbers)
 PETSc is a suite of data structures and routines for the scalable (parallel)
 solution of scientific applications modeled by partial differential equations.
 It employs the MPI standard for all message-passing communication.
 .
 This package provides Python 3 bindings to almost all functions of PETSc
 with real number support.

Package: python3-pex
Description-md5: f3c7d491758d5f76ff121f49cf1ce7fd
Description-en: library for generating Python executable zip files
 pex is a library for generating .pex (Python EXecutable) files which
 are executable Python environments in the spirit of virtualenvs.  pex
 is an expansion upon the ideas outlined in PEP 441 and makes the
 deployment of Python applications as simple as cp.  pex files may even
 include multiple platform-specific Python distributions, meaning that
 a single pex file can be portable across Linux and OS X.
 .
 pex files can be built using the pex tool.  Build systems such as
 Pants and Buck also support building .pex files directly.
 .
 This is the Python 3 library version.

Package: python3-pg8000
Description-md5: 5577f66df3813227bdece762190e1dd6
Description-en: Pure-Python PostgreSQL Driver (Python 3)
 pg8000 is a Pure-Python interface to the PostgreSQL database engine.  It is
 one of many PostgreSQL interfaces for the Python programming language. pg8000
 is somewhat distinctive in that it is written entirely in Python and does not
 rely on any external libraries (such as a compiled Python module, or
 PostgreSQL's libpq library). pg8000 supports the standard Python DB-API
 version 2.0.
 .
 pg8000's name comes from the belief that it is probably about the 8000th
 PostgreSQL interface for Python.
 .
 This package contains the Python 3 version.

Package: python3-pgbouncer
Description-md5: e4adb55a469e0b8279c10f6c7643eef7
Description-en: Fixture to bring up temporary pgbouncer instances (Python 3)
 A fixture (https://launchpad.net/python-fixtures) for creating a temporary
 instance of pgbouncer, a lightweight connection pooler for PostgreSQL.  It
 is intended for use during development and testing.
 .
 This package installs the library for Python 3.

Package: python3-pgmagick
Description-md5: 8d9910fefc4a4028ccd3692d7f561eb3
Description-en: Yet Another Python wrapper for GraphicsMagick
 pgmagick is a boost.python based Python wrapper for GraphicsMagick.
 .
 This package for Python 3.

Package: python3-pgpdump
Description-md5: b92d41eba2544f9fda400fd09e3c1abf
Description-en: PGP packet parser library (Python 3)
 python-pgpdump is a Python library for parsing PGP packets as specified in
 RFC2440 and RFC4880. It is derived from pgpdump, a C program published at:
 http://www.mew.org/~kazu/proj/pgpdump/ The intent is to support what people
 actually have to do with PGP packets 95% of the time. This module does not
 aim to be a complete PGP parser, as it currently does not decode every PGP
 packet type. Currently supported things include:
 .
  * Signature packets
  * Public key packets
  * ASCII-armor decoding and CRC check
 .
 A single codebase with dependencies on only the standard Python library is
 compatible across Python 2.7, Python 3.2, and PyPy 1.8.
 .
 This is the Python 3 version of the package.

Package: python3-pgpy
Description-md5: 7540133f20aab72321b7759707f9e6e6
Description-en: OpenPGP (Pretty Good Privacy) RFC 4880 implementation in Python 3
 PGPy offers a pure-Python implementation of the OpenPGP standard, as
 described in RFC 4880 and subsequent specifications.  It can be used
 as a toolkit for building OpenPGP-compatible applications and
 libraries in Python.

Package: python3-pgpy-doc
Description-md5: d6d4bcd36330f784112fd3eac33a6f75
Description-en: OpenPGP (Pretty Good Privacy) RFC 4880 implementation in Python (documentation)
 PGPy offers a pure-Python implementation of the OpenPGP standard, as
 described in RFC 4880 and subsequent specifications.  It can be used
 as a toolkit for building OpenPGP-compatible applications and
 libraries in Python.
 .
 This is the documentation for the module.

Package: python3-pgq
Description-md5: 9ae38da47db139557ef3fb939c4c9667
Description-en: PgQ queue processing for Python
 PgQ provides generic queues for PostgreSQL. It allows multiple queues in one
 database, each queue can be consumed by multiple consumers.
 .
 This package contains the Python3 module.

Package: python3-pgspecial
Description-md5: 2686f07ca98198161474705cf9897fbb
Description-en: Meta-commands handler for Postgres Database (Python 3)
 This package provides an API to execute meta-commands (AKA "special", or
 "backslash commands") on PostgreSQL.
 .
 This package is for Python 3 environment.

Package: python3-pgzero
Description-md5: a4f540139b76c35a10e3b67d8788bab7
Description-en: Zero-boilerplate games programming framework based on Pygame (Python 3)
 Pygame zero is intended for use in education, so that teachers can teach basic
 programming without needing to explain the Pygame API or write an event loop.

Package: python3-phabricator
Description-md5: 64492ee2db21cceb14b33169bb8a9d5a
Description-en: Phabricator Python API Bindings (Python 3)
 Phabricator is an open source collection of web applications which make it
 easier to write, review, and share source code.
 .
 The current package provides Python API bindings for Phabricator interfaces.

Package: python3-phat
Description-md5: 66dd2e491ea6d8327fcd93f33e864a5c
Description-en: Python 3 interface for the PHAT library
 PHAT is a header-only C++ library for performing the filtered Z/2Z
 (co)boundary matrix operations commonly needed when computing
 (persistent) (co)homology in topological data analysis.
 .
 This package contains the library's Python 3 interface.

Package: python3-phonenumbers
Description-md5: d721ee1f265fb20e1371060f6011b2da
Description-en: parsing/formatting/validating phone numbers - Python 3
 This package provides a Python 3 port of Google's libphonenumber library
 for parsing, formatting, storing and validating international phone numbers.

Package: python3-photutils
Description-md5: e1b13169e615ce5c2e06eb9aa197f15e
Description-en: Astropy affiliated package for image photometry (Python 3)
 Photutils contains functions for:
 .
  * estimating the background and background rms in astronomical images
  * detecting sources in astronomical images
  * estimating morphological parameters of those sources (e.g., centroid
    and shape parameters)
  * performing aperture and PSF photometry
 .
 This package contains the Python 3 version of the package.

Package: python3-phply
Description-md5: 5716b843c03d831111cf8541e9b3dd88
Description-en: PHP parser written in Python 3 using PLY
 phply is a parser for the PHP programming language written using PLY,
 a Lex/YACC-style parser generator toolkit for Python.
 With this parser you can:
   - Convert PHP code to Python
   - Run PHP templates in a Python environment
   - Learn more about parsing "industrial" languages, warts and all
 .
 This is the Python 3 version of the package and the executables.

Package: python3-phpserialize
Description-md5: 4b80c84534dcaef201101a1e0b78e280
Description-en: Python port of PHP serialize and unserialize functions (Python 3)
 This module implements the Python serialization interface (eg: provides dumps,
 loads and similar functions).
 .
 This package contains phpserialize for Python 3.

Package: python3-picklable-itertools
Description-md5: 98686830f2632693a287e1b9826c03f0
Description-en: picklable reimplementation of Python's itertools for Python 3
 Picklable-itertools is a reimplementation of the Python standard
 library's "itertools", in Python, using picklable iterator objects.
 .
 It is intended as a drop-in replacement in cases where functionality
 from itertools is needed, but serializability has to be maintained.
 .
 This package provides the picklable_itertools Python module for
 Python 3.x.

Package: python3-pickleshare
Description-md5: 907a3ace4eede22452b5c6a0559cbf91
Description-en: File system based database that uses Python pickles for Python 3
 Like shelve, a PickleShareDB object acts like a normal dictionary. Unlike
 shelve, many processes can access the database simultaneously. Changing a
 value in database is immediately visible to other processes accessing the
 same database.
 .
 Concurrency is possible because the values are stored in separate files.
 Hence the "database" is a directory where all files are governed by
 PickleShare.
 .
 This package installs the library for Python 3.

Package: python3-piexif
Description-md5: 9624a7a4e5e79ffddbe1103433ff1a7a
Description-en: Pure python library for manipulating exif
 Pure Python library for easy exif manipulations (read, write, remove).

Package: python3-pigpio
Description-md5: a66e646ca42f2e02f1aff665b37182b4
Description-en: Python module which talks to the pigpio daemon (Python 3)
 Pigpio is a set of software which allows control of the General Purpose Input
 Outputs (GPIO) locally or remotely. Currently only the client side is Packaged
 in Debian as the server side is incompatible with Debian kernels.
 .
 This package provides the python 3 client library.

Package: python3-pika
Description-md5: 05269df6dee14418305fd48661847b06
Description-en: AMQP client library for Python 3
 Pika is a pure-Python implementation of the AMQP-0-9-1 protocol that tries to
 stay fairly independent of the underlying network support library. Pika was
 developed primarily for use with RabitMQ, but also works with other
 AMQP-0-9-1 brokers.
 .
 This is the Python 3 version of the library.

Package: python3-pika-pool
Description-md5: 1abc44769a65782324338de7468abc95
Description-en: Pools for pikas. - Python 3.x
 Pika-pool is a Pika connection pooling inspired by flask-pika and SQLAlchemy
 pool.Pool. Typically you'll go with local RabbitMQ shovels, krazee-eyez kombu,
 etc. But this works too.
 .
 This package contains the Python 3.x module.

Package: python3-pikepdf
Description-md5: 2294225f2dbdac263eb2839b6c8836ee
Description-en: Python library to read and write PDFs with QPDF
 pikepdf is a Python library to read and write PDFs with QPDF.
 Features include:
 .
   * Editing, manipulation and transformation of existing PDFs
   * Based on the mature, proven QPDF C++ library
   * Works with encrypted PDFs
   * Supports all PDF compression filters
   * Can create "fast web view" (linearized) PDFs
   * Creates standards compliant PDFs that pass validation in other tools
   * Automatically repairs damaged PDFs, just like QPDF
   * Implements more of the PDF specification than existing Python PDF tools
   * IPython notebook and Jupyter integration

Package: python3-pilkit
Description-md5: 78784104f604c02c3e73589c1ca4b790
Description-en: Utilities and processors built for, and on top of PIL (Python3 version)
 PILKit is a collection of utilities for working with PIL (the Python Imaging
 Library). One of its main features is a set of processors which expose a simple
 interface for performing manipulations on PIL images.
 .
 This package contains the Python 3 version of the library.

Package: python3-pip
Description-md5: 9d251b212c019b715059567c86cd6fa2
Description-en: Python package installer
 pip is the Python package installer.  It integrates with virtualenv, doesn't
 do partial installs, can save package state for replaying, can install from
 non-egg sources, and can install from version control repositories.
 .
 This is the Python 3 version of the package.

Package: python3-pipdeptree
Description-md5: 81eac6860503fcbf7aacdcd650fdea12
Description-en: display dependency tree of the installed Python 3 packages
 Pipdeptree is a command line utility for displaying the installed Python
 packages in form of a dependency tree. It works for packages installed
 globally on a machine as well as in a virtualenv. Since pip freeze shows
 all dependencies as a flat list, finding out which are the top level
 packages and which packages do they depend on requires some effort. It
 can also be tedious to resolve conflicting dependencies because pip
 doesn't yet have true dependency resolution (more on this later). This
 utility tries to solve this problem.
 .
 To some extent, this tool is inspired by lein deps :tree command of
 Leiningen.

Package: python3-piston-mini-client
Description-md5: a66f84fbd98b83892c23fbd1e1a149f8
Description-en: library for writing clients for Django's Piston REST APIs
 This package provides a small generic library for writing clients for
 Django's Piston REST APIs.
 .
 This is the Python 3 version.

Package: python3-pivy
Description-md5: acd59ae2d4e96323354e05654f8cc4b6
Description-en: Coin binding for Python 3
 Pivy is a Coin binding for Python. Coin is a high-level 3D graphics library
 with a C++ API. Coin uses scene-graph data structures to render real-time
 graphics suitable for mostly all kinds of scientific and engineering
 visualization applications.
 Pivy allows:
 .
    * Development of Coin applications and extensions in Python
    * Interactive modification of Coin programs from within the
      Python interpreter at runtime
    * Incorporation of Scripting Nodes into the scene graph which
      are capable of executing Python code and callbacks
    * Use of Coin within PyQt4 applications with the quarter module
 .
 This package contains the Python 3 bindings.

Package: python3-pkgconfig
Description-md5: 84535060c003759c671e08a60f4e7f81
Description-en: Python 3 interface to the pkg-config command line tool
 pkgconfig is a Python module to interface with the pkg-config command line tool
 and supports Python 3
 It cabe used to:
  -Check if a package exists
  -Check if a package meets certain version requirements
  -Query CFLAGS and LDFLAGS
  -Parse the output to build extensions with setup.py

Package: python3-pkginfo
Description-md5: a5607c8ef5bf8f5db16691fb45b6a9b7
Description-en: Python 3.x module to query metadata from packages
 This package provides an API for querying the distutils metadata written in
 the PKG-INFO file inside a source distribution (an sdist), or into the
 EGG-INFO directory of an installed distribution.
 .
 This package contains the Python 3 version of the library
 In addition, this package contains the 'pkginfo' executable

Package: python3-pki-base
Description-md5: 9cf63e5fe106891aa14449c1e4bf78ff
Description-en: Certificate System - PKI Framework -- python3 client support
 The PKI Framework contains the common and client libraries and utilities.
 .
 This package is a part of the PKI Core used by the Certificate System.

Package: python3-plac
Description-md5: 4650f8ee78934a64aa025251086cabe7
Description-en: Smartest command line arguments parser in the world
 Technically plac is just a simple wrapper over argparse which hides most
 of its complexity by using a declarative interface: the argument parser
 is inferred rather than written down by imperatively.
 .
 plac is targetting especially unsophisticated users, programmers,
 sys-admins, scientists and in general people writing throw-away scripts
 for themselves, choosing the command-line isurprisingly scalable upwards,
 even without using the underlying argparse.

Package: python3-plaster
Description-md5: a048a0729c0ec3f27be5fdb74135c701
Description-en: Python multi-format configuration file loader interface - Python 3.x module
 plaster is a loader interface around arbitrary config file formats. It exists
 to define a common API for applications to use when they wish to load
 configuration settings.
 .
 The library itself does not aim to handle anything except a basic API that
 applications may use to find and load configuration settings. Any specific
 constraints should be implemented in a pluggable loader which can be registered
 via an entrypoint.
 .
 This package provides the Python 3 version of the module.

Package: python3-plaster-pastedeploy
Description-md5: 05c6d62977921b9e7354b5e09a3565e2
Description-en: PasteDeploy configuration format plugin for plaster - Python 3.x module
 plaster is a loader interface around arbitrary config file formats. It exists
 to define a common API for applications to use when they wish to load
 configuration settings.
 .
 plaster_pastedeploy is a plaster plugin that provides a plaster.Loader that can
 parse ini files according to the standard set by PasteDeploy. It supports the
 wsgi plaster protocol, implementing the plaster.protocols.IWSGIProtocol
 interface.
 .
 This package provides the Python 3 version of the module.

Package: python3-plastex
Description-md5: af7da446b498f3bf9b95f4b75bd515ae
Description-en: LaTeX document processing framework in Python - modules
 plasTeX is a collection of Python frameworks that allow you to process LaTeX
 documents. This processing includes, but is not limited to, conversion of
 LaTeX documents to various document formats. Of course, it is capable of
 converting to HTML or XML formats such as DocBook and tBook, but it is an
 open framework that allows you to drive any type of rendering. This means that
 it could be used to drive a COM object that creates a MS Word Document.
 .
 The plasTeX framework allows you to control all of the processes including
 tokenizing, object creation, and rendering through API calls. You also have
 access to all of the internals such as counters, the states of "if" commands,
 locally and globally defined macros, labels and references, etc. In essence,
 it is a LaTeX document processor that gives you the advantages of an XML
 document in the context of a language as superb as Python.
 .
 This package contains the Python 3 modules.

Package: python3-plist
Description-md5: 487d0e45cf9e076ca3024ae72a1f32cb
Description-en: Library for handling Apple binary and XML property lists - Python3 bindings
 libplist is a library for reading and writing the Apple binary and XML
 property lists format. It's part of the libimobiledevice stack, providing
 access to iDevices (iPod, iPhone, iPad ...).
 .
 This package contains the Python 3 bindings.

Package: python3-plotly
Description-md5: 5445a3c51c1020ef7b81a0fa2c5017ba
Description-en: Python 3 plotting library for publication-quality graphs
 Python 3 plotting library for collaborative, interactive, publication-quality
 graphs. Plotly is an online collaborative data analysis and graphing tool.
 The Python API allows you to access all of Plotly's functionality from Python.

Package: python3-plplot
Description-md5: eb17ab7bbacade4f6715177fc50d0d4d
Description-en: Python support for PLplot, a plotting library
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set. The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package contains the library plmodule.so for glueing the PLplot
 scientific plotting library into Python applications. Examples are also
 provided.

Package: python3-plplot-qt
Description-md5: 083577bfe29461f89e411d0b9f6a52c9
Description-en: Scientific plotting library (Python Qt GUI)
 PLplot is relatively small, portable, freely distributable, and is rich
 enough to satisfy most users.  It has a wide range of plot types including
 line (linear, log), contour, 3D, fill, and almost 1000 characters
 (including Greek and mathematical) in its extended font set.  The package
 is designed to make it easy to quickly get graphical output; only a
 handful of function calls is typically required.  For more advanced use,
 virtually all aspects of plotting are configurable.
 .
 This package provides Python bindings to allow use of the PLplot API in
 qt applications.

Package: python3-pluggy
Description-md5: 6650724b8cb6a9f6e79e46c7c4228f3a
Description-en: plugin and hook calling mechanisms for Python - 3.x
 pluggy is the cristallized core of plugin management as used by some 150
 plugins for pytest.
 .
 This is the Python 3 library.

Package: python3-pluginbase
Description-md5: f4546ccc356bbccbff99e28065f948ed
Description-en: Support library for building plugins systems (Python 3)
 This package contains a module that enables the development of flexible plugin
 systems in Python.
 .
 This package installs the library for Python 3.

Package: python3-plumbum
Description-md5: d33f2dcaea6a0fd0c555b4b7b7ac5697
Description-en: library for writing shell script-like programs in Python 3
 python-plumbum provides shell-like syntax and handy shortcuts for writing shell
 script one-liners in Python using shell combinators. It supports local and
 remote command execution (over SSH), local and remote file-system paths,
 easy working-directory and environment manipulation and a programmatic
 Command-Line Interface (CLI) application toolkit.
 .
 This is the Python 3 version.

Package: python3-plyvel
Description-md5: ea6c6d29f918f9202a88537cb6fa1cd2
Description-en: fast and feature-rich Python interface to LevelDB
 Wraps most of the LevelDB C++ API and adds some features of its own. In
 addition to basic features like getting, putting and deleting data, Plyvel
 allows you to use write batches, database snapshots, very flexible iterators,
 prefixed databases, bloom filters, custom cache sizes, custom comparators,
 and other goodness LevelDB has to offer.

Package: python3-png
Description-md5: 53fd353fa10eb5f82a2a577d39cb5fe8
Description-en: Pure Python PNG Reader/Writer (Python 3)
 The PyPNG module implements support for PNG images. It reads and writes PNG
 files with all allowable bit depths (1/2/4/8/16/24/32/48/64 bits per pixel) and
 colour combinations: greyscale (1/2/4/8/16 bit); RGB, RGBA, LA (greyscale with
 alpha) with 8/16 bits per channel; colour mapped images (1/2/4/8 bit).
 Adam7 interlacing is supported for reading and writing. A number of optional
 chunks can be specified (when writing) and understood (when reading): tRNS,
 bKGD, gAMA.
 .
 PyPNG is not a high level toolkit for image processing (like PIL) and does not
 aim at being a replacement or competitor. Its strength lies in fine-grained
 extensive support of PNG features. It can also read and write Netpbm PAM files,
 with a focus on its use as an intermediate format for implementing custom PNG
 processing.
 .
 This package contains the Python 3 version.

Package: python3-pocket-lint
Description-md5: 8a4d7ace30b723e6e1f44ababaf0345e
Description-en: composite linter and style checker
 Pocket-lint has several notable features:
  * Provides a consistent report of issues raised by the subordinate checkers.
  * Alternate Reports can be written to change the report, or integrate
    the report into another application.
  * Supports checking of multiple source types
   * Python syntax and style
   * Python doctest style
   * XML/HTML style and entities
   * CSS style
   * JavaScript syntax and style
   * Plain text
  * Supports reporting
   * Python doctests
   * CSS
   * XML/HTML

Package: python3-pocketsphinx
Description-md5: b822852d8dc0ddeba1246e9ee867f836
Description-en: Speech recognition tool - Python3 bindings
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains Python3 bindings for libpocketsphinx.

Package: python3-podcastparser
Description-md5: 305157e7a98772dbb857fe9e6087892b
Description-en: Simplified, fast RSS parsing library (Python 3)
 The podcast parser project is a library from the gPodder project to
 provide an easy and reliable way of parsing RSS- and Atom-based podcast
 feeds in Python.
 .
 This package installs the library for Python 3.

Package: python3-poezio-poopt
Description-md5: 3ca950eac20ac40754bd37f2b287127a
Description-en: Console-based XMPP client (binary module)
 Poezio is a free console XMPP client (the protocol on which the Jabber IM
 network is built).
 .
 This package contains a binary extension module used by Poezio.

Package: python3-poliastro
Description-md5: ddd44c05282f34f71cbc4ec93d6150c1
Description-en: Astrodynamics and Orbital Mechanics computations
 Poliastro is an open source pure Python package dedicated to problems
 arising in Astrodynamics and Orbital Mechanics, such as orbit
 propagation, solution of the Lambert's problem, conversion between
 position and velocity vectors and classical orbital elements and
 orbit plotting, focusing on interplanetary applications

Package: python3-polled-camera
Description-md5: 24a4b5c5d3f7c11cce41c90cfc2f4b3d
Description-en: Robot OS polled_camera package - Python 3
 This package is part of Robot OS (ROS). It contains a Python package
 for implementing a polled camera driver node and requesting images
 from it. The package is currently for internal use as the API is
 still under development.
 .
 This package contains the Python 3 modules.

Package: python3-pomegranate
Description-md5: ba0dc885f3a69d46f4d1351609436386
Description-en: Fast, flexible and easy to use probabilistic modelling
 pomegranate is a package for probabilistic models in Python that is
 implemented in cython for speed. It's focus is on merging the easy-to-use
 scikit-learn API with the modularity that comes with probabilistic
 modeling to allow users to specify complicated models without needing to
 worry about implementation details. The models are built from the ground
 up with big data processing in mind and so natively support features
 like out-of-core learning and parallelism.

Package: python3-pony
Description-md5: 5f5b6e93781723ab49c168da1c867d2b
Description-en: Pony Object-Relational Mapper
 Pony is an advanced object-relational mapper for Python that allows writing
 queries to the database using Python generator expressions.
 .
 Pony analyzes the abstract syntax tree of the generator expression and
 translates it into a SQL query.

Package: python3-popcon
Description-md5: debb30a7ae0c01a52addabac8619482b
Description-en: Python interface to Debian's Popularity Contest (popcon)
 This package provides the popcon module for Python 3 and the popcon CLI, which
 allows one to query Debian's Popularity Contest data.

Package: python3-poppler-qt5
Description-md5: 21a39aefafdf3de34cac582998b9bb83
Description-en: Python binding to Poppler-Qt5 C++ library (Python 3)
 Python binding to libpoppler-qt5 that aims for completeness and for being
 actively maintained.  The bindings closely follow the C++ library API
 documented at http://people.freedesktop.org/~aacid/docs/qt5/ .
 .
 This package contains the Python 3 binding.

Package: python3-port-for
Description-md5: 1992af2184e7cbe0a698fd4daa34e54b
Description-en: local TCP ports management for Python
 port-for is a utility that helps with local TCP ports management. It can find
 an unused TCP localhost port and remember the association.

Package: python3-portalocker
Description-md5: 8ac7c67871d42c40fc5171c5d3e3f40a
Description-en: easy API to file locking (Python 3)
 Portalocker is a cross-platform library to provide
 an easy API to file locking.
 .
 This is the Python 3 version of the package.

Package: python3-portend
Description-md5: 1feb42d88ffa90c3ec9fb79927f0caef
Description-en: Python module to monitor TCP port states
 Portend is a module to monitor TCP ports for bound or unbound states.
 It provides routines to wait for a port to become free or occuopied,
 check the current state of a port, or identify a suitable port
 available for binding locally.

Package: python3-portio
Description-md5: 15dff017dc63961b42dd0e7976c8a99b
Description-en: PortIO, python low level port I/O for Linux x86
 PortIO is a Python front end to the low level functions provided by
 the C library on Linux 386 platforms for the hardware input and output
 ports: outb, outw, outl, outsb, outsw, outsl, outb_p, outw_p, outl_p,
 inb, inw, inl, insb, insw, insl, inb_p, inw_p, inl_p, ioperm, iopl.

Package: python3-portpicker
Description-md5: a5b7b768f118ec1d9c3e0e507b8bb9fc
Description-en: Python 3 module to find unused network ports to bind to
 Portpicker provides an API to find and return an available network port for
 an application to bind to. Ideally suited for use from unittests or for test
 harnesses that launch local servers.
 .
 This package installs the Python 3 version.

Package: python3-posix-ipc
Description-md5: 7883bcedcbbe02e445c733559d3c6d3b
Description-en: semaphores, shared memory and message queues - Python 3.x
 posix_ipc is a Python module (written in C) that permits creation and
 manipulation of POSIX inter-process semaphores, shared memory and message
 queues on platforms supporting the POSIX Realtime Extensions a.k.a. POSIX
 1003.1b-1993. This includes nearly all Unices and Windows + Cygwin 1.7.
 .
 This package contains the Python 3.x module.

Package: python3-postgresfixture
Description-md5: 7f5d541fd9d2387ffea5aedbe58ff363
Description-en: Fixture for creating PostgreSQL clusters and databases (Python 3)
 A fixture (https://launchpad.net/python-fixtures) for creating
 PostgreSQL clusters and databases and tearing them down again, intended
 for use during development and testing.
 .
 This package installs the library for Python 3.

Package: python3-postgresql
Description-md5: 8281920506b56ff36e451b0491756ea6
Description-en: pgsql driver, cluster management tools, and client tools
 py-postgresql is a python3 DB-API driver, including cluster management
 tools and client development tools. Written in pure Python 3 with
 optional optimizations written in C.
 .
 It provides support for protocol-level prepared statements, the
 COPY interface, arrays and composite types, and a console to run
 quick tests and simple scripts in PostgreSQL called pg_python.

Package: python3-potr
Description-md5: a5915e470302a6b5eb894d417c552e5e
Description-en: Pure Python implementation of the OTR protocol (Python 3)
 pure-python-otr is a pure Python implementation of the OTR protocol
 (Off-the-Record encryption, see the OTR website for more
 information). This gives developers the flexibility to implement OTR
 encryption for their Python-based Instant Messaging clients. A
 gajim-otr plugin will be available in the next major Gajim release to
 provide IM encryption independently of the underlying chat protocol.
 .
 This package also includes the command line utility 'convertkey' for
 converting the old OTR file format to the new one.
 .
 This is the Python 3 version of the package.

Package: python3-power
Description-md5: 1cfc2775522d81f798dd05170bc7f360
Description-en: Python module to get power and battery status (Python 3)
 This is Python module that allows you to get power and battery status
 of the system.
 .
 Using this module, Python programs which read power status can
 be written in platform independent way.

Package: python3-powerline
Description-md5: 8b992f10873009e4613dca7bd94fb318
Description-en: prompt and statusline utility (Python 3.x module)
 Powerline is a statusline plugin for vim, and provides statuslines and prompts
 for several other applications, including zsh, bash, tmux, IPython, Awesome and
 Qtile.
 .
 This package contains the Powerline module for Python 3.x.

Package: python3-powerline-gitstatus
Description-md5: 75cefe8aafbd5ed24a42e782db3af727
Description-en: Powerline Git segment for Python (3.x)
 Powerline is a statusline plugin for vim, and provides statuslines and
 prompts for several other applications, including zsh, bash, tmux,
 IPython, Awesome and Qtile.
 .
 This package contains the Git segment for showing the status of a Git working
 copy for Python 3.x.

Package: python3-powerline-taskwarrior
Description-md5: 1b2cd756302a337861e41c0a6d61b9d0
Description-en: Powerline segment for showing Taskwarrior information (Python 3)
 A Powerline segment for showing information from Taskwarrior task manager.
 .
 It will show current context and active task (first of alphabetical order).
 .
 This package contains the Python 3 module.

Package: python3-ppl
Description-md5: c71497d6fb9ecac1dd40e0de2f63b7ba
Description-en: Python interface to PPL -- Python 3
 A Python interface to the C++ Parma Polyhedra Library (PPL),
 which allows computations with polyhedra and grids, like mixed
 integer linear programming.
 .
 This package installs the library for Python 3.

Package: python3-pprofile
Description-md5: dd7d3aa5b7f0f10b55518961cffc3be3
Description-en: Line-granularity, deterministic and statistic Python 3 profiler
 Line granularity allows locating precisely where time is spent in code.
 Thread awareness automatically propagates profiling to all threads (all
 threads in statistic mode, or threads spawned by profiled code in
 deterministic mode).
 .
 Deterministic profiling gives precise measures, but at a large speed
 cost (best used on minimal test scenario).
 .
 Statistic profiling gives rough measure, but has an extremely low
 overhead (suitable for live code profiling).
 .
 Does not require marking methods to profile, allowing non-method
 profiling (module imports, class & function declarations and other
 module-level code).
 .
 This package installs the library for Python 3.

Package: python3-praw
Description-md5: 27a677ae4aaadb96ff3ec5caaf33e01d
Description-en: Python Reddit API Wrapper (Python 3 version)
 PRAW, an acronym for "Python Reddit API Wrapper", is a Python module that
 allows for simple access to Reddit's API. PRAW aims to be as easy to use as
 possible and is designed to follow all of Reddit's API rules.
 .
 This package provides the Python 3 version.

Package: python3-prawcore
Description-md5: 628165a5eb05a2a1c05f9815ad447ccf
Description-en: Low-level communication layer for PRAW
 Python module that provides a low-level communication layer for PRAW 4+.

Package: python3-prctl
Description-md5: c1aa366582938b9f79e92390b6822fe4
Description-en: Python interface to the prctl() syscall (Python 3)
 The Linux prctl() function allows you to control specific characteristics of a
 process' behaviour. Usage of the function is fairly messy though, due to
 limitations in C and Linux. This module provides a nice non-messy Python(ic)
 interface.
 .
 This package installs the library for Python 3.

Package: python3-precis-i18n
Description-md5: 62b0e798ad118034e63a114fd7ca56f5
Description-en: internationalized usernames and passwords
 If you want your application to accept unicode user names and
 passwords, you must be careful in how you validate and compare them.
 The PRECIS framework makes internationalized user names and passwords
 safer for use by applications. PRECIS profiles transform unicode
 strings into a canonical form, suitable for comparison.
 .
 This module implements the PRECIS Framework as described in:
 .
  - PRECIS Framework: Preparation, Enforcement, and Comparison of
    Internationalized Strings in Application Protocols (RFC 8264)
  - Preparation, Enforcement, and Comparison of Internationalized
    Strings Representing Usernames and Passwords (RFC 8265)
  - Preparation, Enforcement, and Comparison of Internationalized
    Strings Representing Nicknames (RFC 8266)

Package: python3-preggy
Description-md5: 301bca391025dffc7c4b0ea516c4102e
Description-en: Assertion library for Python
 Collection of expectations for Python applications, extracted from
 the pyVows project.

Package: python3-prelude
Description-md5: f8791ae8504dd6ff5cc636a63e27f0e8
Description-en: Security Information and Events Management system [ Python3 bindings ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the Python 3 bindings for Prelude.

Package: python3-preludedb
Description-md5: 9dc7fe810b24a5f55bad65fc7d02b84a
Description-en: Security Information and Events Management System [ Python3 bindings ]
 The PreludeDB Library provides an abstraction layer upon the type and the
 format of the database used to store IDMEF alerts. It allows developers to use
 the Prelude IDMEF database easily and efficiently without worrying about SQL,
 and to access the database independently of the type/format of the database.
 .
 This package contains the Python 3 bindings for PreludeDB.

Package: python3-preshed
Description-md5: 4d13c716d8978eb7d6190c33cc077066
Description-en: Cython Hash Table for Pre-Hashed Keys
 Simple but high performance Cython hash table mapping pre-randomized keys
 to void* values.

Package: python3-presto
Description-md5: 1df336b8ef4efca6890d5fff54328f94
Description-en: toolkit for processing B and T cell sequences
 pRESTO is a toolkit for processing raw reads from high-throughput
 sequencing of B cell and T cell repertoires.
 .
 Dramatic improvements in high-throughput sequencing technologies now
 enable large-scale characterization of lymphocyte repertoires, defined
 as the collection of trans-membrane antigen-receptor proteins located on
 the surface of B cells and T cells. The REpertoire Sequencing TOolkit
 (pRESTO) is composed of a suite of utilities to handle all stages
 of sequence processing prior to germline segment assignment. pRESTO
 is designed to handle either single reads or paired-end reads. It
 includes features for quality control, primer masking, annotation of
 reads with sequence embedded barcodes, generation of unique molecular
 identifier (UMI) consensus sequences, assembly of paired-end reads and
 identification of duplicate sequences. Numerous options for sequence
 sorting, sampling and conversion operations are also included.

Package: python3-pretend
Description-md5: b72f0cc2a01b3b05581bedd8d736f939
Description-en: Python library for stubbing (Python 3)
 Pretend is a library to make stubbing with Python easier.
 .
 Stubbing is a technique for writing tests. You may hear the term mixed up with
 mocks, fakes, or doubles. Basically a stub is an object that returns pre-canned
 responses, rather than doing any computation.

Package: python3-pretty-yaml
Description-md5: f1252fd6b9d7c463281be0bd5735c28b
Description-en: module to produce pretty and readable YAML-serialized data (Python 3)
 YAML is generally nice and easy format to read if it was written by humans.
 PyYAML can a do fairly decent job of making stuff readable. pyaml tries to
 improve on that a bit, with the following tweaks:
 .
   - Most human-friendly representation options in PyYAML get picked as
     defaults.
   - Does not dump "null" values, if possible, replacing these with just empty
     strings, which have the same meaning but reduce visual clutter and are
     easier to edit.
   - Dicts, sets, OrderedDicts, defaultdicts, namedtuples, etc are
     representable and get sorted on output (OrderedDicts and namedtuples keep
     their ordering), so that output would be as diff-friendly as possible,
     and not arbitrarily depend on Python internals.
   - It appears that at least recent PyYAML versions also do such sorting for
     Python dicts.
   - List items get indented, as they should be.
   - bytestrings that can't be auto-converted to unicode raise error, as yaml
     has no "binary bytes" (i.e. unix strings) type.
   - Attempt is made to pick more readable string representation styles,
     depending on the value.
   - "force_embed" option to avoid having &id stuff scattered all over the
     output (which might be beneficial in some cases, hence the option).
   - "&id" anchors, if used, get labels from the keys they get attached to, not
     just use meaningless enumerators.
   - "string_val_style" option to only apply to strings that are values, not
     keys.
   - Has an option to add vertical spacing (empty lines) between keys on
     different depths, to make output much more seekable.
 .
 This package installs the Python 3 version.

Package: python3-priority
Description-md5: 71b9d84e753e926e4c6b13fb7d16b1a9
Description-en: pure-Python implementation of the HTTP/2 priority tree (Python 3)
 Priority is a pure-Python implementation of the priority logic for HTTP/2, set
 out in RFC 7540 Section 5.3 (Stream Priority). This logic allows for clients
 to express a preference for how the server allocates its (limited) resources to
 the many outstanding HTTP requests that may be running over a single HTTP/2
 connection.
 .
 Specifically, this Python implementation uses a variant of the implementation
 used in the H2O project. This original implementation is also the
 inspiration for nghttp2's priority implementation, and generally produces a
 very clean and even priority stream. The only notable changes from H2O's
 implementation are small modifications to allow the priority implementation to
 work cleanly as a separate implementation, rather than being embedded in a
 HTTP/2 stack directly.
 .
 While priority information in HTTP/2 is only a suggestion, rather than an
 enforceable constraint, where possible servers should respect the priority
 requests of their clients.
 .
 This package is for Python 3.

Package: python3-proboscis
Description-md5: 5a4dcf228acce00faf6a7eb75240c7a2
Description-en: extends Nose with certain TestNG like features - Python 3.x
 Proboscis is a Python test framework that extends Python's built-in unittest
 module and Nose with features from TestNG.
 .
 TestNG is a testing framework inspired from JUnit and NUnit but introducing
 some new functionalities that make it more powerful and easier to use.
 .
 Features:
  * Uses decorators instead of naming conventions.
  * Allows for TestNG style test methods, in which a class is initialized once,
    as an alternative to using class fields.
  * Allows for explicit test dependencies and skipping of dependent tests on
    failures.
  * Runs xUnit style clases if desired or needed for backwards compatibility.
  * Uses Nose if available (but doesn't require it), and works with many of
    its plugins.
  * Runs in IronPython and Jython (although if you're targeting the JVM you
    should consider using TestNG instead).
 .
 This package provides the Python 3.x module.

Package: python3-profitbricks
Description-md5: 66e8abc71a00ad0adab25972c1082165
Description-en: ProfitBricks REST API client library for Python 3
 The ProfitBricks client library for Python provides you with access to the
 ProfitBricks representational state transfer (REST) application programming
 interface (API). The client library supports both simple and complex requests.
 It is designed for developers who are building applications in Python.
 .
 This is the Python 3 version of the library.

Package: python3-progress
Description-md5: f5f78c078b90c42faef21739cdf3779a
Description-en: easy progress reporting for Python
 This package provides several canned progress bars for command line Python
 programs.  Progress bars are customizable and can be advanced by using the
 next() method, with the finish() method to finish.
 .
 This is the Python 3 version.

Package: python3-progressbar
Description-md5: 5148bbfa1d2d37db6f66a4ce12d2a913
Description-en: text progress bar library for Python (Python 3)
 A text progress bar is typically used to display the progress of a long
 running operation, providing a visual cue that processing is underway.
 .
 The ProgressBar class manages the current progress, and the format of the line
 is given by a number of widgets. A widget is an object that may display
 differently depending on the state of the progress bar. There are three types
 of widgets:
   - a string, which always shows itself
   - a ProgressBarWidget, which may return a different value every time its
     update method is called
   - a ProgressBarWidgetHFill, which is like ProgressBarWidget, except it
     expands to fill the remaining width of the line.
 .
 This package contains the Python 3 version of progressbar.

Package: python3-project-generator
Description-md5: d262ff62ac6ccab3af970a6a561e05cb
Description-en: project generators for various embedded tools (IDE)
 python-project-generator allows one to define an embedded hardware/software
 project in structured text (using YAML), and then generate IDE (integrated
 development environment) project files based on the defined rules.
 .
 python-project-generator currently generates projects for the following tools:
 .
  - CMake (GCC ARM)
  - CoIDE (GCC ARM)
  - Eclipse (Makefile with GCC ARM)
  - IAR
  - Makefile (ARMCC)
  - Makefile (GCC ARM)
  - Sublime Text (Makefile with GCC ARM)
  - uVision4 and uVision5
  - Visual Studio (Makefile with GCC ARM)
 .
 This package installs the library and `progen` helper script for Python 3.

Package: python3-project-generator-definitions
Description-md5: 9bdbb34e1e85bb5e7a2ab763a8066a16
Description-en: collection of target/MCU definitions for python-project-generator
 This package provides target and MCU definitions for use with the `progen`
 tool (package: python3-project-generator)
 .
 The definitions provided in this package are used to set proper data for
 embedded tools supported by `progen`. New definitions can be created using
 the `progendef` helper script included in this package.
 .
 This package installs the library and `progendef` helper script for Python 3.

Package: python3-proliantutils
Description-md5: f0bfc7d327342210d3b5313a087364ec
Description-en: client lib interfacing various devices in HP Proliant Servers - Python 3.x
 Proliant Management Tools provides Python libraries for interfacing and
 managing various devices(like iLO) present in HP Proliant Servers. Currently,
 this module offers a library to interface to iLO4 using RIBCL.
 .
 This package contains the Python 3.x module.

Package: python3-prometheus-client
Description-md5: 4e31c2358989e1c26d82fcaeaf24a2bd
Description-en: Python 3 client for the Prometheus monitoring system
 This library provides an API for exporting metrics from a Python 3 application
 It provides classes for the metric types, and an HTTP server to expose the
 metrics to Prometheus.
 .
 When using Linux, the process CPU, RAM, file descriptor usage and start time
 will also be exported.
 .
 Along with the HTTP server to expose metrics, you can also write the metrics
 to a text file to be exported by the prometheus-node-exporter, or push them to
 the prometheus-pushgateway.
 .
 This library also includes support for re-exporting Graphite metrics to
 Prometheus, custom collectors to proxy metrics for other systems and a parser
 for the Prometheus text format.

Package: python3-prompt-toolkit
Description-md5: 7087cd97ed57de3a36f1e9e06418603e
Description-en: library for building interactive command lines (Python 3)
 prompt_toolkit is a GNU readline replacement written in pure Python supporting
 advanced features like syntax highlighting, multi line editing and code
 completion.
 .
 This package installs the library for Python 3.

Package: python3-proselint
Description-md5: 7cadbe30d49548436c4d1670da84582d
Description-en: Library and command-line prose linter utility (Python 3)
 Proselint aggregates knowledge about best practices in writing from world's
 greatest writers and editors, and makes it accessible by giving suggestions in
 the form of a linter for prose.
 .
 This package installs the library and proselint binary for Python 3.

Package: python3-protego
Description-md5: 52740f0597ed93b8d02b6cc4a4374b04
Description-en: Pure-Python robots.txt parser with support for modern conventions
 Protego parses directives set in robots.txt, including non-standard ones such
 as Request-Rate and Host. It also handles some typos in the directive names.

Package: python3-protobix
Description-md5: e6da665a14f4f00fa55e6bdd1e9fe364
Description-en: Implementation of Zabbix Sender protocol (Python 3)
 This module implements Zabbix Sender Protocol.
 It allows one to build list of items and send items and send them as trapper.
 It currently supports items as well as Low Level Discovery.
 .
 This is the Python 3 version of the package.

Package: python3-prov
Description-md5: 7298ed6e46d28edbbdb5e56017e4e36e
Description-en: W3C Provenance Data Model (Python 3)
 A library for W3C Provenance Data Model supporting PROV-JSON and PROV-
 XML import/export.
 .
 Features:
  - An implementation of the W3C PROV Data Model in Python.
  - In-memory classes for PROV assertions, which can then be output
    as PROV-N.
  - Serialization and deserializtion support: PROV-JSON and PROV-XML.
  - Exporting PROV documents into various graphical formats (e.g. PDF,
    PNG, SVG).
 .
 This package provides the modules for Python 3.

Package: python3-proxmoxer
Description-md5: a16e5d2743ad942dfe47efcbd50f6389
Description-en: Python Wrapper for the Proxmox 2.x API (HTTP and SSH) (Python 3)
 Proxmoxer is a wrapper around the Proxmox REST API v2 which allows one to
 programmatically create / delete / manage instances of proxmox managed virtual
 machines and containers.
 .
 To use this package either python3-requests (for the HTTP API) or
 python3-paramiko (for ssh) must be installed.
 .
 This package installs the Python 3 version of proxmoxer.

Package: python3-psautohint
Description-md5: c356127521d45d7cbb1f8c0886077248
Description-en: Python library for standalone version of the AFDKO autohinter
 psautohint is a standalone version of the autohinter from the Adobe Font
 Development Kit for OpenType (AFDKO).
 .
 This package provides the Python 3 library.

Package: python3-pskc
Description-md5: 6f05ce20c0ca01c5295ad7f36bd243ba
Description-en: Python module for handling PSKC files (Python 3)
 This Python library handles Portable Symmetric Key Container (PSKC) files as
 defined in RFC6030. PSKC files are used to transport and provision symmetric
 keys and key meta data (seed files) to different types of crypto modules,
 commonly one-time password tokens or other authentication devices.
 .
 This module can be used to extract keys from PSKC files for use in an OTP
 authentication system. The module can also be used for authoring PSKC files.

Package: python3-psycogreen
Description-md5: 669e1a69873eae0df5b7b797b6466b4d
Description-en: psycopg2 integration with coroutine libraries
 This package enables "psycopg2" to work with coroutine libraries, using
 asynchronous calls internally but offering a blocking interface so that
 regular code can run unmodified.

Package: python3-ptable
Description-md5: 932e242062617baabc08540fc256b585
Description-en: library to represent tabular data in visually appealing ASCII tables (Python3)
 PrettyTable is a simple Python library designed to make it quick and
 easy to represent tabular data in visually appealing ASCII tables. It
 was inspired by the ASCII tables used in the PostgreSQL shell
 psql. PrettyTable allows for selection of which columns are to be
 printed, independent alignment of columns (left or right justified or
 centred) and printing of "sub-tables" by specifying a row range.
 .
 This is the PTable fork of prettytabke.  It has been updated and extended
 in a mostly backward compabitlbe way.  It is provided for packages that need
 features from the newer version.
 .
 This package contains the Python 3 version of prettytable.

Package: python3-ptk
Description-md5: 8e7a3853b6169c993b9b90e38952a7dc
Description-en: parser for Python 3 with support for asynchronous input
 PTK implements LR(1) parsing in Python. Compared to compiled tools
 like Bison, it attempts to spare programmer's time. Python sources
 describe both the grammar and the callbacks, avoiding code
 generation.  Various inputs are accepted: Python 3 asynchronous
 streams, PyZipFile archives, Twisted Deferred objects.
 .
 python3-async-generator is required for asynchronous streams.
 .
 This package installs the library for Python 3.

Package: python3-ptrace
Description-md5: 06c9455d6c0e711f3184939a6da2a1f8
Description-en: Python 3 bindings for ptrace
 This package provides Python bindings for the ptrace library. It allows
 controlling, debugging, or modifying processes using the ptrace syscall.
 .
 Features:
  * High level Python object API
  * Able to control multiple processes: catch fork events
  * Read/write bytes to arbitrary addresses
  * Execution step by step using ptrace_singlestep() or hardware int 3
  * Can use distorm disassembler
  * Dump registers, memory mappings, stack, etc.
  * Syscall tracer and parser (strace command)
 .
 This package provides the ptrace Python module for Python 3.x.

Package: python3-public
Description-md5: 04e2197f11f5676bac14832f87ad2355
Description-en: @public decorator for adding names to __all__
 Never add an explicit __all__ to your modules again!

Package: python3-publicsuffix2
Description-md5: 2d76d38b73be67b43fa6730510fc8888
Description-en: Python3 module to get a domain suffix using the Public Suffix List
 This Python3 module allows you to get the public suffix of a domain name
 using the Public Suffix List from http://publicsuffix.org.
 .
 A public suffix is one under which Internet users can directly register
 names. Some examples of public suffixes are .com, .co.uk and pvt.k12.wy.us.
 Accurately knowing the public suffix of a domain is useful when handling
 web browser cookies, highlighting the most important part of a domain name
 in a user interface or sorting URLs by web site.
 .
 This module replaces the deprecated python3-publicsuffix package.

Package: python3-pubsub
Description-md5: 819690e5bcf32b739521cd5ee0c61622
Description-en: Python 3 publish-subcribe library
 Provides a publish-subscribe API to facilitate event-based or message-based
 architecture in a single-process application. It is pure Python
 and works on Python 3.3+. It is centered on the notion of a topic;
 senders publish messages of a given topic, and listeners subscribe to
 messages of a given topic, all inside the same process. The package also
 supports a variety of advanced features that facilitate debugging and
 maintaining topics and messages in larger desktop- or server-based
 applications.

Package: python3-pudb
Description-md5: 858798ab5131e18f4fe991a6ec20becc
Description-en: full-screen, console-based Python3 debugger
 PuDB is a full-screen, console-based visual debugger for Python3.
 .
 Its goal is to provide all the niceties of modern GUI-based debuggers
 in a more lightweight and keyboard-friendly package. PuDB allows you
 to debug code right where you write and test it -- in a terminal. If
 you've worked with the excellent (but nowadays ancient) DOS-based
 Turbo Pascal or C tools, PuDB's UI might look familiar.

Package: python3-pulp
Description-md5: e895b28bc8e00b29308a203f5c408adc
Description-en: LP modeler - Python 3.x
 PuLP is an LP modeler written in Python. PuLP can generate MPS or LP files
 and call GLPK, COIN CLP/CBC, CPLEX, and GUROBI to solve linear problems.
 .
 This package contains the Python 3.x module.

Package: python3-pure-sasl
Description-md5: dfc8a53b073a9407557b54daa28f9271
Description-en: pure Python client SASL implementation - Python 3.x
 Pure-sasl is a pure python client-side SASL implementation. At the moment, it
 supports the following mechanisms: ANONYMOUS, PLAIN, EXTERNAL, CRAM-MD5,
 DIGEST-MD5, and GSSAPI. Support for other mechanisms may be added in the
 future. Only GSSAPI supports a QOP higher than auth.
 .
 This package contains the Python 3.x module.

Package: python3-purl
Description-md5: 807b3215c824e43dae05e33a6e8bbe27
Description-en: URL interrogation and manipulation (Python3 version)
 A simple, immutable URL class with a clean API for interrogation and
 manipulation that also supports template URLs as per RFC 6570.
 It parses URLs into URL objects which are immutable but also provides mutator
 methods for all segments which return a new URL instance. This allows for
 easy modification of URLs during runtime.
 .
 This package contains the Python 3 version of the library.

Package: python3-pwquality
Description-md5: 04483db006f6aa03b924da3534406479
Description-en: Python bindings for libpwquality
 libpwquality's purpose is to provide common functions for password
 quality checking and also scoring them based on their apparent randomness. The
 library also provides a function for generating random passwords with good
 pronounceability.
 .
 This package contains the Python bindings.

Package: python3-py
Description-md5: cdfb445e8352c81d902426be589c18b7
Description-en: Advanced Python development support library (Python 3)
 The Codespeak py lib aims at supporting a decent Python development process
 addressing deployment, versioning and documentation perspectives. It includes:
 .
  * py.path: path abstractions over local and Subversion files
  * py.code: dynamic code compile and traceback printing support
 .
 This package provides the Python 3 modules.

Package: python3-py-zipkin
Description-md5: 95250ac967038ede6742ed0df596371c
Description-en: Library for using Zipkin in Python
 Provides a context manager/decorator along with some utilities to facilitate
 the usage of Zipkin in Python applications.

Package: python3-py2bit
Description-md5: 5491e5c4eefa09228a7f3f65e6ebee19
Description-en: access to 2bit files
 From https://genome.ucsc.edu/FAQ/FAQformat.html#format7:
 A .2bit file stores multiple DNA sequences (up to 4 Gb total) in a
 compact randomly-accessible format. The file contains masking information
 as well as the DNA itself.

Package: python3-pyaes
Description-md5: c5f9fc5b94696b88aebdbaf5551a98fc
Description-en: Pure-Python implementation of the AES cipher (Python 3)
 A pure-Python implementation of the AES (FIPS-197)
 block-cipher algorithm and common modes of operation (CBC, CFB, CTR, ECB,
 OFB) with no dependencies beyond standard Python libraries. See README.md
 for API reference and details.
 .
 This package contains the Python 3 version of pyaes.

Package: python3-pyaff4
Description-md5: c5db7dfd9bc871320349536f78cfa1e4
Description-en: Python implementation of the AFF4 standard
 This package contains the Python implementation of the AFF4 standard. The
 Advanced Forensics File Format 4 (AFF4) is an open source format used for the
 storage of digital evidence and data.
 .
 The Python bindings were previously provided by python-aff4, from source
 package aff4. Upstream split the project into 2 new projects.

Package: python3-pyagentx
Description-md5: 71c50eda004d1df85b467e2f16f9a7c4
Description-en: AgentX package to extend SNMP with pure Python
 Pure Python implementation of AgentX protocol (RFC 2741) allowing
 you to extend SNMP agent (snmpd) by writing AgentX subagents.
 .
 The agent can support the following commands:
  - snmpget
  - snmpwalk
  - snmptable
  - snmpset

Package: python3-pyalsa
Description-md5: 03c817254f6fd714551b236f24e368ae
Description-en: Official ALSA Python binding library for Python3
 Official ALSA Python binding, including hardware management, mixer and
 sequencer control.
 .
 ALSA is the Advanced Linux Sound Architecture.
 .
 This package provides the Python 3.x module.

Package: python3-pyani
Description-md5: d12187a40c92c1fbbfd1b640ae7f1e8b
Description-en: Python3 module for average nucleotide identity analyses
 Pyani is a Python3 module and script that provides support for
 calculating average nucleotide identity (ANI) and related measures for
 whole genome comparisons, and rendering relevant graphical summary
 output. Where available, it takes advantage of multicore systems, and
 can integrate with SGE/OGE-type job schedulers for the sequence
 comparisons.

Package: python3-pyao
Description-md5: 1f7686d6814e8c3a9cc76101e91a0ad1
Description-en: Python interface to the Audio Output library (Python 3)
 This module makes the libao (Audio Output) functions available
 in Python. With this module you can write Python applications
 that use the cross platform audio output library.

Package: python3-pyao-dbg
Description-md5: fe3a0571830be7293b2e07b74a632bc2
Description-en: Python interface to the Audio Output library (Python 3 debug)
 This module makes the libao (Audio Output) functions available
 in Python. With this module you can write Python applications
 that use the cross platform audio output library.
 .
 This package installes the extension module debug symbols for Python 3.

Package: python3-pyassimp
Description-md5: 37b3e0bc1da29740278cad76c4c328c5
Description-en: 3D model import library (Python3 bindings)
 Assimp is a library to import various well-known 3D model formats ("assets") in
 a uniform manner.
 Assimp aims at providing a full asset conversion pipeline for use in game
 engines / realtime rendering systems of any kind but is not limited to this
 audience.
 .
 This package provides Python3 bindings to the Assimp library.

Package: python3-pyaudio
Description-md5: 029ad1c75ee512cd608b5f1d149cd57c
Description-en: Python3 bindings for PortAudio v19
 PyAudio provides Python bindings for PortAudio v19, the
 cross-platform audio I/O library. PyAudio makes it easy to use Python
 to play and record audio via pythonic wrappers around the PortAudio
 API.  This package is for Python3.

Package: python3-pyavm
Description-md5: f83d9eb40d8e8e135948e3655dfa40f6
Description-en: Python3 module to handle Astronomy Visualization Metadata Standard
 PyAVM is a module to represent, read, and write metadata following the
 Astronomy Visualization Metadata (AVM) standard.
 With pyavm you can:
 .
  * Parse AVM meta-data from an existing image.
  * Access and Set the metadata.
  * Create an AVM object from scratch.
  * Convert to a WCS object.
  * Initialize from a FITS header.
  * Initialize from a WCS object.
 .
 This is the Python 3 Version of the package.

Package: python3-pyaxmlparser
Description-md5: e893af6bee72407522676205e0368777
Description-en: Simple Python library to parse Android XML files
 A simple parsing library written in Python to handle Android
 XML files. This allows parsing without pulling in larger
 dependencies (unlike parsers such as Androguard).

Package: python3-pybadges
Description-md5: 9723a98b5d2dd97c11cbee7ffc4ade6a
Description-en: library for creating Github-style badges (Python3 version)
 pybadges is a Python library and command line tool that allows you to create
 Github-style badges as SVG images. The implementation of the library was
 heavily influenced by Shields.io and the JavaScript gh-badges library.
 .
 This package contains the Python 3 version of the library.

Package: python3-pybedtools
Description-md5: e496bd6e99e71f178676e0bee5f6b965
Description-en: Python 3 wrapper around BEDTools for bioinformatics work
 The BEDTools suite of programs is widely used for genomic interval
 manipulation or “genome algebra”. pybedtools wraps and extends BEDTools and
 offers feature-level manipulations from within Python.
 .
 This is the Python 3 version.

Package: python3-pybel
Description-md5: 7f907ff46c47b8a43a5a2fcaa1abff11
Description-en: Biological Expression Language
 PyBEL is a pure Python package for parsing and handling biological
 networks encoded in the Biological Expression Language (BEL) version
 2. It also facilitates data interchange between common formats and
 databases such as NetworkX, JSON, CSV, SIF, Cytoscape, CX, NDEx, SQL,
 and Neo4J.
 .
 This package installs the library for Python 3.

Package: python3-pybigwig
Description-md5: dd8d1671ae07e140d59df9dd594e4df0
Description-en: Python 3 module for quick access to bigBed and bigWig files
 This is a Python extension, written in C, for quick access to bigBed files,
 and access to and creation of bigWig files.
 .
 The bigWig format was originally created in the context of genome
 browsers. There, computing exact summary statistics for a given interval
 is less important than quickly being able to compute an approximate
 statistic. Because of this, bigWig files contain not only interval-value
 associations, but also `sum of values`/`sum of squared values`/`minimum
 value`/`maximum value`/`number of bases covered` for equally sized
 bins of various sizes. These different sizes are referred to as "zoom
 levels". The smallest zoom level has bins that are 16 times the mean
 interval size in the file and each subsequent zoom level has bins 4 times
 larger than the previous. This methodology is used in Kent's tools and,
 therefore, likely used in almost every currently existing bigWig file.
 .
 When a bigWig file is queried for a summary statistic, the size of the
 interval is used to determine whether to use a zoom level and, if so,
 which one. The optimal zoom level is that which has the largest bins no
 more than half the width of the desired interval. If no such zoom level
 exists, the original intervals are instead used for the calculation.

Package: python3-pybind11
Description-md5: 1d83a9fe59bd36d4a86f9868d6abdfe1
Description-en: pybind11 helper module for Python 3
 pybind11 is a lightweight header library that exposes C++ types in
 Python and vice versa, mainly to create Python bindings of existing C++
 code. Its goals and syntax are similar to the excellent Boost.Python
 library by David Abrahams: to minimize boilerplate code in traditional
 extension modules by inferring type information using compile-time
 introspection.
 .
 This package provides pybind11 for Python 3.

Package: python3-pybindgen
Description-md5: d34d6827b3eef63848533a5a6c3bdcd2
Description-en: Python bindings generator (Python 3)
 This package contains a Python bindings generator. It can generate clean C or
 C++ code or self contained code.
 .
 This package installs the library for Python 3.

Package: python3-pybtex
Description-md5: c7210190094e31dd7263602f5163a0db
Description-en: public modules for pybtex (Python 3)
 Pybtex reads citation information from a file and produces a formatted
 bibliography. BibTeX style files are supported. Alternatively it is
 possible to write styles in Python.
 .
 Pybtex currently understands the following bibliography formats:
  * BibTeX
  * BibTeXML
  * YAML-based format
 .
 The resulting bibliography may be output in one of the following formats:
  * LaTeX
  * HTML
  * plain text
 .
 This package provides the modules for Python 3.

Package: python3-pybtex-docutils
Description-md5: dc07be1acd6611eac7e916e590776769
Description-en: docutils backend for pybtex
 Pybtex reads citation information from a file and produces a formatted
 bibliography. Pybtex-docutils provides a plugin which brings support for
 docutils to pybtex.
 .
 This package provides the modules for Python 3.

Package: python3-pycallgraph
Description-md5: 8015084ba66f499f5359a7b01d0f6591
Description-en: Python library that creates call graphs for Python programs
 It uses GraphViz to generate call graphs from one execution of
 your Python code. It's very easy to use and can point out possible problems
 with your code execution.
 .
 This package contains the Python 3 module for pycallgraph

Package: python3-pycangjie
Description-md5: f704fe2ccd9ead25f7576bc00c91a8e6
Description-en: Python wrapper to libcangjie
 This is a Python wrapper to libcangjie, a library that implements the
 Chinese input method Cangjie (and also Quick).

Package: python3-pycares
Description-md5: 56258a2b9d751c50bee6e574be68851e
Description-en: Python interface for c-ares (Python 3)
 pycares is a Python module which provides an interface to c-ares. c-ares is
 a C library that performs DNS requests and name resolutions asynchronously.
 .
 This package installs the library for Python 3.

Package: python3-pychromecast
Description-md5: dddbd4e1a6fa8ed67795109fbee7bfa8
Description-en: Library to communicate with Google Chromecast (Python 3)
 This library makes it easy to communicate with a Chromecast device using
 Python.
 .
 It currently supports:
 .
  - Auto discovering connected Chromecasts on the network
  - Start the default media receiver and play any online media
  - Control playback of current playing media
  - Implement Google Chromecast API v2
  - Communicate with apps via channels
  - Easily extendable to add support for unsupported namespaces
 .
 This package contains the Python 3 version of pychromecast

Package: python3-pycifrw
Description-md5: 3d7a535c4823a25dfb0e86c98851df51
Description-en: CIF/STAR file support for Python
 PyCIFRW provides support for reading and writing CIF (Crystallographic
 Information Format) files using Python.
 .
 The specifications found in Vol G of the International Tables for
 Crystallography were used as a reference for CIF 1.0/1.1 syntax.
 http://dx.doi.org/10.1107/S1600576715021871 was used as the CIF 2.0
 reference.

Package: python3-pyclamd
Description-md5: 94d78b9ec37be30ebfc1c58a718ddb7e
Description-en: Python 3 interface to the ClamAV daemon
 This package adds virus detection capabilities to Python 3 software in
 an efficient and easy way, thanks to the ClamAV antivirus toolkit.

Package: python3-pyclipper
Description-md5: 8ea16c551510699553f539fed6b5fece
Description-en: Cython wrapper for the Clipper library
 Pyclipper is a Cython wrapper exposing public functions and classes of
 the C++ translation of Angus Johnson’s Clipper library.

Package: python3-pycoast
Description-md5: 26c70f7e80ad4c3ea09de4fc4b41092b
Description-en: Draw coastlines, borders and rivers on images (for Python 3)
 Pycoast is a Python package to add coastlines, borders and rivers to
 raster images using data from the GSHHG (previously known as GSHHS)
 and WDBII datasets.
 .
 This package is part of the PyTroll toolset.
 .
 This package contains pycoast for Python 3.

Package: python3-pycodcif
Description-md5: 8839bc1bd3a7d28ad00fb9175db8da2a
Description-en: error-correcting CIF parser - Python3 bindings
 Python bindings for Crystallographic Information Format (CIF) v1.1
 and v2.0 parser, which is written in C language, and developed by the
 Crystallography Open Database. A CIF format file is represented by a
 list of data blocks, where each data block is represented by a
 dictionary.
 .
 This package installs the library for Python 3.

Package: python3-pycodestyle
Description-md5: 3098acda3d404f3fc30a5c97463d4d9e
Description-en: Python style guide checker (formerly called pep8) - Python 3.x
 Features a plugin architecture allowing for adding new checks is easily.
 Parseable output listing line numbers of the error location. Consists of
 just one Python file, and requires only stdlib.
 .
 This package contains the Python 3.x module.

Package: python3-pycosat
Description-md5: e046509bb4718e18f773d4fa5f7fe720
Description-en: Python bindings to picosat
 PicoSAT is a popular SAT solver written by Armin Biere in pure C. This
 package provides efficient Python bindings to picosat on the C level,
 i.e. when importing pycosat, the picosat solver becomes part of the
 Python process itself.

Package: python3-pycountry
Description-md5: 9ee8355c76c79112424b8cbbebd731c5
Description-en: ISO databases accessible from Python 3
 pycountry provides ISO country, subdivision, language, currency and script
 definitions and their translations, taken from the iso-codes package.
 .
 This is the Python 3 version of the package.

Package: python3-pydantic
Description-md5: 94574037983f36af5979357ea52cc6d9
Description-en: Data validation and settings management using Python type hinting
 pydantic uses type hinting (PEP 484) and variable annotation (PEP 526) to
 validate that untrusted data takes the desired form. There is also support for
 an extension to dataclasses where the input data is validated.

Package: python3-pydbus
Description-md5: 687e390e40929a27b3c2fb21ba76eed9
Description-en: Pythonic D-Bus library (Python 3)
 pydbus provides a pythonic interface to the D-Bus message
 bus system. pydbus can be used to access remote objects
 and also for object publication.
 .
 It is based on PyGI, the Python GObject Introspection
 bindings, which is the recommended way to use GLib from
 Python.
 .
 This package installs the library for Python 3.

Package: python3-pydecorate
Description-md5: 8b632f8e8e23f55e34ca16b951415edc
Description-en: Decorating PIL images with logos, texts, pallettes
 Pydecorate is a package for decorating PIL images with logos, texts,
 and color scales.
 .
 It is part of the PyTroll package suite.

Package: python3-pydenticon
Description-md5: 93b4a98825f2130caeaf38966d5cdd13
Description-en: deterministically generate identicons in Python 3
 Pydenticon is a small utility library that can be used for deterministically
 generating identicons based on the hash of provided data.
 .
 The implementation is a port of the Sigil identicon implementation.
 .
 Pydenticon provides a couple of extensions of its own when compared to the
 original Sigil implementation, like:
 .
 * Ability to supply custom digest algorithms (allowing for larger identicons if
   digest provides enough entropy).
 * Ability to specify a rectangle for identicon size.
 .
 This package is for Python 3.

Package: python3-pydicom
Description-md5: c579a6a26930f7c5a108262abbbcf541
Description-en: DICOM medical file reading and writing (Python 3)
 pydicom is a pure Python module for parsing DICOM files.  DICOM is a
 standard (http://medical.nema.org) for communicating medical images
 and related information such as reports and radiotherapy objects.
 .
 pydicom makes it easy to read DICOM files into natural pythonic
 structures for easy manipulation.  Modified datasets can be written
 again to DICOM format files.
 .
 This package installs the module for Python 3.

Package: python3-pydispatch
Description-md5: db6ec99b7dfe51701e6e6d13c8c1dba4
Description-en: Python 3 signal dispatching mechanism
 PyDispatcher provides the Python programmer with a
 multiple-producer-multiple-consumer signal-registration and routing
 infrastructure for use in multiple contexts. The mechanism of PyDispatcher
 started life as a highly rated recipe in the Python Cookbook. The project
 aims to include various enhancements to the recipe developed during use in
 various applications.
 .
 This package contains the Python 3 version of PyDispatcher.

Package: python3-pydl
Description-md5: bff08e5c0fd301b0aa1c5abb2e7e887a
Description-en: Library of IDL astronomy routines converted to Python 3
 PyDL consists of Python replacements for functions that are part of the IDL
 built-in library or part of astronomical IDL libraries.
 The emphasis is on reproducing results of the astronomical library
 functions.
 Only the bare minimum of IDL built-in functions are implemented to support
 this.
 .
 There are four astronomical libraries targeted:
 .
   * idlutils: a general suite of tools heavily used by SDSS.
   * Goddard utilities: The IDL Astronomy User's Library, maintained by
 Wayne Landsman and distributed with idlutils.
   * idlspec2d: tools for working with SDSS, BOSS and eBOSS spectroscopic
 data.
   * photoop: tools for working with SDSS imaging data.
 .
 This is the Python 3 version of the package.

Package: python3-pydocstyle
Description-md5: c7dfc19e8164a5e44cad62b0ddce1ce3
Description-en: Python docstring style checker (Python 3 library)
 PEP-257 provides conventions for Python docstrings (string literals which
 occur as first statement in a module, function, class or method definition
 for documentation purposes). This tool checks Python code whether
 these conventions have been complied with, and if docstring are missing.
 .
 This is a successor of the application "pep257".
 .
 This package installs the library for Python 3.

Package: python3-pydot
Description-md5: c3a600d9b04858dc9ef43dcffcebdbf3
Description-en: Python interface to Graphviz's dot (Python 3)
 pydot allows one to easily create both directed and non directed graphs from
 Python. Currently all attributes implemented in the Dot language are supported.
 .
 Output can be inlined in Postscript into interactive scientific environments
 like TeXmacs, or output in any of the format's supported by the Graphviz tools
 dot, neato, twopi.
 .
 This package contains pydot for Python 3.

Package: python3-pydot-ng
Description-md5: 126bbc9f43ec1fb67ed2da936015354f
Description-en: interface to Graphviz's Dot
 This module provides with a full interface to create handle modify and process
 graphs in Graphviz’s dot language.
 .
 This package contains the Python 3.x module.

Package: python3-pydotplus
Description-md5: 2440d418a7cc464357e781f2dd6b248a
Description-en: interface to Graphviz's Dot language - Python 3.x
 PyDotPlus is an improved version of the old pydot project that provides a
 Python Interface to Graphviz's Dot language.
 .
 Differences with pydot:
  * Compatible with PyParsing 2.0+.
  * Python 2.7 - Python 3 compatible.
  * Well documented.
  * CI Tested.
 .
 This package contains the Python 3.x module.

Package: python3-pydrive
Description-md5: dfa4618d0192de0884d1e3514a6288b3
Description-en: Google Drive API Python wrapper library
 Features of PyDrive
  * Simplifies OAuth2.0 into just few lines with flexible settings.
  * Wraps Google Drive API into classes of each resource to make your
    program more object-oriented.
  * Helps common operations else than API calls, such as content fetching
    and pagination control.
 .
 This package contains the Python 3 version of the library.

Package: python3-pyds9
Description-md5: a8b6bc1618b00b5ebdebfbd2f15c33ea
Description-en: Communication with the ds9 image display program from Python
 The XPA messaging system provides seamless communication between many kinds
 of Unix programs, including Tcl/Tk programs such as ds9. The pyds9 module
 uses a Python interface to XPA to communicate with ds9. It supports
 communication with all of ds9’s XPA access points.

Package: python3-pydub
Description-md5: d7f73940a09ed06a15a0d44821cc2597
Description-en: Python audio sample manipulation (Python 3)
 Pydub is a library to manipulate audio data with a simple high level
 interface.
 .
 The library support (among others) the following functions:
  - can use `ffmpeg' to support other formats than `.wav'
  - extract segments of audio data
  - concatenation
  - make segments louder or quieter
  - add repeats
  - cross-fade
  - edit file metadata
 .
 This package installs the library for Python 3.

Package: python3-pyeapi
Description-md5: fdb5b839acedc0ae3632a80490a1c586
Description-en: Python API to interact with EOS network devices - Python 3.x
 The Python Client for eAPI (pyeapi) is a native Python library
 wrapper around Arista EOS eAPI.  It provides a set of Python language
 bindings for configuring Arista EOS nodes. It can be used either on a
 local node (running EOS) or on a remote node.
 .
 This library also provides an API layer for building native Python
 objects to interact with the destination nodes. The API layer is a
 convenient implementation for working with the EOS configuration and
 is extensible for developing custom implementations. For example, it
 allows one to list VLAN or configure a BGP session.
 .
 This package contains the Python 3.x module.

Package: python3-pyee
Description-md5: cacb62babb1dc312985be23715420ba8
Description-en: Python 3 port of node.js's EventEmitter to Python
 This package contains the pyee module for Python 3. pyee supplies an
 EventEmitter object similar to the EventEmitter from node.js. This allows
 developers to register a function to an event, which means that when the event
 is emitted, the function will be called.

Package: python3-pyepsg
Description-md5: 27a62a4181bedb5d67119775c3fa4dfc
Description-en: easy access to the EPSG database (Python 3)
 A simple interface to EPSG.io (https://epsg.io/).
 .
 EPSG.io simplifies the discovery of coordinate reference systems
 utilized all over the world for creating maps and geodata and for
 identifying geo-position. It is a practical tool for anybody interested
 in cartography and digital map making, who needs to know exact latitude
 and longitude values for numerical coordinates in different spatial
 reference systems.
 .
 This package provides the modules for Python 3.

Package: python3-pyethash
Description-md5: 7f248dbe9073b26d8bbd529753510c3b
Description-en: Ethash proof-of-work algorithm — Python 3
 Ethash is the Proof-of-Work function in the Ethereum protocol.
 .
 This package installs the library for Python 3.

Package: python3-pyface
Description-md5: 4535dd18080a7b4e142f0dbafde4c38f
Description-en: traits-capable windowing framework
 The pyface project contains a toolkit-independent GUI abstraction
 layer, which is used to support the "visualization" features of the
 Traits package. Thus, you can write code in terms of the Traits API
 (views, items, editors, etc.), and let pyface and your selected
 toolkit and back-end take care of the details of displaying them.
 .
 The following GUI backends are supported:
  o wxPython
  o PyQt
  o PySide

Package: python3-pyfai
Description-md5: d92b5b76c92a7025dd4c3b87b6aee6dc
Description-en: Fast Azimuthal Integration scripts - Python3
 PyFAI is a Python library for azimuthal integration; it allows the conversion
 of diffraction images taken with 2D detectors like CCD cameras into X-Ray
 powder patterns that can be used by other software like Rietveld refinement
 tools (i.e. FullProf), phase analysis or texture analysis.
 .
 As PyFAI is a library, its main goal is to be integrated in other tools like
 PyMca, LiMa or EDNA. To perform online data analysis, the precise description
 of the experimental setup has to be known. This is the reason why PyFAI
 includes geometry optimization code working on "powder rings" of reference
 samples. Alternatively, PyFAI can also import geometries fitted with other
 tools like Fit2D.
 .
 PyFAI has been designed to work with any kind of detector with any geometry
 (transmission, reflection, off-axis, ...). It uses the Python library FabIO
 to read most images taken by diffractometer.
 .
 This is the Python 3 version of the package.

Package: python3-pyfai-dbg
Description-md5: f1106fc6389437dcf01e532f95989413
Description-en: Fast Azimuthal Integration scripts - Python3 debug
 PyFAI is a Python library for azimuthal integration; it allows the conversion
 of diffraction images taken with 2D detectors like CCD cameras into X-Ray
 powder patterns that can be used by other software like Rietveld refinement
 tools (i.e. FullProf), phase analysis or texture analysis.
 .
 As PyFAI is a library, its main goal is to be integrated in other tools like
 PyMca, LiMa or EDNA. To perform online data analysis, the precise description
 of the experimental setup has to be known. This is the reason why PyFAI
 includes geometry optimization code working on "powder rings" of reference
 samples. Alternatively, PyFAI can also import geometries fitted with other
 tools like Fit2D.
 .
 PyFAI has been designed to work with any kind of detector with any geometry
 (transmission, reflection, off-axis, ...). It uses the Python library FabIO
 to read most images taken by diffractometer.
 .
 This package contains the extension built for the Python 3 debug
 interpreter.

Package: python3-pyfaidx
Description-md5: 8b4b562961c5bb65ecfef8c074f66a35
Description-en: efficient random access to fasta subsequences for Python 3
 Samtools provides a function "faidx" (FAsta InDeX), which creates a
 small flat index file ".fai" allowing for fast random access to any
 subsequence in the indexed FASTA file, while loading a minimal amount of
 the file in to memory. This Python module implements pure Python classes
 for indexing, retrieval, and in-place modification of FASTA files using
 a samtools compatible index. The pyfaidx module is API compatible with
 the pygr seqdb module. A command-line script "faidx" is installed
 alongside the pyfaidx module, and facilitates complex manipulation of
 FASTA files without any programming knowledge.
 .
 This package provides the Python 3 modules to access fasta files.

Package: python3-pyfftw
Description-md5: 6acad1c7acdcf84aa4c097fe5a448320
Description-en: Pythonic wrapper around FFTW - Python 3
 Pythonic wrapper around FFTW, the speedy FFT library. The ultimate
 aim is to present a unified interface for all the possible transforms
 that FFTW can perform.
 .
 Both the complex DFT and the real DFT are supported, as well as
 arbitrary axes of abitrary shaped and strided arrays, which makes it
 almost feature equivalent to standard and real FFT functions of
 numpy.fft (indeed, it supports the clongdouble dtype which numpy.fft
 does not).
 .
 pyFFTW is BSD-licensed and should not be confused with python-fftw, a
 GPL-licensed python module with the same aim of providing python
 bindings to FFTW3. Or python3-gpyfft, which provides bindings to the
 OpenCL FFT library clFFT.
 .
 This package provides the Python 3 bindings.

Package: python3-pyfg
Description-md5: 10da34b452827a4d523085cc732e0230
Description-en: Python API for Fortinet's Fortigate firewalls (Python 3)
 This package provides a Python API to connect to FortiGate network
 firewalls from Fortinet. It can retrieve several information,
 including interfaces and inventory. It can also modify the
 current configuration and execute arbitrary commands.
 .
 This package contains the Python 3 version.

Package: python3-pyfiglet
Description-md5: ae9715a009b87f0763bab2cbefe1b974
Description-en: Python 3 port of the FIGlet specification
 FIGLet is a program that creates large characters out of ordinary screen
 characters. It takes ASCII text and renders it in ASCII art fonts.
 .
 This is a Python 3 port of the original C figlet.  It can be used on the
 commandline or as an Object Oriented driver library in your own programs.

Package: python3-pyflakes
Description-md5: 30dc8cb13fbb6b058928484897a808d6
Description-en: passive checker of Python 3 programs - Python modules
 Pyflakes is program to analyze Python programs and detect various
 errors. It works by parsing the source file, not importing it, so it
 is safe to use on modules with side effects. It's also much faster.
 .
 Unlike PyLint, Pyflakes checks only for logical errors in programs;
 it does not perform any checks on style.
 .
 This is the Python 3 library version.

Package: python3-pyflow
Description-md5: 307f769a50205592a818103a1e7ae9af
Description-en: lightweight parallel task engine for Python
 pyFlow is a tool to manage tasks in the context of a task dependency
 graph. It has some similarities to make. pyFlow is not a program – it is
 a Python module, and workflows are defined using pyFlow by writing
 regular Python code with the pyFlow API.

Package: python3-pyfribidi
Description-md5: bb097103548de01a24f5220a37f15646
Description-en: FriBidi Python bindings
 FriBiDi is a bidirectional algorithm implementation for Hebrew and/or Arabic
 languages.
 .
 This package contains the Python module for the FriBidi C library.

Package: python3-pyftpdlib
Description-md5: 79e934db53bdc7ed59f0b363d1be4d33
Description-en: Python FTP server library (Python 3)
 Python FTP server library provides a high-level portable interface to
 easily write asynchronous FTP servers with Python.
 .
 pyftpdlib is currently the most complete RFC-959 FTP server
 implementation available for Python programming language. It is used
 in projects like Google Chromium and Bazaar.
 .
 This package provides the Python 3.x module.

Package: python3-pygac
Description-md5: 62456b487b48a940e062c0e0bda454cf
Description-en: Python package to read and calibrate NOAA AVHRR GAC data
 pygac reads NOAA AVHRR Global Area Coverage (GAC) data, and performs
 state of the art calibration and navigation.
 .
 It must be noted that pygac expects Level 1b file to contain normal
 GAC header and data records, the format of which are mentioned in the
 official NOAA POD and KLM Data User Guides.
 The user should not prepend any other header (e.g. when downloading
 GAC data from CLASS archive etc) to the L1b file.
 In the first pre-processing step, pygac determines whether the GAC
 data comes from the second (i.e. NOAA-14 and before) or the third
 generation (NOAA-15 and onwards) AVHRR instrument by "pygac-run".
 This is done by reading the first three bytes of the data set.
 If they contain the any of the following values, ["CMS", "NSS", "UKM",
 "DSS"], then the KLM reader from "gac_klm.py" file is invoked,
 otherwise the POD reader is invoked (gac_pod.py).

Package: python3-pygal
Description-md5: 7bb3dc9c8bb3d70b8f1a788e566dd556
Description-en: Python3 svg graph plotting library
 dynamic easy to use SVG charting library, featuring graph types:
  * Bar charts
  * Line charts
  * XY charts
  * Pie charts
  * Radar charts
  * Box plot
  * Dot charts
  * Pyramid charts
  * Funnel charts
  * Gauge charts
  * Worldmap charts
  * Country charts
 .
 This is the Python 3 version of the package.

Package: python3-pygalmesh
Description-md5: 020d10d08e79a8e5e1943cd9b11ea372
Description-en: Python 3 frontend to CGAL's 3D mesh generators
 pygalmesh makes it easy to create high-quality 3D volume and surface
 meshes.
 .
 CGAL offers two different approaches for mesh generation:
  -   Meshes defined implicitly by level sets of functions.
  -   Meshes defined by a set of bounding planes.
 .
 pygalmesh provides a front-end to the first approach, which has the
 following advantages and disadvantages:
  -   All boundary points are guaranteed to be in the level set within
      any specified residual. This results in smooth curved surfaces.
  -   Sharp intersections of subdomains (e.g., in unions or
      differences of sets) need to be specified manually (via feature edges,
      see below), which can be tedious.
 .
 On the other hand, the bounding-plane approach (realized by mshr),
 has the following properties:
  -   Smooth, curved domains are approximated by a set of bounding
      planes, resulting in more of less visible edges.
  -   Intersections of domains can be computed automatically, so
      domain unions etc. have sharp edges where they belong.
 .
 pygalmesh and mshr are therefore complementary.
 .
 pygalmesh also interfaces CGAL's 3D periodic mesh generation.
 .
 This package installs the pygalmesh module for Python 3.
 .
 It also provides the utility scripts pygalmesh-from-inr and
 pygalmesh-volume-from-surface for generating volume meshes from
 INR or surface meshes.

Package: python3-pygame
Description-md5: 306500d85ba0762cf985a58d17463575
Description-en: SDL bindings for games development (Python 3)
 A multimedia development kit for Python. Pygame provides modules for you
 to access the video display, play sounds, track time, read the mouse and
 joystick, control the CD player, render true type fonts and more. It does
 this using mainly the cross-platform SDL library, a lightweight wrapper
 to OS-specific APIs.
 .
 This is the Python 3 version of the package.

Package: python3-pygccxml
Description-md5: 5e8f83925643ebe4225d8deb2e54bff6
Description-en: specialized XML reader reads the output from gccxml - python3 lib
 The purpose of pygccxml is to read a generated file and provide a
 simple framework to navigate C++ declarations using Python classes.
 .
 This package provides the Python 3 module that can be imported for uses.

Package: python3-pygeoif
Description-md5: 603bf66915bf58efa30d5c48bcaafb7f
Description-en: basic implementation of the __geo_interface__ (Python 3)
 PyGeoIf provides a GeoJSON-like protocol for geo-spatial (GIS) vector data.
 .
 So when you want to write your own geospatial library with support for this
 protocol you may use pygeoif as a starting point and build your functionality
 on top of it
 .
 You may think of pygeoif as a ‘shapely ultralight’ which lets you construct
 geometries and perform very basic operations like reading and writing
 geometries from/to WKT, constructing line strings out of points, polygons from
 linear rings, multi polygons from polygons, etc. It was inspired by shapely and
 implements the geometries in a way that when you are familiar with shapely you
 feel right at home with pygeoif.

Package: python3-pygeoip
Description-md5: c54697b39f543f313cc12f430693dad1
Description-en: Python API for Maxmind's binary GeoIP databases
 pygeoip provides a pure Python API for Maxmind's binary GeoIP databases.
 .
 It enables the user to find the country that any IP address or hostname
 originates from.

Package: python3-pygerrit2
Description-md5: ee38d8671ea4fad7c90efeb0876d8fd5
Description-en: library to interact with Gerrit via the REST API - Python 3.x
 pygerrit2 is simple Python library to provide interface to interact with
 Gerrit Code Review via it's REST API.
 .
 This package contains the Python 3.x module.

Package: python3-pygetdata
Description-md5: e3f8adc1ed0fa88163cbbc7e60b34bcf
Description-en: library to read/write dirfile data - Python3 bindings
 The GetData Project is the reference implementation of the Dirfile Standards,
 a filesystem-based, column-oriented database format for time-ordered binary
 data. The Dirfile database format is designed to provide a fast, simple format
 for storing and reading data.

Package: python3-pyghmi
Description-md5: 8b901ab0e78f6acf56bce566cb548414
Description-en: General Hardware Management Initiative (IPMI and others) - Python 3.x
 This is a pure Python implementation of IPMI protocol. ipmictl.py is a sample
 application to roughly show the most simple approach to invoking the library.
 With this library, you can remotely start/stop/reset your server.
 .
 This package provides the Python 3.x module.

Package: python3-pygit2
Description-md5: ef0edc4d6e4a51f751c8e70dc353373e
Description-en: bindings for libgit2 - Python 3.x
 The Pygit2 module provides a set of Python bindings to the libgit2 shared
 library. libgit2 implements the core of Git. Pygit2 works with Python 2.7,
 3.x and pypy.
 .
 This package contains the Python 3.x module.

Package: python3-pyglet
Description-md5: 1526f4a1a47d021207ca4cf22905703b
Description-en: cross-platform windowing and multimedia library (Python 3)
 This library provides an object-oriented programming interface for developing
 games and other visually-rich applications with Python.
 pyglet has virtually no external dependencies. For most applications and game
 requirements, pyglet needs nothing else besides Python, simplifying
 distribution and installation. It also handles multiple windows and
 fully aware of multi-monitor setups.
 .
 pyglet might be seen as an alternative to PyGame.
 .
 This is the Python 3 version.

Package: python3-pyglossary
Description-md5: 0382672f0b55438f9f1a668275e932b7
Description-en: tool for workig with dictionary databases - Python 3.X
 PyGlossary is a tool for converting dictionary files aka glossaries,
 from/to various formats used by different dictionary applications

Package: python3-pygnuplot
Description-md5: 52800e1f5356bc82ebf708d49f41d06d
Description-en: Simple Python wrapper for Gnuplot
 PyGnuplot works as a Python pipe for Gnuplot allowing to pipe commands
 to Gnuplot command prompt, plot, save data files, create postscript and PDF
 files
 .
 This package installs the library for Python 3.

Package: python3-pygpu
Description-md5: 248c144b76a68edc01300c8e06284718
Description-en: language bindings for libgpuarray (Python 3)
 libgpuarray provides a ndarray (multi-dimensional array) object which
 is computed on the GPU. It supports int, single and double precision
 floats.
 .
 libgpuarray supports Nvidia's CUDA interface as well as OpenCL. The
 Debian packages have been build against OpenCL. However, the source
 package could be rebuild locally also for CUDA (which is non-free)
 without changes, if that's needed.
 .
 This package provides the language bindings for Python 3.

Package: python3-pygpu-dbg
Description-md5: 31d16980482f9227181bb55b8e91b834
Description-en: debug extensions for pygpu (Python 3)
 libgpuarray provides a ndarray (multi-dimensional array) object which
 is computed on the GPU. It supports int, single and double precision
 floats.
 .
 libgpuarray supports Nvidia's CUDA interface as well as OpenCL. The
 Debian packages have been build against OpenCL. However, the source
 package could be rebuild locally also for CUDA (which is non-free)
 without changes, if that's needed.
 .
 This package provides the debug extensions for Python 3.

Package: python3-pygrace
Description-md5: 251bb105b83a404158c8f15e223adf18
Description-en: Python3 bindings for grace
 Grace is a point-and-click tool that allows the user to draw X-Y plots.
 .
 So python3-grace inherits: User defined scaling, tick marks, labels,
 symbols, line styles, colors. Polynomial regression, splines, running
 averages, DFT/FFT, cross/auto-correlation, etc.
 .
 In addition, python-grace interacts nicely with numpy.

Package: python3-pygraphviz
Description-md5: 4804d58b01bdfba1293e780ad3c63b5a
Description-en: Python interface to the Graphviz graph layout and visualization package (Python 3)
 Pygraphviz is a Python interface to the Graphviz graph layout and
 visualization package.
 .
 With Pygraphviz you can create, edit, read, write, and draw graphs using
 Python to access the Graphviz graph data structure and layout algorithms.
 .
 This package contains the Python 3 version of python-pygraphviz.

Package: python3-pygraphviz-dbg
Description-md5: 77c346eff811dcbcd9f1519029957a8b
Description-en: Python interface to the Graphviz graph layout and visualization package (py3k debug extension)
 Pygraphviz is a Python interface to the Graphviz graph layout and
 visualization package.
 .
 With Pygraphviz you can create, edit, read, write, and draw graphs using
 Python to access the Graphviz graph data structure and layout algorithms.
 .
 This package contains the debug extension for python3-pygraphviz.

Package: python3-pygresql
Description-md5: 7fea7c86818140d77a062eccee13411b
Description-en: PostgreSQL module for Python3
 PyGreSQL is a Python module that interfaces to a PostgreSQL database. It
 embeds the PostgreSQL query library to allow easy use of the powerful
 PostgreSQL features from a Python script.
 .
 This package installs the library for Python 3.

Package: python3-pygtail
Description-md5: 9c3b724df13ac55b34f771f43dbe9a06
Description-en: read log file lines that have not been read (Python 3)
 Pygtail reads log file lines that have not been read. It will even handle log
 files that have been rotated.
 .
 This has similar functionality to the logtail2 tool (which can be found in the
 logtail package).
 .
 This package contains the Python 3 library.

Package: python3-pygtrie
Description-md5: f3083aea189efc67e98b74207f8bc963
Description-en: Python library implementing a trie data structure (Python 3)
 Trie data structure, also known as radix or prefix tree, is a tree
 associating keys to values where all the descendants of a node have
 a common prefix (associated with that node).
 .
 The trie module contains Trie, CharTrie and StringTrie classes each
 implementing a mutable mapping interface, i.e. dict interface. As
 such, in most circumstances, Trie could be used as a drop-in
 replacement for a dict, but the prefix nature of the data structure
 is trie's real strength.
 .
 The module also contains PrefixSet class which uses a trie to store
 a set of prefixes such that a key is contained in the set if it or
 its prefix is stored in the set.
 .
 This package installs the library for Python 3.

Package: python3-pyhamtools
Description-md5: b837349b35f39feae2914d6777bac8e7
Description-en: Python library with amateur radio functions and methods
 Pyhamtools is a set of functions and classes for Amateur Radio purpose.
 Currently the core part is the callsign lookup which decodes any amateur radio
 callsign string and provides the corresponding information (country, DXCC
 entity, CQ zone... etc). This basic functionality is needed for logbooks, DX
 clusters or log checking. This and additional convenience features are
 provided for the following sources:
 .
  * AD1C's country-files.org
  * Clublog prefixes & exceptions XML file
  * Clublog DXCC query API
  * QRZ.com XML API
  * Redis.io
  * ARRL Logbook of the World (LOTW)
  * eQSL.cc user list
  * Clublog & OQRS user list
 .
 Other modules include location based calculations (e.g. distance, heading
 between Maidenhead locators) or frequency based calculations (e.g. frequency
 to band).

Package: python3-pyicloud
Description-md5: f65043b377e8fc4ca18b376737cdb0d4
Description-en: wrapper to access iPhone and Calendar data in the iCloud
 PyiCloud is a module which allows one to interact with iCloud webservices.
 It is written in Python and you can access your iCloud either with
 password/username or with Two-factor authentication (2FA).
 You can:
  - list which devices are associated with your account
  - obtain the device's last known location. The Find My iPhone app
    must have been installed and initialized.
  - send a request to the device to play a sound
  - activate the 'lost mode'
    Lost mode is slightly different to the "Play Sound" functionality
    in that it allows the person who picks up the phone to call a
    specific phone number without having to enter the passcode. Just
    like "Play Sound" you may pass a custom message which the device
    will display, if it's not overridden the custom message of
    "This iPhone has been lost. Please call me." is used.
  - fetch events from the calendar webservice
  - access your iCloud contacts/address book
  - access documents stored in your iCloud account
  - access the iCloud Photo Library

Package: python3-pyinsane
Description-md5: b9241fdb144947f29c0db2b4273d4680
Description-en: Python library to access and use image scanners (Python 3)
 Python library to access and use image scanners using sane.
 It supports: flatbed, automatic document feeder, while scanning, can provide
 chunks of the image for on-the-fly preview
 .
 This package installs the library for Python 3.

Package: python3-pyiosxr
Description-md5: bdf58a2e5e5687f13127dbb86b45c197
Description-en: Python API for Cisco IOX-XR network devices (Python 3)
 This package provides a Python API to connect to network devices
 running Cisco IOS-XR. It can retrieve several information like
 interfaces. It can also modify the current configuration and execute
 arbitrary commands.
 .
 This package contains the Python 3 version.

Package: python3-pyisomd5sum
Description-md5: 469551bfda5db4a582cde8faf83a2b86
Description-en: ISO9660 checksum Python 3 module
 isomd5sum is a set of utilities for implanting a MD5 checksum in an
 ISO (or any block device), then verifying the checksum later.  isomd5sum
 is not simply an MD5 of the entire ISO; it checksums the data inside a
 standard ISO9660 image and write block checksum information to an ISO9660
 header, that will carry over to burning the CD.
 .
 This package contains Python 3 modules for isomd5sum.

Package: python3-pyjavaproperties
Description-md5: 1a1e9bef12cdf771b2ed3dc3c382b0f5
Description-en: Python implementation of java.util.Properties
 This module is designed to be a Python equivalent to the java.util.Properties
 class. Currently, the basic input/output methods are supported, and there are
 plans to add the XML input/output methods found in J2SE 5.0.
 .
 Fundamentally, this module is designed so that users can easily parse and
 manipulate Java Properties files - that's it. There's a fair number of
 Pythonistas who work in multi-language shops, and constantly writing your own
 parsing mechanism is just painful. Not to mention Java guys are notoriously
 unwilling to use anything which is cross-language for configuration, unless
 it's XML, which is a form of self-punishment. :)
 .
 This package provides the Python 3.x module.

Package: python3-pyjokes
Description-md5: 8a1d89b3b253e2919dd0c64d36cab58b
Description-en: One line jokes for programmers (Python 3)
 Import this library to generate random jokes for programmers.
 Choose from neutral jokes, adult jokes, and Chuck Norris themed
 jokes.
 .
 This package contains the module for Python 3.

Package: python3-pykafka
Description-md5: e422a7b1ba5ff7f278c39dbdc378c9da
Description-en: PyKafka is Kafka client for Python.
 PyKafka is a programmer-friendly Kafka client for Python.
 It includes Python implementations of Kafka producers and
 consumers, which are optionally backed by a C extension built
 on librdkafka. It runs under Python 2.7+, Python 3.4+, and
 PyPy, and supports versions of Kafka 0.8.2 and newer.
 .
 PyKafka's primary goal is to provide a similar level of
 abstraction to the JVM Kafka client using idioms familiar
 to Python programmers and exposing the most Pythonic API possible.

Package: python3-pykcs11
Description-md5: 9f345e35dbeefa60a39309e4edcd2c2d
Description-en: PKCS#11 wrapper for Python
 PyKCS11 let you access to almost all PKCS#11 functions and data types using
 any PKCS#11 library, such as the various modules supplied by smartcard
 vendors.
 .
 The wrapper comes with 2 interfaces: a low level and very thin interface over
 the original PKCS#11 API, generated using the SWIG compiler (designed for
 library tests); and an high level interface that offers a simpler access (with
 few limits) to the PKCS#11 APIs.
 .
 Keywords: pkcs11

Package: python3-pykdl
Description-md5: 3f62832df3f3afb649bddf7d7513caab
Description-en: Kinematics and Dynamics Library Python library
 This package contains the Python bindings PyKDL for the Kinematics and
 Dynamics Library (KDL), distributed by the Orocos Project.

Package: python3-pykdtree
Description-md5: e094fb0c160aff1288a8533f1d4ad413
Description-en: Fast kd-tree implementation with OpenMP-enabled queries (Python 3 version)
 pykdtree is a kd-tree implementation for fast nearest neighbour search
 in Python. The aim is to be the fastest implementation around for
 common use cases (low dimensions and low number of neighbours) for
 both tree construction and queries.
 .
 The implementation is based on scipy.spatial.cKDTree and libANN by
 combining the best features from both and focus on implementation
 efficiency.
 .
 The interface is similar to that of scipy.spatial.cKDTree except only
 Euclidean distance measure is supported.
 .
 Queries are optionally multithreaded using OpenMP.
 .
 This is the Python 3 version of the package.

Package: python3-pykka
Description-md5: c9f2702fd6c2a5066fa849a571c2bc85
Description-en: Actor model library (Python 3)
 Pykka is a Python implementation of the actor model. The actor model
 introduces some simple rules to control the sharing of state and cooperation
 between execution units, which makes it easier to build concurrent
 applications.
 .
 Pykka provides an actor API with multiple implementations: threading, gevent,
 and Eventlet.

Package: python3-pykmip
Description-md5: 367b1b7a361befa29ce6fb282a4dad8e
Description-en: KMIP v1.1 library - Python 3.x
 PyKMIP is a Python implementation of the Key Management Interoperability
 Protocol (KMIP) specification, supporting version 1.1 of the KMIP standard.
 The KMIP standard is governed by the Organization for the Advancement of
 Structured Information Standards (OASIS) and specifies a client/server-based
 protocol to perform key, certificate, and secret object management, including
 storage and maintenance operations.
 .
 The PyKMIP library currently provides a KMIP client and server supporting the
 following operations for the KMIP SymmetricKey managed object:
  * Create
  * Register
  * Get
  * Destroy
 .
 This package contains the Python 3.x module.

Package: python3-pyknon
Description-md5: 35dcfc8f69be1add1adcdbc3df6f7e0c
Description-en: Simple Python music library
 Pyknon helps to generate Midi files quickly and reason about musical
 proprieties.
 .
 It’s a library intended for teaching and demonstrating music for programmers.

Package: python3-pykwalify
Description-md5: db54b10e093fbdf774176be616741159
Description-en: Python YAML/JSON schema validation library (Python 3)
 PyKwalify is a open source port of the kwalify lib and specification.
 .
 PyKwalify allows validating YAML or JSON data against a schema
 described in YAML or JSON format.
 .
 This package installs the library for Python 3.

Package: python3-pylama
Description-md5: 86966aa460542c180b438f776d7dc8ed
Description-en: code audit library for Python in Python3
 pylama s a code audit tool for Python and JavaScript. It wraps the following
 tools:
  * PEP8 © 2012-2013, Florent Xicluna;
  * PEP257 © 2012, GreenSteam, <http://greensteam.dk/>
  * PyFlakes © 2005-2013, Kevin Watters;
  * Mccabe © Ned Batchelder;
  * Pylint © 2013, Logilab (should be installed 'pylama_pylint' module);
  * gjslint © The Closure Linter Authors (should be installed 'pylama_gjslint'
    module);
 .
 This package installs and library in Python 3.

Package: python3-pylast
Description-md5: 4bfbe5203902bca13408db658eefed64
Description-en: Python 3 interface to Last.fm and other compatible services
 Last.fm is a service providing a way to keep a record of what the users listen
 to and offering music recommendations based on that record.
 .
 This interface allows access to all the data exposed by the Last.fm API as
 well as to the scrobbling functionality.
 .
 This package provides the Python 3 module.

Package: python3-pyld
Description-md5: 8235b48fbc66b767c398f13866074f16
Description-en: implementation of the JSON-LD API
 This library is an implementation of the JSON-LD specification in Python.
 .
 This package provides the Python 3.x module.

Package: python3-pyldap
Description-md5: 52d4343746d9edab9e1273ee6eda96fc
Description-en: LDAP interface module for Python 3.x - transition package
 This module provides a Python3 interface to the OpenLDAP client library
 (LDAP is the Lightweight Directory Access Protocol).
 .
 This transition dummy package for Python 3.x can be safely removed, since the
 ldap module has merged changes in the pyldap fork.

Package: python3-pylibmc
Description-md5: 5a8a4cbce05c3d84e4bb59ba5633819a
Description-en: libmemcached wrapper (Python3 version)
 Pylibmc is a Python wrapper around the accompanying C Python
 extension _pylibmc, which is a wrapper around libmemcached
 from TangentOrg. It also manages pooling in a multi-threading
 environment.
 .
 This package contains the Python 3 version of the library.

Package: python3-pylibsrtp
Description-md5: 9af19fd8f07a421630535687adbddd58
Description-en: Python wrapper around libsrtp
 pylibsrtp is a Python wrapper around libsrtp, making it
 possible to encrypt and decrypt Secure Real-time Transport
 Protocol (SRTP) packets from Python code.
 .
 SRTP is a profile of the Real-time Transport Protocol (RTP)
 which provides confidentiality, message authentication, and
 replay protection. It is defined by RFC 3711.

Package: python3-pylint-celery
Description-md5: 5b92eedb89997e805b81e4b82a302026
Description-en: Pylint plugin for code using the Celery library (Python3)
 Pylint is a source code bug and quality checker for the Python programming
 language. This package provides a plugin for checking code using the Celery
 library (distributed task queue).

Package: python3-pylint-common
Description-md5: 1d488c6f7e55377e5a847c2bd0faee83
Description-en: Pylint plugin for the standard Python library (Python 3)
 Pylint is a source code bug and quality checker for the Python programming
 language. This package provides a plugin for augmenting and improving error
 detection and analysis of the standard Python library.
 .
 This package contains the modules for Python 3.

Package: python3-pylint-django
Description-md5: 53d2128988de4972aea085acdb9a7e1e
Description-en: Pylint plugin for analysing code using Django (Python 3)
 Features
   * Prevents warnings about Django-generated attributes such as
     Model.objects or Views.request.
   * Prevents warnings when using ForeignKey attributes
     ("Instance of ForeignKey has no member").
   * Fixes pylint's knowledge of the types of Model and Form field attributes
   * Validates Model.__unicode__ methods.
   * Meta informational classes on forms and models do not generate errors.
 It is also used by the Prospector tool.
 .
 This is the Python 3 version of the package

Package: python3-pylint-flask
Description-md5: 1823441274d3fbad2fd9298b51954608
Description-en: Pylint plugin for analyzing Flask applications (Python 3)
 This is a plugin which improves the static code analyzer Pylint
 when checking Flask (Python web framework) applications code.
 .
 This package includes the plugin for Pylint running on Python 3.

Package: python3-pylint-plugin-utils
Description-md5: 16c296c8e1ebb8e96bf8def121c78c1c
Description-en: Utilities and helpers for writing Pylint plugins (Python 3)
 This is not a direct Pylint plugin, but rather a set of tools
 and functions used by other plugins such as pylint-django
 and pylint-celery.

Package: python3-pyluach
Description-md5: 3cfe46d3a3313e518002a56f33504e29
Description-en: Hebrew dates and Hebrew-Gregorian conversions (Python3 version)
 Pyluach is a Python package for manipulating Hebrew (Jewish) calendar dates and
 Hebrew-Gregorian conversions.
 .
 Features:
  * Conversion between Hebrew and Gregorian dates
  * Finding the difference between two dates
  * Finding a date at a given duration from the given date
  * Rich comparisons between dates
  * Finding the weekday of a given date
  * Finding the weekly Parsha reading of a given date
 .
 This package contains the Python 3 version of the library.

Package: python3-pylxd
Description-md5: da39ec682d83404482aeea6f0126021d
Description-en: Python library for interacting with LXD REST API - Python 3.x
 LXD offers a REST API to remotely manage containers over the network, using an
 image based workflow and with support for live migration.
 .
 pylxd is a small Python library for interacting the with the LXD REST API.
 .
 This package contains the Python 3.x module.

Package: python3-pymad
Description-md5: 15600d95023880183ccaef0aefcb59b6
Description-en: Python wrapper to the MPEG Audio Decoder library (Python 3)
 This module makes the MAD MP3 decoder library available to Python 3
 programs.  It provides a high-level API to the MAD functions, that make
 reading audio data from an MPEG stream simple.
 .
 This package installs the library for Python 3.

Package: python3-pymad-dbg
Description-md5: 3c1609c6f2883467ddeb6d5ffa751b3c
Description-en: Python wrapper to the MPEG Audio Decoder library (Python 3 debug)
 This module makes the MAD MP3 decoder library available to Python 3
 programs.  It provides a high-level API to the MAD functions, that make
 reading audio data from an MPEG stream simple.
 .
 This package installs the debugging symbols for the library for Python 3.

Package: python3-pymca5
Description-md5: b0e748a0f3ba9d8f964d0f841dd8d573
Description-en: Applications and toolkit for X-ray fluorescence analysis -- Python 3
 PyMca is set of applications and Python libraries for analysis of
 X-ray fluorescence spectra.
 .
 The applications included in this package are:
 .
  * edfviewer - Display and inspection of data files in ESRF Data Format
  * elementsinfo - Displays element specific X-ray data
  * mca2edf - Converts files from SPEC MCA format to EDF
  * peakidentifier - Displays X-ray fluorescence peaks in a given energy range
  * pymcabatch - Batch fitting of spectra
  * pymcapostbatch - Post-processing of batch fitting results
  * pymca - Interactive data-analysis
  * pymcaroitool - Region-of-interest (ROI) imaging tool
 .
 The PyMca toolkit can read data files in SPEC, ESRF data file (EDF),
 OMNIC, HDF5, AIFIRA and SupaVisio formats.
 .
 This is the Python 3 version of the package.

Package: python3-pymca5-dbg
Description-md5: 635ac237d8d3ae75e3c95a9cd58e86f0
Description-en: Applications and toolkit for X-ray fluorescence analysis -- Python 3 debug
 PyMca is set of applications and Python libraries for analysis of
 X-ray fluorescence spectra.
 .
 The applications included in this package are:
 .
  * edfviewer - Display and inspection of data files in ESRF Data Format
  * elementsinfo - Displays element specific X-ray data
  * mca2edf - Converts files from SPEC MCA format to EDF
  * peakidentifier - Displays X-ray fluorescence peaks in a given energy range
  * pymcabatch - Batch fitting of spectra
  * pymcapostbatch - Post-processing of batch fitting results
  * pymca - Interactive data-analysis
  * pymcaroitool - Region-of-interest (ROI) imaging tool
 .
 The PyMca toolkit can read data files in SPEC, ESRF data file (EDF),
 OMNIC, HDF5, AIFIRA and SupaVisio formats.
 .
 This is the Python 3 debug version of the package.

Package: python3-pymeasure
Description-md5: c835bcd6c1f14544abe7673d0cfc8311
Description-en: scientific measurement library for Python
 PyMeasure makes scientific measurements easy to set up and run.
 .
 The package contains a repository of instrument classes and a system for
 running experiment procedures, which provides graphical interfaces for
 graphing live data and managing queues of experiments. Both parts of the
 package are independent, and when combined provide all the necessary
 requirements for advanced measurements with only limited coding.
 .
 This package provides the modules for Python 3.

Package: python3-pymediainfo
Description-md5: 7ab90b9a648cbce7e83266990443aeb1
Description-en: Library to fetch multimedia metadata information - Python 3.x
 This library is a simple Python wrapper around the MediaInfo library.

Package: python3-pymeeus
Description-md5: ef454d2617de5f41d64375d0da5fdc57
Description-en: Python implementation of Jean Meeus astronomical routines
 PyMeeus is a Python implementation of the astronomical algorithms
 described in the classical book "Astronomical Algorithms, 2nd Edition,
 Willmann-Bell Inc. (1998)" by Jean Meeus.
 .
 There are great astronomical libraries out there. For instance, if
 you're looking for high precision and speed you should take a look at
 libnova. For a set of python modules aimed at professional
 astronomers, you should look at Astropy. On the other hand, the
 advantages of PyMeeus are its simplicity, ease of use, ease of
 reading, ease of installation (it has the minimum amount of
 dependencies) and abundant documentation.

Package: python3-pymoc
Description-md5: dd08809f5fe35817a256e4e1bd2a51ca
Description-en: Python Multi-Order Coverage maps for Virtual Observatory
 PyMOC provides a Python compatible library for handling MOCs.
 .
 Frequently astronomical survey catalogues or images are sparse and
 cover only a small part of the sky.  In a Multi-Order Coverage map
 the extent of data in a particular dataset is cached as a
 pre-calculated mask image.  The hierarchical nature enables fast
 boolean operations in image space, without needing to perform complex
 geometrical calculations.  Services such as VizieR generally offer the
 MOC masks, allowing a faster experience in graphical applications
 such as Aladin, or for researchers quickly needing to locate which
 datasets may contain overlapping coverage.
 .
 The MOC mask image itself is tessellated and stored in NASA HealPix
 format, encoded inside a FITS image container.  Using the HealPix
 (Hierarchical Equal Area isoLatitude Pixelization) tessellation
 method ensures that more precision (pixels) in the mask are available
 when describing complex shapes such as approximating survey or
 polygon edges, while only needing to store a single big cell/pixel
 when an coverage is either completely inside, or outside of the mask.
 Catalogues can be rendered on the mask as circles.

Package: python3-pymodbus
Description-md5: cbc28777fc67aaffff3236a572d3ca0e
Description-en: full Modbus protocol implementation for Python 3
 Pymodbus is a full Modbus protocol implementation.
 It is currently supports the following :
 .
 Client Features:
  * Can perform single get/set on discrete and registers
  * Can perform multiple get/set on discrete and registers
  * Working on diagnostic/file/pipe/setting/info requests
  * Can fully scrape a host to be cloned
 .
 Server Features:
  * Can function as a fully implemented TCP/ASCII modbus server
  * Full server control context
  * Working on serial communication
  * Working on functioning as a RTU
  * Can mimic a server based on the supplied input data
 .
 For asynchronous communication, you need python-twisted-core.
 For serial communication, you need python-serial.
 .
 This package provides the Python 3.x module.

Package: python3-pymol
Description-md5: e5a2b9068361785e2f5b405d53d41b3f
Description-en: Molecular Graphics System (Python 3 modules)
 PyMOL is a molecular graphics system targeted at medium to large
 biomolecules like proteins. It can generate high-quality publication-ready
 molecular graphics images and animations.
 .
 Features include:
  * Visualization of molecules, molecular trajectories and surfaces
    of crystallography data or orbitals
  * Molecular builder and sculptor
  * Internal raytracer and movie generator
  * Fully extensible and scriptable via a Python interface
 .
 File formats PyMOL can read include PDB, XYZ, CIF, MDL Molfile, ChemDraw,
 CCP4 maps, XPLOR maps and Gaussian cube maps.
 .
 This package contains the Python 3 modules.

Package: python3-pympler
Description-md5: e1974f0f769c0f4b0923c6174eaf93c6
Description-en: Tool to measure, monitor and analyze the Python objects memory
 Pympler is a development tool to measure, monitor and analyze the memory
 behavior of Python objects in a running Python application.
 .
 By pympling a Python application, detailed insight in the size and the
 lifetime of Python objects can be obtained. Undesirable or unexpected runtime
 behavior like memory bloat and other "pymples" can easily be identified.

Package: python3-pymssql
Description-md5: 5571f36696867ac10fb994cf2b71b5d2
Description-en: Python database access for MS SQL server and Sybase - Python 3
 This package contains a Python module allowing direct access to
 Microsoft SQL server and Sybase databases. It is designed for
 simplicity and performance, and conforms to Python DB-API 2.0.
 .
 This package installs the library for Python 3.

Package: python3-pymummer
Description-md5: e0c43dadb91ce3c450bb5faf46565663
Description-en: Python 3 interface to MUMmer
 pymummer is a Python wrapper for running the programs of the MUMmer
 sequence alignment suite and parsing their output.

Package: python3-pymzml
Description-md5: 6736b5a412e55467b76af637f0beee3a
Description-en: mzML mass spectrometric data parsing (Python 3.x)
 python-pymzml is an extension to Python that offers:
  - easy access to mass spectrometry (MS) data that allows
    the rapid development of tools;
  - a very fast parser for mzML data, the standard in
    mass spectrometry data format;
  - a set of functions to compare or handle spectra.
 .
 This package contains python-pymzml for Python 3.

Package: python3-pynag
Description-md5: 353da8562b9f927164cb1a5cc26a048b
Description-en: Python 3 Modules for Nagios plugins and configuration
 The main goal of this project is to provide a Python tool for
 pragmatically handling Nagios configuration file maintenance, and
 plugin development.

Package: python3-pynetstring
Description-md5: 228a469d971d8d81fd2d035aab266761
Description-en: netstring library for Python 3
 A Python 3 module for encoding and decoding netstrings, a serialisation
 method designed for network transfer and safe parsing.  The netstring format
 is defined at https://cr.yp.to/proto/netstrings.txt.

Package: python3-pynfft
Description-md5: e3bdfc4cfd927ef75c52f0ce47b361e0
Description-en: Python bindings for the NFFT3 library - Python 3
 This package provides Python bindings to the NFFT library, useful for
 performing Fourier transforms on non-uniformly sampled data with efficient
 speed. The bindings were generated using Cython and abstract the creation
 and execution of NFFT plans out using classes.
 .
 This package provides the Python 3 version of the bindings.

Package: python3-pynfft-dbg
Description-md5: 6b0d010afe6a7d8f0d92ecab02646e69
Description-en: Python bindings to the NFFT3 library - Python 3 (debug)
 This package provides Python bindings to the NFFT library, useful for
 performing Fourier transforms on non-uniformly sampled data with efficient
 speed. The bindings were generated using Cython and abstract the creation
 and execution of NFFT plans out using classes.
 .
 This package provides the debugging information of the Python 3 bindings.

Package: python3-pyngus
Description-md5: 8514b252b3a8203b38132444d6d0a14c
Description-en: callback API implemented over Proton - Python 3.x
 Pyngus is a messaging framework built on the QPID Proton engine. It provides
 a callback-based API for message passing.
 .
 This package contains the Python 3.x module.

Package: python3-pyninjotiff
Description-md5: d60fb3ff465c37546ea88047dd7521b6
Description-en: Python library for writing NinJo-compatible TIFF files
 A small Python library for writing TIFF files that are compatible with
 NinJo.
 .
 The NinJo Workstation Project is a meteorological workstation system
 for viewing various weather images.
 NinJo in some ways is like AWIPS is to the United States Nation Weather
 Service (NWS), but is used by various countries around the world.
 .
 This package is part of the PyTroll software suite.

Package: python3-pynlpl
Description-md5: f9484196ea9456f3253dee998158e5d8
Description-en: PyNLPl is a library for Natural Language Processing (Python 3 version)
 PyNLPl, pronounced as 'pineapple', is a Python library for Natural Language
 Processing. It contains various modules useful for common, and less common,
 NLP tasks. PyNLPl can be used for basic tasks such as the extraction of
 n-grams and frequency lists, and to build simple language models. It also
 contains complex data types and algorithms. Moreover, it includes parsers for
 file formats common in NLP (e.g. FoLiA/Giza/Moses/ARPA/Timbl/CQL) and clients
 to interface with various NLP specific servers. PyNLPl most notably features a
 very extensive library for working with FoLiA XML (Format for Linguistic
 Annotation).
 .
 This is the Python 3 version.

Package: python3-pynvim
Description-md5: d64cbd82327bf37a58cf12a96261f8bb
Description-en: Python3 library for scripting Neovim processes through its msgpack-rpc API
 Neovim is a Vim fork that focuses on refactoring, extensibility and
 simplification of the original code base.
 .
 This is the Python3 version of the package (If you only use one of python2 or
 python3, it is enough to install that version.)

Package: python3-pynwb
Description-md5: d33851500de0606fcac83e5655df9ac1
Description-en: Python library for working with Neurodata in the NWB format
 PyNWB is a Python package for working with NWB files. It provides a
 high-level API for efficiently working with Neurodata stored in the
 NWB format.
 .
 Neurodata Without Borders: Neurophysiology (NWB:N) is a project to
 develop a unified data format for cellular-based neurophysiology
 data, focused on the dynamics of groups of neurons measured under a
 large range of experimental conditions.

Package: python3-pyo
Description-md5: 5ee0777608274793eef805820f86089c
Description-en: Python3 module written in C to help digital signal processing script creation
 pyo is a Python module containing classes for a wide variety of audio signal
 processing types. With pyo, user will be able to include signal processing
 chains directly in Python scripts or projects, and to manipulate them in real
 time through the interpreter. Tools in pyo module offer primitives, like
 mathematical operations on audio signal, basic signal processing (filters,
 delays, synthesis generators, etc.), but also complex algorithms to create
 sound granulation and others creative audio manipulations.
 .
 pyo supports OSC protocol (Open Sound Control), to ease communications between
 softwares, and MIDI protocol, for generating sound events and controlling
 process parameters.
 .
 pyo allows creation of sophisticated signal processing chains with all the
 benefits of a mature, and wildly used, general programming language.
 .
 This package installs the library for Python 3.

Package: python3-pyocd
Description-md5: aad3cc579f682f8574404b1a30e3bf47
Description-en: ARM Cortex-M programming tools (Python3)
 pyOCD is an Open Source Python based library for programming
 and debugging ARM Cortex-M microcontrollers using CMSIS-DAP.
 .
 Includes support for flashing new binaries, resetting the device,
 halt, step, resume read/write memory and set/remove breakpoints.

Package: python3-pyocr
Description-md5: 6c305e4a6d9f1c351cc988c16691b140
Description-en: Python wrapper for OCR engines (Python 3)
 PyOCR is an optical character recognition (OCR) tool wrapper for
 Python. That is, it helps using OCR tools from a Python program.
 .
 PyOCR can be used as a wrapper for google's Tesseract-OCR or
 Cuneiform. It can read all image types supported by Pillow, including
 jpeg, png, gif, bmp, tiff, and others. It also support bounding box
 data.
 .
 This is the Python 3 version of the package.

Package: python3-pyodbc
Description-md5: 5465274f0a4966139dc49f1cfe3cf9b3
Description-en: Python3 module for ODBC database access
 A Python3 DB API 2 module for ODBC. No 3rd party libraries are required.
 Only native Python datatypes are used, such as decimal and datetime.
 It implements the Python Database API Specification v2.0.
 For example:
   import pyodbc
 Next, create a connection by passing an ODBC connection string to the
 connect method. This step causes ODBC to load the database driver (the SQL
 Server driver in this example) and connect to the database.
   cnxn = pyodbc.connect('DSN=northwind')

Package: python3-pyodbc-dbg
Description-md5: cffb2c5a5cda819b41cf8c0311b9dc43
Description-en: Python3 module for ODBC database access - Debugging symbols
 A Python3 DB API 2 module for ODBC. No 3rd party libraries are required.
 Only native Python datatypes are used, such as decimal and datetime.
 It implements the Python Database API Specification v2.0.
 For example:
   import pyodbc
 Next, create a connection by passing an ODBC connection string to the
 connect method. This step causes ODBC to load the database driver (the SQL
 Server driver in this example) and connect to the database.
   cnxn = pyodbc.connect('DSN=northwind')
 .
 This package contains debugging symbols.

Package: python3-pyopencl
Description-md5: 7a31e9ce8f06c38705703f009d69b248
Description-en: Python 3 module to access OpenCL parallel computation API
 PyOpenCL lets you access the OpenCL parallel computation API from
 Python. Here's what sets PyOpenCL apart:
  * Object cleanup tied to lifetime of objects. This idiom, often called
    RAII in C++, makes it much easier to write correct, leak- and
    crash-free code.
  * Completeness. PyOpenCL puts the full power of OpenCL’s API at your
    disposal, if you wish.
  * Convenience. While PyOpenCL's primary focus is to make all of OpenCL
    accessible, it tries hard to make your life less complicated as it
    does so--without taking any shortcuts.
  * Automatic Error Checking. All OpenCL errors are automatically
    translated into Python exceptions.
  * Speed. PyOpenCL’s base layer is written in C++, so all the niceties
    above are virtually free.
  * Helpful, complete documentation and a wiki.
  * Liberal licensing (MIT).
 .
 This package contains Python 3 modules.

Package: python3-pyopencl-dbg
Description-md5: ea5dacaed9c6599ad5dfcaa0aa8274d1
Description-en: Python 3 module to access OpenCL API (debug extensions)
 PyOpenCL lets you access the OpenCL parallel computation API from
 Python. Here's what sets PyOpenCL apart:
  * Object cleanup tied to lifetime of objects. This idiom, often called
    RAII in C++, makes it much easier to write correct, leak- and
    crash-free code.
  * Completeness. PyOpenCL puts the full power of OpenCL’s API at your
    disposal, if you wish.
  * Convenience. While PyOpenCL's primary focus is to make all of OpenCL
    accessible, it tries hard to make your life less complicated as it
    does so--without taking any shortcuts.
  * Automatic Error Checking. All OpenCL errors are automatically
    translated into Python exceptions.
  * Speed. PyOpenCL’s base layer is written in C++, so all the niceties
    above are virtually free.
  * Helpful, complete documentation and a wiki.
  * Liberal licensing (MIT).
 .
 This package contains extensions build for the Python 3 debug interpreter.

Package: python3-pyopencolorio
Description-md5: 73ba53cd1dff8bd3a9bf8b2ab17be158
Description-en: complete color management solution - Python bindings
 OpenColorIO (OCIO) is a complete color management solution geared
 towards motion picture production with an emphasis on visual effects
 and computer animation. OCIO provides a straightforward and consistent
 user experience across all supporting applications while allowing for
 sophisticated back-end configuration options suitable for high-end
 production usage. OCIO is compatible with the Academy Color Encoding
 Specification (ACES) and is LUT-format agnostic, supporting many
 popular formats.
 .
 OpenColorIO is released as version 1.0 and has been in development
 since 2003. OCIO represents the culmination of years of production
 experience earned on such films as SpiderMan 2 (2004), Surf's Up
 (2007), Cloudy with a Chance of Meatballs (2009), Alice in Wonderland
 (2010), and many more. OpenColorIO is natively supported in commercial
 applications like Katana, Mari, Silhouette FX, and others coming soon.
 .
 OpenColorIO is free and is one of several open source projects
 actively sponsored by Sony Imageworks.
 .
 This package provides Python bindings to the OpenColorIO library.

Package: python3-pyorbital
Description-md5: 14e0b70ecb0799ced0139012054bc41a
Description-en: Orbital and astronomy computations in Python 3
 Python package for computing orbital parameters from TLE
 files, and making diverse astronomical computations.
 .
 This package is part of the PyTroll toolset.
 .
 This is the Python 3 version of the package.

Package: python3-pyorick
Description-md5: 5ec166c247666cb781ab16ca11934ea6
Description-en: Python 3 module to execute Yorick code
 The pyorick package starts Yorick as a subprocess and provides an
 interface between Python and Yorick interpreted code.
 .
 Features:
  + exec or eval arbitrary Yorick code strings
  + get or set Yorick variables
  + call Yorick functions or subroutines with Python arguments
  + get or set slices of large Yorick arrays
  + terminal mode to interact with Yorick by keyboard through Python
 .
 Most of the data is exchanged via binary pipes between the two
 interpreters. Yorick runs in a request-reply mode. Python prints
 anything Yorick sends to stdout or stderr except prompts.
 .
 This package contains the module for Python 3.

Package: python3-pyosmium
Description-md5: 7d548684b1c98261f0a8cbef1dc6b666
Description-en: Osmium library bindings for Python 3
 The PyOsmium module allows you to access some of the features of the Osmium
 library from Python code.
 .
 PyOsmium has extensive support for all types of OSM entities: nodes, ways,
 relations, and changesets. It allows reading from OSM files in XML and PBF
 formats, including change files and full history files. Its easy to use
 handler interface allows you to quickly write data filtering and conversion
 functions.
 .
 This package contains the PyOsmium module for Python 3.

Package: python3-pyotp
Description-md5: a7eae0a2ff905a817c2e14b08239d2a8
Description-en: Python One Time Password Library
 This package contains a Python library for generating and verifying one-time
 passwords. It can be used to implement two-factor (2FA) or multi-factor (MFA)
 authentication methods in web applications and in other systems that
 require users to log in.
 .
 Quick overview of using One Time Passwords on your phone:
 .
  * OTPs involve a shared secret, stored both on the phone and the server
  * OTPs can be generated on a phone without internet connectivity
  * OTPs should always be used as a second factor of authentication(if your
    phone is lost, you account is still secured with a password)
  * Google Authenticator allows you to store multiple OTP secrets and provision
    those using a QR Code(no more typing in the secret)

Package: python3-pypamtest
Description-md5: cb38690e6fc45388a25869ad35298973
Description-en: Tool to test PAM applications and PAM modules -- Python3 bindings
 This component of cwrap allows you to either test your PAM (Linux-PAM
 and OpenPAM) application or module.
 .
 This package includes bindings for Python 3.

Package: python3-pypandoc
Description-md5: 6946f3e6058e497aa8e48e4b1de9d135
Description-en: Thin wrapper for pandoc (Python 3.x)
 pypandoc provides a Python interface to pandoc, a universal document
 converter with support for most markup languages.
 .
 This package provides Python 3.x version of pypandoc.

Package: python3-pypass
Description-md5: 11767044570609bc5fe0762215e6e927
Description-en: pypass library for Python3
 pypass is a password manager written in Python that provides the same
 functionality as pass. In addition, it is useable as a library.
 .
 This package provides the library for Python3.

Package: python3-pypathlib
Description-md5: bbd22a548760d9038a589ba09210a615
Description-en: Python tools for 2D open and closed paths
 Lightweight package for working with 2D paths/polygons.
 .
 pypathlib is fully vectorized, so it's pretty fast. (Not quite as
 fast as mathplotlib.path.contains_points though.)
 .
 Relevant publications:
  * S.W. Sloan, _A point-in-polygon program_. Adv. Eng. Software, Vol
    7, No. 1, pp.45-47, 1985, doi:10.1016/0141-1195(85)90094-4

Package: python3-pypdf2
Description-md5: 066002d47f385ae79c032e3e722b0bc4
Description-en: Pure-Python library built as a PDF toolkit (Python 3)
 A Pure-Python library built as a PDF toolkit.  It is capable of:
   - extracting document information (title, author, ...),
   - splitting documents page by page,
   - merging documents page by page,
   - cropping pages,
   - merging multiple pages into a single page,
   - encrypting and decrypting PDF files.
 .
 By being Pure-Python, it should run on any Python platform without any
 dependencies on external libraries.  It can also work entirely on StringIO
 objects rather than file streams, allowing for PDF manipulation in memory.
 It is therefore a useful tool for websites that manage or manipulate PDFs.
 .
 This is the Python 3 version of the package.

Package: python3-pypeg2
Description-md5: 11d5e220917b26408db55c48e50c95ab
Description-en: Intrinsic PEG Parser-Interpreter for Python
 Python is a nice scripting language. It even gives you access to its own parser
 and compiler. It also gives you access to different other parsers for special
 purposes like XML and string templates.
 .
 But sometimes you may want to have your own parser. This is what's pyPEG for.
 And pyPEG supports Unicode.

Package: python3-pyperform
Description-md5: 5ab3c6b866b77dea60469d55e88e338c
Description-en: fast and convenient way to performance test functions and compare results
 Pyperform provies an easy and convenient way to performance test blocks of
 python code.
 .
 Tired of writing separate scripts for your performance tests? Don't like
 coding in strings? Using the pyperform decorators, you can easily implement
 timeit tests to your functions with just one line!
 .
 Features of pyperform include:
  - Quick, easy to implement in-code performance tests that run once when the
    function is defined.
  - Speed comparison of several functions.
  - Validation of results between ComparisonBenchmarks.
  - Summary reports.
  - Supports class functions as well as global functions.
  - Performance tests can easily be disabled/enabled globally.
  - Community-driven library of performance tests to learn from.

Package: python3-pypff
Description-md5: ed5076c4fee44c8600d966b4c87246fa
Description-en: Python 3 bindings for libpff
 Libpff is a library to access Personal Folder File (PFF) and Offline Folder
 File (OFF) formats. Those files formats are used by MS Outlook in PAB
 (Personal Address Book), PST (Personal Storage Table) and OST
 (Offline Storage Table) files.
 .
 This package contains Python 3 bindings for libpff.

Package: python3-pyphen
Description-md5: f648a20ada4ff09c03a476651636170f
Description-en: Python 3 hyphenation module
 Pyphen is a pure Python 3 module to hyphenate text using existing Hunspell
 hyphenation dictionaries. This module is a fork of python-hyphenator, written
 by Wilbert Berendsen.  It uses Debian system hyphenation packages (package
 hyphen-(language code) rather than the embedded set provided by upstream.
 .
 This is the Python 3 version of the package.

Package: python3-pypillowfight
Description-md5: d9942d62d2e8869c473eddb335b89c8c
Description-en: Python 3 bindings for libpillowfight
 Really simple C Library containing various image processing algorithms.
 It includes Python 3 bindings designed to operate on Pillow images.
 .
 This package installs the library for Python 3.

Package: python3-pyprind
Description-md5: b93c601a6c9a31261c751de6d99c6e68
Description-en: Python Progress Bar and Percent Indicator Utility
 The PyPrind (Python Progress Indicator) module provides a progress
 bar and a percentage indicator object that let you track the progress
 of a loop structure or other iterative computation.  Typical
 applications include the processing of large data sets to provide an
 intuitive estimate at runtime about the progress of the computation.

Package: python3-pyproj
Description-md5: bac7bead2941f3426d873b957dcf92f1
Description-en: Python 3 interface to PROJ library
 PROJ performs cartographic transformations between geographic (lat/lon)
 and map projection (x/y) coordinates. It can also transform directly
 from one map projection coordinate system to another.
 .
 This is a Python 3 binding to PROJ, it can receive coordinates as numpy
 arrays, Python arrays, lists or scalars. This module is optimized for
 numpy arrays.

Package: python3-pypump
Description-md5: b21e90408ef2a6a426d85830259d7b63
Description-en: interface to the pump.io APIs (Python 3)
 PyPump provides an interface to the pump.io APIs. The aim is to
 provide very natural pythonic representations of Notes, Images,
 People, etc... allowing you to painlessly interact with them.
 .
 This package provides the pypump Python module for Python 3.x.

Package: python3-pypuppetdb
Description-md5: 342bb7373e7fd3ccd899f25c41a81b1e
Description-en: interface to the PuppetDB REST API (Python 3)
 This library provides a Python3 interface to the PuppetDB REST API.
 It's a thin wrapper around the PuppetDB REST API providing some
 convenience functions and objects to request and hold data from PuppetDB.

Package: python3-pypureomapi
Description-md5: b4e1a93ef9255aba00e3bb1f24611859
Description-en: ISC DHCP OMAPI protocol implementation in Python3
 pypureomapi is a Python implementation of the DHCP OMAPI protocol
 used in the most popular Linux DHCP server from ISC.
 It can be used to query and modify leases and other objects exported
 by an ISC DHCP server.
 The interaction can be authenticated using HMAC-MD5. Besides basic
 ready to use operations, custom interaction can be implemented with
 limited effort. It can be used as a drop-in replacement for pyomapic,
 but provides error checking and extensibility beyond pyomapic.

Package: python3-pyqrcode
Description-md5: c4d943a9c71181ed5ee00cf73863e2e0
Description-en: Python 3 module to generate QR Codes
 The pyqrcode module is a QR code generator that is simple to use and written
 in pure Python. The module can automate most of the building process for
 creating QR codes. Most codes can be created using only two lines of code.
 .
 Unlike other generators, all of the helpers can be controlled manually. You
 are free to set any or all of the properties of your QR code. QR codes can be
 saved as SVG, PNG, and plain text. They can also be displayed directly in
 most Linux terminal emulators.
 .
 The pyqrcode module attempts to follow the QR code standard as closely as
 possible. The terminology, encodings and algorithms used in pyqrcode come
 directly from the standard.

Package: python3-pyqt5
Description-md5: fbb6f230f704ef45fbf057abc0d8bdba
Description-en: Python 3 bindings for Qt5
 PyQt5 exposes the Qt5 API to Python 3. This package contains the following
 modules:
  * QtCore
  * QtDBus
  * QtDesigner
  * QtGui
  * QtHelp
  * QtNetwork
  * QtPrintSupport
  * QtTest
  * QtWidgets
  * QtXml

Package: python3-pyqt5-dbg
Description-md5: 705de7d30b2e90a6f681fbf8d448f0dd
Description-en: Python 3 bindings for Qt5 (debug extensions)
 PyQt5 exposes the Qt5 API to Python 3.
 .
 This package contains the extensions built for the Python 3 debug interpreter.

Package: python3-pyqt5.qsci
Description-md5: ba85696f24c5cbcbb3e8d4a83008315f
Description-en: Python 3 bindings for QScintilla 2 with Qt 5
 QScintilla is a text editor for Qt5 with features especially useful when
 writing and debugging source code. These include support for syntax
 styling, error indicators, code completion, call tips and margins.
 .
 This package contains Python 3 bindings so QScintilla 2 can be used in
 PyQt5 applications.

Package: python3-pyqt5.qtchart
Description-md5: afad31e0b01b7ce2569cbdfad2c47226
Description-en: Python 3 bindings for Qt5's Charts module
 The Charts module of PyQt5 provides widgets and utility classes
 for chart rendering in a PyQt5 application.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtchart-dbg
Description-md5: 02e87df7af3c8ba25ed407bd6f35a5a8
Description-en: Python 3 bindings for Qt5's Charts module (debug extension)
 The Charts module of PyQt5 provides widgets and utility classes
 for chart rendering in a PyQt5 application.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtmultimedia
Description-md5: 2b7aa07bd6f611a3a5bf81ef560409b0
Description-en: Python 3 bindings for Qt5's Multimedia module
 The Multimedia module of PyQt5 provides a set of APIs to play and record
 media, and manage a collection of media content.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtmultimedia-dbg
Description-md5: 64a39bce7a7feab3c50a3afd57204d05
Description-en: Python 3 bindings for Qt5's Multimedia module (debug extensions)
 The Multimedia module of PyQt5 provides a set of APIs to play and record
 media, and manage a collection of media content.
 .
 This package contains the extensions built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtopengl
Description-md5: e9ed39d0ea634e379867f454fd8ead88
Description-en: Python 3 bindings for Qt5's OpenGL module
 The OpenGL module of PyQt5 provides widgets and utility classes
 for OpenGL rendering in a PyQt5 application.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtopengl-dbg
Description-md5: 2661336e8af1633c9ab3ac06863104d8
Description-en: Python 3 bindings for Qt5's OpenGL module (debug extension)
 The OpenGL module of PyQt5 provides widgets and utility classes
 for OpenGL rendering in a PyQt5 application.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtpositioning
Description-md5: 71b6af86ffa8cd544c6d64242d19377b
Description-en: Python 3 bindings for QtPositioning module
 The QtPositioning module of PyQt5 provides an API to access location and
 positioning information.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtpositioning-dbg
Description-md5: 5cc3ff22d0b640ecf756c451cd187350
Description-en: Python 3 bindings for QtPositioning module (debug extension)
 The QtPositioning module of PyQt5 provides an API to access location and
 positioning information.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtquick
Description-md5: 2ecb5931419803407d30e4b798a40bfc
Description-en: Python 3 bindings for QtQuick module
 The QtQuick module of PyQt5 provides a framework for developing applications
 and libraries with the QML language.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtquick-dbg
Description-md5: 9b4192642bccdc9962160d21f098a45c
Description-en: Python 3 bindings for QtQuick module (debug extension)
 The QtQuick module of PyQt5 provides a framework for developing applications
 and libraries with the QML language.
 .
 This package contains the extensions built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtsensors
Description-md5: d7474ce0699d46f239313af271d46a56
Description-en: Python 3 bindings for QtSensors module
 The QtSensors module of PyQt5 provides a set of APIs to access sensors
 hardware from PyQt applications.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtsensors-dbg
Description-md5: eff9e4c0086af6eaa2fa0c5a365681c6
Description-en: Python 3 bindings for QtSensors module (debug extension)
 The QtSensors module of PyQt5 provides a set of APIs to access sensors
 hardware from PyQt applications.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtserialport
Description-md5: 77869574eab13b14a79f13ee21bd709a
Description-en: Python 3 bindings for QtSerialPort module
 The QtSerialPort module of PyQt5 provides a set of APIs to use serial ports
 from PyQt applications.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtserialport-dbg
Description-md5: f7cae4c4d95beaf11b3c19922b842a28
Description-en: Python 3 bindings for QtSerialPort module (debug extension)
 The QtSerialPort module of PyQt5 provides a set of APIs to use serial ports
 from PyQt applications.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtsql
Description-md5: 7574b5bc8da18804a0bb8b27611b4ce1
Description-en: Python 3 bindings for Qt5's SQL module
 The SQL module of PyQt5 provides widgets and utility classes for
 Python 3 SQL database access.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtsql-dbg
Description-md5: 1ce1313d6dbf119940db685b7b21b722
Description-en: Python 3 bindings for Qt5's SQL module (debug extension)
 The SQL module of PyQt5 provides widgets and utility classes for
 Python 3 SQL database access.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtsvg
Description-md5: d70c254f0894d222695bd394b2b3aa9f
Description-en: Python 3 bindings for Qt5's SVG module
 The SVG module of PyQt5 provides classes for displaying the contents of
 SVG files.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtsvg-dbg
Description-md5: c101a202d06754068870040247f0980e
Description-en: Python 3 bindings for Qt5's SVG module (debug extension)
 The SVG module of PyQt5 provides classes for displaying the contents of
 SVG files.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtwebchannel
Description-md5: 7ef1a0e57b1afef745483e3aec35f054
Description-en: Python 3 bindings for Qt5's WebChannel module
 Qt WebChannel enables peer-to-peer communication between the host (PyQt5
 application) and the client (HTML/JavaScript application). The transport
 mechanism is supported out of the box by the two popular web engines, Qt
 WebKit 2 and Qt WebEngine (experimental).
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtwebchannel-dbg
Description-md5: 99fe3c2d5393efefaa6ce01f1770c2a5
Description-en: Python 3 bindings for Qt5's Webchannel module (debug extension)
 Qt WebChannel enables peer-to-peer communication between the host (PyQt5
 application) and the client (HTML/JavaScript application). The transport
 mechanism is supported out of the box by the two popular web engines, Qt
 WebKit 2 and Qt WebEngine (experimental).
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtwebengine
Description-md5: 07ead60d8bafcc7bd83f14feb257d296
Description-en: Python 3 bindings for Qt5's WebEngine module
 The WebEngine module of PyQt5 provides a Chromium-based Web browser engine
 for PyQt5 applications.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtwebengine-dbg
Description-md5: 1d2d2d91d44cc6680950f55276818c31
Description-en: Python 3 bindings for Qt5's WebEngine module (debug extensions)
 The WebEngine module of PyQt5 provides a Chromium-based Web browser engine
 for PyQt5 applications.
 .
 This package contains the extensions built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtwebkit
Description-md5: 241b59ccd381653bfb7b19f9a6d4d229
Description-en: Python 3 bindings for Qt5's WebKit module
 The WebKit module of PyQt5 provides a Web browser engine that makes it easy
 to embed HTML content into your PyQt application.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtwebkit-dbg
Description-md5: 72960fe10193a9868ed0be33ee77ae1f
Description-en: Python 3 bindings for Qt5's WebKit module (debug extensions)
 The WebKit module of PyQt5 provides a Web browser engine that makes it easy
 to embed HTML content into your PyQt application.
 .
 This package contains the extensions built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtwebsockets
Description-md5: 46cea5ef308fd94806e5a27b061aa6cf
Description-en: Python 3 bindings for Qt5's WebSockets module
 The WebSockets module of PyQt5 provides Python 3 bindings for the
 WebSockets specification (both client and server).
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtwebsockets-dbg
Description-md5: 105b9efee1169f34a4cddd3bc9021f5a
Description-en: Python 3 bindings for Qt5's WebSockets module (debug extensions)
 The WebSockets module of PyQt5 provides Python 3 bindings for the
 WebSockets specification (both client and server).
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtx11extras
Description-md5: 934352a201f61877b9682448999d4791
Description-en: Python 3 bindings for QtX11Extras module
 The QtX11Extras module of PyQt5 provides an API to access X11-specific
 information and classes.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtx11extras-dbg
Description-md5: 2f899bea8e42b1c78a19ff167e28821a
Description-en: Python 3 bindings for QtX11Extras module (debug extension)
 The QtX11Extras module of PyQt5 provides an API to access X11-specific
 information and classes.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qtxmlpatterns
Description-md5: d48c0a158ad69ac798efecfebd888465
Description-en: Python 3 bindings for Qt5's XmlPatterns module
 QtXmlPatterns is a XQuery and XPath engine for XML and custom data models.
 This package provides a PyQt binding for QtXmlPatterns.
 .
 This package contains the Python 3 version of this module.

Package: python3-pyqt5.qtxmlpatterns-dbg
Description-md5: c2dd418ecf4c860fae112da9fecdbf0c
Description-en: Python 3 bindings for Qt5's XmlPatterns module (debug extension)
 QtXmlPatterns is a XQuery and XPath engine for XML and custom data models.
 This package provides a PyQt binding for QtXmlPatterns.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt5.qwt
Description-md5: 5bbb084e3f0670790a47934abe73a5c7
Description-en: Python version of the Qwt6 technical widget library (Python3)
 PyQt-Qwt is a set of Python bindings for the Qwt C++ class library which
 extends the Qt framework with widgets for scientific and engineering
 applications. It provides a widget to plot 2-dimensional data and various
 widgets to display and control bounded or unbounded floating point values.
 .
 This version is compiled against Qt5.

Package: python3-pyqtgraph
Description-md5: 7a09ccffd4f4bc7cc76d0d0e1a70383c
Description-en: Scientific Graphics and GUI Library for Python 3
 PyQtGraph is a pure-python graphics and GUI library built on PyQt4 and numpy.
 It is intended for use in mathematics / scientific / engineering applications.
 Despite being written entirely in Python, the library is very fast due to its
 heavy leverage of numpy for number crunching and Qt's GraphicsView framework
 for fast display.
 .
 This is the Python 3 version of the package.

Package: python3-pyquery
Description-md5: 2f1320e457ed6cefc98acee7ced2164f
Description-en: jQuery-like library for python 3
 pyquery allows you to make jQuery queries on XML documents. The API is as
 similar to jQuery as possible. pyquery uses lxml for fast XML and HTML
 manipulation.
 .
 This package contains the module for Python 3.

Package: python3-pyrad
Description-md5: 5f6a183bec21c351c53951b74f70fea5
Description-en: Python module for creating and decoding RADIUS packets (Python 3)
 It takes care of all the details like building RADIUS
 packets, sending them and decoding responses.
 This package contains several modules:
  - client: RADIUS client code
  - dictionary: RADIUS attribute dictionary
  - server: Basic RADIUS server and proxy classes.
  - packet: a RADIUS packet as send to/from servers
  - tools: utility functions
 .
 This package contains the module for Python 3.

Package: python3-pyraf
Description-md5: f7421082fca06089d04b1a287a5eca5d
Description-en: Python interface for IRAF
 PyRAF is a command language for running IRAF tasks in a Python like
 environment. It works very similar to IRAF CL, but has been updated
 to allow such things as importing Python modules, GUI parameter
 editing and help. It can be imported into Python allowing you to run
 IRAF commands from within a larger script.

Package: python3-pyramid
Description-md5: 9de92415473bf83063da3e1f7cecefe0
Description-en: Pyramid web application framework, a Pylons project - Python 3.x version
 Pyramid is a small, fast, down-to-earth, open source Python web development
 framework. It makes real-world web application development and deployment
 more fun, more predictable, and more productive.
 .
 Pyramid is a Pylons project, and underlies the newest web frameworks
 produced by the Pylons community.
 .
 Pyramid was previously known as repoze.bfg.
 .
 This is the Python 3 version.

Package: python3-pyramid-chameleon
Description-md5: 472fb378bd3e402eb30d00e8c1eb9be0
Description-en: Chameleon templating support for the Pyramid framework - Python 3.x version
 Pyramid is a small, fast, down-to-earth, open source Python web development
 framework. It makes real-world web application development and deployment
 more fun, more predictable, and more productive.
 .
 Chameleon is an XML-based template compiler implementing the Zope Page
 Templates (ZPT) language.
 .
 This package provides bindings for the Chameleon templating system to work
 under the Pyramid web framework, in its Python 3 version.

Package: python3-pyramid-jinja2
Description-md5: eacf966f2defbfa0632d68528758f341
Description-en: Jinja2 template bindings for the Pyramid web framework (Python 3)
 These are bindings for the Jinja2 templating system for the Pyramid web
 framework.
 .
 This package installs the library for Python 3.

Package: python3-pyramid-multiauth
Description-md5: c1dac7173aa2a4e2f638549cad478195
Description-en: authentication policy for the Pyramid web framework (python 3)
 The pyramid_multiauth package provides MultiAuthenticationPolicy: a Pyramid
 authentication policy that proxies to a stack of other IAuthenticationPolicy
 objects, to provide a combined auth solution from individual pieces.

Package: python3-pyramid-tm
Description-md5: eb9077f42e2a461babba484a431e886c
Description-en: Transaction management for the Pyramid web framework
 The pyramid_tm package allows Pyramid requests to join the active
 transaction as provided by the transaction package.

Package: python3-pyramid-zcml
Description-md5: 3896f4c94fb07ef9cceb21e356154fa4
Description-en: Declarative configuration for the Pyramid web framework
 The pyramid_zcml package provides ZCML (Zope Configuration Markup Language)
 directives for all "configurator" methods available in the Pyramid web
 framework.

Package: python3-pyregfi
Description-md5: 470f03e36dc0d9c58e46bec48b18062b
Description-en: Python 3 Bindings for reglookup
 This package contains Python 3 bindings to libregfi. There are the low-level
 data structures for winsec library and C API mappings for  accessing
 registry data structures.

Package: python3-pyregion
Description-md5: 45e7a0d549baa48683cca5702aeb422d
Description-en: Python module to parse ds9 region files (Python 3)
 Pyregion is a Python module to parse ds9 region files. It also supports ciao
 region files.
 .
 This is the Python 3 version of the package.

Package: python3-pyremctl
Description-md5: e0046f675a2497ea008662859c47a8dc
Description-en: Python 3 extension for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the Python 3 remctl extension, which provides native
 Python bindings for the libremctl client library.

Package: python3-pyresample
Description-md5: 8668fad0cc8d3f4fcc4bc336c3364e7f
Description-en: Resampling of remote sensing data in Python 3
 Pyresample is a Python package for resampling (reprojection) of earth
 observing satellite data. It handles both resampling of gridded data
 (e.g. geostationary satellites) and swath data (polar orbiting
 satellites).
 .
 Pyresample can use multiple processor cores for resampling.
 Pyresample supports masked arrays.
 .
 This is the Python 3 version of the package.

Package: python3-pyro4
Description-md5: aa7735546470130310d0af551fab192b
Description-en: distributed object middleware for Python (RPC), Python3 modules
 Pyro (PYthon Remote Object) is an easy to use and powerful distributed object
 system for Python. In a distributed object system, clients send requests to
 distant servers, which owns the remote objects. Pyro simplifies a lot the
 creation of clients and servers, and has among its features:
  - dynamic and static proxies for all remote method invocations,
  - a naming service which keeps record of the location of objects,
  - mobile objects support: clients and servers can pass objects around,
  - exceptions that occur in the remote object is raised on the client too,
  - multithreaded server support to handle multiple requests simultaneously.
 .
 This package contains the core Pyro4 module for Python 3.x .
 .
 The documentation is available in the pyro4-doc package.
 A lot of examples are available in the pyro4-examples package.

Package: python3-pyroma
Description-md5: f3ec9d793ded37d86db3088594651bac
Description-en: Python packaging quality checker (Python3 build)
 Provides a rating of how well a Python3 project complies with the best
 practices of the Python packaging ecosystem, primarily PyPI, pip, Distribute
 etc, as well as a list of issues that could be improved.
 It can check packages locally and on PyPI.

Package: python3-pyrss2gen
Description-md5: c7d9ef1e65f3c811202d1729f96f1d40
Description-en: interface for generating RSS 2.0 feeds for Python 3
 PyRSS2Gen is the interface to RSS 2.0 feeds. PyRSS2Gen builds the feed up by
 using a XML generator.

Package: python3-pyrundeck
Description-md5: e9f93b0c6cc40d4342159c8b05b2ce56
Description-en: Python library for the Rundeck REST API (Python 3)
 Pyrundeck is a library for communicating with Rundeck via a RESTful
 (Representational State Transfer) application programming interface (API).
 .
 This is the Python 3 version of the package.

Package: python3-pysal
Description-md5: a9ae0fe7498008056fb4c8119193d871
Description-en: Python Spatial Analysis Library - Python 3
 PySAL is an open source library of spatial analysis functions written in
 Python intended to support the development of high level applications.
 .
 It is important to underscore what PySAL is, and is not, designed to do. First
 and foremost, PySAL is a library in the fullest sense of the word. Developers
 looking for a suite of spatial analytical methods that they can incorporate
 into application development should feel at home using PySAL. Spatial analysts
 who may be carrying out research projects requiring customized scripting,
 extensive simulation analysis, or those seeking to advance the state of the art
 in spatial analysis should also find PySAL to be a useful foundation for their
 work.
 .
 End users looking for a user friendly graphical user interface for spatial
 analysis should not turn to PySAL directly. Instead, they should consider
 projects like STARS and the GeoDaX suite of software products which wrap PySAL
 functionality in GUIs. At the same time, it's expected that with developments
 such as the Python based plug-in architectures for QGIS, GRASS, and the
 toolbox extensions for ArcGIS, that end user access to PySAL functionality
 will be widening in the near future.
 .
 This package contains the pysal library for Python 3.

Package: python3-pysam
Description-md5: ddcf8881460e7b674dae90cff6210680
Description-en: interface for the SAM/BAM sequence alignment and mapping format (Python 3)
 Pysam is a Python module for reading and manipulating Samfiles. It's a
 lightweight wrapper of the samtools C-API. Pysam also includes an interface
 for tabix.
 .
 This package installs the module for Python 3.

Package: python3-pyscard
Description-md5: 29641833d26c0ac602ab2e7f6a49329f
Description-en: Python3 wrapper above PC/SC API
 This package contains a wrapper to use PC/SC from a Python 3 program.
 PC/SC (or winscard or pcsc) is an API to communicate with smart cards and
 smart card readers.
 .
 The package also contains some example of codes.

Package: python3-pyshp
Description-md5: 9dc8c96c6839b86509936e3cbf1082a7
Description-en: read/write support for ESRI Shapefile format - Python 3.x
 PySHP provides read and write support for the ESRI Shapefile format.
 The Shapefile format is a popular Geographic Information System vector
 data format created by ESRI.
 .
 This package contains the module for Python 3.x.

Package: python3-pyside2.qt3dcore
Description-md5: 1ddf4c64ca6077fb48c5670d7a9ae822
Description-en: Python bindings for Qt5 3DCore module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the Qt3DCore module.

Package: python3-pyside2.qt3dinput
Description-md5: ee3c839cec7fd2d2a1ef24d7570ac78b
Description-en: Python bindings for Qt5 3DInput module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the Qt3DInput module.

Package: python3-pyside2.qt3dlogic
Description-md5: b7593ae0c0668f7c90c4fd2fede5dba0
Description-en: Python bindings for Qt5 3DLogic module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the Qt3DLogic module.

Package: python3-pyside2.qt3drender
Description-md5: 810bfdcdb19c1182deb167d82c8fc857
Description-en: Python bindings for Qt5 3DRender module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the Qt3DRender module.

Package: python3-pyside2.qtcharts
Description-md5: e5ef61c559f4377296c80b8278cfba2b
Description-en: Python bindings for Qt5 Charts module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtCharts module.

Package: python3-pyside2.qtconcurrent
Description-md5: 9b2149017f5bc63fb97bfa50b20ac887
Description-en: Python bindings for Qt5 Concurrent module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtConcurrent module.

Package: python3-pyside2.qtcore
Description-md5: 9197ea7b8fa82989ba06601f4499b725
Description-en: Python bindings for Qt5 core module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtCore module.

Package: python3-pyside2.qtdatavisualization
Description-md5: e110ca0423b7cba11c843e62cdca9282
Description-en: Python bindings for Qt5 Data Visualization (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtDataVisualization module.

Package: python3-pyside2.qtgui
Description-md5: dd9055443c0b03a68fd4ee741ff60eff
Description-en: Python bindings for Qt5 Gui module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtGui module.

Package: python3-pyside2.qthelp
Description-md5: 1c2d3e9b1cf0f241bbcb138b437ab06f
Description-en: Python bindings for Qt5 Help module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtHelp module.

Package: python3-pyside2.qtlocation
Description-md5: 52247d12627ff7ff14f40b00f87e6131
Description-en: Python bindings for Qt5 Location module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtLocation module.

Package: python3-pyside2.qtmultimedia
Description-md5: 25abce2188ac7e19fd41bae430cc4fae
Description-en: Python bindings for Qt5 Multimedia module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtMultimedia module.

Package: python3-pyside2.qtmultimediawidgets
Description-md5: d180643be2db92f9aa4f80d871349616
Description-en: Python bindings for Qt5 MultimediaWidgets module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtMultimediaWidgets module.

Package: python3-pyside2.qtnetwork
Description-md5: b348523278cd82965af96479eb3637a3
Description-en: Python bindings for Qt5 Network module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtNetwork module.

Package: python3-pyside2.qtopengl
Description-md5: 9364f7232c9b3cc2ce167a687d989e5a
Description-en: Python bindings for Qt5 OpenGL module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtOpenGL module.

Package: python3-pyside2.qtopenglfunctions
Description-md5: f00dc3902dfc1fe381f8209b57230b10
Description-en: Python bindings for Qt5 Open GL Functions (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the OpenGLFunctions module.

Package: python3-pyside2.qtpositioning
Description-md5: 8c9d8c03f959c05cc56a15ea281965d0
Description-en: Python bindings for Qt5 Positioning module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtPositioning module.

Package: python3-pyside2.qtprintsupport
Description-md5: 2a6f43cfac608bb3d40b34ffa41e771d
Description-en: Python bindings for Qt5 PrintSupport module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtPrintSupport module.

Package: python3-pyside2.qtqml
Description-md5: 305eb414c0fa83633f3b52ede98ac5a8
Description-en: Python bindings for Qt5 Qml module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtQml module.

Package: python3-pyside2.qtquick
Description-md5: a07da6d625d30e709207227d1b6f0375
Description-en: Python bindings for Qt5 Quick module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtQuick module.

Package: python3-pyside2.qtquickwidgets
Description-md5: 2b07ada1406638ae90d54d631cc49dbf
Description-en: Python bindings for Qt5 QuickWidgets module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtQuickWidgets module.

Package: python3-pyside2.qtscript
Description-md5: e634cbdc79d6ba678f4af1ae0fba2304
Description-en: Python bindings for Qt5 Script module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtScript module.

Package: python3-pyside2.qtscripttools
Description-md5: b73ba480f17cc00a04fcfbaa6934c0ee
Description-en: Python bindings for Qt5 ScriptTools module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtScriptTools module.

Package: python3-pyside2.qtscxml
Description-md5: ae0a74f15424fa9f6e6d7f77ccd9ac94
Description-en: Python bindings for Qt5 Scxml (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the Scxml module.

Package: python3-pyside2.qtsensors
Description-md5: 8fb399a17497de97a555f3847ca84290
Description-en: Python bindings for Qt5 Sensors module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtSensors module.

Package: python3-pyside2.qtsql
Description-md5: 8eb8c82051ebd310aef498ecf7cc9648
Description-en: Python bindings for Qt5 Sql module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtSql module.

Package: python3-pyside2.qtsvg
Description-md5: bcd27a00e908a695e3700002cdfe5bcd
Description-en: Python bindings for Qt5 Svg module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtSvg module.

Package: python3-pyside2.qttest
Description-md5: 2ffcb77819c5ae0a044159e54e04f9bc
Description-en: Python bindings for Qt5 Test module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtTest module.

Package: python3-pyside2.qttexttospeech
Description-md5: 26a753a8a22337568123eb6af1a59be7
Description-en: Python bindings for Qt5 TextToSpeech module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtTextToSpeech module.

Package: python3-pyside2.qtuitools
Description-md5: 666a2ea7b502ce8e833777ce34815541
Description-en: Python bindings for Qt5 UiTools module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtUiTools module.

Package: python3-pyside2.qtwebchannel
Description-md5: bc34f29baa831a1ddd249822c7b7bc46
Description-en: Python bindings for Qt5 WebChannel module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtWebChannel module.

Package: python3-pyside2.qtwebengine
Description-md5: 5ae63a0a1b6ff6a8aec7a10108850430
Description-en: Python bindings for Qt5 Web Engine (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtWebEngine module.

Package: python3-pyside2.qtwebenginecore
Description-md5: b49501646b1fc0b1b992bd69aa5db392
Description-en: Python bindings for Qt5 WebEngineCore module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtWebEngineCore module.

Package: python3-pyside2.qtwebenginewidgets
Description-md5: 0dbdba5af2acaffa24c07524549e60a3
Description-en: Python bindings for Qt5 WebEngineWidgets module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtWebEngineWidgets module.

Package: python3-pyside2.qtwebsockets
Description-md5: 025cc1303259f18c3cd1e2410b5f15a1
Description-en: Python bindings for Qt5 WebSockets module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtWebSockets module.

Package: python3-pyside2.qtwidgets
Description-md5: 1105945a5bf4a0cb469a8fe1d62a0600
Description-en: Python bindings for Qt5 Widgets module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtWidgets module.

Package: python3-pyside2.qtx11extras
Description-md5: db51ca9a9c11085b658a05c072639ee5
Description-en: Python bindings for Qt5 X11Extras module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtX11Extras module.

Package: python3-pyside2.qtxml
Description-md5: e3cdb176a3e4e16cf94c02128de7be71
Description-en: Python bindings for Qt5 Xml module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtXml module.

Package: python3-pyside2.qtxmlpatterns
Description-md5: e28d2bccca76d57dabfa6a4f47008e15
Description-en: Python bindings for Qt5 XmlPatterns module (Python 3)
 pyside2 provides Python bindings for Qt 5.x framework.
 .
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Python 3 bindings for the QtXmlPatterns module.

Package: python3-pysimplesoap
Description-md5: eb775d54ddb5f2426d88fefc9ecbcc7c
Description-en: simple and lightweight SOAP Library (Python 3)
 Python simple and lightweight SOAP library for client and server webservices
 interfaces, aimed to be as small and easy as possible, supporting most common
 functionality. Initially it was inspired by PHP Soap Extension (mimicking its
 functionality, simplicity and ease of use), with many advanced features added.
 .
 This package contains the Python 3 version of pysimplesoap .

Package: python3-pysnmp4-apps
Description-md5: 48f4459f9591a899685a3e0eeff3464a
Description-en: Applications for the Python SNMP library
 This package contains a set of SNMP applications written on top of
 the PYSNMP v4 package, which is written entirely in Python and is
 self-sufficient in terms that it does not rely on any third party
 tool.

Package: python3-pysnmp4-mibs
Description-md5: 4d910d562d6819676403e21fdfc85c61
Description-en: MIBs for the Python SNMP library
 This package contains MIBs compiled for PySNMP v4, which is written
 entirely in Python and is self-sufficient in terms that it does not
 rely on any third party tool.

Package: python3-pysodium
Description-md5: fa5ce419656862eb8e84750002b7c239
Description-en: Python libsodium wrapper (Python3 version)
 This is a very simple wrapper around libsodium masquerading as nacl. Most of
 the *_easy functions are not implemented as the wrapper provides an easy
 interface to the "non-easy" functions.
 .
 This package contains the Python 3 version of the library.

Package: python3-pysolar
Description-md5: d557d47e6b306b350696e1b8cc34c270
Description-en: Python library for precise ephemeris calculations
 Pysolar is a collection of Python libraries for simulating the irradiation
 of any point on earth by the sun. It includes code for extremely precise
 ephemeris calculations.
 .
 This package is only available for Python 3.

Package: python3-pysolr
Description-md5: 0f8969679ddf17f1d1e278a729ce44eb
Description-en: lightweight Python3 wrapper for querying Apache Solr
 This module provides an interface that queries the Apache Solr server using
 a pure Python implementation and returns the results based on the query
 .
 Features:
  * Basic operations such as selecting, updating & deleting.
  * Index optimization.
  * "More Like This" support (if setup in Solr).
  * Spelling correction (if setup in Solr).
  * Timeout support.

Package: python3-pyspectral
Description-md5: 9d7e2a3a66a7d34f9db7adde61193bac
Description-en: Reading and manipulaing satellite sensor spectral responses
 Reading and manipulaing satellite sensor spectral responses and the
 solar spectrum, to perform various corrections to VIS and NIR band data.
 .
 Given a passive sensor on a meteorological satellite PySpectral
 provides the relative spectral response (rsr) function(s) and offer
 some basic operations like convolution with the solar spectrum to
 derive the in band solar flux, for instance.
 .
 The focus is on imaging sensors like AVHRR, VIIRS, MODIS, ABI, AHI,
 OLCI and SEVIRI. But more sensors are included and if others are
 needed they can be easily added. With PySpectral it is possible to
 derive the reflective and emissive parts of the signal observed in any
 NIR band around 3-4 microns where both passive terrestrial emission
 and solar backscatter mix the information received by the satellite.
 Furthermore PySpectral allows correcting true color imagery for the
 background (climatological) atmospheric signal due to Rayleigh
 scattering of molecules, absorption by atmospheric gases and aerosols,
 and Mie scattering of aerosols.

Package: python3-pyspectral-doc
Description-md5: f5ae41b2a23eb6ceeb4d392528a57503
Description-en: Reading and manipulaing satellite sensor spectral responses - documentation
 Reading and manipulaing satellite sensor spectral responses and the
 solar spectrum, to perform various corrections to VIS and NIR band data.
 .
 Given a passive sensor on a meteorological satellite PySpectral
 provides the relative spectral response (rsr) function(s) and offer
 some basic operations like convolution with the solar spectrum to
 derive the in band solar flux, for instance.
 .
 The focus is on imaging sensors like AVHRR, VIIRS, MODIS, ABI, AHI,
 OLCI and SEVIRI. But more sensors are included and if others are
 needed they can be easily added. With PySpectral it is possible to
 derive the reflective and emissive parts of the signal observed in any
 NIR band around 3-4 microns where both passive terrestrial emission
 and solar backscatter mix the information received by the satellite.
 Furthermore PySpectral allows correcting true color imagery for the
 background (climatological) atmospheric signal due to Rayleigh
 scattering of molecules, absorption by atmospheric gases and aerosols,
 and Mie scattering of aerosols.
 .
 This package includes the PySpectral documentation in HTML format.

Package: python3-pysph
Description-md5: 6c164c255410221e9ebd50935938c670
Description-en: open source framework for Smoothed Particle Hydrodynamics
 It is implemented in Python and the performance critical parts are
 implemented in Cython.
 .
 PySPH is implemented in a way that allows a user to specify the entire
 SPH simulation in pure Python. High-performance code is generated from
 this high-level Python code, compiled on the fly and executed. PySPH also
 features optional automatic parallelization using mpi4py and Zoltan.

Package: python3-pysrt
Description-md5: cfa536ea8703d63d1dc8c57a2b7cae9e
Description-en: library to edit or create SubRip files (Python 3)
 pysrt can be used to edit or create SubRip (.srt) subtitle files from Python.
 It supports parsing, shifting, removing, slicing, splitting and rescaling of
 these files.
 .
 This is the Python 3 version of the package.

Package: python3-pyssim
Description-md5: 0d1c3cea671842cf84da437cb53d80d2
Description-en: Tool computing the Structural Similarity Image Metric (SSIM)
 Computes the SSIM between two images.
 .
 This package installs the library for Python 3.

Package: python3-pystache
Description-md5: 89c77e448bdcedefcbf8a35a94ed20a0
Description-en: Python3 implementation of Mustache
 Pystache is Python3 implementation of Mustache.
 Original Mustache is a framework-agnostic, logic-free templating system
 inspired by ctemplate and et. Like ctemplate, Mustache "emphasizes separating
 logic from presentation: it is impossible to embed application logic in this
 template language."

Package: python3-pystemd
Description-md5: d08cb7ba0f18f892f8c8218d687f0767
Description-en: systemd binding for Python
 This library allows you to talk to systemd over D-Bus from Python, without
 actually thinking that you are talking to systemd over D-Bus. This allows you
 to programmatically start/stop/restart/kill and verify services status from
 systemd point of view, avoiding executing `subprocess.Popen(['systemctl', ...`
 and then parsing the output to know the result.

Package: python3-pysword
Description-md5: 6c6a4fa54ac6a8c55c2809b59fa9e1c6
Description-en: native Python reader module for the SWORD Project (Python 3)
 This project is not an official CrossWire project. It merely provides an
 alternative way to read the bible modules created by CrossWire's SWORD
 project.
 .
 Features:
  * Read SWORD bibles (not commentaries etc.)
  * Detection of locally installed bible modules.
  * Supports all known SWORD module formats (ztext, ztext4, rawtext, rawtext4)
  * Read from zipped modules
  * Clean text of OSIS, GBF or ThML tags.
 .
 This package contains the Python 3 bindings.

Package: python3-pysynphot
Description-md5: 083a8874d24f3f5c160cd0b1103fabbf
Description-en: Python Synthetic Photometry Utilities
 pysynphot simulates photometric data and spectra as they are observed with
 the Hubble Space Telescope (HST). Passbands for standard photometric systems
 are available, and users can incorporate their own filters, spectra, and
 data. pysynphot user interface allows you to:
 .
  * Construct complicated composite spectra from various grids of model
    atmosphere spectra, parameterized spectrum models, and atlases of stellar
    spectrophotometry.
  * Simulate observations.
  * Query the resulting structures for quantities of interest, such as
    countrate, effective wavelength, effective stimulus, as well as the
    wavelength and flux arrays.
  * Plot HST sensitivity curves and calibration target spectra.
  * Compute photometric calibration parameters for any HST instrument mode.
 .
 pysynphot can help HST observers to perform cross-instrument simulations, to
 examine the transmission curve of the HST Optical Telescope Assembly (OTA),
 and spectra of HST calibration targets. Expert users can take advantage of
 the control and data structures available in Python to easily perform
 repetitive operations such as simulate the observation of multiple type of
 sources through multiple observing modes.

Package: python3-pyte
Description-md5: 6c6b711510288dfb3072ae758c4dd103
Description-en: simple VTXXX-compatible terminal emulator (Python 3)
 pyte is an in-memory VTXXX-compatible terminal emulator, where XXX stands
 for a series of video terminals, developed by DEC between 1970 and 1995.
 .
 pyte is as a fork of vt102, which was an incomplete pure Python
 implementation of VT100 terminal.
 .
 This is the Python 3 version of the package.

Package: python3-pytest
Description-md5: a5dad4d5b22160c775ca39b2d4780244
Description-en: Simple, powerful testing in Python3
 This testing tool has for objective to allow the developers to limit the
 boilerplate code around the tests, promoting the use of built-in
 mechanisms such as the `assert` keyword.
 .
 This package provides the Python 3 module and the py.test-3 script.

Package: python3-pytest-arraydiff
Description-md5: 0c2e9c005a842d3dd2d905a3a80d78bc
Description-en: Pytest plugin to generate and compare data arrays
 The basic idea is that you can write a test that generates a Numpy array
 (or other related objects depending on the format). You can then either
 run the tests in a mode to generate reference files from the arrays, or
 you can run the tests in comparison mode, which will compare the results
 of the tests to the reference ones within some tolerance. At the moment,
 the supported file formats for the reference files are plaint text and
 FITS.

Package: python3-pytest-astropy
Description-md5: b331ca143a7864b42228940b913dc1a6
Description-en: Pytest dependencies for Astropy and affiliated packages
 This is a metapackage that pulls in the dependencies that are used by
 astropy and some affiliated packages for testing. It can also be
 used for testing packages that are not affiliated with the Astropy
 project.

Package: python3-pytest-astropy-header
Description-md5: 3be82444e356941763dfb65d7d614b33
Description-en: Include basic system dependencies in the header of pytest output
 This plugin package provides a way to include information about the
 system, Python installation, and select dependencies in the header of
 the output when running pytest. It can be used with packages that are
 not affiliated with the Astropy project, but is optimized for use
 with astropy-related projects.

Package: python3-pytest-asyncio
Description-md5: 742eddc371ba1e81bc235a1523e7720f
Description-en: pytest support for asyncio.
 pytest-asyncio is a ibrary for testing asyncio code with pytest.
 .
 asyncio code is usually written in the form of coroutines,
 which makes it slightly more difficult to test
 using normal testing tools.
 pytest-asyncio provides useful fixtures and markers
 to make testing easier.

Package: python3-pytest-bdd
Description-md5: 9f1aeb449a229523382d09fe91b38d7d
Description-en: BDD plugin for py.test
 Implements a subset of Gherkin language for the automation of the
 project requirements testing and easier behavioral driven development.
 .
 Unlike many other BDD tools it doesn't require a separate runner and benefits
 from the power and flexibility of the pytest. It allows one to unify your unit
 and functional tests, easier continuous integration server configuration and
 maximal reuse of the tests setup.
 .
 Pytest fixtures written for the unit tests can be reused for the setup and
 actions mentioned in the feature steps with dependency injection, which allows
 a true BDD just-enough specification of the requirements without maintaining
 any context object containing the side effects of the Gherkin imperative
 declarations.
 .
 This package contains the Python 3 version.

Package: python3-pytest-benchmark
Description-md5: bb1ca3e8069ca7275f32ec5964b92133
Description-en: pytest fixture for benchmarking code
 This plugin provides a benchmark fixture. This fixture is a callable object
 that will benchmark any function passed to it.
 .
 Notable features and goals:
  - Sensible defaults and automatic calibration for microbenchmarks
  - Good integration with pytest
  - Comparison and regression tracking
  - Exhausive statistics
  - JSON export

Package: python3-pytest-cov
Description-md5: 563adad81653f29337d8fc30658fc098
Description-en: py.test plugin to produce coverage reports for Python3
 This py.test plugin produces coverage reports. It supports both centralised
 and distributed testing across multiple hosts. It can run parallel tests on
 different platforms, architectures, and Python versions.
 It supports coverage of subprocesses and can produce reports in text, HTML,
 XML and annotated source code.

Package: python3-pytest-cython
Description-md5: 34941b963d9eb6379a8ac08acd2432cd
Description-en: pytest plugin for testing Cython extension modules (Python 3)
 This Pytest plugin allows for the doctesting of C extension modules for
 Python, specifically created through Cython.
 .
 This package provides the pytest plugin for Python 3.

Package: python3-pytest-django
Description-md5: 7423ea053eeb01e0dda3af4cd2474c44
Description-en: Django plugin for py.test.
 Running your test suite with pytest-django allows you to access features
 of pytest that wouldn't normally be available with the Django’s
 manage.py test command.
 .
 This package contains the python 3 version.

Package: python3-pytest-doctestplus
Description-md5: 0cc1fd38e1e6e39cf8ee0a2ba76df679
Description-en: Pytest plugin providing advanced doctest features
 This package contains a plugin for the pytest framework that provides
 advanced doctest support and enables the testing of reStructuredText
 (".rst") files.

Package: python3-pytest-expect
Description-md5: a7b74b1c9e02c71b619e930931267bd8
Description-en: py.test-3 plugin to store test expectations
 A py.test plugin that stores test expectations by saving the set of failing
 tests, allowing them to be marked as xfail when running them in future. The
 tests expectations are stored such that they can be distributed alongside the
 tests. However, note that test expectations can only be reliably shared between
 Python 2 and Python 3 if they only use ASCII characters in their node ids: this
 likely isn’t a limitation if tests are using the normal Python format, as
 Python 2 only allows ASCII characters in identifiers.
 .
 This package contains the Python 3 version of pytest-expect.

Package: python3-pytest-filter-subpackage
Description-md5: 957f4dc2ac1ce31ad1621c810e805478
Description-en: Pytest plugin for filtering based on sub-packages
 This package contains a simple plugin for the Pytest framework that
 provides a shortcut to testing all code and documentation for a given
 sub-package.
 .
 When used in conjunction with pytest-doctestplus this will
 result in both the narrative documentation and code being tested for
 a given sub-package.

Package: python3-pytest-flask
Description-md5: 48710b6dd6be5fd74a175b8bb681266c
Description-en: pytest plugin to test Python Flask - Python 3 version
 pytest-flask provides a set of pytest fixtures to simplify testing of Python
 Flask extensions and applications.
 .
 This package contains the Python 3 version.

Package: python3-pytest-flask-doc
Description-md5: ead80557d8c33ef8ad42a44dfa6714d4
Description-en: pytest plugin to test Python Flask - documentation manual
 pytest-flask provides a set of pytest fixtures to simplify testing of Python
 Flask extensions and applications.
 .
 This package contains documentation for pytest-flask.

Package: python3-pytest-forked
Description-md5: 83db11d14af54725caec25b0e1bb81f9
Description-en: py.test plugin for running tests in forked subprocesses (Python 3)
 The pytest-forked plugin extends py.test by adding an option to run tests in
 isolated forked subprocesses. This is useful if you have tests involving C or
 C++ libraries that might crash the process. To use the plugin, simply use the
 --forked argument when invoking py.test.
 .
 This package contains pytest-forked for Python 3.

Package: python3-pytest-helpers-namespace
Description-md5: 7803f5c1b89175cbc158d217c87855c5
Description-en: provides a namespace for pytest helpers
 Permits registering pytest helpers in conftest.py, which allows them
 to be used in a test without importing them.
 .
 This package contains the Python 3 module.

Package: python3-pytest-httpbin
Description-md5: de36d006c5744089234ddb3539f55d7f
Description-en: py.test plugin providing a local httpbin (Python 3)
 httpbin is a WSGI based test server for testing HTTP applications.
 pytest-httpbin creates a fixture for the py.test framework that
 is dependency-injected into tests, it automatically starts up a
 local running instance of httpbin in a separate thread and
 provides the test with the URL in the fixture.
 .
 This package provides the py.test plugin for Python 3 code.

Package: python3-pytest-lazy-fixture
Description-md5: f1fbea81b7af32af283dbafbb1cdf60f
Description-en: Use your fixtures in @pytest.mark.parametrize (Python 3)
 An extension to Pytest that allows lazy-loading fixtures. This, combined with
 the pytest.mark.parametrize function, allows the same fixture to be used
 multiple times per test.
 .
 This package installs the library for Python 3.

Package: python3-pytest-localserver
Description-md5: 712238b5a8a617440b56a72ac4a3beaa
Description-en: py.test plugin to test server connections locally (Python 3)
 pytest-localserver is a plugin for the Pytest testing framework which
 enables to test server connections locally.
 .
 This package contains the modules for Python 3.

Package: python3-pytest-mock
Description-md5: 38c2fbb78ade5094d588f51ccebd7723
Description-en: thin-wrapper around mock for easier use with py.test (Python 3 module)
 This plugin installs a "mocker" fixture which is a thin-wrapper
 around the patching API provided by the excellent "mock" package, but
 with the benefit of not having to worry about undoing patches at the
 end of a test.
 .
 This package provides the Python 3 module.

Package: python3-pytest-mpl
Description-md5: 775fa7d2e5d316771ad7f9771f85d736
Description-en: pytest plugin for Matplotlib image comparison in Python 3
 Matplotlib includes a number of test utilities and decorators, but these are
 geared towards the nose testing framework. Pytest-mpl makes it easy to compare
 figures produced by tests to reference images when using pytest.
 .
 This package provides the pytest plugin for Python 3.

Package: python3-pytest-multihost
Description-md5: 5898c033a4349e692d79ec84270f6fc7
Description-en: Utility for writing multi-host tests for pytest (Python 3)
 A pytest plugin for multi-host testing.
 .
 This package installes the library for Python 3.

Package: python3-pytest-openfiles
Description-md5: 0393b47e7e3978396b83428a4d15f30c
Description-en: Pytest plugin to check for files left open at the end of a test
 This package provides a plugin for the pytest framework that allows
 developers to detect whether any file handles or other file-like
 objects were inadvertently left open at the end of a unit test.

Package: python3-pytest-pep8
Description-md5: 1ddd166bb4d635a8cb7fcc06a21f5aec
Description-en: pytest plugin to check PEP8 requirements
 py.test plugin for efficiently checking PEP8 compliance such as:
   - Code layout
   - String Quotes
   - Naming Conventions
 and more. See: https://www.python.org/dev/peps/pep-0008/

Package: python3-pytest-pylint
Description-md5: 1aedf5ea9698abdd6017454cec1cfadf
Description-en: pytest plugin to check source code with pylint - Python 3.x
 Run pylint with pytest and have configurable rule types (i.e. Convention,
 Warn, and Error) fail the build. You can also specify a pylintrc file.
 .
 Usage: py.test --pylint
 .
 This package contains the Python 3.x module.

Package: python3-pytest-random-order
Description-md5: 977418940d39d9136eac517ecd527fbb
Description-en: pytest plugin to randomise the order of tests (Python 3)
 pytest-random-order is a pytest plugin that randomises the order of tests.
 This can be useful to detect a test that passes just because it happens to
 run after an unrelated test that leaves the system in a favourable state.
 .
 The plugin allows the user to control the level of randomness they want to
 introduce and to disable reordering on subsets of tests. Tests can be rerun
 in a specific order by passing a seed value reported in a previous test run.
 .
 This package installs the pytest plugin for Python 3.

Package: python3-pytest-remotedata
Description-md5: 587823ca09ef8dacb357dde3b9f2cb4e
Description-en: Pytest plugin to control access to data files hosted online
 This package provides a plugin for the pytest framework that allows
 developers to control unit tests that require access to data from the
 internet.

Package: python3-pytest-runner
Description-md5: 5a374a16a2b81d649f507da4babd3ebc
Description-en: Invoke py.test as distutils command with dependency resolution - Python 3.x
 Setup scripts can use pytest-runner to add setup.py test support for pytest
 runner.
 .
 This package contains the Python 3 module.

Package: python3-pytest-sourceorder
Description-md5: 9afa98b08665a38c3e43e0f70fdac0b9
Description-en: Test-ordering plugin for pytest3 (Python 3)
 A pytest plugin for ensuring tests within a class are run in source order.
 .
 This package installes the library for Python 3.

Package: python3-pytest-sugar
Description-md5: 33688ffe7cc9a804af3766ec45a997c5
Description-en: different output style for py.test with a progress bar
 Plugin to improve the look and feel of the py.test Python testing framework.
 .
 Changes the py.test output to show a green tick for each passing test and a
 red cross for test failures. A progress bar is displayed on the right of the
 console.
 .
 Test failures are shown immediately.

Package: python3-pytest-tempdir
Description-md5: 607765619591ce9b5e08c939e2457451
Description-en: predictable and repeatable temporary directory for tests
 This package contains a pytest plugin that adds support for a predictable and
 repeatable temporary directory. Simply define a pytest_tempdir_basename
 function on your conftest.py which returns a string to define the basename or
 pass --tempdir-basename.

Package: python3-pytest-timeout
Description-md5: a97a5f9cc90db9db11d2a8e6e7130ba3
Description-en: Pytest plugin to abort hanging tests - Python 3.X
 This is a plugin which will terminate tests after a certain timeout.
 When doing so it will show a stack dump of all threads running at the
 time. This is useful when running tests under a continuous integration
 server or simply if you don’t know why the test suite hangs.
 .
 Note that while by default on POSIX systems py.test will continue to
 execute the tests after a test has timed, out this is not always
 possible. Often the only sure way to interrupt a hanging test is by
 terminating the entire process. As this is a hard termination
 (os._exit()) it will result in no teardown, JUnit XML output etc. But
 the plugin will ensure you will have the debugging output on stderr
 nevertheless, which is the most important part at this stage. See below
 for detailed information on the timeout methods and their side-effects.
 .
 The pytest-timeout plugin has been tested on Python 2.6 or higher,
 including 3.X and pypy.
 .
 This package provides support for Python 3.X.

Package: python3-pytest-tornado
Description-md5: 48eaf36b4e8afc663397bb7ad8154547
Description-en: py.test plugin to test Tornado applications (Python 3)
 pytest-tornado is a plugin for the Pytest testing framework which
 provides fixtures and markers to simplify testing of Tornado applications
 (Python web framework and ansynchronous networking library).
 .
 This package contains the plugin for Python 3 code.

Package: python3-pytest-vcr
Description-md5: fd48416ac1b625621068a8cac3bae631
Description-en: Py.test integration with VCR.py
 pytest-vcr is a plugin to manage VCR.py cassettes. It records in yaml files
 the output of the tests run at first time.
 .
 This package contains the plugin for Python 3 code.

Package: python3-pytest-xdist
Description-md5: b0fb4afa5a4b9f2ff3f74cfc7133343c
Description-en: xdist plugin for py.test (Python 3)
 The pytest-xdist plugin extends py.test with some unique test execution modes:
 .
  * Looponfail:
      Run your tests repeatedly in a subprocess. After each run py.test waits
      until a file in your project changes and then re-runs the previously
      failing tests. This is repeated until all tests pass after which again a
      full run is performed.
  * Load-balancing:
      if you have multiple CPUs or hosts you can use those for a combined test
      run. This allows one to speed up development or to use special resources
      of remote machines.
  * Multi-Platform coverage:
      you can specify different Python interpreters or different platforms and
      run tests in parallel on all of them.
 .
 Before running tests remotely, py.test efficiently synchronizes your program
 source code to the remote place. All test results are reported back and
 displayed to your local test session. You may specify different Python
 versions and interpreters.
 .
 This package contains pytext-xdist for Python 3.

Package: python3-pytest-xvfb
Description-md5: 859b09eeb72da194f2b7ed35419db4ae
Description-en: pytest plugin to run Xvfb for tests
 With Xvfb and this plugin installed, your testsuite automatically runs with
 Xvfb. This allows tests to be run without windows popping up during GUI tests
 or on systems without a display (like a CI).
 .
 This package provides the Python 3 modules.

Package: python3-pytestqt
Description-md5: a478c40a60749b4bd37ea666938e02f8
Description-en: pytest plugin for Qt application testing (Python 3)
 Pytest-qt is a pytest plugin that allows programmers to write tests for
 PySide and PyQt applications.
 .
 This package provides the modules for Python 3.

Package: python3-pytestsalt
Description-md5: 1e858097857ea71492828c836051cdf6
Description-en: PyTest plug-in for Salt daemons to be used in tests
 This package provides a PyTest plug-in that allows one to use the Salt daemons
 in tests. This plug-in is used in Salt's test suite.

Package: python3-python-flask-jwt-extended
Description-md5: 0fa4bc7023441b3d09aa4682e90c317a
Description-en: Open source Flask extension that provides JWT support (Python 3)
 Flask-JWT-Extended not only adds support for using JSON Web Tokens (JWT)
 to Flask for protecting views, but also many helpful (and optional) features
 built in to make working with JSON Web Tokens easier.
 .
 This package installs the library for Python 3.

Package: python3-python-openidc-client
Description-md5: eeded44673fd069d08168fd722fee2bd
Description-en: Python OpenID Connect client (Python 3)
 Python OpenID Connect client library with token caching and
 management.
 .
 This package installs the library for Python 3.

Package: python3-python-qt-binding
Description-md5: f0ea16f2179c1b619f9369df6dee82b7
Description-en: Robot OS Python 3 bindings for QT
 This package is part of Robot OS (ROS). It provides Python bindings
 for Qt. There are two providers: pyside and pyqt.  PySide is released
 under the LGPL.  PyQt is released under the GPL.
 .
 Both the bindings and tools to build bindings are included from each
 available provider.  For PySide, it is called "Shiboken".  For PyQt,
 this is called "SIP".
 .
 Also provided is adapter code to make the user's Python code
 independent of which binding provider was actually used which makes
 it very easy to switch between these.
 .
 This package contains the Python 3 binding.

Package: python3-python-telegram-bot
Description-md5: 6b142598fbbcfc724a2d65b524a4b670
Description-en: python module for Telegram Bot API (Python 3)
 This package contains the python module for Telegram Bot API. You can use it
 simply as an API if you want to implement logic by yourself, or you can enable
 retrieving of updates and get messages sent to your bot in a callback.
 .
 This package installs the library for Python 3.

Package: python3-python-telegram-bot-doc
Description-md5: 579a1b424784a4b2bf5803cb0dfc2db9
Description-en: python module for Telegram Bot API (common documentation)
 This package contains the python module for Telegram Bot API. You can use it
 simply as an API if you want to implement logic by yourself, or you can enable
 retrieving of updates and get messages sent to your bot in a callback.
 .
 This is the common documentation package.

Package: python3-python-utils
Description-md5: 0f5e31337892386830718763460ba906
Description-en: collection of small Python functions and classes
 Python Utils is a collection of small Python functions and
 classes which make common patterns shorter and easier.

Package: python3-pythonjsonlogger
Description-md5: 03b28a1aa62f8b4e168d88fa1ee45cc2
Description-en: JSON library for Python logging framework
 This library is provided to allow standard Python logging to output log data
 as json objects. With JSON logs are more readable by machines and there is no
 need to create custom parsers for syslog type records.

Package: python3-pythonmagick
Description-md5: 8c634d34420423ecf1541e504f1c41f7
Description-en: Object-oriented Python 3 interface to ImageMagick
 PythonMagick an interface to ImageMagick to give all the functionality
 of ImageMagick++ into Python 3.
 .
 ImageMagick is a software suite to create, edit, and compose bitmap images.
 It can read, convert and write images in a variety of formats (over 100)
 including DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript,
 SVG, and TIFF. Use ImageMagick to translate, flip, mirror, rotate, scale,
 shear and transform images, adjust image colors, apply various special
 effects, or draw text, lines, polygons, ellipses and Bézier curves.
 All manipulations can be achieved through shell commands as well as through
 an X11 graphical interface (display).

Package: python3-pytimeparse
Description-md5: 784e5fc6599d0f4d5dea86639959caf4
Description-en: time expression parser - Python 3.x
 A small Python library to parse various kinds of time expressions, inspired by
 this StackOverflow question: http://stackoverflow.com/questions/4628122
 .
 This package contains the Python 3.x module.

Package: python3-pytoml
Description-md5: 3902b1c50f524aa974c67ca795a1232f
Description-en: TOML parser and emitter for Python3
 This project aims at being a specs-conforming and strict parser and writer
 for TOML files. The library currently supports version 0.4.0 of the specs.
 .
 TOML aims to be a minimal configuration file format that is easy to read
 due to obvious semantics. It is designed to map unambiguously to a hash
 table and it is easy to parse into data structures in a wide variety of
 languages.
 .
 This package contains the module for Python3 and runs with >= 3.4.

Package: python3-pytools
Description-md5: 5995033928a8ffef848b56a69f32722c
Description-en: big bag of things supplementing Python 3 standard library
 Pytools is a big bag of things that are needed by packages created by
 Andreas Kloeckner. They are used by python-pycuda and python-pyopencl
 packages. They contain, amongst other:
  * A ton of small tool functions such as len_iterable, argmin, tuple
    generation, permutation generation, ASCII table pretty printing,
    GvR's mokeypatch_xxx() hack, the elusive flatten, and much more
  * A time-series logging module, pytools.log
  * Batch job submission, pytools.batchjob
  * A lexer, pytools.lex
 .
 This package contains Python 3 modules.

Package: python3-pyuca
Description-md5: 82c1ae2efd956858e855f184e227aadc
Description-en: Unicode Collation Algorithm (UCA) for Python3
 The Unicode Collation Algorithm is defined in the Unicode Technical
 Report #10 (UTR-10). It defines a customizable method to compare
 two strings which can be used for the implementation of sorting orders
 for Unicode alphabets.
 .
 This library is a Python implementation of the UCA which provides
 special features for the sorting of non-English Unicode strings like
 multi-level comparison, contraction, and expansion.
 .
 This package provides Pyuca for Python 3.

Package: python3-pyutilib
Description-md5: c8301cdc1c9d9296371fbc6f3f93de10
Description-en: Python 3 library featuring development utilities
 Pyutilib is a ensemble of Python packages that includes a wide variety
 of utilities for software development.
 .
 The highlight features of this package are:
  - pyutilib.component: well-developed architecture for managing software
    components in complex Python applications
  - pyutilib.workflow: Python classes that provide an intuitive interface
    for defining and executing scientific workflows
  - pyutilib.autotest: automates the setup of test suites from test
    configuration files
  - pyutilib.th: utilities for testing Python software. The main component is an
    extension of Unittest to support new testing capabilities
 .
 Plus, the package includes a couple of useful helper scripts.

Package: python3-pyverbs
Description-md5: eb366711c1e35a95c13ceb7184ab8fd3
Description-en: Python bindings for rdma-core
 Pyverbs provides a Python API over rdma-core, the Linux userspace C API for
 the remote direct memory access (RDMA) stack.
 .
 One goal is to provide easier access to RDMA: RDMA has a steep learning curve
 as is and the C interface requires the user to initialize multiple structs
 before having usable objects. Pyverbs attempts to remove much of this overhead
 and provide a smoother user experience.

Package: python3-pyvirtualdisplay
Description-md5: 7f059034f12ad0cc326d19b61238726c
Description-en: python wrapper for Xvfb, Xephyr and Xvnc
 pyvirtualdisplay is a python wrapper for Xvfb, Xephyr and Xvnc
 .
 This package contains the python 3 version of pyvirtualdisplay

Package: python3-pyvisa
Description-md5: 2fe87c71806890111efbdb7e773de989
Description-en: Python 3 bindings for Virtual Instrument Software Architecture
 The VISA standard provides a common interface for communication with test
 and measurement equipment.
 .
 This package provides Python 3 bindings for VISA.

Package: python3-pyvisa-py
Description-md5: eb70821c7f682f2d0108cc6a901e1996
Description-en: Backend that implements a large part of the VISA in pure Python 3
 This library provides Python VISA bindings for GPIB, RS232, and USB
 instruments.
 .
 PyVISA started as wrapper for the NI-VISA library and therefore you need to
 install National Instruments VISA library in your system. This works most of
 the time, for most people. But NI-VISA is a proprietary library that only works
 on certain systems. That is when PyVISA-py jumps in.
 .
 This package provides Python 3 bindings for pyvisa-py.

Package: python3-pyvmomi
Description-md5: 473e60e8d5441e549ae34c082c3551ce
Description-en: VMware vSphere Python SDK - Python 3.x
 pyVmomi is the Python SDK for the VMware vSphere API that allows you to
 automate actions on VMware ESX, ESXi, and vCenter servers.
 .
 This package contains the Python 3.x module.

Package: python3-pyvo
Description-md5: e290d4247dedb72d160e0cbc6d68fd27
Description-en: Python 3 library for data services of the Virtual observatory (VO)
 The pyvo module currently provides these main capabilities:
 Find archives that provide particular data of a particular type and/or relates
 to a particular topic search an archive for datasets of a particular type.
 Do simple searches on catalogs or databases get information about an object via
 its name.
 .
 This is the Python 3 version of the package.

Package: python3-pyvows
Description-md5: 8235986b192c13456ad6d45c4d8286f7
Description-en: Asynchronous BDD for Python
 Asynchronous behaviour driven development for Python. The main reason
 for asynchronous testing is to make tests which target I/O run much
 faster, by running them concurrently.

Package: python3-pywatchman
Description-md5: 4723b84da467e83c8ed97a7e57082c04
Description-en: Python library for Watchman and related utilities
 A Python library for watchman (the file watching service), and related
 utilities such as watchman-make and watchman-wait. Watchman can be used
 to watch files and record when they actually change. It can be used
 to trigger actions (such as rebuilding assets) when matching files
 change. If you require to perform an action based on whether a file
 changes or not, watchman may be the tool you need. By giving watchman
 a pattern and an action to take when the files change, you can trigger
 an activity to be taken.

Package: python3-pywps
Description-md5: 1c5fac271c9fedc34ad30ec6e48567d4
Description-en: Implementation of OGC's Web Processing Service - Python module
 PyWPS is implementation of Web Processing Service from Open
 Geospatial Consortium Inc.(R) with help of  Python Programming
 Language and GIS GRASS as working tool in background.
 .
 This package contains the pywps Python module.

Package: python3-pywt
Description-md5: 2c44e319c1e61bd1c6e4734f04a35cf9
Description-en: Python3 extension implementing of wavelet transformations
 PyWavelets implements the discrete wavelet transform (DWT) popular in
 numerical harmonic analysis for numerous families of wavelets, including
 Haar, Daubechies, Symlet, Coiflet, biorthogonal wavelets in one and two
 dimensions.
 .
 This package contains the Python 3 version of the library.

Package: python3-pywws
Description-md5: fc699f4c13fea81444f32b2c374d2e12
Description-en: software for wireless weather stations
 This package contains a collection of Python modules to read, store and
 process data from popular USB wireless weather stations such as
 Elecsa AstroTouch 6975, Watson W-8681, WH-1080PC, WH1080, WH1081, WH3080 etc.
 Any model that is supplied with the EasyWeather Windows software might be
 compatible.
 .
 The software has been developed to run in a low power, low memory environment
 such as a Raspberry Pi. It can be used to create graphs and web pages
 showing recent weather readings, typically updated every hour. It can also
 send live data to services such as Weather Underground and post messages
 to Twitter.

Package: python3-pyx
Description-md5: 3acb3c1b8e3ce56a8a18f2e3fe739b75
Description-en: Python 3 module for generating PostScript graphics
 PyX is a Python 3 module for generating encapsulated PostScript, PDF and SVG
 figures using an abstraction of PostScript and TeX.
 .
 The module provides access to PostScript features such as paths,
 line-styles, fill patterns, transformations, and clipping. Geometric
 operations on paths such as intersections, transformations, and splitting
 are also supported.
 .
 PyX also allows flexible graph generation with many different graph and
 axis styles.
 .
 Use the python-pyx package for a version of PyX compatible with Python 2.

Package: python3-pyx-doc
Description-md5: 91989c16e9bf506d76394405f7e23ea2
Description-en: Python 3 module for generating PostScript graphics (documentation)
 PyX is a Python 3 module for generating encapsulated PostScript, PDF and SVG
 figures using an abstraction of PostScript and TeX.
 .
 This package contains the manual for PyX in PDF and HTML formats and examples.

Package: python3-pyzabbix
Description-md5: c6cf4d992b376053d2aa7fbc2fe4727e
Description-en: Zabbix API Python interface.
 Zabbix API allows you to programmatically retrieve
 and modify the configuration of Zabbix and provides
 access to historical data. It is widely used to
 create new applications to work with zabbix, integrate
 Zabbix with third party software, automate routine tasks.

Package: python3-q
Description-md5: f93ee14c3825040f62fe542e5fa75c5b
Description-en: Quick-and-dirty Python 3 debugging output for tired programmers
 q is a Python 3 module for "print" style of debugging Python code.
 It provides convenient short API for print out of values, tracebacks,
 and falling into the Python interpreter.

Package: python3-q-text-as-data
Description-md5: 43ca9ce0fc68a891b4c965cd02330a42
Description-en: performs SQL-like statements on tabular text data - Python 3.x
 Q is a command line tool that allows direct execution of SQL-like queries on
 CSVs/TSVs (and any other tabular text files). Q treats ordinary files as
 database tables, and supports all SQL constructs, such as WHERE, GROUP BY,
 JOINs etc. It supports automatic column name and column type detection, and
 provides full support for multiple encodings.
 .
 This package provides the Python 3 version.

Package: python3-qcelemental
Description-md5: 954406f9b3bdba96a7b6c8a96322cbf8
Description-en: Periodic table, physical constants, and molecule parsing for quantum chemistry
 QCElemental is a resource module for quantum chemistry containing physical
 constants and periodic table data from NIST CODATA and molecule handlers.

Package: python3-qgis
Description-md5: eac6b5d8a42af672ca4dbe96022e9e8e
Description-en: Python bindings to QGIS
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the files for the Python support.

Package: python3-qgis-common
Description-md5: a54a30e2613e54929a2e0d7945b48427
Description-en: Python bindings to QGIS - architecture-independent files
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains architecture-independent files for the QGIS Python
 bindings.

Package: python3-qinlingclient
Description-md5: f0f27e10eb9361bb51f9768d4934c5de
Description-en: OpenStack Function as a Service API client - Python 3.x
 Qinling is Function as a Service for OpenStack. It aims to provide a platform
 to support serverless functions (like AWS Lambda). Qinling supports different
 container orchestration platforms (Kubernetes/Swarm, etc.) and different
 function package storage backends (local/Swift/S3) by nature using plugin
 mechanism.
 .
 This is a client library for Qinling built on the Qinling API. It provides a
 Python API (the qinlingclient module) and a command-line tool (qinling).
 .
 This package contains the Python 3.x module.

Package: python3-qpack
Description-md5: f7f95c9b6d4ebec5884bdd55722e8848
Description-en: Python3 implementation of the QPack protocol
 QPack is a fast and efficient serialization format like MessagePack. One key
 difference is flexible map and array support. This enables code to write
 directly to a qpack buffer without knowledge of the size of the map or
 array beforehand.

Package: python3-qpid-proton
Description-md5: e9b42c24004b85935e6d4fcc7c3049d1
Description-en: language bindings for Qpid Proton messaging framework - Python 3.x
 Qpid Proton is a high-performance, lightweight messaging library. It can be
 used in the widest range of messaging applications, including brokers, client
 libraries, routers, bridges, proxies, and more. Proton makes it trivial to
 integrate with the AMQP 1.0 ecosystem from any platform, environment, or
 language.
 .
 This package provides Python 3.x language bindings for Qpid Proton.

Package: python3-qrcode
Description-md5: 39b51b3c3b6444fc9100c065586361fc
Description-en: QR Code image generator library - Python 3.x
 This package provides a pure Python QR Code generator module. It uses
 the Python Imaging Library (PIL) to allow for the generation of QR Codes.
 In contrast to the python-qrencode module this module does not need
 an additional C library.
 .
 In addition this package provides a command line tool to generate QR
 codes and either write these QR codes to a file or do the output
 as ascii art at the console.
 .
 This package provides the Python 3.x module.

Package: python3-qrcodegen
Description-md5: 190190a11c8bdb4326e66814f11d356b
Description-en: QR Code generator library in multiple languages - Python3 version
 This project aims to be the best, clearest QR Code generator library in
 multiple languages. The primary goals are flexible options and absolute
 correctness. Secondary goals are compact implementation size and good
 documentation comments. Core features:
  * Available in 7 programming languages, all with nearly equal functionality:
    Java, JavaScript, TypeScript, Python, C++, C, Rust
  * Significantly shorter code but more documentation comments compared to
    competing libraries
  * Supports encoding all 40 versions (sizes) and all 4 error correction levels,
    as per the QR Code Model 2 standard
  * Output formats: Raw modules/pixels of the QR symbol (all languages), SVG XML
    string (all languages except C), BufferedImage raster bitmap (Java only),
    HTML5 canvas (JavaScript and TypeScript only)
  * Encodes numeric and special-alphanumeric text in less space than general
    text
  * Open source code under the permissive MIT License
 .
 This package contains the module in Python3.

Package: python3-qrencode
Description-md5: 4db25a5b1422e03b32b378bb590beb76
Description-en: Python bindings for the Qrencode QR Code generator library (Python 3)
 This package contains modules that allow you to use the Qrencode QR
 Code generator library in Python programs.
 .
 This package installs the library for Python 3.

Package: python3-qrtools
Description-md5: 6cbd834949879a9fac44a39c14e8979e
Description-en: high level library for reading and generating QR codes
 This package provides high level APIs for reading and creating QR
 codes with minimal effort on Python. This library uses zbar barcode
 library.

Package: python3-qt5reactor
Description-md5: 9b44bfb9ea42544b509b0d3333910443
Description-en: Twisted and PyQt5 eventloop integration - Python 3 module
 The qt5reactor Python module integrates the Python Twisted module with the
 PyQt5 eventloop. This combines the event-based twisted framework for
 communications with the Qt5 widget set.
 .
 This package contains the Python 3 version of the module.

Package: python3-qtawesome
Description-md5: 43df02543c75ed42e7f23be80c5537ed
Description-en: iconic fonts in PyQt and PySide applications (Python 3)
 QtAwesome enables iconic fonts such as Font Awesome and Elusive Icons in
 PyQt and PySide applications.
 .
 This package provides QtAwesome for Python 3.

Package: python3-qtconsole
Description-md5: 34d52e3162ef39c9a573e85f6fdae535
Description-en: Jupyter - Qt console (Python 3)
 IPython can be used as a replacement for the standard Python shell,
 or it can be used as a complete working environment for scientific
 computing (like Matlab or Mathematica) when paired with the standard
 Python scientific and numerical tools. It supports dynamic object
 introspections, numbered input/output prompts, a macro system,
 session logging, session restoring, complete system shell access,
 verbose and colored traceback reports, auto-parentheses, auto-quoting,
 and is embeddable in other Python programs.
 .
 This package contains the qtconsole library for the Python 3 interpreter.

Package: python3-qtpy
Description-md5: 3508bce224cbf8341c6104cdd08b6645
Description-en: abtraction layer for PySide/PyQt4/PyQt5 (Python 3)
 QtPy (pronounced 'cutie pie') is a small abstraction layer that lets you
 write applications using a single API call to either PyQt or PySide. QtPy
 also provides a set of additional QWidgets.
 .
 It provides support for PyQt5, PyQt4 and PySide using the PyQt5 layout (where
 the QtGui module has been split into QtGui and QtWidgets).
 Basically, you write your code as if you were using PyQt5 but import qt from
 QtPy instead of PyQt5.
 .
 This package provides the QtPy library for the Python 3 interpreter.

Package: python3-quamash
Description-md5: 942589c84a9ee911ab7c0c782510494b
Description-en: implementation of the PEP 3156 event-loop with Qt
 Implementation of asyncio event loop that uses the Qt Event loop,
 as proposed in PEP 3156.
 .
 PEP 3156 is a proposal for asynchronous I/O in Python 3,
 starting at Python 3.3.
 The proposal includes a pluggable event loop, transport
 and protocol abstractions similar to those in Twisted,
 and a higher-level scheduler.
 .
 Qt is a cross-platform application framework
 that is used for developing application software.

Package: python3-quantities
Description-md5: 17cde7f5f3bbfc933d401015d4a79c88
Description-en: Library for computation of physical quantities with units, based on numpy
 Quantities is designed to handle arithmetic and
 conversions of physical quantities, which have a magnitude, dimensionality
 specified by various units, and possibly an uncertainty.
 Quantities builds on the popular numpy library and is
 designed to work with numpy ufuncs, many of which are already
 supported.

Package: python3-quark-sphinx-theme
Description-md5: 8e2741cd1e9336a323ffc11dbed80fc4
Description-en: Sphinx theme designed for QTextBrowser (Python 3)
 Quark is a Sphinx theme specifically designed to look and work well within the
 limitations of the Qt toolkit's QTextBrowser. This theme was originally
 designed for the bundled manual of SpeedCrunch.
 .
 This is the Python 3 version of the package.

Package: python3-questplus
Description-md5: 239b2bc02f3cdf3398476898f0840875
Description-en: QUEST+ implementation in Python3
 QUEST+ is a Bayesian adaptive psychometric testing method that allows an
 arbitrary number of stimulus dimensions, psychometric function
 parameters, and trial outcomes.
 .
 This package provides an implementation in Python3.

Package: python3-queuelib
Description-md5: ef46328610577cc2b5c877acf2112bdc
Description-en: collection of persistent (disk-based) queues (Python 3)
 Queuelib is a collection of persistent (disk-based) queues for Python.
 Queuelib goals are speed and simplicity.
 .
 This is the Python 3 version of the package.

Package: python3-quintuple
Description-md5: 40bcf2f1da24092f1c90083e2c10343f
Description-en: 5-qubit quantum computer simulator (Python 3)
 Quintuple is a Python library that can be used to simulate
 the operation of an idealized 5-qubit quantum computer.
 .
 This package installs the library for Python 3.

Package: python3-qutip
Description-md5: dc272564df32ae0dd9c8677615f57bc5
Description-en: python package for simulating the dynamics of open quantum systems
 QuTiP is open-source software for simulating the dynamics of open
 quantum systems. The QuTiP library depends on the excellent Numpy,
 Scipy, and Cython numerical packages. In addition, graphical output
 is provided by Matplotlib. QuTiP aims to provide user-friendly and
 efficient numerical simulations of a wide variety of Hamiltonians,
 including those with arbitrary time-dependence, commonly found in a
 wide range of physics applications such as quantum optics, trapped
 ions, superconducting circuits, and quantum nanomechanical
 resonators.
 .
 This package installs the library for Python 3.

Package: python3-qwt
Description-md5: e6a597289a3a3fd5e1d79418aeb6ee29
Description-en: Pure Python implementation of Qwt - Python 3
 The qwt package is a pure Python implementation of Qwt C++ library
 with the following limitations.
 .
 The following `Qwt` classes won't be reimplemented in `qwt` because most
 powerful features already exist in `guiqwt`:
   * QwtPlotZoomer
   * QwtCounter
   * QwtEventPattern
   * QwtPicker
   * QwtPlotPicker
 .
 QwtClipper is not implemented yet (and it will probably be very
 difficult or impossible to implement it in pure Python without
 performance issues).  As a consequence, when zooming in a plot curve,
 the entire curve is still painted (in other words, when working with
 large amount of data, there is no performance gain when zooming in).
 .
 This is the Python 3 version of the package.

Package: python3-qwt3d-qt5
Description-md5: 841a90ed0f724a0bb0c93c61d5a54b8f
Description-en: Python bindings of the QwtPlot3D library
 PyQwt3D is a set of Python bindings for the QwtPlot3D C++ class
 library which extends the Qt framework with widgets to visualize
 3-dimensional data.
 .
 This package contains the Python3 Qt5 bindings

Package: python3-raccoon
Description-md5: 0683112f610e2be0432b24f50e42f7ec
Description-en: Python DataFrame with fast insert and appends (Python 3)
 Lightweight DataFrame and Series implementation inspired by the
 phenomenal Pandas package for the one use case where Pandas is known
 to be sub-optimal: DataFrames that grow in size by rows frequently in
 the code. Additionally Raccoon DataFrames can be parametrized to be
 sorted so that additions to the DataFrame keep the index in sorted
 order to speed inserts and retrievals.

Package: python3-radicale
Description-md5: fcb949d399e618e7bb638aef689a8ba6
Description-en: simple calendar and addressbook server - python3 module
 Radicale is a CalDAV (calendar) and CardDAV (contact) server.
 .
 Calendars and address books are available for both local and remote
 access, possibly limited through authentication policies. They can be
 viewed and edited by calendar and contact clients on mobile phones or
 computers.
 .
 This package contains the Radicale Python 3.x module.

Package: python3-radio-beam
Description-md5: a6b84072dec849b373864b05a0874a71
Description-en: Python Tools for Radio Beam IO and Manipulation
 Radio Beam is a simple toolkit for reading beam information from FITS
 headers and manipulating beams. Some example applications include
 Convolution/deconvolution and Unit conversion (Jy to/from K).

Package: python3-radix
Description-md5: 7842c7c403835ff66e34d55499916f46
Description-en: radix tree implementation for storage of IPv4 and IPv6 networks (Python 3)
 py-radix is an implementation of a radix tree for Python, which supports
 storage and lookups of IPv4 and IPv6 networks. This is a Python equivalent to
 Dave Plonka's Perl Net::Patricia (it even steals the same radix tree code from
 MRTd).
 .
 The radix tree (a.k.a Patricia tree) is the data structure most commonly used
 for routing table lookups. It efficiently stores network prefixes of varying
 lengths and allows fast lookups of containing networks. py-radix's
 implementation is built solely for networks (the data structure itself is more
 general).
 .
 THis package contains the Python 3 version of the library.

Package: python3-rally
Description-md5: e6c6187aef296f54265699659859a85e
Description-en: benchmark System for OpenStack - Python code
 Rally is a Benchmark-as-a-Service project for OpenStack.
 .
 Rally is intended to provide the community with a benchmarking tool that is
 capable of performing specific, complicated and reproducible test cases on
 real deployment scenarios.
 .
 This package contains the Python code.

Package: python3-random2
Description-md5: b9289ed8406e7a83e14d51e4b3739765
Description-en: backport of Python 2.7's random module
 In Python 3, the implementation of randrange() was changed, so that even with
 the same seed you get different sequences in Python 2 and 3.
 .
 This package provides a Python 3 ported version of Python 2.7’s random module.

Package: python3-randomize
Description-md5: c46c17e172dc6521a719fe6475a8bc88
Description-en: randomize the order of tests in a unittest.TestCase class - Python 3.x
 This is a nose plugin. With this package, it is possible to randomize the
 order of the tests within a unittest.TestCase class.
 .
 This package contains the Python 3.x module.

Package: python3-rarfile
Description-md5: e0189b5d945757e8565d1dbfc67e6eca
Description-en: RAR archive reader module for Python 3
 This is a Python module for RAR archive reading. The interface is made
 a zipfile like as possible. It supports both RAR3 and RAR5 archives,
 multi volumes archives, unicode filenames and password protected archives.
 .
 This is the python3 version of the package.

Package: python3-rasterio
Description-md5: e326ec0a397b73cb28d7cf52e37cd977
Description-en: Python 3 API for using geospatial raster data with Numpy
 Rasterio reads and writes geospatial raster datasets.
 .
 Rasterio employs GDAL under the hood for file I/O and raster formatting.
 Its functions typically accept and return Numpy ndarrays. Rasterio is designed
 to make working with geospatial raster data more productive and more fun.
 .
 This package contains the Python 3 version of the library.

Package: python3-ratelimiter
Description-md5: b5fddc5349b009c7914a066232a5a0c0
Description-en: simple Python library for limiting the rate of operations
 This package provides the ratelimiter module, which ensures that an
 operation will not be executed more than a given number of times on a
 given period. This can prove useful when working with third parties APIs
 which require for example a maximum of 10 requests per second.

Package: python3-rawkit
Description-md5: ce0b1000cc5fcea97d70d980e2282ca1
Description-en: CTypes based LibRaw bindings - Python 2.X
 rawkit (pronounced "rocket") is a ctypes-based LibRaw binding for
 Python inspired by the Wand API.

Package: python3-rdflib
Description-md5: df0851a059b37b697ac3fc95afa13ee4
Description-en: Python 3 library containing an RDF triple store and RDF parsers/serializers
 RDFLib is a Python library for working with the RDF W3C standard. The
 library contains RDF parsers/serializers and both in-memory and
 persistent Graph backend.
 .
 This package contains the Python 3 version of RDFLib.

Package: python3-rdflib-jsonld
Description-md5: 565fc7bf9596b757f9577adb76f30fcc
Description-en: rdflib extension adding JSON-LD parser and serializer in Python 3
 This parser/serialiser will
 .
  - read in an JSON-LD formatted document and create an RDF graph
  - serialize an RDF graph to JSON-LD formatted output
 .
 This is the Python 3 version.

Package: python3-rdkit
Description-md5: a547d3804fe7cf12ca309130bea8f375
Description-en: Collection of cheminformatics and machine-learning software
 RDKit is a Python/C++ based cheminformatics and machine-learning software
 environment.  Features Include:
 .
  * Chemical reaction handling and transforms
  * Substructure searching with SMARTS
  * Canonical SMILES
  * Molecule-molecule alignment
  * Large number of molecular descriptors, including topological,
    compositional, EState, SlogP/SMR, VSA and Feature-map vectors
  * Fragmentation using RECAP rules
  * 2D coordinate generation and depiction, including constrained depiction
  * 3D coordinate generation using geometry embedding
  * UFF and MMFF94 forcefields
  * Chirality support, including calculation of (R/S) stereochemistry codes
  * 2D pharmacophore searching
  * Fingerprinting, including Daylight-like, atom pairs, topological
    torsions, Morgan algorithm and MACCS keys
  * Calculation of shape similarity
  * Multi-molecule maximum common substructure
  * Machine-learning via clustering and information theory algorithms
  * Gasteiger-Marsili partial charge calculation
 .
 File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit
 binary format.

Package: python3-readlike
Description-md5: 7c1ae72ae0e49cf13c250a93fe771e9e
Description-en: GNU Readline-like line editing module
 A Python module that provides `GNU Readline`_-like line editing functions (the
 default Emacs-style ones). If you just want to use Readline, use the readline_
 package in the standard library--but this package allows access to those
 capabilties in settings outside of a standard CLI.
 .
 Currently, all stateless Readline commands are implemented. This means that
 yanking and history are not supported.
 .
 This module is especially well-suited to interfacing with Urwid_ due to a
 shared syntax for describing key inputs.

Package: python3-readme-renderer
Description-md5: 0244f6492f3d764526d817b66d10df59
Description-en: Library to safely render arbitrary README files into HTML
 Readme Renderer is a library that will safely render arbitrary README files
 into HTML.
 .
 It is designed to be used in the PyPI Warehouse to render the long_description
 for packages.
 .
 It can handle Markdown, reStructuredText (.rst), and plain text.

Package: python3-rebulk
Description-md5: 11760cd0bf86b28d5a467035fd23abe3
Description-en: Define simple search patterns in bulk to perform advanced matching on strings
 ReBulk is a Python library that performs advanced searches in strings that
 would be hard to implement using re module or String methods only.
 .
 It includes some features like Patterns, Match, Rule that allows developers to
 build a custom and complex string matcher using a readable and extendable API.

Package: python3-recoll
Description-md5: da325c34eba252b005b1eef214aed195
Description-en: Python extension for recoll (Python3)
 Personal full text search package which is based on a very strong backend
 (Xapian), for which it provides an easy to use and feature-rich interface.
 .
 This package provides Python3 extension module for recoll which can be use to
 extend recoll such as an Ubuntu Unity Lens.

Package: python3-recommonmark
Description-md5: 5cd63a557d0f6257909d3325492ba0c4
Description-en: CommonMark utility for Docutils and Sphinx projects -- Python 3
 The recommonmark Python module allows ones to write CommonMark inside of
 Docutils and Sphinx projects.
 .
 This package installs the library for Python 3.

Package: python3-rediscluster
Description-md5: e06423f810602068ff7e81c652fbabd8
Description-en: Python interface to a cluster of Redis key-value stores
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 redis-py-cluster provides Python bindings to Redis Cluster, the distributed
 implementation of the Redis key-value store, available upstream since Redis
 3.0.
 .
 This package provides the Python 3 version of the rediscluster module.

Package: python3-redminelib
Description-md5: 3b8277fe9ad8f2d021d58281b74f7934
Description-en: Python library for the Redmine RESTful API (Python 3)
 Python Redmine is a library for communicating with a Redmine project
 management application. Redmine exposes some of it's data via RESTful
 (Representational State Transfer) application programming interface (API) for
 which Python Redmine provides a simple but powerful Pythonic API inspired by
 a well-known Django object-relational mapping (ORM).
 .
 This is the Python 3 version of the package.

Package: python3-rednose
Description-md5: 5b7576d2f19ae55e3d4f21cb1f49cf2f
Description-en: coloured output for nosetests - Python 3.x
 rednose is a nosetests plugin for adding colour (and readability) to nosetest
 console results. Rednose by default uses auto-colouring, which will only use
 colour if you're running it on a terminal (i.e not piping it to a file).
 .
 This package contains the Python 3.x module.

Package: python3-reentry
Description-md5: f41144a42101618d1a18d926ffb0ebf2
Description-en: plugin manager based on setuptools entry points (Python 3)
 Setuptool's entry point system is convenient to use for plugin based Python
 applications. It allows separate Python packages to act as plugins to a host
 package, making it easy for the host to find and iterate over the relevant
 data structures from plugins.
 .
 However simply importing setuptools scales badly with the number of installed
 distributions and can be very slow for moderately complex environments
 (~ 0.5 s). Finding and loading of plugins on the other hand is time-critical
 in cases like commandline tools loading subcommands, where 100 ms are a
 noticeable delay.
 .
 Setuptools's pkg_resources is slow, because it verifies dependencies are
 installed correctly for all distributions present in the environment on
 import. This allows entry points to have additional requirements.
 .
 Reentry forgoes this dependency check for entry points without such 'extras'
 dependencies and thereby manages to be fast and scale better, with the amount
 of installed plugins, not installed Python packages in general.
 .
 This package installs the library for Python 3.

Package: python3-regex
Description-md5: da67f116351fcbb7a02ca840814e96a9
Description-en: alternative regular expression module (Python 3)
 This new regex implementation is intended eventually to replace Python's
 current re module implementation.
 .
 For testing and comparison with the current 're' module the new implementation
 is in the form of a module called 'regex'.
 .
 This is the Python 3 version of the package.

Package: python3-regex-dbg
Description-md5: 14ef3bef8d384a1c54a131ca11e90cfc
Description-en: alternative regular expression module (Python 3 debug extension)
 This new regex implementation is intended eventually to replace Python's
 current re module implementation.
 .
 For testing and comparison with the current 're' module the new implementation
 is in the form of a module called 'regex'.
 .
 This package contains the debug extension for python3-regex.

Package: python3-regions
Description-md5: f8ac057da2e217bd82b761264140aac9
Description-en: Python astronomy package for region handling
 The regions package provides (or plans to provide) classes to
 represent regions defined using pixel or celestial coordinates or on
 the celestial sphere.

Package: python3-relational
Description-md5: 64f37e390dcd19015a0a3cd647b71d81
Description-en: Educational tool for relational algebra (standalone module)
 Relational is primarily a tool to provide a workspace for experimenting with
 relational algebra, an offshoot of first-order logic.
 .
 This package provides a standalone Python module that can be used for
 executing relational queries.

Package: python3-relatorio
Description-md5: 3dc856d94de0a19be33cf9dcd7ce2e14
Description-en: Python module to create reports from Python objects (Python3)
 This is a Python module to create reports from Python objects. Output plugins
 to several formats are included, such as documents (odt, ods, pdf) or images
 (png, svg).
 .
 This package is targeting Python version 3.

Package: python3-releases
Description-md5: d41fbb88398665eb10dd5d3ac52de7d9
Description-en: Sphinx extension for changelog manipulation (Python 3)
 Releases is a Sphinx extension designed to help you keep a source control
 friendly, merge friendly changelog file & turn it into useful, human readable
 HTML output.
 .
 Specifically:
 .
   * The source format (kept in your Sphinx tree as ``changelog.rst``) is a
     stream-like timeline that plays well with source control & only requires
     one entry per change (even for changes that exist in multiple release
     lines).
   * The output (when you have the extension installed and run your Sphinx
     build command) is a traditional looking changelog page with a section for
     every release; multi-release issues are copied automatically into each
     release.
   * By default, feature and support issues are only displayed under feature
     releases, and bugs are only displayed under bugfix releases. This can be
     overridden on a per-issue basis.
 .
 This package contains the python3.x version of the library

Package: python3-rencode
Description-md5: a49033499ec41588aad09ed6474b0e68
Description-en: Python encoding library similar to bittorrent's bencode (Python 3)
 For complex, heterogeneous data structures with many small elements,
 r-encodings take significantly less space than b-encodings.
 This version of rencode is a complete rewrite in Cython in order to
 increase performance over the pure Python module written by Petru Paler,
 Connelly Barnes et al.
 .
 This package is for Python 3.

Package: python3-reno
Description-md5: b02086bcb882b4f074f9d2dd36fed5d9
Description-en: RElease NOtes manager - Python 3.x
 Reno is a release notes manager for storing release notes in a git
 repository and then building documentation from them.
 .
 This package contains the Python 3.x module.

Package: python3-reparser
Description-md5: 07ed6fa14c6bfc421373dbff7ce5b15c
Description-en: Simple regex-based lexer/parser for inline markup
 This is a library with a Simple regex-based lexer/parser
 for inline markup. The example use shows writing a simple
 markdown parser.

Package: python3-reportbug
Description-md5: a3f9f8c6d3fdd866d293b889a1d6b47a
Description-en: Python modules for interacting with bug tracking systems
 reportbug is a tool designed to make the reporting of bugs in Debian
 and derived distributions relatively painless.
 .
 This package includes Python modules which may be reusable by other
 tools that want to interact with the Debian bug tracking system.
 .
 To actually report a bug, install the reportbug package.

Package: python3-repoze.sphinx.autointerface
Description-md5: db6d9d300c2fb8e5a16119a0fba923f8
Description-en: Sphinx extension to generate API docs from Zope interfaces (Python 3)
 This package defines an extension for the Sphinx documentation system.
 The extension allows generation of API documentation by introspection
 of zope.interface instances in code.
 .
 This package contains the Python 3 module.

Package: python3-repoze.tm2
Description-md5: 0e178bcb41c8135bb822707f57ec04f7
Description-en: Zope-like transaction manager WSGI middleware (Python 3)
 repoze.tm2 is Python WSGI middleware which uses the ZODB (Zope
 Object DataBase) package's transaction manager to wrap a call to
 its pipeline children inside a transaction.
 .
 This is the Python 3 version of the package.

Package: python3-repoze.who
Description-md5: 37a5b2310f0147213d9cf73d13abb208
Description-en: ident and auth framework for Python WSGI applications - Python 3.x
 repoze.who is an identification and authentication framework for
 arbitrary Python WSGI applications; it acts as WSGI middleware.
 .
 repoze.who is inspired by Zope 2's Pluggable Authentication Service
 (PAS), but is not dependent on Zope in any way; it is useful for any
 WSGI application.
 .
 It provides no facility for authorization (ensuring whether a user
 can or cannot perform the operation implied by the request). This is
 considered to be the domain of the WSGI application.
 .
 This package contains the Python 3.x module.

Package: python3-reproject
Description-md5: cdd4088b40055416ef594e6c7c496963
Description-en: Reproject astronomical images with Python 3
 This is a package to reproject astronomical images using various techniques
 via a uniform interface. Reprojection means the re-gridding of images from
 one world coordinate system to another (for example changing the pixel
 resolution, orientation, coordinate system). Currently implemented are
 reprojection of celestial images by interpolation, as well as by finding the
 exact overlap between pixels on the celestial sphere. It can also reproject
 to/from HEALPIX projections.
 .
 This package contains the Python 3 version of the package.

Package: python3-reprozip
Description-md5: 80f8df3d99a8993361851761bed4097b
Description-en: modules for the ReproZip packer
 ReproZip is a tool aimed at simplifying the process of creating
 reproducible experiments from command-line executions, a frequently-used
 common denominator in computational science.
 .
 It tracks operating system calls and creates a package that contains
 all the binaries, files and dependencies required to run a given
 command on the author’s computational environment (packing step). A
 reviewer can then extract the experiment in his environment to
 reproduce the results (unpacking step).
 .
 This package provides the modules for Python 3.

Package: python3-reprozip-dbg
Description-md5: 6e3c3a1e10b5190a6c38a195aed5a848
Description-en: debug extensions for the ReproZip packer
 ReproZip is a tool aimed at simplifying the process of creating
 reproducible experiments from command-line executions, a frequently-used
 common denominator in computational science.
 .
 It tracks operating system calls and creates a package that contains
 all the binaries, files and dependencies required to run a given
 command on the author’s computational environment (packing step). A
 reviewer can then extract the experiment in his environment to
 reproduce the results (unpacking step).
 .
 This package provides the debug extensions for Python 3.

Package: python3-requests-cache
Description-md5: 652000b50a17c09904a43f24185fc08c
Description-en: persistent cache for requests library (Python 3)
 Requests-cache is a transparent persistent cache for requests library.

Package: python3-requests-file
Description-md5: babcf46924d2f06e381950dc20627456
Description-en: File transport adapter for Requests - Python 3.X
 Requests-File is a transport adapter for use with the Requests Python
 library to allow local filesystem access via file:// URLs.
 .
 Features:
 .
  * Will open and read local files
  * Might set a Content-Length header
  * That's about it
 .
 No encoding information is set in the response object, so be careful using
 Response.text: the chardet library will be used to convert the file to a
 unicode type and it may not detect what you actually want.
 .
 EACCES is converted to a 403 status code, and ENOENT is converted to a
 404. All other IOError types are converted to a 400.
 .
 This package contains the Python 3 version of the library.

Package: python3-requests-futures
Description-md5: 264acfe2b4fb9e7e65eb69fdd00de607
Description-en: library for asynchronous HTTP requests (Python 3)
 Requests-futures is a small add-on for python-requests that allows one to
 create asynchronous HTTP requests.
 .
 This package provides the module for Python 3.

Package: python3-requests-kerberos
Description-md5: 5c322bf26b8180ac5a758b7d195fceb4
Description-en: Kerberos/GSSAPI authentication handler for python-requests - Python 3.x
 Requests is an HTTP library, written in Python, for human beings. This library
 adds optional Kerberos/GSSAPI authentication support and supports mutual
 authentication.
 .
 This package provides the Python 3.x module.

Package: python3-requests-mock
Description-md5: 3582655b7e0eebca757e0837c805df81
Description-en: mock out responses from the requests package - Python 3.x
 requests-mock provides a building block to stub out the HTTP requests_
 portions of your testing code. Everything in requests_ eventually goes through
 an adapter to do the transport work. requests-mock creates a custom adapter
 that allows you to predefine responses when certain URIs are called. There are
 then a number of methods provided to get the adapter used.
 .
 This package contains the Python 3.x module.

Package: python3-requests-ntlm
Description-md5: 42f2c5795055b2fd11eb41d567dc5df7
Description-en: Adds support for NTLM authentication to the requests library
 This package allows for HTTP NTLM authentication using
 python-requests, the HTTP library for humans.  HttpNtlmAuth extends
 requests' AuthBase, so it can be dropped directly in place, or used
 in conjunction with a Session to make use of connection pooling.

Package: python3-requests-oauthlib
Description-md5: 7267e68c67c93f79a91ab49da541f6b6
Description-en: module providing OAuthlib auth support for requests (Python 3)
 requests-oauthlib allows you to interact with OAuth 1 And OAuth 2 in
 greatly simplified ways through the requests library for Python. It
 will hide the quirks and hacks in OAuth 1, and will interface with
 all four of the grant types defined by the OAuth2 RFC.
 .
 This package provides the requests-oauthlib Python module for Python
 3.x.

Package: python3-requests-toolbelt
Description-md5: b63a0ab911dceb2c60d795db0aa2a9a5
Description-en: Utility belt for advanced users of python3-requests
 Collection of utilities for python3-requests
 It provides transport adapters: FingerprintAdapter, SSLAdapter,
 SourceAddressAdapter, SocketOptionsAdapter, TCPKeepAliveAdapter
 and authenticators: AuthHandler, GuessAuth, HTTPProxyDigestAuth
 Also a cookiejar, streaming helpers and more.

Package: python3-requirements-detector
Description-md5: 03ebf56191a3cf464311e80709cbaf9a
Description-en: finds and lists the requirements of a Python project
 Requirements-detector could be run either as a module or with its CLI tool
 (detect-requirements). It scans for and lists the project's requirements
 out of several sources for them.
 .
 This package contains the CLI tool and the modules for Python 3.

Package: python3-resource-retriever
Description-md5: 3b86838d7dbab0acdad430456e6a49e8
Description-en: Robot OS resource_retriever library - Python 3
 This package is part of Robot OS (ROS). It retrieves data from
 url-format files such as http://, ftp://, package:// file://, etc.,
 and loads the data into memory. The package:// url for ros packages
 is translated into a local file:// url. The resource retriever was
 initially designed to load mesh files into memory, but it can be used
 for any type of data. The resource retriever is based on the libcurl library.
 .
 This package contains the Python 3 binding.

Package: python3-responses
Description-md5: e6c71b5733371e2f4fb0cdb9cde9d287
Description-en: Utility library for mocking out the requests Python 3 library
 responses is a module used to mock out the `requests' Python 3 library in
 order to perform unit tests.
 .
 This package provides the Python 3 module.

Package: python3-restless
Description-md5: d690f009e625b63f552a88e9bcc22757
Description-en: lightweight REST miniframework for Python
 Works great with Django, Flask, Pyramid, Tornado & Itty, but should
 be useful for many other Python web frameworks.  Based on the lessons
 learned from Tastypie & other REST libraries.
 .
 Features
  * Small, fast codebase
  * JSON output by default, but overridable
  * RESTful
  * Flexible
 .
 This package provides the Python 3.x module.

Package: python3-restrictedpython
Description-md5: d01c8707557b5691c3bcdf45ae125c62
Description-en: Restricted execution environment for Python 3
 RestrictedPython is a tool that helps to define a subset of the Python
 language which allows one to provide a program input into a trusted
 environment. RestrictedPython is not a sandbox system or a secured
 environment, but it helps to define a trusted environment and execute
 untrusted code inside of it.

Package: python3-restructuredtext-lint
Description-md5: 2c3d81cbe8ce1cbbc3d8c27f277f5a02
Description-en: reStructuredText linter - Python 3.x
 This was created out of frustration with PyPI; it sucks finding out your reST
 is invalid after uploading it. It is being developed in junction with a
 Sublime Text linter.
 .
 This package contains the Python 3.x module.

Package: python3-rfc3161ng
Description-md5: 144bff2bf6397693e334302917af2b0f
Description-en: Implementation of trusted timestamping client
 A simple client library for cryptographic timestamping service
 implementing the protocol from RFC3161.

Package: python3-rgw
Description-md5: 06f6fa39d546967387c6ab7f058abce7
Description-en: Python 3 libraries for the Ceph librgw library
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.
 .
 This package contains Python 3 libraries for interacting with Ceph's
 RGW library.

Package: python3-ripe-atlas-cousteau
Description-md5: 2eeb7e0864bf1d90a85470bc7af8a5a9
Description-en: Python3 wrapper around the RIPE Atlas API
 Cousteau is a Python library wrapping the RIPE Atlas HTTP API. RIPE Atlas is a
 global network of probes that measure Internet connectivity and reachability,
 providing an overview of the state of the Internet in real time.
 .
 This package contains the Python3 library.

Package: python3-ripe-atlas-sagan
Description-md5: 7406c3d8193740af0fa5a8ef4fd18338
Description-en: Python3 library for parsing RIPE Atlas measurement results
 RIPE Atlas Sagan is a Python library for parsing RIPE Atlas measurement
 results. It aims at providing a consistent high-level interface for a rapidly
 changing low-level format used by the RIPE Atlas probes. RIPE Atlas is a
 global network of probes that measure Internet connectivity and reachability,
 providing an overview of the state of the Internet in real time.
 .
 This package contains the Python3 library.

Package: python3-rlp
Description-md5: 830e800e3c90be965db53c576dbc7859
Description-en: Recursive Length Prefix (RLP) library — Python 3
 The purpose of RLP (Recursive Length Prefix) is to encode arbitrarily
 nested arrays of binary data, and RLP is the main encoding method
 used to serialize objects in Ethereum.
 .
 This package installs the library for Python 3.

Package: python3-robot-detection
Description-md5: 1f002351bceafc0e7d56a2b00115e61b
Description-en: Detect web crawlers from HTTP UserAgent (Python 3 version)
 This Python module detects if a given HTTP User Agent is a web crawler.
 It uses the list of registered robots from the robotstxt.org.
 .
 This package contains the Python 3 version of the library.

Package: python3-rope
Description-md5: 3781cc02ab10caacf9b671bac33a8a24
Description-en: Python 3 refactoring library
 Rope is a Python refactoring library that can be used with several editors
 and IDEs. It provides many refactoring operations as well as forms of code
 assistance like auto-completion and access to documentation. To make use of
 refactoring in Mercurial, Git and Svn repositories, the recommended packages
 must be installed. For a complete list of features, see the project homepage.
 .
 This provides the Python3 version of the library.

Package: python3-rosbag
Description-md5: 63dee13e90a8ec748d0689ab68efcc15
Description-en: Python 3 tools for recording from and playing back to Robot OS topics
 This package is part of Robot OS (ROS). It provides a command-line
 tool for working with bags (ROS messages stores). The corresponding
 librosbag package provides corresponding C++ and Python APIs.
 .
 This package contains the Python 3 library.

Package: python3-rosboost-cfg
Description-md5: 9e6dcc1f8976fbd83972988cd5fb611a
Description-en: Contains scripts used by the Robot OS rosboost-cfg tool (Python 3)
 This package is part of Robot OS (ROS). It is used for determining
 build flags (cflags/lflags/etc.) for boost on your system when you
 use it in a ROS environment.
 .
 This package contains the Python 3 library.

Package: python3-rosclean
Description-md5: baf4b5774461d52807457e258b1903a9
Description-en: cleanup Robot OS filesystem resources (e.g. logs) (Python 3)
 This package is part of Robot OS (ROS). rosclean purge will remove
 directories associated with storing ROS-related log files. You will
 be asked to confirm each deletion and it is important that you verify
 the command that rosclean purge executes is correct.
 .
 This package contains the Python 3 library.

Package: python3-roscpp-msg
Description-md5: a5da50b6544a01175729b00c54c36117
Description-en: Header for roscpp messages, Python 3
 This package is part of Robot OS (ROS). roscpp is a C++ implementation of ROS.
 It provides a client library that enables C++ programmers to quickly interface
 with ROS topics, services, and
 parameters.
 .
 roscpp is the most widely used ROS client library and is designed to be the
 high-performance library for ROS. ROS console output library.
 .
 This package contains the generated Python 3 package.

Package: python3-roscreate
Description-md5: 0a8e17c5dc777fe70cc19d582ee4db4a
Description-en: Robot OS empty package template creator (Python 3)
 This package is part of Robot OS (ROS). It provides roscreate-pkg
 which creates empty ROS package templates and thus addresses the
 common problem of packages being created using pre-existing packages,
 which leads to errors in build files and manifests. The tool creates a
 new package directory, including the appropriate build and manifest
 files.
 .
 This package contains the Python 3 library.

Package: python3-rosdep2
Description-md5: 54f1bca1a9638c359b7b645bfa6b4e5b
Description-en: rosdep package manager abstraction tool for Robot OS (Python 3)
 This package is part of Robot OS (ROS). It is a command-line tool for
 installing system dependencies on a variety of platforms. For
 *end-users*, rosdep helps you install system dependencies for
 software that you are building from source. For *developers*, rosdep
 simplifies the problem of installing system dependencies on different
 platforms.
 .
 This package installs the library for Python 3.

Package: python3-rosdistro
Description-md5: cc2b64b0fd44ff5d658631a35933c2e7
Description-en: Tool to work with rosdistro files (for Robot OS, Python 3)
 This package is part of Robot OS (ROS). The rosdistro tool allows you
 to get access to the full dependency tree and the vcs information of
 all packages and repositories.
 .
 rosdistro is a file format for managing ROS Distributions and the ROS
 stacks they contain. This file format is used as input to a variety
 of tools in the ROS build and release toolchain, from stack release
 tools to rosdoc. The rosdistro format has changed for Catkin-based
 repositories.
 .
 This package installs the library for Python 3.

Package: python3-rosgraph
Description-md5: 0367ec3c87b3877da50c3e9e9da680c6
Description-en: Tool to print information about the Robot OS computation graph - Python 3
 This package is part of Robot OS (ROS). rosgraph contains the
 rosgraph command-line tool, which prints information about the ROS
 computation graph. It also provides an internal library that can be
 used by graphical tools.
 .
 This package contains the Python 3 library.

Package: python3-rosgraph-msgs
Description-md5: dff1d5f80f2cf05448a41db31def0ef0
Description-en: Messages relating to the Robot OS Computation Graph, Python 3 bindings
 This package is part of Robot OS (ROS). It contains the Python
 interface to messages relating to the ROS Computation Graph. Most
 users are not expected to interact with messages in this package, and
 it is strongly advised against. These messages are generally wrapped
 in higher level APIs.
 .
 This package contains the generated Python 3 package.

Package: python3-rosinstall
Description-md5: 59a2ac2cf3354c4af027f98978c07dd4
Description-en: Installer for Robot OS (Python 3)
 This package is part of Robot OS (ROS). The rosinstall package
 provides a Python API for interacting with a source code workspace as
 well as a group of command line tools. Rosinstall leverages the
 vcstools package for source control and stores its state in
 rosinstall files.
 .
 Using rosinstall you can update several folders using a variety of SCMs
 (SVN, Mercurial, git, Bazaar) with just one command.
 .
 This package installs the library for Python 3.

Package: python3-rosinstall-generator
Description-md5: 46492ff7657150c5e226b1c28a536d1b
Description-en: Tool to generate rosinstall files (for Robot OS) Python 3
 This package is part of Robot OS (ROS). It contains a tool to
 generate rosinstall files which contain information about repositories
 with ROS packages/stacks. It always returns information about
 released packages - either to the gbp repositories for wet packages
 or to the tarball of dry stacks from the SVN release repository.
 .
 This package installs the library for Python 3.

Package: python3-roslaunch
Description-md5: 1fd3cfeb0a7771de4698a659b552e490
Description-en: roslaunch tool for Robot OS - Python 3
 This package is part of Robot OS (ROS). roslaunch is a tool for
 easily launching multiple ROS nodes locally and remotely via SSH, as
 well as setting parameters on the Parameter Server. It includes
 options to automatically respawn processes that have already died.
 roslaunch takes in one or more XML configuration files (with the
 launch extension) that specify the parameters to set and nodes to
 launch, as well as the machines that they should be run on.
 .
 This package contains the Python 3 library.

Package: python3-roslib
Description-md5: e63596042e785f0f7ddca478f60910cf
Description-en: Python 3 library for roslib (Robot OS)
 This package is part of Robot OS (ROS). It provides base dependencies
 and support libraries for ROS. roslib contains many of the common
 data structures and tools that are shared across ROS client library
 implementations.
 .
 This package contains the Python 3 library.

Package: python3-roslz4
Description-md5: 331560af9e5df8fe38ae530fc01bd23c
Description-en: Python 3 library implementing lz4 for Robot OS
 This package is part of Robot OS (ROS). This is the Python
 implementation of the LZ4 streaming format. Large data streams are
 split into blocks which are compressed using the very fast LZ4
 compression algorithm.
 .
 This package contains the Python 3 library.

Package: python3-rosmake
Description-md5: 710254dfd9d6868d01cdf78c6a9d6969
Description-en: rosmake is a Robot OS dependency aware build tool (Python 3)
 This package is part of Robot OS (ROS). rosmake is a tool to assist
 with building ROS packages. It facilitates building packages that
 have dependencies, allowing all dependencies to be built in the
 correct order.
 .
 This package contains the Python 3 library.

Package: python3-rosmaster
Description-md5: 48754b22aaf3d49193c67c321c17ffab
Description-en: Robot OS Master implementation - Python 3
 This package is part of Robot OS (ROS). The rosmaster package
 implements the ROS Master. Most programs will not need to interact
 with this package directly. The rosmaster is run automatically
 whenever roscore is run and all communication with the Master happens
 over XMLRPC APIs.
 .
 This package contains the Python 3 library.

Package: python3-rosmsg
Description-md5: f919b789b9a183600bf817be0da830bf
Description-en: Command-line tools for displaying information about Robot OS - Python 3
 This package is part of Robot OS (ROS). rosmsg is a command-line tool
 for displaying information about ROS Message types. rossrv is a
 command-line tool for displaying information about ROS Service types.
 .
 This package contains the Python 3 library.

Package: python3-rosnode
Description-md5: 7e7419e288132a623ea1a42a16a5fb0c
Description-en: Tool for displaying debug information about Robot OS - Python 3
 This package is part of Robot OS (ROS). rosnode is a command-line
 tool for displaying debug information about ROS Nodes, including
 publications, subscriptions and connections. It also contains an
 experimental library for retrieving node information. This library is
 intended for internal use only.
 .
 This package contains the Python 3 library.

Package: python3-rosparam
Description-md5: 44922c166ff3eb324f8c35a0fee79dba
Description-en: Tool for getting and setting Robot OS Parameters - Python 3
 This package is part of Robot OS (ROS). rosparam contains the
 rosparam command-line tool for getting and setting ROS Parameters on
 the parameter server using YAML-encoded files. It also contains an
 experimental library for using YAML with the parameter server. This
 library is intended for internal use only.
 .
 This package contains the Python 3 library.

Package: python3-rospkg
Description-md5: b5fd0ec303a874cffdd6871b4b8ecb9c
Description-en: Robot OS package library (Python 3)
 This package is part of Robot OS (ROS). It is a library for
 retrieving information about ROS packages and stacks. The rospkg
 Python module provides basic utilities for querying information about
 ROS packages, stacks, and distributions. There are several basic
 APIs: ROS environment, RosPack/RosStack, OS detection, and
 distributions.
 .
 This package installs the library for Python 3.

Package: python3-rospy
Description-md5: b11d3c7248627508416efe19f16989d6
Description-en: Python 3 client library for Robot OS
 This package is part of Robot OS (ROS). The rospy client API enables
 Python programmers to quickly interface with ROS topics, services,
 and parameters. The design of rospy favors implementation speed
 (i.e. developer time) over runtime performance so that algorithms can
 be quickly prototyped and tested within ROS. It is also ideal for
 non-critical-path code, such as configuration and initialization
 code. Many of the ROS tools are written in rospy to take advantage of
 the type introspection capabilities.
 .
 Many of the ROS tools, such as rostopic and rosservice, are built on
 top of rospy.
 .
 This package contains the Python 3 library.

Package: python3-rosservice
Description-md5: 4a57c54b5128cb51bd1955e6cf0cbfdf
Description-en: Command-line tool for listing and querying Robot OS services - Python 3
 This package is part of Robot OS (ROS). rosservice contains the
 rosservice command-line tool for listing and querying ROS
 services. It also contains a Python library for retrieving
 information about services and dynamically invoking them. The Python
 library is experimental and is for internal-use only.
 .
 This package contains the Python 3 library.

Package: python3-rostest
Description-md5: 3aed52d323aa5fdb0c798f65adb36580
Description-en: Test suite for Robot OS based on roslaunch - Python 3
 This package is part of Robot OS (ROS). rostest is an extension to
 roslaunch that enables roslaunch files to be used as test
 fixtures. As a fully running system has more complex behaviors than
 an individual ROS node, this allows you to do full integration
 testing across multiple nodes. It is compatible with xUnit
 frameworks.
 .
 This package contains the Python 3 library.

Package: python3-rostopic
Description-md5: e15129dd5880c96b1ded221e6185df53
Description-en: Tool for displaying debug information about Robot OS Topics - Python 3
 This package is part of Robot OS (ROS). This tool helps debugging by
 showing debug information about ROS Topics, including publishers,
 subscribers, publishing rate, and ROS Messages. It also contains an
 experimental Python library for getting information about, and
 interacting with, topics dynamically. This library is for internal use
 only as the code API may change, though it does provide examples of
 how to implement dynamic subscription and publication behaviors in
 ROS.
 .
 This package contains the Python 3 library.

Package: python3-rosunit
Description-md5: 0c268e429095b9a4d11e896765f66b61
Description-en: Unit-testing package for ROS (Python 3)
 This package is part of Robot OS (ROS). python3-rosunit is a
 lower-level library for rostest and handles unit tests, whereas
 rostest handles integration tests. It's an internal tool for running
 unit tests within ROS. While it can be run by a regular user, most
 users will generally use rosunit indirectly via rosbuild test macros.
 .
 This package contains the Python 3 library.

Package: python3-roswtf
Description-md5: d7fd33ba06d914e905eb7593ff3c4870
Description-en: Tool for diagnosing issues with a running Robot OS system - Python 3
 This package is part of Robot OS (ROS). roswtf looks for many, many
 things, and the list is always growing. There are two categories of
 things that it looks for: file-system issues and online/graph issues.
 .
 For file-system issues, roswtf looks at your environment variables, package
 configurations, stack configurations, and more. It can also take in a
 roslaunch file and attempt to find any potential configuration issues in it,
 such as packages that haven't been built properly.
 .
 For online issues, roswtf examines the state of your current graph and tries
 to find any potential issues. These issues might be unresponsive nodes,
 missing connections between nodes, or potential machine-configuration issues
 with roslaunch.
 .
 This package contains the Python 3 library.

Package: python3-rpaths
Description-md5: a771fa25aca2db1904575dc87a75fff2
Description-en: cross-platform path manipulation library for Python
 rpaths is another path manipulation library for Python. It is heavily inspired
 by Unipath and pathlib and provides a total Python 2/3 and Windows/POSIX
 compatibility.
 .
 This package provides the modules for Python 3.

Package: python3-rply
Description-md5: 8216ecbd544ec7b27c47ba6a9c27e3d7
Description-en: pure Python based parser that also works with RPython (Python 3)
 This package provides a pure Python based parser generator, that also
 works with RPython. It is a more-or-less direct port of David
 Bazzley's awesome PLY, with a new public API, and RPython support.
 .
 This package provides Python 3 bindings only.

Package: python3-rpm
Description-md5: 4c86706340dedfb97287c361b94fc396
Description-en: Python 3 bindings for RPM
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package includes the Python bindings for librpm, allowing Python
 scripts to manipulate RPM packages and the RPM database.
 .
 This package installs the library for Python 3.

Package: python3-rpy2
Description-md5: b378296fa6aaf034c92ad45d39cc8c6c
Description-en: Python3 interface to the GNU R language and environment (version 2)
 This Debian package provides RPy2, a very simple yet robust Python interface
 to the GNU R Programming Language. It can manage different types of R objects,
 and can execute arbitrary R functions, including graphic functions.
 Rpy2 is a rewrite and extension of the older RPy interface.

Package: python3-rq
Description-md5: d192cb8bf5b9d82429bd09323c51ebe9
Description-en: Simple job queues for Python 3
 RQ (Redis Queue) is a simple Python library for queueing jobs and
 processing them in the background with workers. It is backed by
 Redis and it is designed to have a low barrier to entry.
 It can be integrated in your web stack easily.

Package: python3-rrdtool
Description-md5: 7b82f583bb9c9079fa8796903bd4c210
Description-en: time-series data storage and display system (Python3 interface)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 This package contains a Python3 interface to RRDs.

Package: python3-rrdtool-dbg
Description-md5: 9cd59d5c4d5e4b019b38e15738e3737e
Description-en: time-series data storage and display system (Python3 debug interface)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 This package contains the Python3 debug interface to RRDs, and the debugging
 symbols for both the non-debug and the debug python3 interfaces.

Package: python3-rsa
Description-md5: 661c45d2c5f07d4774802a57c39654af
Description-en: Pure-Python RSA implementation (Python 3)
 Python-RSA is a pure-Python RSA implementation. It supports encryption
 and decryption, signing and verifying signatures, and key generation
 according to PKCS#1 version 1.5. It can be used as a Python library as
 well as on the commandline. The code was mostly written by Sybren A. Stüvel.
 .
 This package contains the module for Python 3.

Package: python3-rstr
Description-md5: c71246cf34f09474b676294685c3e4a7
Description-en: Easily generate random strings of various types (Python 3)
 rstr is a helper module for easily generating random strings of various
 types. It could be useful for fuzz testing, generating dummy data, or
 other applications. It has no dependencies outside the standard library,
 and is compatible with Python 3.
 .
 The basic method of rstr is rstr(). At a minimum, it requires one
 argument, an alphabet of characters from which to create a string.
 .
 Inspired by the Java library of the same name, the xeger() method allows
 users to create a random string from a regular expression.
 .
 You can combine rstr with Python's built-in string formatting to produce
 strings that fit a variety of templates.
 .
 This package installs the library for Python 3.

Package: python3-rtmidi
Description-md5: 24e7978f367b4048a59fb867ea5fa5fe
Description-en: Python wrapper for the RtMidi C++ library written with Cython
 Python binding for RtMidi implemented with Cython and provides a thin wrapper
 around the RtMidi C++ interface. The API is basically the same as the C++ one
 but with the naming scheme of classes, methods and parameters adapted to the
 Python naming structure.

Package: python3-rtree
Description-md5: abf2f3f895b0c4a6fec2f7d5b33ad1dd
Description-en: R-Tree spatial index for Python GIS - Python 3 module
 Rtree is a ctypes Python wrapper of libspatialindex that provides a
 number of advanced spatial indexing features for the spatially curious
 Python user. These features include:
 .
  * Nearest neighbor search
  * Intersection search
  * Multi-dimensional indexes
  * Clustered indexes (store Python pickles directly with index entries)
  * Bulk loading
  * Deletion
  * Disk serialization
  * Custom storage implementation (to implement spatial indexing in ZODB,
    for example)
 .
 This package contains the module for Python 3.

Package: python3-rtslib-fb
Description-md5: 1d755249f507b1f2625ef8dccdbb3f63
Description-en: object API for managing the Linux LIO kernel target - Python 3.x
 The rtslib-fb package is an object-based Python library for configuring the
 LIO generic SCSI target, present in 3.x Linux kernel versions.
 .
 The rtslib-fb package is a fork of the "rtslib" code written by RisingTide
 Systems. The "-fb" differentiates between the original and this version.
 Ensure to use either all "fb" versions of the targetcli components --
 targetcli, rtslib, and configshell, or stick with all non-fb versions,
 since they are no longer strictly compatible.
 .
 This package contains the Python 3.x module.

Package: python3-ruamel.yaml
Description-md5: 2e4defdca4861446cf4772eb995bf6ad
Description-en: roundtrip YAML parser/emitter (Python 3 module)
 ruamel.yaml is a YAML parser supporting roundtrip preservation of
 comments, seq/map flow style and map key order. It is a derivative of
 Kirill Simonov's PyYAML 3.11 which supports YAML1.1.
 .
 This package contains the Python 3 module.

Package: python3-ruffus
Description-md5: 5c735dc33cb5b47e68df109b04c44c39
Description-en: Python3 computation pipeline library widely used in bioinformatics
 Ruffus is designed to allow scientific and other analyses to be automated
 with the minimum of fuss and the least effort.
 .
  * Lightweight: Suitable for the simplest of tasks
  * Scalable: Handles even fiendishly complicated pipelines which would cause
    make or scons to go cross-eyed and recursive.
  * Standard Python: No "clever magic", no pre-processing.
  * Unintrusive: Unambitious, lightweight syntax which tries to do this one
    small thing well.
 .
 This package provides python3 modules.

Package: python3-rviz
Description-md5: 90085645786f283124123266eeb3d8a5
Description-en: Python 3 bindings for the 3D visualization tool for Robot OS
 This package is part of Robot OS (ROS) RViz package. RViz is a tool to
 visualize ROS messages and the state of the robot.
 .
 This package contains the Python 3 interface to the rviz library.

Package: python3-ryu
Description-md5: 297b390cd427838f9b8a2dbcce1ffcee
Description-en: software defined networking framework - Python 3
 Ryu is a component-based software defined networking framework.
 Ryu provides software components with well defined API that make
 it easy for developers to create new network management and control
 applications. Ryu supports various protocols for managing network
 devices, such as OpenFlow, Netconf, OF-config, etc. About OpenFlow,
 Ryu supports fully 1.0, 1.2, 1.3, 1.4 and Nicira Extensions.
 .
 This package provides the Python 3 module.

Package: python3-s3transfer
Description-md5: 98ec7fa34a944aa3ab677922e87fe5f1
Description-en: Amazon S3 Transfer Manager for Python3
 S3transfer is a Python library for managing Amazon S3 transfers.
 .
 This package contains the module for Python 3.

Package: python3-sabyenc
Description-md5: 2808bfaf93d83e1085d417ac25b7f632
Description-en: yEnc extension for Python, optimized for Usenet
 Modified version of the original python-yenc module by Alessandro Duca,
 optimized for use within SABnzbd. The module was extended to do header
 parsing and full yEnc decoding from a Python list of chunks, the way in
 which data is retrieved from usenet.
 .
 This is particularly beneficial when SSL is enabled, which limits the
 size of each chunk to 16K. Parsing these chunks in Python is much more
 costly. Additionally, this module releases Python's GIL during decoding,
 greatly increasing performance of the overall download process.

Package: python3-sadisplay
Description-md5: d6401222295ca180bba5f533b9824299
Description-en: Simple tool for SQLAlchemy schema visualization
 Simple package for describing SQLAlchemy schema and displaying
 raw database tables. Supports relations detection using Foreign
 Key collums. Supports mapped class inheritance.

Package: python3-saga
Description-md5: 3f5da58809b0d9dd8bb18db228a54fed
Description-en: SAGA GIS Python bindings
 SAGA GIS (System for Automated Geoscientific Analyses) is a geographic
 information system used for editing and analysing spatial data.
 .
 This package contains the Python bindings to SAGA.

Package: python3-sagenb-export
Description-md5: 28af5c2439a6b23200b0e0b2f32ee7a8
Description-en: Convert SageNB Notebooks (Python 3)
 This is a tool to convert SageNB notebooks to other formats,
 in particular IPython/Jupyter notebooks.
 .
 This package installs the library for Python 3.

Package: python3-sagetex
Description-md5: f883c9523995e38dc60095e8e24b2e8d
Description-en: Embed Sage code and plots into LaTeX -- Python 3
 The SageTeX LaTeX package allows you to embed code, results of computations,
 and plots from the SageMath mathematics software suite into LaTeX documents.
 SageMath is an open-source Computer Algebra System (CAS).
 .
 This package provides the Python 3 material that interact with SageMath.

Package: python3-sahara
Description-md5: 2e72d88233392719a5449190adb917c4
Description-en: OpenStack data processing cluster as a service - 3.x library
 The Sahara project provides a simple means to provision a data-intensive
 application cluster (Hadoop or Spark) on top of OpenStack. It's the ex
 Savanna project, renamed due to potential trademark issues.
 .
 This package contains the Python 3.x libraries.

Package: python3-sahara-dashboard
Description-md5: 4c89fa70989b6aa6161108e329217592
Description-en: OpenStack data processing cluster as a service - Python 3 dashboard plugin
 The Sahara project provides a simple means to provision a data-intensive
 application cluster (Hadoop or Spark) on top of OpenStack. It's the ex
 Savanna project, renamed due to potential trademark issues.
 .
 This package contains the OpenStack Python 3 dashboard plugin.

Package: python3-saltpylint
Description-md5: a4ab7d9fa1b2eb16d1b627273bab0d16
Description-en: PyLint plugins needed in the several SaltStack projects
 This package contains plugins for PyLint which are used in several SaltStack
 projects.

Package: python3-sane
Description-md5: 83197ff2fbafe55a90f617ee62ff24d1
Description-en: Python Imaging Library - SANE interface (Python3)
 The imaging-sane module is a Python interface to the SANE (Scanner
 Access is Now Easy) library, which provides access to various raster
 scanning devices such as flatbed scanners and digital cameras.

Package: python3-sane-dbg
Description-md5: 20e2e4dc94b4a1ac5ce0e637bfff516a
Description-en: Python Imaging Library - SANE interface (Python3 debug extension)
 The imaging-sane module is a Python interface to the SANE (Scanner
 Access is Now Easy) library, which provides access to various raster
 scanning devices such as flatbed scanners and digital cameras.
 .
 This package contains the extension built for the Python debug interpreter.

Package: python3-sardana
Description-md5: 05c14c3e30256be38e752b0700300e02
Description-en: instrument control and data acquisition system - library
 Sardana is a Supervision, Control And Data Acquisition (SCADA) system for
 scientific installations. It is written in Python and based on the TANGO
 library. The hardware control and data acquisition routines can be
 accessed via an IPython console and a generic graphical user interface
 (both of which are easily extensible by the user).

Package: python3-sasmodels
Description-md5: 4718a3b310b148814c1224a9215422ce
Description-en: Theoretical models for small angle scattering (Python 3)
 sasmodels is a Python module for calculating theoretical Small Angle
 Scattering patterns. The models provided are usable directly in the bumps
 fitting package and in the sasview analysis package.
 .
 This package contains the Python 3 version of the module.

Package: python3-sasview
Description-md5: 00e00afa70b4d23e412dd5bb984b4715
Description-en: Small Angle Scattering Analysis (Python 2)
 SasView is a Small Angle Scattering Analysis Software Package,
 originally developed as part of the NSF DANSE project under the name
 SansView, now managed by an international collaboration of facilities.
 .
 SasView is software for the analysis of Small-Angle Scattering (SAS)
 data.
 .
 It fits analytic functions describing different types of material
 microstructure to experimental data in order to determine the shape,
 size and degree of ordering.
 .
 SasView also includes tools for calculating scattering length
 densities, slit sizes, resolution, fringe thicknesses/d-spacings, the
 (Porod) invariant ('total scattering'), and distance distribution
 functions.
 .
 This package installs the library for Python 2.

Package: python3-satpy
Description-md5: f74e6e9d45723fabc8b493bc762ab2db
Description-en: Python package for earth-observing satellite data processing
 The SatPy package is a Python library for reading and manipulating
 meteorological remote sensing data and writing it to various image and
 data file formats. SatPy comes with the ability to make various RGB
 composites directly from satellite instrument channel data or higher level
 processing output. The pyresample package is used to resample data to
 different uniform areas or grids.

Package: python3-savitar
Description-md5: 33d075268cfb4b576dc951002784a9d3
Description-en: 3MF file handling library (Python bindings)
 Savitar is a C++ library with Python 3 bindings for
 reading and writing 3MF files.
 .
 3MF is an interchange format for sharing 3D models and other 3D printing
 data between related software and 3D printers.
 It is XML based and standardised by the 3MF consortium.
 .
 This package contains the Python 3 bindings.

Package: python3-sbml5
Description-md5: 2a679a91571d76786eb1c306b81b4cea
Description-en: System Biology Markup Language library - Python3 bindings
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains the Python3 bindings of LibSBML.

Package: python3-sbml5-doc
Description-md5: fa98f15fbf00794275c21b1c1d7b7e42
Description-en: System Biology Markup Language library - Python3 documentation
 LibSBML is a library designed to help you read, write, manipulate,
 translate, and validate SBML files and data streams. It is not an
 application itself (though it does come with many example programs),
 but rather a library you can embed in your own applications.
 .
 This package contains documentation for Python3 bindings

Package: python3-scapy
Description-md5: 4a021f772e9f922a353eda698a37b344
Description-en: Packet generator/sniffer and network scanner/discovery (Python 3)
 Scapy is a powerful interactive packet manipulation tool, packet
 generator, network scanner, network discovery, packet sniffer, etc. It
 can for the moment replace hping, 85% of nmap, arpspoof, arp-sk, arping,
 tcpdump, tethereal, p0f, ....
 .
 In scapy you define a set of packets, then it sends them, receives
 answers, matches requests with answers and returns a list of packet couples
 (request, answer) and a list of unmatched packets. This has the big advantage
 over tools like nmap or hping that an answer is not reduced to
 (open/closed/filtered), but is the whole packet.
 .
 This package contains the Python 3 version of the library and scapy executable.

Package: python3-scciclient
Description-md5: cb41f23dda7c3563b1577d9ff2e244b3
Description-en: Python ServerView Common Command Interface (SCCI) Client Library - Python 3.x
 This package provides the Python ServerView Common Command Interface (SCCI)
 Client Library, which support FUJITSU iRMC S4 integrated Remote Management
 Controller. This Python module may be helpful if you want to use Ironic to
 manage a FUJITSU server that has this hardware.
 .
 This package contains the Python 3.x module.

Package: python3-schedule
Description-md5: 0d5d92eb0e5719da33a4eeedb5b4ced2
Description-en: Job scheduling for humans (Python 3)
 An in-process scheduler for periodic jobs that uses the builder pattern
 for configuration. Schedule lets you run Python functions (or any other
 callable) periodically at pre-determined intervals using a simple,
 human-friendly syntax.
 .
 This package contains the Python 3 version of the library.

Package: python3-schedutils
Description-md5: fa8d1db1f0a1766f4009f0ff0e456702
Description-en: Linux scheduler Python bindings
 Python interface for the Linux scheduler sched_{get,set}{affinity,scheduler}
 functions and friends.
 .
 The scheduler is the kernel component that decides which runnable thread will
 be executed by the CPU next. Each thread has an associated scheduling policy
 and static scheduler priority, sched_priority. The scheduler makes its
 decisions based on knowledge of the scheduling policy and static priority of
 all threads on the system. This package provides Python bindings to get and
 set the scheduler's knowledge.

Package: python3-schema
Description-md5: a5853f536ce116ecd3397116b4bb2b11
Description-en: simple data validation library (Python 3)
 Schema is a library for validating Python data structures, such as those
 obtained from config-files, forms, external services or command-line parsing,
 converted from JSON/YAML (or something else) to Python data-types.
 .
 This package provides the modules for Python 3.

Package: python3-schema-salad
Description-md5: 2b7a94db0932a887021b4dc90efd3124
Description-en: Schema Annotations for Linked Avro Data (SALAD)
 Salad is a schema language for describing JSON or YAML structured linked data
 documents. Salad is based originally on JSON-LD and the Apache Avro data
 serialization system.
 .
 Salad schema describes rules for preprocessing, structural validation, and
 link checking for documents described by a Salad schema. Salad features for
 rich data modeling such as inheritance, template specialization, object
 identifiers, object references, documentation generation, and transformation
 to RDF. Salad provides a bridge between document and record oriented data
 modeling and the Semantic Web.

Package: python3-schroot
Description-md5: 6e7419025e3f9df6eaafc60f8ca5945d
Description-en: set of Python 3 bindings to schroot chroots
 Ever want to chroot into schroot chroots in Python? Today's your lucky
 day! You can schroot your chroots all day long with this miracle of science.
 .
 This package contains a set of Python wrappers to the schroot(1) binary, to
 enable programmatic access to schroot containers.
 .
 This package contains the Python 3 bindings only.

Package: python3-scipy
Description-md5: 10a513a129149372e732d5540d7328d0
Description-en: scientific tools for Python 3
 SciPy supplements the popular NumPy module (python-numpy package), gathering a
 variety of high level science and engineering modules together as a single
 package.
 .
 SciPy is a set of Open Source scientific and numeric tools for Python. It
 currently supports special functions, integration, ordinary differential
 equation (ODE) solvers, gradient optimization, genetic algorithms, parallel
 programming tools, an expression-to-C++ compiler for fast execution, and
 others.

Package: python3-scipy-dbg
Description-md5: 42042a4ee2002a1ed6f15a693c074dc1
Description-en: scientific tools for Python 3 - debugging symbols
 SciPy supplements the popular NumPy module (python-numpy package), gathering a
 variety of high level science and engineering modules together as a single
 package.
 .
 SciPy is a set of Open Source scientific and numeric tools for Python. It
 currently supports special functions, integration, ordinary differential
 equation (ODE) solvers, gradient optimization, genetic algorithms, parallel
 programming tools, an expression-to-C++ compiler for fast execution, and
 others.
 .
 This package provides debugging symbols for python3-scipy.

Package: python3-scitrack
Description-md5: 77388115bd09d30e840723bda8d3d361
Description-en: Python3 library to track scientific data
 Scitrack is a library aimed at application developers writing scientific
 software to support tracking of scientific computation. The library
 provides elementary functionality to support logging. The primary
 capabilities concern generating checksums on input and output files and
 facilitating logging of the computational environment.

Package: python3-scoop
Description-md5: c7c44a8fe989c02d48d8d3dbd72ae29a
Description-en: Python3 library for concurrent parallel programming
 SCOOP (Scalable COncurrent Operations in Python) is a distributed task
 module allowing concurrent parallel programming on various environments,
 from heterogeneous grids to supercomputers.
 .
 This package contains the Python3 modules.

Package: python3-scour
Description-md5: 29daec8096ef391a7a0ff8185a298699
Description-en: SVG scrubber and optimizer (Python 3 module)
 Scour is a Python module that aggressively cleans SVG files, removing a lot of
 unnecessary information that certain tools or authors embed into their
 documents.  The goal of scour is to provide an identically rendered image
 (i.e. a scoured document should have no discernible visible differences from
 the original file) while minimizing the file size.
 .
 WARNING: Scour is intended to be run on files that have been edited in Vector
 Graphics editors such as Inkscape or Adobe Illustrator.  Scour attempts to
 optimize the file, and as result, it will change the file's structure and
 (possibly) its semantics.  If you have hand-edited your SVG files, you will
 probably not be happy with the output of Scour.
 .
 Never use scour to overwrite your original file!

Package: python3-scp
Description-md5: d18be8829f4b387851a0a9827bc78d20
Description-en: scp module for paramiko (Python 3)
 The scp.py module uses a paramiko transport to send and receive files via the
 scp1 protocol. This is the protocol as referenced from the openssh scp program,
 and has only been tested with this implementation.
 .
 This package contains the Python 3 module for scp.

Package: python3-scrapy
Description-md5: de81941edea93a8d65f470aa9a6bbc8a
Description-en: Python web scraping and crawling framework (Python 3)
 Scrapy is a fast high-level screen scraping and web crawling framework,
 used to crawl websites and extract structured data from their pages.
 It can be used for a wide range of purposes, from data mining to
 monitoring and automated testing.
 .
 This package provides the scrapy module for Python 3.

Package: python3-scrapy-djangoitem
Description-md5: 5de9c475113839b5d227157327770db4
Description-en: Scrapy extension to write scraped items using Django models (Python3 version)
 scrapy-djangoitem is an extension that allows you to define Scrapy items using
 existing Django models. This utility provides a new class, named DjangoItem,
 that you can use as a regular Scrapy item and link it to a Django model with
 its django_model attribute.
 .
 This package contains the Python 3 version of the library.

Package: python3-screed
Description-md5: a44a0463b55e3ff29b0a772e4afd64b7
Description-en: short nucleotide read sequence utils in Python 3
 Screed parses FASTA and FASTQ files, generates databases, and lets you query
 these databases. Values such as sequence name, sequence description, sequence
 quality, and the sequence itself can be retrieved from these databases.

Package: python3-scruffy
Description-md5: 0b394bac948c4d9c73bf4f74c8b72fa9
Description-en: framework for taking care of a bunch of boilerplate in Python3 apps
 Scruffy is a framework for taking care of a bunch of boilerplate in
 Python apps. It handles the loading of configuration files, the loading
 and management of plugins, and the management of other filesystem
 resources such as temporary files and directories, log files, etc.
 .
 A typical use case for Scruffy is a command-line Python tool with some
 or all of the following requirements:
 .
  * Read a set of configuration defaults
  * Read a local configuration file and apply it on top of the defaults
  * Allow overriding some configuration options with command line flags
    or at runtime
  * Load a core set of Python-based plugins
  * Load a set of user-defined Python-based plugins
  * Generate log files whose name, location and other logging settings
    are based on configuration
  * Store application state between runs in a file or database
 .
 This package installs the library for Python 3.

Package: python3-sdl2
Description-md5: 106f2b83bbfd9b4c4ae948892d3fd883
Description-en: Python bindings to the SDL2 C-library (Python 3 build)
 PySDL2 is a ctypes based wrapper around the Simple DirectMedia Layer 2 library
 to allow portable low level access to a video framebuffer, audio output, mouse
 and keyboard.
 .
 This module is built for Python version 3.x.

Package: python3-sdnotify
Description-md5: a5686e8c911b276c2784782f771ad489
Description-en: Python3 implementation of systemd's service notification protocol
 This is a pure Python implementation of the systemd sd_notify protocol.
 This protocol can be used to inform systemd about service start-up completion,
 watchdog events, and other service status changes.
 .
 Thus, this package can be used to write system services in Python that play
 nicely with systemd.
 .
 This is the Python 3 package.

Package: python3-seaborn
Description-md5: 40f8747d7893678c82ee42faf718a698
Description-en: statistical visualization library for Python3
 Seaborn is a library for making attractive and informative
 statistical graphics in Python. It is built on top of matplotlib and
 tightly integrated with the PyData stack, including support for numpy
 and pandas data structures and statistical routines from scipy and
 statsmodels.
 .
 Some of the features that seaborn offers are
 .
  - Several built-in themes that improve on the default matplotlib
    aesthetics
  - Tools for choosing color palettes to make beautiful plots that
    reveal patterns in your data
  - Functions for visualizing univariate and bivariate distributions
    or for comparing them between subsets of data
  - Tools that fit and visualize linear regression models for different
    kinds of independent and dependent variables
  - A function to plot statistical timeseries data with flexible estimation
    and representation of uncertainty around the estimate
  - High-level abstractions for structuring grids of plots that let you
    easily build complex visualizations
 .
 This is the Python 3 version of the package.

Package: python3-seafile
Description-md5: ad35bc9d74c73489012730a024c2f4f2
Description-en: Python 3 bindings for the Seafile Client
 Seafile is a file syncing and sharing software with file encryption
 and group sharing, emphasis on reliability and high performance.
 .
 Seafile is an open source cloud storage system with privacy protection
 and teamwork features. Collections of files are called libraries. Each
 library can be synced separately. A library can also be encrypted with
 a user chosen password. Seafile also allows users to create groups and
 easily sharing files into groups.
 .
 This package contains the Python 3 bindings for Seafile.

Package: python3-searchlightclient
Description-md5: 8baea5a4c8f37dbd9c861ab5700360d4
Description-en: OpenStack Indexing and Search API Client Library - Python 3.x
 The Searchlight project provides indexing and search capabilities across
 OpenStack resources. Its goal is to achieve high performance and flexible
 querying combined with near real-time indexing.
 .
 This package contains the Python 3.x module.

Package: python3-searpc
Description-md5: 02601d690151ae211660ba452aea759d
Description-en: Python 3 bindings for the Searpc framework
 Searpc handles the serialization/deserialization part of RPC, the
 transport part is left to users.
 .
 This package contains the Python 3 bindings for Searpc.

Package: python3-searx
Description-md5: ffefdcf97ef86d98e2ab45a6869507fd
Description-en: Privacy-respecting metasearch engine - library package
 Searx is an internet metasearch engine which aggregates results from more than
 70 search services. Searx runs as a web service and provides a web interface
 that allows the user to do a general search (aggregating results from google,
 bing, yahoo) or search for files (piratebay, kickass, torrentz), images (bing,
 deviantart, google images, flickr), IT (github, stackoverflow, Arch Linux
 wiki), maps (OpenStreetMap, photon), music (youtube, spotify, soundcloud),
 news (bing news, google news, reddit), science (arxiv, wolframalpha) social
 media (digg, twitter) and videos (youtube, dailymotion, vimeo).
 .
 This package provides the Python library.

Package: python3-seccomp
Description-md5: 4d2f47f47736ce3d567ce8905563031c
Description-en: high level interface to Linux seccomp filter (Python 3 bindings)
 This library provides a high level interface to constructing, analyzing
 and installing seccomp filters via a BPF passed to the Linux Kernel's
 prctl() syscall.

Package: python3-securesystemslib
Description-md5: 85ba935cce1e4ff98b0837e29dbee9de
Description-en: crypto and schema library for TUF and in-toto
 securesystemslib provides a high-level interface to cryptographic functions.
 It supports public-key and other cryptographic algorithms, such as ECDSA,
 Ed25519, RSA, SHA256, SHA512, etc. and a schema definition and validation
 framework.
 .
 securesystemslib is developed at the Secure Systems Lab of NYU and is used by
 the Python implementations of The Update Framework (TUF) and the software
 supply chain integrity framework in-toto.

Package: python3-segyio
Description-md5: caac92ad65fc1bcdbda08e2e67065a28
Description-en: SEG-Y read/write library for seismic processing (python3 bindings)
 segyio is a fast and practical library for reading and writing seismic data in
 SEG-Y format. segyio is random access oriented for modern computers simple
 read/write primitives on lines.
 .
 This package contain the python3 interface.

Package: python3-selenium
Description-md5: 5a5ffd61c43cc4e83d3ac7b7a02a106b
Description-en: Python3 bindings for Selenium
 Python3 language bindings for Selenium WebDriver.
 .
 The `selenium` package is used automate web browser interaction from Python.
 Several browsers/drivers are supported (Firefox, Chrome, Internet Explorer,
 PhantomJS), as well as the Remote protocol.
 .
 The webdriver parts itself are not included in this package.

Package: python3-selinux
Description-md5: 9ba663ede69837730eefa0d1d3aeaa21
Description-en: Python3 bindings to SELinux shared libraries
 This package provides the Python3 bindings needed for developing Python
 SELinux applications.
 .
 Security-enhanced Linux is a patch of the Linux kernel and a number
 of utilities with enhanced security functionality designed to add mandatory
 access controls to Linux. The Security-enhanced Linux kernel contains
 new architectural components originally developed to improve the security
 of the Flask operating system. These architectural components provide
 general support for the enforcement of many kinds of mandatory access
 control policies, including those based on the concepts of Type Enforcement,
 Role-based Access Control, and Multi-level Security.

Package: python3-semanage
Description-md5: 08b879a3156f0dcb939f35f158adf1b5
Description-en: Python3 bindings for SELinux policy management
 This package provides Python3 bindings for the management of SELinux
 policies.
 .
 Security-enhanced Linux is a patch of the Linux kernel and a
 number of utilities with enhanced security functionality designed to
 add mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement, Role-based Access
 Control, and Multi-level Security.

Package: python3-semver
Description-md5: e885157ae82ac9ddb0df3dbb7bb10f5b
Description-en: helps to compare semantic versioning - Python 3.x
 This Python module helps to compare versions as noted at http://semver.org/.
 The system is like this: given a version number MAJOR.MINOR.PATCH, increment
 the:
  * MAJOR version when you make incompatible API changes,
  * MINOR version when you add functionality in a backwards-compatible
    manner,
  * PATCH version when you make backwards-compatible bug fixes.
 .
 Additional labels for pre-release and build metadata are available as
 extensions to the MAJOR.MINOR.PATCH format.
 .
 This package contains the Python 3.x module.

Package: python3-send2trash
Description-md5: 63ca0790d6352cefa7649da7360233db
Description-en: Python module for sending file to trash natively
 This module sends a file to the trash using either the Glib system
 for handling a desktop trash file or its own home-grown system if
 python3-gi is not installed.

Package: python3-sendfile
Description-md5: 0f012b71dde3fdbf6ae5e06400bd325d
Description-en: Python interface to sendfile(2)
 Python library which provides a "zero-copy" way of copying data from one
 file descriptor to another (a socket). The phrase "zero-copy" refers to
 the fact that all of the copying of data between the two descriptors is
 done entirely by the kernel, with no copying of data into userspace buffers.
 This is particularly useful when sending a file over a socket (e.g. FTP).

Package: python3-sendfile-dbg
Description-md5: 7220353ecd33d7ff61718dc0be675205
Description-en: Python interface to sendfile(2) (debug)
 Python library which provides a "zero-copy" way of copying data from one
 file descriptor to another (a socket). The phrase "zero-copy" refers to
 the fact that all of the copying of data between the two descriptors is
 done entirely by the kernel, with no copying of data into userspace buffers.
 This is particularly useful when sending a file over a socket (e.g. FTP).
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-senlin
Description-md5: b46bc0459ee51bb4617a13cff6ecf13d
Description-en: clustering service for OpenStack clouds - Python 3
 Senlin is a clustering service for OpenStack clouds. It creates and operates
 clusters of homogeneous objects exposed by other OpenStack services. The goal
 is to make the orchestration of collections of similar objects easier.
 .
 Senlin provides RESTful APIs to users so that they can associate various
 policies to a cluster. Sample policies include placement policy, load
 balancing policy, health policy, scaling policy, update policy and so on.
 .
 Senlin is designed to be capable of managing different types of objects. An
 object's lifecycle is managed using profile type implementations, which are
 themselves plugins.
 .
 This package provides the Python 3 library.

Package: python3-senlin-dashboard
Description-md5: 05d04ba2e3c9fb86db978e0d3d67659c
Description-en: clustering service for OpenStack clouds - dashboard plugin
 Senlin is a clustering service for OpenStack clouds. It creates and operates
 clusters of homogenous objects exposed by other OpenStack services. The goal
 is to make the orchestration of collections of similar objects easier.
 .
 Senlin provides RESTful APIs to users so that they can associate various
 policies to a cluster. Sample policies include placement policy, load
 balancing policy, health policy, scaling policy, update policy and so on.
 .
 Senlin is designed to be capable of managing different types of objects. An
 object's lifecycle is managed using profile type implementations, which are
 themselves plugins.
 .
 This package contains the OpenStack dashboard (aka Horizon) plugin.

Package: python3-sensor-msgs
Description-md5: 60bdcc4bc68129b15b07be002814541f
Description-en: Messages relating to Robot OS sensor, Python 3 interface
 This package is part of Robot OS (ROS), and provides messages for
 commonly used sensors, including cameras and scanning laser
 rangefinders.
 .
 This package contains the generated Python 3 package.

Package: python3-sentencepiece
Description-md5: 83323b5b1e5ef56799a31f443cb4ad30
Description-en: SentencePiece binding for Python3
 SentencePiece is an unsupervised text tokenizer/detokenizer mainly
 designed for Neural Network-based text generation systems where the
 vocabulary size is predetermined prior to the neural model training.
 .
 python3-sentencepiece is its binding for Python3.

Package: python3-sentinels
Description-md5: e7ed729ee56df8dce402199fae7c3e60
Description-en: Python module for sentinel objects (Python 3 version)
 Sentinels are objects with special meanings that can be passed as meaningful
 flags rather than special casing 0, None, -1, False etc. Sentinels can be
 thought of as singletons, but they service the need of having 'special'
 values in code,
 .
 This package contains the Python 3 version of the sentinels module.

Package: python3-sentinelsat
Description-md5: 767a3cb25a1b32b7e58e630afe1dd231
Description-en: search and download Sentinel satellite images (Python)
 Sentinelsat makes searching, downloading and retrieving the metadata of
 Sentinel satellite images from the Copernicus Open Access Hub easy.
 .
 This package contains the module for Python.

Package: python3-sentry-sdk
Description-md5: d92cb20888df2df0dd9f551eae9faa17
Description-en: New Python SDK for Sentry.io (Python 3)
 Python client for Sentry. It provides full out-of-the-box support for
 many of the popular frameworks, including Django, and Flask. Raven also
 includes drop-in support for any WSGI-compatible web application.
 .
 This package installs the library for Python 3.

Package: python3-sepolgen
Description-md5: 03778db4097db3afbc6b04fa1214dd9a
Description-en: Python3 module used in SELinux policy generation
 This package contains a Python3 module that forms the core of the modern
 audit2allow (which is a part of the package policycoreutils).
 .
 The sepolgen library is structured to give flexibility to the application
 using it. The library contains: Reference Policy Representation,
 which are Objects for representing policies and the reference policy
 interfaces. Secondly, it has objects and algorithms for representing
 access and sets of access in an abstract way and searching that
 access. It also has a parser for reference policy "headers". It
 contains infrastructure for parsing SELinux related messages as
 produced by the audit system. It has facilities for generating policy
 based on required access.

Package: python3-sepolicy
Description-md5: 5b6eaa5991c31b18921b2241cd0460e6
Description-en: Python binding for SELinux Policy Analyses
 This package contains a Python binding for SELinux Policy Analyses.

Package: python3-seqdiag
Description-md5: c0b1d8cdcb719baf472e912c84639037
Description-en: seqdiag generate sequence-diagram image file from spec-text file
 Generate sequence-diagram from dot like text with multilingualization for
 node-label. But this supports utf-8 only. And get some examples and generated
 images.

Package: python3-serial-asyncio
Description-md5: 630ec2155a78e70bec37b18e8f317946
Description-en: Async I/O extension package for pyserial
 Async I/O extension package for the Python Serial Port Extension for
 OSX, Linux, BSD. It depends on pySerial and is compatible with
 Python 3.4 and later.

Package: python3-serpent
Description-md5: 2d504cec8ca00950aea319df063449e7
Description-en: simple serialization library based on ast.literal_eval(), Python3 modules
 Serpent provides ast.literal_eval() compatible object tree serialization.
 It serializes an object tree into bytes (utf-8 encoded string) that can be
 decoded and then passed as-is to ast.literal_eval() to rebuild it as the
 original object tree.
 As such it is safe to send serpent data to other machines over the network
 for instance (because only 'safe' literals are encoded).
 .
 This package contains the core Serpent module for Python 3.x .

Package: python3-serverfiles
Description-md5: 4a4e4ec630fe0860d8f284fcf6905383
Description-en: accesses files on a HTTP server and stores them locally for reuse
 A dependency for the Orange Machine Learning suite.
 .
 This package installs the library for Python 3.

Package: python3-setools
Description-md5: ce6271967f5950a3a5fe018bf43e4471
Description-en: SETools Python bindings
 SETools is a collection  tools for analysing security policyon SELinux
 systems.

Package: python3-setoolsgui
Description-md5: 9f9fcd26efcce946a6b49aef11c160d1
Description-en: SETools Python bindings (GUI)
 SETools is a collection  tools for analysing security policyon SELinux
 systems.
 .
 This package contains the file for the GUI applications like apol.

Package: python3-setuptools-git
Description-md5: 5fd071b89a9d204990c56242f591cc64
Description-en: plugin for setuptools that enables git integration
 This is a plugin for setup tools that enables Git integration.  Once
 installed, Setuptools can be told to include in a module distribution
 all the files tracked by git.  This is an alternative to explicit
 inclusion specifications with MANIFEST.in.
 .
 This package was formerly known as gitlsfiles.  The name change is the
 result of an effort by the setuptools plugin developers to provide a
 uniform naming convention.
 .
 This is the Python 3 version of the package.

Package: python3-setuptools-scm
Description-md5: c353938219a7a245d00a6d32abc2badb
Description-en: blessed package to manage your versions by scm tags for Python 3
 setuptools_scm handles managing your Python package versions in scm metadata.
 It also handles file finders for the suppertes scm's.
 .
 This package installs the library for Python 3.

Package: python3-setuptools-scm-git-archive
Description-md5: 16e5992a6718cc2276f916820d248578
Description-en: Plugin for setuptools_scm to add support for git archives
 This is a setuptools_scm plugin that adds support for git archive, for
 example like the ones GitHub generates.
 .
 This package installs the library for Python 3.

Package: python3-sexpdata
Description-md5: a726c0e7487f733e8387e29c6907f2c4
Description-en: S-expression parser (Python3)
 This package is a simple S-expression parser/serializer. It has
 simple `load' and `dump' functions like pickle, json or PyYAML
 module.
 .
 This package installs the library for Python 3.

Package: python3-sfml
Description-md5: 403dc02a5b8bdf526afffa2f14af877e
Description-en: Simple and Fast Multimedia Library - Python 3 Bindings
 SFML is an modern multimedia library offering a wide range
 of subsystems useful to produce an multimedia application.
 It offers OpenGL integration for hardware accelerated Graphics,
 Windowing and input support, audio and network facilities and
 supports besides GNU/Linux MS Windows and Mac OS X, too.
 .
 This package contains the Python 3 bindings for SFML.

Package: python3-sfml-dbg
Description-md5: d12d16c2874826c56dbe87cd2a1e40e3
Description-en: Simple and Fast Multimedia Library - Python 3 Debug Bindings
 SFML is an modern multimedia library offering a wide range
 of subsystems useful to produce an multimedia application.
 It offers OpenGL integration for hardware accelerated Graphics,
 Windowing and input support, audio and network facilities and
 supports besides GNU/Linux MS Windows and Mac OS X, too.
 .
 This package contains the Python 3 bindings for SFML built against debug
 versions of Python.

Package: python3-sgmllib3k
Description-md5: 786025895fa730de2d1e4afbd9e096a5
Description-en: Python 3 port of Python 2's sgmllib
 sgmllib was dropped from the Python standard library in Python 3. This
 package provides a port of the library to Python 3.

Package: python3-sgp4
Description-md5: 5866e668dc11a398a9c752fd71653ec7
Description-en: Track earth satellite TLE orbits using up-to-date 2010 version of sgp4
 This Python package computes the position and velocity of an earth-orbiting
 satellite, given the satellite’s TLE orbital elements from a source like
 Celestrak.
 .
 It implements the most recent version of SGP4, and is regularly run against
 the SGP4 test suite to make sure that its satellite position predictions agree
 to within 0.1 mm of the predictions of the standard C++ implementation of
 the algorithm. This error is far less than the 1-3 km/day by which satellites
 themselves deviate from the ideal orbits described in TLE files.
 .
 The C++ function names have been retained, since users may already be familiar
 with this library in other languages

Package: python3-sh
Description-md5: b3c3033a5fae335008a03181bf0df81d
Description-en: Python 3 subprocess interface
 sh (previously pbs) is a full-fledged subprocess replacement for Python 2.6 -
 3.2 that allows you to call any program as if it were a function:
 .
   from sh import ifconfig
   print ifconfig("eth0")
 .
 sh is not a collection of system commands implemented in Python.
 .
 This package provides Python 3 module bindings only.

Package: python3-sha3
Description-md5: 369f6a773c2458a07efbbbb8eb0223e0
Description-en: SHA-3 (Keccak) hash implementation — Python 3
 ‘sha3’ is a Python library for the SHA-3 hashing functions.
 .
 This is a stand-alone package of the ‘sha3’ code included in Python
 3.6 and later.
 .
 SHA-3, also known as “Keccak”, is a family of algorithms standardised
 by NIST under the title “FIPS 202, SHA-3 Standard: Permutation-Based
 Hash And Extendable-Output Functions”.
 .
 This package installs the library for Python 3.

Package: python3-shade
Description-md5: 9f4afc67520ea2133da14b167b94faae
Description-en: Client library for operating OpenStack clouds
 Shade is a simple Python client library for operating OpenStack
 clouds. The key word here is simple. Clouds can do many things - but
 there are probably only about 10 of them that most people care about
 with any regularity. If you want to do complicated things, you should
 probably use the lower level client libraries - or even the REST API
 directly. However, if what you want is to be able to write an application
 that talks to clouds no matter what choices the deployer has made then
 shade is for you.
 .
 This package installs the library for Python 3.

Package: python3-shape-msgs
Description-md5: 5cf1c8bc2365ae37f9c8447daaa8b2e1
Description-en: Messages relating to Robot OS shape, Python 3 interface
 This package is part of Robot OS (ROS), and provides messages for
 defining shapes, such as simple solid object primitives (cube,
 sphere, etc), planes, and meshes.
 .
 This package contains the generated Python 3 package.

Package: python3-shapely
Description-md5: 018e7b252607a9dd0d2c2718845e1341
Description-en: geometric objects, predicates, and operations (Python 3)
 Shapely is a package for manipulation and analysis of 2D features.
 Its capabilities include:
  * calculating the point set unions, intersections, or differences of features
  * predicate tests on features: intersects, touches, contains, and more.
 .
 Supported geometric types are points, line strings, linear rings, polygons, and
 homogeneous or heterogeneous collections thereof.
 .
 This is the Python 3 version of the package.

Package: python3-shellescape
Description-md5: bf876433774e72cd2f8ed0994e0b6f61
Description-en: escapes characters to safely assemble command lines
 This python3 library helps to escape a string to safely use it as a token in
 a shell command.
 .
 The shellescape Python module defines the shellescape.quote() function
 that returns a shell-escaped version of a Python string. This is a
 backport of the shlex.quote() function from Python 3.4.3 that makes
 it accessible to users of Python 3 versions < 3.3 and all Python 2.x
 versions.

Package: python3-shodan
Description-md5: 4d1ce651e5b2c98e0eb9047ba2c68e6f
Description-en: Official Python library for Shodan (Python 3)
 Shodan is a search engine for Internet-connected devices. Google lets you
 search for websites, Shodan lets you search for devices. This library provides
 developers easy access to all of the data stored in Shodan in order to
 automate tasks and integrate into existing tools.
 .
 This package installs the library for Python 3.

Package: python3-shortuuid
Description-md5: c1351f2a86d4b5ce7b4fa16fd1d3013b
Description-en: generates concise, unambiguous, URL-safe UUIDs for Python3
 For Python3.
 Often, one needs to use non-sequential IDs in places where users will see them,
 but the IDs must be as concise and easy to use as possible. shortuuid solves
 this problem by generating uuids using Python's built-in uuid module and then
 translating them to base57 using lowercase and uppercase letters and digits,
 and removing similar-looking characters such as l, 1, I, O and 0.

Package: python3-sievelib
Description-md5: a27427a8c5a6ff5be92c720240c52bfc
Description-en: Client-side Sieve and Managesieve library (Python3 version)
 Client-side Sieve and Managesieve library written in Python.
 .
 Sieve:
 Currently, the provided parser supports most of the functionalities described
 in RFC 5228. The only exception concerns section 2.4.2.4. Encoding Characters
 using "encoded-character" which is not supported.
 The following Sieve extensions are also supported:
  * Date and Index (RFC 5260)
  * Vacation (RFC 5230)
 .
 ManageSieve:
 All mandatory commands are supported. The RENAME extension is supported, with a
 simulated behaviour for server that do not support it.
 For the AUTHENTICATE command, supported mechanisms are DIGEST-MD5, PLAIN and
 LOGIN.
 .
 This package contains the Python 3 version of the library.

Package: python3-sigmavirus24-urltemplate
Description-md5: 15ba4e1c1c4803c5effaf948f8868c09
Description-en: Simple Python library to deal with URI Templates - Python 3.x
 It provides several API for URI template parsing
 which implements RFC6570.
 .
 This package installs the library for Python 3.x.

Package: python3-signedjson
Description-md5: a1b3e322da3d79889a579df4e0361066
Description-en: sign JSON with Ed25519 signatures in Python 3
 A module to sign JSON objects with ED25519 signatures.
 .
 * More than one entity can sign the same object.
 * Each entity can sign the object with more than one key making it easier to
   rotate keys
 * ED25519 can be replaced with a different algorithm.
 * Unprotected data can be added to the object under the "unsigned" key.
 .
 This package is for Python 3 only.

Package: python3-silo
Description-md5: 3cbb71f13c91233bd66d8bbe62494262
Description-en: Python3 interface to the SILO Scientific I/O library
 This is a Python3 interface to SILO, a scientific I/O library.
 .
 Silo supports gridless (point) meshes, structured meshes, unstructured-zoo
 and unstructured-arbitrary-polyhedral meshes, block structured AMR meshes,
 constructive solid geometry (CSG) meshes, piecewise-constant
 (e.g. zone-centered) and piecewise-linear (e.g. node-centered) variables
 defined on the node, edge, face or volume elements of meshes as well as
 the decomposition of meshes into arbitrary subset hierarchies including
 materials and mixing materials. In addition, Silo supports a wide variety of
 other useful objects to address various scientific computing application
 needs. Although the Silo library is a serial library, it has some key features
 which enable it to be applied quite effectively and scalable in parallel.

Package: python3-silx
Description-md5: 43650407d23251015503994933dde4bb
Description-en: Toolbox for X-Ray data analysis - Python3
 The silx project aims at providing a collection of Python packages to
 support the development of data assessment, reduction and analysis
 applications at synchrotron radiation facilities. It aims at
 providing reading/writing different file formats, data reduction
 routines and a set of Qt widgets to browse and visualize data.
 .
 The current version provides :
 .
  * reading HDF5 file format (with support of SPEC file format)
  * histogramming
  * fitting
  * 1D and 2D visualization using multiple backends (matplotlib or OpenGL)
  * image plot widget with a set of associated tools (See changelog file).
  * Unified browser for HDF5, SPEC and image file formats supporting inspection
    and visualization of n-dimensional datasets.
  * Unified viewer (silx view filename) for HDF5, SPEC and image file formats
  * OpenGL-based widget to display 3D scalar field with
    isosurface and cutting plane.
 .
 This is the Python 3 version of the package.

Package: python3-silx-dbg
Description-md5: ff20fcd7dd8f85f452ae1f3fa98e7c1d
Description-en: Toolbox for X-Ray data analysis - Python3 debug
 The silx project aims at providing a collection of Python packages to
 support the development of data assessment, reduction and analysis
 applications at synchrotron radiation facilities. It aims at
 providing reading/writing different file formats, data reduction
 routines and a set of Qt widgets to browse and visualize data.
 .
 The current version provides :
 .
  * reading HDF5 file format (with support of SPEC file format)
  * histogramming
  * fitting
  * 1D and 2D visualization using multiple backends (matplotlib or OpenGL)
  * image plot widget with a set of associated tools (See changelog file).
  * Unified browser for HDF5, SPEC and image file formats supporting inspection
    and visualization of n-dimensional datasets.
  * Unified viewer (silx view filename) for HDF5, SPEC and image file formats
  * OpenGL-based widget to display 3D scalar field with
    isosurface and cutting plane.
 .
 This is the Python 3 debug version of the package.

Package: python3-simgrid
Description-md5: b02569d1d127c334a22bac8974dbdb7e
Description-en: Python3 bindings for the SimGrid Toolkit
 SimGrid is a toolkit that provides core functionalities for the simulation of
 distributed applications in heterogeneous distributed environments. SimGrid
 can be used as a Grid simulator, a P2P simulator, a Cloud simulator, a MPI
 simulator, or a mix of all of them. The typical use-cases of SimGrid include
 heuristic evaluation, application prototyping, and real application
 development and tuning.
 .
 This package contains what you need to use SimGrid from the Python
 programming language.

Package: python3-simple-cdd
Description-md5: 4f05b4805c813fc3e99787db6003826a
Description-en: python3 modules for Simple-CDD
 Simple-CDD is a limited though relatively easy tool to create a
 customized debian-installer CD.
 .
 This package includes functions used by simple-cdd to manage the
 environment, manage GPG keys, configure and run reprepro, configure
 and run debian-cd.

Package: python3-simplebayes
Description-md5: 127b925917f7b04f0428014fac038cd3
Description-en: Naïve bayesian text classifier for Python 3
 A memory-based, optional-persistence naïve bayesian text classifier.
 This work is heavily inspired by the Python "redisbayes" module found here:
 https://github.com/jart/redisbayes and https://pypi.python.org/pypi/redisbayes
 This was written to alleviate the network/time requirements when
 using the bayesian classifier to classify large sets of text, or when
 attempting to train with very large sets of sample data.
 .
 This package installs the library for Python 3.

Package: python3-simpleeval
Description-md5: 0aa25bcd8cac055f7b085cd859beb1b8
Description-en: Simple, safe single expression evaluator library (Python 3)
 Quick single file library for easily adding evaluatable expressions into
 Python projects.
 .
 Short, easy to use, safe and reasonably extensible expression evaluator.
 Designed for things like in a website where you want to allow the user to
 generate a string, or a number from some other input, without allowing full
 eval() or other unsafe or needlessly complex linguistics.
 .
 This package is targeting Python version 3.

Package: python3-simplenote
Description-md5: 77738c13c0dac2037db17bd452375914
Description-en: Python API wrapper for the Simplenote web service
 simplenote.py is a Python library for accessing the simplenote.com
 web service.  It provides API methods for getting a list of notes,
 downloading a note, adding a note, updating a note, and
 deleting a note.

Package: python3-simplestreams-openstack
Description-md5: 196474a014dbb68151c55d2f990106c8
Description-en: Library and tools for using Simple Streams data
 This package depends on libraries necessary to use the openstack dependent
 functionality in simplestreams.  That includes interacting with glance,
 swift and keystone.

Package: python3-simpletal
Description-md5: 5df122f5888c1e122c8d9f9610558b2c
Description-en: Simple TAL, TALES and METAL implementation
 SimpleTAL is a reimplementation of the ZOPE TAL (Template Attribute Language),
 TALES (TAL Expression Syntax) and METAL (Macro Expansion for TAL) languages.
 More information and specifications of these languages is available at
 http://www.zope.org/Wikis/DevSite/Projects/ZPT/FrontPage .
 .
 This package contains the Python3-compatible version of simpleTAL.

Package: python3-simpy
Description-md5: a2e8b8124c79cbea58eca49b71f92f6f
Description-en: python-based simulation package (Python3 version)
 SimPy is a process-based discrete-event simulation language based on
 standard Python.
 .
 It provides the modeller with components of a simulation  model.
 These include processes, for active components like customers, messages,
 and vehicles, and resources, for passive components that form limited
 capacity congestion points like servers, checkout counters, and tunnels.
 It also provides monitor variables to aid in gathering statistics.
 SimPy comes with extensive plotting capabilities.
 .
 This package contains the Python3 version of SimPy.

Package: python3-simpy3
Description-md5: d2a4ca2de2036cf4ab5c99ef5f0b2dc1
Description-en: python-based simulation package (Python3 version)
 SimPy is a process-based discrete-event simulation language based on
 standard Python.
 .
 Its event dispatcher is based on Python’s generators and can also be
 used for asynchronous networking or to implement multi-agent systems (with
 both, simulated and real communication). Processes in SimPy are defined by
 Python generator functions and can, for example, be used to model active
 components like customers, vehicles or agents. SimPy also provides various
 types of shared resources to model limited capacity congestion points (like
 servers, checkout counters and tunnels).
 .
 This package contains the Python3 version of SimPy 3.

Package: python3-sip
Description-md5: a95e2a685ffcf3a879eaef1d99367187
Description-en: Python 3/C++ bindings generator runtime library
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 This package provides SIP with support for Python 3

Package: python3-sip-dbg
Description-md5: 878c52bf6c0a73eff6d117b20ce0f002
Description-en: Python 3/C++ bindings generator runtime library (debug extension)
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 This package contains the extension built for the Python 3 debug interpreter,
 and the debugging symbols for python3-sip package.

Package: python3-sip-dev
Description-md5: b9db64c89950f09d0794c4281f76db68
Description-en: Python 3/C++ bindings generator development files
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 SIP was originally designed to generate Python bindings for KDE and so
 has explicit support for the signal slot mechanism used by the Qt/KDE
 class libraries.
 .
 Features:
     - connecting Qt signals to Python functions and class methods
     - connecting Python signals to Qt slots
     - overloading virtual member functions with Python class methods
     - protected member functions
     - abstract classes
     - enumerated types
     - global class instances
     - static member functions.
 .
 This package contains sipdistutils and the development headers needed to
 develop Python 3 bindings with sip.

Package: python3-siphashc
Description-md5: 8d40dda32f84308d5255bb29deaa1651
Description-en: python c-module for siphash, based on floodberry's version
 SipHash is a family of pseudorandom functions (a.k.a. keyed
 hash functions) optimized for speed on short messages. Target
 applications include network traffic authentication and defense
 against hash-flooding DoS attacks.

Package: python3-sireader
Description-md5: 92344cb187e9eca337d5f9a627fdd52c
Description-en: Python module to communicate with SportIdent main stations (Python 3)
 Sireader is a Python module to communicate with a SportIdent main station to
 read out SportIdent cards. SportIdent is an electronic punching system mainly
 used for orienteering events.
 .
 Sireader supports two major operation modes. It can be used for complete card
 readout or in control station mode to send punches from a control. The first
 mode is typically used for card readout after the finish line to read the card
 data into an event software. The second mode is used to get live result from an
 intermediate control.
 .
 This package contains the python3.x version of the library.

Package: python3-siridb-connector
Description-md5: b1ad45a62800fd867929a3b58d5c619d
Description-en: Python3 interface for the SiriDB time series database server
 SiriDB is a scalable, robust and fast time series database. Build from the
 ground up SiriDB uses a mechanism to operate without a global index and allows
 server resources to be added on the fly. SiriDB's query language includes
 dynamic grouping of time series for easy analysis over large amounts of time
 series.
 .
 This package provides the Python3 interface.

Package: python3-skbio
Description-md5: 9caa43e0cee032d2bb48b12de52df499
Description-en: Python3 data structures, algorithms, educational resources for bioinformatic
 Scikit-bio is a Python package providing data structures, algorithms, and
 educational resources for bioinformatics.
 .
 This is the package for Python3

Package: python3-skimage
Description-md5: ef8764eec7113b3de8f31adfea5659c1
Description-en: Python 3 modules for image processing
 scikit-image is a collection of image processing algorithms for
 Python.  It performs tasks such as image loading, filtering,
 morphology, segmentation, color conversions, and transformations.
 .
 This package provides the Python 3 module.

Package: python3-skimage-lib
Description-md5: 6f6ef69a852c74b89c1b1d6eb24b55d9
Description-en: Optimized low-level algorithms for Python 3 scikit-image
 This is an add-on package for python-skimage. It provides
 optimized, low-level implementations of algorithms.
 .
 This package provides the Python 3 libraries.

Package: python3-sklearn
Description-md5: 9cf0eb4ed22f5e5bc313b1554c9d5c58
Description-en: Python modules for machine learning and data mining - Python 3
 scikit-learn is a collection of Python modules relevant to
 machine/statistical learning and data mining.  Non-exhaustive list of
 included functionality:
  - Gaussian Mixture Models
  - Manifold learning
  - kNN
  - SVM (via LIBSVM)
 .
 This package contains the Python 3 version.

Package: python3-sklearn-lib
Description-md5: 81900007286d0bc4d155e1022943f0f5
Description-en: low-level implementations and bindings for scikit-learn - Python 3
 This is an add-on package for python-sklearn. It provides
 low-level implementations and custom Python bindings for the LIBSVM
 library.
 .
 This package contains the Python 3 version.

Package: python3-sklearn-pandas
Description-md5: 6ece1efdb2b686f790ba983a61e1befd
Description-en: Pandas integration with sklearn (Python 3)
 sklearn-pandas provides a bridge between scikit-learn's machine learning
 methods and pandas data frames.
 .
 In particular, it provides:
  - a way to map DataFrame columns to transformations, which are later
    recombined into features
  - a way to cross-validate a pipeline that takes a pandas DataFrame as input.
 .
 This is the Python 3 version of the package.

Package: python3-skytools
Description-md5: 64f4a1f90937a89ae5d4802c73743b55
Description-en: Skytools Python modules
 This is the low-level utility module split out from the old Skytools
 meta-package. It contains various utilities for writing PostgreSQL database
 scripts.
 .
 Features:
  * Support for background scripts - daemonizing - logging - config parsing
  * Database tools - tuned connection - DB structure examining - SQL parsing -
    COPY I/O
  * Time utilities - ISO timestamp parsing - datetime to timestamp
  * Text utilities - natural sort - fast urlencode I/O

Package: python3-sleekxmpp
Description-md5: 716cdda638335848a88a827f5eec9f99
Description-en: XMPP (Jabber) Library Implementing Everything as a Plugin (Python 3.x)
 SleekXMPP is a pet project of an XMPP enthusiast. The goals of the
 project are ease of implementation, and complete draft XEP (XMPP
 Extended Protocol) coverage. Ideally the community will be able to use
 this for bots, easy XEP protocoling, etc.
 .
 This package contains the Python 3.x library.

Package: python3-slepc4py
Description-md5: 31d9f5df02ed1221e1912dc2c204f606
Description-en: Python 3 bindings for SLEPc libraries
 SLEPc is the Scalable Library for Eigenvalue Problem Computations.
 .
 It is based on PETSc and employs the MPI standard for all
 message-passing communication.
 .
 This is a dummy package that depends on python3-slepc4py-real or
 python3-slepc4py-complex. If both are installed then alternatives can
 be used to set the preferred configuration for slepc4py.

Package: python3-slepc4py-complex
Description-md5: a0053335c1fa2961ab5841048b326ac2
Description-en: Python 3 bindings for SLEPc libraries (complex numbers)
 SLEPc is the Scalable Library for Eigenvalue Problem Computations.
 .
 It is based on PETSc and employs the MPI standard for all
 message-passing communication.
 .
 This package provides Python 3 bindings to almost all functions of
 SLEPc with complex number support.

Package: python3-slepc4py-real
Description-md5: 6c810ea764ecc34c8a61163b37122bf1
Description-en: Python 3 bindings for SLEPc libraries (real numbers)
 SLEPc is the Scalable Library for Eigenvalue Problem Computations.
 .
 It is based on PETSc and employs the MPI standard for all
 message-passing communication.
 .
 This package provides Python 3 bindings to almost all functions of
 SLEPc with real number support.

Package: python3-slimit
Description-md5: aa7d75dc8983a3894e2b4aabf4bdf916
Description-en: JavaScript minifier/parser in Python
 SlimIt is a JavaScript minifier written in Python. It compiles JavaScript
 into more compact code so that it downloads and runs faster.
 .
 SlimIt also provides a library that includes a JavaScript parser, lexer,
 pretty printer and a tree visitor.
 .
 This package contains the Python 3 module

Package: python3-slimmer
Description-md5: 36f932bef769821922cb8cd4cc9d3322
Description-en: HTML, XHTML, CSS, JavaScript optimizer for Python3
 Slimmer is a library that optimizes whitespace in CSS, JavaScript, HTML and
 XHTML output.
 .
 This is the Python 3 version of the package.

Package: python3-slip
Description-md5: edbc5c0ee9f40f86c9d4004457a0ec01
Description-en: miscellaneous convenience, extension and workaround code for Python
 The Simple Library for Python packages contain miscellaneous code for
 convenience, extension and workaround purposes.

Package: python3-slip-dbus
Description-md5: dae97eced5d40d9ab115e2a37be9357b
Description-en: convenience functions for D-Bus services
 The Simple Library for Python packages contain miscellaneous code for
 convenience, extension and workaround purposes.

Package: python3-slixmpp
Description-md5: f715958427047f78c36a0bd75d1756e0
Description-en: Threadless, event-based XMPP Python 3 library
 Slixmpp is a (friendly) fork of SleekXMPP, which goal is to “improve” the
 core of the library by entirely removing all threads from the library and
 using an event-based approach instead.
 .
 Features of this library:
  * No threads. See http://blog.louiz.org/slixmpp. All parts of the API relying
    on threads are removed.
  * No support for Python < 3.4. This allows one to remove a lot of workaround
    and other hacks.
  * No backward compatibility with old SleekXMPP version. For example things
    like “addHandler = add_handler” are removed.
 .
 You should use this library only if you would prefer not to use threads in
 your application. If threads don’t really bother you, or if you need Python
 2 support, then you should use SleekXMPP instead.

Package: python3-slixmpp-lib
Description-md5: e0e6cf43e0795c083c5d5e0e4ac1c358
Description-en: Threadless, event-based XMPP Python 3 library (optional binary module)
 Slixmpp is a (friendly) fork of SleekXMPP, which goal is to “improve” the
 core of the library by entirely removing all threads from the library and
 using an event-based approach instead.
 .
 This is an optional package that installs a binary extension module to
 improve performance on critical modules.

Package: python3-slugify
Description-md5: 4fb40a41931522b52b45b00bab24255f
Description-en: Python module that provides tools for slugifying unicode strings
 Python module for slugifying unicode strings.

Package: python3-smartypants
Description-md5: 6d56ea99749122b1431dcf747dbeb8a6
Description-en: smart-quotes plugin for pyblosxom (Python 3 version)
 It can perform the following transformations:
 .
  * Straight quotes ( " and ' ) into "curly" quote HTML entities
  * Backticks-style quotes (``like this'') into "curly" quote HTML entities
  * Dashes (-- and ---) into en- and em-dash entities
  * Three consecutive dots (... or . . .) into an ellipsis entity
 .
 This means you can write, edit, and save your posts using plain old ASCII
 straight quotes, plain dashes, and plain dots, but your published posts
 (and final HTML output) will appear with smart quotes, em-dashes, and
 proper ellipses.
 .
 SmartyPants does not modify characters within <pre>, <code>, <kbd>, <math>
 or <script> tag blocks. Typically, these tags are used to display text
 where smart quotes and other "smart punctuation" would not be appropriate,
 such as source code or example markup.
 .
 This is the Python 3 version of the package.

Package: python3-smbc
Description-md5: cad2d9ccd306d1f8f1bd9f4da246f0a5
Description-en: Python 3 bindings for the Samba client library
 This package contains an extension that allows one to write Python 3 programs
 that can talk to SMB/CIFS servers.

Package: python3-smbus
Description-md5: d071d438b1fd9be7d311228cabbc262f
Description-en: Python 3 bindings for Linux SMBus access through i2c-dev
 This Python 3 module allows SMBus access through the I2C /dev interface on
 Linux hosts.  The host kernel must have I2C support, I2C device interface
 support, and a bus adapter driver.

Package: python3-smclib
Description-md5: 34604ca8d479a9fcc5ffb661fec24c59
Description-en: Robot OS 'bond' State Machine Compiler Python 3 package
 The State Machine Compiler (SMC) from http://smc.sourceforge.net/
 converts a language-independent description of a state machine
 into the source code to support that state machine.
 .
 This package contains the Python 3 interface.

Package: python3-smmap
Description-md5: abba2884120e9ba0524ea6be86679461
Description-en: pure Python implementation of a sliding window memory map manager
 Smmap wraps an interface around mmap and tracks the mapped files as well
 as the amount of clients who use it. If the system runs out of resources,
 or if a memory limit is reached, it will automatically unload unused maps
 to allow continued operation.
 .
 This package for Python 3.

Package: python3-smoke-zephyr
Description-md5: 4966f75f37bdd08155b54d30deb43696
Description-en: Python utility collection
 This package contains a module of Python utility collection.
 .
 This package contains the Python 3 version of smoke-zephyr.

Package: python3-smstrade
Description-md5: 44a43f57cbbe6bf62f0011e361dc3651
Description-en: Python library to send SMS via the smstrade service (Python 3)
 python-smstrade lets you send SMS via and retrieve your account balance from
 the service of smstrade.eu.
 .
 The package comes with two command line utilities smstrade_send and
 smstrade_balance to access the library functionality from the command line.
 .
 The package may be used for alerting in monitoring applications or other
 scenarios where sending SMS might be useful.
 .
 This is the Python 3 version of the package.

Package: python3-snappy
Description-md5: 43dfb4e0f5d4a8786c26e75e25afdf34
Description-en: snappy compression library from Google - Python 3.x
 Snappy is a compression/decompression library. It does not aim for
 maximum compression, or compatibility with any other compression
 library; instead, it aims for very high speeds and reasonable
 compression. You can read package libsnappy1 for more information.
 .
 This package provides the Python 3.x module.

Package: python3-sniffio
Description-md5: 63f4757fc5257d916224b670c525ba0a
Description-en: detect which async Python library is in use
 Python libraries that support multiple async packages (like Trio, asyncio,
 etc) need to know which is in use. This library provides this information.

Package: python3-snimpy
Description-md5: 39ed4ace7124124186ac97d4c7df992d
Description-en: high-level SNMP bindings for Python 3
 Snimpy is a Python-based tool providing a simple interface to build
 SNMP queries. This interface aims at being the most Pythonic
 possible: you grab scalars using attributes and columns are like
 dictionaries.
 .
 Snimpy can either be used interactively through its console (derived
 from Python own console or from IPython if available) or by writing
 snimpy scripts which are just Python scripts with some global
 variables available.
 .
 This package contains the binding for Python 3.

Package: python3-snowballstemmer
Description-md5: e22dfe2552bd7ef21606d10688da430c
Description-en: Pure Python 3 Snowball stemming library
 Snowball stemming library collection for Python 3, provides 16 stemmer
 algorithms (15 + Poerter English stemmer) generated from Snowball algorithms.
 It includes following language algorithms:
  - Danish
  - Dutch
  - English (Standard, Porter)
  - Finnish
  - French
  - German
  - Hungarian
  - Italian
  - Norwegian
  - Portuguese
  - Romanian
  - Russian
  - Spanish
  - Swedish
  - Turkish

Package: python3-snuggs
Description-md5: 4a6be795afccbb4cba3dd500b16c84b7
Description-en: S-expressions for numpy - Python 3 version
 This library parses S-expressions using numpy. Available function include
 arithmetic and logical operators. Also members of the numpy module such as
 "asarray()", "mean()" and "where" are available.
 .
 This package provides the Python 3 version of the library.

Package: python3-soapysdr
Description-md5: c15e4cf67889063afc82a225f1ef7a2a
Description-en: SoapySDR Python 3 bindings
 SoapySDR is a library providing a common interface to SDR (software
 defined radio) hardware. Support for different hardware is added through
 external modules.
 .
 This package contains the Python 3 bindings for the library.

Package: python3-social-auth-core
Description-md5: 8c16f2c52044595e11d9216c4692824e
Description-en: This is the core component of the python-social-auth ecosystem
 Python Social Auth is an easy to setup social authentication/registration
 mechanism with support for several frameworks and auth providers.
 .
 This is the core component of the python-social-auth ecosystem, it
 implements the common interface to define new authentication backends to
 third parties services, implement integrations with web frameworks and
 storage solutions.

Package: python3-social-django
Description-md5: 63b9d9e7e29c3559b1fe721445510af4
Description-en: This is the Django component of the python-social-auth ecosystem
 Python Social Auth is an easy to setup social authentication/registration
 mechanism with support for several frameworks and auth providers.
 .
 This is the Django component of the python-social-auth ecosystem, it
 implements the needed functionality to integrate social-auth-core in a Django
 based project.

Package: python3-socketio
Description-md5: 38d71210c1d55273fa19f2ce04486f01
Description-en: python3 implementation of the Socket.IO realtime client and server
 Socket.IO is a transport protocol that enables real-time bidirectional
 event-based communication between clients (typically, though not always,
 web browsers) and a server. The official implementations of the client and
 server components are written in JavaScript. This package provides Python
 implementations of both, each with standard and asyncio variants.
 .
 Client Features:
 .
 Can connect to other Socket.IO compliant servers besides the one in this
 package.
 Compatible with Python 3.5+.
 Two versions of the client, one for standard Python and another for asyncio.
 Uses an event-based architecture implemented with decorators that hides the
 details of the protocol.
 Implements HTTP long-polling and WebSocket transports.
 Automatically reconnects to the server if the connection is dropped.
 .
 Server Features:
 .
 Can connect to servers running other compliant Socket.IO clients besides the
 one in this package.
 Compatible with Python 3.5+.
 Two versions of the server, one for standard Python and another for asyncio.
 Supports large number of clients even on modest hardware due to being
 asynchronous.
 Can be hosted on any WSGI and ASGI web servers includind Gunicorn, Uvicorn,
 eventlet and gevent.
 Can be integrated with WSGI applications written in frameworks such as
 Flask, Django, etc.
 Can be integrated with aiohttp, sanic and tornado asyncio applications.
 Broadcasting of messages to all connected clients, or to subsets of them
 assigned to rooms.
 Optional support for multiple servers, connected through a messaging queue
 such as Redis or RabbitMQ.
 Send messages to clients from external processes, such as Celery workers or
 auxiliary scripts.
 Event-based architecture implemented with decorators that hides the details
 of the protocol.
 Support for HTTP long-polling and WebSocket transports.
 Support for XHR2 and XHR browsers.
 Support for text and binary messages.
 Support for gzip and deflate HTTP compression.
 Configurable CORS responses, to avoid cross-origin problems with browsers.

Package: python3-socketio-client
Description-md5: cf00bfed3394529d95c483061bff6d7a
Description-en: socket.io-client library for Python3
 This package contains a socket.io client library
 for Python3.
 .
 You can use it to write test code against your
 socket.io server.

Package: python3-socketpool
Description-md5: ad295fc5de0c2e71bb8648060f1d086b
Description-en: simple Python 3 socket pool
 Socket pool is a simple socket pool that supports multiple factories and
 backends. It can easily be used by gevent, eventlet or any other library.
 .
 This package is for Python 3.

Package: python3-socks
Description-md5: f08bb6329e7368f66b61bf4ec4b5c068
Description-en: Python 3 SOCKS client module
 This module was designed to allow developers of Python
 software that uses the Internet or another TCP/IP-based
 network to add support for connection through a SOCKS proxy
 server with as much ease as possible.
 .
 The module is also knowns as SocksiPy or PySocks.
 .
 This is the Python 3 version.

Package: python3-socksipychain
Description-md5: 899c60dcaaaef03fa2e5f6b78a8e9c52
Description-en: Python SOCKS/HTTP/SSL chaining proxy module
 This Python module allows you to create TCP connections through a chain
 of SOCKS or HTTP proxies without any special effort. It also supports
 TLS/SSL encryption if the OpenSSL modules are installed.

Package: python3-softlayer
Description-md5: d0507720cd79fd9234c2b2056bdacee9
Description-en: Python client for SoftLayer API (Python 3)
 This library provides a simple interface to interact with SoftLayer's
 XML-RPC API and provides support for many of SoftLayer API's features
 like object masks and a command-line interface that can be used to
 access various SoftLayer services using the API.
 .
 This is the Python 3 version of the package.

Package: python3-solv
Description-md5: b1c78461e201c9c6d02241e841ff5659
Description-en: dependency solver using a satisfiability algorithm (Python3 bindings)
 This dependency solver code in this package is based on two major, but
 independent, blocks:
 .
 1. Using a dictionary approach to store and retrieve package
    and dependency information.
 2. Using satisfiability, a well known and researched topic, for
    resolving package dependencies.
 .
 This package contains the Python (v3) bindings for the libsolv0 library.

Package: python3-sop
Description-md5: 635c9c3fdb720935064ffd49e4cb8fd0
Description-en: Framework for implementing the Stateless OpenPGP CLI in Python
 The Stateless OpenPGP Command-Line Interface (or `sop`) is a
 specification that encourages OpenPGP implementors to provide a
 common, relatively simple command-line API for purposes of object
 security.
 .
 This Python module helps implementers build such a CLI from any
 implementation accessible to the Python interpreter.
 .
 This package does *not* provide such an implementation itself -- this
 is just the scaffolding for the command line, which should make it
 relatively easy for an implementer to supply a handful of Python
 functions as methods of a class and get a full-fledged CLI as the
 result.

Package: python3-sorl-thumbnail
Description-md5: 4acd2f2e82ce017a71fbebb5d1c3d0c3
Description-en: thumbnail support for the Django framework (Python3 version)
 sorl-thumbnail provides a convenient way to create and manage image thumbnails
 in a Django project. It offers integration into several parts of Django like
 the admin system by showing thumbnails for ImageFields or through a ImageField
 that also takes care of deleting thumbnail files when the referencing object
 is removed.
 .
 Other features include:
  * Django storage support
  * Pluggable Engine support (PIL, pgmagick, ImageMagick, GraphicsMagick,
    or Wand)
  * Pluggable Key Value Store support (Django cache, redis)
  * Pluggable Backend support (i.e. different thumbnail filename schema)
  * Dummy generation (placeholders)
  * Flexible, simple syntax, generates no html
  * CSS style cropping options
  * Margin calculation for vertical positioning
 .
 This package contains the Python 3 version of the library.

Package: python3-sortedcollections
Description-md5: a067f89d88160e4f302c664be9d5c8f0
Description-en: Python 3 Sorted Collections
 SortedCollections is an Apache2 licensed Python sorted collections library.
 .
 Features
 --------
 .
   - Pure-Python
   - Depends on the SortedContainers module.
   - ValueSortedDict - Dictionary with (key, value) item pairs sorted by value.
   - ItemSortedDict - Dictionary with key-function support for item pairs.
   - OrderedDict - Ordered dictionary with numeric indexing support.
   - OrderedSet - Ordered set with numeric indexing support.
   - IndexableDict - Dictionary with numeric indexing support.
   - IndexableSet - Set with numeric indexing support.
 .
 This contains the Python 3 module

Package: python3-sortedm2m
Description-md5: 0217d78478a83172f3154b5f1c0d110d
Description-en: Replacement for Django's many to many field with sorted relations (Python 3)
 sortedm2m is a drop-in replacement for Django's own ManyToManyField. The
 provided SortedManyToManyField behaves like the original one, but remembers
 the order of added relations.
 .
 This is the Python 3 version of the package.

Package: python3-soundfile
Description-md5: f71df65ea4cd9dd7afcae367eaf3ee7a
Description-en: Python 3 audio module based on libsndfile
 PySoundFile can read and write sound files in a variety of formats and eases
 the application of signal processing algorithms.
 File reading/writing is supported through libsndfile.
 By default, PySoundFile represents audio data as NumPy arrays, but ordinary
 Python buffers are supported as well.
 .
 This package provides the Python 3.x module.

Package: python3-spake2
Description-md5: 023576bf642de014e8dbfa8f789f2929
Description-en: SPAKE2 password-authenticated key exchange (pure python)
 This library implements the SPAKE2 password-authenticated key
 exchange ("PAKE") algorithm. This allows two parties, who share a
 weak password, to safely derive a strong shared secret (and therefore
 build an encrypted+authenticated channel).
 .
 A passive attacker who eavesdrops on the connection learns no
 information about the password or the generated secret. An active
 attacker (man-in-the-middle) gets exactly one guess at the password,
 and unless they get it right, they learn no information about the
 password or the generated secret. Each execution of the protocol
 enables one guess. The use of a weak password is made safer by the
 rate-limiting of guesses: no off-line dictionary attack is available
 to the network-level attacker, and the protocol does not depend upon
 having previously-established confidentiality of the network (unlike
 e.g. sending a plaintext password over TLS).
 .
 The protocol requires the exchange of one pair of messages, so only
 one round trip is necessary to establish the session key. If
 key-confirmation is necessary, that will require a second round
 trip.

Package: python3-sparqlwrapper
Description-md5: 88f17085453ccb0b8ac77022b119e1f9
Description-en: SPARQL endpoint interface to Python3
 This is a wrapper around a SPARQL service. It helps in
 creating the query URI and, possibly, convert the
 result into a more manageable format.
 .
 This is the Python 3 version of the package.

Package: python3-sparse
Description-md5: 1562b1a9e9bf72cd7e4a98f4c276b81c
Description-en: multidimensional sparse arrays for Python
 This package implements sparse multidimensional arrays on top of NumPy
 and scipy.sparse. It generalizes the scipy.sparse.coo_matrix layout but
 extends beyond just rows and columns to an arbitrary number of
 dimensions. The original motivation is for machine learning algorithms,
 but it is intended for somewhat general use.
 .
 It supports:
 .
   - NumPy ufuncs (where zeros are preserved)
   - Arithmetic with scalars (where zeros are preserved)
   - Reductions (sum, max)
   - Reshape
   - Transpose
   - Tensordot
   - Slicing with integers, lists, and slices (with no step value)
   - Concatenation and stacking
   - Addition with other sparse arrays of the same shape
 .
 This package provides the modules for Python 3.

Package: python3-spectra
Description-md5: cddc9cf3ec42aa7b8fea68e0650c9ddf
Description-en: Easy color scales and color conversion for Python (Python 3 version)
 Spectra is a Python library that makes color math, color scales, and
 color-space conversion easy. Support for: Color scales, Color ranges, Color
 blending, Brightening/darkening colors, Saturating/desaturating colors,
 Conversion to/from multiple color spaces.
 .
 This package contains the Python 3 version of the library.

Package: python3-spectral-cube
Description-md5: b5e9fc227b9f5dd7c0cd2db37a57b0dc
Description-en: Manipulate astronomical data cubes with Python
 The spectral-cube package provides an easy way to read, manipulate,
 analyze, and write data cubes with two positional dimensions and one
 spectral dimension, optionally with Stokes parameters. It aims to be a
 versatile data container for building custom analysis routines.

Package: python3-specutils
Description-md5: 4031e14aacb2a54a1f098d8a72727ded
Description-en: Base classes and utilities for astronomical spectra in Python
 The specutils package implements base classes and utilities for
 interacting with astronomical spectra in Python and the Astropy
 project. It is intended for eventual merger with the astropy package,
 but for now is being developed independently.

Package: python3-speg
Description-md5: 7420e21cbbb3fe13f629fa06989b5693
Description-en: PEG-based parser interpreter with memoization
 A parser and interpreter based on parsing expression grammar PEG.

Package: python3-spf
Description-md5: 4d72336c1804d9cfbacf3c008789ffe4
Description-en: sender policy framework (SPF) module for Python 3
 This module provides SPF (Sender Policy Framework) for Python 3.  It is RFC
 7208 compliant.
 .
 For more information about SPF see http://www.openspf.org/

Package: python3-spf-engine
Description-md5: 866c8bd567d3dfe3143a0937d6758cee
Description-en: Sender Policy Framework (SPF) processing module
 spf-engine provides the core processing for postfix-policyd-spf-python and
 pyspf-milter.  It is not meant to be installed independently.

Package: python3-spglib
Description-md5: 436e34035462634fac747b0b17acd827
Description-en: C library for crystal symmetry determination - Python3 bindings
 Spglib is a C library for crystal symmetry determination. Symmetry
 operations, space groups and other data can be obtained using this
 symmetry finder.
 .
 Features include:
 .
  * Identify space-group type
  * Find symmetry operations
  * Find a primitive cell
  * Search irreducible k-points
  * Refine crystal structure
  * Wyckoff position assignment
 .
 This package contains the Python3 bindings.

Package: python3-sphere
Description-md5: b96a5bfeb22be663ae566ebb079b38f7
Description-en: Python3 interface to the spherepack scientific library.
 This is a python3 interface to spherepack, a library for geophysical processes.

Package: python3-sphinx-argparse
Description-md5: a0a149a5908b0edb96c68b124bb22677
Description-en: Sphinx extension for argparse commands and options (Python 3)
 sphinx-argparse is an extension for Sphinx (Python documentation generator)
 that allows one to generate documentation for command line tools using argparse
 (command-line parsing library) automatically.
 .
 This package contains the extension for Sphinx running on Python 3.

Package: python3-sphinx-astropy
Description-md5: 53b6f84fd525c12e025c3456982ed8ee
Description-en: Sphinx extensions and configuration specific to the Astropy project
 This package serves two purposes: it provides a default Sphinx
 configuration and set of extensions specific to the Astropy project,
 and it acts as a metapackage by installing all required Sphinx
 extensions for the core Astropy package and other packages.

Package: python3-sphinx-autobuild
Description-md5: 7142d25085d5b9fc0b2417b59050ea78
Description-en: Automatically rebuild Sphinx documentation
 Sphinx autobuild watches a Sphinx directory and rebuild the documentation when
 a change is detected. Also includes a livereload enabled web server.

Package: python3-sphinx-autodoc-typehints
Description-md5: d0c70c082a513c9310561648da901a0e
Description-en: Type hints support for the Sphinx autodoc extension
 This extension allows you to use Python 3 annotations for documenting
 acceptable argument types and return value types of functions.
 .
 It listens to the autodoc-process-signature and autodoc-process-docstring
 Sphinx events in order to strip annotations and inject appropriate directives.

Package: python3-sphinx-automodapi
Description-md5: fd3afaf1d21c064ccadba29ec9bca32b
Description-en: Sphinx extension for auto-generating API documentation for modules
 This is a Sphinx extension to automatically generate API pages for whole
 modules. It was originally developed for the Astropy project but is now
 available as a standalone package since it can be used for any other
 package.

Package: python3-sphinx-autorun
Description-md5: 4e53f513036d5741268287c96e001841
Description-en: Code execution extension for Sphinx (Python 3)
 sphinx_autorun is an extension for Sphinx that can execute the code from a
 runblock directive and attach the output of the execution to the document.
 .
 This package contains the extension for Sphinx running on Python 3.

Package: python3-sphinx-bootstrap-theme
Description-md5: e5ec7afc0c664d4dc2c1b97aa53821e5
Description-en: bootstrap theme for Sphinx (Python 3)
 This Sphinx theme integrates the Bootstrap CSS / JavaScript framework with
 various layout options, hierarchical menu navigation, and mobile-friendly
 responsive design. It is configurable, extensible and can use any number of
 different Bootswatch CSS themes.
 .
 This package contains the Python 3 version of sphinx-bootstrap-theme

Package: python3-sphinx-celery
Description-md5: 25be76324f9075f1b2a971390d1fa313
Description-en: Sphinx Celery theme
 This package provides the Celery sphinx theme and common Sphinx utilities,
 including:
 .
  * Autodoc coverage checker builder extension - makes sure all modules in the
    documented package are represented in the autodoc API reference
  * Configuration Reference Checker builder extension - makes sure all settings
    in the documented package are represented in the configuration reference

Package: python3-sphinx-click
Description-md5: dd0017ad0fb0eb88f10327c55134f7da
Description-en: Sphinx plugin to automatically document click-based applications
 sphinx-click is a Sphinx plugin that allows you to automatically
 extract documentation from a click-based application and include it
 in your docs.
 .
 This package installs the library for Python 3.

Package: python3-sphinx-copybutton
Description-md5: 40aa6cef68ed11c1316ebeac80169a1f
Description-en: sphinx extension to add a "copy" button to code blocks
 Sphinx-copybutton does one thing: add little “copy” button to the right of
 your code blocks. That’s it!
 .
 This package installs the library for Python 3.

Package: python3-sphinx-feature-classification
Description-md5: 1e022a67f021ba9b0854efbcda6ebe10
Description-en: generate a matrix of pluggable drivers and their support to an API
 This package contains a Sphinx directive that allows creating matrices of
 drivers a project contains and which features they support. The directive
 takes an INI file with specific syntax explained in the usage documentation
 to generate the matrices, in which projects have the authority to say what is
 supported within their own repository.
 .
 This package contains the Python 3.x module.

Package: python3-sphinx-gallery
Description-md5: c590c2aac3dbf6dfebf3909fdbcd14dc
Description-en: extension that builds an HTML gallery of examples from Python scripts (Python 3)
 * Simple examples that run out of the box are the best way to learn a library
 * Pleasing, organized, visual layouts
 * Links, searching, backlinks throughout examples and documentation
 .
 This package contains the Python 3 version of sphinx-gallery.

Package: python3-sphinx-issues
Description-md5: 4ca3aeb2c49c99e821e0a4fcd631a029
Description-en: extension for linking to project's issue tracker
 A Sphinx extension for linking to your project's issue tracker. Includes roles
 for linking to issues, pull requests, user profiles, with built-in support for
 GitHub (though this works with other services).

Package: python3-sphinx-paramlinks
Description-md5: f25608875c6bf71d2410506a9f858bc0
Description-en: Sphinx extension to make param links linkable (Python 3 version)
 Sphinx extension which allows :param: directives within Python documentation
 to be linkable.
 .
 Features:
 .
  * :param: directives within Sphinx function/method descriptions will be
    given aparagraph link so that they can be linked to externally.
  * a new text role :paramref: is added, which works like :meth:, :func:, etc.
 .
 This package contains Python 3.x version of sphinx_paramlinks module.

Package: python3-sphinx-rtd-theme
Description-md5: 682228ce8adf96cca247ed39e329b2f6
Description-en: sphinx theme from readthedocs.org (Python 3)
 This mobile-friendly sphinx theme was initially created for readthedocs.org,
 but can be incorporated in any project.
 .
 Among other things, it features a left panel with a browseable table of
 contents, and a search bar.
 .
 This is the Python 3 version of the package.

Package: python3-sphinx-testing
Description-md5: 2c0353e5910b176fed09362d91c6ff39
Description-en: testing utility for Sphinx extensions for Python3
 For Python3.
 sphinx-testing provides testing utility classes and functions for Sphinx
 extensions.
 See also pydoc sphinx_testing.

Package: python3-sphinxbase
Description-md5: 80b9f985a0e74ce4ea0a928729603019
Description-en: Speech recognition tool - Python3 bindings
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains Python3 bindings for libsphinxbase.

Package: python3-sphinxcontrib-asyncio
Description-md5: 2d291368137317c8af8a12fa1cd4da84
Description-en: sphinx extension to support coroutines in markup
 Sphinx extension for adding asyncio-specific markups
 such as cofunction or async-for
 .
 This contains the Python 3 version.

Package: python3-sphinxcontrib-pecanwsme
Description-md5: ca9e4d88089478e5579ff2b1a5d36484
Description-en: documenting APIs built with Pecan and WSME - Python 3.x
 sphinxcontrib.pecanwsme is an extension to Sphinx for documenting APIs built
 with Pecan and WSME.
 .
 The Pecan Python module is a WSGI object-dispatching web framework designed to
 be lean and fast with few dependencies. Pecan comes bundled with a lightweight
 WSGI development server based on Python's wsgiref.simpleserver. Pecan
 applications also come with an interactive Python shell which can be used to
 execute expressions in an environment very similar to the one your application
 runs in (using the "pecan shell" command).
 .
 WSGI is the "Web Server Gateway Interface". It defines a simple and universal
 interface between web servers and web applications or frameworks for the
 Python programming language.
 .
 Web Service Made Easy (WSME) simplify the writing of REST web services by
 providing simple yet powerful typing which removes the need to directly
 manipulate the request and the response objects.
 .
 This package provides the Python 3.x module.

Package: python3-sphinxcontrib.actdiag
Description-md5: e91ab83c90c8a183bc5f85a272577ff2
Description-en: Sphinx "actdiag" extension for Python3
 This package contains the actdiag Sphinx extension. This extension enable you
 to insert activity diagrams in your Sphinx document. This is a sphinx
 extension which render block diagrams by using python3-actdiag.

Package: python3-sphinxcontrib.apidoc
Description-md5: 0bc65b0e831d4c92fcf9f04e0fda1cf3
Description-en: Sphinx extension for running 'sphinx-apidoc' on each build - Python 3.x
 sphinx-apidoc is a tool for automatic generation of Sphinx sources that, using
 the autodoc sphinx_autodoc extension, documents a whole package in the style
 of other automatic API documentation tools. sphinx-apidoc does not actually
 build documentation - rather it simply generates it. As a result, it must be
 run before sphinx-build.
 .
 This package contains the Python 3.x module.

Package: python3-sphinxcontrib.autoprogram
Description-md5: 591cb88701946c1d2649d5e01ea5ab38
Description-en: automated documentation of CLI programs for Sphinx (Python 3)
 This contrib extension, sphinxcontrib.autoprogram, provides an automated way
 to document CLI programs. It scans argparse.ArgumentParser object, and then
 expands it into a set of .. program:: and .. option:: directives.
 .
 In order to use it, add sphinxcontrib.autoprogram into extensions list of your
 Sphinx configuration file (conf.py)
 .
 This package contains the Python 3 version.

Package: python3-sphinxcontrib.bibtex
Description-md5: b4028db84e9ca205f848f090769eb080
Description-en: Sphinx extension for BibTeX style citations
 This extension allows BibTeX citations to be inserted into documentation
 generated by Sphinx, via a bibliography directive, and a cite role, which work
 similarly to LaTeX’s thebibliography environment and \cite command.
 .
 This package provides the modules for Python 3.

Package: python3-sphinxcontrib.blockdiag
Description-md5: 45321c1135247b557904e1a3b0bcc812
Description-en: Sphinx "blockdiag" extension for Python 3
 For Python3.
 This package contains the blockdiag Sphinx extension. This extension enable
 you to insert block diagrams in your Sphinx document. This renders block
 diagrams by using python3-blockdiag.

Package: python3-sphinxcontrib.httpdomain
Description-md5: 48b0d23fb775a438071fe3e930973c18
Description-en: Sphinx domain for HTTP APIs - Python 3.x
 This contrib extension, sphinxcontrib.httpdomain provides a Sphinx domain for
 describing RESTful HTTP APIs. It generates RESTful HTTP API reference
 documentation from a Flask application’s routing table, similar to
 sphinx.ext.autodoc.
 .
 This package provides the Python 3.x module.

Package: python3-sphinxcontrib.nwdiag
Description-md5: 27246fb1e4c894d632f40a4458a4a647
Description-en: Sphinx "nwdiag" extension for Python 3
 This package contains the nwdiag Sphinx extension. This extension enable
 you to insert network diagrams in your Sphinx document. This renders block
 diagrams by using python3-nwdiag.

Package: python3-sphinxcontrib.plantuml
Description-md5: f502041a994193019aa59f944bf85aa5
Description-en: PlantUML extension for Sphinx - Python 3.x
 Once you enable this extension, a very simple string like this:
  "Alice -> Bob: Hi!"
 will create a nice UML schema. WIth PlantUML, you can specify things like
 height, width, scale, caption and so on. For details, please see PlantUML
 documentation at: http://plantuml.sourceforge.net/.
 .
 This package contains the Python 3.x module.

Package: python3-sphinxcontrib.programoutput
Description-md5: 7465e2a1c52555368fc240ecf896ab4a
Description-en: insert the output of arbitrary commands into documents - Python 3.x
 sphinxcontrib-programoutput is a Sphinx extension to literally insert the
 output of arbitrary commands into documents, helping you to keep your command
 examples up to date.
 .
 This package provides the Python 3.x module.

Package: python3-sphinxcontrib.restbuilder
Description-md5: 60c2915be46d67fdf0818b48853e4f8a
Description-en: extension to build reST (reStructuredText) files with Sphinx (Python 3)
 This extension is in particular useful to use in combination with the autodoc
 extension. In this combination, autodoc generates the documentation based on
 docstrings, and restbuilder outputs the result are reStructuredText (.rst)
 files.
 .
 In order to use it, add sphinxcontrib.restbuilder into the extensions list of
 your Sphinx configuration file (conf.py)
 .
 This package contains the Python 3 version.

Package: python3-sphinxcontrib.rubydomain
Description-md5: a8a43444bd30782f24b80834c1747b59
Description-en: Ruby domain for HTTP APIs - Python 3.x
 This contrib extension, sphinxcontrib.rubydomain provides a Ruby domain
 to write Ruby documents.
 .
 This package provides the Python 3.x module.

Package: python3-sphinxcontrib.seqdiag
Description-md5: 42124d1484bf3e3d98638dc6a91eface
Description-en: Sphinx "seqdiag" extension for Python3
 This package contains the seqdiag Sphinx extension. This extension enable you
 to insert sequence diagrams in your Sphinx document. This renders block
 diagrams by using python3-seqdiag.

Package: python3-sphinxcontrib.spelling
Description-md5: bc2ed0a79b8b555d0790cdb3646bbdf9
Description-en: Sphinx "spelling" extension (Python 3)
 This package contains the spelling extension for the Sphinx documentation
 system.
 The extension enables a spelling checker which uses PyEnchant to produce a
 report showing misspelled words.
 .
 The extension also:
  - Supports multiple source languages using the standard enchant
    dictionaries.
  - Supports project-specific dictionaries for localized jargon and other
    terminology that may not appear in the global dictionaries.
  - Suggests alternatives to words not found in the dictionary, when
    possible.
 .
 This package contains the Python 3 version of the extension.

Package: python3-sphinxcontrib.svg2pdfconverter
Description-md5: 7ca6048e40dbf32084ea7ce85745aeb7
Description-en: Sphinx SVG to PDF Converter Extension
 This extension converts SVG images to PDF in case the builder
 does not support SVG images natively (e.g. LaTeX).
 .
 Internally, either Inkscape or rsvg-convert from libRSVG as a more
 lightweight alternative is used to convert images.
 .
 This package contains the Python 3.x module.

Package: python3-sphinxcontrib.websupport
Description-md5: 84e6c928a5356538923a6f7639bb3ee9
Description-en: API to integrate Sphinx documentation into Web applications (Python 3)
 This module provides a means for integrating documentation built with Sphinx
 into web applications. It supports comments, storage (with SQLAlchemy), and
 search engines (whoosh and xapian).
 .
 This is the Python 3 version of sphinxcontrib-websupport.

Package: python3-sphinxcontrib.youtube
Description-md5: 895db1660f9c7529f035ce9de0847ab2
Description-en: Sphinx "YouTube" extension
 This package contains the YouTube Sphinx extension. This extension enables
 you to insert YouTube videos in your Sphinx documents.
 .
 This is the Python 3 package.

Package: python3-sphinxtesters
Description-md5: e75bede4d3c752e310b64c7a7bfe6f4a
Description-en: utilities for testing Sphinx extensions - Python 3
 Sphinxtesters is a collection of utilities useful to test Sphinx extensions.
 .
 This package contains the Python 3 version of sphinxtesters.

Package: python3-spoon
Description-md5: 799c7a5bd89d20c1ad12e36e076307c4
Description-en: Simple to use pre-forking server interface
 Spoon is an easy to use pre-forking server interface. It provides
 interfaces for UDP/TCP servers that can handle requests and can be stopped
 or reloaded, as well as interfaces for daemonizing tools.

Package: python3-spur
Description-md5: 30b010f7c56100b7ec8299e7775f440a
Description-en: Run commands easily over SSH (Python3)
 With this Python library you can run commands and manipulate files locally
 or over SSH using the same interface. It offers a much easier-to-use API than
 alternatives such as python-paramiko
 .
 This is the Python3 version of the library.

Package: python3-spyder
Description-md5: ad77c4413abb240406720bb8ebf1fe10
Description-en: public modules for spyder (Python 3)
 Originally written to design Spyder (the Scientific PYthon
 Development EnviRonment), the spyderlib Python library provides
 ready-to-use pure-Python widgets: source code editor with syntax
 highlighting and code introspection/analysis features, NumPy array
 editor, dictionary editor, Python console, etc. It's based on the Qt
 Python binding module PyQt4 (and is compatible with PySide since
 v2.2).
 .
 This package provides the modules for Python 3.

Package: python3-spyder-kernels
Description-md5: e79231e2aff1c874e45ffac6ce241052
Description-en: Jupyter kernels for the Spyder console - Python 3
 Jupyter Kernels for the Spyder console
 .
 This package provides the modules for Python 3.

Package: python3-spyder-memory-profiler
Description-md5: 2fb9cf37ca4b6548c3f19a22ac9aaed9
Description-en: memory profiling plugin for the Spyder IDE
 This is a plugin for the Spyder IDE that integrates the Python memory
 profiler. It allows you to see the memory usage in every line.
 .
 Add a `@profile` decorator to the functions that you wish to profile then
 press Ctrl+Shift+F10 to run the profiler on the current script, or go to
 `Run > Profile memory line by line`.
 .
 The results will be shown in a dockwidget, grouped by function. Lines with a
 stronger color have the largest increments in memory usage.

Package: python3-sql
Description-md5: 828954704eade1e6d08e416c49835e1c
Description-en: Library to write SQL queries (implemented in Python 3)
 python-sql is a library to write SQL queries in a pythonic way. It relies
 exclusively on the Python standard library. It is database independent,
 doesn't require the declaration of tables and allows one to manipulate the
 generated queries.
 .
 To make real use of this package you will have to install a database
 connector.
 .
 This package is targeting Python version 3.

Package: python3-sqlalchemy-i18n
Description-md5: 77242bc5184577ea24ad87774887c67e
Description-en: Internationalization extension for SQLAlchemy models
 Extend SQLAlchemy models to include translations in different languages.
 .
 Each model can have an associated model that contains translatable fields.
 .
 Translatable attributes are available in the current locale via a hybrid
 property on the base model.

Package: python3-sqlalchemy-utils
Description-md5: ac124105a2b5554f8a469cb49a05285e
Description-en: various utility functions for SQLAlchemy - Python 3.x
 Various utility functions and custom data types for SQLAlchemy.
 .
 SQLAlchemy is an SQL database abstraction library for Python.
 .
 This package contains the Python 3.x module.

Package: python3-sqlobject
Description-md5: 06121b601158721e01f3226cf1f61b0e
Description-en: Python 3 object relational manager providing an object interface to databases
 SQLObject is an object-relational mapper. It allows you to translate RDBMS
 table rows into Python objects, and manipulate those objects to transparently
 manipulate the database.
 .
 In using SQLObject, you will create a class definition that will describe how
 the object connects to the database (in addition to any other methods you may
 wish to add to the class). SQLObject will produce the code to access the
 database, and update the database with your changes. The interface to the
 database is meant to be indistinguishable from other interfaces you may add
 to the object.
 .
 SQLObject also includes a novel feature to generate WHERE clauses using
 Python syntax and objects (instead of generating SQL using string
 substitution, as is traditional).
 .
 This is the Python 3 version of SQLObject.

Package: python3-sqlsoup
Description-md5: 8adbdee7a7849e84cbeacc0e2a92f4d2
Description-en: one step database access tool for Python3, built on the SQLAlchemy ORM
 SQLSoup provides a convenient way to map Python objects to relational
 database tables, with no declarative code of any kind. It's built on
 top of the SQLAlchemy ORM and provides a super-minimalistic interface
 to an existing database.
 .
 This package provides support for Python3.

Package: python3-sqt
Description-md5: af37cd01facb2305c25529d7be11e4b3
Description-en: SeQuencing Tools for biological DNA/RNA high-throughput data
 sqt is a collection of command-line tools for working with
 high-throughput sequencing data.  Conceptionally not fixed to use any
 particular language, many sqt subcommands are currently implemented
 in Python. For them, a Python package is available with functions for
 reading and writing FASTA/FASTQ files, computing alignments, quality
 trimming, etc.
 .
 The following tools are offered:
  * sqt-coverage -- Compute per-reference statistics such as coverage
    and GC content
  * sqt-fastqmod -- FASTQ modifications: shorten, subset, reverse
    complement, quality trimming.
  * sqt-fastastats -- Compute N50, min/max length, GC content etc. of
    a FASTA file
  * sqt-qualityguess -- Guess quality encoding of one or more FASTA files.
  * sqt-globalalign -- Compute a global or semiglobal alignment of two strings.
  * sqt-chars -- Count length of the first word given on the command line.
  * sqt-sam-cscq -- Add the CS and CQ tags to a SAM file with colorspace reads.
  * sqt-fastamutate -- Add substitutions and indels to sequences in a
    FASTA file.
  * sqt-fastaextract -- Efficiently extract one or more regions from an
    indexed FASTA file.
  * sqt-translate -- Replace characters in FASTA files (like the 'tr'
    command).
  * sqt-sam-fixn -- Replace all non-ACGT characters within reads in a
    SAM file.
  * sqt-sam-insertsize -- Mean and standard deviation of paired-end
    insert sizes.
  * sqt-sam-set-op -- Set operations (union, intersection, ...) on
    SAM/BAM files.
  * sqt-bam-eof -- Check for the End-Of-File marker in compressed
    BAM files.
  * sqt-checkfastqpe -- Check whether two FASTQ files contain correctly
    paired paired-end data.

Package: python3-squaremap
Description-md5: d04e064e2753089c185d20e4cc6bc8fb
Description-en: wxPython control to display hierarchic data as nested squares
 A simple wxPython control to display hierarchic data as a recursive set of
 nested squares, each of which represents the relative size of a given child
 within its parent.

Package: python3-srp
Description-md5: 143380adfba35056b22db7be3a1d446d
Description-en: Secure Remote Password protocol implementation (Python 3)
 This package provides an implementation of the Secure Remote Password
 protocol (SRP). SRP is a cryptographically strong authentication
 protocol for password-based, mutual authentication over an insecure
 network connection.
 .
 Unlike other common challenge-response authentication protocols, such
 as Kereros and SSL, SRP does not rely on an external infrastructure
 of trusted key servers or certificate management. Instead, SRP server
 applications use verification keys derived from each user's password
 to determine the authenticity of a network connection.
 .
 SRP provides mutual-authentication in that successful authentication
 requires both sides of the connection to have knowledge of the
 user's password. If the client side lacks the user's password or the
 server side lacks the proper verification key, the authentication will
 fail.
 .
 Unlike SSL, SRP does not directly encrypt all data flowing through
 the authenticated connection. However, successful authentication does
 result in a cryptographically strong shared key that can be used
 for symmetric-key encryption. This package contains the python3 version.
 .
 This package provides the python3 version.

Package: python3-srs
Description-md5: f11220e2807d68937584378ff7bad1a2
Description-en: Python3 SRS (Sender Rewriting Scheme) library
 As SPF is implemented, MTAs that check SPF must account for any forwarders.
 One way to handle forwarding is to have the forwarding MTA rewrite envfrom to
 a domain they are authorized to use.
 .
 The package also include a package for sign and verify sender addresses with
 message ID (SES). There is also a base class for sendmail socket server
 (SocketMap) available.
 .
 See http://www.openspf.org/SRS for details.
 .
 This is the Python 3 version of the module.

Package: python3-ssdeep
Description-md5: c4e86da784657948bfb45bd702349ae5
Description-en: Python 3.x wrapper for the ssdeep piecewise hashing tool
 This is a straightforward Python wrapper for ssdeep by Jesse Kornblum,
 which is a library for computing context triggered piecewise hashes (CTPH).
 Also called fuzzy hashes, CTPH can match inputs that have homologies.
 Such inputs have sequences of identical bytes in the same order, although
 bytes in between these sequences may be different in both content and length.
 .
 This package contains the extension built for Python 3.x.

Package: python3-sshpubkeys
Description-md5: f1cb6dc9ab1a78509975085551a17671
Description-en: SSH public key parser - Python 3
 This module provides a native implementation for validating OpenSSH
 public keys.  Currently ssh-rsa, ssh-dss (DSA), ssh-ed25519 and ecdsa
 keys with NIST curves are supported.
 .
 This package contains the module for Python 3.

Package: python3-sshtunnel
Description-md5: 18421215c109bc4b2d7032ff19420d10
Description-en: SSH tunnels to remote server
 The Python sshtunnel module works by opening a port forwarding SSH connection
 in the background, using threads.

Package: python3-ssoclient
Description-md5: 3327202326ac7229d29ea1734c478376
Description-en: Simple client for the Ubuntu One SSO API (Python 3)
 This package provides a client based on a thin wrapper of
 the Ubuntu One SSO API (v2).
 .
 This package installs the client for Python 3.

Package: python3-stardicter
Description-md5: 0381e55cf03089398444be07553fd5db
Description-en: Conversion tools from various formats to StarDict
 Set of python modules and command line utility to convert
 several dictionaries to the StarDict format.
 .
 Currently it can convert following dictionaries:
 .
  * GNU/FDL Anglicko-Český slovník
  * GNU/FDL Německo-Český slovník
  * Slovník cizích slov
  * dicts.info dictionaries

Package: python3-static3
Description-md5: 3af2a622854b4c3ab5d69b439cb04f79
Description-en: Really simple WSGI way to serve static content (Python 3)
 This library provides an easy way to include static content in
 your WSGI applications. There is a convenience method for serving
 files located via pkg_resources. There are also facilities for
 serving mixed (static and dynamic) content using “magic” file
 handlers. Python builtin string substitution, kid and Genshi
 template support are provided and it is easy to roll your own
 handlers. Note that this distribution does not require kid or
 Genshi unless you want to use that type of template. Also provides
 a command of the same name as a convenience when you just want to
 share a little content over HTTP, ad hoc.
 .
 This package installs the library for Python 3.

Package: python3-staticconf
Description-md5: dd460e79e8fea577757b7be50435bce9
Description-en: Python library for loading and reading configuration (Python 3)
 This package contains PyStaticConfiguration, a Python library for loading,
 validating and reading configuration from many heterogeneous formats.
 Configuration is split into two phases.
   * Configuration Loading: configuration is read from files or Python objects,
     flattened, and merged into a container called a `namespace`. Namespaces
     are used to separate unrelated configuration groups. If configuration is
     changed frequently, it can also be reloaded easily with very little change
     to the existing code.
   * Configuration Reading: a configuration value is looked up in the
     `namespace`. It is validating and converted to the requested type.
 .
 This package installs the library for Python 3.

Package: python3-statsmodels
Description-md5: e06fdd3c54e031f4cb633c76da9ed6f8
Description-en: Python3 module for the estimation of statistical models
 statsmodels Python3 module provides classes and functions for the
 estimation of several categories of statistical models. These
 currently include linear regression models, OLS, GLS, WLS and GLS
 with AR(p) errors, generalized linear models for several distribution
 families and M-estimators for robust linear models. An extensive list
 of result statistics are available for each estimation problem.

Package: python3-statsmodels-lib
Description-md5: 0d655428d556bba52e5400d7cd3ea0a3
Description-en: Python3 low-level implementations and bindings for statsmodels
 Statsmodels is a Python module for the estimation of statistical models.
 .
 This package contains internal libraries for python3-statsmodels.  Users
 should not need to install it directly.

Package: python3-std-msgs
Description-md5: e68d462e49b65787336e372a55fdb93c
Description-en: Python 3 interface for Standard Robot OS Messages
 This package is part of Robot OS (ROS). It contains the Python
 interface to libstd-msgs, which contains wrappers for ROS primitive
 types, which are documented in the msg specification. It also
 contains the Empty type, which is useful for sending an empty signal.
 .
 This package contains the Python 3 module.

Package: python3-std-srvs
Description-md5: f21daa879cff4418e6f7681ae539dad7
Description-en: Robot OS Common service definitions, Python 3 bindings
 This package is part of Robot OS (ROS). It contains common service
 definitions.
 .
 This package contains the Python 3 interface to common service definitions.

Package: python3-stdeb
Description-md5: f6ad0ce8a27f14a64918072f135e36c0
Description-en: Python to Debian source package conversion plugins for distutils
 This package provides distutils commands to produce Debian packages from Python
 packages. Automatic defaults are provided for the Debian package, but many
 aspects of the resulting package can be customized via a configuration file.

Package: python3-stdnum
Description-md5: 102dc55ac83a2d82fb3a5173c89bea2e
Description-en: Python module to handle standardized numbers and codes (Python3 version)
 A Python module to parse, validate and reformat standard numbers and codes
 in different formats.
 .
 Currently this package supports the following formats:
 .
  * NIPT (Numri i Identifikimit për Personin e Tatueshëm, Albanian VAT number)
  * CBU (Clave Bancaria Uniforme, Argentine bank account number)
  * CUIT (Código Único de Identificación Tributaria, Argentinian tax number)
  * DNI (Documento Nacional de Identidad, Argentinian national identity nr.)
  * Austrian Company Register Numbers
  * Postleitzahl (Austrian postal code)
  * Abgabenkontonummer (Austrian tax identification number)
  * UID (Umsatzsteuer-Identifikationsnummer, Austrian VAT number)
  * VNR, SVNR, VSNR (Versicherungsnummer, Austrian social security number)
  * ABN (Australian Business Number)
  * ACN (Australian Company Number)
  * TFN (Australian Tax File Number)
  * Belgian IBAN (International Bank Account Number)
  * BTW, TVA, NWSt, ondernemingsnummer (Belgian enterprise number)
  * EGN (ЕГН, Единен граждански номер, Bulgarian personal identity codes)
  * PNF (ЛНЧ, Личен номер на чужденец, Bulgarian number of a foreigner)
  * VAT (Идентификационен номер по ДДС, Bulgarian VAT number)
  * BIC (ISO 9362 Business identifier codes)
  * Bitcoin address
  * CNPJ (Cadastro Nacional da Pessoa Jurídica, Brazillian company identifier)
  * CPF (Cadastro de Pessoas Físicas, Brazillian national identifier)
  * BN (Canadian Business Number)
  * SIN (Canadian Social Insurance Number)
  * CAS RN (Chemical Abstracts Service Registry Number)
  * Swiss social security number ("Sozialversicherungsnummer")
  * UID (Unternehmens-Identifikationsnummer, Swiss business identifier)
  * VAT, MWST, TVA, IVA, TPV (Mehrwertsteuernummer, the Swiss VAT number)
  * RUT (Rol Único Tributario, Chilean national tax number)
  * RIC No. (Chinese Resident Identity Card Number)
  * NIT (Número De Identificación Tributaria, Colombian identity code)
  * NI (Número de identidad, Cuban identity card numbers)
  * CUSIP number (financial security identification number)
  * Αριθμός Εγγραφής Φ.Π.Α. (Cypriot VAT number)
  * DIČ (Daňové identifikační číslo, Czech VAT number)
  * RČ (Rodné číslo, the Czech birth number)
  * Handelsregisternummer (German company register number)
  * IdNr (Steuerliche Identifikationsnummer, German personal tax number)
  * St.-Nr. (Steuernummer, German tax number)
  * Ust ID Nr. (Umsatzsteur Identifikationnummer, German VAT number)
  * Wertpapierkennnummer (German securities identification code)
  * CPR (personnummer, the Danish citizen number)
  * CVR (Momsregistreringsnummer, Danish VAT number)
  * Cedula (Dominican Republic national identification number)
  * NCF (Números de Comprobante Fiscal, Dominican Republic receipt number)
  * RNC (Registro Nacional del Contribuyente, Dominican Republic tax number)
  * EAN (International Article Number)
  * CI (Cédula de identidad, Ecuadorian personal identity code)
  * RUC (Registro Único de Contribuyentes, Ecuadorian company tax number)
  * Isikukood (Estonian Personcal ID number)
  * KMKR (Käibemaksukohuslase, Estonian VAT number)
  * Registrikood (Estonian organisation registration code)
  * CCC (Código Cuenta Corriente, Spanish Bank Account Code)
  * CIF (Certificado de Identificación Fiscal, Spanish company tax number)
  * CUPS (Código Unificado de Punto de Suministro, Supply Point Unified Code)
  * DNI (Documento nacional de identidad, Spanish personal identity codes)
  * Spanish IBAN (International Bank Account Number)
  * NIE (Número de Identificación de Extranjeros, Spanish foreigner number)
  * NIF (Número de Identificación Fiscal, Spanish VAT number)
  * Referencia Catastral (Spanish real estate property id)
  * SEPA Identifier of the Creditor (AT-02)
  * Euro banknote serial numbers
  * EIC (European Energy Identification Code)
  * NACE (classification for businesses in the European Union)
  * VAT (European Union VAT number)
  * ALV nro (Arvonlisäveronumero, Finnish VAT number)
  * Finnish Association Identifier
  * HETU (Henkilötunnus, Finnish personal identity code)
  * Veronumero (Finnish individual tax number)
  * Y-tunnus (Finnish business identifier)
  * FIGI (Financial Instrument Global Identifier)
  * NIF (Numéro d'Immatriculation Fiscale, French tax identification number)
  * NIR (French personal identification number)
  * SIREN (a French company identification number)
  * SIRET (a French company establishment identification number)
  * n° TVA (taxe sur la valeur ajoutée, French VAT number)
  * NHS (United Kingdom National Health Service patient identifier)
  * SEDOL number (Stock Exchange Daily Official List number)
  * UPN (English Unique Pupil Number)
  * VAT (United Kingdom (and Isle of Man) VAT registration number)
  * AMKA (Αριθμός Μητρώου Κοινωνικής Ασφάλισης, Greek social security number)
  * FPA, ΦΠΑ, ΑΦΜ (Αριθμός Φορολογικού Μητρώου, the Greek VAT number)
  * GRid (Global Release Identifier)
  * OIB (Osobni identifikacijski broj, Croatian identification number)
  * ANUM (Közösségi adószám, Hungarian VAT number)
  * IBAN (International Bank Account Number)
  * PPS No (Personal Public Service Number, Irish personal number)
  * VAT (Irish tax reference number)
  * IMEI (International Mobile Equipment Identity)
  * IMO number (International Maritime Organization number)
  * IMSI (International Mobile Subscriber Identity)
  * Aadhaar (Indian digital resident personal identity number)
  * PAN (Permanent Account Number, Indian income tax identifier)
  * Kennitala (Icelandic personal and organisation identity code)
  * VSK number (Virðisaukaskattsnúmer, Icelandic VAT number)
  * ISAN (International Standard Audiovisual Number)
  * ISBN (International Standard Book Number)
  * ISIL (International Standard Identifier for Libraries)
  * ISIN (International Securities Identification Number)
  * ISMN (International Standard Music Number)
  * ISO 11649 (Structured Creditor Reference)
  * ISO 6346 (International standard for container identification)
  * ISSN (International Standard Serial Number)
  * Codice Fiscale (Italian tax code for individuals)
  * Partita IVA (Italian VAT number)
  * LEI (Legal Entity Identifier)
  * Asmens kodas (Lithuanian, personal numbers)
  * PVM (Pridėtinės vertės mokestis mokėtojo kodas, Lithuanian VAT number)
  * TVA (taxe sur la valeur ajoutée, Luxembourgian VAT number)
  * PVN (Pievienotās vērtības nodokļa, Latvian VAT number)
  * MAC address (Media Access Control address)
  * n° TVA (taxe sur la valeur ajoutée, Monacan VAT number)
  * IDNO (Moldavian company identification number)
  * Montenegro IBAN (International Bank Account Number)
  * MEID (Mobile Equipment Identifier)
  * VAT (Maltese VAT number)
  * ID number (Mauritian national identifier)
  * CURP (Clave Única de Registro de Población, Mexican personal ID)
  * RFC (Registro Federal de Contribuyentes, Mexican tax number)
  * NRIC No. (Malaysian National Registration Identity Card Number)
  * BRIN number (the Dutch school identification number)
  * BSN (Burgerservicenummer, the Dutch citizen identification number)
  * Btw-nummer (Omzetbelastingnummer, the Dutch VAT number)
  * Onderwijsnummer (the Dutch student identification number)
  * Postcode (the Dutch postal code)
  * Fødselsnummer (Norwegian birth number, the national identity number)
  * Norwegian IBAN (International Bank Account Number)
  * Konto nr. (Norwegian bank account number)
  * MVA (Merverdiavgift, Norwegian VAT number)
  * Orgnr (Organisasjonsnummer, Norwegian organisation number)
  * New Zealand bank account number
  * NIP (Numer Identyfikacji Podatkowej, Polish VAT number)
  * PESEL (Polish national identification number)
  * REGON (Rejestr Gospodarki Narodowej, Polish register of economic units)
  * NIF (Número de identificação fiscal, Portuguese VAT number)
  * CF (Cod de înregistrare în scopuri de TVA, Romanian VAT number)
  * CNP (Cod Numeric Personal, Romanian Numerical Personal Code)
  * PIB (Poreski Identifikacioni Broj, Serbian tax identification number)
  * ИНН (Идентификационный номер налогоплательщика, Russian tax identifier)
  * Orgnr (Organisationsnummer, Swedish company number)
  * Personnummer (Swedish personal identity number)
  * VAT (Moms, Mervärdesskatt, Swedish VAT number)
  * ID za DDV (Davčna številka, Slovenian VAT number)
  * IČ DPH (IČ pre daň z pridanej hodnoty, Slovak VAT number)
  * RČ (Rodné číslo, the Slovak birth number)
  * COE (Codice operatore economico, San Marino national tax number)
  * T.C. Kimlik No. (Turkish personal identification number)
  * ATIN (U.S. Adoption Taxpayer Identification Number)
  * EIN (U.S. Employer Identification Number)
  * ITIN (U.S. Individual Taxpayer Identification Number)
  * PTIN (U.S. Preparer Tax Identification Number)
  * RTN (Routing transport number)
  * SSN (U.S. Social Security Number)
  * TIN (U.S. Taxpayer Identification Number)
 .
 Furthermore a number of generic check digit algorithms are available:
 .
  * the Verhoeff algorithm
  * the Damm algorithm
  * the Luhn and Luhn mod N algorithms
  * some algorithms described in ISO/IEC 7064: Mod 11, 2, Mod 37, 2,
    Mod 97, 10, Mod 11, 10 and Mod 37, 36
 .
 This package contains the Python 3 version of the library.

Package: python3-stem
Description-md5: f78eb579cba4fc0b2f21f1974b34e4e2
Description-en: Tor control library for Python 3 series
 Stem is a Python controller library for Tor. With it you can use
 Tor's control protocol to script against the Tor process and read
 descriptor data relays publish about themselves.
 .
 This is Python 3 series module.

Package: python3-stemmer
Description-md5: 2aeb40ffafee5b1b957566fa3f8bd88f
Description-en: Python 3 bindings for libstemmer - snowball stemming algorithms
 PyStemmer provides access to efficient algorithms for calculating a "stemmed"
 form of a word.  This is a form with most of the common morphological endings
 removed; hopefully representing a common linguistic base form.  This is most
 useful in building search engines and information retrieval software; for
 example, a search with stemming enabled should be able to find a document
 containing "cycling" given the query "cycles".
 .
 PyStemmer provides algorithms for several (mainly European) languages, by
 wrapping the libstemmer library from the Snowball project in a Python 3
 module.
 .
 It also provides access to the classic Porter stemming algorithm for English:
 although this has been superseded by an improved algorithm, the original
 algorithm may be of interest to information retrieval researchers wishing to
 reproduce results of earlier experiments.

Package: python3-stemmer-dbg
Description-md5: 9cd78bbc6e4c37697f333c45714239bf
Description-en: Python 3 bindings for libstemmer (debug extension)
 PyStemmer provides access to efficient algorithms for calculating a "stemmed"
 form of a word.  This is a form with most of the common morphological endings
 removed; hopefully representing a common linguistic base form.
 .
 PyStemmer provides algorithms for several (mainly European) languages, by
 wrapping the libstemmer library from the Snowball project in a Python 3
 module.
 .
 This package contains the extensions built for the Python 3 debug interpreter.

Package: python3-stereo-msgs
Description-md5: 771681bf4c0ffd94f178f0fe7ba3ecfe
Description-en: Messages relating to Robot OS stereo, Python 3 interface
 This package is part of Robot OS (ROS), and provides messages
 specific to stereo processing, such as disparity images.
 .
 This package contains the generated Python 3 package.

Package: python3-stestr
Description-md5: 014b3ad1df7dac4c65c6038092878a5e
Description-en: test runner similar to testrepository - Python 3.x
 Stestr stands for Slim/Super Test Repository. It is a fork of the
 testrepository that concentrates on being a dedicated test runner for Python
 projects. The generic abstraction layers which enabled testr to work with any
 subunit emitting runner are gone. Stestr hard codes python-subunit-isms into
 how it works. The code base is also designed to try and be explicit, and to
 provide a Python API that is documented and has examples.
 .
 While stestr was originally forked from testrepository it is not 100%
 backwards compatible with testrepository. At a high level the basic concepts
 of operation are shared between the 2 projects but the actual usage between
 the 2 is not exactly the same.
 .
 This package contains the Python 3.x module.

Package: python3-stetl
Description-md5: 3a55cc4532c18ce8589a82f600ef56ba
Description-en: Streaming ETL - Geospatial ETL framework for Python 3
 Stetl, streaming ETL, pronounced "staedl", is a lightweight ETL-framework
 for the conversion of rich (as GML) geospatial data conversion.
 .
 It basically glues together existing parsing and transformation tools
 like GDAL/OGR (ogr2ogr) and XSLT. By using native tools like libxml and
 libxslt (via Python lxml) Stetl is speed-optimized.
 .
 Stetl has a similar design as Spring (Java) and other modern frameworks
 based on IoC (Inversion of Control). A configuration file (in Python
 config format) specifies your chain of ETL steps. This chain is formed
 by a series of Python modules/objects and their parameters. These are
 symbolically specified in the config file. You just invoke etl.py the
 main program with a config file. The config file specifies the input
 modules (e.g. PostGIS), transformers (e.g. XSLT) and outputs (e.g. a GML
 file or even WFS-T a geospatial protocol to publish GML to a server).
 .
 This package contains the module for Python 3.

Package: python3-stfio
Description-md5: fe7a2163e8b926cb6417cfef12646f5f
Description-en: Python module to read common electrophysiology file formats.
 The stfio module allows you to read common electrophysiology file formats
 from Python. Axon binaries (abf), Axon text (atf), HEKA (dat),
 CFS (dat/cfs), Axograph (axgd/axgx) are currently supported.

Package: python3-stl
Description-md5: 033d53fb87eb420a63e43772919fd464
Description-en: stl file and 3d object handling for the Python language
 Numpy-STL is a library for working with STL files (and 3D objects in
 general) in the Python language.
 Due to all operations heavily relying on Numpy this is one of the fastest
 STL editing libraries for Python available.

Package: python3-stomp
Description-md5: ca3025de6f7ddd96a3f0eae2f797f4b4
Description-en: STOMP client library for Python 3
 This package contains stomp.py, a Python client library for accessing messaging
 servers (such as Apollo or RabbitMQ) using the STOMP protocol (versions 1.0,
 1.1 and 1.2). It can also be run as a standalone, command-line client for
 testing.
 .
 This package installs the library for Python 3.

Package: python3-stomper
Description-md5: 40c0b957de89eaab0ee75342f6d60311
Description-en: Python client implementation of the STOMP protocol (Python 3)
 The client is attempting to be transport layer neutral. This module provides
 functions to create and parse STOMP messages in a programmatic fashion. The
 messages can be easily generated and parsed, however its up to the user to do
 the sending and receiving.
 .
 The Streaming Text Oriented Messaging Protocol is a text-based protocol
 vaguely similar to HTTP, intended for message oriented middleware. Its
 protocol specification can be found at
 http://stomp.github.io/
 .
 This package installs the library for Python 3.

Package: python3-stopit
Description-md5: 59c413cec6186177861831a4fbee88f2
Description-en: Timeout control decorator and context manager for Python3
 This Python module provides:
  - a function that raises an exception in another thread,
  including the main thread.
  - two context managers that may stop its inner block activity
  on timeout.
  - two decorators that may stop its decorated callables
  on timeout.
 .
 This is the Python 3 compatible package.

Package: python3-storm
Description-md5: c5607bf2f45f15916d662ded4ebfa5e2
Description-en: object-relational mapper (ORM) for Python 3
 Storm is an object-relational mapper (ORM) for the Python language. In
 simple terms, that kind of system allows rows from a relational
 database to be seen as objects in an object-oriented language like
 Python.
 .
 Features:
  * Clean and lightweight API offers a short learning curve and
    long-term maintainability.
  * Storm is developed in a test-driven manner. An untested line of
    code is considered a bug.
  * Storm needs no special class constructors, nor imperative base
    classes.
  * Storm is well designed (different classes have very clear
    boundaries, with small and clean public APIs).
  * Designed from day one to work both with thin relational databases,
    such as SQLite, and big iron systems like PostgreSQL and MySQL.
  * Storm is easy to debug, since its code is written with a KISS
    principle, and thus is easy to understand.
  * Designed from day one to work both at the low end, with trivial
    small databases, and the high end, with applications accessing
    billion row tables and committing to multiple database backends.
  * It's very easy to write and support backends for Storm (current
    backends have around 100 lines of code).

Package: python3-storm-dbg
Description-md5: 029c8aa5d71e04a67bc2d741db1d9405
Description-en: object-relational mapper (ORM) for Python 3 - debugging files
 Storm is an object-relational mapper (ORM) for the Python language. In
 simple terms, that kind of system allows rows from a relational
 database to be seen as objects in an object-oriented language like
 Python.
 .
 Features:
  * Clean and lightweight API offers a short learning curve and
    long-term maintainability.
  * Storm is developed in a test-driven manner. An untested line of
    code is considered a bug.
  * Storm needs no special class constructors, nor imperative base
    classes.
  * Storm is well designed (different classes have very clear
    boundaries, with small and clean public APIs).
  * Designed from day one to work both with thin relational databases,
    such as SQLite, and big iron systems like PostgreSQL and MySQL.
  * Storm is easy to debug, since its code is written with a KISS
    principle, and thus is easy to understand.
  * Designed from day one to work both at the low end, with trivial
    small databases, and the high end, with applications accessing
    billion row tables and committing to multiple database backends.
  * It's very easy to write and support backends for Storm (current
    backends have around 100 lines of code).
 .
 This package contains the extension built for the Python 3 debug
 interpreter.

Package: python3-straight.plugin
Description-md5: b5812c7efffeef2b6e2b4b38ec4f49d4
Description-en: Simple namespaced plugin facility (Python 3)
 straight.plugin is a Python plugin loader inspired by twisted.plugin
 with two important distinctions:
 .
 - Fewer dependencies
 - Python 3 compatible
 .
 The system is used to allow multiple Python packages to provide
 plugins within a namespace package, where other packages will locate
 and utilize. The plugins themselves are modules in a namespace
 package where the namespace identifies the plugins in it for some
 particular purpose or intent.
 .
 This package installs the library for Python 3.

Package: python3-streamlink
Description-md5: 59e6711078a43e59795dc329dc08c916
Description-en: Python module for extracting video streams from various websites
 Streamlink is a CLI utility that pipes flash videos from online streaming
 services to a variety of video players such as VLC, or alternatively, a
 browser.
 The main purpose of streamlink is to convert CPU-heavy flash plugins to a
 less CPU-intensive format.
 .
 Streamlink is a fork of the Livestreamer project.
 .
 Please consider donating or paying for subscription services when they are
 available for the content you consume and enjoy.
 .
 This package makes Streamlink APIs accessible in Python 3.

Package: python3-streamlink-doc
Description-md5: e1254e85d95db1636c2a6ccbad85bb3e
Description-en: CLI for extracting video streams from various websites (documentation)
 Streamlink is a CLI utility that pipes flash videos from online streaming
 services to a variety of video players such as VLC, or alternatively, a
 browser.
 The main purpose of streamlink is to convert CPU-heavy flash plugins to a
 less CPU-intensive format.
 .
 Streamlink is a fork of the Livestreamer project.
 .
 Please consider donating or paying for subscription services when they are
 available for the content you consume and enjoy.
 .
 This package contains the Streamlink usage, API and plugins
 documentation in HTML format.

Package: python3-streamparser
Description-md5: d7854b26ae0cbefba5f9dc7d071fd4df
Description-en: Python library to parse Apertium stream format
 This package provides Python 3 library, streamparser,  to parse
 Apertium stream format.

Package: python3-stringtemplate3
Description-md5: b3c7d7456512154714122c3ec0e48d46
Description-en: template engine with strict model-view separation - Python 3
 StringTemplate is a template engine for generating source code, web pages,
 emails, or any other formatted text output. StringTemplate is particularly
 good at multi-targeted code generators, multiple site skins, and
 internationalization/localization. It evolved over years of effort developing
 jGuru.com. StringTemplate also powers the ANTLR v3 code generator. Its
 distinguishing characteristic is that it strictly enforces model-view
 separation unlike other engines.
 .
 This package contains the Python 3 module.

Package: python3-structlog
Description-md5: f1b09d7f2f37036cd04b393db5298498
Description-en: structured logging for Python (3.x)
 Structlog makes structured logging in Python easy by augmenting your existing
 logger.  It allows you to split your log entries up into key/value pairs and
 build them incrementally without annoying boilerplate code.
 .
 This package installs the library for Python 3

Package: python3-stsci.distutils
Description-md5: 22c5e2baf51403a02ed0b516d1592963
Description-en: Python3 packaging utilities for STScI's packages
 This package contains utilities used to package some of STScI's Python
 projects; specifically those projects that comprise stsci_python_ and
 Astrolib_. It currently consists mostly of some setup_hook scripts meant
 for use with distutils2 and/or d2to1, and a customized easy_install
 command meant for use with distribute.
 .
 This is the Python 3 version.

Package: python3-stsci.tools
Description-md5: aa75686ebda40de20b51e2fce402bd84
Description-en: Miscellaneous Python tools from STScI
 This package provides a selection of tools for FITS and WCS handling,
 image handling, and data analysis, used by other STScI packages; mainly
 by Pyraf.

Package: python3-subliminal
Description-md5: d00cacfc07a6d361baf2e96057343536
Description-en: Python library to search and download subtitles (python3 version)
 It comes with an easy to use CLI (command-line interface) suitable for direct
 use or cron jobs.
 .
 Subliminal uses multiple providers to give users a vast choice and have a
 better chance to find the best matching subtitles. Providers are extensible
 through a dedicated entry point.
 .
 This package contains the python3 version.

Package: python3-subnettree
Description-md5: 38e7be0779198c5515f11b15a9d1975b
Description-en: Python 3 Module for CIDR Lookups
 The PySubnetTree package provides a Python data structure SubnetTree which
 maps subnets given in CIDR (Classless Inter-Domain Routing) notation to
 Python 3 objects. Lookups are performed by longest-prefix matching. It
 supports IPv6 addresses.

Package: python3-subunit2sql
Description-md5: e1c9f0ee342bfc5055e67fe92d1dc922
Description-en: subunit file/stream to DB - Python 3.x
 subunit2SQL is a tool for storing test results data in a SQL database. Like
 it's name implies it was originally designed around converting subunit streams
 to data in a SQL database and the packaged utilities assume a subunit stream
 as the input format. However, the data model used for the DB does not preclude
 using any test result format. Additionally the analysis tooling built on top
 of a database is data format agnostic. However if you choose to use a
 different result format as an input for the database additional tooling using
 the DB API would need to be created to parse a different test result output
 format. It's also worth pointing out that subunit has several language library
 bindings available. So as a user you could create a small filter to convert a
 different format to subunit. Creating a filter should be fairly easy and then
 you don't have to worry about writing a tool like :ref:`subunit2sql` to use a
 different format.
 .
 For multiple distributed test runs that are generating subunit output it is
 useful to store the results in a unified repository. This is the motivation for
 the testrepository project which does a good job for centralizing the results
 from multiple test runs.
 .
 However, imagine something like the OpenStack CI system where the same basic
 test suite is normally run several hundreds of times a day. To provide useful
 introspection on the data from those runs and to build trends over time the
 test results need to be stored in a format that allows for easy querying.
 Using a SQL database makes a lot of sense for doing this, which was the
 original motivation for the project.
 .
 At a high level subunit2SQL uses alembic migrations to setup a DB schema that
 can then be used by the subunit2sql tool to parse subunit streams and populate
 the DB. Then there are tools for interacting with the stored data in the
 subunit2sql-graph command as well as the sql2subunit command to create a
 subunit stream from data in the database. Additionally, subunit2sql provides a
 Python DB API that can be used to query information from the stored data to
 build other tooling.
 .
 This package contains the Python 3.x module.

Package: python3-subvertpy
Description-md5: dc0c1695881d404e865a42fd335b46aa
Description-en: Alternative Python bindings for Subversion - Python 3
 Python bindings for the Subversion version control system. The aim of
 these bindings is to be fast, complete and to provide an API that feels native
 to Python programmers.
 .
 This also includes an experimental server-side implementation of the
 Subversion protocol.
 .
 This package contains the Python 3 version.

Package: python3-sugar3
Description-md5: 47003ab7bc4616501871b64134c07c89
Description-en: Sugar Learning Platform - toolkit Python3 bindings
 Sugar Learning Platform promotes collaborative learning
 through Sugar Activities that encourage critical thinking,
 the heart of a quality education.
 Designed from the ground up especially for children,
 Sugar offers an alternative to traditional "office-desktop" software.
 .
 The sugar3 library contains a set of widgets
 to build HIG compliant applications and interfaces
 to interact with system services like presence and the datastore.
 .
 This package contains Python3 bindings.

Package: python3-sunlight
Description-md5: 26266806f41928f3b153c86f6f1f7857
Description-en: set of bindings to access U.S. government data (Python 3)
 This module can be used to access U.S. government data, as provided by
 The Sunlight Foundation's API services. Data provided includes such data
 as federal and state-local legislators, legislative instruments, and searches
 against the congressional record.
 .
 This package is for use by developers or other applications, and does not
 provide any userspace scripts or binaries.
 .
 This package provides Python 3 module bindings only.

Package: python3-sunpinyin
Description-md5: 6b7893fb651fb50b4acfe86ed971ae23
Description-en: Simplified Chinese Input Method from SUN (Python binding)
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains the Python binding of sunpinyin.

Package: python3-sunpy
Description-md5: ba6f18197c4305a9a0573526e1bee82a
Description-en: Software library for solar physics based on Python
 SunPy is a community-developed free and open-source software package for
 solar physics. SunPy is meant to be a free alternative to the SolarSoft data
 analysis environment. The aim of the SunPy project is to provide the
 software tools necessary so that anyone can analyze solar data.

Package: python3-sure
Description-md5: 58b846663306b2af7e074549473b8ef2
Description-en: utility belt for automated testing - Python 3.x
 Sure is a library for Python that leverages a DSL for writing assertions. In
 CPython it monkey-patches the object type, adding some methods and properties
 purely for test purposes. Any Python code written after "import sure" gains
 testing superpowers.
 .
 This package contains the module for Python 3.x.

Package: python3-surfer
Description-md5: d67c09269586f78115cd3c00f10c1913
Description-en: visualize Freesurfer's data in Python3
 This is a Python3 package for visualization and interaction with cortical
 surface representations of neuroimaging data from Freesurfer. It
 extends Mayavi’s powerful visualization engine with a high-level interface for
 working with MRI and MEG data.
 .
 PySurfer offers both a command-line interface designed to broadly replicate
 Freesurfer’s Tksurfer program as well as a Python library for writing scripts
 to efficiently explore complex datasets.

Package: python3-sushy
Description-md5: b730bbc708a0e87ff9d98c552a48d485
Description-en: small library to communicate with Redfish based systems - Python 3.x
 Sushy is a Python library to communicate with Redfish based systems. The goal
 of the library is to be extremely simple, small, have as few dependencies as
 possible and be very conservative when dealing with BMCs by issuing just
 enough requests to it (BMCs are very flaky).
 .
 Therefore, the scope of the library has been limited to what is supported by
 the OpenStack Ironic project. As the project grows and more features from
 Redfish are needed Sushy will expand to fulfil those requirements.
 .
 This package contains the Python 3.x module.

Package: python3-svg.path
Description-md5: 893a36ca97947194031355ee275bed68
Description-en: SVG path objects and parser for Python3
 In SVG (Scalable Vector Graphics), paths are used to draw simple or
 compounded shape outlines. svg.path is a collection of objects
 that implement the path commands in SVG (Line, Arc, QuadraticBezier,
 CubicBezier), and a parser for SVG path definitions.
 .
 This package contains the modules for Python3.

Package: python3-svgwrite
Description-md5: 7e06b789728a68dee1433ef3d7fa1c64
Description-en: library to create SVG drawings (Python 3)
 Programmatically create Scalable Vector Graphics (SVG).
 .
 This package installs the library for Python 3.

Package: python3-svipc
Description-md5: 0203c4aad636111fb989cb70a27ce8ef
Description-en: interprocess communication (shared memory...) for Python 3
 This package allows one to access the System V Interprocess
 communication mechanisms from within Python 3:
   + message queues;
   + semaphore sets;
   + shared memory segments.
 .
 This plug-in serves primarily two use cases:
   + parallel (multiprocess) computing;
   + mixed applications (e.g. python/yorick).
 .
 A sibling package is available for Yorick: yorick-svipc

Package: python3-svn
Description-md5: 56c69199523538eb6889025f2c31720e
Description-en: A(nother) Python 3 interface to Subversion
 The pysvn module is a Python 3 interface to the Subversion version
 control system.  This API exposes client interfaces for managing a
 working copy, querying a repository, and synchronizing the two.

Package: python3-swiftsc
Description-md5: 93f6ee4b0fb8ca9409ee27e6b3724faf
Description-en: simple client library of OpenStack Swift for python3
 This tool is simple client library of OpenStack Swift for python3.
 This tool is intended to be used in the module and Python script other.
 The main purpose of this tool is used as a core module for backup tool.

Package: python3-swiglpk
Description-md5: 157f986ec7ed318d7fc236e601875999
Description-en: Plain Python bindings for the GNU Linear Programming Kit (Python 3)
 swiglpk just provides plain SWIG bindings to the underlying C library
 of the GNU Linear Programming Kit. It is not a high-level wrapper for GLPK.
 .
 This package installs the library for Python 3.

Package: python3-sybil
Description-md5: 9b0f97289ef6787c76465472088eb5a3
Description-en: Automated testing for the examples in your documentation (Python 3)
 This library provides a way to test examples in your documentation by parsing
 them from the documentation source and evaluating the parsed examples as part
 of your normal test run. Integration is provided for the three main Python test
 runners.
 .
 This is the Python 3 version of the package.

Package: python3-sympy
Description-md5: 574779e384c8c12f9251d460c19ec72b
Description-en: Computer Algebra System (CAS) in Python (Python 3)
 SymPy is a Python library for symbolic mathematics (manipulation). It aims to
 become a full-featured computer algebra system (CAS) while keeping the code as
 simple as possible in order to be comprehensible and easily extensible. SymPy
 is written entirely in Python and does not require any external libraries,
 except optionally for plotting support.
 .
 This package contains the Python 3 version of sympy.

Package: python3-systemfixtures
Description-md5: a6541d8c11e69cca969c70ff4d42b682
Description-en: Test fixtures providing fake versions of various system resources
 A collection of Python fixtures to fake out  various system resources such
 as processes, users, groups, etc.
 .
 Each fake resource typically behaves as an "overlay" on the real resource, in
 that it can be programmed with fake behavior for a set of inputs, but falls
 back to the real behavior for the rest.

Package: python3-sysv-ipc
Description-md5: 0a29d3baf06d42a1d3e66194c824d988
Description-en: semaphores, shared memory and message queues - Python 3.x
 Sysv_ipc gives Python programs access to System V semaphores, shared memory
 and message queues. Most (all?) Unixes (including OS X) support System V IPC.
 .
 This package contains the Python 3.x module.

Package: python3-tables
Description-md5: 80c24eb633945481ef3d845b6990e596
Description-en: hierarchical database for Python3 based on HDF5
 PyTables is a package for managing hierarchical datasets and designed
 to efficiently cope with extremely large amounts of data.
 .
 It is built on top of the HDF5 library and the NumPy package. It
 features an object-oriented interface that, combined with C extensions
 for the performance-critical parts of the code (generated using
 Cython), makes it a fast, yet extremely easy to use tool for
 interactively save and retrieve very large amounts of data. One
 important feature of PyTables is that it optimizes memory and disk
 resources so that they take much less space (between a factor 3 to 5,
 and more if the data is compressible) than other solutions, like for
 example, relational or object oriented databases.
 .
  - Compound types (records) can be used entirely from Python (i.e. it
    is not necessary to use C for taking advantage of them).
  - The tables are both enlargeable and compressible.
  - I/O is buffered, so you can get very fast I/O, specially with
    large tables.
  - Very easy to select data through the use of iterators over the
    rows in tables. Extended slicing is supported as well.
  - It supports the complete set of NumPy objects.
 .
 This is the Python 3 version of the package.

Package: python3-tables-dbg
Description-md5: 70c508b1dfa685378a7df903f4ee3dcc
Description-en: hierarchical database for Python 3 based on HDF5 (debug extension)
 PyTables is a package for managing hierarchical datasets and designed
 to efficiently cope with extremely large amounts of data.
 .
 It is built on top of the HDF5 library and the NumPy package. It
 features an object-oriented interface that, combined with C extensions
 for the performance-critical parts of the code (generated using
 Cython), makes it a fast, yet extremely easy to use tool for
 interactively save and retrieve very large amounts of data. One
 important feature of PyTables is that it optimizes memory and disk
 resources so that they take much less space (between a factor 3 to 5,
 and more if the data is compressible) than other solutions, like for
 example, relational or object oriented databases.
 .
  - Compound types (records) can be used entirely from Python (i.e. it
    is not necessary to use C for taking advantage of them).
  - The tables are both enlargeable and compressible.
  - I/O is buffered, so you can get very fast I/O, specially with
    large tables.
  - Very easy to select data through the use of iterators over the
    rows in tables. Extended slicing is supported as well.
  - It supports the complete set of NumPy objects.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-tables-lib
Description-md5: bf9eb67d4a42df3f4be8497897dea804
Description-en: hierarchical database for Python3 based on HDF5 (extension)
 PyTables is a package for managing hierarchical datasets and designed
 to efficiently cope with extremely large amounts of data.
 .
 It is built on top of the HDF5 library and the NumPy package. It
 features an object-oriented interface that, combined with C extensions
 for the performance-critical parts of the code (generated using
 Cython), makes it a fast, yet extremely easy to use tool for
 interactively save and retrieve very large amounts of data. One
 important feature of PyTables is that it optimizes memory and disk
 resources so that they take much less space (between a factor 3 to 5,
 and more if the data is compressible) than other solutions, like for
 example, relational or object oriented databases.
 .
  - Compound types (records) can be used entirely from Python (i.e. it
    is not necessary to use C for taking advantage of them).
  - The tables are both enlargeable and compressible.
  - I/O is buffered, so you can get very fast I/O, specially with
    large tables.
  - Very easy to select data through the use of iterators over the
    rows in tables. Extended slicing is supported as well.
  - It supports the complete set of NumPy objects.
 .
 This package contains the extension built for the Python 3 interpreter.

Package: python3-tablib
Description-md5: 00a2d5ab84061458a0302648a28af76f
Description-en: format agnostic tabular dataset library - Python 3.x
 Tablib is a format agnostic tabular dataset library, written in Python. It
 allows you to import, export, and manipulate tabular data sets. Advanced
 features include, segregation, dynamic columns, tags & filtering, and seamless
 format import & export.
 .
 This package provides the Python 3.x module.

Package: python3-tackerclient
Description-md5: 444b244bf56032b66e6856ae0ffb83b6
Description-en: CLI and Client Library for OpenStack Tacker - Python 3.x
 Tacker is an official OpenStack project building a Generic VNF Manager (VNFM)
 and a NFV Orchestrator (NFVO) to deploy and operate Network Services and
 Virtual Network Functions (VNFs) on an NFV infrastructure platform like
 OpenStack. It is based on ETSI MANO Architectural Framework and provides a
 functional stack to Orchestrate Network Services end-to-end using VNFs.
 .
 This is the client API library for Tacker. This package contains the Python
 3.x module.

Package: python3-taglib
Description-md5: 72eb943493e3db266dea548b078db4d8
Description-en: Python3 bindings for the TagLib audio metadata library
 Pytaglib provides an easy interface to read and write metadata of audio files
 in Python. It relies on the TagLib library and thus supports all formats that
 are supported by TagLib, which include all relevant formats in use today.
 .
 Pytaglib allows you to use arbitrary tag names (not only the most common ones
 like TITLE, ARTIST, ALBUM, ...) and even define multiple values for the same
 tag, as long as the metadata system of the underlying audio file supports that.

Package: python3-tagpy
Description-md5: bf6a8660233225c746e108ec179b3214
Description-en: Python 3 module for manipulating tags in music files
 Binds Python to Scott Wheeler's TagLib. Makes it easy to read ID3 tags
 from MP3 files, Xiph Comments from Ogg Vorbis and FLAC files, and APE
 tags from MusePack files.

Package: python3-tango
Description-md5: 61b09160772b50d23c891ebab80dd8ec
Description-en: API for the TANGO control system (Python 3)
 TANGO is an object oriented distributed control system using CORBA,
 mainly developed by the Controls Section of the ALBA Synchrotron.
 PyTango provides bindings for its client- and server-side C++ APIs.
 With PyTango, you can write TANGO device servers and TANGO applications
 (scripts, CLIs, GUIs) that access TANGO device servers in pure Python.
 .
 This is the Python 3 version of the package.

Package: python3-tap
Description-md5: 591da0858a19ce4db439745e0a017bb5
Description-en: TAP producer/consumer tools for Python unittest -- Python 3 version
 The tap.py package provides a set of tools for working with the
 Test Anything Protocol (TAP). TAP is a line based test protocol
 for recording test data in a standard way.
 .
 As a TAP producer, this package comes with a test-runner that
 implements the unittest.TestRunner interface and produces TAP
 compliant output.
 .
 As a TAP consumer, it includes tools to parse the output of
 TAP compliant producers. It also provides means to replay a
 test-suite from a captured output, without having to actually
 run the tests again.
 .
 This package provide the Python 3.x version of tap.py.

Package: python3-tasklib
Description-md5: 690ff6ceb97448c4c40de792073ddcd7
Description-en: Task Warrior database interaction - Python 3.x
 Tasklib is a Python library for interacting with a taskwarrior databases,
 using a queryset API similar to that of Django's ORM. Supports taskwarrior
 2.1.x and above. Older versions of taskwarrior are untested and may not work.
 .
 This package contains the Python 3.x module.

Package: python3-taskw
Description-md5: ed7eadf6c4ba10ae3b4c82054d29968e
Description-en: Python bindings for your taskwarrior database (Python 3 version)
 This is a Python API for the 'taskwarrior' command line tool.  It uses the
 'task export' and 'task import' commands to manipulate the task database.
 .
 Using these bindings it is possible to: look at tasks, add new tasks, update
 tasks, delete tasks, mark tasks as completed and read the taskwarrior config.
 .
 This package contains taskw for Python 3.

Package: python3-taurus
Description-md5: 4ab6fc26f2479e037b2502e717c13f63
Description-en: Framework for scientific/industrial CLIs and GUIs - Python3
 Taurus is a Python framework for control and data acquisition CLIs
 and GUIs in scientific/industrial environments. It supports multiple
 control systems or data sources: Tango, EPICS, ...  New control
 system libraries can be integrated through plugins.
 .
 This is the Python 3 version of the package.

Package: python3-taurus-pyqtgraph
Description-md5: 0cc50363f1b7a5591a232e65cf69c7f1
Description-en: Taurus extension providing pyqtgraph-based widgets (Python 3)
 taurus_pyqtgraph is an extension for the python3-taurus package.
 It adds the taurus.qt.qtgui.tpg submodule which provides pyqtgraph-based
 widgets.

Package: python3-tblib
Description-md5: 13bb611e00937c090769073bc4ea4057
Description-en: Python 3 traceback fiddling library
 This library allows pickling tracebacks so that they can be passed across
 process boundaries.  The traceback objects that come out are stripped of
 some attributes such as variables, but it is at least possible to raise
 exceptions with those tracebacks or print them.

Package: python3-tds
Description-md5: 0120f00b3638a2c7659d52b0bfd1f53d
Description-en: Python DBAPI driver for MSSQL using pure Python TDS (Python 3)
 A platform independent Python DBAPI driver for Microsoft SQL Server using pure
 Python TDS (Tabular Data Stream) protocol implementation. It doesn't depend on
 ADO or FreeTDS.
 .
 This is the Python 3 version of the package.

Package: python3-tempest
Description-md5: 1f71446ef161da1ccf8735d0e54430b9
Description-en: OpenStack Integration Test Suite - Python 3.x
 Tempest is a set of integration tests to be run against a live Openstack
 cluster in order to make sure that all components are working as expected.
 Tempest will start and stop virtual machine in order to check that your
 cloud is working as expected.
 .
 This package provides the Python 3.x module.

Package: python3-tempest-horizon
Description-md5: eb0173de557bd2478bd769f3eb578b1f
Description-en: OpenStack Integration Test Suite - Horizon plugin
 Tempest is a set of integration tests to be run against a live Openstack
 cluster in order to make sure that all components are working as expected.
 Tempest will start and stop virtual machine in order to check that your
 cloud is working as expected.
 .
 This package contains the OpenStack dashboard (aka: Horizon) plugin.

Package: python3-tempora
Description-md5: 5eaa7460fc5a7ef731396aef2fddb6f9
Description-en: Python objects and routines pertaining to date and time
 Tempora is a set of Python objects and routines pertaining to date and
 time.
 .
 Besides the top level package module (tempora), it includes:
  * timing: routines for measuring and profiling.
  * schedule: an event scheduler.
  * utc: routines for getting datetime-aware UTC values.

Package: python3-termbox
Description-md5: 35d5c103cc7c5c7002dde13576ff6d00
Description-en: Library for writing text-based user interfaces (Python)
 Termbox is a library that provides minimalistic API which allows the
 programmer to write text-based user interfaces.
 .
 It is based on a very simple abstraction. The main idea is viewing terminals
 as a table of fixed-size cells and input being a stream of structured
 messages. Would be fair to say that the model is inspired by windows console
 API. The abstraction itself is not perfect and it may create problems in
 certain areas.  The most sensitive ones are copy & pasting and wide characters
 (mostly Chinese, Japanese, Korean (CJK) characters). When it comes to copy &
 pasting, the notion of cells is not really compatible with the idea of text.
 And CJK runes often require more than one cell to display them nicely. Despite
 the mentioned flaws, using such a simple model brings benefits in a form of
 simplicity. And KISS principle is important.
 .
 At this point one should realize, that CLI (command-line interfaces) aren't
 really a thing termbox is aimed at. But rather pseudo-graphical user
 interfaces.
 .
 This package ships with Python3 binding of termbox.

Package: python3-termcolor
Description-md5: 42c64f05b2b9e691b8247759fee362a1
Description-en: ANSII Color formatting for output in terminal - Python 3.x
 The termcolor Python module provides ANSII Color formatting for output in
 terminal. It may be useful for the output of unit testing results in color,
 for example using openstack.nose_plugin.
 .
 This package provides the module for Python 3.x.

Package: python3-terminado
Description-md5: a2af1aff20f87e66ce76fad07ceeabc5
Description-en: Terminals served to term.js using Tornado websockets (Python 3)
 This is a tornado websocket backend for the term.js Javascript
 terminal emulator library.
 .
 This package installs the library for Python 3.

Package: python3-terminaltables
Description-md5: 16b253d89bc357fcfe55e1c9c5bca60f
Description-en: Python library for printing tables to the console
 Easily draw tables in terminal/console applications from a list of lists of
 strings.
 .
 Supports: multi-line rows, table titles, POSIX and Windows, Wide CJK
 (Chinese/Japanese/Korean) characters are displayed correctly, RTL Arabic and
 Hebrew characters are aligned correctly, alignment and justification.
 Colored text is supported through colorclass, colorama, termcolor, or just
 plain ANSI escape codes.
 .
 This package provides the Python 3 module.

Package: python3-termstyle
Description-md5: fa27c92853fd6ce281e771791ccd4d06
Description-en: console colouring for python - Python 3.x
 Termstyle is a simple Python library for adding coloured output to terminal
 (console) programs. The definitions come from ECMA-048, the "Control Functions
 for Coded Character Sets" standard.
 .
 This package contains the Python 3.x module.

Package: python3-tesserocr
Description-md5: 2bd9d78aa52591671d375cb4a9dcc6aa
Description-en: Python wrapper for the tesseract-ocr API (Python3 version)
 A simple, Pillow-friendly, wrapper around the tesseract-ocr API for Optical
 Character Recognition (OCR).
 .
 tesserocr integrates directly with Tesseract's C++ API using Cython which
 allows for a simple Pythonic and easy-to-read source code. It enables real
 concurrent execution when used with Python's threading module by releasing the
 GIL while processing an image in tesseract.
 .
 tesserocr is designed to be Pillow-friendly but can also be used with image
 files instead.
 .
 This package contains the Python 3 version of the library.

Package: python3-test-server
Description-md5: 99a3dfe5c8f6b7d6970b45784718c25c
Description-en: HTTP Server to test HTTP clients (Python 3)
 HTTP server for unit tests of Python programs or libraries.
 This package also contains the test_server program.
 .
 This is the Python 3 version.

Package: python3-testfixtures
Description-md5: 3449fa6a6621aad3f664e351314b888f
Description-en: Collection of helpers and mock objects (Python3 version)
 TestFixtures is a collection of helpers and mock objects that are useful when
 writing unit tests or doc tests. It includes tools for a wide range of tasks:
 .
  * Comparing objects and sequences
  * Mocking out objects and methods
  * Mocking dates and times
  * Testing logging
  * Testing output to streams
  * Testing with files and directories
  * Testing exceptions
  * Testing warnings
  * Testing use of the subprocess package
  * Testing with zope.component
 .
 This package contains the Python 3 version of the library.

Package: python3-testing.common.database
Description-md5: 2a1ba43f5bfe15410b6f183f05e70d92
Description-en: Python testing.* framework - common database utilities (Python 3)
 The testing.* Python modules provide a framework for Python unit test suites
 that need to run tests against servers, like network-based DBMSs.
 .
 This package contains common utilities for handling database connections
 in this framework (Python 3 version).

Package: python3-testing.mysqld
Description-md5: 4c6168472634922115c9d4f57e75cc6b
Description-en: Python testing.* framework - MySQL helpers (Python 3)
 The testing.* Python modules provide a framework for Python unit test suites
 that need to run tests against servers, like network-based DBMSs.
 .
 testing.mysqld contains helper classes to work with a temporary
 MySQL server. It automatically sets up a MySQL instance in a
 temporary directory, and destroys it after testing.
 .
 This package contains the Python 3 version.

Package: python3-testing.postgresql
Description-md5: 3910b2a900238db1fa8db5988bd25266
Description-en: Python testing.* framework - PostgreSQL helpers (Python 3)
 The testing.* Python modules provide a framework for Python unit test suites
 that need to run tests against servers, like network-based DBMSs.
 .
 testing.postgresql contains helper classes to work with a temporary
 PostgreSQL server. It automatically sets up a PostgreSQL instance in a
 temporary directory, and destroys it after testing.
 .
 This package contains the Python 3 version.

Package: python3-testpath
Description-md5: f45273f8c0a7b068a9c66d5ae570ca3d
Description-en: Utilities for Python 3 code working with files and commands
 It contains functions to check things on the filesystem, and tools for
 mocking and recording calls to those.
 .
 This package installs the library for Python 3.

Package: python3-texext
Description-md5: 270107bcfd069729e7431b8f961ae749
Description-en: sphinx extensions for working with LaTeX math - Python
 texext contains a couple of Sphinx extensions for working with LaTeX math:
 .
  * math_dollar, replaces math expressions between dollars in ReST with
    equivalent inline math.
 .
  * users of sympy may want to generate LaTeX expressions dynamically in Sympy,
    and then render them in LaTeX in the built pages. You can do this with the
    mathcode directive
 .
 This package contains the Python 2 version of texext..

Package: python3-textfsm
Description-md5: 06c1af5443de664a079d98e68ffaf0ff
Description-en: template based state machine for parsing semi-formatted text
 This Python module implements a template based state machine for
 parsing semi-formatted text. Originally developed to allow
 programmatic access to information returned from the command line
 interface (CLI) of networking devices.

Package: python3-textile
Description-md5: ab8efb861366142ac8e6dd0ffcecf0ae
Description-en: Python 3 parser for the Textile markup
 Textile is an XHTML generator that uses a simple markup, similar
 to the one you can find on most wikis.
 .
 This is a Python 3 module that implements a parser and generator
 that supports the Textile markup syntax.

Package: python3-texttable
Description-md5: 7577cc6e65da934c0ec094f8c7ba1db9
Description-en: Module for creating simple ASCII tables — python3
 texttable is a module to generate a formatted text table, using ASCII
 characters.
 It provides nice human-readable output for arrays when designing
 a CLI or just output data.
 .
 This package contains the Python 3 module.

Package: python3-tf
Description-md5: 9ebf16b5f4fa82b03895f8f82efa7adf
Description-en: Robot OS tf transform library - Python 3
 tf is a package that lets the user keep track of multiple coordinate frames
 over time. tf maintains the relationship between coordinate frames in a tree
 structure buffered in time, and lets the user transform points, vectors, etc
 between any two coordinate frames at any desired point in time.
 .
 This package contains the Python 3 bindings and is part of Robot OS (ROS)

Package: python3-tf-conversions
Description-md5: 9f50c636fa86227e14ce9f75d5fd823f
Description-en: Robot OS conversion library between Eigen, KDL and tf - Python 3 interface
 This package contains a set of conversion functions to convert
 common tf datatypes (point, vector, pose, etc) into semantically
 identical datatypes used by other libraries. The conversion functions
 make it easier for users of the transform library (tf) to work with
 the datatype of their choice. Currently this package has support for
 the Kinematics and Dynamics Library (KDL) and the Eigen matrix library.
 .
 This package contains the Python 3 bindings and is part of Robot OS (ROS).

Package: python3-tf2
Description-md5: b5ab03e8ae4ac4ff8dd28baa8ec50511
Description-en: Robot OS tf2 transform library - Python 3
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the Python 3 binding.

Package: python3-tf2-geometry-msgs
Description-md5: aca4b8ad842c48dfd98ac9df5775c38c
Description-en: Robot OS tf2 transform library for Bullet - Python 3
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the Python 3 code to transform geometry messages to tf2.

Package: python3-tf2-kdl
Description-md5: c45ba55b8313e385cfe4cfdfa7ff9458
Description-en: Robot OS tf2 transform library using Orocos-KDL - Python 3
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the Python 3 code to convert betweenw tf2 and
 liborocos-kdl.

Package: python3-tf2-msgs
Description-md5: abd0d1e3eb03240023f743f816c48420
Description-en: Robot OS messages for tf2 transform library - Python 3
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the Python 3 code.

Package: python3-tf2-ros
Description-md5: 2cff1b52082fb6a5bce260d3efc5beaa
Description-en: Robot OS binding for tf2 transform library - Python 3
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the ROS Python 3 binding to tf2.

Package: python3-tf2-sensor-msgs
Description-md5: 7b74c24ff71b6cb420d8dbe0c1c9fbf5
Description-en: Robot OS sensor messages for tf2 transform library - Python 3
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the Python 3 code to transform sensor_msgs with tf2.

Package: python3-theano
Description-md5: 86bfac8af4e3aefc89dfe5546cd0676f
Description-en: CPU/GPU math expression compiler for Python 3
 Theano is a Python library that allows one to define and evaluate mathematical
 expressions involving multi-dimensional arrays efficiently. It provides a
 high-level Numpy like expression language for functional description of
 calculation, rearranges expressions for speed and stability, and generates
 native machine instructions for fast calculation. Optionally, highly
 accelerated computations could be carried out on graphics cards processors.
 .
 This package contains Theano for Python 3.
 .
 Theano development is ending: consider using Caffe or Torch instead.

Package: python3-thrift
Description-md5: 11ca0f9e2770bf2933268065d73ab040
Description-en: Python 3 library for Thrift
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization. It combines a software
 stack with a code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package provides the Python 3 bindings for Thrift.

Package: python3-thrift-dbg
Description-md5: 3b13bf7acee89faa8453242b4420a315
Description-en: Python library for Thrift (debug symbols)
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization.  It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package contains the debugging symbols for Python 3 bindings of Thrift.

Package: python3-thriftpy
Description-md5: 096498cec23d67b87e3e1ee8ba3721a8
Description-en: Pure Python implementation of Apache Thrift - Python 3
 ThriftPy is a pure Python implementation of
 Apache Thrift <http://thrift.apache.org/> in a pythonic way.
 .
 Documentation: https://thriftpy.readthedocs.org/
 .
 Currently ThriftPy have these features (also advantages over the upstream
 Python lib):
 .
   - Pure Python implementation. No longer need to compile & install the
     'thrift' package. All you need is thriftpy and thrift file.
 .
   - Compatible with Apache Thrift. You can use ThriftPy together with the
     official implementation servers and clients, such as a upstream server
     with a thriftpy client or the opposite.
 .
   - Currently implemented protocols and transports:
 .
     * binary protocol (Python and cython)
 .
     * compact protocol (Python and cython)
 .
     * json protocol
 .
     * buffered transport (Python & cython)
 .
     * framed transport
 .
     * tornado server and client (with tornado 4.0)
 .
   - Can directly load thrift file as module, the sdk code will be generated
     on the fly.
 .
   - Easy RPC server/client setup.

Package: python3-tidylib
Description-md5: 001db7d0f151b16a892d37abe6821f5b
Description-en: Python 3 wrapper for HTML Tidy (tidylib)
 PyTidyLib is a Python package that wraps the HTML Tidy library. This allows
 you, from Python code, to “fix” invalid (X)HTML markup. Some of the library’s
 many capabilities include:
 .
  * Clean up unclosed tags and unescaped characters such as ampersands
  * Output HTML 4 or XHTML, strict or transitional, and add missing doctypes
  * Convert named entities to numeric entities, which can then be used in XML
    documents without an HTML doctype.
  * Clean up HTML from programs such as Word (to an extent)
  * Indent the output, including proper (i.e. no) indenting for pre elements,
    which some (X)HTML indenting code overlooks.
 .
 This is the Python 3 version of the module.

Package: python3-timeline
Description-md5: 1e2b42b3904adf0082ac91e9de43fe90
Description-en: Timeline module for modelling a series of actions (Python 3)
 The timeline package provides a way to model a series of actions.  For
 instance, during a web request the appserver might call out to a number of
 backend services, make sql queries and memcached lookups.  All of these
 actions can be tracked in a single timeline, and that serialised or
 analysed for slow points.
 .
 This package installs the library for Python 3.

Package: python3-tinyalign
Description-md5: fc735838ecfedf1d81ef5dbe3b55a580
Description-en: numerical representation of differences between strings
 A small Python module providing edit distance (aka Levenshtein distance,
 that is, counting insertions, deletions and substitutions) and Hamming
 distance computation.
 .
 Its main purpose is to speed up computation of edit distance by allowing
 to specify a maximum number of differences maxdiff (banding). If that
 parameter is provided, the returned edit distance is anly accurate up
 to maxdiff. That is, if the actual edit distance is higher than maxdiff,
 a value larger than maxdiff is returned, but not necessarily the actual
 edit distance.

Package: python3-tinycss
Description-md5: 27dbef7f204c5466c546366556087f8b
Description-en: complete yet simple CSS parser (Python3 version)
 tinycss is a complete yet simple CSS parser for Python. It supports the full
 syntax and error handling for CSS 2.1 as well as some CSS 3 modules:
 .
  * CSS Color 3
  * CSS Fonts 3
  * CSS Paged Media 3
 .
 It is designed to be easy to extend for new CSS modules and syntax,
 and integrates well with cssselect for Selectors 3 support.
 .
 This package contains the Python 3 version of the library.

Package: python3-tinycss2
Description-md5: 971c91506c3aead7fef3a61c1419daea
Description-en: Low-level CSS parser (Python3 version)
 tinycss2 is a rewrite of tinycss with a simpler API, based on the more recent
 CSS Syntax Level 3 specification.
 .
 tinycss2 is low-level in that it doesn’t parse all of CSS: it doesn't know
 about the syntax of any specific properties or at-rules. Instead, it provides a
 set of functions that can be composed to support exactly the parts of CSS
 you're interested in, including new or non-standard rules or properties,
 without modifying tinycss or having a complex hook/plugin system.
 .
 In many cases, parts of the parsed values (such as the content of a AtRule) is
 given as component values that can be parsed further with other functions.
 .
 This package contains the Python 3 version of the library.

Package: python3-tinydb
Description-md5: e8a7e2ec18a4c50591749a66a69762f4
Description-en: document oriented database optimized
 TinyDB is a tiny, document oriented database optimized
 for your happiness :) It's written in pure Python and
 has no external requirements. The target are small apps
 that would be blown away by a SQL-DB or an external
 database server.

Package: python3-tksnack
Description-md5: bf60dd2fa00f06d58f6e29d6e4396a9a
Description-en: Sound extension to Tcl/Tk and Python/Tkinter - Python 3.x library
 Snack is an extension to the Tcl/Tk scripting language
 that adds sound functionality. There are commands to play, record,
 edit, and even visualize sound. Snack supports in-memory sound
 objects, file based audio, and streaming audio. It handles
 file formats such as WAV, AU, AIFF, MP3, and OGG Vorbis.
 .
 This package includes Python/Tkinter tkSnack package for Python 3.x.

Package: python3-tktreectrl
Description-md5: d6ec0a3239d24fd22bc5c7c85a391441
Description-en: Tkinter-based wrapper for Tk TreeCtrl for Python 3
 The TkTreectrl module provides a widget for Tkinter-based GUIs that
 presents a tree as known for representations e.g. of a file system.
 Technically, it wraps the treectrl Tk extension for use with
 Python/Tkinter.
 .
 The package adds Treectrl, MultiListbox, ScrolledTreectrl,
 ScrolledMultiListbox and ScrolledWidget into the current namespace of
 a Python 3 instance.

Package: python3-tld
Description-md5: f6445035709174b46b0b4dd90e3d1184
Description-en: Extract the top level domain (TLD) from a given URL (Python 3)
 This package contains a module which extracts the top level domain (TLD) from
 the given URL. The list of TLD names is taken from Mozilla.
 .
 It can optionally raises exceptions on non-existing TLDs or silently fails (if
 fail_silently argument is set to True).
 .
 This package installs the library for Python 3.

Package: python3-tldextract
Description-md5: f6a64fe701870062d94041416937dcf8
Description-en: Python library for separating TLDs
 tldextract accurately separates the gTLD or ccTLD (generic or country code
 top-level domain) from the registered domain and subdomains of a URL. By
 default, this package supports the public ICANN TLDs and their exceptions,
 with optional support for the Public Suffix List's private domains as well.
 .
 This package installs the library for Python 3.

Package: python3-tldp
Description-md5: 194a380b70367ba110cc1885c4ee1751
Description-en: automatic publishing tool for DocBook, Linuxdoc and Asciidoc
 The Linux Documentation Project (TLDP) stores hundreds of documents in
 DocBook SGML, DocBook XML, Linuxdoc and Asciidoc formats.  This tool
 automatically detects the source format and generates a directory containing
 chunked and single-page HTML, a PDF and a plain text output.

Package: python3-tlsh
Description-md5: 0b3076225adb1d1ca8f1dd8afb4dbdf4
Description-en: fuzzy hashing library - Python3 module
 The Trend Micro Locality Sensitive Hash is a fuzzy hash algorithm that can be
 used to compare similar but not identical files.
 .
 Identifying near duplicates and similar files is known to be useful to
 identify malware samples with similar binary file structure, variants of spam
 email, or backups with corrupted files.
 .
 This package contains the Python3 module.

Package: python3-tmdbsimple
Description-md5: a078c155983068b7530353e1bebb43bb
Description-en: Wrapper for The Movie Database API (Python3 version)
 tmdbsimple is a wrapper, written in Python, for The Movie Database (TMDb) API
 v3. By calling the functions available in tmdbsimple one can simplify their
 code and easily access a vast amount of movie, tv, and cast data.
 .
 Features:
  * One-to-one mapping between tmdbsimple functions and TMDb methods.
  * Implements all TMDb methods, including Accounts and Authentication.
  * Implements new TV features.
  * Easy to access data using Python class attributes.
  * Easy to experiment with tmdbsimple functions inside the Python interpreter.
 .
 An API key is required to interact with the API endpoint.
 .
 This package contains the Python 3 version of the library.

Package: python3-tmuxp
Description-md5: dc2e94f99cc08fa4f5283f6e6c5753be
Description-en: tmux session manager (Python 3)
 tmux session manager allowing both JSON and YAML configuration
 formats. Available features:
  - allows both simple and very elaborate configs
  - can store and load multiple sessions
  - can custom startup scripts (such as installing project dependencies
    before loading tmux)
  - session freezing: snapshot your current tmux layout, pane paths,
    and window/session names, and dump the result as a tmuxp
    configuration
 .
 This is the Python 3 version

Package: python3-tnetstring
Description-md5: f869e5c9384ad0ab46b004d6e3459b46
Description-en: python3 library for data serialization using typed netstrings
 Python3 implementation of the tnetstring serialization format.
 .
 Tnetstring is a lot like JSON but it uses a new syntax called "typed
 netstrings" that was proposed for use in the Mongrel2 webserver. It's
 designed to be simpler and easier to implement than JSON, with a happy
 consequence of also being faster in many cases.
 .
 This Python library provided functions to read and write tnetstrings.
 It's a port of the python2 tnetstring library.

Package: python3-toml
Description-md5: 3befbbee1867296f01116c0726470267
Description-en: library for Tom's Obvious, Minimal Language - Python 3.x
 This package provides a Python module which parses and emits TOML. TOML aims
 to be a minimal configuration file format that's easy to read due to obvious
 semantics. TOML is designed to map unambiguously to a hash table. TOML should
 be easy to parse into data structures in a wide variety of languages.
 .
 This package contains the Python 3.x module.

Package: python3-toolz
Description-md5: e25e004c00b89f1564013bf9373075f7
Description-en: List processing tools and functional utilities
 A set of utility functions for iterators, functions, and
 dictionaries.  These functions interoperate well and form the
 building blocks of common data analytic operations. They extend the
 standard libraries itertools and functools and borrow heavily from
 the standard libraries of contemporary functional languages.
 .
 This contains the Python 3 version

Package: python3-topic-tools
Description-md5: fc0c46aa8951e6fef52bc701a5fb4600
Description-en: Python 3 library for working with Robot OS topics
 This package is part of Robot OS (ROS). It contains the Python
 interface to the tools for directing, throttling, selecting, and
 otherwise messing with ROS topics at a meta level.
 .
 This package contains the Python 3 library.

Package: python3-toposort
Description-md5: 171575f27ac07b4f7850a2dba417b27a
Description-en: topological sort algorithm - Python 3 module
 In computer science, a topological sort (sometimes abbreviated
 topsort or toposort) or topological ordering of a directed graph is a
 linear ordering of its vertices such that for every directed edge uv
 from vertex u to vertex v, u comes before v in the ordering.
 .
 This package provides this algorithm for Python 3.

Package: python3-tornado
Description-md5: 5eea30356134805547a49ecf9245de4e
Description-en: scalable, non-blocking web server and tools - Python 3 package
 Tornado is a Python web framework and asynchronous networking library,
 originally developed at FriendFeed. By using non-blocking network I/O,
 Tornado can scale to tens of thousands of open connections, making it ideal
 for long polling, WebSockets, and other applications that require a
 long-lived connection to each user.
 .
 This is the Python 3 version of the package.

Package: python3-tornado4
Description-md5: 5eea30356134805547a49ecf9245de4e
Description-en: scalable, non-blocking web server and tools - Python 3 package
 Tornado is a Python web framework and asynchronous networking library,
 originally developed at FriendFeed. By using non-blocking network I/O,
 Tornado can scale to tens of thousands of open connections, making it ideal
 for long polling, WebSockets, and other applications that require a
 long-lived connection to each user.
 .
 This is the Python 3 version of the package.

Package: python3-toro
Description-md5: 8be49d50ae078c81a65cf12560bb8442
Description-en: Synchronization primitives for Tornado coroutines (python3)
 A set of locking and synchronizing primitives analogous to those in Python’s
 threading module or Gevent’s coros, for use with Tornado’s gen.engine.
 .
 This package provides python3 support.

Package: python3-tosca-parser
Description-md5: 999c2d6a7fe4bc7c66ee6ceaea146dc2
Description-en: parser for TOSCA Simple Profile in YAML - Python 3.x
 The TOSCA Parser is an OpenStack project. It is developed to parse TOSCA
 Simple Profile in YAML. It reads the TOSCA templates and creates an
 in-memory graph of TOSCA nodes and their relationship.
 .
 TOSCA stands for: Topology and Orchestration Specification for Cloud
 Application.
 .
 This package contains the Python 3.x module.

Package: python3-tower-cli
Description-md5: 2124433867087ee5e54298705aadc16b
Description-en: Python 3 client library for the Ansible Tower and AWX Project's REST API
 tower-cli can also be used as a client library for other Python apps, or
 as a reference for others developing API interactions with Tower's REST API.
 .
 This package contains the Python 3 version of the library.

Package: python3-tqdm
Description-md5: ceb5716f1595589bccc169ae3720220b
Description-en: fast, extensible progress bar for Python 3 and CLI tool
 tqdm (read taqadum, تقدّم) means “progress” in Arabic.  tqdm instantly makes
 your loops show a smart progress meter, just by wrapping any iterable with
 "tqdm(iterable)".
 .
 This package contains the Python 3 version of tqdm and its command-line tool.

Package: python3-traitlets
Description-md5: ee38defa89609cc8e81c3ac1b1bf6cf2
Description-en: Lightweight Traits-like package for Python 3
 A lightweight pure-Python derivative of Enthought Traits, used
 for configuring Python objects.
 .
 It powers the config system of IPython and Jupyter.
 .
 This package contains the library for Python 3.

Package: python3-traits
Description-md5: 5808a2f409bfd6b10b15e164205e3764
Description-en: Manifest typing and reactive programming for Python (Python 3)
 The traits package provides a metaclass with special attributes that
 are called traits. A trait is a type definition that can be used for
 normal Python object attributes, giving the attributes some
 additional characteristics:
  * Initialization: A trait attribute can have a default value
  * Validation: A trait attribute is manifestly typed.
  * Delegation: The value of a trait attribute can be contained in another
    object
  * Notification: Setting the value of a trait attribute can fired
    callbacks
  * Visualization: With the TraitsUI package, GUIs can be generated
    automatically from traited objects.
 .
 This is the Python 3 version of the package.

Package: python3-traitsui
Description-md5: 689a2e01eee310aa756b174a7e028bf6
Description-en: traits-capable user interfaces
 The traitsui project contains a toolkit-independent GUI abstraction
 layer, which is used to support the "visualization" features of the
 Traits package. Thus, you can write code in terms of the Traits API
 (views, items, editors, etc.), and let traitsui and your selected
 toolkit and back-end take care of the details of displaying them.

Package: python3-trajectory-msgs
Description-md5: 26526f861089d7f295d774f1f5123690
Description-en: Messages relating to Robot OS trajectory, Python 3 interface
 This package is part of Robot OS (ROS), and provides messages for
 defining robot trajectories. These messages are also the building
 blocks of most of the https://wiki.ros.org/control_msgs
 .
 This package contains the generated Python 3 package.

Package: python3-transaction
Description-md5: b36ac5f482f791c47a0eddd117346a07
Description-en: Transaction management for Python
 This package contains a generic transaction implementation for Python.

Package: python3-transip
Description-md5: c4e4fadcc098a1b093f4f8ebd9a7595f
Description-en: Implementation of the TransIP API (Python 3)
 This package provides a Python implementation of
 an API for DNS provider TransIP. It allows users
 to create, modify and delete DNS records programmatically.
 .
 This package installs the library for Python 3.

Package: python3-transitions
Description-md5: 2f4450d70328f7c58c9fcc718406349b
Description-en: Lightweight state machine library (Python 3)
 transitions is a lightweight, object-oriented state machine implementation.
 .
 This is the Python 3 version of the package.

Package: python3-translate
Description-md5: 174f8d439e4944ab2397601afcd4acec
Description-en: Toolkit assisting in the localization of software (Python 3)
 The Translate Toolkit is a Python library and a set of software designed
 to help make the lives of localizers both more productive and less
 frustrating.
 .
 The software includes programs to convert localization formats to the
 common PO format and programs to check and manage PO files and
 utilities to create word counts, merge translations and perform
 various checks on PO files.
 .
 Supported localization storage formats are: DTD, properties,
 OpenOffice.org GSI/SDF, CSV, MO, Qt .ts and of course PO and XLIFF.
 .
 This package includes the Translate Toolkit modules for Python 3.

Package: python3-translation-finder
Description-md5: 95cadfbb7bfa4e92322d738269c4a126
Description-en: Translation file finder for Weblate
 This library is used by Weblate to discover translation files in a
 cloned repository.

Package: python3-translationstring
Description-md5: f376633e311fac882e39ad87bee2e696
Description-en: Utility library for i18n relied on by various Repoze packages - Python 3.x
 A library used by various Repoze packages for internationalization (i18n)
 duties related to translation.
 .
 This package provides a translation string class, a translation string
 factory class, translation and pluralization primitives, and a utility
 that helps Chameleon templates use translation facilities of this package.
 It does not depend on Babel, but its translation and pluralization
 services are meant to work best when provided with an instance of the
 babel.support.Translations class.
 .
 This package provides the Python 3.x module.

Package: python3-transliterate
Description-md5: 07a76652c188da55adb01971661cd072
Description-en: Bi-directional transliterator for Python
 Transliterates strings according to the rules specified in one of the
 following the language packs.
 .
  * Armenian
  * Bulgarian (beta)
  * Georgian
  * Greek
  * Macedonian (alpha)
  * Mongolian (alpha)
  * Russian
  * Ukrainian (beta)

Package: python3-transmissionrpc
Description-md5: 5ea330e4d00bc891f72583299695dd6d
Description-en: Transmission RPC client module for Python 3
 transmissionrpc is a Python module implementing the JSON-RPC client protocol
 for the Bittorrent client Transmission. All Transmission features
 implemented up to version 1.93.
 .
 Also, package includes Python script helical.py, with CLI and interactive
 interfaces, which demonstrates transmissionrpc module usage.
 .
 This package contains the Python 3 module.

Package: python3-treetime
Description-md5: 08684c07bc46e3c9a45e193598335689
Description-en: inference of time stamped phylogenies and ancestral reconstruction (Python 3)
 TreeTime provides routines for ancestral sequence reconstruction and the
 maximum likelihoo inference of molecular-clock phylogenies, i.e., a tree
 where all branches are scaled such that the locations of terminal nodes
 correspond to their sampling times and internal nodes are placed at the
 most likely time of divergence.
 .
 TreeTime aims at striking a compromise between sophisticated
 probabilistic models of evolution and fast heuristics. It implements GTR
 models of ancestral inference and branch length optimization, but takes
 the tree topology as given. To optimize the likelihood of time-scaled
 phylogenies, treetime uses an iterative approach that first infers
 ancestral sequences given the branch length of the tree, then optimizes
 the positions of unconstraine d nodes on the time axis, and then repeats
 this cycle. The only topology optimization are (optional) resolution of
 polytomies in a way that is most (approximately) consistent with the
 sampling time constraints on the tree. The package is designed to be
 used as a stand-alone tool or as a library used in larger phylogenetic
 analysis workflows.
 .
 Features
  * ancestral sequence reconstruction (marginal and joint maximum
    likelihood)
  * molecular clock tree inference (marginal and joint maximum
    likelihood)
  * inference of GTR models
  * rerooting to obtain best root-to-tip regression
  * auto-correlated relaxed molecular clock (with normal prior)
 .
 This package provides the Python 3 module.

Package: python3-treq
Description-md5: ca2b33ad82697cf0e211f366d4997fc0
Description-en: Higher level API for making HTTP requests with Twisted (Python 3)
 Treq is a HTTP library inspired by requests written on top of Twisted.
 It provides a simple, higher level API for making HTTP requests when using
 Twisted.
 .
 This is the Python 3 package.

Package: python3-trezor
Description-md5: 1a785622d9ee74ba5d55be8c38d7e5ae
Description-en: library for communicating with TREZOR Bitcoin HW wallet (Python 3)
 No matter how unprotected your computer or internet connection might be,
 your coins always stay safe with TREZOR as it never exposes your private keys.
 TREZOR is an isolated environment for offline transaction signing and using
 a small display you can visually verify the transaction contents. That's why
 all operations using TREZOR are entirely safe.
 .
 This package contains the Python 3 version of python-trezor.

Package: python3-trie
Description-md5: 26c07e7620b59abba0b87a9d12c943b6
Description-en: Pure Python implementation of the trie data structure (Python 3)
 A trie is an ordered tree data structure that is used to store a mapping where
 the keys are sequences, usually strings over an alphabet.
 In addition to implementing the mapping interface, tries allow finding the
 items for a given prefix, and vice versa, finding the items whose keys are
 prefixes of a given key.
 .
 This is the Python 3 package.

Package: python3-trio
Description-md5: 53d43656f30448ce1636ff08ad70e408
Description-en: Python async concurrency and I/O library
 Trio is a Python async concurrency and I/O library like Twisted or the asyncio
 standard library, but with an API rethink following lessons learned from
 asyncio and Dave Beazley's Curio. The author claims that the resulting design
 is radically simpler than older competitors like asyncio and Twisted, yet just
 as capable.
 .
 This project is young and still somewhat experimental. The API has not yet
 been declared stable. If you need more mature or with a more stable API,
 then Python's asyncio library, or the older Twisted, are probably better bets.

Package: python3-trollimage
Description-md5: ab847e94496bbef0a2e6bcc73b9a7ca9
Description-en: Pytroll imaging library
 Trollimage is a collection of modules that assist with basic image
 management in pytroll packages.
 It provides modules for easy management of images, colorspaces and
 colormaps.

Package: python3-trollsched
Description-md5: 1261f89dd63ec7aa49c21fc895707375
Description-en: Scheduling satellite passes in Python
 The package provides a Python library and tools for reception
 scheduling of polar orbit weather satellites.
 This package is part of the PyTroll software suite.

Package: python3-trollsift
Description-md5: 4222304d947c3e60aa768c780e4c3694
Description-en: String parser/formatter for PyTroll packages
 Trollsift is a collection of modules that assist with formatting,
 parsing and filtering satellite granule file names.
 These modules are useful and necessary for writing higher level
 applications and api’s for satellite batch processing.

Package: python3-trololio
Description-md5: 66b45b2ea9173cc1208f49757cea9fbb
Description-en: Trollius and asyncio compatibility library (Python 3)
 Trololio provides a compatibility layer for Trollius and asyncio (aka
 Tulip). It addresses the differences listed in Trollius and Tulip:
 .
  * Allows the use of Trollius' syntax with asyncio.
  * Provides missing objects and aliases for the others.
  * Synchronizes debug environnement variables.
 .
 This package installs the library for Python 3.

Package: python3-trove
Description-md5: db9c16e9e61ab2c45b5521b5cb6c376c
Description-en: Database as a Service for OpenStack - Python 3 lib
 Trove is "Database as a Service" for Openstack. It's designed to allow users
 to quickly and easily utilize the features of a relational database without
 the burden of handling complex administrative tasks.
 .
 Cloud users and database administrators can provision and manage multiple
 database instances as needed. The service focus on providing resource
 isolation at high performance while automating deployment, configuration,
 patching, backups, restores, and monitoring. Trove is designed to support a
 single-tenant database within a Nova instance. There is no restrictions on how
 Nova is configured, since Trove interacts with other OpenStack components
 purely through the API.
 .
 This package provides the Trove Python 3 library.

Package: python3-trove-dashboard
Description-md5: eccaac8e20e9cc3ea4e91a17c7bdeed7
Description-en: Database as a Service for OpenStack - Python 3 dashboard plugin
 Trove is Database as a Service for Openstack. It's designed to run entirely on
 OpenStack, with the goal of allowing users to quickly and easily utilize the
 features of a relational database without the burden of handling complex
 administrative tasks. Cloud users and database administrators can provision
 and manage multiple database instances as needed. Initially, the service will
 focus on providing resource isolation at high performance while automating
 complex administrative tasks including deployment, configuration, patching,
 backups, restores, and monitoring. Trove is designed to support a
 single-tenant database within a Nova instance. There is no restrictions on how
 Nova is configured, since Trove interacts with other OpenStack components
 purely through the API.
 .
 This package provides the Python 3 Trove dashboard plugin.

Package: python3-trustme
Description-md5: 5256f675fe08e14630ddd48549fd6c42
Description-en: fake certificate authority for test use
 trustme is a tiny Python package that gives you a fake certificate authority
 (CA) that you can use to generate fake TLS certificates to use in tests. Its
 only useful purpose is as a dependency of test suites.

Package: python3-tsk
Description-md5: 803f0e34c53e7a9e0dbf47377082c309
Description-en: Python Bindings for The Sleuth Kit
 This package contains Python 3 bindings to libtsk3, the shared library
 that provides all the functionality of The Sleuth Kit.

Package: python3-ttystatus
Description-md5: bc60aa030513fe5b64712b581f8ac4d3
Description-en: terminal progress bar and status output for Python
 ttystatus is a Python library for showing progress reporting and status
 updates on terminals, for (Unix) command line programs. Output is
 automatically adapted to the width of the terminal: truncated if it does
 not fit, and re-sized if the terminal size changes.
 .
 Output is provided via widgets. Each widgets formats some data into
 a suitable form for output. It gets the data either via its initializer,
 or from key/value pairs maintained by the master object. The values are
 set by the user. Every time a value is updated, widgets get updated
 (although the terminal is only updated every so often to give user time
 to actually read the output).
 .
 The output from ttystatus goes to the terminal (`/dev/tty`) and is
 restricted to a single line.

Package: python3-tvdb-api
Description-md5: 44682e2ec35bfa059c610a4ca5c3cb89
Description-en: API interface to TheTVDB.com (Python 3)
 An easy to use API interface to TheTVDB.com .

Package: python3-tweepy
Description-md5: a8291411b82d45c00ba0463029ef2d87
Description-en: Twitter library for Python 3
 Tweepy is a Twitter and Identi.ca library for Python
 that can be used in desktop and web applications. It has the
 following features:
  - OAuth support
  - Covers the entire Twitter API
  - Streaming API support
  - Cache system (memory, file)
  - Easy to use
 .
 This package is for Python3.

Package: python3-twilio
Description-md5: 53ae84a56edd30b2777826d17f817cc5
Description-en: Twilio API client and TwiML generator (Python 3)
 This package contains a Twilio helper library. The Twilio REST SDK simplifies
 the process of making calls using the Twilio REST API. The Twilio REST API
 lets to you initiate outgoing calls, list previous calls, and much more.
 .
 This package installs the library for Python 3.

Package: python3-twitter
Description-md5: b8bf0a71a5092ead7d8c4f309fec171d
Description-en: Twitter API wrapper for Python 3
 This library provides a pure Python 3 interface for the Twitter API.
 .
 Twitter provides a service that allows people to connect via the web, IM, and
 SMS. Twitter exposes a web services API (http://twitter.com/help/api) and this
 library is intended to make it even easier for Python programmers to use.

Package: python3-twodict
Description-md5: df86f28d2ab8707cb103c738ec2e08bc
Description-en: Simple two way ordered dictionary for Python 3
 TwoWayOrderedDict is a custom dictionary in which you can get the key:value
 relationship but you can also get the value:key relationship. It also
 remembers the order in which the items were inserted and supports almost all
 the features of the build-in dict.
 .
 This package installs the library for Python 3.

Package: python3-twython
Description-md5: 6187b9c963028efd52348ded8326b0fb
Description-en: Pure Python3 wrapper for the Twitter API
 Twython is the premier Python3 library providing an easy (and up-to-date) way
 to access Twitter data. Supports both normal and streaming Twitter APIs.
 Twython can query data for:
  -User information
  -Twitter lists
  -Timelines
  -Direct Messages.
 Twython implements many features that work with the different tasks that can be
 performed with the Twitter images like update user status with images,
 change user avatar, change user background image, change user banner image.

Package: python3-txacme
Description-md5: f5ea3a7eb07567ef3b911d43202a1cc6
Description-en: ACME protocol implementation for Twisted
 ACME is Automatic Certificate Management Environment, a protocol that
 allows clients and certificate authorities to automate verification
 and certificate issuance. The ACME protocol is used by the free
 Let's Encrypt Certificate Authority.
 .
 txacme is an implementation of the protocol for Twisted, the
 event-driven networking engine for Python.

Package: python3-txaio
Description-md5: 38c9154d811b53100c3d65da31ee65d5
Description-en: compatibility API between asyncio/Twisted/Trollius - Python 3.x
 Txaio is a helper library for writing code that runs unmodified on both
 Twisted and asyncio.
 .
 This is like six , but for wrapping over differences between Twisted and
 asyncio so one can write code that runs unmodified on both (aka "source code
 compatibility"). In other words: users can choose if they want asyncio or
 Twisted as a dependency.
 .
 This package contains the Python 3.x module.

Package: python3-txsni
Description-md5: c0a116615108e4dc892261418cc2869f
Description-en: easy-to-use SNI endpoint for Twisted (Python 3)
 This package adds support for SNI to Twisted.
 .
 Twisted is an event-based framework for internet applications.

Package: python3-txtorcon
Description-md5: b02cb4754dffc302271459bc5b66db82
Description-en: Twisted-based asynchronous Tor control protocol implementation (Python 3)
 txtorcon main feature is to present an asynchronous API to speak the Tor
 client protocol in Python. It also provides abstractions to track and get
 updates about Tor's state and current configuration (including writing it to
 Tor or disk), along with helpers to asynchronously launch slave instances of
 Tor including Twisted endpoint support.
 .
 Twisted is an event-driven networking engine written in Python and Tor is an
 onion-routing network designed to improve people's privacy and anonymity on the
 Internet.
 .
 This package contains the Python 3 module.

Package: python3-txws
Description-md5: c4592d19231b34bb508d7f2c6f31f119
Description-en: Python module to add Websocket support to the Twisted framework (Python 3)
 txWS (pronounced "Twisted WebSockets") is a small, short, simple library
 for adding WebSockets server support to your favorite Twisted
 applications.
 .
 Websocket is a protocol providing full-duplex communication channels over TCP
 mostly used in Web browsers.
 .
 Twisted is an event-based framework for internet applications.
 .
 This package installs the library for Python 3.

Package: python3-txzmq
Description-md5: 201622c3a609dc133ee8cbce3c501a67
Description-en: ZeroMQ binding for the Twisted framework (Python3 version)
 txZMQ allows one to integrate easily 0MQ sockets into Twisted event loop
 (reactor).
 .
 0MQ is a high performance asynchronous brokerless messaging library.
 .
 Twisted is an event-based framework for internet applications.
 .
 This package contains the Python 3 version of the library.

Package: python3-typed-ast
Description-md5: 20d1693e3e3dbc7ab8baacc6a9b6ecf0
Description-en: AST with PEP 484 type comments support
 A fork of the CPython 2.7 and 3.5 ast modules with the ability to parse
 PEP 484 type comments. The primary goals of this package are correctness and
 speed.

Package: python3-typedload
Description-md5: cc239c0cf96c27f3473bf13fac05276a
Description-en: Load and dump json-like data into typed data structures in Python3
 This module provides an API to load dictionaries and lists (usually loaded
 from json) into Python's NamedTuples, dataclass, sets, enums, and various
 other typed data structures; respecting all the type-hints and performing
 type checks or casts when needed.
 .
 It can also dump from typed data structures to json-like dictionaries and
 lists.
 .
 It is very useful for projects that use Mypy and deal with untyped data like
 json, because it guarantees that the data will follow the desired schema.

Package: python3-typeguard
Description-md5: 40a5f2998d5b68eb092d701af24b4e29
Description-en: Run-time type checker for Python
 This library provides run-time type checking for functions defined with
 argument type annotations. This can be done in one of three ways:
  * calling check_argument_types() from within the function body
  * decorating the function with @typechecked
  * using `with TypeChecker('packagename')`
 .
 This package installs the library for Python 3.

Package: python3-typing-extensions
Description-md5: 477046e48ef1402e32b2ee5a1196dff7
Description-en: Backported and Experimental Type Hints for Python
 The typing module was added to the standard library in Python 3.5 on a
 provisional basis and will no longer be provisional in Python 3.7. However,
 this means users of Python 3.5 - 3.6 who are unable to upgrade will not be
 able to take advantage of new types added to the typing module, such as
 typing.Text or typing.Coroutine.
 .
 The typing_extensions module contains both backports of these changes as well
 as experimental types that will eventually be added to the typing module, such
 as Protocol.
 .
 Users of other Python versions should continue to install and use the typing
 module from PyPI instead of using this one unless specifically writing code
 that must be compatible with multiple Python versions or requires experimental
 types.

Package: python3-typogrify
Description-md5: edc1a8b792e873e7f630ec01c83ee3fa
Description-en: filters to transform text into typographically-improved HTML (Python 3)
 This provides a set of custom filters that automatically apply various
 transformations to plain text in order to yield typographically-improved HTML.
 While often used in conjunction with Jinja and Django template systems, the
 filters can be used in any environment.
 .
 This is the Python 3 version of the package.

Package: python3-tzlocal
Description-md5: 072c13146bdfbc4f47dd1ca4ac702609
Description-en: tzinfo object for the local timezone
 This Python module returns a tzinfo object with the local timezone
 information.
 .
 Example of usage:
 .
    >>> from tzlocal import get_localzone
    >>> tz = get_localzone()
    >>> tz
    <DstTzInfo 'Europe/Warsaw' WMT+1:24:00 STD>

Package: python3-u-msgpack
Description-md5: 1a75cabd095ecdf26d37c5a858df803b
Description-en: Python3 MessagePack serializer and deserializer
 A lightweight MessagePack serializer and deserializer module written in pure
 Python, compatible with both Python 2 and Python 3, as well as CPython and
 PyPy implementations of Python. u-msgpack-python is fully compliant with the
 latest MessagePack specification. In particular, it supports the new binary,
 UTF-8 string, and application-defined ext types.
 .
 This is the Python 3 package.

Package: python3-u2flib-server
Description-md5: 653ffadf8cb6326d6512d154546774b5
Description-en: Universal 2nd Factor (U2F) server communication Python3 module
 Python3-u2flib-server is a library for server-side U2F authentication
 and provide the Registration and Authentication functions.
 This package contains Python3 modules and an example web server.

Package: python3-ua-parser
Description-md5: 4bd4a04a21cfb5f664c9a598a58b8161
Description-en: Python module for parsing HTTP User-Agent strings
 Parse the User-Agent string from a web browser or other HTTP client.
 Extracts information and version numbers for the device, operating
 system and the user agent.

Package: python3-uamqp
Description-md5: 6b53db6dc326d090b619d309c8d3cf4c
Description-en: AMQP 1.0 client library for Python
 A cython client library for the AMQP 1.0 protocol, implemented using the Azure
 uAMQP library for C.

Package: python3-ubjson
Description-md5: 6d3125208c2284aa1d0ba0e99d42948a
Description-en: Universal Binary JSON encoder/decoder for Python 3
 Universal Binary JSON encoder/decoder based on the draft-12 specification.
 It’s meant to behave very much like Python’s built-in JSON module
 .
 This is the Python 3 package.

Package: python3-ubuntutools
Description-md5: 7e13f84ccece24b357aee792b7904beb
Description-en: useful APIs for Ubuntu developer tools — Python 3 library
 This package ships a collection of APIs, helpers and wrappers used to
 develop useful utilities for Ubuntu developers.
 .
 This package installs the library for Python 3.

Package: python3-udatetime
Description-md5: 85172763536fe4b14ce7cdad5304d906
Description-en: fast RFC3339 compliant date-time library (Python 3)
 This module offers faster datetime object instantiation, serialization and
 deserialization of RFC3339 date-time strings, than Python's datetime module.
 It uses Python's datetime class under the hood so, code already using datetime
 should be able to easily switch to udatetime. All datetime objects created
 by udatetime are timezone aware.
 .
 This package installs the library for Python 3.

Package: python3-ufl
Description-md5: 395b8e60882b147d267e6d5a0c7e70d7
Description-en: unified language for form-compilers (Python 3)
 UFL (Unified Form Language) is a unified language for definition of
 variational forms intended for finite element discretization. More
 precisely, it defines a fixed interface for choosing finite element
 spaces and defining expressions for weak forms in a notation close to
 mathematical notation.  The form compilers FFC and SyFi use UFL as
 their end-user interface, producing UFC implementations as their
 output.
 .
 This package installs the library for Python 3.

Package: python3-uflash
Description-md5: b95c7c1e6929d0b6c75a0742558c2861
Description-en: module and utility for flashing the BBC micro:bit
 uflash is a utility for flashing the BBC micro:bit with Python scripts
 and the MicroPython runtime.
 .
 It provides two services:
 .
  * a library of functions to programmatically create a hex file and flash
    it onto a BBC micro:bit.
  * a command line utility (uflash) that will flash Python scripts onto
    a BBC micro:bit
 .
 Several essential operations are implemented:
 .
  * encode Python into the hex format
  * embed the resulting hexified Python into the MicroPython runtime hex
  * extract an encoded Python script from a MicroPython hex file
  * discover the connected micro:bit
  * copy the resulting hex onto the micro:bit, thus flashing the device
  * specify the MicroPython runtime hex in which to embed your Python code
 .
 By default, python3-uflash will use the MicroPython runtime for micro:bit
 provided by the firmware-microbit-micropython{,-dl} packages and which is
 located in /usr/share/firmware-microbit-micropython/firmware.hex. Please
 ensure one of these packages is installed so that a default runtime is
 always available.
 .
 This package provides the Python 3 module.

Package: python3-uflash-doc
Description-md5: 42b438bb444105aa811c70a46ed2a92c
Description-en: module and utility for flashing the BBC micro:bit (documentation)
 uflash is a utility for flashing the BBC micro:bit with Python scripts
 and the MicroPython runtime.
 .
 It provides two services:
 .
  * a library of functions to programmatically create a hex file and flash
    it onto a BBC micro:bit.
  * a command line utility (uflash) that will flash Python scripts onto
    a BBC micro:bit
 .
 Several essential operations are implemented:
 .
  * encode Python into the hex format
  * embed the resulting hexified Python into the MicroPython runtime hex
  * extract an encoded Python script from a MicroPython hex file
  * discover the connected micro:bit
  * copy the resulting hex onto the micro:bit, thus flashing the device
  * specify the MicroPython runtime hex in which to embed your Python code
 .
 By default, python3-uflash will use the MicroPython runtime for micro:bit
 provided by the firmware-microbit-micropython{,-dl} packages and which is
 located in /usr/share/firmware-microbit-micropython/firmware.hex. Please
 ensure one of these packages is installed so that a default runtime is
 always available.
 .
 This package provides the uflash documentation.

Package: python3-ufo2ft
Description-md5: 4aa229ed338a6077bd89da3b5ef5f076
Description-en: Bridge from UFOs to fonttools objects
 python3-ufo2ft converts fonts from UFO, a common font source format, to
 fonttools Python objects, which can subsequently saved as OTF or TTF
 binaries.

Package: python3-ufolib2
Description-md5: 4f857e8522c583cd9e97b75d9b1373ec
Description-en: Unified Font Object (UFO) fonts library
 ufoLib2 reads and writes Unified Font Object (UFO) files.
 UFO is a file format that stores fonts source files.

Package: python3-ufonormalizer
Description-md5: ae9c3e427979820a17dc1c1f5ec0dcb2
Description-en: Python library to normalize the XML and other data inside of a UFO
 This tool normalizes the XML and other data inside of a UFO (Unified
 Font Object) file.

Package: python3-ufoprocessor
Description-md5: 13f7640055392596a4bed40d93738afa
Description-en: Process and generate Unified Font Object (UFO) files
 Python package based on the designSpaceDocument from fontTools.designspaceLib
 specifically to process and generate instances for UFO files, glyphs and other
 data.

Package: python3-uhd
Description-md5: fce65a0b1c471c0ce59179ca381c84ff
Description-en: universal hardware driver for Ettus Research products - Python3
 Host library for the Universal Hardware Driver for Ettus Research products.
 .
 The supported devices provide analog radio receiver and transmitter hardware
 along with digital interfaces for getting signals to and from a software
 defined radio running on the host computer.
 .
 This package contains the Python API support for Python3.

Package: python3-uinput
Description-md5: 5c2705a93e81a6ea40cefe1eef77c1c2
Description-en: Pythonic API to Linux uinput kernel module (Python3)
 Python-uinput is Python interface to Linux uinput kernel module which allows
 attaching userspace device drivers into kernel. In practice, Python-uinput
 makes it dead simple to create virtual joysticks, keyboards and mice for
 generating arbitrary input events programmatically.
 .
 This package contains the python3 library.

Package: python3-ujson
Description-md5: abfd4b7abb5ebef31715809995b02044
Description-en: ultra fast JSON encoder and decoder for Python 3
 UltraJSON is an ultra fast JSON encoder and decoder written in pure C with
 bindings for Python.
 .
 This package contains the Python 3 module of ujson.

Package: python3-ujson-dbg
Description-md5: 5a4743c4b39fd77e625438e874fcf9c0
Description-en: ultra fast JSON encoder and decoder for Python 3 (debug ext)
 UltraJSON is an ultra fast JSON encoder and decoder written in pure C with
 bindings for Python.
 .
 This package contains the debug extension for python3-ujson.

Package: python3-ukui-menu
Description-md5: 23a304a750e38851536e6b78080d2ec9
Description-en: implementation of the freedesktop menu specification for UKUI (Python bindings)
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org.
 .
 This package contains the Python bindings.

Package: python3-ulmo
Description-md5: 856f788ac7c22756c35fc8a038e1a034
Description-en: Simple & fast Python 3 access to public hydrology & climatology data
 Ulmo retrieves and parses datasets from the web, and returns simple Python
 data structures that can be easily pulled into more sophisticated tools such
 as pandas. It caches datasets locally and harvests updates as needed.
 .
 A variety of datasets and services are supported, including the USGS National
 Water Information System, USGS National Elevation Dataset services, USGS
 Earth Resources Observation Systems services, NASA Daymet weather data, and
 several more both available and planned.
 .
 This package contains the Python 3 version of ulmo.

Package: python3-unbound
Description-md5: af30f925fc4c43d06de34592670b36e8
Description-en: library implementing DNS resolution and validation (Python3 bindings)
 Python3 extension module for libunbound.
 .
 libunbound performs and validates DNS lookups; it can be used to convert
 hostnames to IP addresses and back and obtain other information from the
 DNS. Cryptographic validation of results is performed with DNSSEC.

Package: python3-uncertainties
Description-md5: 856baa0f5d5e32fcf792450eeed19033
Description-en: Python3 module for calculations with uncertainties
 uncertainties is a Python3 module, which allows calculations such as
 .
   (0.2 +/- 0.01) * 2 = 0.4 +/- 0.02
 .
 to be performed transparently; much more complex mathematical expressions
 involving numbers with uncertainties can also be evaluated transparently.
 .
 Correlations between expressions are correctly taken into account; x-x is
 thus exactly zero, for instance. The uncertainties produced by this module
 are what is predicted by error propagation theory.

Package: python3-unicodecsv
Description-md5: 72eb06de732815f6ec093b60aff64d0b
Description-en: drop-in replacement for Pythons CSV module with Unicode support
 The unicodecsv is a drop-in replacement for Pythons CSV module
 which supports Unicode strings without a hassle.
 .
 This is the module for Python 3.

Package: python3-unidecode
Description-md5: 1bba0fb2519c65e430935f928b929a34
Description-en: ASCII transliterations of Unicode text (Python 3 module)
 It often happens that you have text data in Unicode, but you need to represent
 it in ASCII for display. One could represent non-roman Unicode characters as
 "???" or "\\15BA\\15A0\\1610", but neither is useful to the user reading the
 text.
 .
 Unidecode tries to represent it in ASCII characters (i.e., the universally
 displayable characters between 0x00 and 0x7F), where the compromises taken
 when mapping between two character sets are chosen to be near what a human
 with a US keyboard would choose.
 .
 This module generally produces better results than simply stripping accents
 from characters (which can be done in Python with built-in functions). It is
 based on hand-tuned character mappings that for example also contain ASCII
 approximations for symbols and non-Latin alphabets.
 .
 unidecode is a Python 3 port of the Text::Unidecode Perl module.

Package: python3-unpaddedbase64
Description-md5: 21be43752cf12243d34c482af300865b
Description-en: unpadded Base64 implementation in Python 3
 A module to encode and decode Base64 without "=" padding.
 .
 RFC 4648 specifies that Base64 should be padded to a multiple of 4 bytes
 using "=" characters. However this conveys no benefit so many protocols choose
 to use Base64 without the "=" padding.
 .
 This package is for Python 3.

Package: python3-uranium
Description-md5: beb1ea6fc7a7134caa1f549845fe0fff
Description-en: application framework for Cura (Python library)
 Uranium is a Python framework for building 3D printing related applications.
 .
 It is mainly used by Cura.
 .
 This package contains the base library for Python 3.

Package: python3-uritemplate
Description-md5: a75df3447ca4dee8de18619f3417803b
Description-en: implementation of RFC6570 - Python 3.x
 python-uritemplate implements RFC6570. URI Template can expand templates up to
 and including Level 4 in that specification. It exposes one method, "expand".
 .
 This package provides the Python 3.x module.

Package: python3-uritools
Description-md5: 838df7a81a6123df439dd27c60c746a2
Description-en: RFC 3986 compliant replacement for urlparse
 This module provides RFC 3986 compliant functions for parsing, classifying and
 composing URIs and URI references, largely replacing the Python Standard
 Library's urllib.parse module.
 .
 For various reasons, the Python 2 urlparse module is not compliant with
 current Internet standards, does not include Unicode support, and is generally
 unusable with proprietary URI schemes. Python 3's urllib.parse improves on
 Unicode support, but the other issues still remain.
 .
 This module aims to provide fully RFC 3986 compliant replacements for some
 commonly used functions found in urlparse and urllib.parse, plus additional
 functions for conveniently composing URIs from their individual components.

Package: python3-urlobject
Description-md5: 3f04c932d0d5bc6a2c6ead0e24b79fd8
Description-en: utility class for manipulating URLs.
 URLObject is a utility class for manipulating URLs. The latest
 incarnation of this library builds upon the ideas of its
 predecessor, but aims for a clearer API, focusing on proper
 method names over operator overrides. It's also being developed
 from the ground up in a test-driven manner, and has full
 Sphinx documentation.
 .
 This is the Python 3 version of the package.

Package: python3-urwid
Description-md5: 8cba78d6404141cf03a131f5915ddef6
Description-en: curses-based UI/widget library for Python 3
 Urwid is a console user interface library that includes many features
 useful for text console application developers including:
 .
  * Fluid interface resizing (xterm window resizing/fbset on Linux console)
  * Web application display mode using Apache and CGI
  * Support for UTF-8, simple 8-bit and CJK encodings
  * Multiple text alignment and wrapping modes built-in
  * Ability to create user-defined text layout classes
  * Simple markup for setting text attributes
  * Powerful list box that handles scrolling between different widget types
  * List box contents may be managed with a user-defined class
  * Flexible edit box for editing many different types of text
  * Buttons, check boxes and radio boxes
  * Customizable layout for all widgets
  * Easy interface for creating HTML screen shots
 .
 This is the Python 3 version of the package.

Package: python3-urwid-satext
Description-md5: 3f741f8a6ab838e74a447a8a61a3a0fd
Description-en: collection of urwid widgets for Salut à Toi
 Urwid-satext is a Python library providing a set of widgets based on top of
 Urwid: buttons, text fields, frames, etc. Please refer to the official web
 page for the complete list of features.

Package: python3-urwid-utils
Description-md5: 22ddba2984f585733f2a256d92e497e4
Description-en: Collection of simple, straightforward, but extensible utilities for urwid
 Light wrappers and object-oriented interfaces to some parts of urwid
 that are otherwise less-so.

Package: python3-urwidtrees
Description-md5: 6a069022761b9fb3103feacf3f236b98
Description-en: Urwid Tree Container API
 This is a Widget Container API for the urwid toolkit. It uses a MVC
 approach and allows one to build trees of widgets. Its design goals are:
 .
  * clear separation classes that define, decorate and display trees of.
  * widgets representation of trees by local operations on node positions.
  * easy to use default implementation for simple trees Collapses are.
  * considered decoration.
 .
 This package is for Python 3 environment.

Package: python3-usagestats
Description-md5: 6422ab4e09f8f9eb38c36d814f849a82
Description-en: Python3 module to collect usagestats from users
 This is a module to collect anonymous Usage statistics of code.

Package: python3-usb
Description-md5: c38ed2531a10a11af296ee28c245a611
Description-en: USB interface for Python (Python3)
 For interacting with USB devices directly from Python, this
 library may come in handy.
 .
 This version of python-usb is written entirely in Python and uses
 ctypes to interact with various USB libraries
 .
 This package contains the Python 3 version of pyusb.

Package: python3-user-agents
Description-md5: 19084e336f4ffb82ef183b6f013a6485
Description-en: Pure Python implementation for detecting which user agent is used
 This is a Python library that provides an easy way to
 identify/detect devices like mobile phones, tablets and their
 capabilities by parsing (browser/HTTP) user agent strings. The goal is
 to reliably detect whether:
 .
 - User agent is a mobile, tablet or PC based device
 - User agent has touch capabilities (has touch screen)

Package: python3-utidylib
Description-md5: 8d8e27811a5b1a9c0331bf1f0064c857
Description-en: Python wrapper for TidyLib
 Corrects markup in a way compliant with the latest standards, and
 optimal for the popular browsers.  It has a comprehensive knowledge
 of the attributes defined in the HTML 4.0 recommendation from W3C,
 and understands the US ASCII, ISO Latin-1, UTF-8 and the ISO 2022
 family of 7-bit encodings.  In the output:
 .
  * HTML entity names for characters are used when appropriate.
  * Missing attribute quotes are added, and mismatched quotes found.
  * Tags lacking a terminating '>' are spotted.
  * Proprietary elements are recognized and reported as such.
  * The page is reformatted, from a choice of indentation styles.
 .
 This package contains uTidylib, a Python 3 wrapper for TidyLib.

Package: python3-utmp
Description-md5: fd947f53790b9c81fe0aa5bdde2563af
Description-en: python module for working with utmp
 python-utmp provides 3 modules to access utmp and wtmp records.
 utmpaccess is lowlevel module wrapping glibc functions, UTMPCONST
 provides useful constants, and utmp is module build
 on top of utmpaccess module, providing object oriented
 interface.

Package: python3-uvicorn
Description-md5: 92603f5142cadb20bc69720f9404f16e
Description-en: ASGI server implementation, using uvloop and httptools (Python3 version)
 Uvicorn is a fast ASGI server, built on uvloop and httptools. It currently
 supports HTTP/1.1 and WebSockets.
 .
 Uvicorn is designed with particular attention to connection and resource
 management, in order to provide a robust server implementation. It aims to
 ensure graceful behavior to either server or client errors, and resilience to
 poor client behavior or denial of service attacks.
 .
 This package contains the Python 3 version of the library.

Package: python3-uvloop
Description-md5: 7a05777ec96ff3228768f98884f4851d
Description-en: fast implementation of asyncio event loop on top of libuv
 uvloop is a fast, drop-in replacement of the built-in asyncio
 event loop. uvloop is implemented in Cython and uses libuv
 under the hood. It makes asyncio 2-4x faster.

Package: python3-uvloop-dbg
Description-md5: c81fa2b1e9881512cc675a88cf86fcd9
Description-en: fast implementation of asyncio event loop on top of libuv - dbg
 uvloop is a fast, drop-in replacement of the built-in asyncio
 event loop. uvloop is implemented in Cython and uses libuv
 under the hood. It makes asyncio 2-4x faster.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-uwsgidecorators
Description-md5: 88c152ff5aca00ae42f5ce9c36c7a798
Description-en: module of decorators for elegant access to uWSGI API (Python 3)
 uwsgidecorators is a simple Python module that exports a bunch of decorators
 to allow a more elegant approach to uWSGI API. Provided decorators helps with
 creating of RPC functions, timers, filemonitors.
 .
 This package provides uwsgidecorators module
 for Python 3.
 .
 uwsgidecorators module is not targeted at Python 3 officially, so some
 decorators may work improperly or not at all.

Package: python3-v-sim
Description-md5: 1caa2b6bb926fa7c4138a808302f1217
Description-en: Python bindings for V_Sim (a 3D visualization package)
 Using gobject-introspection and python-gobject, one can access V_Sim API
 using Python.
 .
 This package contains the Python bindings for V_Sim, a 3D
 visualization package for solid state physics.

Package: python3-vagrant
Description-md5: 183ff2d001bae4855e779897190567e6
Description-en: Python 3 bindings for interacting with Vagrant virtual machines
 python-vagrant is a Python module that provides a _thin_ wrapper
 around the `vagrant` command line executable, allowing programmatic
 control of Vagrant virtual machines (boxes).  This project began to
 create Python bindings for Vagrant to programmatically access vagrant
 boxes using Fabric. This module is useful for:
 .
  - Starting a Vagrant virtual machine (VM) (`up`)
  - Terminating a Vagrant VM (`destroy`)
  - Halting a Vagrant VM without destroying it (`halt`)
  - Querying the status of a VM or VMs (`status`)
  - Getting ssh configuration information useful for SSHing into the
    VM (`host`, `port`, ...)
  - Running `vagrant` commands in a multi-VM environment
    (http://vagrantup.com/v1/docs/multivm.html) by using `vm_name`
    parameter
  - Initializing the VM based on a named base box, using init().
  - Adding, Removing, and Listing boxes (`box add`, `box remove`,
    `box list`)
  - Provisioning VMs - up() accepts options like `no_provision`,
    `provision`, and `provision_with`, and there is a `provision()`
    method
  - Using sandbox mode from the Sahara gem:
    https://github.com/jedi4ever/sahara
 .
 This package is _beta_ and its API is not guaranteed to be
 stable. The API attempts to be congruent with the `vagrant` API
 terminology, to facilitate knowledge transfer for users already
 familiar with Vagrant. Over time, the python-vagrant API has changed
 to better match the underling `vagrant` CLI and to evolve with the
 changes in that CLI.
 .
 The package version numbering is in the form `0.X.Y`. The initial `0`
 reflects the _beta_ nature of this project. The number `X` is
 incremented when backwards-incompatible changes occur. The number `Y`
 is incremented when backwards-compatible features or bug fixes are
 added.
 .
 This package provides the Python 3.x module.

Package: python3-validictory
Description-md5: f6df2683e369cee938b7333d06b3d8ae
Description-en: general purpose Python data validator (Python 3)
 Validictory is a schema validator based on the JSON Schema
 Proposal (http://json-schema.org).
 .
 This package contains bindings for Python 3

Package: python3-vatnumber
Description-md5: 3edefbe06c4c686621f705ed1c2a99d5
Description-en: Python module to validate VAT numbers (implemented in Python 3)
 This is a Python module to check the validity of Value Added Tax (VAT) numbers
 of States inside the European Union. It uses the validation rules according to
 http://sima-pc.com/nif.php.
 .
 Additionally this module provides a function for online validation of given VAT
 numbers by the VIES VAT number validation (SOAP Service) of the Taxation and
 Customs Union: http://ec.europa.eu/taxation_customs/vies/vieshome.do
 .
 This package is targeting Python version 3.

Package: python3-vcf
Description-md5: 2a19878b4f9afa9eb1fc202889cb51d2
Description-en: Variant Call Format (VCF) parser for Python 3
 The Variant Call Format (VCF) specifies the format of a text file used
 in bioinformatics for storing gene sequence variations. The format has
 been developed with the advent of large-scale genotyping and DNA
 sequencing projects, such as the 1000 Genomes Project.
 .
 The intent of this module is to mimic the ``csv`` module in the Python
 stdlib, as opposed to more flexible serialization formats like JSON or
 YAML. ``vcf`` will attempt to parse the content of each record based on
 the data types specified in the meta-information lines -- specifically
 the ##INFO and
 ##FORMAT lines. If these lines are missing or incomplete, it will check
 against the reserved types mentioned in the spec. Failing that, it will
 just return strings.
 .
 This package provides the Python 3 modules.

Package: python3-vcr
Description-md5: 1494fc1b08ba7b240d5a7448f0e3d550
Description-en: record and replay HTML interactions (Python3 library)
 vcr.py records all interactions that take place through the HTML libraries
 it supports and writes them to flat files, called cassettes (YAML format by
 default). These cassettes could be replayed then for fast, deterministic
 and accurate HTML testing.
 .
 vcr.py supports the following Python HTTP libraries:
  - urllib2 (stdlib)
  - urllib3
  - http.client (Python3 stdlib)
  - Requests
  - httplib2
  - Boto (interface to Amazon Web Services)
  - Tornado's HTTP client
 .
 This package contains the modules for Python 3.

Package: python3-vcstools
Description-md5: 2fc989b2395aae9da831dbf9e1af0b15
Description-en: VCS/SCM Python source control library for svn, git, hg, and bzr (Python 3)
 This package is part of Robot OS (ROS). The vcstools module provides
 a Python API for interacting with different version control systems
 (VCS/SCMs). The VcsClient class provides an API for seamlessly
 interacting with Git, Mercurial (Hg), Bzr and SVN. The focus of the
 API is manipulating on-disk checkouts of source-controlled trees.
 .
 This package installs the library for Python 3.

Package: python3-vcversioner
Description-md5: 7b4163af31d056057d93abbe5cd24a41
Description-en: Use version control tags to discover version numbers (Python3 version)
 vcversioner autodiscovers a Python project's version number using
 version control system tags. This allows developers to avoid
 duplicating version information between their VCS and their setup.py
 metadata.
 .
 When the package is built, vcversioner generates a version.txt file
 that can be used for release tarballs.
 .
 Currently, vcversioner supports the git and mercurial (hg) VCS.
 .
 This package contains vcversioner for Python 3.x

Package: python3-venusian
Description-md5: a429ecfc172d2f0933c73dbda07bb269
Description-en: library for deferring decorator actions
 Venusian is a library which allows framework authors to defer decorator
 actions. Instead of taking actions when a function (or class) decorator is
 executed at import time, you can defer the action usually taken by the
 decorator until a separate "scan" phase.
 .
 This is the Python 3 version.

Package: python3-venv
Description-md5: 2ba431bd8cbe86a20aafe2f832a01efa
Description-en: pyvenv-3 binary for python3 (default python3 version)
 Python, the high-level, interactive object oriented language,
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.
 .
 This package is a dependency package, which depends on Debian's default
 Python 3 version (currently v3.8).

Package: python3-versioneer
Description-md5: 3a2824e2ee5716124e7d97e52e9c9da4
Description-en: determine version from repository tag
 This is a tool for managing a recorded version number in distutils-based
 python projects. The goal is to remove the tedious and error-prone
 "update the embedded version string" step from your release
 process. Making a new release should be as easy as recording a new tag
 in your version-control system, and maybe making new tarballs.

Package: python3-versiontools
Description-md5: 36823b4f669926fad778ab897eb97057
Description-en: Smart replacement for plain tuple used in __version__ (Python 3)
 versiontools is a Python module providing a smart replacement for plain tuple
 used in __version__. It has following features:
 .
  * A piece of code that allows you to keep a single version definition inside
    your package or module. No more hacks in setup.py, no more duplicates in
    setup.py and somewhere else. Just one version per package.
  * Version objects can produce nice version strings for released files that
    are compliant with PEP 386. Releases, alphas, betas, development snaphots.
    All of those get good version strings out of the box.
  * Version objects understand the VCS used by your project. Git, Mercurial and
    Bazaar are supported out of the box. Custom systems can be added by 3rd
    party plugins.
  * Version object that compares as a tuple of values and sorts properly.
  * Zero-dependency install! If all you care about is handling setup() to get
    nice tarball names then you don’t need to depend on versiontools (no
    setup_requires, no install_requires!). You will need to bundle a small
    support module though.
 .
 This is the Python 3 version of the package.

Package: python3-veusz
Description-md5: 9ccc01f0b7dd52ec6233ecb7581d7ef2
Description-en: 2D and 3D scientific plotting application with graphical interface
 Veusz is a 2D and 3D scientific plotting and graphing package,
 designed to produce publication-ready PDF, SVG, Postscript and bitmap
 output. Veusz provides a GUI, command line and scripting interface
 (based on Python) to its plotting facilities. The plots are built
 using an object-based system to provide a consistent interface.
 .
 This package includes the Python 3 plotting module.

Package: python3-veusz.helpers
Description-md5: 60f36d8ae3d2cff4a3a7364e06b21333
Description-en: 2D and 3D scientific plotting application with graphical interface
 Veusz is a 2D and 3D scientific plotting and graphing package,
 designed to produce publication-ready PDF, SVG, Postscript and bitmap
 output. Veusz provides a GUI, command line and scripting interface
 (based on Python) to its plotting facilities. The plots are built
 using an object-based system to provide a consistent interface.
 .
 This package includes the architecture-specific parts of the Python 3 plotting
 module.

Package: python3-vigra
Description-md5: afb53d77d5d8d2f2ce7e51df4968a131
Description-en: Python3 bindings for the C++ computer vision library
 Vision with Generic Algorithms (VIGRA) is a computer vision library
 that puts its main emphasis on flexible algorithms, because
 algorithms represent the principle know-how of this field. The
 library was consequently built using generic programming as
 introduced by Stepanov and Musser and exemplified in the C++ Standard
 Template Library. By writing a few adapters (image iterators and
 accessors) you can use VIGRA's algorithms on top of your data
 structures, within your environment.
 .
 This package exports the functionality of the VIGRA library to Python3.

Package: python3-virtkey
Description-md5: 8c2336b183b659fecb90cbeeb21ba686
Description-en: Library to emulate keyboard keypresses.
 python3-virtkey is a python3 extension for emulating keypresses and
 getting the keyboard geometry from the xserver.

Package: python3-virtualenv
Description-md5: 68475ede56256c57f2515775d6edcc06
Description-en: Python virtual environment creator
 The virtualenv utility creates virtual Python instances, each invokable
 with its own Python executable.  Each instance can have different sets
 of modules, installable via easy_install.  Virtual Python instances can
 also be created without root access.
 .
 This is the Python 3 version of the library.  It includes the command line
 script.

Package: python3-virtualenv-clone
Description-md5: d86c342b315d9b01cdc1dbd58dda6e08
Description-en: script for cloning a non-relocatable virtualenv (Python3)
 Virtualenv provides a way to make virtualenv's relocatable which could then be
 copied as wanted. However making a virtualenv relocatable this way breaks
 the no-site-packages isolation of the virtualenv as well as other aspects that
 come with relative paths and '/usr/bin/env' shebangs that may be undesirable.
 .
 Also, the .pth and .egg-link rewriting doesn't seem to work as intended. This
 attempts to overcome these issues and provide a way to easily clone an
 existing virtualenv.
 .
 This is the Python3 package.

Package: python3-virtualenvwrapper
Description-md5: f1b91c63c7253bb85e30e91b149a3e51
Description-en: extension to virtualenv for managing multiple environments (Py3)
 virtualenvwrapper is a set of extensions to Ian Bicking's virtualenv
 tool. The extensions include wrappers for creating and deleting
 virtual environments and otherwise managing your development
 workflow, making it easier to work on more than one project at a time
 without introducing conflicts in their dependencies.
 .
 This package installs the library for Python 3.

Package: python3-virustotal-api
Description-md5: 53b7f881af550b70b159cf24caa2028b
Description-en: Virus Total Public/Private/Intel API for Python
 This package contains Python 3 API bindings for VirusTotal's
 public, private and intelligence APIs.
 The VirusTotal API lets you upload and scan files or URLs, access
 finished scan reports and make automatic comments without the need
 of using the website interface. The VirusTotal Intelligence API
 exposes some VirusTotal Intelligence functionality for programmatic
 interaction, such as working with Hunting rulesets, automating
 notifications, and automating file searches and downloads.

Package: python3-vispy
Description-md5: 55d7d61f130348be9ddefff91d07509e
Description-en: interactive visualization in Python 3
 Vispy is a high-performance interactive 2D/3D data visualization library.
 .
 Vispy leverages the computational power of modern Graphics Processing Units
 (GPUs) through the OpenGL library to display very large datasets.
 .
 This package provides VisPy for the Python 3 interpreter.

Package: python3-visualization-msgs
Description-md5: 555ee745600f7b093bdc7291f405bb14
Description-en: Messages relating to Robot OS visualization, Python 3 interface
 This package is part of Robot OS (ROS), and provides a set of
 messages used by higher level packages, such as rviz, that deal in
 visualization-specific data.
 .
 The main messages in visualization_msgs is
 visualization_msgs/Marker.  The marker message is used to
 send visualization "markers" such as boxes, spheres, arrows, lines,
 etc. to a visualization environment such as
 https:///www.ros.org/wiki/rviz See the rviz tutorial
 https://www.ros.org/wiki/rviz/Tutorials for more information.
 .
 This package contains the generated Python 3 package.

Package: python3-vitrage
Description-md5: 272512964d8792a09175a30110793e3f
Description-en: OpenStack RCA as a Service - Python libs
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package provides the Python libraries.

Package: python3-vitrage-dashboard
Description-md5: 209980f12c653c8eb8f87ccbe0d8c304
Description-en: OpenStack Vitrage - dashboard plugin
 Vitrage is the OpenStack RCA (Root Cause Analysis) service for organizing,
 analyzing and expanding OpenStack alarms & events, yielding insights
 regarding the root cause of problems and deducing their existence
 before they are directly detected.
 .
 This package contains the OpenStack dashboard plugin.

Package: python3-vitrageclient
Description-md5: b1ccbd22327b3dc192e9f112e84ffeac
Description-en: OpenStack root cause analysis as a Service client - Python 3.x
 Vitrage is the OpenStack RCA (Root Cause Analysis) Service for
 organizing, analyzing and expanding OpenStack alarms & events,
 yielding insights regarding the root cause of problems and deducing
 the existence of problems before they are directly detected.
 .
 This package contains the Python 3.x module.

Package: python3-vlc
Description-md5: c0f11d6346aa9110171e358b3952f6ad
Description-en: VLC Python bindings
 This package provides a module with ctypes-based bindings (see
 http://wiki.videolan.org/PythonBinding) for the native libvlc
 API (see http://wiki.videolan.org/LibVLC) of the VLC video
 player.

Package: python3-vmware-nsx
Description-md5: 478d4c8131000de6e350ed2d41a74459
Description-en: OpenStack virtual network service - Python 3 VMWare NSX plugin
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the Python 3 files for the VMWare NSX plugin.

Package: python3-vmware-nsxlib
Description-md5: 2892b9dd3aca25b1905fccdf3528978b
Description-en: A common library that interfaces with VMware NSX (Python 3)
 Library that interfaces with vmware nsx.
 .
 This package installs the library for Python 3.

Package: python3-vnlog
Description-md5: eb434393e222e98709aea7475dc085d1
Description-en: Toolkit to read/write/manipulate whitespace-separated ASCII logs
 Vnlog (pronounced "vanillog") is a trivially-simple log format consisting of an
 ASCII table with comments and labelled fields. This package provides a simple
 Python3 library to parse such logs.

Package: python3-vobject
Description-md5: 44f9f930a96f85659e14049a42685433
Description-en: parse iCalendar and vCards in Python3
 Parses iCalendar and vCard files into Python3 data structures, decoding the
 relevant encodings. Also serializes vobject data structures to valid iCalendar
 or vCard unicode strings.

Package: python3-volatildap
Description-md5: 5815fd33960fee36473a0d004494a895
Description-en: Temporary slapd launcher for testing purposes
 volatildap provides simple helpers for testing code against a LDAP database.
 .
 Its main features include:
 .
   * Simple configuration: Don’t provide anything the LDAP server will start
     with sane defaults
   * Built-in cleanup: As soon as the test ends / the test process exits, the
     server is instantly removed
   * Cross-distribution setup: Automatically discover system paths for
     OpenLDAP binaries, schemas, etc.
 .
 This package provides the Python3 version of volatildap

Package: python3-volume-key
Description-md5: 5e2900ee95948f83fdc3dcd1c144c986
Description-en: Python 3 bindings for volume-key
 This package provides Python3 bindings for the volume-key library.
 .
 The main goal of the software is to allow restoring access to an encrypted
 hard drive if the primary user forgets the passphrase.  The encryption key
 back up can also be useful for extracting data after a hardware or software
 failure that corrupts the header of the encrypted volume, or to access the
 company data after an employee leaves abruptly.

Package: python3-voluptuous-serialize
Description-md5: 3a4d89887d4547a83df67aed3d755034
Description-en: Code for converting voluptuous schemas to Python dictionaries
 Voluptuous is used to validate data coming into Python. This package allows
 converting these rules to a straightforward Python dict, which then can be
 exported to a text file.

Package: python3-vsts-cd-manager
Description-md5: 10ad10322761cfb3d4e7f05b32a1773a
Description-en: Visual Studio Team Services Continuous Delivery Manager
 This project provides the class ContinuousDeliveryManager and
 supporting classes for Azure. This CD manager class allows the caller
 to manage Azure Continuous Delivery pipelines that are maintained
 within a VSTS account.

Package: python3-vtk-dicom
Description-md5: b84c9fed0e12aa80fd4816d26c799f3d
Description-en: DICOM for VTK - Python
 This package contains a set of classes for managing DICOM
 files and metadata from within VTK, and some utility programs
 for interrogating and converting DICOM files.
 .
 Python 3.x bindings

Package: python3-vtk7
Description-md5: 607f6e2cea47851cd2f36d2226862577
Description-en: Python bindings for VTK
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the python3 bindings for VTK.

Package: python3-vtkgdcm
Description-md5: 56d3434afd2cc682fc774af6fae2c4a7
Description-en: Grassroots DICOM VTK/Python bindings
 Grassroots DiCoM is a C++ library for DICOM medical files. It is
 automatically wrapped to python/C#/Java (using swig). It supports
 RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated.
 .
 VTK/Python bindings to the GDCM DICOM library.

Package: python3-vtkplotter
Description-md5: 5ca9240af0e23d9991df0045dd00cf4f
Description-en: python module for 3D scientific visualization with VTK
 A python module for scientific visualization, analysis and animation
 of 3D objects and point clouds based on VTK and numpy.
 .
 Intuitive and straightforward API which can be combined with VTK
 seamlessly in a program, whilst maintaining access to the full range
 of VTK native classes.
 .
 It includes a large set of working examples for the all following
 functionalities:
 .
   *   Import meshes from VTK format, STL, Wavefront OBJ, 3DS, XML,
       Neutral, GMSH, OFF, PCD (PointCloud), volumetric TIFF stacks, SLC,
       MHD, 2D images PNG, JPEG.
   *   Export meshes as ASCII or binary to VTK, STL, OBJ, PLY formats.
   *   Mesh analysis through the built-in methods of VTK package.
       Additional analysis tools like Moving Least Squares, mesh
       morphing.
   *   Tools to visualize and edit meshes (cutting a mesh with another
       mesh, slicing, normalizing, moving vertex positions, etc..).
       Interactive cutter widget.
   *   Split mesh based on surface connectivity. Extract the largest
       connected area.
   *   Calculate mass properties, like area, volume, center of mass,
       average size etc.
   *   Calculate vertex and face normals, curvatures, feature edges.
       Fill mesh holes.
   *   Subdivide faces of a mesh, increasing the number of vertex
       points. Mesh simplification.
   *   Coloring and thresholding of meshes based on associated scalar
       or vectorial data.
   *   Point-surface operations: find nearest points, determine if a
       point lies inside or outside a mesh.
   *   Create primitive objects like: spheres, arrows, cubes, torus,
       ellipsoids...
   *   Generate glyphs (associating a mesh to each vertex of a source
       mesh).
   *   Create animations easily by just defining the position of the
       displayed objects in the 3D scene. Add trailing lines to moving
       objects automatically.
   *   Straightforward support for multiple sync-ed or independent
       renderers in the same window.
   *   Registration (alignment) of meshes with different techniques.
   *   Mesh smoothing with Laplacian and WindowedSinc algorithms.
   *   Delaunay triangulation in 2D and 3D.
   *   Generate meshes by joining nearby lines in space.
   *   Find the closest path from one point to another, travelling
       along the edges of a mesh.
   *   Find the intersection of a mesh with a line (or with another
       mesh).
   *   Analysis of Point Clouds:
       -  Moving Least Squares smoothing of 2D, 3D and 4D clouds
       -  Fit lines, planes and spheres in space
       -  Perform PCA (Principal Component Analysis) on point
          coordinates
       -  Identify outliers in a distribution of points
       -  Decimate a cloud to a uniform distribution.
   *   Basic histogramming and function plotting in 1D and 2D.
   *   Interpolate scalar and vectorial fields with Radial Basis
       Functions and Thin Plate Splines.
   *   Analysis of volumetric datasets:
       -  Isosurfacing of volumes
       -  Direct maximum projection rendering
       -  Generate volumetric signed-distance data from an input
          surface mesh
       -  Probe a volume with lines and planes.
   *   Add sliders and buttons to interact with the scene and the
       individual objects.
   *   Examples using SHTools package for spherical harmonics
       expansion of a mesh shape.
   *   Integration with the Qt5 framework.
   *   Support for FEniCS/dolfin package.
 .
 vtkplotter is published in M. Musy et al. "vtkplotter, a python
 module for scientific visualization and analysis of 3D objects and
 point clouds based on VTK (Visualization Toolkit)", Zenodo, 10
 February 2019, doi:10.5281/zenodo.2561402.
 .
 This package installs the library for Python 3.
 It also include vtkplotter and vtkconvert executables.

Package: python3-vulndb
Description-md5: 54663107b19e28c369d6967b8a00b858
Description-en: Python3 tool to provide access to the vulndb information
 It's a Python3 SDK to access the vulnerability database.
 User, contributor and developer-friendly vulnerability database.
 The goal is to provide a vulnerability database which is:
  * Actionable, easy to read and understand for developers and sysadmins
    who need to fix the vulnerability
  * Easy to integrate by developers into any vulnerability scanner, report
    generator, penetration testing tool or related tool.
  * Trivial to contribute to, by using JSON to store the vulnerabilities

Package: python3-w3lib
Description-md5: bf858cdf9b666de37a9c267fc3601c5d
Description-en: Collection of web-related functions (Python 3)
 Python module with simple, reusable functions to work with URLs, HTML,
 forms, and HTTP, that aren’t found in the Python standard library.
 .
 This module is used to, for example:
  - remove comments, or tags from HTML snippets
  - extract base url from HTML snippets
  - translate entities on HTML strings
  - encoding mulitpart/form-data
  - convert raw HTTP headers to dicts and vice-versa
  - construct HTTP auth header
  - RFC-compliant url joining
  - sanitize urls (like browsers do)
  - extract arguments from urls
 .
 The code of w3lib was originally part of the Scrapy framework but was later
 stripped out of Scrapy, with the aim of make it more reusable and to provide
 a useful library of web functions without depending on Scrapy.
 .
 This is the Python 3 version of the package.

Package: python3-waiting
Description-md5: 67209ac20c95be324641920276cfc830
Description-en: Python module for waiting for events to happen (Python 3 version)
 The waiting module is designed to wait for events to occur. The waiting
 routine polls a given predicate function until it returns True, polling on
 a regular basis, at defined times, with exponential back-off or with a
 time-out.
 .
 This package contains the Python 3 version of the waiting module.

Package: python3-wand
Description-md5: bc8e48ebb1bdb345422e5c2e91944900
Description-en: Python interface for ImageMagick library (Python 3 build)
 Wand is a ctypes-based simple ImageMagick binding for Python. It
 doesn't cover all functionalities of MagickWand API currently.
 .
 Wand provides Pythonic and modern interfaces, good documentation,
 binding through ctypes (which works on PyPy) and installation using
 pip or easy_install.
 .
 This module is built for Python version 3.x.

Package: python3-watchdog
Description-md5: 555095158cb43c4b4280d49cf0c36b8a
Description-en: Python API and shell utilities to monitor file system events - Python 3.x
 Watchdog comes with a utility script called watchmedo. It can be used to
 execute commands in response to events.
 .
 This is the Python 3.X version.

Package: python3-watcher
Description-md5: ca554424362cd1e7e6ab7936691204a4
Description-en: OpenStack Cloud Optimization as a Service - Python 3 libraries
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a complete
 optimization loop-including everything from a metrics receiver, complex event
 processor and profiler, optimization processor and an action plan applier.
 This provides a robust framework to realize a wide range of cloud optimization
 goals, including the reduction of data center operating costs, increased
 system performance via intelligent virtual machine migration, increased energy
 efficiency-and more!
 .
 This package contains the Python 3 libraries that are part of Watcher.

Package: python3-watcher-dashboard
Description-md5: 719e2fcf21ff5a6da38b61ce6fc9bd59
Description-en: OpenStack Optimization as a service - dashboard plugin
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a robust
 framework to realize a wide range of cloud optimization goals, including the
 reduction of data center operating costs, increased system performance via
 intelligent virtual machine migration, increased energy efficiency and more!
 .
 This package contains the OpenStack dashboard plugin.

Package: python3-watcherclient
Description-md5: 5bc0c7de3f261022316e1bacfefe96ff
Description-en: OpenStack Cloud Optimization as a Service client - Python 3.x
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a complete
 optimization loop-including everything from a metrics receiver, complex event
 processor and profiler, optimization processor and an action plan applier.
 This provides a robust framework to realize a wide range of cloud optimization
 goals, including the reduction of data center operating costs, increased
 system performance via intelligent virtual machine migration, increased energy
 efficiency-and more!
 .
 This package contains the Python 3.x module and the Watcher CLI.

Package: python3-watson
Description-md5: 8e331e7b474defb384493d53d1aed4e3
Description-en: Library for Watson (Python 3)
 Watson is here to help you monitoring your time.
 .
 You want to know how much time you are spending on your
 projects? You want to generate a nice report for your
 client?
 .
 Watson is here for you.
 .
 This package installs the library used by Watson.

Package: python3-watson-developer-cloud
Description-md5: 62db41280faa75b3db3fa9e46e30dbc5
Description-en: Python client library to use the IBM Watson Services (Python 3)
 Python client library to quickly interface with various IBM Watson Cloud
 services. It requires Watson Service Credentials.
 .
 The services supported are:
  - AlchemyAPI Language service
  - AlchemyAPI Vision service
  - AlchemyData News service
  - Conversation service
  - Document Conversion service
  - Language Translation service
  - Language Translator service
  - Natural Language Classifier service
  - Personality Insights service
  - Retrieve and Rank service
  - Speech to Text service
  - Text to Speech service
  - Tone Analyzer service
  - Tradeoff Analytics service
  - Visual Recognition service
 .
 This package installs the library for Python 3.

Package: python3-wchartype
Description-md5: a4d3a7024ad9d92c143aaa6faaf3a013
Description-en: handling double-byte (full-width) characters (Python 3)
 Wchartype is a Python module for getting the types of double-byte (full-width)
 characters.
 .
 This package installs the library for Python 3.

Package: python3-webassets
Description-md5: c4a54e5599217a2a6523725d47cbfcea
Description-en: Asset management application for Python web development (Python 3)
 Assets helps you merge and compress any JavaScript and CSS files on your web
 development project.
 .
 This is the Python 3 version of the package.

Package: python3-webcolors
Description-md5: 6c9560a32c715737b6bed905d00f97d9
Description-en: python3 library of color names and value formats
 For python3, support is included for the following formats, but this support
 RGB colorspace only.
  * Specification-defined color names
  * Six-digit hexadecimal
  * Three-digit hexadecimal
  * Integer rgb() triplet
  * Percentage rgb() triplet
 This module conversion to/from HSL can be handled by the "colorsys" module in
 the Python standard library.

Package: python3-webdav
Description-md5: 26c97765eb3f525f83a50643488ea9f6
Description-en: WebDAV server implementation in Python (Python3)
 PyWebDAV is a WebDAV server implementation in Python. It's aim is to provide a
 simple interface to webdav services to any application which needs it. It can
 be run as a daemon.
 .
 This package is targeting Python version 3.

Package: python3-webpy
Description-md5: a5789156e370ce7a3b093aac44c5c50c
Description-en: Web framework for Python applications
 Web.py by Aaron Swartz offers a clean and well designed API for Python web
 applications.
 .
 The philosophy behind web.py is to: "Think about the ideal way to write a
 web app. Write the code to make it happen."
 .
 Implementations using this library have resulted in:
  * less code
  * code that ran faster
  * code that is easier to read and maintain.
 .
 This package provides the Python 3.x module.

Package: python3-websocket
Description-md5: 75d32cf0ef6b380b3e0f88a06b9b81d8
Description-en: WebSocket client library - Python 3.x
 websocket-client provides a low-level, synchronous API providing WebSocket
 client functionality to Python programs. It conforms to the WebSocket
 specification as standardized by the IETF in RFC 6455.
 .
 WebSocket is a protocol providing full-duplex communication channels over
 TCP, mostly used in Web browsers.
 .
 This package provides the Python 3.x version.

Package: python3-websockets
Description-md5: 63e9d5b46ca7d743c67ca64b8c0eb6f4
Description-en: implementation of the WebSocket Protocol (RFC 6455)
 websockets is a library for developing WebSocket servers and clients in
 Python. It implements RFC 6455 with a focus on correctness and simplicity.
 It passes the Autobahn Testsuite.
 .
 Built on top of Python's asynchronous I/O support introduced in PEP 3156,
 it provides an API based on coroutines, making it easy to write highly
 concurrent applications.

Package: python3-webview
Description-md5: 55cee3d13624105d222159c83d897a60
Description-en: Build GUI for your Python program with JavaScript, HTML, and CSS
 pywebview is a lightweight cross-platform wrapper around a webview component
 that allows on to display HTML content in its own native GUI window. It gives
 you power of web technologies in your desktop application, hiding the fact
 that GUI is browser based. You can use pywebview either with a lightweight web
 framework like Flask or Bottle or on its own with a two way bridge between
 Python and DOM.
 .
 pywebview uses native GUI for creating a web component window: WinForms on
 Windows, Cocoa on macOS and QT or GTK+ on Linux. If you choose to freeze your
 application, pywebview does not bundle a heavy GUI toolkit or web renderer
 with it keeping the executable size small. pywebview is compatible with both
 Python 2 and 3.

Package: python3-webvtt
Description-md5: ce4e0e766eebecdea76ee31fe54e75c9
Description-en: Read, write and segment WebVTT caption files (Python3 version)
 Python module for reading/writing WebVTT caption files. It also features
 caption segmentation useful when captioning HLS videos.
 .
 Converting captions from other formats is supported for:
  * SubRip (.srt)
  * YouTube SBV (.sbv)
 .
 This package contains the Python 3 version of the library.

Package: python3-wget
Description-md5: 90565ffb94595874ed0c60ed5c8ae691
Description-en: pure Python download utility for Python 3
 This package installs the wget module for Python version 3.x. wget module
 can be used to perform basic network downloads inside a Python script.
 .
 More information about the usage can be found at README.txt.

Package: python3-whatthepatch
Description-md5: 74b5a26dec3e98c16532cf8003df70b0
Description-en: Library for parsing patch files(Python 3)
 What The Patch!? is a library for parsing patch files. Its only purpose
 is to read a patch file and get it into some usable form by other
 programs.
 .
 This package installs the library for Python 3.

Package: python3-wheel
Description-md5: f239d28b37bcadf8b6416e23a48ae50d
Description-en: built-package format for Python
 A wheel is a ZIP-format archive with a specially formatted filename and the
 `.whl` extension.  It is designed to contain all the files for a PEP 376
 compatible install in a way that is very close to the on-disk format.
 .
 The wheel project provides a `bdist_wheel` command for setuptools.  Wheel
 files can be installed with `pip`.
 .
 This is the Python 3 compatible package.

Package: python3-wheezy.template
Description-md5: 1b0117b1400840bb71ab8c3245e64c05
Description-en: a lightweight template library (Python 3 package)
 wheezy.template is a lightweight template library in pure Python 3 code.
 .
  * Compact, expressive, clean: minimises the number of keystrokes
    required to build a template.
  * Intuitive, little time to learn: requires only basic Python
    programming skills plus HTML markup knowledge.
  * Do not repeat yourself: master layout templates for inheritance;
    include and import directives for maximum reuse.
  * Fast: maximum rendering performance.

Package: python3-whichcraft
Description-md5: 82116d23f46cfa23739c1556d4f13ed0
Description-en: cross-platform cross-python shutil.which functionality (Python 3 module)
 This is a shim of the "shutil.which" function that's designed to work across
 multiple versions of Python and inside of Windows. The code for Python 2.x is
 based on Python 3 code.
 .
 This package contains the Python 3 module.

Package: python3-whisper
Description-md5: 8374713acbb20e7c86a7ce26a3211741
Description-en: database engine for fast, reliable fixed-sized databases
 Whisper is a fixed size database, similar in design to the Round Robin
 database tool (RRDtool). Whisper is used by the Graphite scalable real
 time graphing system to store time-series data for later display.

Package: python3-whitenoise
Description-md5: 78b1299a1710a3b98c303fc667fdfbbc
Description-en: static file serving for WSGI applications (Python 3)
 With a couple of lines of config, WhiteNoise allows your web app to serve its
 own static files, making it a self-contained unit that can be deployed
 anywhere without relying on nginx, Amazon S3 or any other external service.
 .
 This package contains the Python 3 version of the library.

Package: python3-whois
Description-md5: 6f83f79abe8098c14a8d46e14b54c490
Description-en: Python module for retrieving WHOIS information
 This Python wrapper for the "whois" command has a simple interface to access
 parsed WHOIS data for a given domain.
 .
 It is able to extract data for many of the popular TLDs (com, org, net, biz,
 info, pl, jp, uk, nz, ...) and queries WHOIS servers directly instead of
 going through an intermediate web service.

Package: python3-whoosh
Description-md5: 185e37d01d8d79de3f70b6536bfaeef3
Description-en: pure-Python full-text indexing, search, and spell checking library (Python 3)
 Whoosh is a fast, pure-Python indexing and search library. Programmers
 can use it to easily add search functionality to their applications and
 websites. As Whoosh is pure Python, you don't have to compile or
 install a binary support library and/or make Python work with a JVM, yet
 indexing and searching is still very fast. Whoosh is designed to be
 modular, so every part can be extended or replaced to meet your needs
 exactly.
 .
 This package contains the python3 library

Package: python3-widgetsnbextension
Description-md5: 9b3181713cdf0f9afab015cb45bc0538
Description-en: Interactive widgets - Jupyter notebook extension (Python 3)
 Notebooks come alive when interactive widgets are used. Learning becomes an
 immersive and fun experience. Researchers can easily see how changing inputs
 to a model impact the results.
 .
 This package contains the server-side Jupyter notebook extension as a Python 3
 module that may be installed via the notebook.nbextensions executable module.
 .
 This package should *not be necessary* for Debian software to use; instead you
 should directly use the jupyter-nbextension-jupyter-js-widgets package. It is
 provided only for compatibility with non-Debian software.

Package: python3-wikitrans
Description-md5: 5e7c1b004306b61b2ef57ff58bfa90b5
Description-en: MediaWiki markup translator (python3 library)
 This package provides Python framework for translating WikiMedia articles to
 various formats. The present version supports conversions to plain text, HTML,
 and Texinfo formats.
 .
 This package contains the python3 library.

Package: python3-willow
Description-md5: 1eb3cbbb1e124614f9fdc131c013cfc6
Description-en: Python image library combining Pillow, Wand and OpenCV (Python 3)
 Willow is a simple image library that combines the APIs of Pillow, Wand and
 OpenCV. It converts the image between the libraries when necessary.
 .
 Willow currently has basic resize and crop operations, face and feature
 detection and animated GIF support. New operations and library integrations
 can also be easily implemented.
 .
 This is the Python 3 version of the package.

Package: python3-windowmocker
Description-md5: 20f83488e441d393f4828b330e200588
Description-en: create windows and applications from specifications
 Window Mocker is a simple tool that allows developers to create windows and
 applications that follow a certain specification. This is especially useful
 when testing applications that interact with other windows (like a window
 manager, for example).

Package: python3-winrm
Description-md5: 01b8722676385f8d3a9be813582237d2
Description-en: Python 3 library for Windows Remote Management
 pywinrm is a Python client for Windows Remote Management (WinRM). This
 allows you to invoke commands on target Windows machines from any machine
 that can run Python.
 .
 WinRM allows you to call native objects in Windows. These include, but are
 not limited to, running batch scripts, powershell scripts and fetching WMI
 variables.

Package: python3-wither
Description-md5: 15dd80b2a8d0c19e06df5189e5f0a44f
Description-en: XML/HTML Generation DSL (Python 3)
 Wither is a library designed to make XML generation under Python as
 simple and as nicely formatted as Python code.
 .
 Wither is implemented as a thin stateless wrapper around etree.Element
 objects and works by making use of the ‘with’ keyword in Python to build
 a nested tree of etree objects that can be processed with standard
 tools/techniques
 .
 by using Python as a DSL you can automatically ensure that all tags are
 properly closed and also execute arbitrary Python code to build things
 such as lists or to embed widgets
 .
 This package provides the Python 3 version of Wither.

Package: python3-wokkel
Description-md5: 85976a809644b36138918885a57507d5
Description-en: Python 3 collection of enhancements for Twisted
 python3-wokkel is a collection of enhancements on top of the
 Twisted networking framework, written in Python. It
 mostly provides a testing ground for enhancements to
 the Jabber/XMPP protocol implementation as found in
 Twisted Words, that are meant to eventually move there.
 .
 This package install python3 library.

Package: python3-workqueue
Description-md5: 4a7c1bb9aedf64c2d06da1eaa3b73ee1
Description-en: cooperative computing tools work queue Python3 bindings
 CCTools's Work Queue is a system and API for building master-worker style
 programs that scale up to thousands of processors. This package provides
 bindings to access this system from Python3.

Package: python3-wreport
Description-md5: 448329448f86a712694ce334b3503160
Description-en: Python library to work with BUFR and CREX weather bulletins
 Python bindings for wreport, a library to read and write weather reports in
 BUFR and CREX formats.

Package: python3-ws4py
Description-md5: 24196ffbe774102f04d17cf918bdeb91
Description-en: WebSocket library (Python 3)
 Python library providing an implementation of the WebSocket protocol defined
 in RFC 6455.
 .
 This is the Python 3 version of the package.

Package: python3-wsaccel
Description-md5: 417d52c9ec0064b4158f9deb3be1fbb9
Description-en: Accelerator for ws4py and AutobahnPython - Python 3.x
 WSAccell is WebSocket accelerator for AutobahnPython, ws4py and Tornado. It
 replaces per-byte process in them with Cython version. AutobahnPython
 beginning with version 0.6 automatically uses WSAccell if available.
 .
 This package provides the Python 3.x module.

Package: python3-wsgi-intercept
Description-md5: 41bba37d5f018511e0cf6888b10c1207
Description-en: installs a WSGI application in place of a real URI for testing (python3)
 Testing a WSGI application normally involves starting a server at a local host
 and port, then pointing your test code to that address. Instead, this library
 lets you intercept calls to any specific host/port combination and redirect
 them into a WSGI application importable by your test program. Thus, you can
 avoid spawning multiple processes or threads to test your Web app.
 .
 wsgi_intercept works by replacing httplib.HTTPConnection with a subclass,
 wsgi_intercept.WSGI_HTTPConnection. This class then redirects specific
 server/port combinations into a WSGI application by emulating a socket. If no
 intercept is registered for the host and port requested, those requests are
 passed on to the standard handler.
 .
 This package provides a python3 module.

Package: python3-wsgicors
Description-md5: b98d1dd5a66057ef0d5d97f6a796db8b
Description-en: WSGI middleware to handle CORS preflight requests for Python 3
 This is a WSGI middleware that answers CORS preflight
 requests and adds the needed header to the response. For CORS
 see: http://www.w3.org/TR/cors/.

Package: python3-wsgilog
Description-md5: 6513131cd0110311e14e3116ee63e643
Description-en: WSGI logging and event reporting middleware (Python 3)
 Supports logging events in WSGI applications to STDOUT, time rotated log
 files, email, syslog, and web servers. Also supports catching and sending
 HTML-formatted exception tracebacks to a web browser for debugging.
 .
 This package contains the Python 3 version of wsgilog.

Package: python3-wsgiproxy
Description-md5: be7c6f6d30be6f4c999352a4e8f7cae4
Description-en: minimalist WSGI server implementation using async
 This package provides a simple Python implementation of the
 WSGI interface using the waitress pure Python HTTP implementation.

Package: python3-wsproto
Description-md5: 4fd50e4bcf4144b1242ac45207f8af0d
Description-en: WebSockets state-machine based protocol implementation (Python3)
 Pure-Python implementation of a WebSocket protocol stack. It's
 written from the ground up to be embeddable in whatever program you
 choose to use, ensuring that you can communicate via WebSockets, as
 defined in RFC6455, regardless of your programming paradigm.
 .
 This is the Python3 package.

Package: python3-wstool
Description-md5: 2a001d577bf1df57fd369d415ee81ebd
Description-en: Commands to manage multi-VCS repositories (for Robot OS) Python 3
 This package is part of Robot OS (ROS). It provides commands to
 manage several local VCS repositories based on a single workspace
 definition file (.rosinstall). Git, Mercurial, Subversion, and Bazaar
 are supported.
 .
 wstool replaces the rosws tool for catkin workspaces. As catkin
 workspaces create their own setup file and environment, wstool is
 reduced to version control functions only. So wstool does not have a
 "regenerate" command, and does not allow adding non-version
 controlled elements to workspaces. In all other respects, it behaves
 the same as rosws.
 .
 This package installs the library for Python 3.

Package: python3-wtf-peewee
Description-md5: 714d9f3febc3de8a2f69151534e75db7
Description-en: WTForms integration for peewee models (Python 3)
 Bridge between wtforms.ext and peewee. It provides a bridge between
 peewee models and wtforms, mapping model fields to form fields.
 .
 This is the Python 3 package.

Package: python3-wtforms
Description-md5: 99e2b7de8fd14d11b3b6a4afd9f72df6
Description-en: flexible forms validation and rendering library for Python 3
 WTForms is a HTML form field generator which allows full customization
 with templates, using the template engine of your choice. It also allows
 one to create forms based on ORM models from Django, SqlAlchemy and the
 Google Appengine.
 .
 This package contains the module for Python 3.

Package: python3-wxgtk-media4.0
Description-md5: 1a255aa5296100e4e82a55301e087388
Description-en: Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.media)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides a Python 3 interface to wxMediaCtrl.  This is the Phoenix
 reimplementation of wxPython.

Package: python3-wxgtk-webview4.0
Description-md5: 39d807e3525e21686e1c51b1e5f100bd
Description-en: Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.html2)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides a Python 3 interface to wxWebView.  This is the Phoenix
 reimplementation of wxPython.

Package: python3-wxgtk4.0
Description-md5: fd6b23b671871c5575873be97987d989
Description-en: Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides a Python 3 interface to the wxGTK library and the
 wxPython runtime support libraries.  This is the Phoenix reimplementation of
 wxPython.

Package: python3-x2go
Description-md5: 6f9b8149295877c65d6b680d65ce238e
Description-en: Python module providing X2Go client API (Python 3)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 This Python module allows you to integrate X2Go client
 support into your Python applications by providing a
 Python-based X2Go client API (for Python 3).

Package: python3-x2gobroker
Description-md5: e7776d4374591a5d8409bfc8cce3600c
Description-en: X2Go Session Broker (Python3 modules)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains common files needed by all X2Go session
 brokers being package for this distribution.

Package: python3-x3dh
Description-md5: fe882c3005c10fc22f99e966a6ff8704
Description-en: Python 3 implementation of the X3DH key agreement protocol
 This python library offers an implementation of the Extended Triple
 Diffie-Hellman key agreement protocol (X3DH) as specified here.
 .
 The goal is to provide a configurable and independent implementation of
 the protocol, while keeping the structure close to the specification.
 .
 This library was developed as part of python-omemo, a pretty cool
 end-to-end encryption protocol.
 .
 This package provides the Python 3.x module.

Package: python3-xapian
Description-md5: 93b773d5c95200fab6dbf465a6f11f83
Description-en: Xapian search engine interface for Python3
 This package provides Xapian Python3 bindings.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
 .
 The Xapian Python bindings provide an interface to the Xapian library from the
 Python programming language, allowing both indexing and retrieval operations.

Package: python3-xapian-haystack
Description-md5: 5e43ae0149e2df6b3df16ddcf87f3b13
Description-en: Xapian backend for Django-Haystack (Python3 version)
 Xapian-haystack is a backend of Django-Haystack for the Xapian search engine.
 It provides all the standard features of Haystack:
  * Weighting
  * Faceted search (date, query, etc.)
  * Sorting
  * Spelling suggestions
  * EdgeNGram and Ngram (for autocomplete)
 The endswith search operation is not supported.
 .
 This package contains the Python 3 version of the library.

Package: python3-xapp
Description-md5: aa93aca45558b39a888f0a2deace34db
Description-en: Python 3 XApp library
 This package contains the Python 3 version of the library.

Package: python3-xarray
Description-md5: 61c5f2b61ddf27daa81c47ccbfa8a651
Description-en: N-D labeled arrays and datasets in Python 3
 xarray (formerly xray) is an open source project and Python package that aims
 to bring the labeled data power of pandas to the physical sciences, by
 providing N-dimensional variants of the core pandas data structures.
 .
 It provides a pandas-like and pandas-compatible toolkit for analytics on
 multi-dimensional arrays, rather than the tabular data for which pandas
 excels.
 .
 This package provides the Python 3 library

Package: python3-xcbgen
Description-md5: 8f2d5bf637a0f13adf82bf1460f12476
Description-en: X C Binding - protocol binding generator (Python 3)
 python3-xcbgen generates the X protocol C language bindings from XML
 descriptions.
 .
 The XCB library provides an interface to the X Window System protocol,
 designed to replace the Xlib interface.  XCB provides several advantages over
 Xlib:
 .
  * Size: small library and lower memory footprint
  * Latency hiding: batch several requests and wait for the replies later
  * Direct protocol access: one-to-one mapping between interface and protocol
  * Thread support: access XCB from multiple threads, with no explicit locking
  * Easy creation of new extensions: automatically generates interface from
    machine-parsable protocol descriptions

Package: python3-xcffib
Description-md5: 225ba8481e9b3f154d3bcc1f6433132b
Description-en: This package is a Python binding for XCB (Python 3)
 This package is intended to be a (mostly) drop-in replacement for xpyb. xpyb
 has an inactive upstream, several memory leaks, is python2 only and doesn't
 have pypy support. xcffib is a binding which uses python-cffi, which mitigates
 some of the issues described above. xcffib also builds bindings for 27 of the
 29 (xprint and xkb are missing) X extensions in 1.10.
 .
 This package installs the library for Python 3.

Package: python3-xdmf
Description-md5: 9ac2d7f2fb4a5156c63b636aad99edf1
Description-en: Python3 wrappers for the eXtensible Data Model and Format library
 This package provides python3 wrappers for the libXDMF library.

Package: python3-xdo
Description-md5: 278b63109666dc964a98794a8e05adda
Description-en: Python 3 library for simulating X11 keyboard/mouse input (libxdo bindings)
 python's xdo module lets you programmatically simulate keyboard input
 and mouse activity, move and resize windows, etc.  It does this using
 libxdo, which uses X11's XTEST extension and other Xlib functions.
 .
 This is the Python 3 version of this package.

Package: python3-xeddsa
Description-md5: 0f323ed2d74b39eb885e76d3419f4183
Description-en: Python 3 implementation of the XEdDSA signature scheme
 This python library offers an open implementation of the XEdDSA
 signature scheme as specified here.
 .
 This package provides the Python 3.x module.

Package: python3-xhtml2pdf
Description-md5: 144831ae4f6247600dbcfdcaa66e1f64
Description-en: A library for converting HTML into PDFs using ReportLab (Python 3 module)
 xhtml2pdf is a html2pdf converter using the ReportLab Toolkit, the
 HTML5lib and pyPdf. It supports HTML 5 and CSS 2.1 (and some of CSS
 3). It is completely written in pure Python so it is platform
 independent.
 .
 The main benefit of this tool that a user with Web skills like HTML
 and CSS is able to generate PDF templates very quickly without
 learning new technologies.
 .
 xhtml2pdf was previously developed as "pisa".
 .
 This package installs the library for Python 3.

Package: python3-xkcd
Description-md5: d36ea3ed52136cc91f469f63f8cdaf88
Description-en: Python3 library for accessing xkcd.com
 This is a Python library for accessing and retrieving links to comics from the
 xkcd webcomic by Randall Munroe. It is NOT endorsed or made by him, it’s an
 entirely independent project.
 .
 It makes use of the JSON interface to Randall’s site to retrieve comic data.
 .
 One can create comic objects manually using Comic(number), or can use the
 helper functions provided- getLatestComic(), getRandomComic(), and getComic()-
 to do this. Once you have a Comic object, you can access data from it using
 various provided methods.
 .
 This is the Python 3 version of the package.

Package: python3-xlib
Description-md5: 03a78a17731b5e43be920fc40f04f937
Description-en: interface for Python 3 to the X11 protocol
 python-xlib is a 100% pure Python 3 implementation of the X11
 protocol. It currently implements client-side X11R6 fully, supports
 the resource database, ICCM, and the Shape extension.
 .
 This is a Python 3 version of the package

Package: python3-xlrd
Description-md5: cf6bbe70ed5b1d58c98414d9315cf3ba
Description-en: extract data from Microsoft Excel spreadsheet files (Python3 version)
 xlrd is a Python library to Extract data from new and old Microsoft
 Excel spreadsheets. It supports both .xls and .xlsx files (from Excel
 2007).
 .
 xlrd is a pure Python module and does not require any dependency
 outside of the standard Python distribution.
 .
 This package contains only the Python3 version of xlrd.

Package: python3-xlsxwriter
Description-md5: f74fc1110c24cbdc448e8b9e4b3ba5dd
Description-en: Python 3 module for creating Excel XLSX files
 XlsxWriter is a Python module for writing files in the Excel 2007+ XLSX
 file format.
 .
 XlsxWriter can be used to write text, numbers, formulas and hyperlinks to
 multiple worksheets and it supports features such as formatting and many more,
 including:
 .
  * 100% compatible Excel XLSX files
  * Full formatting
  * Merged cells
  * Defined names
  * Charts
  * Autofilters
  * Data validation and drop down lists
  * Conditional formatting
  * Worksheet PNG/JPEG images
  * Rich multi-format strings
 .
 This is the Python 3 version of the package.

Package: python3-xlwt
Description-md5: 8bb197531728074db81e50f84e4f357c
Description-en: module for writing Microsoft Excel spreadsheet files - Python 3.x
 This package provides a pure Python module for writing spreadsheet files
 readable by Excel 97/2000/XP/2003, OpenOffice.org Calc, and Gnumeric. Excel
 spreadsheets can be generated on any platform without needing Excel or a COM
 server.
 .
 Xlwt is a fork of the unmaintained pyExcelerator module with several
 bugfixes and enhancements. For the functionality previously provided by the
 parse_xls function, see the python-xlrd package.
 .
 This package provides the Python 3.x module.

Package: python3-xmltodict
Description-md5: 22d3a01b6f49641d1e5c17134a2dedad
Description-en: Makes working with XML feel like you are working with JSON (Python 3)
 xmltodict is a Python module that makes working with XML feel like you are
 working with JSON. xmltodict is very fast (Expat-based) and has a streaming
 mode with a small memory footprint, suitable for big XML dumps.
 .
 This package provides the Python 3 version of the library.

Package: python3-xopen
Description-md5: 07bc3f3915c930e4ddff0500f0128a90
Description-en: Python3 module to open compressed files transparently
 This small Python3 module provides a xopen function that works like the
 built-in open function, but can also deal with compressed files.
 Supported compression formats are gzip, bzip2 and xz. They are
 automatically recognized by their file extensions .gz, .bz2 or .xz.
 .
 The focus is on being as efficient as possible on all supported Python
 versions. For example, simply using gzip.open is slow in older Pythons,
 and it is a lot faster to use a gzip subprocess.
 .
 This module has originally been developed as part of the cutadapt tool
 that is used in bioinformatics to manipulate sequencing data. It has
 been in successful use within that software for a few years.
 .
 This is the Python3 version.

Package: python3-xstatic
Description-md5: b0ddf9ea4ad24fa0c7ab8088400f1332
Description-en: XStatic base package with minimal support code - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module.

Package: python3-xstatic-angular
Description-md5: 64c631e7b49f06a2a1e499dfb89ce436
Description-en: Angular JS XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides Angular JS support as a Python 3.x module.

Package: python3-xstatic-angular-bootstrap
Description-md5: 2c50c25255076344ecfe39ffcccb448e
Description-en: Angular-Bootstrap XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Angular-Bootstrap support as a Python 3.x module.

Package: python3-xstatic-angular-cookies
Description-md5: 308db01505668be992bb8328fa66369a
Description-en: Angular JS Cookies XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides Angular JS cookies support as a Python 3.x module.

Package: python3-xstatic-angular-fileupload
Description-md5: fd54958995169c39e1066ae2eac209fe
Description-en: Angular-FileUpload  (XStatic packaging standard) - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module support for Angular-FileUpload.

Package: python3-xstatic-angular-gettext
Description-md5: 869542f1eedd20bbabfeff06979a996e
Description-en: Angular-Gettext (XStatic packaging standard) - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module support for Angular-Gettext.

Package: python3-xstatic-angular-lrdragndrop
Description-md5: b0aa0a15c21a554b4f0cc143e3324642
Description-en: Angular-Lrdragndrop 1.0.2 (XStatic packaging standard) - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module support for Angular-Lrdragndrop.

Package: python3-xstatic-angular-mock
Description-md5: 69f68a72d791e003f4c1e523caa00b94
Description-en: Angular JS Mock XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides Angular JS Mock support as a Python 3.x module.

Package: python3-xstatic-angular-schema-form
Description-md5: 200adb9060ab2f3a2ae37a8c59042dc8
Description-en: Angular-Schema-Form (XStatic packaging standard) - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 For a description of angular-schema-form, see the libjs-angular-schema-form
 package.
 .
 This package contains the Python 3.x module.

Package: python3-xstatic-angular-ui-router
Description-md5: 2d25ed1895d8fb5c716ff97ac5e7eb86
Description-en: Angular UI router XStatic support
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 Angular UI-Router is a client-side Single Page Application routing framework
 for AngularJS.
 .
 Routing frameworks for SPAs update the browser's URL as the user navigates
 through the app. Conversely, this allows changes to the browser's URL to drive
 navigation through the app, thus allowing the user to create a bookmark to a
 location deep within the SPA.
 .
 UI-Router applications are modeled as a hierarchical tree of states. UI-Router
 provides a state machine to manage the transitions between those application
 states in a transaction-like manner.
 .
 This package contains the Python 3.x module.

Package: python3-xstatic-angular-uuid
Description-md5: f9543c2ad168e397c19db3efadd107f1
Description-en: Angular UUID XStatic support
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 Angular-uuid is an AngularJS wrapper for Robert Kieffer's node-uuid, which
 provides simple, fast generation of RFC4122 UUIDS. It features:
 .
  * AngularJS service – no global scope pollution
  * Generate RFC4122 version 1 or version 4 UUIDs
  * Cryptographically strong random num generation on supporting platforms
  * Tiny file size when minified.

Package: python3-xstatic-angular-vis
Description-md5: 7b7cc478ec54af86031da9f619367332
Description-en: Angular Vis XStatic support
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 Angular-vis provides AngularJS directive for VisJS.
 .
 VisJS is a dynamic, browser based visualization library. The library is
 designed to be easy to use, to handle large amounts of dynamic data, and to
 enable manipulation of and interaction with the data. The library consists of
 the components DataSet, Timeline, Network, Graph2d and Graph3d. See visjs.org.

Package: python3-xstatic-bootstrap-datepicker
Description-md5: 0e2ad8b2116eeacc1459d14ea1f1be98
Description-en: Bootstrap-Datepicker XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides Bootstrap-Datepicker support as a Python 3.x module.

Package: python3-xstatic-bootstrap-scss
Description-md5: 581e84a42bd65b9b4130009ed7a8f6a8
Description-en: Bootstrap-SCSS 3.2.0 (XStatic packaging standard) - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module for Bootstrap-SCSS.

Package: python3-xstatic-bootswatch
Description-md5: 862bf1e77a2d69b80e1d9c873bc70cb7
Description-en: Bootswatch XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module support for the Bootswatch.
 See the description of the libjs-bootswatch package.

Package: python3-xstatic-d3
Description-md5: 53d013622bc5649914552d47c8052bf4
Description-en: D3 JS XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides D3 JS support as a Python 3.x module.

Package: python3-xstatic-filesaver
Description-md5: a329542360d1393fde0efd72238c8c6e
Description-en: implements the saveAs() FileSaver interface - XStatic support
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 FileSaver.js implements the saveAs() FileSaver interface in browsers that do
 not natively support it.
 .
 FileSaver.js is the solution to saving files on the client-side, and is
 perfect for webapps that need to generate files, or for saving sensitive
 information that shouldn't be sent to an external server.

Package: python3-xstatic-font-awesome
Description-md5: 2ab00a0d2a17f78833d5870e00e63e10
Description-en: Font Awesome XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module support for the Font Awesome.
 See the description of the fonts-font-awesome package.

Package: python3-xstatic-hogan
Description-md5: b5b632ceccc8f4b535eea949d0998995
Description-en: Hogan.js XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides Hogan.js support as a Python 3.x module.

Package: python3-xstatic-jasmine
Description-md5: 868d9b4b02845b2a04a4d8546e75a827
Description-en: Jasmine JS XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides Jasmine JS support as a Python 3.x module.

Package: python3-xstatic-jquery
Description-md5: 7e98e0dd748e9ad9a9b3b124088563fe
Description-en: jquery XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides jQuery support as a Python 3.x module.

Package: python3-xstatic-jquery-migrate
Description-md5: ed083fef9002fb96d2820892acb9c8fb
Description-en: JQuery Migrate XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides JQuery Migrate support as a Python 3.x module.

Package: python3-xstatic-jquery-ui
Description-md5: df3aa91901a46ae18784e4ea1728c983
Description-en: JQuery UI XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides JQuery UI support as a Python 3.x module.

Package: python3-xstatic-jquery.bootstrap.wizard
Description-md5: f018818b0d6c0a2db041f59469ee3191
Description-en: JQuery.Bootstrap.Wizard XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module XStatic support for
 JQuery.Bootstrap.Wizard See the libjs-twitter-bootstrap-wizard package for
 more information.

Package: python3-xstatic-jquery.quicksearch
Description-md5: 80a56937b2f9a3572667a3df075819c4
Description-en: jQuery.quicksearch XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides jQuery.quicksearch support as a Python 3.x module.

Package: python3-xstatic-jquery.tablesorter
Description-md5: 77db7715318f8405a670fdff065825a9
Description-en: JQuery Tablesorter XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides JQuery Tablesorter support as a Python 3.x module.

Package: python3-xstatic-js-yaml
Description-md5: 4871eb3492ae1035a7dfd076870b91f7
Description-en: JavaScript yaml implementation - XStatic support
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 Js-YAML is an implementation of YAML, a human-friendly data serialization
 language. Started as PyYAML port, it was completely rewritten from scratch.
 Now it's very fast, and supports 1.2 spec.

Package: python3-xstatic-jsencrypt
Description-md5: 5a4cfe53985f58a469166559933af333
Description-en: JSEncrypt XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides JSEncrypt support as a Python 3.x module.

Package: python3-xstatic-json2yaml
Description-md5: 7f23ce097462691ae83e992be88f95b6
Description-en: converts json or simple javascript objects into a yaml - XStatic support
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 Json2yaml converts json or simple javascript objects into a yaml format.

Package: python3-xstatic-magic-search
Description-md5: f08127fb0736bf2e2e8794e100dce43b
Description-en: Magic-Search XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 MagicSearch is an AngularJS directive that provides a UI for both faceted
 filtering and as-you-type filtering. It is intended for filtering tables, such
 as an AngularJS smart-table, but it can be used in any situation where you can
 provide it with facets/options and consume its events.
 .
 MagicSearch was initially developed by David Kavanagh for Eucalyptus.
 .
 This package contains the Python 3.x module.

Package: python3-xstatic-mdi
Description-md5: 1764a00b9db27ab76d65cfc5d1883370
Description-en: Material Design Icons Webfont XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module support for the Material Design
 Icons Webfont. See the description of the fonts-materialdesignicons-webfont
 package.

Package: python3-xstatic-objectpath
Description-md5: b51531d5ce7a672079c2d0d380626436
Description-en: objectpath XStatic packaging standard - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 For a description of objectpath, see the libjs-objectpath package.
 .
 This package contains the Python 3.x module for objectpath.

Package: python3-xstatic-qunit
Description-md5: b514aed18d61ac8cb77c871eefdab720
Description-en: QUnit XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides QUnit support as a Python 3.x module.

Package: python3-xstatic-rickshaw
Description-md5: b4a00c9069a4dffd03f6b1b4f4972243
Description-en: Rickshaw JS XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides Rickshaw JS support as a Python 3.x module.

Package: python3-xstatic-roboto-fontface
Description-md5: 427da0c642fd7d8903406d32383ca363
Description-en: Roboto Fontface XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module support for the Roboto Fontface.
 See the description of the fonts-roboto-fontface package.

Package: python3-xstatic-smart-table
Description-md5: 259070efcc6f78485c52e4559c2b037f
Description-en: AngularJS smart-table (XStatic packaging standard) - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package contains the Python 3.x module for supporting the smart-table
 javascript library.

Package: python3-xstatic-spin
Description-md5: 6f4e5c9a9d9339f9a89b976861b05271
Description-en: Spin.js XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 This package provides spin.js support as a Python 3.x module.

Package: python3-xstatic-term.js
Description-md5: 35f93967b5419e4c7311496ec5fcbbbf
Description-en: term.js XStatic support - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 Term.js is a full xterm clone written in javascript. It is a fork from the
 original work of Fabrice Bellard's javascript vt100 for jslinux (with the
 author's permission. The original design remains. The terminal itself has been
 extended to include xterm CSI codes, among other features.
 .
 This package contains the Python 3.x module.

Package: python3-xstatic-tv4
Description-md5: 39004021bc67c0f09e859ad074ae1c7b
Description-en: tv4 (XStatic packaging standard) - Python 3.x
 XStatic is a Python web development tool for handling required static data
 files from external projects, such as CSS, images, and JavaScript. It provides
 a lightweight infrastructure to manage them via Python modules that your app
 can depend on in a portable, virtualenv-friendly way instead of using embedded
 copies.
 .
 For a description of tv4, see the libjs-tv4 package.
 .
 This package contains the Python 3.x module.

Package: python3-xtermcolor
Description-md5: 275947755d288b5f1a869951c11af9f8
Description-en: Python3 module to print coloured text on terminals
 This module provides a simple API to print in color on terminals, it can
 accept RGB and ANSI colors, and can use 256 colors.
 .
 This package provides Python 3.x version of python-xtermcolor

Package: python3-xvfbwrapper
Description-md5: 33a1a7355ae8d8bd873d55be883a7039
Description-en: headless display inside Xvfb - Python 3.x
 Python wrapper for running a display inside X virtual framebuffer (Xvfb).
 .
 In the X Window System, Xvfb or X Virtual FrameBuffer is an X11 server that
 performs all graphical operations in memory, not showing any screen output.
 This virtual server does not require the computer to run or to even have a
 screen or an input device. Only a network layer is necessary.
 .
 This package contains the Python 3.x module.

Package: python3-yade
Description-md5: 0035d61bd86e1d689dfd955df66583fb
Description-en: Platform for discrete element modeling. Python bindings
 Yet Another Dynamic Engine.
 .
 Extensible open-source framework for discrete numerical models,
 focused on Discrete Element Method.
 The computation parts are written in c++ using flexible object model,
 allowing independent implementation of new algorithms and interfaces.
 Python is used for rapid and concise scene construction,
 simulation control, postprocessing and debugging.
 .
 This package contains python bindings for yade.

Package: python3-yamlordereddictloader
Description-md5: 6f828472fe1eb01503ba1320deb36cd8
Description-en: loader and dump for PyYAML keeping keys order
 This module provide a loader and a dumper for PyYAML allowing to keep
 items order when loading a file (by putting them in OrderedDict objects)
 and to manage OrderedDict objects when dumping to a file.
 .
 The loader is based on stackoverflow topic (thanks to Eric Naeseth):
  http://stackoverflow.com/questions/5121931/in-python-how-can-you-load-yaml-mappings-as-ordereddicts#answer-5121963
 .
 This package installs the library for Python 3 and is already DEPRECATED:
 the Phynix/yamlloader project provide an improved version of this library
 with unit tests, performance improvements (by providing access to the
 C implementation of PyYAML) and is more actively developed. You should
 use it!
 .
 This package is a dependency for python-airr.

Package: python3-yang
Description-md5: e0f7e555a85199a47c73c8256276f3dd
Description-en: parser toolkit for IETF YANG data modeling - python3 support
 This package allows using libyang functionality to load IETF YANG models
 and data from Python3 code.
 .
 The bindings are partially generated by SWIG.

Package: python3-yang-dbg
Description-md5: 10fe6d1f2c286fb99bfbedb71f712e38
Description-en: parser toolkit for IETF YANG data modeling - python3 debug
 This package allows using libyang functionality to load IETF YANG models
 and data from Python3 code.
 .
 This package contains support for debug versions of Python.

Package: python3-yapf
Description-md5: 0f266e1a0a511672927dcff8a7c3fd7f
Description-en: public modules for yapf (Python 3)
 Yapf is a tool that reformats code to the best formatting that conforms to the
 style guide. It is not only concerned with lint errors, but also with the
 styilistic appearance of Python code. The idea is also similar to the 'gofmt'
 tool for the Go programming language. This package installs the tool for Python
 2.
 .
 This package provides the modules for Python 3.

Package: python3-yappi
Description-md5: aa5f7696d4032eee1e03b02b2e56de4c
Description-en: Yet Another Python Profiler - Python 3.x
 Yappi stands for Yet Another Python Profiler. It supports Multithread/CPU time
 profiling.
 .
 CPython standard distribution comes with three profilers. cProfile, Profile
 and hotshot. cProfile is implemented as a C module based on lsprof, Profile is
 in pure Python and hotshot can be seen as a small subset of a cProfile.
 .
 The major issue is that all of these profilers lack support for multi-threaded
 programs and CPU time.
 .
 If you want to profile a multi-threaded application, you must give an entry
 point to these profilers and then maybe merge the outputs. None of these
 profilers are designed to work on long-running multi-threaded application. It
 is impossible to profile an application retrieve the statistics then stop and
 then start later on the fly (without affecting the profiled application).
 .
 This package contains the Python 3.x module.

Package: python3-yapps
Description-md5: ea6c9be3b0333d916b4ef488f16329b4
Description-en: Yet Another Python Parser System
 YAPPS is an easy to use parser generator that is written in Python and
 generates Python code.  There are several parser generator systems
 already available for Python, but this parser has different goals:
 Yapps is simple, very easy to use, and produces human-readable parsers.
 .
 This package contains the Python3 runtime support for parsers generated
 with yapps2.

Package: python3-yapsy
Description-md5: 1b4be5dc7d60e3de6f9a1baa6ce2473b
Description-en: simple plugin system for Python3 applications
 Yapsy, or Yet Another Plugin SYstem, is a small library implementing the core
 mechanisms needed to build a plugin system into a wider application.
 .
 The main purpose is to depend only on Python's standard libraries (at least
 version 3.2) and to implement only the basic functionalities needed to detect,
 load and keep track of several plugins.

Package: python3-yara
Description-md5: 8eac54637ea2bdec5cbc8b1458177d2f
Description-en: Python 3 bindings for YARA
 YARA is a tool aimed at helping malware researchers to identify and
 classify malware samples. With YARA, it is possible to create
 descriptions of malware families based on textual or binary patterns
 contained in samples of those families. Each description consists of
 a set of strings and a Boolean expression which determines its logic.
 .
 Complex and powerful rules can be created by using binary strings with
 wild-cards, case-insensitive text strings, special operators, regular
 expressions and many other features.
 .
 This package provides Python 3 bindings.

Package: python3-yarl
Description-md5: c6ae3a2ef96a4bd5e4dceb9c2d630346
Description-en: yet another URL library for Python
 URL is constructed from `str`:
 .
  >>> from yarl import URL
  >>> url = URL('https://www.python.org/~guido?arg=1#frag')
  >>> url
  URL('https://www.python.org/~guido?arg=1#frag')
 .
 All URL parts: scheme, user, passsword, host, port, path, query and fragment
 are accessible by properties:
 .
  >>> url.scheme
  'https'
  >>> url.host
  'www.python.org'
  >>> url.path
  '/~guido'
  >>> url.query_string
  'arg=1'
  >>> url.query
  <MultiDictProxy('arg': '1')>
  >>> url.fragment
  'frag'
 .
 All URL manipulations produces a new URL object:
 .
  >>> url.parent / 'downloads/source'
  URL('https://www.python.org/downloads/source')
 .
 Strings passed to constructor and modification methods are automatically
 encoded giving canonical representation as result::
 .
  >>> url = URL('https://www.python.org/путь')
  >>> url
  URL('https://www.python.org/%D0%BF%D1%83%D1%82%D1%8C')
 .
 Regular properties are percent-decoded, use `raw_` versions for getting
 encoded strings:
 .
  >>> url.path
  '/путь'
 .
  >>> url.raw_path
  '/%D0%BF%D1%83%D1%82%D1%8C'
 .
 Human readable representation of URL is available as `.human_repr()`:
 .
  >>> url.human_repr()
  'https://www.python.org/путь'

Package: python3-yaswfp
Description-md5: 3650fae0ff97fa3dd447cbd340ed360b
Description-en: Yet Another SWF Parser (Python 3)
 This package contains Yet Another SWF Parser Python module. It can be used as
 command line program or as a module.
 .
 This package installs the library for Python 3.

Package: python3-yattag
Description-md5: 4f212c5280dd074df6d6639a082c9e29
Description-en: library for generating HTML or XML in a pythonic way (Python 3)
 With Yattag,
 .
  * you don't have to worry about closing HTML tags
  * your HTML templates are Python code. Not a weird template language. Just
    Python.
  * you can easily render HTML forms, with defaults values and error messages.
 .
 It's actually easier and more readable to generate dynamic HTML with Yattag
 than to write static HTML.

Package: python3-yenc
Description-md5: 3b9c4edb48b679f7d1e5c5ffd51c62f3
Description-en: yEnc encoding/decoding extension (Python 3)
 The yEnc module provides a simple API for raw encoding/decoding of
 yencoded binaries, mainly used when retrieving from or posting to
 Usenet. It is significantly faster than any pure-Python
 implementation.
 .
 This package contains the Python 3 module.

Package: python3-yowsup
Description-md5: dc4a0d1543089ce913cc15a56dc8ab19
Description-en: Python 3 library to implement a WhatsApp client
 WhatsApp Messenger is a cross-platform mobile messaging app
 which allows you to exchange messages, via Internet, without
 having to pay for SMS, using a mobile phone.
 .
 In addition to basic messaging, WhatsApp users can create
 groups, send each other unlimited images, video and audio
 media messages.
 .
 Yowsup is a cross platform Python library that enable to do all
 the previous in your own app. Yowsup allows you to login and use
 the WhatsApp service, providing all capabilities of an official
 WhatsApp client, allowing to create a full-fledged custom
 WhatsApp client.
 .
 python3-yowsup has these features:
    - Registration.
    - Text send/receive.
    - Encryption of messages.
    - Media send/receive (images, videos, audio, location,
      contact cards).
    - Groups(create, leave, join, update picture, updating
      subject).
    - Fetch user profile picture.
    - Fetch user status.
    - Set profile picture.
    - Set group icon.
    - Set status and others.
 .
 The package yowsup-cli is a good example of the python-yowsup
 implementation.

Package: python3-yt
Description-md5: 474d96cf09f9be2810405e188811c9be
Description-en: Framework for analyzing and visualizing simulation data (Python 3)
 The yt project aims to produce an integrated science environment for
 collaboratively asking and answering astrophysical questions. To do so, it will
 encompass the creation of initial conditions, the execution of simulations, and
 the detailed exploration and visualization of the resultant data. It will also
 provide a standard framework based on physical quantities interoperability
 between codes.
 .
 This package contains the Python 3 version of the package.

Package: python3-yubico
Description-md5: 6594bd61b33e4ed573b350e6d48d2145
Description-en: Python3 library for talking to Yubico YubiKeys
 The YubiKey is a hardware authentication token. This is a Python3
 library for interacting with YubiKeys. Typical use is to detect,
 configure (personalize) or issue challenge-responses to YubiKeys.

Package: python3-yubikey-manager
Description-md5: 1d76704d852c542c832b9333ef73296a
Description-en: Python 3 library for configuring a YubiKey
 A Python library for configuring YubiKey over all transport modes.
 This is the Python 3 version of the package.
 .
 YubiKey is a specific series of personal Hardware Security Modules,
 meant to protect private keys tied to its users (for 2nd factor authentication,
 use with OpenPGP, ...).

Package: python3-z3
Description-md5: 1151d82b62ff9e325677d3c6fc0e262a
Description-en: theorem prover from Microsoft Research - Python 3 bindings
 Z3 is a state-of-the art theorem prover from Microsoft Research. See the z3
 package for a detailed description.
 .
 This package can be used to invoke Z3 via its Python 3 API.

Package: python3-zaqar
Description-md5: ba87de3aa15c4dc3b937c86c00241843
Description-en: OpenStack Queueing as a Service - Python 3 libraries
 Zaqar is a multi-tenant cloud messaging service for web developers. It
 combines the ideas pioneered by Amazon's SQS product with additional semantics
 to support event broadcasting.
 .
 The service features a fully RESTful API, which developers can use to send
 messages between various components of their SaaS and mobile applications, by
 using a variety of communication patterns. Underlying this API is an efficient
 messaging engine designed with scalability and security in mind.
 .
 Other OpenStack components can integrate with Zaqar to surface events to end
 users and to communicate with guest agents that run in the "over-cloud" layer.
 Cloud operators can leverage Zaqar to provide equivalents of SQS and SNS to
 their customers.
 .
 This package contains the Python 3 libraries that are part of Zaqar.

Package: python3-zaqar-ui
Description-md5: a431cd0059a8a988e704053d21b0ba78
Description-en: OpenStack Queueing as a Service - Dashboard plugin
 Zaqar is a multi-tenant cloud messaging service for web developers. It
 combines the ideas pioneered by Amazon's SQS product with additional semantics
 to support event broadcasting.
 .
 The service features a fully RESTful API, which developers can use to send
 messages between various components of their SaaS and mobile applications, by
 using a variety of communication patterns. Underlying this API is an efficient
 messaging engine designed with scalability and security in mind.
 .
 Other OpenStack components can integrate with Zaqar to surface events to end
 users and to communicate with guest agents that run in the "over-cloud" layer.
 Cloud operators can leverage Zaqar to provide equivalents of SQS and SNS to
 their customers.
 .
 This package contains the OpenStack dashboard plugin.

Package: python3-zarr
Description-md5: c64a8435acccdbe74e5e892f033e70f0
Description-en: chunked, compressed, N-dimensional arrays for Python
 Zarr is a Python package providing an implementation of compressed,
 chunked, N-dimensional arrays, designed for use in parallel
 computing. Some highlights:
 .
   - Create N-dimensional arrays with any NumPy dtype.
   - Chunk arrays along any dimension.
   - Compress chunks using the fast Blosc meta-compressor or
     alternatively using zlib, BZ2 or LZMA.
   - Store arrays in memory, on disk, inside a Zip file, on S3, ...
   - Read an array concurrently from multiple threads or processes.
   - Write to an array concurrently from multiple threads or processes.
   - Organize arrays into hierarchies via groups.
   - Use filters to preprocess data and improve compression.

Package: python3-zbar
Description-md5: 8272ee7fa80948fb8c16580efa1c8410
Description-en: QR code / bar code scanner and decoder (Python bindings)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the Python bindings.

Package: python3-zc.buildout
Description-md5: 995cd1b0e94acff6532eba505c4eb6ad
Description-en: system for managing development buildouts
 The Buildout project provides support for creating applications, especially
 Python applications. It provides tools for assembling applications from
 multiple parts, Python or otherwise. An application may actually contain
 multiple programs, processes, and configuration settings.

Package: python3-zc.customdoctests
Description-md5: 139d26e92a7080d00e57a55411eb8783
Description-en: Use doctest with other languages (Python 3)
 doctest (and recently manuel) provide hooks for using custom doctest
 parsers. zc.customdoctests helps to leverage this to support other
 languages, such as JavaScript (with python-spidermonkey):
 .
     js> function double (x) {
     ...     return x*2;
     ... }
     js> double(2)
     4
 .
 And with it facilitates doctests that mix multiple languages,
 such as Python, JavaScript, and sh.

Package: python3-zc.lockfile
Description-md5: 3993d197c9d1f1b98922e3102e7b83f2
Description-en: Basic inter-process locks for Python 3
 The zc.lockfile package provides a basic portable implementation of
 interprocess locks using lock files. The purpose if not specifically to lock
 files, but to simply provide locks with an implementation based on
 file-locking primitives.  Of course, these locks could be used to mediate
 access to *other* files. For example, the ZODB file storage implementation
 uses file locks to mediate access to file-storage database files. The database
 files and lock file files are separate files.

Package: python3-zeep
Description-md5: 74220fed83649f6e6d13b6d8b2b255f0
Description-en: Modern SOAP client library (Python 3)
 A fast and modern Python SOAP client
 .
 Highlights:
  * Modern codebase compatible with Python 2.7, 3.3, 3.4, 3.5, 3.6, 3.7 and PyPy
  * Build on top of lxml and requests
  * Supports recursive WSDL and XSD documents.
  * Supports the xsd:choice and xsd:any elements.
  * Uses the defusedxml module for handling potential XML security issues
  * Support for WSSE (UsernameToken only for now)
  * Experimental support for HTTP bindings
  * Experimental support for WS-Addressing headers
  * Experimental support for asyncio via aiohttp (Python 3.5+)
 .
 Features still in development include:
  * WSSE x.509 support (BinarySecurityToken)
  * WS Policy support
 .
 Please see for more information the documentation at
 http://docs.python-zeep.org/
 .
 This package is targeting Python version 3.

Package: python3-zeitgeist
Description-md5: 23f7fd512db78801e569a158eaa67417
Description-en: event logging framework - Python 3 bindings
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations held with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This package contains the Python 3 API. This Python 3 API is not supported
 by upstream and users and developers are not recommended to use this
 package. Instead, the GIR binding should be used when programming with
 Python 3.

Package: python3-zeroc-ice
Description-md5: 07983db6d93b05052b0607ae176ae061
Description-en: Python 3 extension for Ice
 This package contains a Python 3 extension for communication with Ice.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: python3-zeroconf
Description-md5: 440f828672aa8305a7dca2f8d30202d7
Description-en: Pure Python implementation of multicast DNS service discovery (Python3)
 This is an implementation of the multicast DNS Service Discover Library
 zeroconf in pure Python.
 .
 Compared to some other Zeroconf/Bonjour/Avahi Python packages:
 .
  - isn't tied to Bonjour or Avahi
  - doesn't use D-Bus
  - doesn't force you to use particular event loop or Twisted
 .
 This is the Python3 version of the library.

Package: python3-zfec
Description-md5: 26cb64c98e13d077c6579c14f68c5154
Description-en: fast erasure codec, with Python 3 bindings
 Fast, portable, programmable erasure coding a.k.a. "forward error
 correction": the generation of redundant blocks of information such that if
 some blocks are lost then the original data can be recovered from the
 remaining blocks. This package includes a Python 3 API.

Package: python3-zhmcclient
Description-md5: 5e1556257deb53811d7233e6579c4e27
Description-en: library for the IBM Z HMC Web Services API
 The HMC Web Services API is the access point for any external tools
 to manage the IBM Z or LinuxONE platform. It supports management of
 the lifecycle and configuration of various platform resources, such
 as partitions, CPU, memory, virtual switches, I/O adapters, and more.
 .
 The zhmcclient package encapsulates both protocols supported by the
 HMC Web Services API:
 .
 - REST over HTTPS for request/response-style operations driven by the
 client. Most of these operations complete synchronously, but some
 long-running tasks complete asynchronously.
 .
 - JMS (Java Messaging Services) for notifications from the HMC to the
 client. This is used for notification about changes in the system, or
 about completion of asynchronous tasks started using REST.

Package: python3-zict
Description-md5: 865dd701dc51c3c78c46e8d27d39c272
Description-en: Mutable mapping tools for Python 3
 The dictionary / mutable mapping interface is powerful and
 multi-faceted.
 .
  * stores data in different locations such as in-memory, on disk, in
    archive files, etc..
  * manage old data with different policies like LRU, random eviction,
    etc..
  * might encode or transform data as it arrives or departs the
    dictionary through compression, encoding, etc..
 .
 This contains the Python 3 version

Package: python3-zipstream
Description-md5: 81a2df7bbb3d24da67fe9299d01d025d
Description-en: Zipfile generator
 Zipstream.py is a zip archive generator based on python 3.3's zipfile.py.
 .
 It was created to generate a zip file generator for streaming (ie web apps).
 This is beneficial for when you want to provide a downloadable archive of a
 large collection of regular files, which would be infeasible to generate the
 archive prior to downloading.

Package: python3-zmq
Description-md5: 570ef6b690e7bf53c2950e3ba8ae4cb7
Description-en: Python3 bindings for 0MQ library
 Python bindings for 0MQ. 0MQ is a small, fast, and free
 software library that gives you message-passing concurrency
 for applications in most common languages.
 .
 The 0MQ lightweight messaging kernel is a library which
 extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware
 products. 0MQ sockets provide an abstraction of asynchronous
 message queues, multiple messaging patterns, message
 filtering (subscriptions), seamless access to multiple
 transport protocols and more.
 .
 This package contains the extension built for the Python3 interpreter.

Package: python3-zmq-dbg
Description-md5: 1293853622d96f51a330f63bb619e3c1
Description-en: Python3 bindings for 0MQ library - debugging files
 Python bindings for 0MQ. 0MQ is a small, fast, and free
 software library that gives you message-passing concurrency
 for applications in most common languages.
 .
 The 0MQ lightweight messaging kernel is a library which
 extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware
 products. 0MQ sockets provide an abstraction of asynchronous
 message queues, multiple messaging patterns, message
 filtering (subscriptions), seamless access to multiple
 transport protocols and more.
 .
 This package contains the extension built for the Python3 debug interpreter.

Package: python3-zodbpickle
Description-md5: 8a5ed794e81e1173d1be0babdf3deb5f
Description-en: Fork of pickle module for uniform serialization between Python 2 and 3 (Py3)
 This package forks the pickle module (and the supporting C extension)
 from both Python 3.2 and Python 3.3. The fork adds support for the
 noload operations used by ZODB.

Package: python3-zookeeper
Description-md5: feeb7d7052e9b061c9f307890c4924ef
Description-en: Python bindings for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains the Python bindings.

Package: python3-zope.component
Description-md5: b8b6c0f1411557f41117bf9a7b994f3a
Description-en: Zope Component Architecture
 The core of the Zope Component Architecture. Together with the zope.interface
 package, it provides facilities for defining, registering and looking up
 components.
 .
 This is the Python 3 version.

Package: python3-zope.configuration
Description-md5: b163eae7d8e4fba0e655541c555921f4
Description-en: Zope Configuration Markup Language (ZCML)
 The zope configuration system provides an extensible system for
 supporting various kinds of configurations.
 .
 It is based on the idea of configuration directives. Users of the
 configuration system provide configuration directives in some
 language that express configuration choices. The intent is that the
 language be pluggable.  An XML language is provided by default.
 .
 This is the Python 3 version.

Package: python3-zope.deprecation
Description-md5: dbeb856733702521d6f3d0eac04bbe25
Description-en: Zope Deprecation Infrastructure
 This package provides a simple function called 'deprecated(names, reason)' to
 mark deprecated modules, classes, functions, methods and properties.
 .
 This is the Python 3 version.

Package: python3-zope.event
Description-md5: fcab1238751cb4088a352699d53af40b
Description-en: Very basic event publishing system
 This package provides a simple event system. It provides an event publishing
 system and a very simple event-dispatching system on which more sophisticated
 event dispatching systems can be built. For example, a type-based event
 dispatching system that builds on zope.event can be found in zope.component.
 .
 This is the Python 3 library.

Package: python3-zope.exceptions
Description-md5: 6659ed22b4d66ce3d4e50aa50de55f19
Description-en: Zope exceptions for Python 3
 This package contains exception interfaces and implementations which are so
 general purpose that they don't belong in Zope application-specific packages.

Package: python3-zope.hookable
Description-md5: e399f577682edc931cbd6e93ccae9254
Description-en: Hookable object support
 This package supports the efficient creation
 of "hookable" objects, which are callable objects
 that are meant to be optionally replaced.
 .
 This is the Python 3 version.

Package: python3-zope.i18nmessageid
Description-md5: a4ecc20de96c439e99b9eec842e6110b
Description-en: Message Identifiers for internationalization
 This package provides facilities for declaring translatable messages ids
 within program source text;  translation of the messages is the
 responsibility of the zope.i18n package.
 .
 This is the Python 3 version.

Package: python3-zope.location
Description-md5: e9f2b7aa10bf9f18e623e47627aa4474
Description-en: Tools for working with object locations
 In Zope3, locations are special objects that have a structural location.
 .
 This is the Python 3 version.

Package: python3-zope.proxy
Description-md5: 1bfe7817750902c76dcc3f5e032c6be1
Description-en: Generic transparent proxies for Python
 Proxies are special objects which serve as mostly-transparent wrappers around
 another object, intervening in the apparent behavior of the wrapped object
 only when necessary to apply the policy (e.g., access checking, location
 brokering, etc.) for which the proxy is responsible.
 .
 This is the Python 3 version.

Package: python3-zope.schema
Description-md5: a839be4536604dee776dbe006cf6eddd
Description-en: zope.interface extension for defining data schemas
 Schemas extend the notion of interfaces to detailed descriptions of
 Attributes (but not methods).  Every schema is an interface and
 specifies the public fields of an object.  A *field* roughly
 corresponds to an attribute of a Python object.  But a Field provides
 space for at least a title and a description.  It can also constrain
 its value and provide a validation method.  Besides you can optionally
 specify characteristics such as its value being read-only or not
 required.
 .
 This is the Python 3 version.

Package: python3-zope.security
Description-md5: e9f54e364b11459c8fb9ffe7642eb3bf
Description-en: Zope Security Framework
 The Security framework provides a generic mechanism to implement security
 policies on Python objects.
 .
 This is the Python 3 version.

Package: python3-zope.testing
Description-md5: 6153bed822d47e8d2440c98234d23407
Description-en: Zope testing helpers for Python 3
 This package provides a number of testing frameworks. It includes a flexible
 test runner, and supports both doctest and unittest.
 .
 This is the Python 3 version.

Package: python3-zope.testrunner
Description-md5: 16f866b26e00d66fb06f4346c597f786
Description-en: Flexible test runner with layer support for Python 3
 The testrunner module is used to run automated tests defined using the
 unittest framework and previously available in zope.testing.
 .
 Its primary feature is that it finds tests by searching directory trees. It
 doesn't require the manual concatenation of specific test suites. It is
 highly customizable and should be usable with any project.

Package: python3-zunclient
Description-md5: 523895d86eb19fb1ef4298171d866ff5
Description-en: OpenStack container management service API client - Python 3.x
 Zun (ex. Higgins) is a Container Management service for OpenStack. It aims to
 provide an OpenStack API for launching and managing containers backed by
 different container technologies.
 .
 This is a client library for Zun built on the Zun API. It provides a Python
 API (the zunclient module) and a command-line tool(zun).
 .
 This package contains the Python 3.x module.

Package: python3-zxcvbn
Description-md5: ac7a1852a8b9ddb2509f47db07221ea5
Description-en: Realistic password strength estimator - Python3 module
 This is a Python implementation of the library created by the
 team at Dropbox. Accepts user data to be added to the dictionaries
 that are tested against, gives a score to the password, provides
 feedback on the password and ways to improve it, returns time
 estimates on how long it would take to guess the password in
 different situations
 .
 This package provides the Python 3 library, and a zxcvbn binary providing
 a convenient wrapper.

Package: python3-zzzeeksphinx
Description-md5: 4f212bc11d1a3c5c6d0fa4b9761ac8d1
Description-en: Zzzeek's Sphinx layout and utilities
 This layout is first and foremost pulled in for the SQLAlchemy documentation
 builds (and possibly other related projects), but is also usable as a
 standalone theme / utility set for any project.
 .
 Features include:
 .
  * uses Mako templates instead of Jinja, for more programmatic capabilities
    inside of templates
  * layout includes an independently scrollable sidebar
  * unique (to Sphinx) “contextual” sidebar contents that shows the current
    page in context with all sibling pages
  * modifications to autodoc which illustrate inherited classes, bases, method
    documentation illustrates if a method is only inherited from the base or
    overridden
  * “dynamic base” feature that will, under ReadTheDocs, pull in optional
    .mako and .py files from the website of your choice that will serve as an
    alternate base template and a source of extra config setup, respectively,
    allowing the layout to be integrated into the layout of an external site
    when viewing on the web
  * “viewsource” extension that can provide highlighted sourcecode to any
    Python file arbitrarily
  * SQLAlchemy-specific stuff, like the [SQL] popups, the dialect info
    directives
  * scss support using pyscss

Package: python3.8-venv
Description-md5: d16828bf8bf56928e6bfb6f1a9a2f48a
Description-en: Interactive high-level object-oriented language (pyvenv binary, version 3.8)
 Python is a high-level, interactive, object-oriented language. Its 3.8 version
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.
 .
 This package contains the pyvenv-3.8 binary.

Package: pythonpy
Description-md5: 777c4d3b2d85cb32e72d1509411679e6
Description-en: 'python -c', with tab completion and shorthand
 pythonpy is an utility that facilitates the usage of Python one-liners. The
 command 'py' evaluates a string consisting of any Python expression. It can do
 anything from simple arithmetic to complex operations, importing modules
 automatically. It also comes with tab completion.
 .
 Its usage is not restricted to single expressions only. There's also the
 possibility to pipe data from stdin and to stdout, even generating strings to
 be evaluated by other programs.

Package: pytidylib-doc
Description-md5: a6512a2f13adcf0984c8794f579864c6
Description-en: Python wrapper for HTML Tidy (tidylib) documentation
 PyTidyLib is a Python package that wraps the HTML Tidy library. This allows
 you, from Python code, to “fix” invalid (X)HTML markup. Some of the library’s
 many capabilities include:
 .
  * Clean up unclosed tags and unescaped characters such as ampersands
  * Output HTML 4 or XHTML, strict or transitional, and add missing doctypes
  * Convert named entities to numeric entities, which can then be used in XML
    documents without an HTML doctype.
  * Clean up HTML from programs such as Word (to an extent)
  * Indent the output, including proper (i.e. no) indenting for pre elements,
    which some (X)HTML indenting code overlooks.
 .
 This package contains the built HTML and PDF documentation for PyTidyLib.

Package: pytrainer
Description-md5: bc61f5ca803d3457b80955d82faa0e78
Description-en: desktop application for logging sport activities
 Pytrainer is a desktop application for logging and graphing sporting
 activities such as running or cycling sessions. Data can be imported from GPS
 devices, files or input manually. Currently pytrainer supports GPX, TCX and
 FIT files.

Package: pyvcf
Description-md5: b7ae834ad458cf6b0fc07cbe2d8daaca
Description-en: helper scripts for Variant Call Format (VCF) parser
 The Variant Call Format (VCF) specifies the format of a text file used
 in bioinformatics for storing gene sequence variations. The format has
 been developed with the advent of large-scale genotyping and DNA
 sequencing projects, such as the 1000 Genomes Project.
 .
 The intent of this module is to mimic the ``csv`` module in the Python
 stdlib, as opposed to more flexible serialization formats like JSON or
 YAML. ``vcf`` will attempt to parse the content of each record based on
 the data types specified in the meta-information lines -- specifically
 the ##INFO and
 ##FORMAT lines. If these lines are missing or incomplete, it will check
 against the reserved types mentioned in the spec. Failing that, it will
 just return strings.
 .
 This package provides helper scripts using python3-pyvcf.

Package: pywps
Description-md5: 0fc28036471be3ea4ef716456db89ab2
Description-en: Implementation of OGC's Web Processing Service
 PyWPS is implementation of Web Processing Service from Open
 Geospatial Consortium Inc.(R) with help of  Python Programming
 Language and GIS GRASS as working tool in background.
 .
 This metapackage depends on the pywps Python module and WSGI example.

Package: pywps-doc
Description-md5: 3ca5cfd8586a8233671c7b7a07a0ee2a
Description-en: Implementation of OGC's Web Processing Service - Documentation
 PyWPS is implementation of Web Processing Service from Open
 Geospatial Consortium Inc.(R) with help of  Python Programming
 Language and GIS GRASS as working tool in background.
 .
 This package contains the pywps documentation.

Package: pywps-wsgi
Description-md5: d224b84a7b92be3d05c0266dba419874
Description-en: Implementation of OGC's Web Processing Service - WSGI example
 PyWPS is implementation of Web Processing Service from Open
 Geospatial Consortium Inc.(R) with help of  Python Programming
 Language and GIS GRASS as working tool in background.
 .
 This package contains the wps.py WSGI script and process examples.

Package: pyxplot
Description-md5: 206a497f225046ed758c617e0b6da458
Description-en: data plotting program producing publication-quality output
 Pyxplot is a multi-purpose graph plotting tool, scientific scripting language,
 vector graphics suite, and data processing package. Its interface is designed
 to make common tasks -- e.g., plotting labelled graphs of data -- accessible
 via short, simple, intuitive commands.
 .
 Pyxplot produces publication-quality figures. To this end, text is rendered
 with all of the beauty and flexibility of the LaTeX typesetting environment.
 .
 Extensive documentation and examples can be found in the pyxplot-doc package.
 A gallery of sample plots is available from the project's web site.

Package: pyxplot-doc
Description-md5: c47626813d65a807aa66577af6398603
Description-en: documentation for pyxplot data plotting program
 Pyxplot is a multi-purpose graph plotting tool, scientific scripting language,
 vector graphics suite, and data processing package. Its interface is designed
 to make common tasks -- e.g., plotting labelled graphs of data -- accessible
 via short, simple, intuitive commands.
 .
 Pyxplot produces publication-quality figures. To this end, text is rendered
 with all of the beauty and flexibility of the LaTeX typesetting environment.
 .
 This package includes extensive documentation and examples. A gallery of
 sample plots is available from the project's web site.

Package: pyzo
Description-md5: 76bd302045d139455da9587d261b8529
Description-en: interactive editor for scientific Python
 Pyzo is a cross-platform Python IDE focused on interactivity and introspection,
 which makes it very suitable for scientific computing. Its practical design is
 aimed at simplicity and efficiency.
 .
 It consists of two main components, the editor and the shell, and uses a set of
 pluggable tools to help the programmer in various ways. Some example tools are
 source structure, project manager, interactive help, workspace...
 .
 Pyzo is written in (pure) Python 3 and uses the Qt GUI toolkit. Binaries are
 provided for all major operating system. After installing Pyzo, it can be used
 to execute code on any Python version available on your system (Python 2.4 -
 3.x, including Pypy).
 .
 This package provides the Pyzo IDE.

Package: pyzo-doc
Description-md5: f6a4ef7689949e569fce53d8d2256068
Description-en: documentation for Pyzo
 Pyzo is a cross-platform Python IDE focused on interactivity and introspection,
 which makes it very suitable for scientific computing. Its practical design is
 aimed at simplicity and efficiency.
 .
 It consists of two main components, the editor and the shell, and uses a set of
 pluggable tools to help the programmer in various ways. Some example tools are
 source structure, project manager, interactive help, workspace...
 .
 Pyzo is written in (pure) Python 3 and uses the Qt GUI toolkit. Binaries are
 provided for all major operating system. After installing Pyzo, it can be used
 to execute code on any Python version available on your system (Python 2.4 -
 3.x, including Pypy).
 .
 This package provides the documentation for the Pyzo IDE.

Package: pyzor
Description-md5: acb4f5cb134d3f8673a3181266afc38d
Description-en: spam-catcher using a collaborative filtering network
 Pyzor is a collaborative, networked system to detect and block spam
 using identifying digests of messages.
 .
 Package contains both client and server.
 .
 The client can check if an email is a known spam against a pyzor server
 or report new spam digests to that server.
 .
 The server holds the digests database, and handle the client requests.
 .
 Pyzor is a reimplementation of Razor in Python.

Package: pyzor-doc
Description-md5: afdfcfafcd504ca4f6ddb9fe01cc83d8
Description-en: spam-catcher using a collaborative filtering network (documentation)
 Pyzor is a collaborative, networked system to detect and block spam
 using identifying digests of messages.
 .
 Package contains both client and server.
 .
 The client can check if an email is a known spam against a pyzor server
 or report new spam digests to that server.
 .
 The server holds the digests database, and handle the client requests.
 .
 Pyzor is a reimplementation of Razor in Python.
 .
 This is the documentation package.

Package: q2templates
Description-md5: ede30362d3af70d2163ba84cb52f00ca
Description-en: Design template package for QIIME 2 Plugins
 QIIME 2 is a powerful, extensible, and decentralized microbiome analysis
 package with a focus on data and analysis transparency. QIIME 2 enables
 researchers to start an analysis with raw DNA sequence data and finish with
 publication-quality figures and statistical results.
 Key features:
  * Integrated and automatic tracking of data provenance
  * Semantic type system
  * Plugin system for extending microbiome analysis functionality
  * Support for multiple types of user interfaces (e.g. API, command line,
 graphical)
 .
 QIIME 2 is a complete redesign and rewrite of the QIIME 1 microbiome analysis
 pipeline. QIIME 2 will address many of the limitations of QIIME 1, while
 retaining the features that makes QIIME 1 a powerful and widely-used analysis
 pipeline.
 .
 QIIME 2 currently supports an initial end-to-end microbiome analysis pipeline.
 New functionality will regularly become available through QIIME 2 plugins. You
 can view a list of plugins that are currently available on the QIIME 2 plugin
 availability page. The future plugins page lists plugins that are being
 developed.

Package: q4wine
Description-md5: 6c32d62b42561916f28e7f1f8d4bdc2e
Description-en: Qt GUI for WINE
 Q4Wine will help you manage wine prefixes and installed applications.
 .
 General features:
  - Can export Qt color theme into wine colors settings.
  - Can easy work with different wine versions at same time.
  - Easy creating, deleting and managing prefixes (WINEPREFIX).
  - Easy controlling for wine process.
  - Easy installer wizard for wine applications.
  - Autostart icons support.
  - Easy CD-image use.
  - You can extract icons from PE files (.exe .dll).
  - Easy backup and restore for managed prefixes.
  - Winetricks support.
  - And more...

Package: qalc
Description-md5: 759f73c3d3ead9fd33f3314e15defaf8
Description-en: Powerful and easy to use command line calculator
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision using a one-line fault-tolerant expression entry.
 .
 This package contains the command line version of Qalculate!.

Package: qalculate
Description-md5: 7e5e3a8e7e830953965c85c22000d93a
Description-en: Powerful and easy to use desktop calculator - transitional
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision, plotting, and a graphical interface that uses a one-line
 fault-tolerant expression entry (although it supports optional traditional
 buttons).
 .
 This is a transitional package that can be removed once you installed
 qalculate-gtk.

Package: qalculate-gtk
Description-md5: 1c25166fe186825d4ce912c96ffb5202
Description-en: Powerful and easy to use desktop calculator - GTK+ version
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision, plotting, and a graphical interface that uses a one-line
 fault-tolerant expression entry (although it supports optional traditional
 buttons).
 .
 This package contains the GTK+ user interface of qalculate.

Package: qapt-batch
Description-md5: 9633f74129c52826e6eb15f1560f193b
Description-en: Batch package manager for KDE
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 QApt Batch is a simple GUI for doing batch package management operations.
 It can install and remove packages, as well as update the package cache via a
 command line interface. It also has an attach function invokable via the
 command line. QApt Batch is a drop-in replacement for the "install-package"
 batch package management tool.

Package: qapt-deb-installer
Description-md5: b01355b293289ed35612ec0b93eef68d
Description-en: tool for installing deb files
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 The QApt deb installer allows you to install local .deb files. The QApt Deb
 Installer resolves and downloads dependencies. The APT package manager does
 the same, but only for remote packages.

Package: qapt-utils
Description-md5: e4a47a9dcc06514423f3eac9b993f559
Description-en: complete collection of QApt package management utilities
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 This is a metapackage depending on all of QApt's extra package management
 utilities.

Package: qasconfig
Description-md5: e61f1bf21391fe793c4c6a9585d657d9
Description-en: ALSA configuration browser
 QasTools is a collection of desktop applications for the
 Linux sound system ALSA.
 .
 The applications included are:
  - QasConfig - browser for the ALSA configuration tree
  - QasHctl - mixer for ALSA's High level Control Interface
  - QasMixer - desktop mixer with features similar to alsamixer
 .
 ALSA's configuration resides in a tree structure which gets built
 from the contents of the files /etc/asound.conf and ~/.asoundrc.
 QasConfig is a simple browser for this configuration tree and can
 help to analyze and debug an ALSA setup.

Package: qashctl
Description-md5: 9c9ea59a6dbffa9dfc7776310e045673
Description-en: mixer for ALSA's High level Control Interface
 QasTools is a collection of desktop applications for the
 Linux sound system ALSA.
 .
 The applications included are:
  - QasConfig - browser for the ALSA configuration tree
  - QasHctl - mixer for ALSA's High level Control Interface
  - QasMixer - desktop mixer with features similar to alsamixer
 .
 QasHctl is a mixer application for ALSA's
 "High level Control Interface". This interface allows more complex
 interaction than the "Simple Mixer Interface" which is used by
 alsamixer and QasMixer.

Package: qasmixer
Description-md5: 09d7ced89e5657cad46c303dbd122449
Description-en: ALSA mixer for the desktop
 QasTools is a collection of desktop applications for the
 Linux sound system ALSA.
 .
 The applications included are:
  - QasConfig - browser for the ALSA configuration tree
  - QasHctl - mixer for ALSA's High level Control Interface
  - QasMixer - desktop mixer with features similar to alsamixer
 .
 QasMixer is a desktop mixer application for ALSA's
 "Simple Mixer Interface" and offers features similar to alsamixer.
 It also features a system tray icon with basic mixer functionality.

Package: qastools-common
Description-md5: f9c97e4da3ff14d17a3df5c3c341a9d8
Description-en: QasTools common files
 QasTools is a collection of desktop applications for the
 Linux sound system ALSA.
 .
 The applications included are:
  - QasConfig - browser for the ALSA configuration tree
  - QasHctl - mixer for ALSA's High level Control Interface
  - QasMixer - desktop mixer with features similar to alsamixer
 .
 This package contains common files shared by all applications.

Package: qbittorrent
Description-md5: 85a4e72ed2e8edadbe700c12044072a9
Description-en: bittorrent client based on libtorrent-rasterbar with a Qt5 GUI
 BitTorrent client written in C++ and based on libtorrent-rasterbar with a Qt5
 user interface. qBittorrent is a feature rich but lightweight client that is
 very similar to uTorrent. Its main features are:
 .
  * Polished uTorrent-like user interface
  * Well integrated and extensible search engine
  * Remote control through a Web user interface
  * Vuze-compatible protocol encryption
  * uTorrent-compatible Peer eXchange (PeX)
  * DHT (trackerless) support
  * UPnP / NAT-PMP port forwarding
  * IPv6 compliant
  * RSS support with advanced download filters
  * Advanced control over torrent content and trackers
  * IP Filtering (eMule / Peer Guardian filters)
  * Torrents queueing and prioritizing
  * Good localization (~25 languages supported)
  * Unicode support

Package: qbittorrent-dbg
Description-md5: 9f75ac59c1cba268beb7af91b2bc2d06
Description-en: debug symbols for qbittorrent and qbittorrent-nox
 BitTorrent client written in C++ and based on libtorrent-rasterbar with a Qt5
 user interface. qBittorrent is a feature rich but lightweight client that is
 very similar to uTorrent.
 .
 This package contains the debugging symbols for qbittorrent and
 qbittorrent-nox.

Package: qbittorrent-nox
Description-md5: 923845b70a27f2a9a598d3defad6c038
Description-en: bittorrent client based on libtorrent-rasterbar (without X support)
 BitTorrent client written in C++ and based on libtorrent-rasterbar.
 qBittorrent-nox is a version of qBittorrent (Qt5 application) that does not
 require X and can be controlled via a WebUI, thus is more suitable for headless
 servers. It is a feature rich but lightweight client that is very similar to
 rTorrent. Its main features are:
 .
  * Remote control through a Web user interface
  * Vuze-compatible protocol encryption
  * uTorrent-compatible Peer eXchange (PeX)
  * DHT (trackerless) support
  * UPnP / NAT-PMP port forwarding
  * IPv6 compliant
  * Advanced control over torrent content and trackers
  * IP Filtering (eMule / Peer Guardian filters)
  * Torrents queueing and prioritizing
  * Good localization (~25 languages supported)
  * Unicode support

Package: qbrew
Description-md5: f6821411e2d755d2cbb298227c8a7d38
Description-en: Homebrewer's recipe calculator
 QBrew is a recipe calculator for homebrewed beers. It uses Qt for creating
 its graphical user interface and provides facilities for creating own beer
 recipes. It even has a manual describing how to brew your first beer
 yourself!

Package: qbs
Description-md5: 9b7ebcf2e4b8ab2de8f2effccb27d22a
Description-en: cross-platform build tool
 Qbs is a general purpose cross-platform build tool that aims at fast and
 correct incremental builds. It features a project description language that
 is similar to QML.

Package: qbs-common
Description-md5: 964d236b7ecbd9c2cc8740e701c571a3
Description-en: Qbs static files
 Qbs is a general purpose cross-platform build tool that aims at fast and
 correct incremental builds. It features a project description language that
 is similar to QML.
 .
 This package contains Qbs shared static files.

Package: qbs-dev
Description-md5: fea4d3bd717e5614a86f07f3957d8d4d
Description-en: Qbs development files
 Qbs is a general purpose cross-platform build tool that aims at fast and
 correct incremental builds. It features a project description language that
 is similar to QML.
 .
 This package contains headers and development files for Qbs.

Package: qbs-doc
Description-md5: 2dcd26e006703e038c4cf5f6accf9ba6
Description-en: Qbs documentation
 Qbs is a general purpose cross-platform build tool that aims at fast and
 correct incremental builds. It features a project description language that
 is similar to QML.
 .
 This package contains the documentation for Qbs in QCH format, which can be
 viewed using Qt Assistant from qttools5-dev-tools package.

Package: qbs-doc-html
Description-md5: d00edbcb5a65fb84371929c22ee7b6f1
Description-en: Qbs HTML documentation
 Qbs is a general purpose cross-platform build tool that aims at fast and
 correct incremental builds. It features a project description language that
 is similar to QML.
 .
 This package contains the HTML documentation for Qbs.

Package: qbs-examples
Description-md5: b9d236dfb21de34564baf63dba56c63e
Description-en: examples for Qbs build tool
 Qbs is a general purpose cross-platform build tool that aims at fast and
 correct incremental builds. It features a project description language that
 is similar to QML.
 .
 This package contains the example source projects for Qbs.

Package: qca-qt5-2-utils
Description-md5: cb11a511b17993223258cc886a8d9731
Description-en: command line tool for the Qt Cryptographic Architecture
 The Qt Cryptographic Architecture (QCA) provides a straightforward and cross-
 platform API for a range of cryptographic features, including SSL/TLS,
 X.509 certificates, SASL, OpenPGP, S/MIME CMS, and smart cards.
 .
 This package contains the command line tool for the Qt Cryptographic
 Architecture.

Package: qconf
Description-md5: 6678659e2dc44068f18e2bd4f7bab03c
Description-en: nice configure script for qmake-based projects
 It is intended for developers who don’t need (or want)
 to use the more complex GNU autotools. With qconf/qmake,
 it is easy to maintain a cross-platform project that
 uses a familiar configuration interface on *nix.
 .
 Qt 4.x and Qt 5.x are currently supported.

Package: qcumber
Description-md5: 380ba80499911de0a248a18cb1009872
Description-en: quality control of genomic sequences
 QCPipeline is a tool for quality control. The workflow is as follows:
 .
  1. Quality control with FastQC
  2. Trim Reads with Trimmomatic
  3. Quality control of trimmed reads with FastQC
  4. Map reads against reference using bowtie2
  5. Classify reads with Kraken

Package: qdacco
Description-md5: e5377e8d759933083e2f9dce459f3918
Description-en: offline Dacco Catalan <-> English dictionary frontend (qt)
 Dacco is a free Catalan-English dictionary. It gives two versions, one
 qt based and other text based. Both are frontends to use the dacco
 dictionary.

Package: qdbm-cgi
Description-md5: fea33b721cdef0fe767b8493d5bc4060
Description-en: QDBM Database CGI commands
 This is the QDBM Database command package to be used as CGI commands.

Package: qdbm-doc
Description-md5: e629a03377a5c222476c82a9b51586c5
Description-en: QDBM Database Documentation
 Documentation for all of the API's and utilities provided by QDBM.

Package: qdbm-util
Description-md5: db6090cf1ba7c6a0f55e30fae7d0dc37
Description-en: QDBM Database Utilities
 QDBM is an embedded database library compatible with GDBM and NDBM.
 It features hash database and B+ tree database and is developed referring
 to GDBM for the purpose of the following three points: higher processing
 speed, smaller size of a database file, and simpler API.
 This is the QDBM Database utilities package.

Package: qdbus-qt5
Description-md5: 385d02ce00e31ee751a87b6cd61b25e6
Description-en: Qt 5 D-Bus tool
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains qdbus, a command-line tool interface to D-Bus that uses
 the QtDBus library.

Package: qdirstat
Description-md5: 1749ec3ba6ced380242462effe7971b7
Description-en: Qt-based directory statistics
 QDirStat is a graphical application to show where your disk space has gone and
 to help you to clean it up.
 .
 QDirStat has a number of new features compared to KDirStat. To name a few:
  * Multi-selection in both the tree and the treemap.
  * Unlimited number of user-defined cleanup actions.
  * Properly show errors of cleanup actions (and their output, if desired).
  * File categories (MIME types) and their treemap color are now configurable.
  * Exclude rules for directories are easily configurable.
  * Desktop-agnostic; no longer relies on KDE or any other specific desktop.

Package: qdoc-qt5
Description-md5: f92f788cb99290d206293deda65024bf
Description-en: Qt 5 qdoc tool
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains qdoc, a command-line tool for generating HTML
 documentation from .cpp and .qdoc files.

Package: qelectrotech
Description-md5: 5adee748335d8c70b2371829d4bb757a
Description-en: Electric schematic editor
 QElectroTech is a Qt5 application written in C++ .
 This program helps you to design electrical schematic diagrams.
 It includes a schematic editor and a symbol editor.
 It uses XML files to store the produced contents.

Package: qelectrotech-data
Description-md5: f17c6daeca12d8fdad45026537288b61
Description-en: symbols needed for qelectrotech
 QElectroTech is a Qt5 application written in C++ .
 This program helps you to design electrical schematic diagrams.
 It includes a schematic editor and a symbol editor.
 It uses XML files to store the produced contents.
 .
 This package provide symbols needed for qelectrotech.

Package: qelectrotech-examples
Description-md5: 76051a9ea251ee910f8adf02df1f4835
Description-en: examples files for qelectrotech
 QElectroTech is a Qt5 application written in C++ .
 This program helps you to design electrical schematic diagrams.
 It includes a schematic editor and a symbol editor.
 It uses XML files to store the produced contents.
 .
 This package provide examples files for qeletrotech.

Package: qemu-efi
Description-md5: d500ba5533cb5c4c4d39639eebcd91e0
Description-en: transitional dummy package
 This is a transitional dummy package. It contains a compatibility symlink
 of /usr/share/qemu-efi/QEMU_EFI.fd to /usr/share/qemu-efi-aarch64/QEMU_EFI.fd.

Package: qemu-guest-agent
Description-md5: c2bc281a0e5a8e6c2185b336580fd595
Description-en: Guest-side qemu-system agent
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides a daemon (agent) to run inside qemu-system
 guests (full system emulation).  It communicates with the host using
 a virtio-serial channel org.qemu.guest_agent.0, and allows one to perform
 some functions in the guest from the host, including:
  - querying and setting guest system time
  - performing guest filesystem sync operation
  - initiating guest shutdown or suspend to ram
  - accessing guest files
  - freezing/thawing guest filesystem operations
  - others.
 .
 Install this package on a system which is running as guest inside
 qemu virtual machine.  It is not used on the host.

Package: qemu-system-x86-microvm
Description-md5: abc56087a436379485d3b2c96b75340a
Description-en: QEMU minimized system emulation binaries (x86)
 QEMU is a fast processor emulator - this minimal package supports
 only KVM based x86-64 system emulation with a vastly reduced feature set
 intended to be used with the microvm machine type.
 .
 This minimal qemu is stripped of most features to save startup time when
 spawning for use cases with the microvm type and the bios-microvm.bin bios
 which are used to provide container like use cases with KVM isolation.
 For any other common KVM based activity qemu-system-x86 will be more suited.

Package: qemu-system-x86-xen
Description-md5: 44c7302a148191180d4e29e20a3977e2
Description-en: QEMU full system emulation binaries (x86)
 QEMU is a fast processor emulator: currently the package supports
 i386 and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides the full system emulation binaries to emulate
 the following x86 hardware: .
 .
 In comparison to the main qemu-system-x86 this package has xen support
 enabled, but is only maintained as universe package. Qemu with xen support
 is needed to run Xen in HVM mode. For any other use case you should install
 and use qemu-system-x86 instead.

Package: qemu-user
Description-md5: b7a34d8d1377ca0beb573e0e2b4ce914
Description-en: QEMU user mode emulation binaries
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides the user mode emulation binaries. In this mode
 QEMU can launch Linux processes compiled for one CPU on another CPU.
 .
 If qemu-user-binfmt package is also installed, it will register binary
 format handlers from this qemu-user package with the kernel so it will
 be possible to run foreign binaries directly.  However, this might not
 be suitable for using inside foreign chroots, in which case it is
 possible to use qemu-user-static package instead of qemu-user-binmft, --
 qemu-user-static will register statically linked binfmt handlers instead.

Package: qemu-user-binfmt
Description-md5: b9d172841422ce933839d1a34cce7b20
Description-en: QEMU user mode binfmt registration for qemu-user
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides binfmt support registration for the user mode
 emulation binaries from qemu-user.  This is an empty package, it does
 not contain any additional files, only registration scripts which run
 at install and remove times.

Package: qemu-user-static
Description-md5: 745cf1fdce8807e14d332ae512779b8f
Description-en: QEMU user mode emulation binaries (static version)
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides the user mode emulation binaries, built
 statically. In this mode QEMU can launch Linux processes compiled for
 one CPU on another CPU.
 .
 qemu-user-static package will register binary formats which the provided
 emulators can handle, so that it will be possible to run foreign binaries
 directly.

Package: qemubuilder
Description-md5: 31513dff9e10e1a3f94a0a85286a99bc
Description-en: pbuilder using QEMU as backend
 pbuilder implementation for QEMU.
 .
 qemubuilder create -- builds QEMU cow base image.
 .
 qemubuilder update -- updates QEMU cow base image.
 .
 qemubuilder build -- builds package inside QEMU cow base image.
 .
 Gives a pbuilder interface for emulated cross-building environments
 using qemu.
 .
 pbuilder is a tool for building and testing Debian package inside a
 clean chroot, and qemubuilder implements similar experience over
 emulated CPUs. This tool allows building package inside emulated
 Debian environment for different CPU architectures supported by qemu.

Package: qevercloud-doc
Description-md5: 95b10da8da83870fa2f4e632c7e35540
Description-en: QEverCloud library documentation
 QEverCloud presents a rather complete Evernote SDK for Qt.
 Nearly all the functionality described on the Evernote site
 is implemented and ready to use.
 .
 This package provides the documentation for the library.

Package: qfits-tools
Description-md5: 67c16a384ac53f9213d7b8bf914ecef6
Description-en: FITS manipulation tools
 qfits is a stand-alone library written in C to interact with files
 complying with the FITS format, which is a data format most used in
 astronomy.
 .
 This package includes tools for simple manipulations of FITS files.

Package: qfitsview
Description-md5: 4cc64d31da3d8f40331fc44e30003656
Description-en: FITS file viewer based on DPUSER
 QFitsView is a FITS file viewer based on DPUSER. In addition to displaying
 two-dimensional images, it also provides means to explore three-dimensional
 data cubes. It integrates the functionality of DPUSER which can be accessed
 directly out of QFitsView.
 .
 Note that this package was compiled with the giza library instead of PGPLOT,
 since the latter is non-free software.

Package: qflow
Description-md5: 994095f764452ff82a7621c70d80a6af
Description-en: Open-Source Digital Synthesis Flow
 This is a complete tool chain for synthesizing digital circuits starting
 from verilog source and ending in physical layout for a specific target
 fabrication process. In the world of commercial electronics, digital
 synthesis with a target application of a chip design is usually bundled
 into large EDA software systems. As commercial electronics designers need
 to maintain cutting-edge performance, these commercial toolchains get more
 and more expensive, and have largely priced themselves out of all but the
 established integrated circuit manufacturers. This leaves an unfortunate
 gap where startup companies and small businesses cannot afford to do any
 sort of integrated circuit design.
 .
 Qflow tries to fill this gap.

Package: qflow-tech-osu018
Description-md5: 68f6a4894b349b5bf4abc46c30f093fd
Description-en: Technology files needed for qflow for osu018
 Qflow is an open-source digital synthesis flow.
 .
 This package only contains the technology files needed for qflow.
 (osu018)

Package: qflow-tech-osu035
Description-md5: 0fb52b9ef9db603e09a516214869283c
Description-en: Technology files needed for qflow for osu035
 Qflow is an open-source digital synthesis flow.
 .
 This package only contains the technology files needed for qflow.
 (osu035)

Package: qflow-tech-osu050
Description-md5: c5ebfb331aacc8d46f4d316b963e806c
Description-en: Technology files needed for qflow for osu050
 Qflow is an open-source digital synthesis flow.
 .
 This package only contains the technology files needed for qflow.
 (osu050)

Package: qgis
Description-md5: 49f76973cc4c2bd4a16872b7a79659ad
Description-en: Geographic Information System (GIS)
 A Geographic Information System (GIS) manages, analyzes, and displays
 databases of geographic information. QGIS supports shape file viewing and
 editing, spatial data storage with PostgreSQL/PostGIS, projection on-the-fly,
 map composition, and a number of other features via a plugin interface. QGIS
 also supports display of various georeferenced raster and Digital Elevation
 Model (DEM) formats including GeoTIFF, Arc/Info ASCII Grid, and USGS ASCII
 DEM.

Package: qgis-api-doc
Description-md5: ec0d00ace6198b94cf94860b88344000
Description-en: QGIS API documentation
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the QGIS API documentation.

Package: qgis-common
Description-md5: 45d667349abd44570f6bc5430cce30d7
Description-en: QGIS - architecture-independent data
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains architecture-independent supporting data files for use
 with QGIS.

Package: qgis-plugin-grass
Description-md5: 1e0ef88deac60b0e3936bb19ebb9a831
Description-en: GRASS plugin for QGIS
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This plugin enables GRASS data access in QGIS.

Package: qgis-plugin-grass-common
Description-md5: cdfe56f8128e333678013416b509f31c
Description-en: GRASS plugin for QGIS - architecture-independent data
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains architecture-independent supporting data files for use
 with the QGIS GRASS plugin.

Package: qgis-provider-grass
Description-md5: 4917261ab8b181a0f852a9735318ce37
Description-en: GRASS provider for QGIS
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This provider enables GRASS data access in QGIS.

Package: qgis-providers
Description-md5: f26d891ad5deb313e92ac31f2d19d7ad
Description-en: collection of data providers to QGIS
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the provider plugins for QGIS.

Package: qgis-providers-common
Description-md5: f62fa89c8886aedb4438d86455bb523b
Description-en: collection of data providers to QGIS - architecture-independent files
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains architecture-independent files for the QGIS providers.

Package: qgis-server
Description-md5: 98c397a11b49b18410d22f1028704de9
Description-en: QGIS server providing various OGC services
 QGIS is a Geographic Information System (GIS) which manages, analyzes and
 display databases of geographic information.
 .
 This package contains the QGIS server.

Package: qgis3-survex-import
Description-md5: 0673345e892caef51410bb9f20745ab8
Description-en: QGIS3 plugin to read survex 3d files, for cave surveying
 Plugin for QGIS3 which reads binary survex .3d cave survey files,
 importing stations and legs with all metadata. Supports v8 .3d files
 Can create passage walls, cross-sections and polygons from LRUD info.
 Honours the projection specified.
 The result can be saved into a GeoPackage (.gpkg) shapefile

Package: qgit
Description-md5: c8858a28936cee46b50707664d773e65
Description-en: Qt application for viewing GIT trees
 With qgit you will be able to browse revision tree, view patch content
 and changed files, graphically following different development branches.
 Main features:
  - View revisions, diffs, files history, files annotation, archive tree.
  - Commit changes visually cherry picking modified files.
  - Apply or format patch series from selected commits, drag and
    drop commits between two instances of qgit.
  - qgit implements a GUI for the most common StGIT commands like push/pop
    and apply/format patches. You can also create new patches or refresh
    current top one using the same semantics of git commit, i.e.
    cherry picking single modified files.

Package: qgo
Description-md5: 8b632fe985ddb638bda51b59e470b46d
Description-en: Go client and full featured SGF editor
 qGo is a computerized board on which you can play the game of Go
 against another player, view and edit smart-go files, and connect to
 Go servers on the internet. It can also interface with computer Go
 programs such as GNU Go that speak the Go modem protocol. Have a look
 at the cgoban package if you are interested in a Go client with less
 package dependencies.
 .
 See description of the gnugo package for a short description of the
 game.

Package: qhelpgenerator-qt5
Description-md5: 1a7684da0703e7546655bc3dff2f9881
Description-en: Qt 5 qhelpgenerator tool
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains qhelpgenerator, a command-line tool for packaging
 the HTML documentation generated by qdoc into .qch files.

Package: qhimdtransfer
Description-md5: aebe65eaf5a3f961b05c77ca7c10dacb
Description-en: Transfer software for HiMD Walkman
 qhimdtransfer is a simple graphical user interface application
 for accessing MiniDisc devices. Currently, qhimdtransfer
 supports HiMD devices only through libhimd. Support for
 NetMD devices through libnetmd is being planned and
 implemented by upstream for the next releases.

Package: qhull-bin
Description-md5: 72ea306632db62fd6763de5b2a1f2067
Description-en: calculate convex hulls and related structures (utilities)
 Qhull computes convex hulls, Delaunay triangulations, halfspace
 intersections about a point, Voronoi diagrams, furthest-site
 Delaunay triangulations, and furthest-site Voronoi diagrams. It
 runs in 2-d, 3-d, 4-d, and higher dimensions.
 .
 This package contains the qhull executable that gives a pipe interface to
 some of the functionality of the library.  Also included is rbox is a
 useful tool in generating input for Qhull; it generates hypercubes,
 diamonds, cones, circles, simplices, spirals, lattices, and random points.
 .
 Qhull produces graphical output for Geomview.  This helps with
 understanding the output (http://www.geomview.org).

Package: qiv
Description-md5: 677ec7248b16bd6e6ca9e49afc56cd7a
Description-en: Quick image viewer for X
 Quick Image Viewer (qiv) is a very small and pretty fast GDK/Imlib image
 viewer. Features include zoom, maxpect, scale down, fullscreen,
 brightness/contrast/gamma correction, slideshow, pan with keyboard and mouse,
 rotate left/right, flip, delete (move to .qiv-trash/), jump to image x, jump
 forward/backward x images, filename filter, and you can use qiv to set your
 X11-Desktop background.
 .
 qiv also supports the assignment of external commands (like metacam) to unused
 keys.

Package: qjackctl
Description-md5: e4eff5b412e02d2dab4dcd699f0351f6
Description-en: User interface for controlling the JACK sound server
 Qjackctl offers a user interface for controlling the JACK sound server
 daemon. At the same time it figures as a JACK patch bay and monitoring
 tool.

Package: qjackrcd
Description-md5: 82d3f337c71c4ac52b90378ddbafadfa
Description-en: Qt4 application to record JACK server outputs
 QJackRcd is a simple stereo recorder for Jack with few features as
 silence processing for automatic pause, file splitting, background
 file post-processing. It can be used with QJackCtl.
 .
 Features:
  * QT simple GUI with disk usage and vumeter.
  * Jack support for recording, jack transport support.
  * Silence compressing with auto pause and optional file splitting.
  * Background WAV post processing for format conversion and signal
    processing.
  * Automatic connection with first launched jack source facility.

Package: qjoypad
Description-md5: 217ff3028c81b5f9aa513a0ad43f1f54
Description-en: map gamepad/joystick events to mouse/keyboard event
 QJoyPad takes input from a gamepad or joystick and translates it into
 key strokes or mouse actions, letting you control any X-Windows program
 with your game controller.  This lets you play all those games that for
 some reason don't have joystick support with your joystick.

Package: qla-tools
Description-md5: a34313410c8521eff4aa1103e82abcda
Description-en: QLogic Linux tools for work with QLogic HBAs
 QLogic provides some tools that makes LUN handling (add / remove) and
 administration of QLogic HBAs much easier. You can scan for newly added LUNs,
 display details about the QLogic HBA attached to the system, change the state
 of LUNs from offline to online/running and set the timeout on the devices
 connected to the QLogic FC HBA.

Package: qlcplus
Description-md5: 7022c46529c89b9fad1fdbf3f614004d
Description-en: Control DMX or analog lighting systems
 Q Light Controller Plus is a software lighting desk for controlling
 DMX or analog lighting systems like moving heads, dimmers, scanners.
 .
 This project is a fork of the great QLC project written by Heikki Junnila
 that aims to continue the QLC development and to introduce new features. The
 primary goal is to bring QLC+ at the level of other lighting control commercial
 software.

Package: qlcplus-data
Description-md5: 5297322757b8f920537bc2cc624c43cd
Description-en: Control DMX or analog lighting systems (data files)
 Q Light Controller Plus is a software lighting desk for controlling
 DMX or analog lighting systems like moving heads, dimmers, scanners.
 .
 This project is a fork of the great QLC project written by Heikki Junnila
 that aims to continue the QLC development and to introduce new features. The
 primary goal is to bring QLC+ at the level of other lighting control commercial
 software.
 .
 This package contains architecture-independent data files.

Package: qlipper
Description-md5: 8f39f199733c9c4b59420260921c45f5
Description-en: Lightweight and cross-platform clipboard history applet
 A Lightweight and cross-platform clipboard history applet. It
 provides the following features:
 .
  - saves clipboard history entries and restores them
  - lightweight
  - systray docking app
  - few requirements
  - can be used in GNOME and Windows (and more)

Package: qlogo
Description-md5: 851d7ee432b2e9009d0ea261317366d1
Description-en: Language using turtle graphics famous for teaching kids
 QLogo is an interpreter for the Logo language written in C++ using
 Qt and OpenGL. Specifically, it mimics (as reasonably as possible)
 the UCBLogo interpreter developed at U.C. Berkeley. In fact, the
 UCBLogo manual describes about 99.9% of the functionality. You can
 find the UCBLogo Manual here:
 http://people.eecs.berkeley.edu/~bh/usermanual

Package: qmail
Description-md5: 4fb01ab6cd46cf3373f14ec200e0aa7b
Description-en: a secure, reliable, efficient, simple message transfer agent
 qmail is meant as a replacement for the entire sendmail-binmail system on
 typical Internet-connected UNIX hosts.
 .
 This package is based on the netqmail distribution of qmail, put
 together by a motley krewe of qmail contributors (see the README).
 It is derived from Daniel Bernstein's qmail-1.03 plus bug fixes,
 a few feature enhancements, and some documentation.

Package: qmail-run
Description-md5: 576a08a1f0ef8bc5f4457a99a2e437fc
Description-en: sets up qmail as mail-transfer-agent
 This package sets up the Debian qmail package as mail-transfer-agent.
 It follows the most commonly used public documentation
 http://www.lifewithqmail.org/ almost completely.
 .
 See /usr/share/doc/qmail-run/README.Debian for details.

Package: qmail-uids-gids
Description-md5: 710f624aae93e92fb2cf619973d3a766
Description-en: user ids and group ids for qmail
 This package is mandatory for building and running the qmail package.

Package: qmapshack
Description-md5: 6bd4b049066038463f1cc2535c52c8eb
Description-en: GPS mapping (GeoTiff and vector) and GPSr management
 This package provides a versatile tool for GPS maps in GeoTiff format as
 well as Garmin's img vector map format.
 You can also view and edit your GPX tracks.
 QMapShack is the successor of QLandkarteGT.
 .
 Main features:
  - Use of several workspaces.
  - Use several maps on a workspace.
  - Handle data project-oriented.

Package: qmc
Description-md5: a92ecb0c91224d382142803c8eac0dfd
Description-en: Quine McClusky Simplification Tool
 This tool optimizes boolean expressions using the Quine McClusky
 process.

Package: qmenu
Description-md5: e484fe60db67695e3494f70bdfc6d5e7
Description-en: Tool to create simple menus for terminal interfaces
 qmenu allows one to create menus for textual interfaces in an easy and
 intuitive way. Each menu is defined in a file describing the
 visual appearance and the menu items using a simple syntax.
 .
 Features include options to format the menu, bindings to function keys,
 possibility to include submenus, show help messages and launch shell
 sessions.

Package: qmidiarp
Description-md5: e40c0ec0feb0267a1b5a1afdfd6a59f5
Description-en: MIDI arpeggiator for ALSA
 QMidiArp is an advanced MIDI arpeggiator, programmable step sequencer
 and LFO for the ALSA sequencer. It can hold any number of arpeggiator,
 sequencer, or LFO modules running in parallel.
 .
 Arpeggiator modules produce sequences depending on the notes sent
 to their input port, which is typically connected to a keyboard or
 another sequencer.
 .
 Step sequencer modules allow one to create simple linear, monophonic
 and globally transposable sequences similar to the first analog
 sequencers.
 .
 MIDI LFO modules independently produce MIDI controller data of
 adjustable waveform, time resolution, amplitude and duration.
 .
 For each module, an input note filter is available, and the output
 port and channel can be set independently. Since the modules use a
 common sequencer queue, they are automatically in sync with each other.
 QMidiArp works with an internal tick resolution of 192 ticks per beat.
 The queue can be synchronized to an incoming MIDI realtime clock or as
 a JACK transport client. Most of the relevant control elements are
 accessible via MIDI controller through a MIDI-learn infrastructure.
 QMidiArp also has a log tool displaying the history of incoming MIDI
 events in colors depending on their type. QMidiArp is based on the Qt
 toolkit.

Package: qmidinet
Description-md5: baa8b70cc0fa6555f6bdb9aadf027d4e
Description-en: MIDI Network Gateway via UDP/IP Multicast
 QmidiNet is a MIDI network gateway application that sends and receives
 MIDI data (ALSA Sequencer) over the network, using UDP/IP multicast.
 It was inspired by multimidicast (https://llg.cubic.org/tools) and
 designed to be compatible with ipMIDI for Windows (https://nerds.de).

Package: qmidiroute
Description-md5: cc91076f7b4f361d37a2c1b4b99c2379
Description-en: MIDI event router and filter
 MIDI note, control change,program change and pitch bend events
 are logged, and can be filtered, redirected and transformed
 into other events according to MIDI maps defined as tabs
 in the main control surface.
 .
 You can copy midi MAPS into new tabs using the 'Clone MIDI map' button.
 All MIDI maps can be saved in a .qma text file.

Package: qml
Description-md5: 8b8d9b44541027d34f3e6871e88ff6d3
Description-en: Qt 5 QML viewer
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the new QML viewer for Qt Quick 2, called simply
 'qml'.

Package: qml-module-gsettings1.0
Description-md5: 4c3189f37fd331de47f57d05b6f51fab
Description-en: QML Bindings for GSettings
 Libgsettings-qt provides Qt binding to GSettings, a high-level API
 for application settings. This library can be used to access GSettings from Qt
 applications.
 .
 This package provides QML bindings for GSettings. QML applications
 may use this library to manipulate data stored in GSettings database.

Package: qml-module-io-thp-pyotherside
Description-md5: be0a368f981bee2d0afede3625221c9a
Description-en: asynchronous Python 3 Bindings for Qt 5 (QML plugin)
 A Qt 5 QML Plugin that provides access to a Python 3 interpreter from QML.
 .
 PyOtherSide is a Qt 5 QML Plugin that provides access to a Python 3
 interpreter from QML. It was designed with mobile devices in mind, where
 high-framerate touch interfaces are common, and where the user usually
 interfaces only with one application at a time via a touchscreen. As such, it
 is important to never block the UI thread, so that the user can always
 continue to use the interface, even when the backend is processing,
 downloading or calculating something in the background.
 .
 At its core, PyOtherSide is basically a simple layer that converts Qt (QML)
 objects to Python objects and vice versa, with focus on asynchronous events
 and continuation-passing style function calls.
 .
 While legacy versions of PyOtherSide worked with Qt 4.x and Python 2.x, its
 focus now lies on Python 3.x and Qt 5. Python 3 has been out for several
 years, and offers some nice language features and clean-ups, while Qt 5
 supports most mobile platforms well, and has an improved QML engine and a
 faster renderer (Qt Scene Graph) compared to Qt 4.
 .
 This package provides the QML plugin.

Package: qml-module-ofono
Description-md5: aedb778e3b5e851d1a708c20eb5d2915
Description-en: QML bindings for libqofono
 A library for accessing the ofono daemon, and a declarative plugin for
 it. This allows accessing ofono in qtquick and friends.

Package: qml-module-org-kde-activities
Description-md5: be2639ae3f81b62b7624b7a055f51e8a
Description-en: provides integration of QML and KDE Frameworks
 This import contains KDE extras that are visually similar to Qt Quick
 Controls.
 .
 This package contains the QML files used by libkf5declarative.

Package: qml-module-org-kde-analitza
Description-md5: 01edccf0ccef447e85d8695698668660
Description-en: library to work with mathematical expressions - QML library
 This library is used by KAlgebra and may be used by other software to parse and
 work with mathematical expressions. This library provides the QML components
 for some of the UI widgets.
 .
 This package is part of the KDE education module.

Package: qml-module-org-kde-bluezqt
Description-md5: 0b57a180e4047b58db80ec6f58fdc7a1
Description-en: QML wrapper for bluez
 bluez-qt is a Qt-style library for accessing the bluez Bluetooth stack.
 .
 It is used by the KDE Bluetooth stack, BlueDevil.

Package: qml-module-org-kde-charts
Description-md5: 5fdffae34eeddb86408a001773dea12b
Description-en: QtQuick plugin to render interactive charts
 A QtQuick plugin to render beautiful and interactive charts.
 .
 kqtquickcharts is part of KDE Applications.

Package: qml-module-org-kde-draganddrop
Description-md5: 3698998cc3ffbdf62b63eae5b293d681
Description-en: provides integration of QML and KDE frameworks - draganddrop
 Provides QML draganddrop functionality.

Package: qml-module-org-kde-games-core
Description-md5: 62257cc6e190d31d693ad0fe9345ed3c
Description-en: kde-games-core QtDeclarative QML support
 Contains a plugin for QtDeclarative that provides
 support for using KDE-games-core to components written
 in QML.
 .
 This package is part of the KDE games module.

Package: qml-module-org-kde-kaccounts
Description-md5: 95ae495a52ce04d808c1acad06c0666a
Description-en: KAccounts QtDeclarative QML Support
 Contains a plugin for QtDeclarative that provides
 support for using KAccounts components written
 in QML.

Package: qml-module-org-kde-kcm
Description-md5: 739fef35431fdb11b10bda3bd96c4ca9
Description-en: provides integration of QML and KDE Frameworks - kcm
 This import contains KDE extras that are visually similar to Qt Quick
 Controls.
 .
 This package contains the QML files used by libkf5declarative.

Package: qml-module-org-kde-kconfig
Description-md5: 2dd6f080618b6a0942c91b233ea8ddd0
Description-en: provides integration of QML and KDE Frameworks - kconfig
 This import contains KDE extras that are visually similar to Qt Quick
 Controls.
 .
 This package contains the QML files used by libkf5declarative.

Package: qml-module-org-kde-kcoreaddons
Description-md5: 95860e7aefd481f2b8ccdaf415f81faa
Description-en: provides integration of QML and KDE frameworks - kcoreaddons
 Provides access from QML to libkf5coreaddons classes.

Package: qml-module-org-kde-kholidays
Description-md5: debc0952a02418df2e6c1ad9c3f61999
Description-en: holidays calculation library - qml files
 This library provides a C++ API that determines holiday and other special
 events for a geographical region.
 .
 This package contains the QML module.

Package: qml-module-org-kde-kio
Description-md5: 9a8e858ebd52ec2da58620359d8ffe18
Description-en: provides integration of QML and KDE Frameworks - kio
 This import contains KDE extras that are visually similar to Qt Quick
 Controls.
 .
 This package contains the QML files used by libkf5declarative.

Package: qml-module-org-kde-kirigami2
Description-md5: 9002ddfb68efe4d9344dd0ab21e310b7
Description-en: set of QtQuick components targeted for mobile use
 Kirigami is a set of QtQuick components at the moment targeted for
 mobile use (in the future desktop as well) targeting both Plasma
 Mobile and Android. A set of high level components to make the
 creation of applications that look and feel great on mobile as well
 as desktop devices and follow the Kirigami Human Interface
 Guidelines. The target of these components is anybody that wants to
 do an application using QtQuick as its main UI, especially if
 targeting a mobile platform, without adding many dependencies. They
 work on a variety of platforms, such as Plasma Mobile, Desktop Linux,
 Android and Windows.

Package: qml-module-org-kde-kitemmodels
Description-md5: 0aa6ea38dcf6549c6b4b8543d064a30b
Description-en: additional item/view models for Qt Itemview
 A library which provides additional item/view models for Qt Itemview.
 .
 This package is part of KDE Frameworks 5.

Package: qml-module-org-kde-kquickcontrols
Description-md5: fc3ea529ac208cdebf7315d101958fcd
Description-en: provides integration of QML and KDE frameworks - kquickcontrols
 This import contains KDE extras that are visually similar to Qt Quick
 Controls.

Package: qml-module-org-kde-kquickcontrolsaddons
Description-md5: 718bb255ede033998219a5e155b28664
Description-en: provides integration of QML and KDE Frameworks - kquickcontrolsaddons
 This import contains KDE extras that are visually similar to Qt Quick
 Controls.
 .
 This package contains the QML files used by libkf5declarative.

Package: qml-module-org-kde-kwindowsystem
Description-md5: 0491a489803f70dd68d9fbc457ace164
Description-en: provides integration of QML and KDE frameworks - kwindowsystem
 Provides access from QML to libkf5windowsystem classes.

Package: qml-module-org-kde-newstuff
Description-md5: 5ed144bc22706a991b85b7303d0d0c03
Description-en: Support for downloading application assets from the network.
 Framework for downloading and sharing additional
 application data.
 .
 This package contains the runtime files

Package: qml-module-org-kde-okular
Description-md5: cc94e4febfe2ed0d8808aa34cf32c8d3
Description-en: mobile support for Okular - QML modules
 This package contains the QML module for interfacing with the Okular core
 library.
 .
 This package is part of the KDE graphics module.

Package: qml-module-org-kde-people
Description-md5: b2bd8de8f4f4c051cc8be21fa7f073ce
Description-en: framework providing unified access to contacts aggregated by person
 KPeople offers unified access to our contacts from different sources, grouping
 them by person while still exposing all the data.
 .
 Furthermore, KPeople will also provide facilities to integrate the data
 provided in user interfaces by providing QML and Qt Widgets components.
 .
 This package is part of KDE Frameworks 5.
 .
 This package contains the QML module.

Package: qml-module-org-kde-prison
Description-md5: 5fbd85b6394c6b96ed92b9b18040784e
Description-en: barcode API for Qt
 prison is a barcode API currently offering a nice Qt API to produce QRCode
 barcodes and DataMatrix barcodes, and can easily be made support more.
 .
 This package contains the QML module

Package: qml-module-org-kde-purpose
Description-md5: db48a1cd022e4a5086a0380753cf34bc
Description-en: abstraction to provide and leverage actions of a specific kind, qml bindings
 Purpose offers the possibility to create integrate services and actions on
 any application without having to implement them specifically. Purpose will
 offer them mechanisms to list the different alternatives to execute given the
 requested action type and will facilitate components so that all the plugins
 can receive all the information they need.
 .
 This package contains the Purpose Qt Quick QML bindings.

Package: qml-module-org-kde-qqc2desktopstyle
Description-md5: a75040f942a76b99294d0b3272a2051a
Description-en: Qt Quick Controls 2: Desktop Style
 Small style written in QML for QtQuickControls2 intended to be used
 by default in QQC2-based apps when used in the Plasma desktop

Package: qml-module-org-kde-quickcharts
Description-md5: 561dddcd02c5c28bee003c3d29594d4c
Description-en: Quick Charts
 A QtQuick plugin providing GPU accelerated high-performance 2D charts.
 .
 This package contain the runtime qml module.

Package: qml-module-org-kde-runnermodel
Description-md5: a28635792296d2e6d3a8dfe5f59ec05a
Description-en: Used to write plugins loaded at runtime called "Runners".
 Launcher built into the Plasma desktop. While its
 basic function is to launch programs from a sort
 of mini-command-line, its functionality can be
 extended by "runners" to assist the user
 to accomplish a lot of tasks.
 .
 This package contains the runtime files.

Package: qml-module-org-kde-solid
Description-md5: 648104f9b0962b42f8d86d59469349f4
Description-en: Qt library to query and control hardware plugin
 Solid is a device integration framework. It provides a way of querying and
 interacting with hardware independently of the underlying operating system.
 .
 This package is part of KDE Frameworks 5.

Package: qml-module-org-kde-telepathy
Description-md5: 447ba5b891a330ccc4b61d66615aa5c2
Description-en: kde-telepathy QtDeclarative QML support
 Contains a plugin for QtDeclarative that provides
 support for using KDE-Telepathy to components written
 in QML.

Package: qml-module-org-nemomobile-mpris
Description-md5: 5ad7c2e3f811b39117966bdd4ae44a22
Description-en: Qt 5 MPRIS interface and adaptor QML module
 The MPRIS ( Media Player Remote Interfacing Specification ) is a
 standard D-Bus interface which aims to provide a common
 programmatic API for controlling media players.
 .
 This package contains the QML module for qtmpris.

Package: qml-module-qmltermwidget
Description-md5: 4b182adb1b86f2909b9e0942e58b8c0a
Description-en: QML port of qtermwidget
 This project is a QML port of qtermwidget. It is written to be as close
 as possible to the upstream project in order to make cooperation possible.

Package: qml-module-qt-labs-calendar
Description-md5: 1007156640cc7eccdd8fa89c0d706215
Description-en: Qt 5 qt.labs.calendar QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the QML qt.labs.calendar module, which is part of
 Qt Quick Controls 2.

Package: qml-module-qt-labs-folderlistmodel
Description-md5: 203c24a871916a8ab106c719f21dc506
Description-en: Qt 5 folderlistmodel QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The FolderListModel provides a model of the contents of a file system folder.

Package: qml-module-qt-labs-location
Description-md5: b08c5f9b451968e9cc0ebe130b120c26
Description-en: Qt Location Labs module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Positioning labs plugin for Qt Declarative.

Package: qml-module-qt-labs-platform
Description-md5: f2219a55ee29e79f4d169c8a28b64b19
Description-en: Qt 5 qt.labs.platform QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the experimental Qt Labs Platform module that provides
 platform integration: native dialogs, menus and menu bars, and tray icons.
 It falls back to Qt Widgets when a native implementation is not available.

Package: qml-module-qt-labs-qmlmodels
Description-md5: e79312879184c15d55d88eb3261103ae
Description-en: Qt 5 Qt.labs.qmlmodels QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This QML module contains experimental QML types related to data models.

Package: qml-module-qt-labs-settings
Description-md5: e44b06a5fdc411c26b6d54c6262fe739
Description-en: Qt 5 settings QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the settings QML module for Qt declarative.

Package: qml-module-qt-labs-sharedimage
Description-md5: 8aef95f1014434d2db13c78e0c721f84
Description-en: Qt 5 SharedImage QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Shared Image plugin.

Package: qml-module-qt-labs-wavefrontmesh
Description-md5: cbe143ca8d005db27e6977db8faaa265
Description-en: Qt 5 Qt.labs.wavefrontmesh QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This QML module provides a mesh based on a Wavefront .obj file.

Package: qml-module-qt-websockets
Description-md5: 728aed2d0d0e12ab64da390a3970324a
Description-en: Qt 5 Web Sockets compatibility QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the compatibility Qt.WebSockets QML module. Do
 not use it, use QtWebSockets module instead (in qml-module-qtwebsockets
 package).

Package: qml-module-qt3d
Description-md5: 134da009b84fc00183042b541e94ebbe
Description-en: Qt 5 3D QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt 3D QML module.

Package: qml-module-qtaudioengine
Description-md5: 178a9ecfbb1dbdd0efb1c149c88b3ee5
Description-en: Qt 5 AudioEngine QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the AudioEngine QML module for QtDeclarative.

Package: qml-module-qtav
Description-md5: 8f106b60300a06c54270c24905f32ede
Description-en: QtAV QML module
 QtAV is a multimedia playback library based on Qt and FFmpeg.
 It can help you to write a player with less effort than ever before.
 .
 This package contains the QtAV QML module for Qt declarative.

Package: qml-module-qtbluetooth
Description-md5: 19153f8b42b736e4c6ebc6101b2c05ae
Description-en: Qt Connectivity module - Bluetooth QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Bluetooth QML module from the Qt Connectivity module.

Package: qml-module-qtcharts
Description-md5: f3a7d7aeb29b020c523a3441a34bf8e4
Description-en: Qt charts QML module
 Qt Charts module provides a set of easy to use chart components.
 .
 This package provides a QML module for Qt charts.

Package: qml-module-qtdatavisualization
Description-md5: 57fd9c4d2feaac89db0b1bfc5c722d2d
Description-en: Qt 5 Data Visualization QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Data Visualization QML module for QtDeclarative.

Package: qml-module-qtgraphicaleffects
Description-md5: cb163b459a459bfd1e6a46aee931dc92
Description-en: Qt 5 Graphical Effects module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 Qt Graphical Effects contains ready to use visual effects for OpenGL
 QML applications.

Package: qml-module-qtgstreamer
Description-md5: 623dc0bb73824b4888548a0fefd32485
Description-en: QML plugins from QtGStreamer - Qt 5 build
 This package contains QML plugins that are shipped together with the
 QtGStreamer bindings library. These plugins provide QML elements that
 enable better integration of GStreamer in QML-based GUI applications.
 .
 This package is part of the Qt5 version of QtGStreamer.

Package: qml-module-qtlocation
Description-md5: 437b7ed062fc5546f4268576a55d840f
Description-en: Qt Location QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Location QML plugin for Qt Declarative.

Package: qml-module-qtmultimedia
Description-md5: f9a0bf61080fc4f014c435881efaa4fd
Description-en: Qt 5 Multimedia QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Multimedia QML module for QtDeclarative.

Package: qml-module-qtnfc
Description-md5: 67fb317d12bc6eea8645b38b48bc752f
Description-en: Qt Connectivity module - NFC QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the NFC QML module from the Qt Connectivity module.

Package: qml-module-qtpositioning
Description-md5: 70232876aa05db306af504bc23f4e6df
Description-en: Qt Positioning QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Positioning QML plugin for Qt Declarative.

Package: qml-module-qtqml-models2
Description-md5: 18092df8fbb5da0f1f9c55090cc57c99
Description-en: Qt 5 Models2 QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Models provides types previously found in Qt Quick module.

Package: qml-module-qtqml-statemachine
Description-md5: 270a6273523332da7756d0400a49f989
Description-en: Qt 5 State Machine QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The State Machine QML module.

Package: qml-module-qtquick-controls
Description-md5: 9069fcb191c7d2bc8d4d3affc755c6d0
Description-en: Qt 5 Quick Controls QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Qt Quick Controls module provides a set of controls that can be used to
 build complete interfaces in Qt Quick.

Package: qml-module-qtquick-controls2
Description-md5: f84bd37eb316704c70d0979649ace1c7
Description-en: Qt 5 Qt Quick Controls 2 QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Controls 2 QML module.

Package: qml-module-qtquick-dialogs
Description-md5: 370d162673a8241d17c7d381d77a52d8
Description-en: Qt 5 Dialogs QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides various dialogs that can be used in Qt Quick.

Package: qml-module-qtquick-extras
Description-md5: dbc9d9bceb6d3e80afde3289ce1df90d
Description-en: Qt 5 Quick Extras QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Qt Quick Extras module provides a set of extra components that can be
 used to build complete interfaces in Qt Quick.

Package: qml-module-qtquick-layouts
Description-md5: bd89c15244f52e9c0bb51284f75a6d15
Description-en: Qt 5 Quick Layouts QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Qt Quick Layouts modules provides a set of layouts that can be used to
 build complete interfaces in Qt Quick.

Package: qml-module-qtquick-localstorage
Description-md5: 011ac4e60cfff53b48c1a8eec1a90a15
Description-en: Qt 5 localstorage QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This is a singleton type for reading and writing to SQLite databases.

Package: qml-module-qtquick-particles2
Description-md5: c2270a2aa81580e8c68f2df857c5065e
Description-en: Qt 5 particles 2 QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This QML module contains a particle system for Qt Quick.

Package: qml-module-qtquick-privatewidgets
Description-md5: 0fd7e1df5ca169208d9315cc4a52b99e
Description-en: Qt 5 Private Widgets QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Private Widgets module.

Package: qml-module-qtquick-scene2d
Description-md5: 97bef7084ae58b2b884ad40c418e6b45
Description-en: Qt 5 Quick Scene 2D QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Scene 2D QML module.

Package: qml-module-qtquick-scene3d
Description-md5: 79b4cafb22a9777d67a6252905021586
Description-en: Qt 5 Quick Scene 3D QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Scene 3D QML module.

Package: qml-module-qtquick-shapes
Description-md5: c0f37fba17235c5d388efc393770c6c3
Description-en: Qt 5 Qt Quick Shapes QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Shapes plugin allows adding stroked and filled paths composed of
 lines, quadratic curves, cubic curves, and arcs into Qt Quick scenes.

Package: qml-module-qtquick-templates2
Description-md5: 5c48f96b06987729630ba73f4cf8f3d2
Description-en: Qt 5 Qt Quick Templates 2 QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Templates 2 QML module.

Package: qml-module-qtquick-virtualkeyboard
Description-md5: 95ffb621b3f20c14a888b35d0fdcf419
Description-en: Qt virtual keyboard - QML module
 The Qt Virtual Keyboard project provides an input framework and
 reference keyboard frontend for Qt 5 on Linux Desktop/X11, Windows
 Desktop, and Boot2Qt targets.
 .
 This package contains the QML module.

Package: qml-module-qtquick-window2
Description-md5: f63654b55de42c6ff2851c7334ecd97f
Description-en: Qt 5 window 2 QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This QML module contains types for creating top-level windows and
 accessing screen information.

Package: qml-module-qtquick-xmllistmodel
Description-md5: 5d41da89ea9b1ae0b77130647b663a85
Description-en: Qt 5 xmllistmodel QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This QML module contains types for creating models from XML data.

Package: qml-module-qtquick2
Description-md5: 49f1d62f90c134f695813922d23e7f44
Description-en: Qt 5 Qt Quick 2 QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick 2 QML module for Qt declarative.

Package: qml-module-qtremoteobjects
Description-md5: 159e873d21efad7ba3806af88bb9fce2
Description-en: Qt module for IPC — QML binding
 The Qt Remote Objects extends Qt's existing functionalities to enable
 information exchange between processes or computers.
 .
 This package provides the QML module for Qt Remote Objects, which can be
 used from QML as “import QtRemoteObjects 5.12”.

Package: qml-module-qtscxml
Description-md5: 114d7fc0eaea7906931546f0d02bbb5e
Description-en: Qt scxml QML module
 The Qt SCXML module provides functionality to create state
 machines from SCXML files. This includes both dynamically
 creating state machines (loading the SCXML file and instantiating
 states and transitions) and generating a C++ file that has a class
 implementing the state machine. It also contains functionality
 to support data models and executable content.
 .
 This package provides a QML module for Qt scxml.

Package: qml-module-qtsensors
Description-md5: 8097e47619b4fdd5da07c92ca779cba4
Description-en: Qt 5 Sensors QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Sensors QML module for Qt Declarative.

Package: qml-module-qttest
Description-md5: de51386018eb9b6b3cf4c57846ee5e6f
Description-en: Qt 5 test QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the test QML module for Qt declarative.

Package: qml-module-qtwayland-compositor
Description-md5: f204a14668a161661dbe36c9fae374c7
Description-en: Qt 5 Wayland Compositor QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Qt Wayland Compositor modules provide QML types that can be used to
 create custom display servers supporting the Wayland protocol.

Package: qml-module-qtwebchannel
Description-md5: 2abe1b38596cc71489d06fb2baa2648b
Description-en: Web communication library for Qt - QML module
 Qt WebChannel enables peer-to-peer communication between the host (QML/C++
 application) and the client (HTML/JavaScript application). The transport
 mechanism is supported out of the box by the two popular web engines, Qt
 WebKit 2 and Qt WebEngine.
 .
 This package contains the WebChannel QML module for QtDeclarative.

Package: qml-module-qtwebengine
Description-md5: d7a90d0e1507882a484cfe020c6edb00
Description-en: Qt WebEngine QML module
 QtWebEngine provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the WebEngine QML module for QtDeclarative.

Package: qml-module-qtwebkit
Description-md5: f7c568d54bb267ca8bcc2a7f60b81ed6
Description-en: Qt WebKit QML module
 QtWebKit provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the WebKit QML module for QtDeclarative.

Package: qml-module-qtwebsockets
Description-md5: c4bb4c834f804c7760ee51a9add9b568
Description-en: Qt 5 Web Sockets QML module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Web Sockets QML module.

Package: qml-module-qtwebview
Description-md5: 389e72c5d84f29ca3be73722cdd3f74b
Description-en: display web content in a QML application
 Qt WebView provides a way to display web content in a QML application
 without necessarily including a full web browser stack by using
 native APIs where it makes sense.
 .
 This package contains the WebView QML module.

Package: qml-module-snapd
Description-md5: ce2dca284911dca06a97170519607297
Description-en: Snapd QML module
 snapd-glib is a library to allow GLib based applications access to snapd,
 the daemon that controls Snaps.
 .
 Snaps are 'universal' packages that work across many different Linux
 systems, enabling secure distribution of the latest apps and utilities
 for cloud, servers, desktops and the internet of things.
 .
 This is a QML module for Qt applications to access snapd.

Package: qml-module-ubuntu-onlineaccounts
Description-md5: 67a00d1c7763917c85f8241db8c5ffab
Description-en: Expose the Online Accounts API to QML applications
 This module provides access to the Online Accounts framework from QML
 applications.

Package: qmlscene
Description-md5: a67138f4ecf886d0813d21f0a39bad26
Description-en: Qt 5 QML scene viewer
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the older QML viewer for Qt Quick 2. See qml package
 for the newer one.

Package: qmmp
Description-md5: 514bd2a7f66b25adb3e19fa2fbc5e8ec
Description-en: feature-rich audio player with support of many formats
 Qmmp is feature-rich audio player with support of many formats.
 It is written in Qt.
 .
 Supported formats:
  - MPEG1 layer 2/3
  - Ogg Vorbis
  - Ogg Opus
  - Native FLAC, Ogg FLAC
  - Musepack
  - WavePack
  - tracker modules (mod, s3m, it, xm, etc)
  - ADTS AAC
  - CD Audio
  - WMA, Monkey's Audio (and other formats provided by FFmpeg library)
  - PCM WAVE (and other formats provided by libsndfile library)
  - midi
  - SID
  - chiptune formats (AY, GBS, GYM, HES, KSS, NSF, NSFE, SAP, SPC, VGM, VGZ,
    VTX)
 .
 DSP effects:
  - BS2B effect
  - sample rate converter
  - LADSPA effects
  - extra stereo
  - crossfade
 .
 Audio output through:
  - ALSA
  - OSS
  - PulseAudio
  - JACK
  - QTMultimedia
  - Icecast
 .
 Other features:
  - XMMS and Winamp 2.x skins support
  - 10-band equalizer
  - MP3, Vorbis, AAC, AAC+ streams support
  - mms support
  - MPRIS (1.0 and 2.0)
  - removable device detection (via HAL or UDisks)
  - video playback via Mplayer
  - lyrics (using lyrics.wikia.com)
  - cover art support
  - CUE sheet support
  - embedded CUE support (for FLAC and WavPack)
  - multiple playlists
  - automatic charset detection for cue files and ShoutCast metadata
  - playlist formats: m3u, pls, xspf
  - ReplayGain support
  - Last.fm/Libre.fm scrobbler
  - CDDB support
  - audio converter
  - stream browser
  - audio formats converter
  - external programs execution on track change
  - ReplayGain scanner
  - archive reader
  - audio recording
  - visualization (spectre analyzer)
  - global hotkeys

Package: qnapi
Description-md5: 9fb7752cefd8ffc09e5a9010654e060d
Description-en: application that downloads Polish subtitles from www.napiprojekt.pl
 QNapi is a graphical downloader of subtitles from napiprojekt.pl and
 opensubtitles.org sites. Subtitles may be fetched in many different languages.
 .
 QNapi's capabilities are similar to napiproject.pl's original client. New
 subtitles may be added to both, napiprojekt.pl and opensubtitles.org
 databases. Also bugs may be reported against existing subtitles.

Package: qnetstatview
Description-md5: b4cd9a307f770906d3b16b410515180f
Description-en: Shows detailed listings of all TCP and UDP endpoints
 QNetStatView is a program that will show you detailed listings of all TCP and
 UDP endpoints on your system, including the local and remote addresses and
 state of TCP connections.

Package: qnifti2dicom
Description-md5: 0f37723340de172e5e600a9494c983af
Description-en: convert 3D medical images to DICOM 2D series (gui)
 Nifti2Dicom is a convertion tool that converts 3D NIfTI files (and other
 formats supported by ITK, including Analyze, MetaImage Nrrd and VTK)
 to DICOM.
 Unlike other conversion tools, it can import a DICOM file that is used
 to import the patient and study DICOM tags, and allows you to edit the
 accession number and other DICOM tags, in order to create a valid DICOM
 that can be imported in a PACS.
 .
 This package contains the Qt4 GUI.

Package: qonk
Description-md5: 0d55c3dda3e7f3ff6c473a61f4b46800
Description-en: Small build-and-conquer strategy game with very simple rules
 The setting of the game is a solar system of planets. Your goal is to
 conquer all of the planets in the game by sending ships there. Planets
 that are under your control generate new ships. Simple AI players are
 playing against you. As you gain more experience throughout the game,
 more AI players have to be kicked out of bigger solar systems.

Package: qosmic
Description-md5: d1d8aafa53c9b8fbec086872c57dce96
Description-en: GUI for creating & rendering fractal flame images
 Graphical interface (QT) for creating, editing, and rendering
 flam3 IFS fractal flame images, which can be saved as png files.
 The theory of fractal flames is described here
 https://flam3.com/flame_draves.pdf

Package: qpdf
Description-md5: 6f5a453852ce090f81d8b33dfca3f1ab
Description-en: tools for transforming and inspecting PDF files
 QPDF is a program that can be used to linearize (web-optimize),
 encrypt (password-protect), decrypt, and inspect PDF files from the
 command-line.  It does these and other structural, content-preserving
 transformations on PDF files, reading a PDF file as input and
 creating a new one as output.  It also provides many useful
 capabilities to developers of PDF-producing software or for people
 who just want to look at the innards of a PDF file to learn more
 about how they work.
 .
 QPDF understands PDF files that use compressed object streams
 (supported by newer PDF applications) and can convert such files into
 those that can be read with older viewers.  It can also be used for
 checking PDF files for structural errors, inspecting stream contents,
 or extracting objects from PDF files.  QPDF is not PDF content
 creation or viewing software -- it does not have the capability to
 create PDF files from scratch or to display PDF files.
 .
 This package includes the command-line qpdf tools.  It also contains
 the documentation.

Package: qpdfview
Description-md5: a7669513c45a48c399bf2ea24373c5aa
Description-en: tabbed document viewer
 qpdfview is a simple tabbed document viewer which uses the Poppler library for
 PDF rendering and CUPS for printing and provides a clear and simple Qt
 graphical user interface. Support for the DjVu and PostScript formats can be
 added via plugins.
 .
 Current features include:
   - Outline, properties and thumbnail panes
   - Scale, rotate and fit
   - Fullscreen and presentation views
   - Continuous and multi-page layouts
   - Search for text (PDF and DjVu only)
   - Configurable toolbars
   - SyncTeX support (PDF only)
   - Partial annotation support (PDF only, Poppler version 0.20.1 or newer)
   - Partial form support (PDF only)
   - Persistent per-file settings
   - Support for DjVu and PostScript documents via plugins

Package: qpdfview-djvu-plugin
Description-md5: bd4190e3187c52de4b09810f1a7b67ee
Description-en: tabbed document viewer - DjVu plugin
 qpdfview is a simple tabbed document viewer which uses the Poppler library for
 PDF rendering and CUPS for printing and provides a clear and simple Qt
 graphical user interface. Support for the DjVu and PostScript formats can be
 added via plugins.
 .
 This plugin adds support for the DjVu format.

Package: qpdfview-ps-plugin
Description-md5: 3c97ed3feb446590dd2aeba3ef2d546b
Description-en: tabbed document viewer - PostScript plugin
 qpdfview is a simple tabbed document viewer which uses the Poppler library for
 PDF rendering and CUPS for printing and provides a clear and simple Qt
 graphical user interface. Support for the DjVu and PostScript formats can be
 added via plugins.
 .
 This plugin adds support for the PostScript format.

Package: qpdfview-translations
Description-md5: 3745d23264355e44bf7e2d3a398f5486
Description-en: tabbed document viewer - translations
 qpdfview is a simple tabbed document viewer which uses the Poppler library for
 PDF rendering and CUPS for printing and provides a clear and simple Qt
 graphical user interface. Support for the DjVu and PostScript formats can be
 added via plugins.
 .
 This package contains all translations.

Package: qperf
Description-md5: 9b8f8900d41257ea7c0db714d4e0e373
Description-en: Measure socket and RDMA performance
 qperf measures bandwidth and latency between two nodes. It can work
 over TCP/IP as well as the RDMA transports.
 .
 qperf is historically part of the OpenFabrics Enterprise Distribution
 (OFED), but is now maintained by the linux-rdma project.

Package: qprint
Description-md5: ae7dfe64525978dcc43931e207d8e32d
Description-en: encoder and decoder for quoted-printable encoding
 Qprint is a command-line program that can encode or decode files from/to
 quoted-printable encoding (RFC1521). It can work with both text and binary
 data.

Package: qprint-doc
Description-md5: a782f5c0d702924ca50ffed4e054a5ca
Description-en: qprint quoted-printable encoder/decoder (documentation)
 Qprint is a command-line program that can encode or decode files from/to
 quoted-printable encoding (RFC1521). It can work with both text and binary
 data.
 .
 This package contains documentation for quoted-printable encoding and qprint
 program in PDF format and html version of qprint manual page.

Package: qprogram-starter
Description-md5: 29196b29530f5a17057a03cfe1219314
Description-en: Qt program to start programs or commands
 qprogram-starter is a Qt program to start programs or commands. You can
 set a time or date when the processes should start, log error output
 and normal output each in a file. After the processes are finished
 qprogram-starter shows a "finished" message box, or if you want it can
 quit or shutdown the system.

Package: qps
Description-md5: 3c121f52070c0e043bb48a9348360bb2
Description-en: Qt process manager
 Qt process manager ported to Qt5

Package: qpsmtpd
Description-md5: 9047d0dbdf28ebebb1e89abaf63105ed
Description-en: Flexible SMTP daemon for network-level spam detection
 This is a replacement SMTP daemon which installs alongside a mail delivery
 and transport system such as Exim, Postfix or Qmail, or used as an SMTP
 proxy for a remote/DMZ MTA.
 .
 The qpsmtpd damon emphasizes spam detection during the SMTP transaction,
 attempting to reach spam/nonspam decisions prior to accepting each message,
 thereby eliminating much bounce/forgery blowback.  It exploits its visbility
 into the network transaction to detect certain behaviors often exhibited by
 spam sending agents.
 .
 Qpsmtpd is written in Perl, with an extensive plugin API making it easy to
 add new features or replace functional components.

Package: qpxtool
Description-md5: f5271cd5f1b86d634c48b81ad36cf1c0
Description-en: CD/DVD quality checker
 QPxTool gives you access to all available Quality Checks (Q-Checks) on written
 and blank media, that are available for your drive. This will help you to find
 the right media and the optimized writing speed for your hardware, which will
 increase the chance for a long data lifetime.
 .
 QPxTool depends on the used drive, not all drives are able to read the Q-Checks
 and not all of them are supported by QPxTool. Currently, most newer drives of
 LiteOn, NEC, Pioneer and Plextor are supported.

Package: qqwing
Description-md5: f3f4ac3ff35be0b8be5131242f1448c3
Description-en: tool for generating and solving Sudoku puzzles (application)
 Sudoku puzzle generator and solver. It offers the following features:
  *Can solve 1000 puzzles in 1 second and generate 1000 puzzles in 25 seconds.
  *Uses logic. Uses as many solve techniques as possible when solving
   puzzles rather than guessing.
  *Rates puzzles. Most generators don't give an indication of the difficulty
   of a Sudoku puzzle. QQwing does.
  *Can print solve instructions for any puzzle.
  *Customizable output style, including a CSV style that is easy to
   import into a database.
 .
 This package contains the cli application

Package: qrazercfg
Description-md5: 7c9740b687466d44276ec78cf1dafba9
Description-en: Graphical Razer device configuration tool
 Python- and QT-powered configuration utility for the configuration of Razer
 devices on Linux systems.

Package: qrazercfg-applet
Description-md5: 5586b5edf50eabcbf4603d7379b809b2
Description-en: Graphical Razer device configuration tool - applet
 Python- and QT-powered configuration utility for the configuration of Razer
 devices on Linux systems.

Package: qreator
Description-md5: ce010b712160c37fd09b5c92dc49201a
Description-en: graphical utility for creating QR codes
 Qreator enables you to easily create your own QR codes to encode different
 types of information in an efficient, compact and cool way.
 .
 Qreator supports creating the following kinds of QR codes:
  - Business card
  - Geolocation
  - Phone call/SMS
  - Text
  - URL
  - Wi-Fi network

Package: qrencode
Description-md5: 6cf678412dd88626cef323b9f7da5d3c
Description-en: QR Code encoder into PNG image
 Qrencode is a utility software using libqrencode to encode string data in
 a QR Code and save as a PNG or an EPS image.

Package: qrisk2
Description-md5: f9bc34c4644f6aef8b73a0e4c86b4751
Description-en: cardiovascular disease risk calculator
 You can use this calculator to work out your risk of having a heart
 attack or stroke over the next ten years by answering some simple
 questions. It is suitable for people who do not already have a diagnosis
 of heart disease or stroke.
 .
 The QRISK®2 algorithm has been developed by doctors and academics
 working in the UK National Health Service and is based on routinely
 collected data from many thousands of GPs across the country who have
 freely contributed data for medical research.
 .
 Whilst QRISK2 has been developed for use in the UK, it is being used
 internationally. For non-UK use, if the postcode field is left blank the
 score will be calculated using an average value. Users should note,
 however, that CVD risk is likely to be under-estimated in patients from
 deprived areas and over-estimated for patients from affluent areas. All
 medical decisions need to be taken by a patient in consultation with
 their doctor. The authors and the sponsors accept no responsibility for
 clinical use or misuse of these score.

Package: qrouter
Description-md5: 36eec188e75e1ca9afb5d31574ff67c0
Description-en: Multi-level, over-the-cell maze router
 Qrouter is a tool to generate metal layers and vias to physically connect
 together a netlist in a VLSI fabrication technology. It is a maze router,
 otherwise known as an "over-the-cell" router or "sea-of-gates" router. That
 is, unlike a channel router, it begins with a description of placed standard
 cells, usually packed together at minimum spacing, and places metal routes
 over the standard cells.
 .
 Qrouter uses the open standard LEF and DEF formats as file input and output.
 It takes the cell definitions from a LEF file, and analyzes the geometry for
 each cell to determine contact points and route obstructions. It then reads
 the cell placement, pin placement, and netlist from a DEF file, performs the
 detailed route, and writes an annotated DEF file as output.

Package: qrq
Description-md5: ac9430b57f85e9ece94c106a54bcdc10
Description-en: high speed morse trainer, similar to DL4MM's Rufz
 qrq is an open source morse telegraphy trainer for Linux and Unix operating
 systems, similar to the classic DOS version of Rufz by DL4MM.
 .
 It is not intended for learning telegraphy, but to improve the ability
 to copy callsigns at high speeds, as needed for example for Contesting.

Package: qsampler
Description-md5: 009ab9bc1870a6fddcf1e0968e566d1d
Description-en: LinuxSampler GUI frontend based on the Qt toolkit
 QSampler is a LinuxSampler GUI front-end application written in C++ around
 the Qt3 toolkit using Qt Designer. At the moment it just wraps as a client
 reference interface for the LinuxSampler Control Protocol (LSCP).

Package: qsf
Description-md5: 8ad5345ffde334e9d53e7e3d0294ec8b
Description-en: small and fast Bayesian spam filter
 Quick Spam Filter (QSF) is an Open Source email classification filter,
 designed to be small, fast, and accurate, which works to classify incoming
 email as either spam or non-spam.
 .
 QSF's targets are speed, accuracy and simplicity:
  * It is small and is written in C so it starts up quickly, unlike filters
  written in Perl.
  * It understands MIME and HTML, so it can intelligently deal with modern
  spam, unlike older Bayesian filters such as ifile.
  * It runs as an inline filter rather than as a daemon, so it is simple to
  install.
  * It is written to do only one job - decide whether an email is spam or not
  using the content of the message alone - so it is less complex than filters
  such as SpamAssassin. Less complexity means bugs and security problems are
  less likely.
  * As well as words and word pairs, QSF also spots special patterns in email
  such as runs of gibberish, HTML comments embedded in text, and other common
  spam giveaways, and its flexible tokeniser allows more patterns to be added
  as spammers change their tactics.

Package: qshutdown
Description-md5: e721d7e00d63271e0be1c67ad02d2773
Description-en: Qt program to shutdown/reboot/suspend/hibernate the system
 qshutdown is a Qt program to shutdown/reboot/suspend/hibernate the
 computer at a given time or after a certain number of minutes. It shows
 the time until the corresponding request is send to either the Gnome- or
 KDE-session-manager, to HAL or to DeviceKit and if none of these works
 the command 'sudo shutdown -P now' is used. This program may be useful
 for people who want to work with the computer only for a certain time.

Package: qsopt-ex
Description-md5: 8e368ee903bcead0b339de1652269447
Description-en: Exact linear programming solver
 QSopt-ex is an implementation of a simplex-based algorithm that returns exact
 rational solutions, taking advantage of the speed of floating-point
 calculations and attempting to minimize the operations performed in
 rational arithmetic.
 .
 This package contains the command-line utilities.

Package: qspeakers
Description-md5: 2f01c405032c51bdee60265502d6353f
Description-en: loudspeaker design software
 QSpeakers is a simple graphical program that
 simulates common acoustical enclosures behaviour
 to help designing loudspeaker systems, based on
 the loudspeaker driver's Thiele / Small parameters
 and the chosen enclosure type.
 .
 This software is mostly useful for do-it-yourself
 loudspeaker enthusiasts, acoustics teaching, and
 to a lesser extent, for loudspeaker engineering.

Package: qsstv
Description-md5: 6bcbf465e99a50ca2d45b30aeee2553a
Description-en: Qt-based slow-scan TV and fax
 Qsstv is a program for receiving slow-scan television and fax.
 These are modes used by hamradio operators. Qsstv uses a
 soundcard to send and receive images.

Package: qstardict
Description-md5: b80ab0c9e38ae8cfcb2678687c326c92
Description-en: International dictionary written using Qt
 QStarDict is a modern international dictionary written using Qt.
 .
 Main features include:
  * Full support of StarDict dictionaries
  * Fuzzy search
  * Support for hyper links in translations
  * Working from the system tray
  * Scanning mouse selection and showing pop-up windows with translation of
    selected words
  * Translations reformatting
  * Pronouncing of the translated words
  * Plugins support

Package: qstat
Description-md5: cd72f5f5bd143601ea357630a77d2643
Description-en: Command-line tool for querying quake (and other) servers
 Qstat provides a simple interface for querying servers for a number
 of games, including but not limited to quake, quakeworld, quake2,
 quake3arena, unreal tournament or half-life.

Package: qstopmotion
Description-md5: 89353c04179b26d001587060e7488d63
Description-en: Application for creating stop-motion animation movies
 The users will be able to create stop-motions from pictures imported
 from a camera or from the harddrive and export the animation to
 different video formats such as mpeg or avi.

Package: qsynth
Description-md5: d80b0a76ed0e03225c565a6df2d90f5f
Description-en: fluidsynth MIDI sound synthesiser front-end
 QSynth is a fluidsynth GUI front-end application written in C++ around the Qt
 toolkit. Eventually it may evolve into a softsynth management application
 allowing the user to control and manage a variety of command line softsynths
 but for the moment it wraps FluidSynth (http://www.fluidsynth.org).
 .
 FluidSynth is a command line software synthesiser based on the Soundfont
 specification.

Package: qt3d-assimpsceneimport-plugin
Description-md5: 2a05ac745dc930590e92cec4e1417f67
Description-en: Qt 3D GL Assimp scene import plugin
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D's Assimp scene import plugin.

Package: qt3d-defaultgeometryloader-plugin
Description-md5: 9f5a892bbf29b0759b8648c9e0f9411b
Description-en: Qt 3D default geometry loader plugin
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D's default geometry loader plugin.

Package: qt3d-gltfsceneio-plugin
Description-md5: 2c92c88178a25ffa9d5e0c9f32477381
Description-en: Qt 3D GL Transmission Format scene IO plugin
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D's GLTF geometry loader, and scene import and
 export plugins.

Package: qt3d-scene2d-plugin
Description-md5: 292675534fc3073abfb9d139e86ba0a5
Description-en: Qt 3D Scene 2D plugin
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 3D's Scene 2D plugin.

Package: qt3d5-dev
Description-md5: 50108e7863483c64d55d6a1b48c63fcb
Description-en: Qt 5 3D development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications using Qt 3D library.

Package: qt3d5-dev-tools
Description-md5: 7346385409b850f61df3e3c7dc76ff3d
Description-en: Qt 3D development tools
 Qt 3D provides functionality for near-realtime simulation systems with
 support for 2D and 3D rendering in both Qt C++ and Qt Quick applications.
 .
 This package contains development programs to use with Qt 3D.
 .
 At the moment there is only one tool here, qgltf, which can be used to
 import a variety of 3D model formats and export it into fast-to-load,
 optimized glTF assets embedded into Qt resource files.

Package: qt3d5-doc
Description-md5: cd1ee49b98124583811f0d0ec43c5e66
Description-en: Qt 3D documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 3D libraries.

Package: qt3d5-doc-html
Description-md5: bb9d1f7b05a2fbbe10c61919a3717a7c
Description-en: Qt 3D HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 3D libraries.

Package: qt3d5-examples
Description-md5: 3d9eaa8bffdd071294f152f072f8a99c
Description-en: Qt 5 3d examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 3D examples.

Package: qt5-assistant
Description-md5: e02b0032d23d018a06ab8636684c6004
Description-en: Qt 5 Assistant
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 Qt Assistant is a tool for viewing on-line documentation in Qt help file
 format.

Package: qt5-default
Description-md5: 27d5fbaad192927906a3f115bb55e3b4
Description-en: Qt 5 development defaults package
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package sets Qt 5 to be the default Qt version to be used when
 using development binaries like qmake. It provides a default
 configuration for qtchooser, but does not prevent alternative Qt
 installations from being used.
 .
 This package should not be used for building Debian packages. Take a
 look at https://qt-kde-team.pages.debian.net/packagingqtbasedstuff.html for
 more information.

Package: qt5-doc
Description-md5: d7eb9d003ee2997c0e2fea365b48bd1a
Description-en: Qt 5 API Documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 Qt Documentation contains the offline documentation for Qt 5.
 This package contains the complete Qt 5 API documentation (Qt's help format).
 .
 The documentation is provided in the Qt's help format and manpage format;
 the Qt's help format version can be viewed in conjunction with the Qt Assistant
 found in the qttools5-dev-tools package.

Package: qt5-doc-html
Description-md5: 401134c798839b5cf5c42579a8b785d6
Description-en: Qt 5 API documentation (HTML format)
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the complete Qt 5 API documentation (HTML format).
 .
 The documentation is provided in HTML format.

Package: qt5-flatpak-platformtheme
Description-md5: c5afb80c1f79f97f0ac8a0d6b5fd1f84
Description-en: Qt 5 Flatpak platform theme (transitional package)
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This is a transitional package which can safely be removed.

Package: qt5-gtk-platformtheme
Description-md5: 39eaf682438c89ae84a301289473e806
Description-en: Qt 5 GTK+ 3 platform theme
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides the GTK+ 3 platform theme, which makes Qt use
 native icon themes, fonts, and dialogs on GTK-based environments.

Package: qt5-gtk2-platformtheme
Description-md5: ef1693ba95d672e741307c28468993a2
Description-en: Qt 5 extra widget styles - GTK+ 2 Platform theme
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the GTK+ 2 style and platform theme. Export
 QT_QPA_PLATFORMTHEME=gtk2 to enable it for all Qt applications.

Package: qt5-image-formats-plugins
Description-md5: 30fd0955510c2d95c63eb508d0a30b5d
Description-en: Qt 5 Image Formats module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains plugins for adding support for ICNS, MNG, TGA, TIFF,
 WBMP and WEBP image formats.

Package: qt5-qmake
Description-md5: d22e820ccda7e109fc6cf2c171df093e
Description-en: Qt 5 qmake Makefile generator tool
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt 5 qmake, a tool that helps simplify
 the build process for development project across different platforms.
 qmake automates the generation of Makefiles so that only a few lines of
 information are needed to create each Makefile. qmake can be used for any
 software project, whether it is written using Qt or not.
 .
 Qt 5 qmake requires a platform and compiler description file which contains
 many default values used to generate appropriate Makefiles.
 .
 This package contains also these platform specifications.

Package: qt5-qmake-bin
Description-md5: 86cdde8a3c4de9597f2460df4811e75d
Description-en: Qt 5 qmake Makefile generator tool — binary file
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the binary file for qmake buildsystem. Do not
 install this package directly, use qt5-qmake instead.

Package: qt5-qmltooling-plugins
Description-md5: aaeee20ac4d952bf08fbfef5a579c9a2
Description-en: Qt 5 qmltooling plugins
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the qmltooling plugins.

Package: qt5-quick-demos
Description-md5: 6e1d3008c926f8f5a44f95d38a0babae
Description-en: Qt Quick demo applications
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains demo applications developed using Qt Quick
 technologies: calqlatr, clocks, maroon, photosurface, rssnews, samegame,
 stocqt, tweetsearch.

Package: qt5-style-kvantum
Description-md5: 397a2de55c891192c3febb52edccc804
Description-en: Kvantum style engine (binaries)
 Kvantum is an SVG-based theme engine for Qt4/Qt5, KDE and LXQt, with an
 emphasis on elegance, usability and practicality.
 .
 This package contain the application files.

Package: qt5-style-kvantum-l10n
Description-md5: 692f051d3c5252888e63d7d2748362aa
Description-en: Kvantum style engine (localization)
 Kvantum is an SVG-based theme engine for Qt4/Qt5, KDE and LXQt, with an
 emphasis on elegance, usability and practicality.
 .
 This package contain the localization files.

Package: qt5-style-kvantum-themes
Description-md5: b5a8eb218918228e19dcdec95a9797ce
Description-en: Kvantum style engine (themes)
 Kvantum is an SVG-based theme engine for Qt4/Qt5, KDE and LXQt, with an
 emphasis on elegance, usability and practicality.
 .
 This package contain the theme files.

Package: qt5-style-platform-gtk2
Description-md5: 968c61c2ee4177d5a132144c95922abc
Description-en: Qt 5 extra widget styles - dummy transitional package
 This package used to contain the GTK 2 platform theme for Qt.
 .
 Now that theme is shipped in qt5-gtk2-platformtheme. This package
 is no longer needed and can be safely removed.

Package: qt5-style-plugin-cleanlooks
Description-md5: da9f3292382353bd577b4e418a19249d
Description-en: Qt 5 extra widget styles - Cleanlooks
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Cleanlooks widget style.

Package: qt5-style-plugin-gtk2
Description-md5: e2fe2c60c565caaf4fdb07f201585658
Description-en: Qt 5 extra widget styles - dummy transitional package
 This package used to contain the GTK 2 style for Qt.
 .
 Now that style is shipped in qt5-gtk2-platformtheme. This package
 is no longer needed and can be safely removed.

Package: qt5-style-plugin-motif
Description-md5: 0b3cf6ea14ecb6cfe945aa8ea193d1f2
Description-en: Qt 5 extra widget styles - Motif
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Motif widget style.

Package: qt5-style-plugin-plastique
Description-md5: dd2368e19e0152b06e9060a9bf0cf705
Description-en: Qt 5 extra widget styles - Plastique
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Plastique widget style.

Package: qt5-style-plugins
Description-md5: ae1cbdc1591db53d2305365a71975f23
Description-en: Qt 5 extra widget styles
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This is a metapackage which pulls in all available styles and the GTK+ 2
 platform theme.

Package: qt5-styles-ukui
Description-md5: e1f90c71a98549c6d0c961260f4f1b6b
Description-en: QStyle plugins provided by ukui
 qt5-ukui-platformtheme is official platform theme of UKUI desktop
 environment. It also provides the common metadatas for ukui-styles
 and platform theme using. The library provided many convenient API
 for changing a qt widgets style, such as buttons color, tabwidget
 animation, etc.
 .
 This package provides several qstyle plugins which as default
 styles in ukui. For now, fusion is the base style of ukui-styles.

Package: qt5-ukui-platformtheme
Description-md5: 1f731ef7ed07d500db89256123f55730
Description-en: Qt5 QPA platform theme of UKUI
 qt5-ukui-platformtheme is official platform theme of UKUI desktop
 environment. It also provides the common metadatas for ukui-styles
 and platform theme using. The library provided many convenient API
 for changing a qt widgets style, such as buttons color, tabwidget
 animation, etc.
 .
 This package provides a qt5 qpa platform theme plugin.

Package: qt5-xdgdesktopportal-platformtheme
Description-md5: 0a5ddb5371f4cf42243bc780d020c01f
Description-en: Qt 5 XDG Desktop Portal platform theme
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides the XDG Desktop portal platform theme, which makes
 Qt use native file dialogs on Flatpak/Snap based environments.

Package: qt5ct
Description-md5: 46902e8b3be0d67d5a96f2485f22884b
Description-en: Qt5 Configuration Utility
 This utility allows users to configure Qt5 settings (theme, font,
 icons, etc.) under DE/WM without Qt integration.
 .
 Qt5ct will only be active on environments other than Plasma.
 Default configuration have switch to disable running on Plasma.
 .
 Qt5ct could use qt5 gtk theme, KDE breeze theme, the fusion theme,
 QtCurve theme and many more. Each style could be customized further
 by changing the color schema.

Package: qt5dxcb-plugin
Description-md5: e756c20c86645a9b9ba3b2d1dfa20bab
Description-en: Qt platform theme integration plugin for DDE
 Deepin's qt5dxcb-plugin is the Qt platform integration plugin for Deepin
 Desktop Environment. It provides an abstract interface between Deepin
 applications and Qt tookit for further application theme customization.
 .
 This package is part of DDE (Deepin Desktop Environment).

Package: qt5keychain-dev
Description-md5: 8741ce20d599738b6badd09d0a151aae
Description-en: Development files for qtkeychain (QT5 version)
 A Qt API to store passwords
 .
 It can use KWallet or GNOME Keyring, if one of them is available.
 If none of them is available, qtkeychain will report an error or writes
 with explicit request the password unencrypted at a file.
 .
 This is the Version built for QT5

Package: qt5qevercloud-dev
Description-md5: 21bca9e2b723f7babfdfefbdae6cd7b7
Description-en: Development files for libqt5qevercloud
 QEverCloud presents a rather complete Evernote SDK for Qt.
 Nearly all the functionality described on the Evernote site
 is implemented and ready to use.
 .
 This package provides development files for libqt5qevercloud.

Package: qt5serialport-examples
Description-md5: 78804e58657de830d288a2dd0eede30d
Description-en: Qt 5 serial port examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package constains the serial port submodule's examples.

Package: qtads
Description-md5: 8e8bcec9854109468a11476c4b51520e
Description-en: Qt HTML interpreter for TADS
 This package provides an interpreter for TADS game files, using a
 Qt interface.  It can run either TADS 2 games (which have an
 extension of .gam) or TADS 3 games (which have an extension of .t3).
 See http://www.ifarchive.org/indexes/if-archiveXgamesXtads.html for a
 large collection of available TADS games.
 .
 This interpreter supports HTML-TADS multimedia features.  Other
 features include:
   * Full Unicode support for TADS 3 and HTML TADS games.
   * Full text justification.
   * Support for multiple user configurations, which you can switch
     between at runtime.
 .
 TADS, the Text Adventure Development System, is a system for writing
 and playing interactive fiction games.  This means that the primary
 method for interacting with the game is to type in commands, to which
 the game produces a response in prose -- similar to Infocom's games
 from the 1980's.

Package: qtattributionsscanner-qt5
Description-md5: ca038d19e026ed197a93de7f7d4c9014
Description-en: Qt 5 qtattributionsscanner tool
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains qtattributionsscanner, a command-line tool that
 scans the source code for qt_attribution.json file and outputs JSON or
 qdoc files describing the copyright attributions for third party code.

Package: qtav-players
Description-md5: 377f0eae5d405f1a3d13c093ac1b5586
Description-en: QtAV/QML players
 QtAV is a multimedia playback framework based on Qt and FFmpeg.
 High performance. User & developer friendly.
 .
 This package contains the QtAV based players

Package: qtbase5-dev
Description-md5: eb1a5e244bfc7327cfdf56f6aff9cab9
Description-en: Qt 5 base development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications.
 .
 If you are interested in packaging Qt 5 stuff please take a look at
 https://qt-kde-team.pages.debian.net/packagingqtbasedstuff.html

Package: qtbase5-dev-tools
Description-md5: 389ab33cc35e6afecee8a8240f83313f
Description-en: Qt 5 base development programs
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the development programs used for building Qt 5
 applications, such as moc, qdbuscpp2xml, qdbusxml2cpp, rcc,
 syncqt and uic.
 .
 We do not recommend depending on this package directly. Please depend
 on qtbase5-dev instead.

Package: qtbase5-doc
Description-md5: ca14ee36d3a7fc0206bfcbb35e2be024
Description-en: Qt 5 base documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 base libraries.

Package: qtbase5-doc-dev
Description-md5: 5f103459e351d7b7e73520be6c3de13a
Description-en: Qt 5 base tags files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the doxygen tags files for the qtbase documentation.

Package: qtbase5-doc-html
Description-md5: 2e4adf9de7ccece9e0fe9efb81d70fe6
Description-en: Qt 5 base HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 base
 libraries.

Package: qtbase5-examples
Description-md5: ac043eae380c0dcd4bce5d40a835f3e4
Description-en: Qt 5 base examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt base 5 examples.

Package: qtbase5-gles-dev
Description-md5: 4fdf443e356e888330d213cd4044caff
Description-en: Qt 5 base development files — OpenGL ES variant
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications.
 .
 Normally you should use the qtbase5-dev package. Use this one only if
 your software supports only OpenGL ES or if you want to make use of some
 OpenGL ES specific API.
 .
 If you are interested in packaging Qt 5 stuff please take a look at
 https://qt-kde-team.pages.debian.net/packagingqtbasedstuff.html

Package: qtbase5-private-dev
Description-md5: b388fd86350adaeeb21580f32ba12fcc
Description-en: Qt 5 base private development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the private header development files for building some
 Qt 5 applications like Qt Creator QML Designer plugin.
 .
 Use at your own risk.

Package: qtbase5-private-gles-dev
Description-md5: 3f69e1451d39171cf694987582ebaf32
Description-en: Qt 5 base private development files — OpenGL ES variant
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the private header development files for building some
 Qt 5 applications like Qt Creator QML Designer plugin.
 .
 Normally you should use qtbase5-dev or qtbase5-private-dev package. Use this
 one only if your software supports only OpenGL ES or if you want to make use
 of some OpenGL ES specific API. Use at your own risk.

Package: qtcharts5-doc
Description-md5: 4172bc5c8430ccb105c799ec7f849ae2
Description-en: Qt charts QCH documentation
 Qt Charts module provides a set of easy to use chart components.
 .
 This package contains the documentation in QCH format, which can be
 viewed using Qt Assistant from qttools5-dev-tools package.

Package: qtcharts5-doc-html
Description-md5: c2124e9288e7269c665cb965e0773625
Description-en: Qt charts HTML documentation
 Qt Charts module provides a set of easy to use chart components.
 .
 This package contains the documentation in HTML format.

Package: qtcharts5-examples
Description-md5: 4c41fe3f962cfa2ea86598af61874011
Description-en: Qt chart examples
 Qt Charts module provides a set of easy to use chart components.
 .
 This package contains Qt 5 Chart examples.

Package: qtchooser
Description-md5: d900725ad836083db9e384f749d0f401
Description-en: Wrapper to select between Qt development binary versions
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Qt Chooser provides a wrapper to switch between versions of Qt
 development binaries when multiple versions like 4 and 5 are installed
 or local Qt builds are to be used.

Package: qtconnectivity5-dev
Description-md5: e7a0cda2b9a0a03a3a55b9f79ffe37f6
Description-en: Qt Connectivity development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications using Qt Connectivity libraries.

Package: qtconnectivity5-doc
Description-md5: 06a5218c5737ae2d9bb9c22135a8e1a9
Description-en: Qt 5 Connectivity documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Connectivity
 modules (Qt Bluetooth and Qt NFC).

Package: qtconnectivity5-doc-html
Description-md5: 42ba671944aa1cf583ea81a09441ac3b
Description-en: Qt 5 Connectivity HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 Connectivity
 modules (Qt Bluetooth and Qt NFC).

Package: qtconnectivity5-examples
Description-md5: bd59fdaf1912acc136b06a7520e550f0
Description-en: Qt 5 Connectivity examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 Connectivity examples.

Package: qtcreator
Description-md5: 0c5039e0a507c692a334b78d1bf243cc
Description-en: integrated development environment (IDE) for Qt
 Qt Creator is a cross-platform integrated development environment (IDE)
 designed to make development with the Qt application framework faster
 and easier.
 .
 It includes:
  * An advanced C++ code editor
  * Integrated GUI layout and forms designer
  * Project and build management tools
  * Integrated, context-sensitive help system
  * Visual debugger
  * Rapid code navigation tools
  * Supports multiple platforms
  * Qt Quick Designer

Package: qtcreator-data
Description-md5: c45ca137d3d28e169f9b5d991a0e8951
Description-en: application data for Qt Creator IDE
 This package contains architecture-independent data for Qt Creator IDE.

Package: qtcreator-doc
Description-md5: 9dc1735086667e3402140ad692f5a8cc
Description-en: documentation for Qt Creator IDE
 Qt Creator is a cross-platform integrated development environment (IDE)
 designed to make development with the Qt application framework faster
 and easier.
 .
 This package contains documentation for Qt Creator IDE.

Package: qtcurve
Description-md5: cc28fa78161c6a0b67b510b06b2ee8f3
Description-en: unified widget styles for Qt and GTK+ applications (metapackage)
 This package installs all packages related with QtCurve.
 .
 QtCurve is a set of widget styles for Qt and GTK+ libraries. It provides a
 consistent look between KDE, GNOME and other applications based on these
 libraries, which is easy on the eyes and visually pleasing.

Package: qtcurve-l10n
Description-md5: b74a07ade2736cef48ace5a1f234d6a5
Description-en: translation files for QtCurve
 This package contains translation files for QtCurve.
 .
 QtCurve is a set of widget styles for Qt and GTK+ libraries. It provides a
 consistent look between KDE, GNOME and other applications based on these
 libraries, which is easy on the eyes and visually pleasing.

Package: qtdatavisualization5-doc
Description-md5: c62b5388d54e3d76af796821cc0e232d
Description-en: Qt 5 Data Visualization documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Data Visualization
 library.

Package: qtdatavisualization5-doc-html
Description-md5: b49a9784cdeb11a92e0af7b3ffb4e00e
Description-en: Qt 5 Data Visualization HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 Data Visualization
 library.

Package: qtdatavisualization5-examples
Description-md5: 20e018c5a742adf0fc82eed222b490fa
Description-en: Examples for Qt 5 Data Visualization module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the examples for Qt5 data visualization application
 development.

Package: qtdbustest-runner
Description-md5: c92d334bcb2f6b9c023c94b5aed91af5
Description-en: Library for testing DBus interactions using Qt (test runner executable)
 The libqtdbustest library is a simple library for testing Qt based DBus
 services and clients.
 .
 This package contains a simple executable for running a test script
 under a private DBus environment.

Package: qtdeclarative4-kqtquickcharts-1
Description-md5: 3cfca98ff170e1437499b83244ab4f12
Description-en: kqtquickcharts - transitional dummy package
 A QtQuick plugin to render beautiful and interactive charts.
 .
 This is a transitional dummy package. It can safely be removed.

Package: qtdeclarative5-accounts-plugin
Description-md5: 7081465ad8c866afdaf92dd1339237aa
Description-en: transitional dummy package for Online Accounts QML clients
 This module provides access to the Online Accounts framework from QML
 applications.

Package: qtdeclarative5-dee-plugin
Description-md5: 30d0390c46cb76e989769ee7b6dd096e
Description-en: Qt 5 QML plugin for Dee
 Simple Qt 5 QML plugin for Dee.

Package: qtdeclarative5-dev
Description-md5: 957c332e0d189c34d9a632e0f21bca92
Description-en: Qt 5 declarative development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files for building some
 Qt 5 applications using Qt 5 declarative headers.

Package: qtdeclarative5-dev-tools
Description-md5: 384db51b9ca50d630409949145f8f5c0
Description-en: Qt 5 declarative development programs
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the development programs used for building Qt 5
 declarative applications.

Package: qtdeclarative5-doc
Description-md5: 2ec916802abb12173521f9426462cb19
Description-en: Qt 5 declarative documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 declarative libraries.

Package: qtdeclarative5-doc-dev
Description-md5: 7a200be7809fdb2f0fc1992f40a08fc0
Description-en: Qt 5 declarative tags files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the doxygen tags files for the qtdeclarative
 documentation.

Package: qtdeclarative5-doc-html
Description-md5: c4e1002a33f34eaadd0aebbf2f0751c6
Description-en: Qt 5 declarative HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 declarative
 libraries.

Package: qtdeclarative5-examples
Description-md5: 9395b1f087c5d56a350f56068c95f914
Description-en: Qt 5 declarative examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 declarative examples.

Package: qtdeclarative5-kf5declarative
Description-md5: 7f959e69737f16938e306fe2e5a84ccb
Description-en: transitional package for new QML module packages
 This is a transitional dummy package for the new QML module packages
 provided by kf5declarative, which can be safely removed.

Package: qtdeclarative5-kf5solid
Description-md5: f9c5c1b7f4448ed8cb4ba50f1d847842
Description-en: transitional package to renamed qml-module-org-kde-solid
 Transitional package for qml-module-org-kde-solid.  This package is
 safe to remove.
 .
 This package is part of KDE Frameworks 5.

Package: qtdeclarative5-poppler1.0
Description-md5: 1a80f6c2481b9b7b101d6860b1222963
Description-en: Poppler QML plugin
 This is the QML plugin implementation of the Poppler(Qt5) library.

Package: qtdeclarative5-private-dev
Description-md5: 650de6f02e126414bf75eaf5bab88505
Description-en: Qt 5 declarative private development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the private header development files for building some
 Qt 5 applications using Qt 5 declarative private headers.
 .
 Use at your own risk.

Package: qtdeclarative5-qtpowerd0.1
Description-md5: cb865a828e740287df79aa44e82d14b3
Description-en: Powerd QML plugin
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Powerd plugin for keeping an app alive upon
 suspension.

Package: qtel
Description-md5: 4400a4448671c7edf94416f189a4ee1a
Description-en: Graphical client for the EchoLink® protocol
 Qtel is a graphical client program for the EchoLink® ham radio
 protocol.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: qtel-icons
Description-md5: 25a292acf15f52a2bcdfe95d0be6d1fd
Description-en: Icons for graphical client for the EchoLink® protocol
 Icons for Qtel, a graphical client program for the EchoLink®
 ham radio protocol.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: qterm
Description-md5: 28f8e7f37c77f6cb98daabba57be5078
Description-en: BBS client for X Window System written in Qt
 QTerm is a BBS client for X Window System. It supports telnet, ssh1 and ssh2
 protocols. It also supports zmodem, URL detect, mouse action.
 .
 It also supports detecting location from ip address, but you need do some
 extra work, see README.Debian.

Package: qterminal
Description-md5: 05db54684ae5fcd5755e97a4976bf8c2
Description-en: Lightweight Qt terminal emulator
 QTerminal is a lightweight terminal emulator based on QTermWidget.
 .
 Among other it features
   * Split terminals (horizontally and vertically).
   * Multiple tabs.
   * Customizable shortcuts.
   * Various color schemes.

Package: qterminal-l10n
Description-md5: b6870a2b0956c24139d0b82cc998287a
Description-en: Language package for qterminal
 This package contains the l10n files needed by qterminal.

Package: qtermwidget5-data
Description-md5: 8783025ba59300bf4bac923a89c526fe
Description-en: Terminal emulator widget for Qt 5 (data files)
 QTermWidget is a Unicode-enabled, embeddable Qt widget that can be used as
 built-in console or terminal emulation widget.
 .
 This package provides data files like translations, keyboard layouts
 and color schemes.

Package: qtgain
Description-md5: 22d414df4ccaf2ba4740f8466035b4ef
Description-en: graphical user interface for various "gain" utils
 With this application you can easily replay gain your OGG-Vorbis, Flac
 and MP3 files.

Package: qtgamepad5-examples
Description-md5: af5ce3f1915e59eeb09b52a30ec7be31
Description-en: Qt 5 Gamepad examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 Gamepad module's examples.

Package: qtgraphicaleffects5-doc
Description-md5: 44762d5cc2f29a033a8292663c6968f6
Description-en: Qt 5 graphical effects documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 graphical effects
 libraries.

Package: qtgraphicaleffects5-doc-html
Description-md5: a144478b1aa0dd0251a4f115a8f6d6d1
Description-en: Qt 5 graphicaleffects HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 graphical effects
 libraries.

Package: qtgstreamer-doc
Description-md5: f2ff645d039c406b67934cc7511e5d6b
Description-en: QtGStreamer API documentation
 QtGStreamer provides C++ bindings for GStreamer with a Qt-style API,
 plus some helper classes for integrating GStreamer better in Qt applications.
 .
 This package contains API documentation for the QtGStreamer library
 in html format, generated from the sources with doxygen.

Package: qtgstreamer-plugins-qt5
Description-md5: 3251c8bb60c478014707148484b1604a
Description-en: GStreamer plugins from QtGStreamer - Qt 5 build
 This package contains GStreamer plugins that are shipped together with
 the QtGStreamer bindings library. These plugins provide GStreamer elements
 that enable better integration of GStreamer in Qt applications.
 .
 Currently, this package contains the qtvideosink, qtglvideosink and
 qwidgetvideosink elements.
 .
 This package is part of the Qt5 version of QtGStreamer.

Package: qthid-fcd-controller
Description-md5: f09a1b53d9ca00abc4bbe6fc1d03e64f
Description-en: Funcube Dongle controller
 Controller application for the Funcube Dongle software defined radio receiver,
 built using the HID API library and the Qt GUI toolkit.
 .
 The Funcube Dongle is a small software defined radio receiver for
 64 MHz - 1.7 GHz. It fits into a computer's USB port and uses USB audio
 to transfer complex I/Q data to SDR applications. The control API for
 setting frequency, gain, filter, etc. uses the USB HID standard.
 .
 It provides full support for the Funcube Dongle API::
  * Change frequency and apply frequency correction.
  * Change RF gains and filters.
  * Change IF gains and filters.
  * LNA enhancement, bias current, etc.
  * I/Q correction.
  * Auto-repeat tuning buttons (click and hold button to scan).
  * Variable frequency step.
  * Upgrade and verify the firmware.

Package: qtikz
Description-md5: 96039b5d55198b85b5e5ee10ac360fd2
Description-en: editor for the TikZ drawing language - Qt version
 QtikZ is a small application to assist in the creation of diagrams and
 drawings using the TikZ macros from the LaTeX package "pgf". It consists of
 a text editor pane in which the TikZ code for the drawing is edited and a
 preview pane showing the drawing as rendered by LaTeX. The preview pane can be
 updated in real-time. Common drawing tools, options and styles are available
 from the menus to assist the coding process.
 .
 This package contains the Qt version of the program.
 .
 TikZ is a user-friendly syntax layer for the PGF (portable graphics format)
 TeX macro package. Pictures can be created within a LaTeX document and
 included in the output using the most important TeX backend drivers including
 pdftex and dvips.

Package: qtlocation5-dev
Description-md5: 7427c1fc236f1dd3f2ac4a9882d8a6ab
Description-en: Qt 5 Location development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications using Qt Location library.

Package: qtlocation5-doc
Description-md5: b7e190bd7ccc89ea6c92da289b92eeeb
Description-en: Qt 5 Location and Positioning QCH documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Location and
 Positioning modules in QCH format, which can be viewed using Qt Assistant
 from qt5-assistant package.

Package: qtlocation5-doc-dev
Description-md5: 25fe50d08c12de98703e06d7c5b91d95
Description-en: Qt 5 Location and Positioning tags files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the doxygen tags files for the Qt Location and
 Qt Positioning documentation.

Package: qtlocation5-doc-html
Description-md5: bc5107d742dcaa6da3307645c4a159d0
Description-en: Qt 5 Location and Positioning HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Location and
 Positioning modules in HTML format.

Package: qtlocation5-examples
Description-md5: f1678e4b1dd2a8154f42ef8ef6c0548c
Description-en: Qt 5 Location and Positioning examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 Location and Positioning examples.

Package: qtltools
Description-md5: e7b754de7d0cb114c93545d286bb027e
Description-en: Tool set for molecular QTL discovery and analysis
 QTLtools is a tool set for molecular Quantitative Trait Loci (QTL) discovery
 and analysis. It allows user to go from the raw sequence data to collection of
 molecular QTL in few easy-to-perform steps. QTLtools contains multiple methods
 to prepare the data, to discover proximal and distal molecular QTL and to
 finally integrate them with GWAS variants and functional annotations of the
 genome.

Package: qtltools-example
Description-md5: 4799853e8b2987a77f6a27e6720713af
Description-en: Tool set for molecular QTL discovery and analysis - example
 QTLtools is a tool set for molecular Quantitative Trait Loci (QTL) discovery
 and analysis. It allows user to go from the raw sequence data to collection of
 molecular QTL in few easy-to-perform steps. QTLtools contains multiple methods
 to prepare the data, to discover proximal and distal molecular QTL and to
 finally integrate them with GWAS variants and functional annotations of the
 genome.
 .
 This package provides example data to work with QTLtools.

Package: qtmultimedia5-dev
Description-md5: d66c7302b817d2d8a6eeed52062a5950
Description-en: APIs for multimedia functionality - development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the development files needed to build Qt applications
 using Qt Multimedia libraries.

Package: qtmultimedia5-doc
Description-md5: 9aa5cda04caafcdcf8c9cc892a45d227
Description-en: Qt 5 multimedia documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 multimedia libraries.

Package: qtmultimedia5-doc-html
Description-md5: cef6f70d1283a688309a1ab73b3b1371
Description-en: Qt 5 multimedia HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 multimedia
 libraries.

Package: qtmultimedia5-examples
Description-md5: 49d9bf07b867f8f8f5fef95670514ed0
Description-en: Examples for Qt 5 Multimedia module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the examples for Qt5 multimedia application
 development.

Package: qtnetworkauth5-doc
Description-md5: aacd4349733c7ae5e484a62cb0dbd917
Description-en: online account access for Qt apps - documentation
 Qt Network Authorization provides a set of APIs that enable Qt
 applications to obtain limited access to online accounts and HTTP
 services without exposing users' passwords.
 .
 This package contains the documentation for QtNetworkAuth.

Package: qtnetworkauth5-doc-html
Description-md5: 153e5b805af0991c56ee647229170de3
Description-en: online account access for Qt apps - HTML Documentation
 Qt Network Authorization provides a set of APIs that enable Qt
 applications to obtain limited access to online accounts and HTTP
 services without exposing users' passwords.
 .
 This package contains the HTML documentation for QtNetworkAuth.

Package: qtnetworkauth5-examples
Description-md5: 19d21a8a88ced0258041853a1749d9be
Description-en: online account access for Qt apps - Examples
 Qt Network Authorization provides a set of APIs that enable Qt
 applications to obtain limited access to online accounts and HTTP
 services without exposing users' passwords.
 .
 This package contains the examples for Qt's NetworkAuth submodule.

Package: qtop
Description-md5: ce19feb023b1aa687d2c3058554c5f73
Description-en: windowed version of the console top command
 qtop provides a dynamic real-time view of a running system. It has only
 a small fraction of the options provided by its console counterparts,
 but hopefully the most used ones.

Package: qtox
Description-md5: 6b95211b2772f661e971f56d0fe4288f
Description-en: Powerful Tox client that follows the Tox design guidelines
 qTox is a powerful Tox client that follows the Tox design guidelines while
 running on all major platforms.
 .
 Features:
  * One to one chat with friends
  * Group chats
  * File transfers, with previewing of images
  * Audio calls, including group calls
  * Video calls
  * Tox DNS and Tox URI support
  * Translations in various languages
  * Avatars
  * Faux offline messages
  * History
  * Screenshots
  * Emoticons
  * Auto-updates on Windows and Mac, packages on Linux
  * And many more options!

Package: qtpass
Description-md5: 16a69129b05211f5c1b94fc1c0ef5f45
Description-en: GUI for password manager pass
 QtPass is a GUI password manager based on pass with the following
 features:
 .
  * Reading pass password stores
  * Decrypting and displaying the password info
  * Updating from the git repository
  * Editing and adding of passwords
  * Adding and removing people from gpg-id per folder
  * Read operations also supported without pass (using gpg and git)
  * Configuration options for backends and executable/folder locations
  * Copying password to clipboard
  * Hiding of password against shouldersurfing
  * Experimental WebDAV support

Package: qtpositioning5-dev
Description-md5: 6b9276dc70755398fd080b95764c828d
Description-en: Qt 5 Positioning development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications using Qt Positioning library.

Package: qtqr
Description-md5: f65eb5261fe83b74991d9ee78c24bfe2
Description-en: Qt frontend for QR code generator and decoder
 A Qt based software that help you to generate QR codes easily, scan
 an image file for QR codes and decode them or use your webcam to
 scan a printed one.

Package: qtquickcontrols2-5-dev
Description-md5: 02f0e9c820acf30cec64bc5ce8477956
Description-en: Qt 5 Quick Controls 2 development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Controls 2 development files.

Package: qtquickcontrols2-5-doc
Description-md5: dca348d0305c0f563aa74f0c06f55f01
Description-en: Qt 5 Quick Controls 2 documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Controls 2 documentation in qch format.

Package: qtquickcontrols2-5-doc-html
Description-md5: b386834d214f69ff4512d3757c2749cd
Description-en: Qt 5 Quick Controls 2 HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Quick Controls 2 documentation in HTML format.

Package: qtquickcontrols2-5-examples
Description-md5: 17846daf82096b518ee509cfbacd3190
Description-en: Qt 5 Qt Quick Templates 2 examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the examples for Qt Quick Controls 2.

Package: qtquickcontrols5-doc
Description-md5: 17d0d724b744d8caaf73b2eeac698c6e
Description-en: Qt 5 Quick Controls documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Quick Controls
 module.

Package: qtquickcontrols5-doc-html
Description-md5: d80ebab7574c25715338b151256c2668
Description-en: Qt 5 Quick Controls HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 Quick Controls
 module.

Package: qtquickcontrols5-examples
Description-md5: 4633fc1621736416d5af46203684f324
Description-en: Qt 5 Quick Controls examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 Quick Controls examples.

Package: qtractor
Description-md5: 367e70ff5cefa0a86c0b86823f957c9c
Description-en: MIDI/Audio multi-track sequencer application
 This is a MIDI/Audio multi-track sequencer application written
 in C++.
 .
 The Jack Audio Connection Kit (JACK) for audio, and the Advanced Linux
 Sound Architecture (ALSA) for MIDI, are the main infrastructures to
 evolve as a fairly-featured Linux Desktop Audio Workstation GUI, especially
 dedicated to the personal home-studio.

Package: qtremoteobjects5-doc
Description-md5: 8256fa7533992aba539bf6f57d1430aa
Description-en: Qt Remote Objects QCH documentation
 The Qt Remote Objects extends Qt's existing functionalities to enable
 information exchange between processes or computers.
 .
 This package contains the documentation in QCH format, which can be
 viewed using Qt Assistant from qt5-assistant package.

Package: qtremoteobjects5-doc-html
Description-md5: f493b33024bdac4d3f9d05dae3b5cf5b
Description-en: Qt Remote Objects HTML documentation
 The Qt Remote Objects extends Qt's existing functionalities to enable
 information exchange between processes or computers.
 .
 This package contains the documentation in HTML format.

Package: qtremoteobjects5-examples
Description-md5: 8c64fc6e456c71842a48e493c127d742
Description-en: Qt Remote Objects examples
 The Qt Remote Objects extends Qt's existing functionalities to enable
 information exchange between processes or computers.
 .
 This package contains Qt 5 Remote Objects examples.

Package: qtscript5-dev
Description-md5: 9c895e1d9010a1b23470d0c0eed496d9
Description-en: Qt 5 script development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications using QtScript and QtScriptTools libraries.

Package: qtscript5-doc
Description-md5: a6434dbb2e0c5b961b87e5875be7cf17
Description-en: Qt 5 script documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 script libraries.

Package: qtscript5-doc-html
Description-md5: bd7b8b04024dae6cc194a09439eab845
Description-en: Qt 5 script HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 script
 libraries.

Package: qtscript5-examples
Description-md5: 4bffca6cd623049a22e5f67763708da7
Description-en: Qt 5 script examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 script examples.

Package: qtscrob
Description-md5: c93d48ee9506b0db5aee89f3ee4fb2ae
Description-en: audioscrobbler submitter for portable media players - Qt frontend
 qtscrob is a GUI tool which reads playback information from iPods,
 MTP (aka Plays for Sure) or Rockbox (open source audio player
 firmware) devices and submits them to an audioscrobbler service, such
 as "last.fm" or "libre.fm".
 .
 This is the graphical version of the tool. For a CLI version, see the
 'scrobbler' package.

Package: qtscxml5-doc
Description-md5: 1790c2f4cc29ff943059c4b37a95b1e3
Description-en: Qt SCXML QCH documentation
 The Qt SCXML module provides functionality to create state
 machines from SCXML files. This includes both dynamically
 creating state machines (loading the SCXML file and instantiating
 states and transitions) and generating a C++ file that has a class
 implementing the state machine. It also contains functionality
 to support data models and executable content.
 .
 This package contains the documentation in QCH format, which can be
 viewed using Qt Assistant from qttools5-dev-tools package.

Package: qtscxml5-doc-html
Description-md5: b9f64a60e653633ea1fd56cb9206b6b5
Description-en: Qt SCXML HTML documentation
 The Qt SCXML module provides functionality to create state
 machines from SCXML files. This includes both dynamically
 creating state machines (loading the SCXML file and instantiating
 states and transitions) and generating a C++ file that has a class
 implementing the state machine. It also contains functionality
 to support data models and executable content.
 .
 This package contains the documentation in HTML format.

Package: qtscxml5-examples
Description-md5: d76361b763343885f1be2fd75a9db7b7
Description-en: Qt SCXML examples
 The Qt SCXML module provides functionality to create state
 machines from SCXML files. This includes both dynamically
 creating state machines (loading the SCXML file and instantiating
 states and transitions) and generating a C++ file that has a class
 implementing the state machine. It also contains functionality
 to support data models and executable content.
 .
 This package contains Qt 5 SCXML examples.

Package: qtsensors5-doc
Description-md5: e4cc03e5d28e974e34ec86b9c8e3d973
Description-en: Qt 5 Sensors documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Sensors library
 and binaries.

Package: qtsensors5-doc-html
Description-md5: 342bcf5efb62d5afa3b81dcba6cd5f45
Description-en: Qt 5 Sensors HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 Sensors
 libraries and binaries.

Package: qtsensors5-examples
Description-md5: bfd5d874c1703d4e4276facf6340aed7
Description-en: Qt 5 sensors examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 Sensors examples.

Package: qtserialbus5-doc
Description-md5: 84cd19730080d523ed253f692cb9445d
Description-en: Qt serialbus serial bus access QCH documentation
 Qt serialbus module provides Qt module for general purpose
 serial bus access. Support for CAN and potentially other
 serial buses.
 .
 This package contains the documentation in QCH format, which can be
 viewed using Qt Assistant from qttools5-dev-tools package.

Package: qtserialbus5-doc-html
Description-md5: 53cb6e715993f30ca27dd66c5c20c5c0
Description-en: Qt serialbus serial bus access HTML documentation
 Qt serialbus module provides Qt module for general purpose
 serial bus access. Support for CAN and potentially other
 serial buses.
 .
 This package contains the documentation in HTML format.

Package: qtserialbus5-examples
Description-md5: 14502cfba17c0eff6a959b2a03d16f4b
Description-en: Qt 5 Serialbus serial bus access examples
 Qt serialbus module provides Qt module for general purpose
 serial bus access. Support for CAN and potentially other
 serial buses.
 .
 This package contains Qt 5 Serialbus examples.

Package: qtserialport5-doc
Description-md5: 18fad513dced03cb54fd9ea10e922605
Description-en: Qt 5 serial port documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 serial port library
 and binaries.

Package: qtserialport5-doc-html
Description-md5: 0fdd83a904db5f5b36c721264818ee80
Description-en: Qt 5 serial port HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 serial port
 libraries and binaries.

Package: qtspeech5-doc
Description-md5: 969766d84eca2d9e6fb1c4b0137f862a
Description-en: Speech library for Qt - documentation
 QtSpeech provides support for text-to-speech and speech recognition in Qt
 applications.
 .
 This package contains the QCH documentation, which can be viewed in
 Qt Assistant.

Package: qtspeech5-doc-html
Description-md5: ff5673bde6d1a89d6c3bcba4b7ec28c0
Description-en: Speech library for Qt - HTML documentation
 QtSpeech provides support for text-to-speech and speech recognition in Qt
 applications.
 .
 This package contains the HTML documentation.

Package: qtspeech5-examples
Description-md5: 276fa9cdb3c023462a54ff1de52ed26e
Description-en: Speech library for Qt - Examples
 QtSpeech provides support for text-to-speech and speech recognition in Qt
 applications.
 .
 This package contains the QtSpeech examples.

Package: qtspeech5-flite-plugin
Description-md5: 187eee9cb0515a011f208e8ba13fc505
Description-en: Speech library for Qt - Flite plugin
 QtSpeech provides support for text-to-speech and speech recognition in Qt
 applications.
 .
 This package contains the plugin for Flite speech synthesis engine.

Package: qtspeech5-speechd-plugin
Description-md5: ebd7aabacac64dd175505b61d163e5ca
Description-en: Speech library for Qt - speechd plugin
 QtSpeech provides support for text-to-speech and speech recognition in Qt
 applications.
 .
 This package contains the plugin for speechd speech synthesis engine.

Package: qtsvg5-doc
Description-md5: 13c2621857e60a1440611ff4c69c1c23
Description-en: Qt 5 SVG documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 SVG libraries.

Package: qtsvg5-doc-html
Description-md5: 8f027e5df3b023d0a76f2c2f4714ef08
Description-en: Qt 5 SVG HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 SVG
 libraries.

Package: qtsvg5-examples
Description-md5: 4e15e00778893792347b511f92e58128
Description-en: Qt 5 SVG examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 SVG examples.

Package: qttools5-dev
Description-md5: 2c7b573d705950feb78131e46ada5b45
Description-en: Qt 5 tools development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the header development files used for building Qt 5
 applications.

Package: qttools5-dev-tools
Description-md5: e609c4b4a7418340b35a73897442b163
Description-en: Qt 5 development tools
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains a set of applications to browse the documentation,
 translate applications, generate help files and other stuff that
 helps in Qt development. These tools are among others:
  * designer
  * linguist
  * pixeltool
  * qdbusviewer

Package: qttools5-doc
Description-md5: cadd8f42b0252861fb7f42f03b26d605
Description-en: Qt 5 tools documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 tools libraries
 and binaries.

Package: qttools5-doc-html
Description-md5: de3ad18252fe274f74794fbca72d70df
Description-en: Qt 5 tools HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 tools
 libraries and binaries.

Package: qttools5-examples
Description-md5: 2e5c31e03a2be53eb1d8b348eb9afe48
Description-en: Qt 5 tools examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains examples of the Qt 5 tools module.

Package: qttools5-private-dev
Description-md5: 73752fe859df40a7d51d49f7871d7f09
Description-en: Qt 5 tools private development files
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains private header development files.
 .
 Use at your own risk.

Package: qttranslations5-l10n
Description-md5: a96d68060141293142683da7e81731e2
Description-en: translations for Qt 5
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides translation files for Qt itself and some base apps,
 such as Qt Linguist, Qt Assistant and Qt Designer.

Package: qtvirtualkeyboard-plugin
Description-md5: dc5adf73db56b7008e4d95fbcd06967b
Description-en: Qt virtual keyboard
 The Qt Virtual Keyboard project provides an input framework and
 reference keyboard frontend for Qt 5 on Linux Desktop/X11, Windows
 Desktop, and Boot2Qt targets.
 .
 This package contains the virtual keyboard plugin.

Package: qtvirtualkeyboard5-doc
Description-md5: 712a5b891b5f86f19137b462095e6c66
Description-en: Qt 5 Virtual Keyboard documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Virtual Keyboard plugin.

Package: qtvirtualkeyboard5-doc-html
Description-md5: 9141932494cefd71704ac91833b1481a
Description-en: Qt 5 Virtual Keyboard HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 Virtual Keyboard
 plugin.

Package: qtvirtualkeyboard5-examples
Description-md5: 7d1a666d197fcd2ddffa8047ae213ed6
Description-en: Qt virtual keyboard examples
 The Qt Virtual Keyboard project provides an input framework and
 reference keyboard frontend for Qt 5 on Linux Desktop/X11, Windows
 Desktop, and Boot2Qt targets.
 .
 This package contains examples of using the virtual keyboard.

Package: qtwayland5
Description-md5: 4d4c63543e3979fb894f74b9fe673868
Description-en: QtWayland platform plugin
 QtWayland is a Qt 5 module that wraps the functionality of Wayland.
 .
 This package contains the QtWayland platform plugin, which allows users
 to run Qt 5 applications under Wayland, and the qtwaylandscanner
 binary.

Package: qtwayland5-dev-tools
Description-md5: e21dffe561776b81ad3182c39fcf2367
Description-en: Qt 5 Wayland developer tools
 QtWayland is a Qt 5 module that wraps the functionality of Wayland.
 .
 This package contains the QtWayland developer tools.
 At the moment there is only qtwaylandscanner tool here.

Package: qtwayland5-doc
Description-md5: 845188d84e2efcbea9d09d11833efd36
Description-en: Qt 5 Wayland Compositor documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Wayland Compositor
 library.

Package: qtwayland5-doc-html
Description-md5: ec27009b1898839a2647c24a30124402
Description-en: Qt 5 Wayland Compositor HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 Wayland Compositor
 library.

Package: qtwayland5-examples
Description-md5: 9d1a5581339856847fa7882028e14e95
Description-en: Qt 5 Wayland examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains examples of the Qt 5 Wayland module.

Package: qtwebchannel5-doc
Description-md5: a30460bc4ff3d84ecf79062ee78759c0
Description-en: Web communication library for Qt - Documentation
 Qt WebChannel enables peer-to-peer communication between the host (QML/C++
 application) and the client (HTML/JavaScript application). The transport
 mechanism is supported out of the box by the two popular web engines, Qt
 WebKit 2 and Qt WebEngine.
 .
 This package contains the documentation for QtWebChannel.

Package: qtwebchannel5-doc-html
Description-md5: 9d0739a87b5373aabda3bebc2e709d59
Description-en: Web communication library for Qt - HTML Documentation
 Qt WebChannel enables peer-to-peer communication between the host (QML/C++
 application) and the client (HTML/JavaScript application). The transport
 mechanism is supported out of the box by the two popular web engines, Qt
 WebKit 2 and Qt WebEngine.
 .
 This package contains the HTML documentation for QtWebChannel.

Package: qtwebchannel5-examples
Description-md5: ed3a799d7087923dc340245fc4a8c32e
Description-en: Web communication library for Qt - Examples
 Qt WebChannel enables peer-to-peer communication between the host (QML/C++
 application) and the client (HTML/JavaScript application). The transport
 mechanism is supported out of the box by the two popular web engines, Qt
 WebKit 2 and Qt WebEngine.
 .
 This package contains the examples for Qt's WebChannel submodule. Be sure to
 read README.Debian before trying to run them.

Package: qtwebengine5-dev
Description-md5: ca428f55106bd624011815039ec6aee7
Description-en: Web content engine library for Qt - development files
 QtWebEngine provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the development files needed to build Qt 5 applications
 using QtWebEngine library.

Package: qtwebengine5-dev-tools
Description-md5: aec58b81e1ee18d26952b894f61836f5
Description-en: Qt WebEngine tools
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains qwebengine_convert_dict tool which converts hunspell
 'dic' dictionaries to binary 'bdic' format, which is required by spellchecker
 in Qt WebEngine.

Package: qtwebengine5-doc
Description-md5: aea2e434617e522bf114f4b91dbb4826
Description-en: Qt 5 webengine documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 webengine libraries.

Package: qtwebengine5-doc-html
Description-md5: bc301e7f0979f34ca40e0c8c2d6e387a
Description-en: Qt 5 webengine HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 webengine
 libraries.

Package: qtwebengine5-examples
Description-md5: d49ba4134642a53e70d7ca25167a8d26
Description-en: Qt WebEngine - Examples
 QtWebEngine provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the WebEngine examples.

Package: qtwebengine5-private-dev
Description-md5: 801a9dd4d85eb685deb90757d307feeb
Description-en: Web content engine library for Qt - private development files
 QtWebEngine provides a Web browser engine that makes it easy to embed content
 from the World Wide Web into your Qt application.
 .
 This package contains the private development files needed to build
 Qt 5 applications using QtWebEngine library.

Package: qtwebsockets5-doc
Description-md5: d711da2e4b9fb3e05a1aec0ea0025ee7
Description-en: Qt 5 Web Sockets documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 Web Sockets
 library.

Package: qtwebsockets5-doc-html
Description-md5: c0030607fd7687badc3bf3b355a8fa88
Description-en: Qt 5 Web Sockets HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 Web Sockets
 library.

Package: qtwebsockets5-examples
Description-md5: 21ae1128d7751369e646ff512d3e10e0
Description-en: Examples for Qt 5 Web Sockets module
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains examples for the Qt 5 Web Sockets library.

Package: qtwebview5-doc
Description-md5: 9ee3d8a2835e39c1b1190138ab520ef4
Description-en: display web content in a QML application - Documentation
 Qt WebView provides a way to display web content in a QML application
 without necessarily including a full web browser stack by using
 native APIs where it makes sense.
 .
 This package contains the documentation for QtWebView.

Package: qtwebview5-doc-html
Description-md5: 7914b675d59af9957ad2cfae59ad64da
Description-en: display web content in a QML application - HTML Documentation
 Qt WebView provides a way to display web content in a QML application
 without necessarily including a full web browser stack by using
 native APIs where it makes sense.
 .
 This package contains the HTML documentation for QtWebView.

Package: qtwebview5-examples
Description-md5: e9214014805231b44d568089bbb01cde
Description-en: display web content in a QML application - Examples
 Qt WebView provides a way to display web content in a QML application
 without necessarily including a full web browser stack by using
 native APIs where it makes sense.
 .
 This package contains the examples for Qt's WebView submodule.

Package: qtx11extras5-doc
Description-md5: 658a17892b1d328f31fb4da891d36de5
Description-en: Qt 5 X11 extras documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 X11 extras libraries
 and binaries.

Package: qtx11extras5-doc-html
Description-md5: c3ea7cc87b3cca27c484da9eeb4eff6a
Description-en: Qt 5 X11 extras HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 X11 extras
 libraries and binaries.

Package: qtxdg-dev-tools
Description-md5: 6cfb606338062f6b37c5edeb2cfb5f3b
Description-en: Tools for QtXdg
 This package provide some tools for qtxdg:
 * qtxdg-desktop-file-start - QtXdg XdgDesktopFile start Tester
 * qtxdg-iconfinder - Find icons the QtXdg way
 * qtxdg-mat - Mime app tool for QtXdg

Package: qtxmlpatterns5-dev-tools
Description-md5: f8f258f271e45f3b6a9763f598aa10c5
Description-en: Qt 5 XML patterns development programs
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the development programs such as xmlpatterns and
 xmlpatternsvalidator.

Package: qtxmlpatterns5-doc
Description-md5: dffb05c2430e5b9b0e84f4ae3bdd4e63
Description-en: Qt 5 XML patterns documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the documentation for the Qt 5 XML patterns
 libraries.

Package: qtxmlpatterns5-doc-html
Description-md5: d0e96581f1d7d7d4eb2ec82108fd5d5c
Description-en: Qt 5 XML patterns HTML documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the HTML documentation for the Qt 5 XML patterns
 libraries.

Package: qtxmlpatterns5-examples
Description-md5: 6a50b08931740af2a542a4e2833edb99
Description-en: Qt 5 XML patterns examples
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 5 XML patterns examples.

Package: quadrapassel
Description-md5: 8bf2558b07fadf3d91eb63fd2583d7d8
Description-en: popular Russian game, similar to Tetris
 Quadrapassel comes from the classic falling-block game, Tetris. The
 goal of the game is to create complete horizontal lines of blocks,
 which will disappear.

Package: quakespasm
Description-md5: 2ecdb2c8e7a3eef41cc1d055b402b476
Description-en: engine for iD software's Quake
 QuakeSpasm is a Unix-friendly Quake engine based on the SDL port of the
 popular FitzQuake. It includes some new features, important fixes, and aims
 for portability and correctness.
 .
 This package contains no data files. To use it, you will need to either
 install the commercial Quake data, or alternative free data files such as
 OpenQuartz.
 .
 This engine is typically used via a script that launches it with a particular
 data set, such as the one found in the "quake" package.

Package: quantlib-examples
Description-md5: 0ab9c7ce7f7bf706c9baf17cec2b79cc
Description-en: Quantitative Finance Library -- example binaries
 The QuantLib project aims to provide a comprehensive software framework
 for quantitative finance. The goal is to provide a standard free/open
 source library to quantitative analysts and developers for modeling,
 trading, and risk management of financial assets.
 .
 This package provides several example binaries as well as source code.

Package: quantlib-python
Description-md5: d10dd1a0333c794753deb29c4b3b796a
Description-en: Python3 bindings for the Quantlib Quantitative Finance library
 The QuantLib project is aimed to provide a comprehensive software framework
 for quantitative finance. The goal is to provide a standard free/open source
 library to quantitative analysts and developers for modeling, trading, and
 risk management in real-life.
 .
 QuantLib plans to offer tools that are useful for both practical
 implementation, with features such as market conventions, solvers, PDEs,
 etc., and advanced modeling, e.g., exotic options and interest rate models.
 .
 This package provides Python bindings to parts of the QuantLib library.

Package: quantlib-refman-html
Description-md5: 0b0dc85e728e77e16a70ce861b2f36d6
Description-en: Quantitative Finance Library -- reference manual in html
 The QuantLib project aims to provide a comprehensive software framework
 for quantitative finance. The goal is to provide a standard free/open
 source library to quantitative analysts and developers for modeling,
 trading, and risk management of financial assets.
 .
 This package provides the reference manual in html format.

Package: quantum-espresso
Description-md5: b487171011d797e0b2f3c854945317fd
Description-en: Electronic-Structure and Ab-Initio Molecular Dynamics Suite
 Quantum ESPRESSO (formerly known as PWscf) is an integrated suite of computer
 codes for electronic-structure calculations and materials modeling at the
 nanoscale. It is based on density-functional theory, plane waves, and
 pseudopotentials (both norm-conserving, ultrasoft, and PAW).
 .
 Features include:
  * Ground-state single-point and band structure calculations using plane-wave
    self-consistent total energies, forces and stresses
  * Separable norm-conserving and ultrasoft (Vanderbilt) pseudo-potentials, PAW
    (Projector Augmented Waves)
  * Various exchange-correlation functionals, from LDA to generalized-gradient
    corrections (PW91, PBE, B88-P86, BLYP) to meta-GGA, exact exchange (HF) and
    hybrid functionals (PBE0, B3LYP, HSE)
  * Car-Parrinello and Born-Oppenheimer Molecular Dynamics
  * Structural Optimization including transition states and minimum energy
    paths
  * Spin-orbit coupling and noncollinear magnetism
  * Response properties including phonon frequencies and
    eigenvectors, effective charges and dielectric tensors, Infrared and
    Raman cross-sections, EPR and NMR chemical shifts
  * Spectroscopic properties like K- and L1-edge X-ray Absorption Spectra (XAS)
    and electronic excitations

Package: quantum-espresso-data
Description-md5: 73f4efb549c0a4b101d8fff1d86a8d2c
Description-en: Electronic-Structure and Ab-Initio Molecular Dynamics Suite (Documentation)
 Quantum ESPRESSO is an integrated suite of computer codes for
 electronic-structure calculations and materials modeling at the nanoscale. It
 is based on density-functional theory, plane waves, and pseudopotentials (both
 norm-conserving, ultrasoft, and PAW).
 .
 This package contains a limited set of pseudo-potentials and example files.

Package: quarry
Description-md5: 879786859b39e95426db945910452ac3
Description-en: Board games Go, Amazons, and Reversi (a.k.a. Othello)
 Quarry is a multi-purpose GUI for several board games, at present Go, Amazons
 and Reversi (a.k.a. Othello.) It allows users to play against computer players
 (third-party programs, e.g. GNU Go or GRhino) or other humans, view and edit
 game records.

Package: quassel
Description-md5: 20198322cad41656de05fbc2bebd4524
Description-en: distributed IRC client - monolithic core+client
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides the monolithic client. It contains both core and
 client and can be used like a traditional IRC client, without requiring an
 external core.

Package: quassel-client
Description-md5: 2328ce94f7c9aa44c75972d208c569e7
Description-en: distributed IRC client - client component
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides only the client component.

Package: quassel-core
Description-md5: dc445da5529f886d5b9c5e6220e2cdd2
Description-en: distributed IRC client - core component
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides only the core component.

Package: quassel-data
Description-md5: c5e20b7b5f38c3f7182ee4386e86224c
Description-en: distributed IRC client - shared data
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides files used by the Quassel client packages.

Package: quaternion
Description-md5: d291c99b35f7660c4aeaa5a6bbb24a7d
Description-en: desktop IM client for the Matrix protocol
 Quaternion is a Qt-based chat client for the Matrix protocol.  Matrix is an
 open, federated communications protocol.

Package: quelcom
Description-md5: 1dba58d8e3947d95a44d9a8a592c96b4
Description-en: Command line editing tools for MP3 and WAV files
 Quelcom provides assorted tools to perform simple editing
 operations on MP3 and WAV audio files.  These include
 fading, check-and-clean, informational extraction and
 lossless cutting and joining without reencoding.

Package: quickcal
Description-md5: 713718a40ba32cf6148e8ef022a6936c
Description-en: fast and easy to use calculator with support for filing
 Quickcal accepts quick pasting of a large set of numbers, separated with
 newlines or spaces or tabs and performs arithmetic / statistical calculations
 based on the button or keyboard shortcut pressed.
 .
 As in other calculators, basic math can be performed too, with the +,-,*,/,%,^
 operators, in between numbers. Setting the scale allows for more decimals to
 be displayed. Also, about 65 statistical calculations can be performed by
 choosing an option from the more stats list box.
 .
 Filing and viewing support for history and tallying purpose, at the end of the
 day, helpful for shopkeepers and others too.

Package: quickml
Description-md5: 4acd3e8f9231d20dba0f281de7cfbc91
Description-en: Very-easy-to-use mailing list system
 quickml server is a very-easy-to-use mailing list system.
 quickml server provides very-easy-to-use mailing list service.
 http://quickml.com/quickml/

Package: quickplot
Description-md5: 1be7388e62cd43fb6c72857619505559
Description-en: fast interactive 2D plotter and data viewer
 A 2-D plotter with infinite zooming, value picking, pipe input, and
 unlimited plots displayed.  Quickplot is meant for looking at your
 data quickly and of secondary importance is making static pictures of
 your data.  It is a data viewer, not a plot editor.  It reads ASCII
 text and sound files.  It has lots of command line options, making it
 very shell script friendly.

Package: quickroute-gps
Description-md5: a9bc15e98bbebaa45c676e2be99c33c1
Description-en: GPS analysis software for getting your route on the map
 QuickRoute is a visual GPS analysis software for orienteering and
 other outdoor activities. You can to display your route on a map, and
 review split times and pace. It also allows direct upload of the
 routes to a digital orienteering map archive (DOMA) or overlay it in
 Google Earth.

Package: quicktime-utils
Description-md5: cbd5af54db23762e0fd8ed5e16b2dfd6
Description-en: library for reading and writing Quicktime files (utilities)
 libquicktime is a library for reading and writing QuickTime files on UNIX
 systems. Video CODECs supported by this library are OpenDivX, MJPA, JPEG Photo,
 PNG, RGB, YUV 4:2:2, and YUV 4:2:0 compression. Supported audio CODECs are Ogg
 Vorbis, IMA4, ulaw, and any linear PCM format.
 .
 This package contains additional utilities.

Package: quicktime-x11utils
Description-md5: 969ac83482c42e16383433074dcd28dc
Description-en: library for reading and writing Quicktime files (x11 utilities)
 libquicktime is a library for reading and writing QuickTime files on UNIX
 systems. Video CODECs supported by this library are OpenDivX, MJPA, JPEG Photo,
 PNG, RGB, YUV 4:2:2, and YUV 4:2:0 compression. Supported audio CODECs are Ogg
 Vorbis, IMA4, ulaw, and any linear PCM format.
 .
 This package contains additional utilities for the X window system.

Package: quicktun
Description-md5: eccaa7abacaae91cd8f68123645411bb
Description-en: very simple, yet secure VPN software
 Probably the simplest VPN tunnel software ever, yet it's very secure.
 It relies on the NaCl encryption library.

Package: quilt
Description-md5: 8eeb0522bc56c3acd93b9e763f3dcd28
Description-en: Tool to work with series of patches
 Quilt manages a series of patches by keeping track of the changes
 each of them makes. They are logically organized as a stack, and you can
 apply, un-apply, refresh them easily by traveling into the stack (push/pop).
 .
 Quilt is good for managing additional patches applied to a package received
 as a tarball or maintained in another version control system. The stacked
 organization is proven to be efficient for the management of very large patch
 sets (more than hundred patches). As matter of fact, it was designed by and
 for Linux kernel hackers (Andrew Morton, from the -mm  branch, is the
 original author), and its main use by the current upstream maintainer is to
 manage the (hundreds of) patches against the kernel made for the SUSE
 distribution.
 .
 This package provides seamless integration into Debhelper or CDBS,
 allowing maintainers to easily add a quilt-based patch management system in
 their packages. The package also provides some basic support for those not
 using those tools. See README.Debian for more information.

Package: quilt-el
Description-md5: 33b64b7af1e105ad475292ad7223b7a2
Description-en: simple Emacs interface of quilt
 This is an Emacs minor mode for quilt. By using this,
 it becomes easy to edit files controlled by quilt.
 The main features are as follows:
 .
  - Automatically detects files that are in a quilt hierarchy
    and enables itself.
  - Only files in topmost patch can be writable.
  - Some handy shortcut keys of quilt commands.

Package: quisk
Description-md5: 41ad270fc0f85178ee079de3d61eeb66
Description-en: Software Defined Radio (SDR)
 Quisk uses ALSA sound drivers or PortAudio and offers these capabilities:
  * Quisk can control the HiQSDR.
  * As a receiver it can use the SDR-IQ by RfSpace as a sample
    source.  There are several decimation rates available.  The QUISK
    receiver will read the sample data, tune it, filter it, demodulate
    it, and send the audio to the sound card for output to external
    headphones or speakers.
  * As a receiver it can use your soundcard as a sample source.  You
    supply a complex (I/Q) mixer to convert radio spectrum to a low
    IF, and send that IF to the left and right inputs of the sound
    card in your computer.  The demodulated audio goes to the same
    soundcard for output.
  * Quisk can control SoftRock hardware for both receive and transmit.
  * As a transmitter it can control an SSB/CW exciter and a
    transceiver using Ethernet.
  * As a transmitter it can accept microphone input and send that to
    a transmitter for SSB operation.  For CW, QUISK can mute the
    audio and substitute a side tone.  Quisk can send transmit data to
    your sound card for use with SoftRock or similar.

Package: quitcount
Description-md5: 555bdd6809e0ee3095c79481e8dc8650
Description-en: Small tool which may help yourself quit smoking
 QuitCount is a simple counter that shows what you spared since
 you quit smoking. Once you tell it the date you quit, how much
 you smoked, and how much it cost, it'll tell you how many
 cigarettes you didn't smoke since, and how much it makes in
 terms of money, tar, and life expectancy.

Package: quiterss
Description-md5: 597d5763ee50cf6f4f5583c6a75ae552
Description-en: RSS/Atom news feeds reader
 QuiteRSS is "fast and comfortable to user" cross-platform RSS/Atom
 news feeds reader written on Qt/C++.

Package: qunit-selenium
Description-md5: 67627a3581323ba99abdbd3018fa8ed7
Description-en: Run QUnit tests through Selenium WebDriver
 This package provides a wrapper around the selenium-webdriver with the
 additional logic to parse the QUnit test results page and report the
 success/failure of QUnit tests.
 .
 This package contains command line tool for executing QUnit tests.
 It will use the Selenium Chromium WebDriver instantiated with a new
 Chromium profile.

Package: quodlibet
Description-md5: d068ae7136aceccd3a4e7a4be8346045
Description-en: audio library manager and player for GTK3
 Quod Libet is a music management program. It provides several different ways
 to view your audio library, as well as support for Internet radio and
 audio feeds. It has extremely flexible metadata tag editing and searching
 capabilities, using the same interface as Ex Falso.
 .
 Supported formats include MP3, Ogg Vorbis, FLAC, Musepack (MPC), WavPack,
 and MOD/XM/IT.

Package: quorum
Description-md5: d3f58ae4a96c29fd91ece2c1f18a90a1
Description-en: QUality Optimized Reads of genomic sequences
 QuorUM enables to obtain trimmed and error-corrected reads that result
 in assemblies with longer contigs and fewer errors. QuorUM provides best
 performance compared to other published error correctors in several
 metrics. QuorUM is efficiently implemented making use of current multi-
 core computing architectures and it is suitable for large data sets (1
 billion bases checked and corrected per day per core). The third-party
 assembler (SOAPdenovo) benefits significantly from using QuorUM error-
 corrected reads. QuorUM error corrected reads result in a factor of 1.1
 to 4 improvement in N50 contig size compared to using the original reads
 with SOAPdenovo for the data sets investigated.

Package: quotatool
Description-md5: e95827dc7b28d12c20c0070a7bcda1bf
Description-en: non-interactive command line tool to edit disk quotas
 Quotatool is a utility for manipulating the Linux Diskquota system, which
 sets file system usage caps for users.
 .
 The "edquota" tool in the standard quota package goes through a text
 editor; "quotatool" on the other hand can set disk quotas directly from
 the command line, and is suitable for use in scripts and other
 non-interactive situations.

Package: qutebrowser
Description-md5: 70ccb66409052b4e59915053943eab28
Description-en: Keyboard-driven, vim-like browser based on PyQt5
 qutebrowser is a keyboard-focused browser with a minimal GUI. It's
 based on Python and PyQt5 and was inspired by other browsers/addons
 like dwb and Vimperator/Pentadactyl.
 .
 qutebrowser supports two different rendering engine backends:
 QtWebKit and QtWebEngine.

Package: qutebrowser-qtwebengine
Description-md5: 56db323b8be6efc87ef657e3a8eb60fe
Description-en: QtWebEngine backend dependency package for qutebrowser
 qutebrowser is a keyboard-focused browser with a minimal GUI. It's
 based on Python and PyQt5 and was inspired by other browsers/addons
 like dwb and Vimperator/Pentadactyl.
 .
 This package contains all the required dependencies to use
 qutebrowser with the QtWebEngine backend.

Package: qutebrowser-qtwebkit
Description-md5: c68fcab86a7261a238aa25e14f1a226f
Description-en: QtWebKit backend dependency package for qutebrowser
 qutebrowser is a keyboard-focused browser with a minimal GUI. It's
 based on Python and PyQt5 and was inspired by other browsers/addons
 like dwb and Vimperator/Pentadactyl.
 .
 This package contains all the required dependencies to use
 qutebrowser with the QtWebKit backend.

Package: qutemol
Description-md5: 5d0ff8a39e2b1fb2de97b31179722a72
Description-en: interactive visualization of macromolecules
 QuteMol is an interactive, high quality molecular visualization
 system. It exploits the current GPU capabilities through OpenGL shaders
 to offer an array of innovative visual effects. QuteMol visualization
 techniques are aimed at improving clarity and an easier understanding
 of the 3D shape and structure of large molecules or complex proteins.
 .
 Qutemol uses advanced OpenGL techniques and might not work correctly
 with all video cards and drivers.
 .
 Features QuteMol offers include:
 .
  * Real time ambient occlusion
  * Depth aware silhouette enhancement
  * Ball-and-stick, space-filling and liquorice visualization modes
  * High resolution antialiased snapshots for creating publication
    quality renderings
  * Automatic generation of animated gifs of rotating molecules for
    web page animations
  * Interactive rendering of macromolecules (>100k atoms)
 .
 QuteMol reads PDB files as input.

Package: quvi
Description-md5: 360686599c2119328e285ecb177c49a9
Description-en: command line program to extract video download links
 libquvi is a library to parse Adobe flash video download links. It
 supports Youtube and other similar video websites. It provides access
 to functionality and data through an API, and does not enable or
 require the use of the flash technology.
 .
 This package contains a command line program to extract and download
 video files using libquvi.

Package: qv4l2
Description-md5: d373e25ee7fd995d77191f84a2a594f0
Description-en: Test bench application for video4linux devices
 Qt v4l2 control panel application. You can change all through the v4l2
 interface exposed device controls.

Package: qviaggiatreno
Description-md5: 145821f3ccbda765369684fe944bf3c0
Description-en: Qt tool to monitor the Italian railway traffic
 QViaggiaTreno is a tool to monitor the Italian railway traffic. It is based
 on Qt and it acts as a GUI for ViaggiaTreno, a web tool developed by Italian
 Railways.

Package: qweborf
Description-md5: 4ca3e76717ae55c2969586fa774cef2d
Description-en: Shares files using the HTTP protocol
 Qweborf provides an easy to use graphical interface to share local files using
 the HTTP protocol.
 It can enable writing on the server, webdav, authentication and sending
 directories as tar.gz.
 It can try to work across NAT.

Package: qwertone
Description-md5: bd98aed559ecc8d0c184775c9dd31c22
Description-en: simple music synthesizer
 Qwertone is a simple music synthesizer app.
 .
 It is basically a toy piano, but using a QWERTY keyboard for the input.

Package: qwinff
Description-md5: c31a4c595724ff1a5a626b4ffca1ecee
Description-en: GUI for FFmpeg
 This is a free and open source media converter frontend to FFmpeg. FFmpeg
 is a powerful command-line utility to convert audio and video file into
 numerous formats. QWinFF features a rich set of presets to help users use
 FFmpeg easily without having to manually input command-line flags. Average
 users can convert multiple media files in just a few clicks, while advanced
 users can still adjust conversion parameters in detail.

Package: qwo
Description-md5: df44118889782a6a7f1d5fd16317966f
Description-en: efficient input method for touch screens
 Qwo is an implementation of quikwriting, an input method to continuously
 enter text on a touchscreen, without picking up the finger or stylus.

Package: qxgedit
Description-md5: 287601461685868ebe5c889ce019fb69
Description-en: MIDI System Exclusive files editor
 qxgedit is a Qt GUI for editing
 MIDI System Exclusive files
 for XG devices (eg. Yamaha DB50XG)

Package: qxp2epub
Description-md5: a4fea97c391420a45ccec0184b5194ca
Description-en: QuarkXPress to EPUB converter
 This package contains a utility for converting QuarkXPress documents
 to EPUB.

Package: qxp2odg
Description-md5: be8890433af5512b92d9f7b47503f5c1
Description-en: QuarkXPress to OpenDocument graphics converter
 This package contains a utility for converting QuarkXPress documents
 to OpenDocument graphics.

Package: qxw
Description-md5: 5a1399b88289e53ad6f73981f3a92f28
Description-en: advanced interactive crossword construction tool
 Qxw is a program to help you create and publish crosswords,
 from the simplest blocked grid to sophisticated thematic
 puzzles. It can make rectangular-, hexagonal- or
 circular-format grids with blocks, bars or both. It
 has an automatic grid-filling facility that can handle a
 wide range of answer treatments and you can even add your
 own answer treatment methods. Grids can be filled using
 letters, digits, or a mixture of both. Qxw can produce
 output in a range of formats ready for publication.
 .
 Qxw is written in C and has a GUI based on GTK+.

Package: r-base
Description-md5: 5787ca79ed716232c4cc2087ed9b425b
Description-en: GNU R statistical computation and graphics system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This package is a metapackage which eases the transition from the
 pre-1.5.0 package setup with its larger r-base package. Once installed, it
 can be safely removed and apt-get will automatically upgrade its components
 during future upgrades.  Providing this package gives a way to users to
 then only install r-base-core if they so desire.

Package: r-base-core
Description-md5: 49185484f9628e672027078b83df963d
Description-en: GNU R core of statistical computation and graphics system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available
 from CRAN, the Comprehensive R Archive Network, many also as Debian
 packages, named 'r-cran-<name>'.
 .
 This package provides the core GNU R system from which only the optional
 documentation packages r-base-html, r-doc-html, r-doc-pdf and r-doc-info
 have been split off to somewhat reduce the size of this package.

Package: r-base-core-dbg
Description-md5: 2a8ee24de77200ad1b54f3254591a4c9
Description-en: GNU R debug symbols for statistical comp. language and environment
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This package contains debugging symbol tables for the binaries and dynamic
 libraries in the r-base-core package.

Package: r-base-dev
Description-md5: 1a1267651faee382ef4781870ce94812
Description-en: GNU R installation of auxiliary GNU R packages
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This package ensures that other Debian packages needed for installation of
 some auxiliary R packages are installed.

Package: r-base-html
Description-md5: f571f4afe641faf52e91ea25f9cd2145
Description-en: GNU R html docs for statistical computing system functions
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This package provides html documentation suitable for browsing with a
 web-browser for the libraries included in the r-base package. It is not
 a required package as the same documentation is already included for
 on-line browsing.

Package: r-bioc-affy
Description-md5: d34486299d09a0083e0794ca56c6826c
Description-en: BioConductor methods for Affymetrix Oligonucleotide Arrays
 This is part of the BioConductor GNU R suite.  The package contains
 functions for exploratory oligonucleotide array analysis.

Package: r-bioc-affyio
Description-md5: eb63c8ce965890adb7dd90f34bb33c41
Description-en: BioConductor tools for parsing Affymetrix data files
 This BioConductor package provides routines for parsing Affymetrix data
 files based upon file format information. Primary focus is on accessing
 the CEL and CDF file formats.

Package: r-bioc-all
Description-md5: a5b5717c070100c0cd0ac2536361e733
Description-en: Bioconductor data package used by several bioc tools
 Data of T- and B-cell Acute Lymphocytic Leukemia from the Ritz
 Laboratory at the DFCI (includes Apr 2004 versions)

Package: r-bioc-altcdfenvs
Description-md5: b70a5b497f1dbc405b3380137a754fb2
Description-en: BioConductor alternative CDF environments
 This BioConductor module provides alternative CDF environments (aka
 probeset mappings) which are Convenience data structures and functions
 to handle cdfenvs.

Package: r-bioc-annotate
Description-md5: 95e95d69b8d181e45925dd924dca7b7e
Description-en: BioConductor annotation for microarrays
 This BioConductor module provides methods for annotation for microarrays

Package: r-bioc-annotationdbi
Description-md5: 29920944b8c672389180962cf8487b7a
Description-en: GNU R Annotation Database Interface for BioConductor
 This BioConductor module provides user interface and database
 connection code for annotation data packages using SQLite data
 storage.

Package: r-bioc-annotationfilter
Description-md5: 2201de00ddabcb73053c813b56a36ab2
Description-en: facilities for filtering Bioconductor annotation resources
 This package provides class and other infrastructure to
 implement filters for manipulating Bioconductor annotation
 resources. The filters will be used by ensembldb,
 Organism.dplyr, and other packages.

Package: r-bioc-annotationhub
Description-md5: 85f754214da8a821ef0e88108a5ec86c
Description-en: GNU R client to access AnnotationHub resources
 This package provides a client for the Bioconductor AnnotationHub web
 resource. The AnnotationHub web resource provides a central location
 where genomic files (e.g., VCF, bed, wig) and other resources from
 standard locations (e.g., UCSC, Ensembl) can be discovered. The resource
 includes metadata about each resource, e.g., a textual description,
 tags, and date of modification. The client creates and manages a local
 cache of files retrieved by the user, helping with quick and
 reproducible access.

Package: r-bioc-aroma.light
Description-md5: 5526c0325421cdfef60a7421816a5a58
Description-en: BioConductor methods normalization and visualization of microarray data
 This BioConductor module provides light-weight methods for
 normalization and visualization of microarray data using only basic R
 data types.
 .
 Methods for microarray analysis that take basic data types such as
 matrices and lists of vectors. These methods can be used standalone, be
 utilized in other packages, or be wrapped up in higher-level classes.

Package: r-bioc-biobase
Description-md5: 04c833c97d98c692f26e5b1652aef738
Description-en: base functions for Bioconductor
 Biobase is part of the Bioconductor project, and is used by many other
 packages. Biobase contains standardized data structures to represent genomic
 data, and functions that are needed by many other packages or which replace R
 functions.
 .
 Bioconductor is a project to develop innovative software tools for use in
 computational biology. It is based on the R language. You should already be
 quite familiar with R before using Bioconductor. Bioconductor packages provide
 flexible interactive tools for carrying out a number of different computational
 tasks.

Package: r-bioc-biocfilecache
Description-md5: 24dc822f109ca653b6c4780ac8cdf37d
Description-en: GNU R management of files across sessions
 This package creates a persistent on-disk cache of files
 that the user can add, update, and retrieve. It is useful for
 managing resources (such as custom Txdb objects) that are costly
 or difficult to create, web resources, and data files used across
 sessions.

Package: r-bioc-biocgenerics
Description-md5: 4c3057ae19db1c45edff0d9de34e25fd
Description-en: generic functions for Bioconductor
 S4 generic functions needed by many other Bioconductor packages.
 .
 Bioconductor provides tools for the analysis and comprehension of
 high-throughput genomic data. Bioconductor uses the R statistical
 programming language, and is open source and open development.

Package: r-bioc-biocparallel
Description-md5: 6f7c87a4be4987f6b79721109a2b633a
Description-en: BioConductor facilities for parallel evaluation
 This BioConductor package provides modified versions and novel
 implementation of functions for parallel evaluation, tailored to use
 with Bioconductor objects.

Package: r-bioc-biocversion
Description-md5: 44bc5d8483d0b09ebf516e497dceb7c6
Description-en: set the appropriate version of Bioconductor packages
 This package provides repository information for the appropriate
 version of Bioconductor.

Package: r-bioc-biomart
Description-md5: 698b6f28be0360c30996afd3f09cba9e
Description-en: GNU R Interface to BioMart databases (Ensembl, COSMIC, Wormbase and Gramene)
 In recent years a wealth of biological data has become available in
 public data repositories. Easy access to these valuable data resources
 and firm integration with data analysis is needed for comprehensive
 bioinformatics data analysis. biomaRt provides an interface to a growing
 collection of databases implementing the BioMart software suite
 (http://www.biomart.org). The package enables retrieval of large amounts
 of data in a uniform way without the need to know the underlying
 database schemas or write complex SQL queries. Examples of BioMart
 databases are Ensembl, COSMIC, Uniprot, HGNC, Gramene, Wormbase and
 dbSNP mapped to Ensembl. These major databases give biomaRt users direct
 access to a diverse set of data and enable a wide range of powerful
 online queries from gene annotation to database mining.

Package: r-bioc-biomformat
Description-md5: a170a6dba439df360d9b88863fe25f45
Description-en: GNU R interface package for the BIOM file format
 This is an R package for interfacing with the BIOM format. This package
 includes basic tools for reading biom-format files, accessing and
 subsetting data tables from a biom object (which is more complex than a
 single table), as well as limited support for writing a biom-object back
 to a biom-format file. The design of this API is intended to match the
 Python API and other tools included with the biom-format project, but
 with a decidedly "R flavor" that should be familiar to R users. This
 includes S4 classes and methods, as well as extensions of common core
 functions/methods.

Package: r-bioc-biostrings
Description-md5: 3c6c40b36594c9cf42424dea840eb312
Description-en: GNU R string objects representing biological sequences
 Memory efficient string containers, string matching algorithms, and other
 utilities, for fast manipulation of large biological sequences or set of
 sequences.

Package: r-bioc-biovizbase
Description-md5: d3e2d866fe264470a3ba8cdc54ca49f7
Description-en: GNU R basic graphic utilities for visualization of genomic data
 The biovizBase package is designed to provide a set of utilities, color
 schemes and conventions for genomic data. It serves as the base for
 various high-level packages for biological data visualization. This
 saves development effort and encourages consistency.

Package: r-bioc-bitseq
Description-md5: 22ff866a439a9bfddee6c325ea799b9b
Description-en: transcript expression inference and analysis for RNA-seq data
 The BitSeq package is targeted for transcript expression
 analysis and differential expression analysis of RNA-seq data
 in two stage process. In the first stage it uses Bayesian
 inference methodology to infer expression of individual
 transcripts from individual RNA-seq experiments. The second
 stage of BitSeq embraces the differential expression analysis
 of transcript expression. Providing expression estimates from
 replicates of multiple conditions, Log-Normal model of the
 estimates is used for inferring the condition mean transcript
 expression and ranking the transcripts based on the likelihood
 of differential expression.

Package: r-bioc-bsgenome
Description-md5: baaa2a828464463a7b294147f09c4799
Description-en: BioConductor infrastructure for Biostrings-based genome data packages
 This BioConductor module provides some basic infrastructure for
 Biostrings-based genome data packages.

Package: r-bioc-cner
Description-md5: 7eb745510870e4e5f5cabb41a8e73fdc
Description-en: CNE Detection and Visualization
 Large-scale identification and advanced visualization
 of sets of conserved noncoding elements.

Package: r-bioc-consensusclusterplus
Description-md5: 07396ed80b592793194f39766100b048
Description-en: GNU R determining cluster count and membership
 ConsensusClusterPlus is a BioCOnductor package providing an algorithm
 for determining cluster count and membership by stability evidence in
 unsupervised analysis.

Package: r-bioc-ctc
Description-md5: 479b314a7a4a247c5c3d3943a20d1e47
Description-en: Cluster and Tree Conversion
 Tools for export and import classification trees and clusters to other
 programs.

Package: r-bioc-cummerbund
Description-md5: b8e141232b6d17ca7806a2bfbcdae869
Description-en: tool for analysis of Cufflinks RNA-Seq output
 Allows for persistent storage, access, exploration, and manipulation of
 Cufflinks high-throughput sequencing data. In addition, provides
 numerous plotting functions for commonly used visualizations.

Package: r-bioc-dada2
Description-md5: 3fa0d6dceb2f30972ee5a7c11349bf26
Description-en: sample inference from amplicon sequencing data
 The dada2 package contributes to software workflows to interpret
 sequencing data from microbiota - the relative abundance of
 bacterial and/or yeast, typically measured in the gut.
 It infers exact amplicon sequence
 variants (ASVs) from high-throughput amplicon sequencing data,
 replacing the coarser and less accurate OTU clustering approach.
 The dada2 pipeline takes as input demultiplexed fastq files, and
 outputs the sequence variants and their sample-wise abundances
 after removing substitution and chimera errors. Taxonomic
 classification is available via a native implementation of the RDP
 naive Bayesian classifier, and species-level assignment to 16S
 rRNA gene fragments by exact matching.

Package: r-bioc-delayedarray
Description-md5: bb4c0f135492d46bc2ed3eaf460c750b
Description-en: BioConductor delayed operations on array-like objects
 Wrapping an array-like object (typically an on-disk object) in
 a DelayedArray object allows one to perform common array operations
 on it without loading the object in memory. In order to reduce memory
 usage and optimize performance, operations on the object are either
 delayed or executed using a block processing mechanism. Note that this
 also works on in-memory array-like objects like DataFrame objects
 (typically with Rle columns), Matrix objects, and ordinary arrays and
 data frames.

Package: r-bioc-deseq2
Description-md5: ae9e1d3c5793b8ca7b76c769e1059db8
Description-en: R package for RNA-Seq Differential Expression Analysis
 Differential gene expression analysis based on the negative binomial
 distribution. Estimate variance-mean dependence in count data from
 high-throughput sequencing assays and test for differential expression based
 on a model using the negative binomial distribution.

Package: r-bioc-dirichletmultinomial
Description-md5: 2bfdbf12336f3c4e83cdb75ffee4d571
Description-en: Dirichlet-Multinomial Mixture Model Machine Learning for Microbiome Data
 Dirichlet-multinomial mixture models can be used to describe variability
 in microbial metagenomic data. This package is an interface to code
 originally made available by Holmes, Harris, and Quince, 2012, PLoS ONE.

Package: r-bioc-dnacopy
Description-md5: 04b2d7285fe6dc95b253d19cdc336532
Description-en: R package: DNA copy number data analysis
 Implements the circular binary segmentation (CBS) algorithm to segment DNA
 copy number data and identify genomic regions with abnormal copy number.
 .
 This package is for analyzing array DNA copy number data, which is usually
 (but not always) called array Comparative Genomic Hybridization (array CGH)
 data It implements a methodology for finding change-points in these data which
 are points after which the (log) test over reference ratios have changed
 location. This model is that the change-points correspond to positions where
 the underlying DNA copy number has changed. Therefore, change-points can be
 used to identify regions of gained and lost copy number. Also provided is a
 function for making relevant plots of these data.

Package: r-bioc-ebseq
Description-md5: ff4e26790cf70dea336cfa68f87d280e
Description-en: R package for RNA-Seq Differential Expression Analysis
 r-bioc-ebseq is an R package for identifying genes and isoforms differentially
 expressed (DE) across two or more biological conditions in an RNA-seq
 experiment.

Package: r-bioc-ensembldb
Description-md5: 8193c0347bf158b238c1f1ab9ad7a963
Description-en: GNU R utilities to create and use an Ensembl based annotation database
 The package provides functions to create and use transcript centric
 annotation databases/packages. The annotation for the databases are
 directly fetched from Ensembl using their Perl API. The functionality
 and data is similar to that of the TxDb packages from the
 GenomicFeatures package, but, in addition to retrieve all
 gene/transcript models and annotations from the database, the ensembldb
 package provides also a filter framework allowing to retrieve
 annotations for specific entries like genes encoded on a chromosome
 region or transcript models of lincRNA genes.

Package: r-bioc-genefilter
Description-md5: 8fcbb6913e1350878fb76edac9142ff5
Description-en: methods for filtering genes from microarray experiments
 This BioConductor module provides methods for filtering genes from microarray
 experiments.  It contains some basic functions for filtering genes.

Package: r-bioc-geneplotter
Description-md5: 2c0add4008d983f078fba50ad32d266a
Description-en: R package of functions for plotting genomic data
 geneplotter contains plotting functions for microarrays

Package: r-bioc-genomeinfodb
Description-md5: 38cc55efa4e7c20812ce197b22bc76c1
Description-en: BioConductor utilities for manipulating chromosome identifiers
 This package contains BioConductor utilities for manipulating
 chromosome and other 'seqname' identifiers.
 .
 The Seqnames package contains data and functions that define and allow
 translation between different chromosome sequence naming conventions
 (e.g., "chr1" versus "1"), including a function that attempts to place
 sequence names in their natural, rather than lexicographic, order.

Package: r-bioc-genomeinfodbdata
Description-md5: 8a0907905d7a5465259a237cff225b43
Description-en: BioConductor species and taxonomy ID look up tables
 This package contains files for mapping between NCBI taxonomy ID and species.
 .
 It is used by functions in the r-bioc-genomeinfodb package.

Package: r-bioc-genomicalignments
Description-md5: d50adaf82639cc220ed4e6e1e258c6d5
Description-en: BioConductor representation and manipulation of short genomic alignments
 This BioConductor package provides efficient containers for storing and
 manipulating short genomic alignments (typically obtained by aligning
 short reads to a reference genome). This includes read counting,
 computing the coverage, junction detection, and working with the
 nucleotide content of the alignments.

Package: r-bioc-genomicfeatures
Description-md5: d534bd4faeaf5a9823825797ab564bb0
Description-en: GNU R tools for making and manipulating transcript centric annotations
 A set of tools and methods for making and manipulating transcript
 centric annotations. With these tools the user can easily download the
 genomic locations of the transcripts, exons and cds of a given organism,
 from either the UCSC Genome Browser or a BioMart database (more sources
 will be supported in the future). This information is then stored in a
 local database that keeps track of the relationship between transcripts,
 exons, cds and genes. Flexible methods are provided for extracting the
 desired features in a convenient format.

Package: r-bioc-genomicranges
Description-md5: 3f662bd13374fbb5b4494cefe3dcaf66
Description-en: BioConductor representation and manipulation of genomic intervals
 The ability to efficiently store genomic annotations and alignments is
 playing a central role when it comes to analyze high-throughput
 sequencing data (a.k.a. NGS data). The package defines general purpose
 containers for storing genomic intervals as well as more specialized
 containers for storing alignments against a reference genome.

Package: r-bioc-geoquery
Description-md5: 952355a18eb44e818e0bf24162ae78a1
Description-en: Get data from NCBI Gene Expression Omnibus (GEO)
 The NCBI Gene Expression Omnibus (GEO) is a public repository of
 microarray data. Given the rich and varied nature of this resource, it
 is only natural to want to apply BioConductor tools to these data.
 GEOquery is the bridge between GEO and BioConductor.

Package: r-bioc-go.db
Description-md5: b0588a282757c4b586177f69d3e8ef50
Description-en: annotation maps describing the entire Gene Ontology
 This package is part of BioConductor and provides a set of annotation
 maps describing the entire Gene Ontology assembled using data from GO.
 .
 The package helps running the test suites of some BioConductor packages.

Package: r-bioc-graph
Description-md5: 1671232f2d6fe8ad6805b6365405c4d2
Description-en: handle graph data structures for BioConductor
 This BioConductor module implements some simple graph handling
 capabilities.  These are for instance used in hypergraph module
 which in turn is used by several other BioConductor packages.

Package: r-bioc-gviz
Description-md5: a1192a0bcb8465ef96fce24dbb9e40c0
Description-en: Plotting data and annotation information along genomic coordinates
 Genomic data analyses requires integrated visualization of known
 genomic information and new experimental data. Gviz uses the biomaRt and
 the rtracklayer packages to perform live annotation queries to Ensembl
 and UCSC and translates this to e.g. gene/transcript structures in
 viewports of the grid graphics package. This results in genomic
 information plotted together with your data.

Package: r-bioc-hilbertvis
Description-md5: ef2bbbd3831fe633c838f37f00806810
Description-en: GNU R package to visualise long vector data
 This tool allows one to display very long data vectors in a space-efficient
 manner, by organising it along a 2D Hilbert curve. The user can then
 visually judge the large scale structure and distribution of features
 simultaenously with the rough shape and intensity of individual features.
 .
 In bioinformatics, a typical use case is ChIP-Chip and ChIP-Seq,
 or basically all the kinds of genomic data, that are conventionally
 displayed as quantitative track ("wiggle data") in genome browsers such
 as those provided by Ensembl or UCSC.

Package: r-bioc-hypergraph
Description-md5: c9869c741900ddb0d82d391efd5501c5
Description-en: BioConductor hypergraph data structures
 This package BioConductor implements some simple capabilities for
 representing and manipulating hypergraphs.

Package: r-bioc-ihw
Description-md5: e4005f10a90f6b670f0dd79018f4c723
Description-en: GNU R independent hypothesis weighting
 Independent hypothesis weighting (IHW) is a multiple testing procedure
 that increases power compared to the method of Benjamini and Hochberg by
 assigning data-driven weights to each hypothesis. The input to IHW is a
 two-column table of p-values and covariates. The covariate can be any
 continuous-valued or categorical variable that is thought to be
 informative on the statistical properties of each hypothesis test, while
 it is independent of the p-value under the null hypothesis.

Package: r-bioc-impute
Description-md5: 66b3e6b35a247ab9668a0eb5a82de2e8
Description-en: Imputation for microarray data
 R package which provide a function to perform imputation for
 microarray data (currently KNN only).

Package: r-bioc-interactivedisplaybase
Description-md5: 72252fefc8cf4985f6400de3a4797e15
Description-en: base package for enabling powerful shiny web displays of Bioconductor objects
 The interactiveDisplayBase package contains the basic methods
 needed to generate interactive Shiny based display methods for
 Bioconductor objects.

Package: r-bioc-iranges
Description-md5: 40e1f89c9bcba93a95bbe2a22558da25
Description-en: GNU R low-level containers for storing sets of integer ranges
 The IRanges class and its extensions are low-level containers for
 storing sets of integer ranges. A typical use of these containers in
 biology is for representing a set of chromosome regions. More specific
 extensions of the IRanges class will typically allow the storage of
 additional information attached to each chromosome region as well as a
 hierarchical relationship between these regions.

Package: r-bioc-keggrest
Description-md5: 51c5a47ee8d0da93529be3a980b92a92
Description-en: GNU R client-side REST access to KEGG
 A package that provides a client interface to the KEGG
 REST server. Based on KEGGSOAP by J. Zhang, R. Gentleman, and
 Marc Carlson, and KEGG (Python package) by Aurelien Mazurie.

Package: r-bioc-limma
Description-md5: 7d3dc8b6f314fb098c2f22280dac3f37
Description-en: linear models for microarray data
 Microarrays are microscopic plates with carefully arranged short DNA
 strands and/or chemically prepared surfaces to which other DNA
 preferably binds. The amount of DNA binding at different locations of
 these chips, typically determined by a fluorescent dye, is to be
 interpreted. The technology is typically used with DNA that is derived
 from RNA, i.e to determine the activity of a gene and/or its splice
 variants. But the technology is also used to determine sequence
 variations in genomic DNA.
 .
 This Bioconductor package supports the analysis of gene expression
 microarray data, especially the use of linear models for analysing
 designed experiments and the assessment of differential expression. The
 package includes pre-processing capabilities for two-colour spotted
 arrays. The differential expression methods apply to all array platforms
 and treat Affymetrix, single channel and two channel experiments in a
 unified way.

Package: r-bioc-lpsymphony
Description-md5: acac412996dcc4984f3fd0befa5dcf79
Description-en: Symphony integer linear programming solver in GNU R
 This package was derived from Rsymphony_0.1-17 from CRAN. These packages
 provide an R interface to SYMPHONY, an open-source linear programming
 solver written in C++. The main difference between this package and
 Rsymphony is that it includes the solver source code (SYMPHONY version
 5.6), while Rsymphony expects to find header and library files on the
 users' system. Thus the intention of lpsymphony is to provide an easy to
 install interface to SYMPHONY.

Package: r-bioc-makecdfenv
Description-md5: eeb855014bc893a366953e670722bea4
Description-en: BioConductor CDF Environment Maker
 This package has two functions. One reads a Affymetrix chip description
 file (CDF) and creates a hash table environment containing the
 location/probe set membership mapping. The other creates a package that
 automatically loads that environment.

Package: r-bioc-mergeomics
Description-md5: d744e3c22ea2ecce9f31fb5a290ad6a6
Description-en: Integrative network analysis of omics data
 The Mergeomics pipeline serves as a flexible framework for integrating
 multidimensional omics-disease associations, functional genomics,
 canonical pathways and gene-gene interaction networks to generate
 mechanistic hypotheses. It includes two main parts:
 1) Marker set enrichment analysis (MSEA);
 2) Weighted Key Driver Analysis (wKDA).

Package: r-bioc-multiassayexperiment
Description-md5: 28c3ac7f2a5a7a8cb156de9549e0b027
Description-en: Software for integrating multi-omics experiments in BioConductor
 MultiAssayExperiment harmonizes data management of
 multiple assays performed on an overlapping set of specimens. It provides a
 familiar Bioconductor user experience by extending concepts from
 SummarizedExperiment, supporting an open-ended mix of standard data classes
 for individual assays, and allowing subsetting by genomic ranges or rownames.

Package: r-bioc-multtest
Description-md5: c4112391aa6882e8925f94048452c84f
Description-en: Bioconductor resampling-based multiple hypothesis testing
 Non-parametric bootstrap and permutation resampling-based multiple
 testing procedures (including empirical Bayes methods) for controlling
 the family-wise error rate (FWER), generalized family-wise error rate
 (gFWER), tail probability of the proportion of false positives (TPPFP),
 and false discovery rate (FDR). Several choices of bootstrap-based null
 distribution are implemented (centered, centered and scaled,
 quantile-transformed). Single-step and step-wise methods are available.
 Tests based on a variety of t- and F-statistics (including t-statistics
 based on regression parameters from linear and survival models as well
 as those based on correlation parameters) are included. When probing
 hypotheses with t-statistics, users may also select a potentially faster
 null distribution which is multivariate normal with mean zero and
 variance covariance matrix derived from the vector influence function.
 Results are reported in terms of adjusted p-values, confidence regions
 and test statistic cutoffs. The procedures are directly applicable to
 identifying differentially expressed genes in DNA microarray
 experiments.

Package: r-bioc-org.hs.eg.db
Description-md5: 2460c5659e431087722f322aa85390f2
Description-en: genome-wide annotation for Human
 This package provides descriptions of parts of the human genome
 that have been identified to be coding for RNA, and likely also for
 proteins. It also offers links to entries of equivalent (orthologous)
 genes in other species.
 .
 This package is prepared from the BioConductor community and contributes
 to many workflows and routine analyses in computational biology.

Package: r-bioc-pcamethods
Description-md5: 5ba6a4a40fd9407d4e68460ad08540cc
Description-en: BioConductor collection of PCA methods
 Provides Bayesian PCA, Probabilistic PCA, Nipals PCA,
 Inverse Non-Linear PCA and the conventional SVD PCA. A cluster
 based method for missing value estimation is included for
 comparison. BPCA, PPCA and NipalsPCA may be used to perform PCA
 on incomplete data as well as for accurate missing value
 estimation. A set of methods for printing and plotting the
 results is also provided. All PCA methods make use of the same
 data structure (pcaRes) to provide a common interface to the
 PCA results. Initiated at the Max-Planck Institute for
 Molecular Plant Physiology, Golm, Germany.

Package: r-bioc-phyloseq
Description-md5: ce1efdc42d4cdfd64fc0152b75e33d3f
Description-en: GNU R handling and analysis of high-throughput microbiome census data
 The Bioconductor module phyloseq provides a set of classes and tools to
 facilitate the import, storage, analysis, and graphical display of
 microbiome census data.

Package: r-bioc-preprocesscore
Description-md5: e07331313fb0b11ce8d0d7cc3efa93df
Description-en: BioConductor collection of pre-processing functions
 This BioConductor module contains a library of pre-processing
 functions.  It is imported by several other BioConductor modules.

Package: r-bioc-protgenerics
Description-md5: 555c85ee84e4592da687a5b5f1f0e549
Description-en: S4 generic functions for Bioconductor proteomics infrastructure
 This Bioconductor package provides S4 generic functions needed by
 Bioconductor proteomics packages.

Package: r-bioc-qusage
Description-md5: a627382dbaa3db9171d4e40dcffc4197
Description-en: qusage: Quantitative Set Analysis for Gene Expression
 This package is an implementation the Quantitative Set
 Analysis for Gene Expression (QuSAGE) method described in
 (Yaari G. et al, Nucl Acids Res, 2013). This is a novel Gene
 Set Enrichment-type test, which is designed to provide a
 faster, more accurate, and easier to understand test for gene
 expression studies. qusage accounts for inter-gene correlations
 using the Variance Inflation Factor technique proposed by Wu et
 al. (Nucleic Acids Res, 2012). In addition, rather than simply
 evaluating the deviation from a null hypothesis with a single
 number (a P value), qusage quantifies gene set activity with a
 complete probability density function (PDF). From this PDF, P
 values and confidence intervals can be easily extracted.
 Preserving the PDF also allows for post-hoc analysis (e.g.,
 pair-wise comparisons of gene set activity) while maintaining
 statistical traceability. Finally, while qusage is compatible
 with individual gene statistics from existing methods (e.g.,
 LIMMA), a Welch-based method is implemented that is shown to
 improve specificity. For questions, contact Chris Bolen
 (cbolen1@gmail.com) or Steven Kleinstein
 (steven.kleinstein@yale.edu)

Package: r-bioc-qvalue
Description-md5: bc3b06a4f2864fc1218bf49565fc0ede
Description-en: GNU R package for Q-value estimation for FDR control
 This package takes a list of p-values resulting from the simultaneous
 testing of many hypotheses and estimates their q-values. The
 q-value of a test measures the proportion of false positives incurred
 (called the false discovery rate) when that particular test is called
 significant. Various plots are automatically generated, allowing one
 to make sensible significance cut-offs. Several mathematical results
 have recently been shown on the conservative accuracy of the estimated
 q-values from this software. The software can be applied to problems
 in genomics, brain imaging, astrophysics, and data mining.

Package: r-bioc-rbgl
Description-md5: aa2a82abc7c5c99cc75f263bed6d6981
Description-en: R interface to the graph algorithms contained in the BOOST library
 RBGL is part of the BioConductor GNU R suite. It is a fairly extensive and
 comprehensive interface to the graph algorithms contained in the BOOST C++
 libraries.

Package: r-bioc-rhdf5
Description-md5: 3d84c026f20b9ec33da63e95e4d564b6
Description-en: BioConductor HDF5 interface to R
 This package provides an interface between HDF5 and R.
 HDF5's main features are the ability to store and access very large and/or
 complex datasets and a wide variety of metadata on mass storage (disk)
 through a completely portable file format. The rhdf5 package is thus suited
 for the exchange of large and/or complex datasets between R and other
 software package, and for letting R applications work on datasets that are
 larger than the available RAM.

Package: r-bioc-rhdf5lib
Description-md5: de10a8bede55676b3a6380878cedf2d4
Description-en: GNU R hdf5 library
 This GNU R package provides an interface to the
 C and C++ hdf5 libraries.
 .
 HDF5 is a file format and library for storing scientific data.

Package: r-bioc-rhtslib
Description-md5: e537fb8fc3c4c7a1e6f53e4e1d521829
Description-en: HTSlib high-throughput sequencing library as GNU R package
 This package provides version 1.7 of the 'HTSlib' C
 library for high-throughput sequence analysis. The package is
 primarily useful to developers of other R packages who wish to
 make use of HTSlib. Motivation and instructions for use of this
 package are in the vignette, vignette(package="Rhtslib", "Rhtslib").

Package: r-bioc-rsamtools
Description-md5: 16bc50d4ff2150209ee7b17da529b215
Description-en: GNU R binary alignment (BAM), variant call (BCF), or tabix file import
 This package provides an interface to the 'samtools', 'bcftools', and
 'tabix' utilities for manipulating SAM (Sequence Alignment / Map),
 binary variant call (BCF) and compressed indexed tab-delimited (tabix)
 files.

Package: r-bioc-rtracklayer
Description-md5: 584b389dc8361ea2de736a9b4701b612
Description-en: GNU R interface to genome browsers and their annotation tracks
 Extensible framework for interacting with multiple genome browsers
 (currently UCSC built-in) and manipulating annotation tracks in various
 formats (currently GFF, BED, bedGraph, BED15, WIG, BigWig and 2bit
 built-in). The user may export/import tracks to/from the supported
 browsers, as well as query and modify the browser state, such as the
 current viewport.

Package: r-bioc-s4vectors
Description-md5: 321f6c1299f07459519b92d32cad81e2
Description-en: BioConductor S4 implementation of vectors and lists
 The S4Vectors package defines the Vector and List virtual classes and a
 set of generic functions that extend the semantic of ordinary vectors
 and lists in R. Package developers can easily implement vector-like or
 list-like objects as concrete subclasses of Vector or List. In addition,
 a few low-level concrete subclasses of general interest (e.g. DataFrame,
 Rle, and Hits) are implemented in the S4Vectors package itself (many
 more are implemented in the IRanges package and in other Bioconductor
 infrastructure packages).

Package: r-bioc-savr
Description-md5: 41647e83e261e34e8a6692653c3e35be
Description-en: GNU R parse and analyze Illumina SAV files
 This BioConductor module enables to parse Illumina Sequence Analysis
 Viewer (SAV) files, access data, and generate QC plots.

Package: r-bioc-seqlogo
Description-md5: 7b8f27054777390aa89f8849f7c59cf0
Description-en: GNU R sequence logos for DNA sequence alignments
 seqLogo takes the position weight matrix of a DNA
 sequence motif and plots the corresponding sequence logo as
 introduced by Schneider and Stephens (1990).

Package: r-bioc-shortread
Description-md5: 1c554de1b3611a116df744777d39ea79
Description-en: GNU R classes and methods for high-throughput short-read sequencing data
 This BioConductor module is a package for input, quality assessment,
 manipulation and output of high-throughput sequencing data. ShortRead is
 provided in the R and Bioconductor environments, allowing ready access
 to additional facilities for advanced statistical analysis, data
 transformation, visualization and integration with diverse genomic
 resources.

Package: r-bioc-snpstats
Description-md5: 140d90cded5ed400be7771718b571b1c
Description-en: BioConductor SnpMatrix and XSnpMatrix classes and methods
 This BioConductor package provides R functions to work with SnpMatrix
 and XSnpMatrix classes and methods.
 .
 SnpStats arose out of the need to store, and analyse, SNP genotype data
 in which subjects cannot be assigned to the three possible genotypes
 with certainty. This necessitated a change in the way in which data are
 stored internally, although snpStats can still handle conventionally
 called genotype data stored in the original snpMatrix storage mode.
 snpStats currently lacks some facilities which were present in snpMatrix
 (although, hopefully, the important gaps will soon be filled) but it
 also includes several important new facilities.

Package: r-bioc-summarizedexperiment
Description-md5: 5c2a3a19acbf2acab35e79bd1c3147fd
Description-en: BioConductor assay container
 The SummarizedExperiment container contains one or more assays, each
 represented by a matrix-like object of numeric or other mode. The rows
 typically represent genomic ranges of interest and the columns
 represent samples.

Package: r-bioc-tfbstools
Description-md5: 50e2d45d8eaf57895dd9d09ce4967d52
Description-en: GNU R Transcription Factor Binding Site (TFBS) Analysis
 TFBSTools is a package for the analysis and manipulation of
 transcription factor binding sites. It includes matrices conversion
 between Position Frequency Matirx (PFM), Position Weight Matirx (PWM)
 and Information Content Matrix (ICM). It can also scan putative TFBS
 from sequence/alignment, query JASPAR database and provides a wrapper of
 de novo motif discovery software.

Package: r-bioc-tximport
Description-md5: 67ddfa5cda9faa1c977a2033e259c7d1
Description-en: transcript-level estimates for biological sequencing
 Imports transcript-level abundance, estimated counts and
 transcript lengths, and summarizes into matrices for use with
 downstream gene-level analysis packages. Average transcript
 length, weighted by sample-specific transcript abundance
 estimates, is provided as a matrix which can be used as an
 offset for different expression of gene-level counts.

Package: r-bioc-variantannotation
Description-md5: 17670e83de551a30abddcb85706e9bf5
Description-en: BioConductor annotation of genetic variants
 This BioConductor package provides R functions to annotate variants,
 compute amino acid coding changess and to predict coding outcomes.

Package: r-bioc-xvector
Description-md5: effda370cf50cbc8d63b514d36951ef9
Description-en: BioConductor representation and manpulation of external sequences
 This BioConductor package provides memory efficient S4 classes for storing
 sequences "externally" (behind an R external pointer, or on disk).

Package: r-bioc-zlibbioc
Description-md5: 40731ff64eaeb9f05e68e943e54d8d4f
Description-en: (Virtual) zlibbioc Bioconductor package
 zlibbioc provides the zlib library to the Bioconductor environment.
 This is useless on Debian because zlib is packaged. So, to avoid to patch all
 Bioconductor packages which required zlibbioc, zlibbioc is packaged as an
 empty shell depending on zlib1g-dev.

Package: r-cran-abind
Description-md5: 37042a2fbff5fc5799359be10b269e00
Description-en: GNU R abind multi-dimensional array combination function
 This package provides the abind function, a generalization of the cbind
 and rbind function in the R base package. The abind function takes a sequence
 of vectors, matrices, or arrays and produces a single array of the same or
 higher dimension.

Package: r-cran-acepack
Description-md5: 42a6937a3119dab9053a51cd61d34916
Description-en: GNU R package for regression transformations
 This package provides the functions ace() and avas() for GNU R which can
 be used to select regression transformations.

Package: r-cran-actuar
Description-md5: 1269abecbc5217e3d1112b1f81d0c154
Description-en: GNU R actuarial functions and heavy tailed distributions
 Functions and data sets for actuarial science:
 modeling of loss distributions; risk theory and ruin theory;
 simulation of compound models, discrete mixtures and compound
 hierarchical models; credibility theory. Support for many additional
 probability distributions to model insurance loss amounts and loss
 frequency: 19 continuous heavy tailed distributions; the
 Poisson-inverse Gaussian discrete distribution; zero-truncated and
 zero-modified extensions of the standard discrete distributions.
 Support for phase-type distributions commonly used to compute ruin
 probabilities.

Package: r-cran-ade4
Description-md5: bb6c17aa8dd9d04d751d65dcd0dc0c03
Description-en: GNU R analysis of ecological data
 This GNU R package allows analysis of ecological data and contains
 exploratory and euclidean methods in environmental sciences.
 .
 It supports multivariate data analysis and graphical display.

Package: r-cran-adegenet
Description-md5: f76c4088266baabe642137115573a922
Description-en: GNU R exploratory analysis of genetic and genomic data
 Toolset for the exploration of genetic and genomic data. Adegenet
 provides formal (S4) classes for storing and handling various genetic
 data, including genetic markers with varying ploidy and hierarchical
 population structure ('genind' class), alleles counts by populations
 ('genpop'), and genome-wide SNP data ('genlight'). It also implements
 original multivariate methods (DAPC, sPCA), graphics, statistical tests,
 simulation tools, distance and similarity measures, and several spatial
 methods. A range of both empirical and simulated datasets is also
 provided to illustrate various methods.

Package: r-cran-adegraphics
Description-md5: 244d9f3fe549bfca4b1657c567621c95
Description-en: GNU R lattice-based package for the representation of multivariate data
 This GNU R package provides graphical functionalities for the
 representation of multivariate data. It is a complete re-implementation
 of the functions available in the 'ade4' package.

Package: r-cran-adephylo
Description-md5: 00de5575b113d33c73b36ad28974eb95
Description-en: GNU R exploratory analyses for the phylogenetic comparative method
 This GNU R package provides multivariate tools to analyze comparative
 data, i.e. a phylogeny and some traits measured for each taxa.

Package: r-cran-aer
Description-md5: 4d89867de9e44f30f08c9a936e4cb703
Description-en: Applied Econometrics with R
 This GNU R package provides functions, data sets, examples, demos, and
 vignettes for the book Christian Kleiber and Achim Zeileis (2008),
 Applied Econometrics with R, Springer-Verlag, New York.
 ISBN 978-0-387-77316-2. (See the vignette "AER" for a package overview.)

Package: r-cran-afex
Description-md5: b3d7e3b67c0c13c3aeb5152953b803e5
Description-en: GNU R package for analyzing factorial experiments using ANOVA or mixed models
 The r-cran-afex package is a GNU R package providing convenience
 functions for analyzing factorial experiments using ANOVA or mixed
 models. aov_ez(), aov_car(), and aov_4() allow specification of between,
 within (i.e., repeated- measures), or mixed between-within (i.e., split-
 plot) ANOVAs for data in long format (i.e., one observation per row),
 potentially aggregating multiple observations per individual and cell of
 the design. mixed() fits mixed models using lme4::lmer() and computes
 p-values for all fixed effects using either Kenward-Roger approximation
 for degrees of freedom (LMM only), parametric bootstrap (LMMs and
 GLMMs), or likelihood ratio tests (LMMs and GLMMs). afex uses type 3
 sums of squares as default (imitating commercial statistical software).

Package: r-cran-alakazam
Description-md5: c45777de915a621510a4858171115aa0
Description-en: Immunoglobulin Clonal Lineage and Diversity Analysis
 Alakazam is part of the Immcantation analysis framework for Adaptive
 Immune Receptor Repertoire sequencing (AIRR-seq) and provides a set of
 tools to investigate lymphocyte receptor clonal lineages, diversity,
 gene usage, and other repertoire level properties, with a focus on
 high-throughput immunoglobulin (Ig) sequencing.
 .
 Alakazam serves five main purposes:
  * Providing core functionality for other R packages in the Immcantation
    framework. This includes common tasks such as file I/O, basic DNA
    sequence manipulation, and interacting with V(D)J segment and gene
    annotations.
  * Providing an R interface for interacting with the output of the
    pRESTO and Change-O tool suites.
  * Performing lineage reconstruction on clonal populations of Ig
    sequences and analyzing the topology of the resultant lineage trees.
  * Performing clonal abundance and diversity analysis on lymphocyte
    repertoires.
  * Performing physicochemical property analyses of lymphocyte receptor
    sequences.

Package: r-cran-amap
Description-md5: 515071e3a6da099067277364b17e09e9
Description-en: Another Multidimensional Analysis Package
 Tools for Clustering and Principal Component Analysis
 (With robust methods, and parallelized functions).

Package: r-cran-amelia
Description-md5: 6b1eae2e656799f260493f9249e1f3d9
Description-en: GNU R package supporting multiple imputation of missing data
 Amelia II "multiply imputes" missing data in a single cross-section
 (such as a survey), from a time series (like variables collected for
 each year in a country), or from a time-series-cross-sectional data
 set (such as collected by years for each of several
 countries). Amelia II implements a bootstrapping-based algorithm
 that gives essentially the same answers as the standard IP or EMis
 approaches, is usually considerably faster than existing approaches
 and can handle many more variables.
 .
 The program also generalizes existing approaches by allowing for
 trends in time series across observations within a cross-sectional
 unit, as well as priors that allow experts to incorporate beliefs
 they have about the values of missing cells in their data. Amelia II
 also includes useful diagnostics of the fit of multiple imputation
 models. The program works from the R command line or via a graphical
 user interface that does not require users to know R.

Package: r-cran-amore
Description-md5: 0ed3bbd9272a199786d214c19622d0e1
Description-en: GNU R: A MORE flexible neural network package
 This package was born to release the TAO robust neural network
 algorithm to the R users. It has grown and can be of interest for
 the users wanting to implement their own training algorithms as well
 as for those others whose needs lye only in the "user space".

Package: r-cran-animation
Description-md5: 69176f3767cb1857b3ac3f33f1674866
Description-en: GNU R gallery of animations and utilities to create animations
 Provides functions for animations in statistics, covering topics
 in probability theory, mathematical statistics, multivariate statistics,
 non-parametric statistics, sampling survey, linear models, time series,
 computational statistics, data mining and machine learning. These functions
 may be helpful in teaching statistics and data analysis. Also provided in
 this package are a series of functions to save animations to various formats,
 e.g. Flash, 'GIF', HTML pages, 'PDF' and videos. 'PDF' animations can be
 inserted into 'Sweave' / 'knitr' easily.

Package: r-cran-apcluster
Description-md5: 840bdbe0cbb3f8c965e0ecc852eac124
Description-en: Affinity Propagation Clustering
 Implements Affinity Propagation clustering introduced by Frey and
 Dueck (2007) <DOI:10.1126/science.1136800>. The algorithms are largely
 analogous to the 'Matlab' code published by Frey and Dueck.
 The package further provides leveraged affinity propagation and an
 algorithm for exemplar-based agglomerative clustering that can also be
 used to join clusters obtained from affinity propagation. Various
 plotting functions are available for analyzing clustering results.

Package: r-cran-ape
Description-md5: 166447854d6bd83748ad21a28b263aaa
Description-en: GNU R package for Analyses of Phylogenetics and Evolution
 This package provides functions for reading, writing, plotting, and
 manipulating phylogenetic trees, analyses of comparative data in a
 phylogenetic framework, ancestral character analyses, analyses of
 diversification and macroevolution, computing distances from DNA
 sequences, reading and writing nucleotide sequences as well as
 importing from BioConductor, and several tools such as Mantel's test,
 generalized skyline plots, graphical exploration of phylogenetic data
 (alex, trex, kronoviz), estimation of absolute evolutionary rates and
 clock-like trees using mean path lengths and penalized likelihood,
 dating trees with non-contemporaneous sequences, translating DNA into
 AA sequences, and assessing sequence alignments. Phylogeny estimation
 can be done with the NJ, BIONJ, ME, MVR, SDM, and triangle methods,
 and several methods handling incomplete distance matrices (NJ*,
 BIONJ*, MVR*, and the corresponding triangle method). Some functions
 call external applications (PhyML, Clustal, T-Coffee, Muscle) whose
 results are returned into R.

Package: r-cran-aplpack
Description-md5: fbda05bd5ca42ebd974cc432c8c9927d
Description-en: Another Plot PACKage: stem.leaf, bagplot, faces, spin3R and others
 This GNU R package provided a set of functions for drawing some special plots:
  * stem.leaf plots a stem and leaf plot,
  * stem.leaf.backback plots back-to-back versions of stem and leafs,
  * bagplot plots a bagplot,
  * skyline.hist plots several histgramm in one plot of a one dimensional data
    set,
  * plotsummary plots a graphical summary of a data set with one or more
    variables,
  * plothulls plots sequentially hulls of a bivariate data set,
  * faces plots chernoff faces,
  * spin3R for an inspection of a 3-dim point cloud,
  * slider functions for interactive graphics.

Package: r-cran-arm
Description-md5: 61b8d1c4d79de37662844c2d6dd6263b
Description-en: Data Analysis Using Regression and Multilevel/Hierarchical Models
 R functions for processing 'lm', 'glm', 'svy.glm', 'merMod' and 'polr'
 outputs.

Package: r-cran-askpass
Description-md5: c9e8bbb63c6ebce7143cf9dd2403e753
Description-en: safe password entry for GNU R, Git, and SSH
 Cross-platform utilities for prompting the user for credentials or a
 passphrase, for example to authenticate with a server or read a protected key.
 Includes native programs for MacOS and Windows, hence no 'tcltk' is required.
 Password entry can be invoked in two different ways: directly from R via the
 askpass() function, or indirectly as password-entry back-end for 'ssh-agent'
 or 'git-credential' via the SSH_ASKPASS and GIT_ASKPASS environment variables.
 Thereby the user can be prompted for credentials or a passphrase if needed
 when R calls out to git or ssh.

Package: r-cran-assertive.base
Description-md5: be29ae2e4d05df8f41f683d8705ea2d9
Description-en: GNU R lightweight core of the 'assertive' package
 A minimal set of predicates and assertions used by the assertive
 package.  This is mainly for use by other package developers who want to
 include run-time testing features in their own packages.  End-users will
 usually want to use assertive directly.

Package: r-cran-assertive.sets
Description-md5: 7397c3bc7d10ae5205526e1bb8ed58ea
Description-en: GNU R assertions to check properties of sets
 A set of predicates and assertions for checking the properties of
 sets.  This is mainly for use by other package developers who want to
 include run-time testing features in their own packages.  End-users will
 usually want to use assertive directly.

Package: r-cran-assertthat
Description-md5: db29ec831be4cd0c845c8e259820fc2e
Description-en: GNU R easy pre and post assertions
 Assertthat is an extension to stopifnot() that makes it easy to declare
 the pre and post conditions that you code should satisfy, while also
 producing friendly error messages so that your users know what they've
 done wrong.

Package: r-cran-backports
Description-md5: 25a8b4303ae91bbd5a2d45b91ec3b6ff
Description-en: reimplementation of functions introduced since R-3.0.0
 Implementations of functions which have been introduced in
 R since version 3.0.0. The backports are conditionally exported which
 results in R resolving the function names to the version shipped with R (if
 available) and uses the implemented backports as fallback. This way package
 developers can make use of the new functions without worrying about the
 minimum required R version.

Package: r-cran-base64enc
Description-md5: 41baf4b9bd2f4eb2c33b167985770577
Description-en: GNU R package that provides tools for base64 encoding
 This package provides tools for handling base64 encoding. It is more flexible
 than the orphaned base64 package.

Package: r-cran-base64url
Description-md5: a345e9c3dce30563b0582b263f9e54b5
Description-en: GNU R fast and URL-safe Base64 encoder and decoder
 In contrast to RFC3548, the 62nd character ("+") is replaced with
 "-", the 63rd character ("/") is replaced with "_". Furthermore, the encoder
 does not fill the string with trailing "=". The resulting encoded strings
 comply to the regular expression pattern "[A-Za-z0-9_-]" and thus are
 safe to use in URLs or for file names.
 The package also comes with a simple base32 encoder/decoder suited for
 case insensitive file systems.

Package: r-cran-batchjobs
Description-md5: 7a30860771eed972664b07421befc54a
Description-en: GNU R batch computing
 This GNU R package Provides Map, Reduce and Filter variants to generate
 jobs on batch computing systems like PBS/Torque, LSF, SLURM and Sun Grid
 Engine.  Multicore and SSH systems are also supported. For further
 details see the project web page.

Package: r-cran-batchtools
Description-md5: a0a84b8c16da04b5ae28bc46eef73549
Description-en: GNU R tools for computation on batch systems
 As a successor of the packages 'BatchJobs' and 'BatchExperiments',
 this package provides a parallel implementation of the Map function for
 high performance computing systems managed by schedulers IBM Spectrum LSF
 OpenLava, Univa Grid Engine/Oracle Grid Engine, Slurm, TORQUE/PBS, or
 Docker Swarm.
 .
 A multicore and socket mode allow the parallelization on a local machines,
 and multiple machines can be hooked up via SSH to create a makeshift
 cluster. Moreover, the package provides an abstraction mechanism to define
 large-scale computer experiments in a well-organized and reproducible way.

Package: r-cran-bayesfactor
Description-md5: 06d60c3d3dde73181a4abfe2f8692d24
Description-en: GNU R Bayes factors for t-tests, ANOVAs and contingency tables
 r-cran-bayesfactor is a GNU R package providing a suite of functions for
 computing various Bayes factors for simple designs, including
 contingency tables, one- and two-sample designs, one- way designs,
 general ANOVA designs, and linear regression.

Package: r-cran-bayesfm
Description-md5: 46d1c4a09a99a7f20a1099229096521d
Description-en: GNU R Bayesian inference for factor modeling
 Collection of procedures to perform Bayesian analysis on a variety
 of factor models. Currently, it includes: Bayesian Exploratory Factor
 Analysis (befa), an approach to dedicated factor analysis with stochastic
 search on the structure of the factor loading matrix. The number of latent
 factors, as well as the allocation of the manifest variables to the factors,
 are not fixed a priori but determined during MCMC sampling.
 More approaches will be included in future releases of this package.

Package: r-cran-bayesm
Description-md5: 6f649751db2fffd16683aa065ef0eeca
Description-en: GNU R package for Bayesian inference
 The bayesm package covers many important models used in marketing and
 micro-econometrics applications. The package includes:
 .
  * Bayes Regression (univariate or multivariate dep var)
  * Multinomial Logit (MNL) and Multinomial Probit (MNP)
  * Multivariate Probit,
  * Multivariate Mixtures of Normals
  * Hierarchical Linear Models with normal prior and covariates
  * Hierarchical Multinomial Logits with mixture of normals prior and
    covariates
  * Bayesian analysis of choice-based conjoint data
  * Bayesian treatment of linear instrumental variables models
  * Analyis of Multivariate Ordinal survey data with scale usage heterogeneity
    (as in Rossi et al, JASA (01)).
 .
 For further reference, consult the authors' book, _Bayesian Statistics and
 Marketing_ by Allenby, McCulloch and Rossi.

Package: r-cran-bayesplot
Description-md5: 8f1b45634a44d7dc1b47cb015f9ee39b
Description-en: GNU R plotting for bayesian models
 Plotting functions for posterior analysis, model checking,
 and MCMC diagnostics. The package is designed not only to provide convenient
 functionality for users, but also a common set of functions that can be
 easily used by developers working on a variety of R packages for Bayesian
 modeling, particularly (but not exclusively) packages interfacing with 'Stan'.

Package: r-cran-bayestestr
Description-md5: 08092bdfd66305e50fe4ec096337f9a4
Description-en: GNU R understand and describe Bayesian models and posterior distributions
 Provides utilities to describe posterior distributions and Bayesian
 models. It includes point-estimates such as Maximum A Posteriori (MAP),
 measures of dispersion (Highest Density Interval - HDI; Kruschke, 2015
 <doi:10.1016/C2012-0-00477-2>) and indices used for null-hypothesis
 testing (such as ROPE percentage, pd and Bayes factors).

Package: r-cran-bbmisc
Description-md5: ecd252e856a44a89d5a4a5c2151fc35a
Description-en: GNU R Miscellaneous helper functions for B. Bischl
 Miscellaneous helper functions for and from B. Bischl and some other
 guys at TU Dortmund, mainly for package development.

Package: r-cran-bbmle
Description-md5: b12f299900157556c0634f7ebb8590da
Description-en: GNU R tools for general maximum likelihood estimation
 This GNU R package provides methods and functions for fitting maximum
 likelihood models in R. This package modifies and extends the 'mle'
 classes in the 'stats4' package.

Package: r-cran-bdgraph
Description-md5: e1b471b28a428f7222b8d3a86d9ed7c7
Description-en: GNU R bayesian structure learning in graphical models
 Statistical tools for Bayesian structure learning in undirected
 graphical models for continuous, discrete, and mixed data. The package
 is implemented the recent improvements in the Bayesian graphical models
 literature, including Mohammadi and Wit (2015) <doi:10.1214/14-BA889>,
 Mohammadi and Wit (2019) <doi:10.18637/jss.v089.i03>.

Package: r-cran-bdsmatrix
Description-md5: a23ed1ba520e9eaf29aa3d5f5196cb2a
Description-en: GNU R routines for block diagonal symmetric matrices
 Provides an R class for block diagonal symmetric matrices, allowing them to be
 manipulated much like an ordinary matrix, but the total memory use can be much
 smaller.
 .
 Also provides a solver for such matrices, and generalized Cholesky
 decomposition routines for both symmetric and block diagonal symmetric
 matrices.

Package: r-cran-beeswarm
Description-md5: e801bfc85e06afe6bb0ef703fe4ecca1
Description-en: bee swarm plot, an alternative to stripchart
 Beeswarm is an add-on package for the R statistical environment. The bee swarm
 plot is a one-dimensional scatter plot like "stripchart", but with
 closely-packed, non-overlapping points.

Package: r-cran-bh
Description-md5: fbd965eb01accf9ceff26f0a202d43cd
Description-en: (Virtual) GNU R package to provide BH
 The CRAN package BH provides a (large) subset of Boost.  This package tricks
 R into believing BH is installed when we just depend on the distribution's
 Boost packages.  The actual set of Boost libraries could get fine-tuned. In
 short, we avoid doubling up the 140+ mb of the 'BH' package which are alredy
 in libboost-dev.

Package: r-cran-biasedurn
Description-md5: a00c9a9f90451ef8f85040dea0193ae0
Description-en: GNU R Biased Urn model distributions
 Statistical models of biased sampling in the form of univariate and
 multivariate noncentral hypergeometric distributions, including
 Wallenius' noncentral hypergeometric distribution and Fisher's
 noncentral hypergeometric distribution (also called extended
 hypergeometric distribution). See vignette("UrnTheory") for explanation
 of these distributions.

Package: r-cran-bibtex
Description-md5: e137734efb895dee498c272bbaf65cec
Description-en: GNU R Bibtex Parser
 This package provides a utility to parse a bibtex file with GNU R.

Package: r-cran-bigmemory
Description-md5: 69712ba529cb54600ff9cd43a747ecfa
Description-en: Manage Massive Matrices with Shared Memory and Memory-Mapped Files
 Create, store, access, and manipulate massive matrices.
 Matrices are allocated to shared memory and may use memory-mapped
 files.  Packages 'biganalytics', 'bigtabulate', 'synchronicity', and
 'bigalgebra' provide advanced functionality.

Package: r-cran-bigmemory.sri
Description-md5: 1f3da378e1cf83cea5e90170ba92961d
Description-en: Shared resource interface for Bigmemory Project packages
 This package provides a shared resource interface for the bigmemory and
 synchronicity packages.

Package: r-cran-bindr
Description-md5: 8b349a497e9f99a8e54d57412c2fb7d5
Description-en: Parametrized Active Bindings for GNU R
 This package provides a simple interface for creating active bindings where
 the bound function accepts additional arguments for GNU R.

Package: r-cran-bindrcpp
Description-md5: 82b6bcadbd8650b03436ba2ab34a5b67
Description-en: GNU R 'Rcpp' Interface to Active Bindings
 This GNU R package provides an easy way to fill an environment with active
 bindings that call a C++ function.

Package: r-cran-bio3d
Description-md5: 5150a63a38e956e286904b0c1ebe5442
Description-en: GNU R package for biological structure analysis
 The bio3d package contains utilities to process, organize and explore
 protein structure, sequence and dynamics data.  Features include the
 ability to read and write structure, sequence and dynamic trajectory
 data, perform atom summaries, atom selection, re-orientation,
 superposition, rigid core identification, clustering, torsion analysis,
 distance matrix analysis, structure and sequence conservation analysis,
 and principal component analysis (PCA).  In addition, various utility
 functions are provided to enable the statistical and graphical power of
 the R environment to work with biological sequence and structural data.

Package: r-cran-biocmanager
Description-md5: f2ab25348a00aaf0bfab5c064f7bb11c
Description-en: access the Bioconductor project package repository
 A convenient tool to install and update Bioconductor packages.

Package: r-cran-bit
Description-md5: 1f7319050aa1386ab244caabc922c084
Description-en: GNU R class for vectors of 1-bit booleans
 This GNU R package provides bitmapped vectors of booleans (no NAs),
 coercion from and to logicals, integers and integer subscripts; fast
 boolean operators and fast summary statistics. With 'bit' vectors you
 can store true binary booleans {FALSE,TRUE} at the expense of 1 bit
 only, on a 32 bit architecture this means factor 32 less RAM and ~
 factor 32 more speed on boolean operations. Due to overhead of R calls,
 actual speed gain depends on the size of the vector: expect gains for
 vectors of size > 10000 elements. Even for one-time boolean operations
 it can pay-off to convert to bit, the pay-off is obvious, when such
 components are used more than once.
 .
 Reading from and writing to bit is approximately as fast as accessing
 standard logicals - mostly due to R's time for memory allocation. The
 package allows one to work with pre-allocated memory for return values
 by calling .Call() directly: when evaluating the speed of C-access with
 pre-allocated vector memory, coping from bit to logical requires only
 70% of the time for copying from logical to logical; and copying from
 logical to bit comes at a performance penalty of 150%. the package now
 contains further classes for representing logical selections: 'bitwhich'
 for very skewed selections and 'ri' for selecting ranges of values for
 chunked processing. All three index classes can be used for subsetting
 'ff' objects (ff-2.1-0 and higher).

Package: r-cran-bit64
Description-md5: e8cd547c29ff22fa3b9d0de8292fa529
Description-en: GNU R S3 Class for Vectors of 64bit Integers
 Package 'bit64' provides serializable S3 atomic 64bit (signed) integers.
 These are useful for handling database keys and exact counting in +-2^63.
 WARNING: do not use them as replacement for 32bit integers, integer64 are not
 supported for subscripting by R-core and they have different semantics when
 combined with double, e.g. integer64 + double => integer64.
 Class integer64 can be used in vectors, matrices, arrays and data.frames.
 Methods are available for coercion from and to logicals, integers, doubles,
 characters and factors as well as many elementwise and summary functions.
 Many fast algorithmic operations such as 'match' and 'order' support
 interactive data exploration and manipulation and optionally leverage caching.

Package: r-cran-bitops
Description-md5: c14b326da205c671a6adfbed4dc0b2f8
Description-en: GNU R package implementing bitwise operations
 Functions for bitwise operations on integer vectors.
 .
 This package of R programming tools for plotting data was written by
 Steve Dutky <sdutky@terpalum.umd.edu> with parts by Martin Maechler.

Package: r-cran-biwt
Description-md5: 7166ca98831a16fda25f95e4848aa278
Description-en: biweight mean vector and covariance and correlation
 Compute multivariate location, scale, and correlation
 estimates based on Tukey's biweight M-estimator.

Package: r-cran-blme
Description-md5: ad836b21981636d4dd7c1a11916c8b2b
Description-en: GNU R Bayesian linear mixed-effects models
 Maximum a posteriori estimation for linear and generalized linear
 mixed-effects models in a Bayesian setting. Extends 'lme4' by Douglas
 Bates, Martin Maechler, Ben Bolker, and Steve Walker.

Package: r-cran-blob
Description-md5: 42363e4b82529fca32c7a9e6eebc2017
Description-en: GNU R S3 Class for Representing Vectors of Binary Data ('BLOBS')
 R's raw vector is useful for storing a single binary object.
 What if you want to put a vector of them in a data frame? The blob
 package provides the blob object, a list of raw vectors, suitable for
 use as a column in data frame.

Package: r-cran-blockmodeling
Description-md5: 332ce708874a031a391ed5d12bab89db
Description-en: Generalized and classical blockmodeling of valued networks
 This R package is primarly meant as an implementation of Generalized
 blockmodeling for valued networks. In addition, measurese of similarity or
 dissimilarity based on structural equivalence and regular equivalence (REGE
 algorithm) can be computed and partitioned matrices can be ploted.

Package: r-cran-bms
Description-md5: 783d3a6830b4ac8e02bfe8a6a3bb6432
Description-en: GNU R package for Bayesian model averaging for linear models
 r-cran-bms is a GNU Package for Bayesian model averaging for linear
 models with a wide choice of (customizable) priors. Built-in priors
 include coefficient priors (fixed, flexible and hyper-g priors), 5 kinds
 of model priors, moreover model sampling by enumeration or various MCMC
 approaches.

Package: r-cran-bold
Description-md5: b275e040a475b541d8e57a80b49a82fa
Description-en: GNU R interface to Bold Systems for genetic barcode data
 A programmatic interface to the Web Service methods provided by Bold
 Systems for genetic barcode data. Functions include methods for
 searching by sequences by taxonomic names, ids, collectors, and
 institutions; as well as a function for searching for specimens, and
 downloading trace files.

Package: r-cran-bookdown
Description-md5: a62c9c42ffa3012a663f4d932066b7d8
Description-en: authoring books and technical documents with GNU R markdown
 The bookdown package is a GNU R package that facilitates writing books
 and long-form articles/reports with R Markdown. Features include:
 .
  * Generate printer-ready books and ebooks from R Markdown documents.
  * A markup language easier to learn than LaTeX, and to write elements
    such as section headers, lists, quotes, figures, tables, and
    citations.
  * Multiple choices of output formats: PDF, LaTeX, HTML, EPUB, and Word.
  * Possibility of including dynamic graphics and interactive
    applications (HTML widgets and Shiny apps).
  * Support a wide range of languages: R, C/C++, Python, Fortran, Julia,
    Shell scripts, and SQL, etc.
  * LaTeX equations, theorems, and proofs work for all output formats.
  * Can be published to GitHub, bookdown.org, and any web servers.
  * Integrated with the RStudio IDE.
  * One-click publishing to https://bookdown.org.

Package: r-cran-boolnet
Description-md5: 0cfe401b2e8941612a136dd90f3621ff
Description-en: assembling, analyzing and visualizing Boolean networks
 BoolNet is an R package that provides tools for assembling, analyzing and
 visualizing synchronous and asynchronous Boolean networks as well as
 probabilistic Boolean networks.

Package: r-cran-boot
Description-md5: fa26d97c8206f66e08044d1eb6a6fec1
Description-en: GNU R package for bootstrapping functions from Davison and Hinkley
 This package provides functions and datasets from the book "Bootstrap Methods
 and Their Applications" by A. C. Davison and D. V. Hinkley (1997, CUP). It was
 witten by Angelo Canty, and ported to R by Brian Ripley.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-bradleyterry2
Description-md5: 393bad89736fec31b56f123bc57d29de
Description-en: GNU R package for using Bradley-Terry models
 Specify and fit the Bradley-Terry model, including structured versions
 in which the parameters are related to explanatory variables through a
 linear predictor and versions with contest-specific effects, such as a
 home advantage.

Package: r-cran-brew
Description-md5: 36175df355fdb6b73e92b26379e9e3bd
Description-en: GNU R templating framework for report generation
 The GNU R package brew implements a templating framework for mixing
 text and R code for report generation. brew template syntax is similar
 to PHP, Ruby's erb module, Java Server Pages, and Python's psp module.

Package: r-cran-brglm
Description-md5: 340c38cf9522c3d017fd5ca41007e4c6
Description-en: GNU R package for bias reduction in binomial-response GLMs
 Fit generalized linear models with binomial responses using either an
 adjusted-score approach to bias reduction or maximum penalized
 likelihood where penalization is by Jeffreys invariant prior. These
 procedures return estimates with improved frequentist properties
 (bias, mean squared error) that are always finite even in cases where
 the maximum likelihood estimates are infinite (data separation).
 Fitting takes place by fitting generalized linear models on
 iteratively updated pseudo-data. The interface is essentially the same
 as 'glm'.  More flexibility is provided by the fact that custom
 pseudo-data representations can be specified and used for model
 fitting. Functions are provided for the construction of confidence
 intervals for the reduced-bias estimates.

Package: r-cran-bridgesampling
Description-md5: fb08df4d14553b5e6f8312a3cdd8a83d
Description-en: GNU R bridge sampling for marginal likelihoods and Bayes factors
 Provides functions for estimating marginal likelihoods, Bayes
 factors, posterior model probabilities, and normalizing constants in general,
 via different versions of bridge sampling (Meng & Wong, 1996,
 <http://www3.stat.sinica.edu.tw/statistica/j6n4/j6n43/j6n43.htm>).

Package: r-cran-brms
Description-md5: f9d33571831e39eaf395113e94f37f38
Description-en: GNU R Bayesian regression models using 'Stan'
 Fit Bayesian generalized (non-)linear multivariate multilevel models
 using 'Stan' for full Bayesian inference. A wide range of distributions
 and link functions are supported, allowing users to fit -- among others
  -- linear, robust linear, count data, survival, response times, ordinal,
 zero-inflated, hurdle, and even self-defined mixture models all in a
 multilevel context. Further modeling options include non-linear and
 smooth terms, auto-correlation structures, censored data, meta-analytic
 standard errors, and quite a few more. In addition, all parameters of
 the response distribution can be predicted in order to perform
 distributional regression. Prior specifications are flexible and
 explicitly encourage users to apply prior distributions that actually
 reflect their beliefs. Model fit can easily be assessed and compared
 with posterior predictive checks and leave-one-out cross-validation.

Package: r-cran-brobdingnag
Description-md5: aa3945b00803952fbb10799d248cc347
Description-en: Very Large Numbers in R
 Handles very large numbers in R.  Real numbers are held
 using their natural logarithms, plus a logical flag indicating
 sign.  The package includes a vignette that gives a
 step-by-step introduction to using S4 methods.

Package: r-cran-broom
Description-md5: 67e880a2398863010190cd4ed742cbb4
Description-en: convert statistical analysis objects into tidy data frames with GNU R
 Convert statistical analysis objects from R into tidy data frames,
 so that they can more easily be combined, reshaped and otherwise processed
 with tools like 'dplyr', 'tidyr' and 'ggplot2'. The package provides three
 S3 generics: tidy, which summarizes a model's statistical findings such as
 coefficients of a regression; augment, which adds columns to the original
 data such as predictions, residuals and cluster assignments; and glance, which
 provides a one-row summary of model-level statistics.

Package: r-cran-ca
Description-md5: 5015f714c2777aee8fbed57322f2c1e3
Description-en: GNU R package for simple, multiple and joint correspondence analysis
 r-cran-ca is a GNU R package for computation and visualization of
 simple, multiple and joint correspondence analysis

Package: r-cran-caic4
Description-md5: a43518e66c0ad0bb0dda2dc6fcc3eeba
Description-en: GNU R conditional Akaike information criterion for 'lme4'
 Provides functions for the estimation of the conditional Akaike
 information in generalized mixed-effect models fitted with (g)lmer()
 from 'lme4'.

Package: r-cran-cairo
Description-md5: e1dcf22a75d2acb954a5281f3bd735d1
Description-en: GNU R graphics device using cairo graphics library
 GNUR R graphics device using cairo graphics library for creating high-
 quality bitmap (PNG, JPEG, TIFF), vector (PDF, SVG, PostScript) and
 display (X11 and Win32) output
 .
 Cairo graphics device that can be use to create high-quality vector
 (PDF, PostScript and SVG) and bitmap output (PNG,JPEG,TIFF), and high-
 quality rendering in displays (X11 and Win32). Since it uses the same
 back-end for all output, copying across formats is WYSIWYG. Files are
 created without the dependence on X11 or other external programs. This
 device supports alpha channel (semi-transparent drawing) and resulting
 images can contain transparent and semi-transparent regions. It is ideal
 for use in server environments (file output) and as a replacement for
 other devices that don't have Cairo's capabilities such as alpha support
 or anti-aliasing. Backends are modular such that any subset of backends
 is supported.

Package: r-cran-cairodevice
Description-md5: 9f1335dca6d382ffa23dc2aad6274b0f
Description-en: GNU R Cairo/Gtk2 device driver package
 This CRAN package provides a Cairo/GTK2 graphics device for GNU R
 that, in addition to standalone functionality, can be used to create
 devices as embedded components in a GUI using a Gtk drawing area widget,
 e.g. using RGtk2.

Package: r-cran-calibrate
Description-md5: 961237df35d483a990fee3f0c03ea1d3
Description-en: Calibration of Scatterplot and Biplot Axes
 This package provides functions for drawing calibrated scales
 with tick marks on (non-orthogonal) variable vectors in scatterplots
 and biplots.

Package: r-cran-callr
Description-md5: 004745af9ca4a073fb985f7b00337f39
Description-en: Call GNU R from GNU R
 It is sometimes useful to perform a computation in a separate R
 process, without affecting the current R process at all. This
 package does exactly that.

Package: r-cran-car
Description-md5: 56a0ef414a2c7e9c6a923e5fc74f9e21
Description-en: GNU R Companion to Applied Regression by John Fox
 This package accompanies J. Fox, An R and S-PLUS Companion to Applied
 Regression, Sage, 2002. The package contains mostly functions for applied
 regression, linear models, and generalized linear models, with an emphasis
 on regression diagnostics, particularly graphical diagnostic methods.
 There are also some utility functions.

Package: r-cran-cardata
Description-md5: b04efc435b5b8f870e7a971f2eb79193
Description-en: GNU R package for datasets for Companion to Applied Regression
 The cardata package provides datasets to accompany J. Fox and S. Weisberg,
  An R Companion to Applied Regression, Third Edition, Sage (forthcoming).

Package: r-cran-caret
Description-md5: 568fff6316b184e50b859b0f39211d0d
Description-en: GNU R package for classification and regression training
 This GNU R package provides misc functions for training and plotting
 classification and regression models.

Package: r-cran-catools
Description-md5: 75e47f2b987c1e092a227ae32f5db274
Description-en: GNU R package providing various utility functions
 The packages features several basic utility functions including:
 moving (rolling, running) window statistic functions, read/write for GIF
 and ENVI binary files, fast calculation of AUC, LogitBoost classifier, base64
 encoder/decoder, round-off error free sum and cumsum, etc.
 .
 This package of R programming tools for plotting data was written by
 Jarek Tuszynski.

Package: r-cran-cellranger
Description-md5: 186f651753cc176198486f0fe7dbae9e
Description-en: GNU R package to map spreadsheet cell ranges to rows and columns
 This package helps to work with spreadsheets and their "A1:D10" style
 of cell range specification.

Package: r-cran-checkmate
Description-md5: e576ea0e86fd2f33eb6f877c23aee573
Description-en: GNU R fast and versatile argument checks
 This GNU R package tests and assertions to perform frequent argument
 checks. A substantial part of the package was written in C to minimize
 any worries about execution time overhead.

Package: r-cran-chron
Description-md5: 161be4daab7463d5a577dbe083bbb08c
Description-en: GNU R package for chronologically ordered objects
 This package contains function for chronologically ordered objects
 to handle dates and time in GNU R.

Package: r-cran-circlize
Description-md5: 5f14e4adddc573f367dcef27b4be25cc
Description-en: Circular Visualization
 Circular layout is an efficient way for the visualization of huge
 amounts of information. Here this package provides an implementation
 of circular layout generation in R as well as an enhancement of available
 software. The flexibility of the package is based on the usage of low-level
 graphics functions such that self-defined high-level graphics can be easily
 implemented by users for specific purposes. Together with the seamless
 connection between the powerful computational and visual environment in R,
 it gives users more convenience and freedom to design figures for
 better understanding complex patterns behind multiple dimensional data.

Package: r-cran-class
Description-md5: fb6521462034373b8e8d6949601fd7a0
Description-en: GNU R package for classification
 The class package provides functions and datasets to support chapter
 12 on 'Classification' in the book 'Modern Applied Statistics with S'
 (4th edition) by W.N. Venables and B.D. Ripley. The following URL
 provides more details about the book:
 URL: http://www.stats.ox.ac.uk/pub/MASS4

Package: r-cran-classint
Description-md5: 7e760a6ebbc0bc78e58955b9a42045f5
Description-en: GNU R Choose Univariate Class Intervals
 This package provides selected commonly used methods for choosing
 univariate class intervals for mapping or other graphics purposes
 for GNU R.

Package: r-cran-cli
Description-md5: bd08e6f8adcae01d31bb01ceac179e56
Description-en: GNU R helpers for developing command line interfaces
 A suite of tools designed to build attractive command line
 interfaces ('CLIs'). Includes tools for drawing rules, boxes, trees, and
 'Unicode' symbols with 'ASCII' alternatives.

Package: r-cran-cliapp
Description-md5: 4617292f9d30a1b5313d3c270cd6bd1a
Description-en: create rich command line applications in GNU R
 This GNU R package enables to create rich command line applications,
 with colors, headings, lists, alerts, progress bars, etc. It uses CSS
 for custom themes.

Package: r-cran-clipr
Description-md5: 41afb747b934df488ce704d630462664
Description-en: Read and Write from the System Clipboard
 Simple utility functions to read from and write to the Windows,
 OS X, and X11 clipboards.

Package: r-cran-clisymbols
Description-md5: 93f1d7ca755a38bf37a5cb042f08a58f
Description-en: Unicode Symbols at the R Prompt
 A small subset of Unicode symbols, that are useful
 when building command line applications. They fall back to
 alternatives on terminals that do not support Unicode.
 Many symbols were taken from the 'figures' 'npm' package
 (see <https://github.com/sindresorhus/figures>).

Package: r-cran-clubsandwich
Description-md5: b36d42ea9ff949b879cbade580b1ed6a
Description-en: GNU R cluster-robust (Sandwich) variance estimators with small-sample
 Corrections Provides several cluster-robust variance estimators
 (i.e., sandwich estimators) for ordinary and weighted least
 squares linear regression models, including the bias-reduced
 linearization estimator introduced by Bell and McCaffrey (2002)
 <http://www.statcan.gc.ca/pub/12-001-x/2002002/article/9058-eng.pdf>
 and developed further by Pustejovsky and Tipton (2017)
 <DOI:10.1080/07350015.2016.1247004>. The package includes
 functions for estimating the variance- covariance matrix and for
 testing single- and multiple- contrast hypotheses based on Wald
 test statistics. Tests of single regression coefficients use
 Satterthwaite or saddle-point corrections. Tests of multiple-contrast
 hypotheses use an approximation to Hotelling's T-squared
 distribution. Methods are provided for a variety of fitted models,
 including lm() and mlm objects, glm(), ivreg() (from package
 'AER'), plm() (from package 'plm'), gls() and lme() (from 'nlme'),
 robu() (from 'robumeta'), and rma.uni() and rma.mv() (from
 'metafor').

Package: r-cran-clue
Description-md5: ed3ae930880ac6ca4dade4a291a056a7
Description-en: GNU R cluster ensembles
 Cluster ensembles are collections of individual solutions to a given
 clustering problem which are useful or necessary to consider in a wide
 range of applications.  The R package~\pkg{clue} provides an
 extensible computational environment for creating and analyzing
 cluster ensembles, with basic data structures for representing
 partitions and hierarchies, and facilities for computing on these,
 including methods for measuring proximity and obtaining consensus and
 'secondary' clusterings.

Package: r-cran-cluster
Description-md5: 5647ef359600aebbe447688e07f07bb6
Description-en: GNU R package for cluster analysis by Rousseeuw et al
 This package provides functions and datasets for cluster analysis originally
 written by Peter Rousseeuw, Anja Struyf and Mia Hubert.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-clustergeneration
Description-md5: ce322d91fc371e97f07f3ed001b90ea4
Description-en: GNU R random cluster generation (with specified degree of separation)
 The GNU R package clusterGeneration provides functions for generating
 random clusters, generating random covariance/correlation matrices,
 calculating a separation index (data and population version) for pairs
 of clusters or cluster distributions, and 1-D and 2-D projection plots
 to visualize clusters.  The package also contains a function to generate
 random clusters based on factorial designs with factors such as degree
 of separation, number of clusters, number of variables, number of noisy
 variables.

Package: r-cran-cmprsk
Description-md5: 4047d7b6f56bb9ef388401d3d7ed7660
Description-en: GNU R subdistribution analysis of competing risks
 This GNU R package supports estimation, testing and regression modeling
 of subdistribution functions in competing risks, as described in Gray
 (1988), A class of K-sample tests for comparing the cumulative incidence
 of a competing risk.

Package: r-cran-coda
Description-md5: 0d4d08f768a3d739e87477a8905ebe73
Description-en: Output analysis and diagnostics for MCMC simulations in R
 This package provides output analysis and diagnostics for Markov Chain
 Monte Carlo simulations and estimations within R.  It also includes some
 graphical analysis routines and a facility for importing output from BUGS
 (Bayes Using Gibbs Sampling), a popular tool for running MCMC simulations.
 .
 The related r-cran-mcmcpack package includes MCMC estimators for some
 common models in the social sciences.

Package: r-cran-codetools
Description-md5: c20549cb054a707bac871bafaae85ffb
Description-en: GNU R package providing code analysis tools
 This package provides functions for code analysis for R.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-coin
Description-md5: 5088dab711478a46f61d418668c4a22e
Description-en: GNU R package providing conditional inference procedures
 The r-cran-coin package is a GNU R package providing conditional
 inference procedures for the general independence problem including two-
 sample, K-sample (non-parametric ANOVA), correlation, censored, ordered
 and multivariate problems.

Package: r-cran-colorspace
Description-md5: 62154da264a98f4225bd3138e8bdbb8d
Description-en: GNU R Color Space Manipulation
 Carries out mapping between assorted color spaces including RGB, HSV, HLS,
 CIEXYZ, CIELUV, HCL (polar CIELUV), CIELAB and polar CIELAB. Qualitative,
 sequential, and diverging color palettes based on HCL colors are provided.

Package: r-cran-colourpicker
Description-md5: 9c2e20402a19c056c8ab512ad0985841
Description-en: GNU R colour picker tool for selecting colours in plots
 This GNU R colour picker can be used as an input in Shiny apps
 or Rmarkdown documents. The colour picker supports alpha opacity, custom
 colour palettes, and many more options. A Plot Colour Helper tool is
 available as an RStudio Addin, which helps you pick colours to use in your
 plots. A more generic Colour Picker RStudio Addin is also provided to let
 you select colours to use in your R code.

Package: r-cran-combinat
Description-md5: 366c067840a107758e2dcb87d634b0b9
Description-en: GNU R package with utilities for combinatorics
 The R library provides routines for combinatorics.

Package: r-cran-commonmark
Description-md5: 0c80609a006f995519b5a6712fd3f6d9
Description-en: high performance CommonMark and Github markdown rendering in R
 The CommonMark specification defines a rationalized version of markdown
 syntax. This package uses the 'cmark' reference implementation for
 converting markdown text into various formats including html, LaTeX and
 groff man. In addition it exposes the markdown parse tree in xml format.
 The latest version of this package also adds support for Github
 extensions including tables, autolinks and strikethrough text.

Package: r-cran-contfrac
Description-md5: c6593cfe997a9e894c37e796365ec993
Description-en: GNU R package providing various utilities for evaluating continued fractions
 The contfrac package is a GNU R package providing various utilities for
 evaluating continued fractions.

Package: r-cran-conting
Description-md5: 22247b7418f6550c72aa7bfd02f5c1b3
Description-en: GNU R package for Bayesian analysis of contingency tables
 r-cran-conting is a GNU Package for Bayesian analysis of complete and
 incomplete contingency tables.

Package: r-cran-corpcor
Description-md5: 4a025d0a6bc28380c5310b05bc696f48
Description-en: GNU R for Estimation of Covariance and Correlation -- corpcor
 GNU R package which implements a James-Stein-type shrinkage estimator for the
 covariance matrix, with separate shrinkage for variances and correlations.  The
 approach is both computationally as well as statistically very efficient, it is
 applicable to "small n, large p" data, and always returns a positive definite
 and well-conditioned covariance matrix.  In addition to inferring the
 covariance matrix the package also provides shrinkage estimators for partial
 correlations and partial variances.  The inverse of the covariance and
 correlation matrix can be efficiently computed, as well as any arbitrary power
 of the shrinkage correlation matrix.  Furthermore, functions are available for
 fast singular value decomposition, for computing the pseudoinverse, and for
 checking the rank and positive definiteness of a matrix.

Package: r-cran-corrplot
Description-md5: d21f2e7faa187338fb59f1dae3a82a93
Description-en: Visualization of a Correlation Matrix
 A graphical display of a correlation matrix or general matrix.
 It also contains some algorithms to do matrix reordering. In addition,
 corrplot is good at details, including choosing color, text labels,
 color labels, layout, etc.

Package: r-cran-covr
Description-md5: 6a6262262b7399215d5358cee95d250e
Description-en: test coverage for GNU R packages
 Track and report code coverage for your package and (optionally)
 upload the results to a coverage service like 'Codecov' <http://codecov.io> or
 'Coveralls' <http://coveralls.io>. Code coverage is a measure of the amount of
 code being exercised by a set of tests. It is an indirect measure of test
 quality and completeness. This package is compatible with any testing
 methodology or framework and tracks coverage of both R code and compiled
 C/C++/FORTRAN code.

Package: r-cran-cowplot
Description-md5: 91c03cd119d11d548e095aab73125232
Description-en: GNU R streamlined plot theme and plot annotations for 'ggplot2'
 Some helpful extensions and modifications to the 'ggplot2'
 package. In particular, this package makes it easy to combine multiple
 'ggplot2' plots into one and label them with letters, e.g. A, B, C, etc.,
 as is often required for scientific publications. The package also provides
 a streamlined and clean theme that is used in the Wilke lab, hence the
 package name, which stands for Claus O. Wilke's plot package.

Package: r-cran-crayon
Description-md5: b21bec46f1646c45622f8a3badcca5c9
Description-en: GNU R colored terminal output
 Colored terminal output on terminals that support ANSI color and
 highlight codes. ANSI color support is automatically detected. Colors
 and highlighting can be combined and nested. New styles can also be
 created easily. This package was inspired by the chalk JavaScript
 project.

Package: r-cran-crosstalk
Description-md5: 323af0682d9a4d0e1b9ebae68b26e276
Description-en: GNU R inter-widget interactivity for HTML widgets
 Provides building blocks for allowing HTML widgets to communicate
 with each other, with Shiny or without (i.e. static .html files). Currently
 supports linked brushing and filtering.

Package: r-cran-crul
Description-md5: e745c99884fcd491dd92e086e6d3a410
Description-en: simple HTTP Client for GNU R
 A simple HTTP client, with tools for making HTTP requests,
 and mocking HTTP requests. The package is built on R6, and takes
 inspiration from Ruby's 'faraday' gem (<https://rubygems.org/gems/faraday>).
 The package name is a play on curl, the widely used command line tool
 for HTTP, and this package is built on top of the R package 'curl', an
 interface to 'libcurl' (<https://curl.haxx.se/libcurl>).

Package: r-cran-cubature
Description-md5: fca65a958f0f5d8085bee4d751f88990
Description-en: GNU R package for adaptive multivariate integration
 The cubature package provides adaptive multivariate integration over
 hypercubes.

Package: r-cran-curl
Description-md5: 9998a755c4c979415e3bf12ee4ad4593
Description-en: GNU R modern and flexible web client for R
 The curl() and curl_download() functions provide highly configurable drop-
 in replacements for base url() and download.file() with better
 performance, support for encryption (https, ftps), gzip compression,
 authentication, and other libcurl goodies. The core of the package
 implements a framework for performing fully customized requests where
 data can be processed either in memory, on disk, or streaming via the
 callback or connection interfaces. Some knowledge of libcurl is
 recommended; for a more-user-friendly web client see the 'httr' package
 which builds on this package with http specific tools and logic.

Package: r-cran-cvst
Description-md5: cc5115a6187ec937b4c3c57724f9bf14
Description-en: GNU R fast cross-validation via sequential testing
 This package implements the fast cross-validation via sequential testing
 (CVST) procedure. CVST is an improved cross-validation procedure which
 uses non-parametric testing coupled with sequential analysis to
 determine the best parameter set on linearly increasing subsets of the
 data. By eliminating underperforming candidates quickly and keeping
 promising candidates as long as possible, the method speeds up the
 computation while preserving the capability of a full cross-validation.
 Additionally to the CVST the package contains an implementation of the
 ordinary k-fold cross-validation with a flexible and powerful set of
 helper objects and methods to handle the overall model selection
 process. The implementations of the Cochran's Q test with permutations
 and the sequential testing framework of Wald are generic and can
 therefore also be used in other contexts.

Package: r-cran-d3network
Description-md5: 70b76ebab11aa13a59e36c9d48f41e26
Description-en: GNU R tools for creating D3 JavaScript network, tree, dendrogram etc.
 This GNU R package contains tools for creating D3 JavaScript network,
 tree, dendrogram, and Sankey graphs.
 This package is intended to make it easy to create D3 JavaScript
 network, tree, dendrogram, and Sankey graphs from R using data frames.
 .
 NOTE: Active development has moved to the networkD3 package and was only
 packaged for the purpose to fulfill reverse dependencies of other R
 packages.

Package: r-cran-data.table
Description-md5: 7873d6a5c1843ec61453a531c950be7a
Description-en: GNU R extension of Data.frame
 Fast aggregation of large data (e.g. 100GB in RAM), fast ordered joins,
 fast add/modify/delete of columns by group using no copies at all, list
 columns and a fast file reader (fread). Offers a natural and flexible
 syntax, for faster development.

Package: r-cran-date
Description-md5: 16b788c71da8bdb0943897dd9f8ba810
Description-en: GNU R package for date handling
 This CRAN package provides functions for handling dates, along with
 examples and documentation.
 .
 Note that this is a legacy package providing functionality that had
 been absent from R. However, more recent versions of R have more
 powerful date and datetime functionality in the base package, i.e.
 r-base-core under Debian.

Package: r-cran-dbi
Description-md5: dc00e91ab4b239de079502722ee124b8
Description-en: GNU R package providing a generic database interface
 A generic database interface (DBI) definition for communication
 between R and relational database management systems -- similar to
 Perl's DBI system.  All classes in this package are virtual and need
 to be extended by the various R/DBMS implementations as e.g. RMySQL.

Package: r-cran-dbitest
Description-md5: bc26fa98650ff8accb85ace9a8881d0e
Description-en: GNU R testing 'DBI' back ends
 This package provides a helper that tests 'DBI' back ends for conformity
 to the GNU R interface.

Package: r-cran-dbplyr
Description-md5: 979e27b558653c0923c5b663de850fcc
Description-en: GNU R dplyr back end for databases
 GNU R dplyr back end for databases that allows you to work with
 remote database tables as if they are in-memory data frames. Basic features
 works with any database that has a 'DBI' back end; more advanced features
 require 'SQL' translation to be provided by the package author.

Package: r-cran-ddalpha
Description-md5: 43ce8668054af7dd3c8a30a5652226ae
Description-en: GNU R depth-based classification and calculation of data depth
 Contains procedures for depth-based supervised learning, which are
 entirely non-parametric, in particular the DDalpha-procedure (Lange,
 Mosler and Mozharovskyi, 2014). The training data sample is transformed
 by a statistical depth function to a compact low-dimensional space,
 where the final classification is done. It also offers an extension to
 functional data and routines for calculating certain notions of
 statistical depth functions. 50 multivariate and 5 functional
 classification problems are included.

Package: r-cran-deal
Description-md5: 0c447366842daba69e6f36b2ebdc0948
Description-en: Learning Bayesian Networks with Mixed Variables
 Bayesian networks with continuous and/or discrete variables
 can be learned and compared from data.

Package: r-cran-deldir
Description-md5: f2d3d857735a5484ea3165abef563757
Description-en: GNU R Delaunay Triangulation and Dirichlet (Voronoi) Tessellation
 This GNU R package calculates the Delaunay triangulation and the Dirichlet or
 Voronoi tessellation (with respect to the entire plane) of a planar point set.
 This package is used in Spatial Point Pattern analysis, model-fitting and
 simulation as it is done for instance in the package r-cran-spatstat.

Package: r-cran-dendextend
Description-md5: 462e930d6a9e7bb10f3183a8db7bd5e7
Description-en: Extending 'dendrogram' Functionality in GNU R
 Offers a set of functions for extending 'dendrogram' objects in R,
 letting you visualize and compare trees of 'hierarchical
 clusterings'. You can
 .
  (1) Adjust a tree's graphical parameters - the color, size, type, etc
      of its branches, nodes and labels.
  (2) Visually and statistically compare different 'dendrograms' to
      one another.

Package: r-cran-deoptim
Description-md5: e8c90aa4184edd1791af23b1afca46b6
Description-en: GNU R global optimization by differential evolution
 This GNU R package implements the differential evolution algorithm for
 global optimization of a real-valued function of a real-valued parameter
 vector.

Package: r-cran-deoptimr
Description-md5: 0e927257e2935a454fd3d7e0ee20c1b0
Description-en: GNU R package for Diffential Evolution in pure R
 The TH.data package provides a pure-R implementation of the jDE
 variant of the Differential Evolution stochastic algorithm for global
 optimization of nonlinear programming problems.

Package: r-cran-desc
Description-md5: 56b00b618312564bf5237b0fe8969089
Description-en: GNU R manipulation of DESCRIPTION files
 This GNU R package enables reading, writing, creating, and manipulating
 DESCRIPTION files. It is intended for packages that create or manipulate
 other packages.

Package: r-cran-desolve
Description-md5: ae04ed71513cc5409dde810459c15530
Description-en: GNU R package providing functions that solve initial value problems
 The deSolve package is a GNU R package providing functions that solve
 initial value problems of a system of first-order ordinary differential
 equations (ODE), of partial differential equations (PDE), of
 differential algebraic equations (DAE), and of delay differential
 equations.

Package: r-cran-devtools
Description-md5: d61aceaa34ed551440b713c9452e958c
Description-en: Tools to Make Developing R Packages Easier
 Collection of package development tools.

Package: r-cran-diagnosismed
Description-md5: a91d8edb16b5a4c4e239ba802513f4ba
Description-en: medical diagnostic test accuracy analysis toolkit
 DiagnosisMed is a GNU R package to analyze the accuracy of data from
 diagnostic tests evaluating health conditions. It was designed to be
 used by health professionals. This package helps estimating sensitivity
 and specificity from categorical and continuous test results including
 some  evaluations of indeterminate results, or compare different
 categorical tests, and estimate reasonable cut-offs of tests and display
 it in a way commonly used by health professionals. No graphical
 interface is available yet.

Package: r-cran-dichromat
Description-md5: 936d65951ba62654d8a0ba1e1e78dbc3
Description-en: GNU R color schemes for dichromats
 This GNU R package collapses red-green distinctions to simulate the effects
 of colour-blindness.

Package: r-cran-diffobj
Description-md5: c32fc90fc4cd654056e4ab74a28af9c5
Description-en: diffs for GNU R objects
 This GNU R package generates a colorized diff of two R objects for
 an intuitive visualization of their differences.  It is helpful in
 some test suite packages (mainly vdiffr).

Package: r-cran-digest
Description-md5: 2b9a1497a5560b4f8ea8dc766ce0985a
Description-en: GNU R package for 'hash digest' of R data structures
 Implementation of a function 'digest()' for the creation of hash
 digests of arbitrary R objects (using the md5, sha-1, sha-256,
 crc32, xxhash, murmurhash, and spookyhash algorithms) permitting easy
 comparison of R language objects, as well as a function 'hmac()' to
 create hash-based message authentication code.
 .
 Please note that this package is not meant to be deployed for
 cryptographic purposes for which more comprehensive (and widely
 tested) libraries such as OpenSSL should be used.

Package: r-cran-dimred
Description-md5: dba3453729aafdd058319f716d9cd646
Description-en: GNU R framework for dimensionality reduction
 A collection of dimensionality reduction
 techniques from R packages and provides a common
 interface for calling the methods.

Package: r-cran-diptest
Description-md5: eada11fa49b08d2a3c66d41f40a8f7fd
Description-en: Hartigan's Dip Test Statistic for Unimodality - Corrected
 Compute Hartigan's dip test statistic for unimodality /
 multimodality and provide a test with simulation based p-values,  where
 the original public code has been corrected.

Package: r-cran-discriminer
Description-md5: 960d423e13d909a8175473270b2e2e76
Description-en: GNU R tools of the trade for discriminant analysis
 Functions for Discriminant Analysis and Classification purposes
 covering various methods such as descriptive, geometric, linear, quadratic,
 PLS, as well as qualitative discriminant analyses

Package: r-cran-distory
Description-md5: 43a7a5ce3b0c1e223e8763e6ac496181
Description-en: GNU R distance between phylogenetic histories
 This GNU R package enables calculation of geodesic distance between
 phylogenetic trees and associated functions.

Package: r-cran-domc
Description-md5: 94719ec2c21b8443cdbc7ec4c425d7d0
Description-en: GNU R parallel excution backend for %dopar% using multicore
 This package provides a parallel backend for the %dopar% function using
 Simon Urbanek's multicore package.

Package: r-cran-doparallel
Description-md5: b2edd1255d61aded25c57b91449ce4d6
Description-en: GNU R foreach parallel adaptor for the parallel package
 This GNU R package provides a parallel backend for the %dopar% function
 using the parallel package.

Package: r-cran-dorng
Description-md5: f1f94be1edcc14c9c9feced64ab1c66d
Description-en: GNU R generic reproducible parallel backend for 'foreach' loops
 This GNU R package provides functions to perform
 reproducible parallel foreach loops, using independent
 random streams as generated by L'Ecuyer's combined
 multiple-recursive generator [L'Ecuyer (1999), <DOI:10.1287/opre.47.1.159>].
 It enables to easily convert standard %dopar% loops into
 fully reproducible loops, independently of the number
 of workers, the task scheduling strategy, or the chosen
 parallel environment and associated foreach backend.

Package: r-cran-dosefinding
Description-md5: 50e81e3e07bd35ca8f4be6d3dbd424d7
Description-en: Planning and Analyzing Dose Finding experiments
 The DoseFinding GNU R package provides functions for the design and
 analysis of dose-finding experiments (with focus on pharmaceutical Phase
 II clinical trials). It provides functions for: multiple contrast tests,
 fitting non-linear dose-response models (using Bayesian and non-Bayesian
 estimation), calculating optimal designs and an implementation of the
 MCPMod methodology.

Package: r-cran-dosnow
Description-md5: 67221481d68f83c3e4dc0c4de9b3c35d
Description-en: GNU R parallel excution backend for %dopar% using snow
 This package provides a parallel backend for the %dopar% function using
 Luke Tierney's snow package (which itself can wrap MPI, PVM, NWS or sockets).

Package: r-cran-dotcall64
Description-md5: 00c76602d0a49b506e7d292758399b27
Description-en: Enhanced Foreign Function Interface Supporting Long Vectors
 Provides .C64(), which is an enhanced version of .C() and .Fortran() from the
 foreign function interface. .C64() supports long vectors, arguments of type
 64-bit integer, and provides a mechanism to avoid unnecessary copies of
 read-only and write-only arguments. This makes it a convenient and fast
 interface to C/C++ and Fortran code.

Package: r-cran-downloader
Description-md5: 42d35f629d19fc1f72fb17bd2f3a74a0
Description-en: GNU R package for downloading files over http and https
 This package provides a wrapper for the download.file function, making it
 possible to download files over https on Windows, Mac OS X, and other
 Unix-like platforms.

Package: r-cran-dplyr
Description-md5: ca032fb1826b546e64bfea05c660e700
Description-en: GNU R grammar of data manipulation
 This GNU R package provides a fast, consistent tool for working with
 data frame like objects, both in memory and out of memory.

Package: r-cran-dqrng
Description-md5: 7ccfdaab896d118fa4004f83320c601c
Description-en: GNU R fast pseudo random number generators
 Several fast random number generators are provided as C++
 header only libraries: The PCG family by O'Neill (2014
 <https://www.cs.hmc.edu/tr/hmc-cs-2014-0905.pdf>) as well as
 Xoroshiro128+ and Xoshiro256+ by Blackman and Vigna (2018
 <arXiv:1805.01407>). In addition fast functions for generating random
 numbers according to a uniform, normal and exponential distribution
 are included. The latter two use the Ziggurat algorithm originally
 proposed by Marsaglia and Tsang (2000, <doi:10.18637/jss.v005.i08>).
 These functions are exported to R and as a C++ interface and are
 enabled for use with the default 64 bit generator from the PCG family,
 Xoroshiro128+ and Xoshiro256+ as well as the 64 bit version of the 20 rounds
 Threefry engine (Salmon et al., 2011 <doi:10.1145/2063384.2063405>) as
 provided by the package 'sitmo'.

Package: r-cran-drr
Description-md5: cf51ef9aba2de3cef65fbfd59030ce6f
Description-en: GNU R dimensionality reduction via regression
 This GNU R package provides an implementation of dimensionality reduction
 via regression using Kernel Ridge Regression.

Package: r-cran-dt
Description-md5: a783f188093d501d240609ab7349ea9f
Description-en: GNU R wrapper of the JavaScript library 'DataTables'
 Data objects in R can be rendered as HTML tables using the
 JavaScript library 'DataTables' (typically via R Markdown or Shiny). The
 'DataTables' library has been included in this R package. The package name
 'DT' is an abbreviation of 'DataTables'.

Package: r-cran-dygraphs
Description-md5: 9e45d553113f8f0192387e6598fdf244
Description-en: GNU R interface to 'Dygraphs' interactive time series charting library
 An R interface to the 'dygraphs' JavaScript charting library
 (a copy of which is included in the package). Provides rich facilities
 for charting time-series data in R, including highly configurable
 series- and axis-display and interactive features like zoom/pan and
 series/point highlighting.

Package: r-cran-dynamictreecut
Description-md5: a91b83840b37399fbc50ff48f14f7352
Description-en: Methods for Detection of Clusters in Hierarchical Clustering
 Dendrograms Contains methods for detection of clusters in hierarchical
 clustering dendrograms.

Package: r-cran-dynlm
Description-md5: 0c1f481223128c964a4d1da0c7499a31
Description-en: GNU R package for dynamic linear models and time series regression
 This R package provides a user-friendly interface for fitting dynamic linear
 models and time series regression relationships
 .
 The interface and internals of dynlm are very similar to lm, but currently
 dynlm offers three advantages over the direct use of lm:
  - extended formula processing;
  - preservation of time series attributes;
  - instrumental variables regression (via two-stage least squares).

Package: r-cran-e1071
Description-md5: f9f965da8f0df1eb9ce1816021d462af
Description-en: GNU R package with miscellaneous functions of the Dept of Statisics (e1071)
 The e1071 package regreoups miscellaneous function of the Department
 of Statistics (e1017) at the TU Wien. This includes functions for
 latent class analysis, short time Fourier transform, fuzzy
 clustering, support vector machines, shortest path computation,
 bagged clustering, naive Bayes classifier, ...

Package: r-cran-eaf
Description-md5: e96e9f6d0e20aee83deba0533f8e7d25
Description-en: GNU R plots of the empirical attainment function
 This GNU R package supports plots of the empirical attainment function
 for two objectives.

Package: r-cran-earth
Description-md5: c5035f37e2435d831bc7bd704f723f16
Description-en: GNU R multivariate adaptive regression splines
 This GNU R package builds regression models using the techniques in
 Friedman's papers "Fast MARS" and "Multivariate Adaptive Regression
 Splines" <doi:10.1214/aos/1176347963>.
 (The term "MARS" is trademarked and thus not used in the name of the
 package.)

Package: r-cran-eco
Description-md5: 80f012f959388740d89de98d56b877bc
Description-en: GNU R routines for Bayesian ecological inference
 This is a set of routines for GNU R that implement Imai and Lu's
 parametric and nonparametric Bayesian ecological inference algorithms
 using Markov chain Monte Carlo estimation.  Ecological inference is a
 statistical technique designed to recover individual-level information
 from aggregate-level data.
 .
 The suggested r-cran-mcmcpack package includes other EI estimators that
 may be useful alternatives to those included in this package.

Package: r-cran-ecodist
Description-md5: c8d9b0766f96a4c768ff1e5d9cb5f3d1
Description-en: GNU R package for dissimilarity-based ecological analysis
 The ecodist package provides dissimilarity-based analysis functions
 including ordination and Mantel test functions, intended for use with
 spatial and community data.

Package: r-cran-effects
Description-md5: 571920f3327dcd99145adcb5aaf1e8bc
Description-en: GNU R graphical and tabular effects display for glm models
 This package provides functions for 'effect' displays: Graphical and
 tabular effect displays, e.g., of interactions, for linear generalized
 linear, multinomial-logit, and proportional-odds logit models.
 .
 An earlier version was described in the article by Fox (2003) in the
 Journal of Statistical Software available at
 http://www.jstatsoft.org/v08/i15/

Package: r-cran-effectsize
Description-md5: 76021ea15e93f88ec0b5280f1d58e6e5
Description-en: GNU R indices of effect size and standardized parameters
 Provide utilities to work with indices of effect size and standardized
 parameters for a wide variety of models (see support list of insight;
 Lüdecke, Waggoner & Makowski (2019) <doi:10.21105/joss.01412>), allowing
 computation and conversion of indices such as Cohen's d, r, odds, etc.

Package: r-cran-egg
Description-md5: 145a601d2ef37a98dc6901f1f74bf25f
Description-en: GNU R extensions for 'ggplot2': custom geom, custom themes, plot
 Alignment, Labelled Panels, Symmetric Scales, and Fixed Panel Size
 Miscellaneous functions to help customise 'ggplot2' objects. High-level
 functions are provided to post-process 'ggplot2' layouts and
 allow alignment between plot panels, as well as setting panel
 sizes to fixed values. Other functions include a custom 'geom',
 and helper functions to enforce symmetric scales or add tags to
 facetted plots.

Package: r-cran-ei
Description-md5: 713bc7a5cd16c326f519bb30b6ed84d7
Description-en: GNU R ecological inference
 This package contains the GNU R software accompanying Gary King's book: A
 Solution to the Ecological Inference Problem. (1997). Princeton University
 Press.  ISBN 978-0691012407.

Package: r-cran-eipack
Description-md5: fd24bf875e273e731de39fa88792603a
Description-en: GNU R ecological inference and higher-dimension data management
 Provides methods for analyzing RxC ecological contingency
 tables using the extreme case analysis, ecological regression,
 and Multinomial-Dirichlet ecological inference models.  Also
 provides tools for manipulating higher-dimension data objects.

Package: r-cran-ellipse
Description-md5: 9c80163746d733d73c419b44b0a5e49a
Description-en: GNU R functions for drawing ellipses and ellipse-like confidence regions
 This package contains various routines for drawing ellipses and ellipse-
 like confidence regions, implementing the plots described in Murdoch and
 Chow (1996). There are also routines implementing the profile plots
 described in Bates and Watts (1988), Nonlinear Regression Analysis and
 its Applications.

Package: r-cran-ellipsis
Description-md5: 6b00f84bae9f5de6bf4df4928f093ead
Description-en: GNU R package for working with ... (variable arguments)
 The package offers a generic method that warns when arguments passed via
 the ... argument are silently ignored.

Package: r-cran-elliptic
Description-md5: d2cca9c6fc5e45e0a854e9b4ad1af21c
Description-en: GNU R package providing elliptic and related functions
 The elliptic package is a GNU R package providing a suite of elliptic
 and related functions including Weierstrass and Jacobi forms. Also
 includes various tools for manipulating and visualizing complex
 functions.

Package: r-cran-emmeans
Description-md5: 83bfe58315f31e7e65c0ed95e88e4867
Description-en: GNU R estimated marginal means, aka least-squares means
 Obtain estimated marginal means (EMMs) for many linear, generalized
 linear, and mixed models. Compute contrasts or linear functions of EMMs,
 trends, and comparisons of slopes. Plots and compact letter displays.
 Least-squares means are discussed, and the term "estimated marginal means"
 is suggested, in Searle, Speed, and Milliken (1980) Population marginal means
 in the linear model: An alternative to least squares means, The American
 Statistician 34(4), 216-221 <doi:10.1080/00031305.1980.10483031>.

Package: r-cran-emoa
Description-md5: 1ce6a40ae8079362cfdccb5dd5604a4c
Description-en: GNU R evolutionary multiobjective optimization algorithms
 This GNU R package contains a collection of building blocks for
 the design and analysis of evolutionary multiobjective optimization
 algorithms.

Package: r-cran-energy
Description-md5: 64d77157ff18a3ad6cd16c78fac81606
Description-en: GNU R package for energy statistics for distribution comparison
 The energy package implements E-statistics (energy) tests and
 statistics for comparing distributions: multivariate normality,
 multivariate distance components and k-sample test for equal
 distributions, hierarchical clustering by e-distances, multivariate
 independence tests, distance correlation, goodness-of-fit
 tests. Energy-statistics concept based on a generalization of
 Newton's potential energy is due to Gabor J. Szekely.

Package: r-cran-epi
Description-md5: 1c65fa6a367b5dbc008481efa234d725
Description-en: GNU R epidemiological analysis
 Functions for demographic and epidemiological analysis in the Lexis diagram,
 i.e. register and cohort follow-up data, including interval censored data and
 representation of multistate data. Also some useful functions for tabulation
 and plotting. Contains some epidemiological datasets.
 .
 The Epi package is mainly focused on "classical" chronic disease epidemiology.
 The package has grown out of the course Statistical Practice in Epidemiology
 using R (see http://www.pubhealth.ku.dk/~bxc/SPE).
 .
 There is A short introduction to R for Epidemiology available at
 http://staff.pubhealth.ku.dk/%7Ebxc/Epi/R-intro.pdf
 Beware that the pages 38-120 of this is merely the manual pages for the Epi
 package.
 .
 Epi is not the only R-package for epidemiological analysis, a package with
 more affinity to infectious disease epidemiology is the epitools package
 which is also evailable in Debian.
 .
 Epi is used in the Department of Biostatistics of the University of Copenhagen.

Package: r-cran-epibasix
Description-md5: db7f05c5563722ad733edbecfd95746a
Description-en: GNU R Elementary Epidemiological Functions
 Elementary Epidemiological Functions for a Graduate Epidemiology /
 Biostatistics Course.
 .
 This package contains elementary tools for analysis of common epidemiological
 problems, ranging from sample size estimation, through 2x2 contingency table
 analysis and basic measures of agreement (kappa, sensitivity/specificity).
 Appropriate print and summary statements are also written to facilitate
 interpretation wherever possible. This package is a work in progress, so
 any comments or suggestions would be appreciated. Source code is commented
 throughout to facilitate modification. The target audience includes graduate
 students in various epi/biostatistics courses.
 .
 Epibasix was developed in Canada.

Package: r-cran-epicalc
Description-md5: 68de0447def63c0a0e70c4d75368d041
Description-en: GNU R Epidemiological calculator
 Functions making R easy for epidemiological calculation.
 .
 Datasets from Dbase (.dbf), Stata (.dta), SPSS(.sav), EpiInfo(.rec) and
 Comma separated value (.csv) formats as well as R data frames can be
 processed to do make several epidemiological calculations.

Package: r-cran-epir
Description-md5: 75623956dd391169111adfba24de39b0
Description-en: GNU R Functions for analysing epidemiological data
 A package for analysing epidemiological data. Contains functions for
 directly and indirectly adjusting measures of disease frequency,
 quantifying measures of association on the basis of single or multiple
 strata of count data presented in a contingency table, and computing
 confidence intervals around incidence risk and incidence rate estimates.
 Miscellaneous functions for use in meta-analysis, diagnostic test
 interpretation, and sample size calculations.

Package: r-cran-epitools
Description-md5: 579d1c296218262ab25a96cfd54ed360
Description-en: GNU R Epidemiology Tools for Data and Graphics
 GNU R Tools for public health epidemiologists and data analysts.
 Epitools provides numerical tools and programming solutions that
 have been used and tested in real-world epidemiologic applications.
 .
 Many practical problems in the analysis of public health data
 require programming or special software, and investigators in
 different locations may duplicate programming efforts. Often,
 simple analyses, such as the construction of confidence intervals,
 are not calculated and thereby complicate appropriate statistical
 inferences for small geographic areas. There are many examples of
 simple and useful numerical tools that would enhance the work of
 epidemiologists at local health departments and yet are not readily
 available for the problem in front of them. The availability of
 these tools will encourage wider use of appropriate methods and
 promote evidence-based public health practices.

Package: r-cran-erm
Description-md5: 50c3bc7255e7aec80c55d3e26b38834f
Description-en: GNU R package for 'extended Rasch modelling'
 eRm fits Rasch models (RM), linear logistic test models (LLTM),
 rating scale model (RSM), linear rating scale models (LRSM), partial
 credit models (PCM), and linear partial credit models (LPCM). Missing
 values are allowed in the data matrix. Additional features are the ML
 estimation of the person parameters, Andersen's LR-test,
 item-specific Wald test, itemfit and personfit statistics including
 infit and outfit measures, various ICC and related plots, automated
 stepwise item elimination, simulation module for various binary data
 matrices. An eRm platform is provided at R-forge (see URL).

Package: r-cran-estimability
Description-md5: 882326dc78a856cf755f7499fe1a999c
Description-en: GNU R package providing tools for determining estimability of linear functions
 The estimability package is a GNU R package providing tools for
 determining estimability of linear functions of regression coefficients,
 and 'epredict' methods that handle non-estimable cases correctly.

Package: r-cran-etm
Description-md5: 031c85809a296c94733a09e2c3299369
Description-en: GNU R empirical transition matrix
 This GNU R package provides matrix of transition probabilities for any
 time-inhomogeneous multistate model with finite state space.

Package: r-cran-evaluate
Description-md5: 0e78eeb201129f8682703b016ba0a1c5
Description-en: GNU R parsing and evaluation tools
 Parsing and evaluation tools that provide more details than the default
 to make it easy to recreate the command line behaviour of R.

Package: r-cran-evd
Description-md5: f3949a6dbdcae7a1a93dc6f68ae6d95f
Description-en: GNU R Functions for extreme value distributions
 Extends simulation, distribution, quantile and density functions to
 univariate and multivariate parametric extreme value distributions, and
 provides fitting functions which calculate maximum likelihood estimates
 for univariate and bivariate maxima models, and for univariate and
 bivariate threshold models.

Package: r-cran-expint
Description-md5: bfd9bd860ea68e08df3c7872a32e415f
Description-en: GNU R exponential integral and incomplete Gamma function
 The exponential integrals E_1(x), E_2(x), E_n(x) and
 Ei(x), and the incomplete gamma function G(a, x) defined for
 negative values of its first argument. The package also gives easy
 access to the underlying C routines through an API; see the package
 vignette for details. A test package included in sub-directory
 example_API provides an implementation. C routines derived from the
 GNU Scientific Library <https://www.gnu.org/software/gsl/>.

Package: r-cran-expm
Description-md5: 262d15100188c3184eaa78518455f8d9
Description-en: GNU R Computation of the matrix exponential and related quantities
 The matrix exponential GNU R package enables computation of the matrix
 exponential and related quantities.

Package: r-cran-extradistr
Description-md5: f5a188259f66f8761e9dac89a09becc7
Description-en: additional univariate and multivariate distributions for GNU R
 Density, distribution function, quantile function and random generation
 for a number of univariate and multivariate distributions. This package
 implements the following distributions: Bernoulli, beta-binomial, beta-
 negative binomial, beta prime, Bhattacharjee, Birnbaum-Saunders,
 bivariate normal, bivariate Poisson, categorical, Dirichlet, Dirichlet-
 multinomial, discrete gamma, discrete Laplace, discrete normal,
 discrete uniform, discrete Weibull, Frechet, gamma-Poisson, generalized
 extreme value, Gompertz, generalized Pareto, Gumbel, half-Cauchy, half-
 normal, half-t, Huber density, inverse chi-squared, inverse-gamma,
 Kumaraswamy, Laplace, location-scale t, logarithmic, Lomax,
 multivariate hypergeometric, multinomial, negative hypergeometric, non-
 standard beta, normal mixture, Poisson mixture, Pareto, power,
 reparametrized beta, Rayleigh, shifted Gompertz, Skellam, slash,
 triangular, truncated binomial, truncated normal, truncated Poisson,
 Tukey lambda, Wald, zero-inflated binomial, zero-inflated negative
 binomial, zero-inflated Poisson.

Package: r-cran-factominer
Description-md5: ffde10a8a141621725979372058f5a00
Description-en: Multivariate Exploratory Data Analysis and Data Mining
 Exploratory data analysis methods to summarize, visualize and describe
 datasets. The main principal component methods are available, those with
 the largest potential in terms of applications: principal component
 analysis (PCA) when variables are quantitative, correspondence analysis
 (CA) and multiple correspondence analysis (MCA) when variables are
 categorical, Multiple Factor Analysis when variables are structured in
 groups, etc. and hierarchical cluster analysis.

Package: r-cran-fail
Description-md5: b9ebbdbd80fcd69afdb9c5ce8bdee396
Description-en: GNU R File Abstraction Interface Layer (FAIL) mimicking a key-value store
 This package provides a File Abstraction Interface Layer (FAIL)
 mimicking a key-value store.  It is a more comfortable interface to work
 with a directory of R data or source files.

Package: r-cran-fansi
Description-md5: dbfc27fd60d1ecc3971cf747381f6b24
Description-en: GNU R ANSI control sequence aware string functions
 This GNU R package Counterparts to R string manipulation functions
 that account for the effects of ANSI text formatting control
 sequences.

Package: r-cran-farver
Description-md5: 12a265b774f137fd8602eed0c33fad12
Description-en: GNU R high performance colour space manipulation
 The encoding of colour can be handled in many different ways, using
 different colour spaces. As different colour spaces have different uses,
 efficient conversion between these representations are important. The
 'farver' package provides a set of functions that gives access to very fast
 colour space conversion and comparisons implemented in C++, and offers
 speed improvements over the 'convertColor' function in the 'grDevices'
 package.

Package: r-cran-fasianoptions
Description-md5: c84d9f4551bad474f5a8b3a45cf1d498
Description-en: GNU R package for financial engineering -- fAsianOptions
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fAsianOptions provides functions to price and hedge 'asian' (i.e.
 averaging) options on one or several assets.

Package: r-cran-fassets
Description-md5: 186bcc8532bec987d6b79e264915fd6f
Description-en: GNU R package for financial engineering -- fAssets
 This package provides functions for modelling and selection of
 financial assets is part of Rmetrics, a collection of packages for
 financial engineering and computational finance written and compiled
 by Diethelm Wuertz and others.
 .
 fAssets provides asset selection and modelling functions.

Package: r-cran-fastcluster
Description-md5: 2db457eebec7bb41db0f6f450fef99f6
Description-en: Fast hierarchical clustering routines for GNU R
 Fastcluster implements fast hierarchical, agglomerative clustering
 routines. Part of the functionality is designed as drop-in replacement
 for existing routines: “linkage” in the SciPy package
 “scipy.cluster.hierarchy”, “hclust” in R's “stats” package, and the
 “flashClust” package. It provides the same functionality with the
 benefit of a much faster implementation. Moreover, there are
 memory-saving routines for clustering of vector data, which go beyond
 what the existing packages provide. For information on how to install
 the Python files, see the file INSTALL in the source distribution.

Package: r-cran-fastica
Description-md5: 9b377e4bb9080c30c348aff7cfb705e0
Description-en: GNU R package for ICA and Projection Pursuit
 The package provides an implementation of the FastICA algorithm to
 perform Independent Component Analysis (ICA) and Projection Pursuit.

Package: r-cran-fastmap
Description-md5: a8133c81cb584c0f7dd8ec5562175b53
Description-en: GNU R fast implementation of a key-value store
 Fast implementation of a key-value store. Environments are commonly
 used as key-value stores, but every time a new key is used, it is added to
 R's global symbol table, causing a small amount of memory leakage. This can
 be problematic in cases where many different keys are used. Fastmap avoids
 this memory leak issue by implementing the map using data structures in C++.

Package: r-cran-fastmatch
Description-md5: 71d07bfdee2c114304eb1acadf8ee19f
Description-en: GNU R package for fast match replacement for repeated look-ups
 This R package provides a fast match replacement for cases that
 require repeated look-ups. Extremely fast after the first lookup
 match as it keeps the hash table in memory.

Package: r-cran-fauxpas
Description-md5: f0333c81d18adb70f00acd24c9a0ad62
Description-en: GNU R HTTP error helpers
 HTTP error helpers. Methods included for general purpose HTTP
 error handling, as well as individual methods for every HTTP status
 code, both via status code numbers as well as their descriptive names.
 Supports ability to adjust behavior to stop, message or warning.
 Includes ability to use custom whisker template to have any configuration
 of status code, short description, and verbose message. Currently
 supports integration with 'crul', 'curl', and 'httr'.

Package: r-cran-fbasics
Description-md5: 9f576bd05c812a1f4ea199a51b434d3b
Description-en: GNU R package for financial engineering -- fBasics
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fBasics provides basic statistical tests, distributions and other tools
 used by many of the Rmetrics packages.

Package: r-cran-fbonds
Description-md5: 5662409291a58656171708cee411024f
Description-en: GNU R package for financial engineering -- fBonds
 This package provides functions for bond and yield curve modelling
 and is part of Rmetrics, a collection of packages for financial
 engineering and computational finance written and compiled by
 Diethelm Wuertz and others.
 .
 fBonds provides modelling functions for bonds and interest rate models.

Package: r-cran-fcopulae
Description-md5: 5a99df372bd6bd1e4cff64c0db0fd7a8
Description-en: GNU R package for financial engineering -- fCopulae
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fCopulae provides functions for (nonlinear) dependence structure modelling.

Package: r-cran-fdrtool
Description-md5: b4146e0cbe03f165ad07991a8de5ffb4
Description-en: GNU R estimation of false discovery dates and higher criticism
 Estimates both tail area-based false discovery rates (Fdr) as well as
 local false discovery rates (fdr) for a variety of null models (p-values,
 z-scores, correlation coefficients, t-scores). The proportion of
 null values and the parameters of the null distribution are adaptively
 estimated from the data. In addition, the package contains functions for
 non-parametric density estimation (Grenander estimator), for monotone
 regression (isotonic regression and antitonic regression with weights),
 for computing the greatest convex minorant (GCM) and the least concave
 majorant (LCM), for the half-normal and correlation distributions, and
 for computing empirical higher criticism (HC) scores and the
 corresponding decision threshold.

Package: r-cran-fexoticoptions
Description-md5: ec8c36ead013f2cc4c6c24b9a97e67bf
Description-en: GNU R package for financial engineering -- fExoticOptions
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fExoticOptions provides functions to price and hedge exotic options
 on one or several assets.

Package: r-cran-fextremes
Description-md5: a3fc4f335651bb643e835dd2d89d5083
Description-en: GNU R package for financial engineering -- fExtremes
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fExtremes provides functions to analyze extreme values.

Package: r-cran-ffield
Description-md5: 21b2286e7b517b359fabec322fc38ae1
Description-en: Force field simulation for a set of points
 Force field simulation of interaction of set of points.
 Very useful for placing text labels on graphs, such as
 scatterplots.

Package: r-cran-fgarch
Description-md5: 66958fd8537b1e84c591a7477a90add4
Description-en: GNU R package for financial engineering -- fGarch
 This package provides functions for GARCH volatility modelling and is
 part of Rmetrics, a collection of packages for financial engineering
 and computational finance written and compiled by Diethelm Wuertz and
 others.
 .
 fGarch provides generalized autoregressive conditional heteroscastic
 modelling functions.

Package: r-cran-fields
Description-md5: 5ecc34e48d2f689d66a103a049b66698
Description-en: GNU R tools for spatial data
 For curve, surface and function fitting with an emphasis on splines,
 spatial data and spatial statistics. The major methods include cubic,
 and thin plate splines, Kriging and compact covariances for large data
 sets. The splines and Kriging methods are supported by functions that
 can determine the smoothing parameter (nugget and sill variance) and
 other covariance parameters by cross validation and also by restricted
 maximum likelihood. For Kriging there is an easy to use function that
 also estimates the correlation scale (range). A major feature is that
 any covariance function implemented in R and following a simple fields
 format can be used for spatial prediction. There are also many useful
 functions for plotting and working with spatial data as images. This
 package also contains an implementation of sparse matrix methods for
 large spatial data sets.

Package: r-cran-filehash
Description-md5: 349b9b21f05eba9709afb2efda7782b7
Description-en: GNU R simple key-value database
 This GNU R package implements a simple key-value style database where
 character string keys are associated with data values that are stored on
 the disk. A simple interface is provided for inserting, retrieving, and
 deleting data from the database. Utilities are provided that allow
 'filehash' databases to be treated much like environments and lists are
 already used in R. These utilities are provided to encourage interactive
 and exploratory analysis on large datasets. Three different file formats
 for representing the database are currently available and new formats
 can easily be incorporated by third parties for use in the 'filehash'
 framework.

Package: r-cran-fimport
Description-md5: b6699304b177ae55fe19b462c81f6243
Description-en: GNU R package for financial engineering -- fImport
 This package provides functions to import financial and economic data
 series import and is part of Rmetrics, a collection of packages for
 financial engineering and computational finance written and compiled
 by Diethelm Wuertz and others.
 .
 fImport provides import function to access (free) data from Economagic,
 the US Federal Reserve, Forecasts.Org, Yahoo and other web sources.

Package: r-cran-fit.models
Description-md5: bd3a747494e9a170cd7c744a3c9423f0
Description-en: Compare Fitted Models
 The fit.models function and its associated methods (coefficients, print,
 summary, plot, etc.) were originally provided in the robust package to
 compare robustly and classically fitted model objects. The aim of the
 fit.models package is to separate this fitted model object comparison
 functionality from the robust package and to extend it to support
 fitting methods (e.g., classical, robust, Bayesian, regularized, etc.)
 more generally.

Package: r-cran-fitbitscraper
Description-md5: 0b47a1cf2895cd58cfb08fdedfffc3f8
Description-en: Import your Fitbit data from the Fitbit's website into R
 This R package scrapes Fitbit data from the Fitbit's website. This does not
 use the official API, but instead uses the API that the web dashboard uses
 to generate the graphs displayed on the dashboard after login on the
 Fitbit's website.

Package: r-cran-fitcoach
Description-md5: 4d67ae5f1adea160b0ce21be038eb648
Description-en: R package for analysis and retrieve data of Fitbit
 This R package use the official API to import Fitbit data into R.
 Fitbit R API that provides fitbit coach functionality by analyzing
 your data obtained via fitbit API calls, and by giving personalized
 recommendations for the rest of the day based on your behavior.

Package: r-cran-fitdistrplus
Description-md5: 44cf108604350fbe5250e20d241b8fe9
Description-en: support fit of parametric distribution
 Extends the fitdistr() function (of the MASS package) with several
 functions to help the fit of a parametric distribution to non-censored
 or censored data. Censored data may contain left censored, right
 censored and interval censored values, with several lower and upper
 bounds. In addition to maximum likelihood estimation (MLE), the package
 provides moment matching (MME), quantile matching (QME) and maximum
 goodness-of-fit estimation (MGE) methods (available only for non-censored
 data). Weighted versions of MLE, MME and QME are available. See
 e.g. Casella & Berger (2002). Statistical inference. Pacific Grove.

Package: r-cran-flashclust
Description-md5: 5fe3b254e995df6b1d8b387170f712f9
Description-en: Implementation of optimal hierarchical clustering
 Fast implementation of hierarchical clustering

Package: r-cran-flexmix
Description-md5: ac28c07a9ab6559a4fc5a6751931830d
Description-en: GNU R flexible mixture modeling
 A general framework for finite mixtures of regression models using the
 EM algorithm is implemented. The package provides the E-step and all
 data handling, while the M-step can be supplied by the user to easily
 define new models. Existing drivers implement mixtures of standard
 linear models, generalized linear models and model-based clustering.

Package: r-cran-fmultivar
Description-md5: a6a19e550ea20d3ac147b9451ba66f2e
Description-en: GNU R package for financial engineering -- fMultivar
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fMultivar provides multivariate analysis for financial time
 series.

Package: r-cran-fnn
Description-md5: 882e91f85f41524d70c9a8a9d46d1be4
Description-en: GNU R fast nearest neighbor search algorithms and applications
 Cover-tree and kd-tree fast k-nearest neighbor search algorithms and
 related applications including KNN classification, regression and
 information measures are implemented.

Package: r-cran-fnonlinear
Description-md5: 9d61bfca9ea895739fc2c5135fb86272
Description-en: GNU R package for financial engineering -- fNonlinear
 This package provides functions for modelling of nonlinear time
 series and is part of Rmetrics, a collection of packages for
 financial engineering and computational finance written and compiled
 by Diethelm Wuertz and others.
 .
 fNonlinear provides nonlinear time series modelling functions.

Package: r-cran-fontbitstreamvera
Description-md5: f4ff5d6f68388b8279fb4c48b6d5877b
Description-en: GNU R fonts with 'Bitstream Vera Fonts' license
 This GNU R package provides fonts licensed under the
 'Bitstream Vera Fonts' license for the package
 r-cran-fontquiver.

Package: r-cran-fontliberation
Description-md5: 4ba5cfc6bf22658ff3b542bfa92eb1da
Description-en: Liberation Fonts for GNU R
 A placeholder for the Liberation fontset intended for the
 `fontquiver` package. This fontset covers the 12 combinations of
 families (sans, serif, mono) and faces (plain, bold, italic, bold
 italic) supported in R graphics devices.

Package: r-cran-fontquiver
Description-md5: ba6a923e13f5af6656ec15ffc374de53
Description-en: set of installed fonts for GNU R
 This GNU R package provides a set of fonts with permissive licences.
 This is useful when you want to avoid system fonts to make sure your
 outputs are reproducible.

Package: r-cran-foptions
Description-md5: 55ce206b26d64ff7169c398fbb7ac8bc
Description-en: GNU R package for financial engineering -- fOptions
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fOptions provides functions to price and hedge plain and exotic options
 on one or several assets.

Package: r-cran-forcats
Description-md5: a88b0241762f6c3222599c80a0801847
Description-en: GNU R package for working with categorical variables (factors)
 The package offers helpers for reordering factor levels (including moving
 specified levels to front, ordering by first appearance, reversing, and
 randomly shuffling), and tools for modifying factor levels (including
 collapsing rare levels into other, 'anonymising', and manually 'recoding').

Package: r-cran-foreach
Description-md5: 580172b74884d558cd1870f5e139984a
Description-en: GNU R foreach looping support
 This package provides support for the foreach looping construct.
 Foreach is an idiom that allows for iterating over elements in a
 collection, without the use of an explicit loop counter.  This package
 in particular is intended to be used for its return value, rather
 than for its side effects.  In that sense, it is similar to the
 standard lapply function, but doesn't require the evaluation of
 a function.  Using foreach without side effects also facilitates
 executing the loop in parallel.

Package: r-cran-forecast
Description-md5: fbe002920852e5d23ff950431c9f03c4
Description-en: GNU R forecasting functions for time series and linear models
 Methods and tools for displaying and analysing
 univariate time series forecasts including exponential smoothing
 via state space models and automatic ARIMA modelling.

Package: r-cran-foreign
Description-md5: 8ce4e6711a91107a9e21ae559bf8afb5
Description-en: GNU R package to read/write data from other stat. systems
 This package provides functions for reading and writing data stored by
 statistical packages such as Minitab, S, SAS, SPSS, Stata, ...
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-formatr
Description-md5: 58c255f8c6d41916e5a1c5e8c731e3e7
Description-en: Format R code automatically
 The GNU R package formatr provides a function tidy_source() to format R
 source code. Spaces and indent will be added to the code automatically,
 and comments will be preserved under certain conditions, so that R code
 will be more human-readable and tidy. There is also a Shiny app as a user
 interface in this package (see tidy_app()).

Package: r-cran-formula
Description-md5: 20d1356727c95b843b6f1ff89843b462
Description-en: GNU R package for extended model formulas
 The Formula package provides Infrastructure for extended formulas
 with multiple parts on the right-hand side and/or multiple responses
 on the left-hand side.

Package: r-cran-fpc
Description-md5: c6256855695264ff0fe58768fc1652d5
Description-en: GNU R flexible procedures for clustering
 Various methods for clustering and cluster validation. Fixed point
 clustering. Linear regression clustering. Clustering by merging Gaussian
 mixture components. Symmetric and asymmetric discriminant projections
 for visualisation of the separation of groupings. Cluster validation
 statistics for distance based clustering including corrected Rand index.
 Cluster-wise cluster stability assessment. Methods for estimation of the
 number of clusters: Calinski-Harabasz, Tibshirani and Walther's
 prediction strength, Fang and Wang's bootstrap stability.
 Gaussian/multinomial mixture fitting for mixed continuous/categorical
 variables. Variable-wise statistics for cluster interpretation. DBSCAN
 clustering. Interface functions for many clustering methods implemented
 in R, including estimating the number of clusters with kmeans, pam and
 clara. Modality diagnosis for Gaussian mixtures.

Package: r-cran-fportfolio
Description-md5: 9a391890c73ccd199f9a1e5640365c1a
Description-en: GNU R package for financial engineering -- fPortfolio
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fPortfolio provides functions for portfolio and asset price modeling, drawdown
 statistics, value-at-risk and Markowitz portfolio construction.

Package: r-cran-fracdiff
Description-md5: 05689ac6fb9d8236083f4e138d8d0647
Description-en: GNU R fractionally differenced ARIMA aka ARFIMA(p,d,q) models
 Maximum likelihood estimation of the parameters of a
 fractionally differenced ARIMA(p,d,q) model (Haslett and
 Raftery, Appl.Statistics, 1989).

Package: r-cran-freetypeharfbuzz
Description-md5: 7cddcd905df2ee17a02bcb4cd55b8ee8
Description-en: GNU R deterministic computation of text box metrics
 Unlike other tools that dynamically link to the 'Cairo'
 stack, 'freetypeharfbuzz' is statically linked to specific
 versions of the 'FreeType' and 'harfbuzz' libraries (2.9 and 1.7.6
 respectively). This ensures deterministic computation of text box
 extents for situations where reproducible results are crucial (for
 instance unit tests of graphics).

Package: r-cran-fregression
Description-md5: d373b77352a75f9a53e5e5f4b1fb98b9
Description-en: GNU R package for financial engineering -- fRegression
 This package provides functions for regression-based decision and prediction
 and is part of Rmetrics, a collection of packages for financial engineering
 and computational finance written and compiled by Diethelm Wuertz and others.
 .
 fRegression provides functions for regression-based decision and prediction.

Package: r-cran-fs
Description-md5: 201ea00dea6d5b315a07a460089a3379
Description-en: GNU R cross-platform file system operations
 This GNU R package provides a cross-platform interface to file system
 operations, built on top of the 'libuv' C library.

Package: r-cran-ftrading
Description-md5: 02f1b350bf698c2e136f6d1ca0e689a6
Description-en: GNU R package for financial engineering -- fTrading
 This package provides functions to import financial and economic data
 series import and is part of Rmetrics, a collection of packages for
 financial engineering and computational finance written and compiled
 by Diethelm Wuertz and others.
 .
 fTrading provides functions for technical trading analysis.

Package: r-cran-fts
Description-md5: bbf518b93e4490a64a4bb0d0e23addbb
Description-en: GNU R interface to tslib
 This GNU R package provides fast operations for time series objects
 (a time series library in c++).

Package: r-cran-funitroots
Description-md5: 0b3680455d0b8f28b64364043ffffdf0
Description-en: GNU R package for financial engineering -- fUnitRoots
 This package provides functions for unit root modelling of
 non-stationary time series and is part of Rmetrics, a collection of
 packages for financial engineering and computational finance written
 and compiled by Diethelm Wuertz and others.
 .
 fUnitRoots provides modelling functions for non-stationary time series.

Package: r-cran-furrr
Description-md5: 3d3e30734795a755b66221cd3c530676
Description-en: GNU R apply mapping functions in parallel using futures
 Implementations of the family of map() functions from 'purrr' that can
 be resolved using any 'future'-supported backend, e.g. parallel on the
 local machine or distributed on a compute cluster.

Package: r-cran-futile.logger
Description-md5: 5f49e140291c4ba0690957857ee1b265
Description-en: logging utility for GNU R
 This GNU R package provides a simple yet powerful logging utility. Based
 loosely on log4j, futile.logger takes advantage of R idioms to make logging
 a convenient and easy to use replacement for cat and print statements.

Package: r-cran-futile.options
Description-md5: c56482a96717fe93d075b14c074342db
Description-en: GNU R futile options management
 This GNU R package provides a scoped options management framework.

Package: r-cran-future
Description-md5: 3ff9a5c0bbec4b3ba15336d9dd04c99e
Description-en: R package: A Future API for R
 In programming, a future is an abstraction for a value that may be available
 at some point in the future. The state of a future can either be unresolved or
 resolved. As soon as it is resolved, the value is available. Futures are
 useful constructs in for instance concurrent evaluation, e.g. parallel
 processing and distributed processing on compute clusters. The purpose of this
 package is to provide a lightweight interface for using futures in R.

Package: r-cran-future.apply
Description-md5: bccf1fa10be048414b8db6c658ec6761
Description-en: apply function to elements in parallel using futures
 Implementations of apply(), by(), eapply(), lapply(), Map(), mapply(),
 replicate(), sapply(), tapply(), and vapply() that can be resolved using
 any future-supported backend, e.g. parallel on the local machine or
 distributed on a compute cluster. These future_*apply() functions come
 with the same pros and cons as the corresponding base-R *apply()
 functions but with the additional feature of being able to be processed
 via the future framework.

Package: r-cran-future.batchtools
Description-md5: a1812134e768ffa7f08bd46a9d4d1f2f
Description-en: Future API for Parallel and Distributed Processing
 Implementation of the Future API on top of the 'batchtools' package.
 This allows you to process futures, as defined by the 'future' package,
 in parallel out of the box, not only on your local machine or ad-hoc
 cluster of machines, but also via high-performance compute ('HPC') job
 schedulers such as 'LSF', 'OpenLava', 'Slurm', 'SGE', and 'TORQUE' / 'PBS',
 e.g. 'y <- future.apply::future_lapply(files, FUN = process)'.

Package: r-cran-g.data
Description-md5: 7b55453462f80c76d77e90ca9aa95ef1
Description-en: GNU R package for delayed-data
 g.data creates and maintains delayed-data packages (DDP's). Data
 stored in a DDP are available on demand, but do not take up memory
 until requested.  You attach a DDP with g.data.attach(), then read from
 it and assign to it in a manner similar to S-Plus, except that you must
 run g.data.save() to actually commit to disk.

Package: r-cran-gam
Description-md5: 97917079df78a8cade60b851b2cbafb8
Description-en: Generalized Additive Models for R
 Functions for fitting and working with generalized additive models,
 as described in chapter 7 of “Statistical Models in S” (Chambers and
 Hastie (eds), 1991), and “Generalized Additive Models” (Hastie and
 Tibshirani, 1990).

Package: r-cran-gbm
Description-md5: bb38159ea69aa73da1340c69243cf5cd
Description-en: GNU R package providing Generalized Boosted Regression Models
 This package implements extensions to Freund and Schapire's AdaBoost algorithm
 and Friedman's gradient boosting machine. Includes regression methods for least
 squares, absolute loss, t-distribution loss, quantile regression, logistic,
 multinomial logistic, Poisson, Cox proportional hazards partial likelihood,
 AdaBoost exponential loss, Huberized hinge loss, and Learning to Rank measures
 (LambdaMart).

Package: r-cran-gbrd
Description-md5: 3acc8dab1fe00e2997c78b8a09798af3
Description-en: GNU R utilities for processing Rd objects and files
 Provides utilities for processing Rd objects and files.
 Extract argument descriptions and other parts of the help pages
 of functions.

Package: r-cran-gclus
Description-md5: 17425c12f5f1e51137a8734379cf2556
Description-en: GNU R clustering graphics
 Orders panels in scatterplot matrices and parallel coordinate displays
 by some merit index. Package contains various indices of merit, ordering
 functions, and enhanced versions of pairs and parcoord which color
 panels according to their merit level.

Package: r-cran-gdata
Description-md5: c1ea5b7115f7ea4c6daa4e77ba752973
Description-en: GNU R package with data manipulation tools by Greg Warnes et al
 This package of R programming tools was written by Gregory Warnes
 with code contributions by Ben Bolker and Thomas Lumley.
 .
 This package used to be part of the gregmisc bundle packaged for Debian
 as r-cran-gregmisc.

Package: r-cran-gdtools
Description-md5: f8c483fc907e30b702decb92a9bf54e5
Description-en: GNU R utilities for graphical rendering
 This package provides useful tools for writing vector graphics devices
 in GNU R.

Package: r-cran-gee
Description-md5: 3c1919a7979fde3f0926abea664039c8
Description-en: Generalized Estimation Equation Solver
 R package which provide a function to solve a Generalized Estimation
 Equation Model.

Package: r-cran-geepack
Description-md5: 8f1c9646feff52cc4a99f2a29cea4f31
Description-en: Generalized Estimating Equation Package for R
 Generalized estimating equations solver for parameters in mean,
 scale, and correlation structures, through mean link, scale link, and
 correlation link. Can also handle clustered categorical responses.

Package: r-cran-genabel
Description-md5: 530f9b33f02d0502308598ff48ab61ee
Description-en: GNU R package for genome-wide SNP association analysis
 The package offers the R library GenABEL for the hunt of genetic contributions
 to a disease (or any other pheonypical trait) by so called genome-wide
 association analysis. Additional input commonly comes from DNA mircoarray
 experiments, performed on every individual, that determine differences
 (polymorphisms) in the population. GenABEL finds associations between
 quantitative or binary traits and single-nucleiotide polymorphisms
 (SNPs).

Package: r-cran-genabel.data
Description-md5: 493b51f23e6434e40e53cad0d7976cc0
Description-en: data package for genome-wide SNP association analysis
 The package offers the R library GenABEL for the hunt of genetic contributions
 to a disease (or any other pheonypical trait) by so called genome-wide
 association analysis. Additional input commonly comes from DNA mircoarray
 experiments, performed on every individual, that determine differences
 (polymorphisms) in the population. GenABEL finds associations between
 quantitative or binary traits and single-nucleiotide polymorphisms
 (SNPs).
 .
 GenABEL.data package consists of a data set used by GenABEL functions.

Package: r-cran-generics
Description-md5: 38eabb54ea8e9baa42d3e3eb8e1861f5
Description-en: GNU R common S3 generics not provided by base R methods
 This GNU R package provides a number of commonly used S3 generics that
 are not provided by base R methods related to model fitting in order to
 reduce potential package dependencies and conflicts,

Package: r-cran-genetics
Description-md5: 8000a9bc136593395d9049aa64c82eb2
Description-en: GNU R package for population genetics
 Classes and methods for handling genetic data. Includes
 The package provides a library for the statistics environment R that
 contains classes to represent genotypes and haplotypes at single markers up
 to multiple markers on multiple chromosomes. Function include
 allele frequencies, flagging homo/heterozygotes, flagging carriers
 of certain alleles, estimating and testing for Hardy-Weinberg
 disequilibrium, estimating and testing for linkage disequilibrium,
 and more.
 .
 NOTE: THIS PACKAGE IS NOW OBSOLETE.
 .
 The R-Genetics project has developed an set of enhanced genetics
 packages to replace 'genetics'. Please visit the project homepage
 at http://rgenetics.org for information.

Package: r-cran-geometry
Description-md5: 4646c7f1412a462dfb59a0e6dc4f34c1
Description-en: GNU R mesh generation and surface tesselation
 This GNU R package makes the qhull library (www.qhull.org)
 available in R, in a similar manner as in Octave and MATLAB. Qhull
 computes convex hulls, Delaunay triangulations, halfspace
 intersections about a point, Voronoi diagrams, furthest-site
 Delaunay triangulations, and furthest-site Voronoi diagrams. It
 runs in 2-d, 3-d, 4-d, and higher dimensions. It implements the
 Quickhull algorithm for computing the convex hull. Qhull does not
 support constrained Delaunay triangulations, or mesh generation of
 non-convex objects, but the package does include some R functions
 that allow for this. Currently the package only gives access to
 Delaunay triangulation and convex hull computation.

Package: r-cran-geosphere
Description-md5: ce6961843b47409c81bb5d960d9893cf
Description-en: GNU R Spherical Trigonometry
 Spherical trigonometry for geographic applications. That is, compute
 distances and related measures for angular (longitude/latitude)
 locations.

Package: r-cran-getopt
Description-md5: 32a7e52d15c9afc1f3cdef8874cce5dd
Description-en: GNU R package providing command-line parsing functionality
 This package provides the getopt function which can be used with R's
 Rscript (or littler's r) to write ``#!'' shebang scripts that accept
 short and long flags/options.

Package: r-cran-ggally
Description-md5: b73a724ef03578d965af957406d2082f
Description-en: GNU R extension to r-cran-ggplot2
 The R package 'ggplot2' is a plotting system based on the grammar of
 graphics. 'GGally' extends 'ggplot2' by adding several functions to
 reduce the complexity of combining geometric objects with transformed
 data. Some of these functions include a pairwise plot matrix, a two
 group pairwise plot matrix, a parallel coordinates plot, a survival
 plot, and several functions to plot networks.

Package: r-cran-ggbeeswarm
Description-md5: 2081ace7fe25f29138fb0ddb2d20d66e
Description-en: GNU R categorical scatter (violin point) plots
 Provides two methods of plotting categorical scatter plots such
 that the arrangement of points within a category reflects the density of
 data at that region, and avoids over-plotting.

Package: r-cran-ggdendro
Description-md5: edc77f9c34b333391e4b3a5b794eb01e
Description-en: GNU R create dendrograms and tree diagrams using 'ggplot2'
 This is a set of tools for dendrograms and
 tree plots using 'ggplot2'.  The 'ggplot2' philosophy is to
 clearly separate data from the presentation.
 Unfortunately the plot method for dendrograms plots
 directly to a plot device without exposing the data.
 The 'ggdendro' package resolves this by making available
 functions that extract the dendrogram plot data. The package
 provides implementations for tree, rpart, as well as diana and agnes
 cluster diagrams.

Package: r-cran-ggeffects
Description-md5: 072d64c709f93c88d8968975c9bf91e5
Description-en: GNU R create tidy data frames of marginal effects for 'ggplot'
 Compute marginal effects at the mean or average marginal effects from
 statistical models and returns the result as tidy data frames. These
 data frames are ready to use with the 'ggplot2'-package.
 Marginal effects can be calculated for many different models. Interaction
 terms, splines and polynomial terms are also supported. The two main
 functions are ggpredict() and ggaverage(), however, there are
 some convenient wrapper-functions especially for polynomials or
 interactions. There is a generic plot()-method to plot the results
 using 'ggplot2'.

Package: r-cran-ggforce
Description-md5: 6c464a6ce5586db47d9ffad7a189cab0
Description-en: accelerating GNU R ggplot2
 The aim of 'ggplot2' is to aid in visual data investigations. This
 focus has led to a lack of facilities for composing specialised plots.
 'ggforce' aims to be a collection of mainly new stats and geoms that fills
 this gap. All additional functionality is aimed to come through the official
 extension system so using 'ggforce' should be a stable experience.

Package: r-cran-ggm
Description-md5: 0d45cc84c8c00265a9305f1093d05870
Description-en: GNU R functions for graphical Markov models
 Functions and datasets for maximum likelihood fitting of some classes of
 graphical Markov models.

Package: r-cran-ggplot2
Description-md5: c0bde8209df613291d395c4f0ceff7e5
Description-en: implementation of the Grammar of Graphics
 ggplot2 combines the advantages of both base and lattice graphics.
 Conditioning and shared axes are handled automatically, and you can
 still build up a plot step by step from multiple data sources. It
 also implements a sophisticated multidimensional conditioning system
 and a consistent interface to map data to aesthetic attributes.

Package: r-cran-ggraph
Description-md5: 74d7ef403943903b4628a662fecf0517
Description-en: GNU R implementation of grammar of graphics for graphs and networks
 The grammar of graphics as implemented in ggplot2 is a poor fit for
 graph and network visualizations due to its reliance on tabular data input.
 ggraph is an extension of the ggplot2 API tailored to graph visualizations
 and provides the same flexible approach to building up plots layer by layer.

Package: r-cran-ggrepel
Description-md5: 83a3a86e9c8a7828c7f2729b75f55431
Description-en: auto-position non-overlapping text labels in plots
 Provides text and label geoms for 'ggplot2' that help to avoid
 overlapping text labels. Labels repel away from each other and away
 from the data points.

Package: r-cran-ggridges
Description-md5: e7578c635c427c3cf70d01fb071f8496
Description-en: Ridgeline Plots in 'ggplot2'
 Ridgeline plots provide a convenient way of visualizing changes in
 distributions over time or space. This package enables the creation of
 such plots in 'ggplot2'.

Package: r-cran-ggsci
Description-md5: 821ac5fcd8457f130583150deaec4b65
Description-en: Scientific Journal and Sci-Fi Themed Color Palettes
 A collection of 'ggplot2' color palettes inspired by
 plots in scientific journals, data visualization libraries,
 science fiction movies, and TV shows.

Package: r-cran-ggthemes
Description-md5: 4c719f96f22738cb670af683ca55ec1d
Description-en: extra themes, scales and geoms for r-cran-ggplot2
 Some extra themes, geoms, and scales for 'ggplot2'.
 Provides 'ggplot2' themes and scales that replicate the look of plots
 by Edward Tufte, Stephen Few, 'Fivethirtyeight', 'The Economist', 'Stata',
 'Excel', and 'The Wall Street Journal', among others.
 Provides 'geoms' for Tufte's box plot and range frame.

Package: r-cran-ggvis
Description-md5: 13ca1065837471b14d6bf55662fbafbc
Description-en: GNU R interactive grammar of graphics
 An implementation of an interactive grammar of graphics, taking the
 best parts of 'ggplot2', combining them with the reactive framework of
 'shiny' and drawing web graphics using 'vega'.

Package: r-cran-gh
Description-md5: ff9b36ea3ffbf8eb8a1a69c0f8e02cbd
Description-en: GNU R Minimal client to access the 'GitHub' 'API'
 Minimal client to access the 'GitHub' 'API' with the GNU R environment.

Package: r-cran-git2r
Description-md5: 2ad51e7a679949256e9d8b7ec8c83bb7
Description-en: GNU R access to Git repositories
 This GNU R package provides an interface to the libgit2 library, which is
 a pure C implementation of the Git core methods. Provides access to Git
 repositories to extract data and running some basic Git commands.

Package: r-cran-glasso
Description-md5: b875e678f9f8b0c2b403c02bb7d7e29b
Description-en: GNU R graphical lasso: estimation of Gaussian graphical models
 Estimation of a sparse inverse covariance matrix using a lasso (L1)
 penalty. Facilities are provided for estimates along a path of values
 for the regularization parameter.

Package: r-cran-glmmtmb
Description-md5: e1b6575a731075ace68532f6c752e23c
Description-en: Generalized Linear Mixed Models using Template Model Builder
 Fit linear and generalized linear mixed models with various
 extensions, including zero-inflation. The models are fitted using maximum
 likelihood estimation via 'TMB' (Template Model Builder). Random effects are
 assumed to be Gaussian on the scale of the linear predictor and are integrated
 out using the Laplace approximation. Gradients are calculated using automatic
 differentiation.

Package: r-cran-glmnet
Description-md5: 3540cbed28fd611fe9733912b4c8302a
Description-en: Lasso and Elastic-Net Regularized Generalized Linear Models
 Extremely efficient procedures for fitting the entire lasso or elastic-net
 regularization path for linear regression, logistic and multinomial
 regression models, Poisson regression and the Cox model. Two recent
 additions are the multiple-response Gaussian, and the grouped multinomial.
 The algorithm uses cyclical coordinate descent in a path-wise fashion, as
 described in the paper Introduction to Glmnet.

Package: r-cran-globaloptions
Description-md5: 2e0c25dafc1d90c7870921ee06d62729
Description-en: Generate Functions to Get or Set Global Options
 It provides more configurations on the option values such as validation
 and filtering on the values, making options invisible or private.

Package: r-cran-globals
Description-md5: 0f6803327f7d4ab0d5615484afa5d7d3
Description-en: R package: Identify Global Objects in R Expressions
 Identifies global ("unknown") objects in R expressions by code inspection
 using various strategies, e.g. conservative or liberal. The objective of this
 package is to make it as simple as possible to identify global objects for the
 purpose of exporting them in distributed compute environments.

Package: r-cran-glue
Description-md5: eeef8a6bc79e284c5c0e322bff61d190
Description-en: GNU R interpreted string literals
 An implementation of interpreted string literals, inspired by
 Python's Literal String Interpolation https://www.python.org/dev/peps/pep-0498/
 and Docstrings and Julia's Triple-Quoted String Literals

Package: r-cran-gmaps
Description-md5: 22af10cc61f61b6c1e5146aadab0f38d
Description-en: GNU R support for producing geographic maps with grid graphics
 This package provides facilities for easily producing maps based on
 data sets in the GNU R statistical computing environment.
 .
 The gmaps package extends the functionality of the maps package for
 the grid graphics system, enabling more advanced plots and more
 functionality. It also makes use of the grid structure to fix
 problems encountered with the traditional graphics system, such as
 resizing of graphs.
 .
 This package was removed from CRAN and is only available in CRAN archive.

Package: r-cran-gmm
Description-md5: 97331c8154ef0d07104cd49408715cb7
Description-en: GNU R generalized method of moments and generalized empirical likelihood
 This GNU R package is a complete suite to estimate models based on
 moment conditions. It includes the two step Generalized method of
 moments (Hansen 1982; <doi:10.2307/1912775>), the iterated GMM and
 continuous updated estimator (Hansen, Eaton and Yaron 1996;
 <doi:10.2307/1392442>) and several methods that belong to the
 Generalized Empirical Likelihood family of estimators (Smith 1997;
 <doi:10.1111/j.0013-0133.1997.174.x>, Kitamura 1997;
 <doi:10.1214/aos/1069362388>, Newey and Smith 2004;
 <doi:10.1111/j.1468-0262.2004.00482.x>, and Anatolyev 2005
 <doi:10.1111/j.1468-0262.2005.00601.x>).

Package: r-cran-gmodels
Description-md5: 136997ab5d1a30ab6beed422f75a10f5
Description-en: GNU R package with tools for model fitting by Greg Warnes et al
 This package of R programming tools for model fitting was written by
 Gregory Warnes with code contributions by Ben Bolker and Thomas
 Lumley.
 .
 This package used to be part of the gregmisc bundle packaged for Debian
 as r-cran-gregmisc.

Package: r-cran-gnm
Description-md5: c4832d92d9ca22e8e4964c7eacc1bf4e
Description-en: GNU R package for generalized nonlinear models
 Functions to specify and fit generalized nonlinear models, including
 models with multiplicative interaction terms such as the UNIDIFF model
 from sociology and the AMMI model from crop science, and many others.
 Over-parameterized representations of models are used throughout;
 functions are provided for inference on estimable parameter
 combinations, as well as standard methods for diagnostics etc.

Package: r-cran-goftest
Description-md5: 578a8b7f40182e6aa2c43bb1175b8a0d
Description-en: GNU R Classical Goodness-of-Fit Tests for Univariate Distributions
 This R package provides Cramer-Von Mises and Anderson-Darling tests of
 goodness-of-fit for continuous univariate distributions, using efficient
 algorithms.

Package: r-cran-googlevis
Description-md5: f78161a262985ba2cb6a8765984b73fb
Description-en: GNU R Interface to Google Charts
 R interface to Google Charts API, allowing users to create interactive
 charts based on data frames. Charts are displayed locally via the R HTTP
 help server. A modern browser with Internet connection is required and
 for some charts a Flash player. The data remains local and is not
 uploaded to Google.

Package: r-cran-gower
Description-md5: 57ed8f8f61d304424680d1d58e2f782d
Description-en: GNU R Gower's Distance
 Compute Gower's distance (or similarity) coefficient between records.
 Compute the top-n matches between records. Core algorithms are executed
 in parallel on systems supporting OpenMP.

Package: r-cran-gparotation
Description-md5: 49cbc76a573c3549c6f0dd4c580f398f
Description-en: GNU R GPA factor rotation
 The GNU R package GPArotation implements Gradient Projection Algorithms
 and several rotation objective functions for factor analysis.

Package: r-cran-gplots
Description-md5: cce2aa54adae41d5882d87f324c74d12
Description-en: GNU R package with tools for plotting data by Greg Warnes et al
 This package of R programming tools for plotting data was written by
 Gregory Warnes with code contributions by Ben Bolker and Thomas
 Lumley.
 .
 This package used to be part of the gregmisc bundle packaged for Debian
 as r-cran-gregmisc.

Package: r-cran-gprofiler
Description-md5: 420ff815deb652e3958343ca11c0d2a2
Description-en: Interface to the 'g:Profiler' Toolkit
 Functional enrichment analysis, gene identifier conversion and
 mapping homologous genes across related organisms via the 'g:Profiler' toolkit
 (<https://biit.cs.ut.ee/gprofiler/>).

Package: r-cran-graphlayouts
Description-md5: c05535439b2eecffc037abb56b42f96a
Description-en: GNU R additional layout algorithms for network visualizations
 Several new layout algorithms to visualize networks are provided which
 are not part of 'igraph'. Most are based on the concept of stress
 majorization by Gansner et al. (2004) <doi:10.1007/978-3-540-31843-
 9_25>. Some more specific algorithms allow one to emphasize hidden group
 structures in networks or focus on specific nodes.

Package: r-cran-gregmisc
Description-md5: 1e0d3b742d56ff44fd34d9c6952f02f2
Description-en: GNU R package with miscellaneous functions by Greg Warnes et al
 This package of miscellaneous functions for GNU R that written by
 Gregory Warnes with code contributions by Ben Bolker, Bendix
 Carstensen, Don MacQueen, William Venables, Marc Schwartz, Ben
 Bolker, Ian Wilson, Kjetil Halvorsen, Arni Magnusson, Lodewijk
 Bonebakker, and others.
 .
 Most of the function in the gregmisc library fall into six general areas:
 permutations and combinations, tools for linear models, plots, data
 manipulation, and fixed or extended versions of existing functions.
 .
 Note that as of version 2.0.8, gregmisc's code is now provided by the
 new packages gdata, gmodels, gplots and gtools which contain all the
 code formerly provided by gregmisc.  Unless any of your R code still
 loads the gregmisc package directly, and you thus need it to load the
 component packages, you can remove this package.

Package: r-cran-gridbase
Description-md5: 5867609ba2f8f753d6152049fe5441b2
Description-en: GNU R Integration of base and grid graphics
 This GNU R package enables integration of base and grid graphics.

Package: r-cran-gridextra
Description-md5: d4eee1f14852cf873540f0df85825e3c
Description-en: GNU R package with extensions for the grid package
 The gridextra package provides several high-level functions to extend
 the grid package which provides a low-level graphics infrastructure
 for R.

Package: r-cran-gridgraphics
Description-md5: 18e063509fd7469d81135b40b8713e60
Description-en: GNU R redraw base graphics using 'grid' graphics
 Functions to convert a page of plots drawn with the
 'graphics' package into identical output drawn with the 'grid' package.
 The result looks like the original 'graphics'-based plot, but consists
 of 'grid' grobs and viewports that can then be manipulated with
 'grid' functions (e.g., edit grobs and revisit viewports).

Package: r-cran-gsa
Description-md5: 67f518fd735b0ff7eb62431cd9be7a78
Description-en: GNU R gene set analysis
 This GNU R package provides functions for gene set analysis.
 .
 It determines the significance of pre-defined sets of genes with respect
 to an outcome variable, such as a group indicator, a quantitative
 variable or a survival time.

Package: r-cran-gsl
Description-md5: 5d79cc8ccb420dc2c07975a8e77dd4b1
Description-en: GNU R wrapper for the GNU Scientific Library
 An R wrapper for the special functions and quasi random number
 generators of the GNU Scientific Library
 (http://www.gnu.org/software/gsl/).

Package: r-cran-gss
Description-md5: fcea1b21202ed89c6b3e02129bc979cc
Description-en: GNU R package for multivariate estimation using smoothing splines
 This package provides a structural multivariate function estimation
 using smoothing splines.

Package: r-cran-gtable
Description-md5: ab4df13a56699608f5435faf54ee271b
Description-en: Arrange grobs in tables
 A grob table captures all the information needed to layout grobs in a
 table structure. It supports row and  column spanning, offers some
 tools to automatically figure out the correct dimensions, and makes it
 easy to align and combine multiple tables.
 Each grob is put in its own viewport - grobs in the same location are
 not combined into one cell. Each grob takes up the entire cell viewport
 so justification control is not available.

Package: r-cran-gtools
Description-md5: 6184d280151862bb45756802beb62e59
Description-en: GNU R package with R programming tools by Greg Warnes et al
 This package of R programming tools was written by Gregory Warnes
 with code contributions by Ben Bolker and Thomas Lumley.
 .
 This package used to be part of the gregmisc bundle packaged for Debian
 as r-cran-gregmisc.

Package: r-cran-guerry
Description-md5: 300d44bb4e434debe533d590fa2ad180
Description-en: maps, data and methods related to Guerry moral statistics
 This package comprises maps of France in 1830, multivariate data from
 A.-M. Guerry and others, and statistical and graphic methods related to
 Guerry's "Moral Statistics of France". The goal is to facilitate the
 exploration and development of statistical and graphic methods for
 multivariate data in a geo-spatial context of historical interest.

Package: r-cran-gwidgets
Description-md5: 23cc34cc372416ecf547ba95989cd03b
Description-en: gWidgets API for Toolkit-Independent, Interactive GUIs
 Provides a toolkit-independent API for building interactive GUIs. At
 least one of the 'gWidgetsXXX packages', such as gWidgetstcltk, needs to
 be installed. Some icons are on loan from the scigraphica project
 <http://scigraphica.sourceforge.net>.

Package: r-cran-gwidgetsrgtk2
Description-md5: ceb8b79732543fe587daa19a164dadf4
Description-en: Toolkit Implementation of gWidgets for RGtk2
 Port of the gWidgets API to the RGtk2 toolkit.

Package: r-cran-gwidgetstcltk
Description-md5: 711b79c317878b5a3a2b5c4dea14a4ba
Description-en: Toolkit implementation of gWidgets for tcltk package
 Port of the gWidgets API to the tcltk package. Requires Tk 8.5 or greater.

Package: r-cran-haplo.stats
Description-md5: 0667f477cd725a6f574085cfa7efa687
Description-en: GNU R package for haplotype analysis
 The package provides routines for the GNU R statistics environment
 for statistical Analysis of indirectly measured Haplotypes with Traits
 and Covariates when Linkage Phase is Ambiguous.  The statistical methods
 assume that all subjects are unrelated and that haplotypes are ambiguous
 (due to unknown linkage phase of the genetic markers). The main functions
 are: haplo.em, haplo.glm, haplo.score, haplo.power, and seqhap.

Package: r-cran-haven
Description-md5: 7dbc3f0821b676b643880e58e85399a2
Description-en: GNU R package to import/export SPSS, Stata and SAS files
 The goal of 'haven' is import foreign statistical formats into R via
 the embedded 'ReadStat' C library.

Package: r-cran-hdf5
Description-md5: 82d96d44125a078dcbfc684c515a0509
Description-en: GNU R package interfacing the NCSA HDF5 library
 This package provides the functions hdf5save and hdf5load which allow
 saving and loading of R variables in the Hierarchical Data Format
 (HDF) format.  Lists, strings, vectors, matrices and
 higher-dimensional arrays are supported.

Package: r-cran-heatmaply
Description-md5: 9012bff29d3b6fc41cee5dee042f11ff
Description-en: GNU R interactive cluster heat maps using 'plotly'
 Create interactive cluster 'heatmaps' that can be saved as a stand alone
 HTML file, embedded in 'R Markdown' documents or in a 'Shiny' app, and
 available in the 'RStudio' viewer pane. Hover the mouse pointer over a
 cell to show details or drag a rectangle to zoom. A 'heatmap' is a
 popular graphical method for visualizing high-dimensional data, in which
 a table of numbers are encoded as a grid of colored cells. The rows and
 columns of the matrix are ordered to highlight patterns and are often
 accompanied by 'dendrograms'. 'Heatmaps' are used in many fields for
 visualizing observations, correlations, missing values patterns, and
 more. Interactive 'heatmaps' allow the inspection of specific value by
 hovering the mouse over a cell, as well as zooming into a region of the
 'heatmap' by dragging a rectangle around the relevant area. This work is
 based on the 'ggplot2' and 'plotly.js' engine. It produces similar
 'heatmaps' as 'heatmap.2' or 'd3heatmap', with the advantage of speed
 ('plotly.js' is able to handle larger size matrix), the ability to zoom
 from the 'dendrogram' panes, and the placing of factor variables in the
 sides of the 'heatmap'.

Package: r-cran-hexbin
Description-md5: dad8df8e39eff9d24d4798cb6105ec94
Description-en: GNU R hexagonal binning routines
 This GNU R package contains binning and plotting functions for hexagonal
 bins. Now uses and relies on grid graphics and formal (S4) classes and
 methods.

Package: r-cran-highr
Description-md5: f0b10f14ef96e29ae16c8780cb73682f
Description-en: Syntax Highlighting for R Source Code
 The GNU R package highr provides syntax highlighting for R source code; it
 natively supports generating LaTeX and HTML output from R source code.
 Source code of other languages is supported via Andre Simon's highlight
 package.

Package: r-cran-hmisc
Description-md5: 071f75a87f8f18a68654b258440b9117
Description-en: GNU R miscellaneous functions by Frank Harrell
 The Hmisc library contains many functions useful for data
 analysis, high-level graphics, utility operations, functions for
 computing sample size and power, translating SAS datasets,
 imputing missing values, advanced table making, variable clustering,
 character string manipulation, conversion of S objects to LaTeX code,
 recoding variables, and bootstrap repeated measures analysis.

Package: r-cran-hms
Description-md5: 98aa6d45393bde874ed4dd11129b0820
Description-en: GNU R pretty time of day
 This GNU R package implements an S3 class for storing and formatting
 time-of-day values, based on the 'difftime' class.

Package: r-cran-hsaur3
Description-md5: 3cb43c213407c77cd42521d30d8d197c
Description-en: Handbook of Statistical Analyses Using R (3rd Edition)
 Functions, data sets, analyses and examples from the
 third edition of the book
 ''A Handbook of Statistical Analyses Using R'' (Torsten Hothorn and Brian S.
 Everitt, Chapman & Hall/CRC, 2014). The first chapter
 of the book, which is entitled ''An Introduction to R'',
 is completely included in this package, for all other chapters,
 a vignette containing all data analyses is available. In addition,
 Sweave source code for slides of selected chapters is included in
 this package (see HSAUR3/inst/slides).

Package: r-cran-htmltable
Description-md5: 4b092218fafe1cbdcc4c16804d13033e
Description-en: GNU R package for advanced html tables
 The htmlTable package provides tables with state-of-the-art layout
 elements such as row spanners, column spanners, table spanners,
 zebra striping, and more. While allowing advanced layout, the underlying
 css-structure is simple in order to maximize compatibility with word
 processors such as 'MS Word' or 'LibreOffice'. The package also contains
 a few text formatting functions that help outputting text compatible with
 HTML/'LaTeX'.

Package: r-cran-htmltools
Description-md5: 9bf86e55e21e5f15727b1af7bf321188
Description-en: GNU R tools for HTML
 This GNU R package provides tools for HTML generation and output.

Package: r-cran-htmlwidgets
Description-md5: 14d5b10b1639b553a1ee2c7100246af9
Description-en: GNU R HTML Widgets
 This package provides a framework for creating HTML widgets that render
 in various contexts including the R console, 'R Markdown' documents, and
 'Shiny' web applications.

Package: r-cran-httpcode
Description-md5: c2fc027cffcf757d396ccd853c6a9a5c
Description-en: GNU R HTTP Status Code Helper
 Find and explain the meaning of 'HTTP' status codes.
 Functions included for searching for codes by full or partial number,
 by message, and get appropriate dog and cat images for many
 status codes.

Package: r-cran-httpuv
Description-md5: d2a511449cab5c0746a4ab618024316b
Description-en: GNU R package of HTTP and WebSocket Server Library
 The R package httpuv provides low-level socket and protocol support for
 handling HTTP and WebSocket requests directly from within R. It is primarily
 intended as a building block for other packages, rather than making it
 particularly easy to create complete web applications using httpuv alone.
 httpuv is built on top of the libuv and http-parser C libraries, both of which
 were developed by Joyent, Inc.

Package: r-cran-httr
Description-md5: 15ebc0839801b4e4cea14ad6dee0a0d7
Description-en: GNU R tools for working with URLs and HTTP
 Useful tools for working with HTTP organised by HTTP verbs (GET(),
 POST(), etc). Configuration functions make it easy to control additional
 request components (authenticate(), add_headers() and so on).

Package: r-cran-huge
Description-md5: b782b8db13f52fd691a0d3231a8ef6b8
Description-en: GNU R high-dimensional undirected graph estimation
 Provides a general framework for high-dimensional undirected graph
 estimation. It integrates data preprocessing, neighborhood screening,
 graph estimation, and model selection techniques into a pipeline. In
 preprocessing stage, the nonparanormal(npn) transformation is applied to
 help relax the normality assumption. In the graph estimation stage, the
 graph structure is estimated by Meinshausen-Buhlmann graph estimation or
 the graphical lasso, and both methods can be further accelerated by the
 lossy screening rule preselecting the neighborhood of each variable by
 correlation thresholding.

Package: r-cran-hwriter
Description-md5: 1860a318d7cdfad7f71e33d39fa2edb2
Description-en: HTML Writer - Outputs R objects in HTML format
 The GNU R package hwriter provides an easy-to-use and versatile
 functions to output R objects in HTML format. It supports advanced
 formatting, tables, CSS styling, images and provides a convenient
 mapping between R tables and HTML tables.
 .
 The package provides the following functions (but most of the job is
 carried out by hwrite):
  hwrite: outputs an R object in HTML format.
  hwriteImage: writes an image.
  openPage, closePage: handles HTML page/document creation.
  hmakeTag: is a low-level HTML tag formatting function.

Package: r-cran-hypergeo
Description-md5: 5dd92f82dc71d7b2fec171116ed2a8b1
Description-en: GNU R package providing the Gaussian hypergeometric for complex numbers
 The r-cran-hypergeo package is a GNU R package providing the Gaussian
 hypergeometric function for complex numbers.

Package: r-cran-ica
Description-md5: 86e1b0331ac697ef4d5266138812eb97
Description-en: Independent Component Analysis
 Independent Component Analysis (ICA) using various algorithms: FastICA,
 Information-Maximization (Infomax), and Joint Approximate
 Diagonalization of Eigenmatrices (JADE).

Package: r-cran-igraph
Description-md5: c0edf1c0f5abaa709b43bc1171497ff8
Description-en: GNU R network analysis and visualization
 This GNU R package provides routines for simple graphs and network
 analysis. igraph can handle large graphs very well and provides
 functions for generating random and regular graphs, graph visualization,
 centrality indices and much more.

Package: r-cran-ini
Description-md5: 043cfd13a74fd66911adede4a944fa16
Description-en: Read and Write '.ini' Files
 Parse simple '.ini' configuration files to an structured list. Users
 can manipulate this resulting list with lapply() functions. This same
 structured list can be used to write back to file after modifications.

Package: r-cran-inline
Description-md5: dbcc316e3cc6b4f829ac9e5cf7b3dbaa
Description-en: GNU R package to inline C, C++, Fortran functions from R
 This package provides functionality to dynamically define R functions and S4
 methods with in-lined C, C++ or Fortran code supporting .C and .Call calling
 conventions.

Package: r-cran-insight
Description-md5: 2de42e0b2c26c7d0ef71bea9204cd565
Description-en: GNU R easy access to model information for various model objects
 A tool to provide an easy, intuitive and consistent access to
 information contained in various R models, like model formulas, model terms,
 information about random effects, data that was used to fit the model or
 data from response variables. 'insight' mainly revolves around two types
 of functions: Functions that find (the names of) information, starting with
 'find_', and functions that get the underlying data, starting with 'get_'.
 The package has a consistent syntax and works with many different model
 objects, where otherwise functions to access these information are missing.

Package: r-cran-int64
Description-md5: 714eaf9f000352fbbc5eea95340d8a8e
Description-en: GNU R package for 64 bit integer types
 This package provides 64 bit integer types thereby allowing for
 indexing of much larger objects than with the standard 32-bit
 integers in R.
 .
 This package was developed with support from the Google Open Source
 Programs Office

Package: r-cran-interp
Description-md5: 9c6c8d2149476f25fc1e210142a5d61b
Description-en: GNU R interpolation methods
 Bivariate data interpolation on regular and irregular
 grids, either linear or using splines are the main part of this
 package.  It is intended to provide FOSS replacement functions for
 the ACM licensed akima::interp and tripack::tri.mesh functions.
 Currently the piecewise linear interpolation part of akima::interp
 (and also akima::interpp) is implemented in interp::interp, this
 corresponds to the call akima::interp(..., linear=TRUE) which is the
 default setting and covers most of akima::interp use cases in
 depending packages.  A re-implementation of Akimas spline
 interpolation (akima::interp(..., linear=FALSE)) is currently under
 development and will complete this package in a later
 version. Estimators for partial derivatives are already available,
 these are a prerequisite for the spline interpolation.  The basic
 part is currently a GPLed triangulation algorithm (sweep hull
 algorithm by David Sinclair) providing the starting point for the
 piecewise linear interpolator. As side effect this algorithm is also
 used to provide replacements for the basic functions of the tripack
 package which also suffer from the ACM restrictions.  All functions
 are designed to be backward compatible with their akima / tripack
 counterparts.

Package: r-cran-intervals
Description-md5: 2e8d5e5e578d814a018a2f0bd4b713d4
Description-en: GNU R tools for working with points and intervals
 This GNU R package provides tools for working with and comparing sets of
 points and intervals.
 .
 The intervals package defines two S4 classes which represent collections
 of intervals over either the integers (Z) or the real number line (R). An
 instance of either class consists of a two-column matrix of endpoints, plus
 additional slots describing endpoint closure and whether the intervals are
 to be thought of as being over Z or R.

Package: r-cran-inum
Description-md5: cc56a76bb293fe3930750e0b00426666
Description-en: GNU R interval and enum-type representation of vectors
 This GNU R package provides an enum-type representation of vectors and
 representation of intervals, including a method of coercing variables in
 data frames.

Package: r-cran-ipred
Description-md5: d7672fa42e70c4ef0c602fa35b380718
Description-en: GNU R improved predictors
 Improved predictive models by indirect classification and
 bagging for classification, regression and survival problems
 as well as resampling based estimators of prediction error.

Package: r-cran-irace
Description-md5: a38296b68201bc01dcd4d40cdb04883d
Description-en: GNU R iterated racing for automatic algorithm configuration
 Iterated race is an extension of the Iterated F-race method for
 the automatic configuration of optimization algorithms, that is,
 (offline) tuning their parameters by finding the most appropriate
 settings given a set of instances of an optimization problem.

Package: r-cran-irdisplay
Description-md5: 81bd4102ef0ff3dd6baffb60b9c5ae0d
Description-en: Rich display library for the Jupyter R kernel
 GNU R library providing rich display capabilities for the Jupyter R kernel.

Package: r-cran-irkernel
Description-md5: d3edf7d66f39150488d3cf210e25a592
Description-en: Native R kernel for the Jupyter notebook
 Native R kernel for Jupyter, allowing R to be used in the notebook (or other
 interfaces), and providing autocompletion and rich display output.

Package: r-cran-irlba
Description-md5: a64298ed205ff420a408a168f55d0338
Description-en: GNU R fast truncated SVD, PCA and symmetric eigendecomposition
 This GNU R package provides Fast and memory efficient methods for
 truncated singular and eigenvalue decompositions and principal component
 analysis of large sparse or dense matrices.

Package: r-cran-iso
Description-md5: 1de9a84cfb6f7de12f995383f53f39c4
Description-en: GNU R functions to perform isotonic regression
 This GNU R package provides linear order and unimodal order
 (univariate) isotonic regression; bivariate isotonic regression
 with linear order on both variables.

Package: r-cran-isocodes
Description-md5: b0f537f2c8811e14b9c3c08162540807
Description-en: GNU R package providing tables for several ISO codes
 This R package provides ISO 639 language codes, ISO 3166 territory codes, ISO
 4217 currency codes, ISO 15924 script codes, and the ISO 8859 character codes
 as well as the UN M.49 area codes.

Package: r-cran-isospec
Description-md5: 13678a952e2ff1f8e709e86b4ba399f2
Description-en: Isotopic fine structure calculator for GNU R
 IsoSpec implements an algorithm for fast computation of isotopologues of
 chemical substances that can alternate between joint probability and peak
 height threshold.
 .
 This package ships the GNU R library.

Package: r-cran-isoweek
Description-md5: 135893f07345a4a44d808a28f9ee70c4
Description-en: GNU R week of the year and weekday according to ISO 8601
 This GNU R package offers functions to convert from standard calendar
 format yyyy-mm-dd to and from ISO 8601 week format yyyy-Www-d.  It also
 provides the %V and %u formats in a portable manner.

Package: r-cran-iterators
Description-md5: b14fdfc069bc7469de8b9803c5271182
Description-en: GNU R iterator support for vectors, lists and other containers
 This package provides support for iterators, which allow a programmer to
 traverse through all the elements of a vector, list, or other collection
 of data.

Package: r-cran-itertools
Description-md5: ad64fb6630d4f29cc696e9e9cc2c7907
Description-en: Iterator Tools
 Various tools for creating iterators, many patterned after
 functions in the Python itertools module, and others patterned
 after functions in the 'snow' package.

Package: r-cran-its
Description-md5: 722e0a9e36bd647f111dd1da56796a31
Description-en: GNU R package for handling irregular time series
 This package contains an S4 class for handling irregular time series

Package: r-cran-jomo
Description-md5: 51f1e5fc4707d8ad84bb6f2ffb290f56
Description-en: GNU R multilevel joint modelling multiple imputation
 Similarly to Schafer's package 'pan', 'jomo' is a package for multilevel
 joint modelling multiple imputation (Carpenter and Kenward, 2013) <doi:
 10.1002/9781119942283>. Novel aspects of 'jomo' are the possibility of
 handling binary and categorical data through latent normal variables,
 the option to use cluster-specific covariance matrices and to impute
 compatibly with the substantive model.

Package: r-cran-jpeg
Description-md5: 30450b26f938943cd2f2bf10708280c3
Description-en: Read and write JPEG images
 This package provides an easy and simple way to read, write and display
 bitmap images stored in the JPEG format. It can read and write both
 files and in-memory raw vectors.

Package: r-cran-jrc
Description-md5: 67f2c817499eb9523989d8f5143f00c4
Description-en: Exchange Commands Between R and 'JavaScript'
 An 'httpuv' based bridge between R and 'JavaScript'. Provides an easy
 way to exchange commands and data between a web page and a currently
 running R session.

Package: r-cran-jsonld
Description-md5: b1cb5927aba872d278a032dd746e3b21
Description-en: GNU R JSON for linking data
 JSON-LD is a light-weight syntax for expressing linked data. It is
 primarily intended for web-based programming environments, interoperable
 web services and for storing linked data in JSON-based databases. This
 package provides bindings to the JavaScript library for converting,
 expanding and compacting JSON-LD documents.

Package: r-cran-jsonlite
Description-md5: 5d06fc5659e85ce727873d613997f8f7
Description-en: Robust, High Performance JSON Parser and Generator for R
 A fast JSON parser and generator optimized for statistical data and
 the web. Started out as a fork of 'RJSONIO', but has been completely
 rewritten in recent versions. The package offers flexible, robust,
 high performance tools for working with JSON in R and is particularly
 powerful for building pipelines and interacting with a web API. The
 implementation is based on the mapping described in the vignette
 (Ooms, 2014). In addition to converting JSON data from/to R objects,
 'jsonlite' contains functions to stream, validate, and prettify JSON
 data. The unit tests included with the package verify that all edge
 cases are encoded and decoded consistently for use with dynamic data
 in systems and applications.

Package: r-cran-kaos
Description-md5: 369c266e4fe2180cfe659c153c6f5915
Description-en: Encoding of Sequences Based on Frequency Matrix Chaos
 Sequences encoding by using the chaos game representation.
 Löchel et al. (2019) <doi:10.1101/575324>.

Package: r-cran-kedd
Description-md5: 195de27bd70a0ae7cb3996e1108a2d28
Description-en: Kernel Estimator+Bandwidth Selection - Density+Derivatives
 Smoothing techniques and computing bandwidth selectors of the nth
 derivative of a probability density for one-dimensional data.

Package: r-cran-kernlab
Description-md5: e6a6617f82337e217d08ca9c698ec35d
Description-en: GNU R package for kernel-based machine learning lab
 The kernlab package provides kernel-based machine learning methods
 for classification, regression, clustering, novelty detection,
 quantile regression and dimensionality reduction.  Among other
 methods kernlab includes Support Vector Machines, Spectral
 Clustering, Kernel PCA, Gaussian Processes and a QP solver.

Package: r-cran-kernsmooth
Description-md5: c80581f0e6d787806b749351494b238b
Description-en: GNU R package for kernel smoothing and density estimation
 This package provides functions for kernel smoothing and density estimation
 corresponding to M.P. Wand and M.C. Jones, "Kernel Smoothing", 1995.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.
 .
 URL: http://www.maths.unsw.edu.au/~wand

Package: r-cran-kmi
Description-md5: 29a1c63560b2e7b95a6bedd269a7b486
Description-en: GNU R Kaplan-Meier Multiple Imputation
 This GNU R package provides Kaplan-Meier Multiple Imputation for the
 Analysis of Cumulative Incidence Functions in the Competing Risks
 Setting. It Performs a Kaplan-Meier multiple imputation to recover the
 missing potential censoring information from competing risks events, so
 that standard right-censored methods could be applied to the imputed
 data sets to perform analyses of the cumulative incidence functions
 (Allignol and Beyersmann, 2010 <doi:10.1093/biostatistics/kxq018>).

Package: r-cran-knitr
Description-md5: 2c054ed23e047fdc38fd617aeac2d96a
Description-en: GNU R package for dynamic report generation using Literate Programming
 The R package knitr is a general-purpose literate programming engine, with
 lightweight API's designed to give users full control of the output without
 heavy coding work. It combines many features into one package with slight
 tweaks motivated from everyday use of Sweave.

Package: r-cran-ks
Description-md5: 71c6407e11eaa0c02a70c649ce341ea0
Description-en: GNU R kernel smoothing
 Kernel smoothers for univariate and multivariate data, including
 densities, density derivatives, cumulative distributions, clustering,
 classification, density ridges, significant modal regions, and two-
 sample hypothesis tests. Chacon & Duong (2018)
 <doi:10.1201/9780429485572>.

Package: r-cran-kutils
Description-md5: ee65f27db613d1951122eac52f87f02f
Description-en: GNU R project management tools
 Tools for data importation, recoding, and inspection that
 are used at the University of Kansas Center for Research Methods
 and Data Analysis. There are functions to create new project
 folders, R code templates, create uniquely named output
 directories, and to quickly obtain a visual summary for each
 variable in a data frame.  The main feature here is the systematic
 implementation of the "variable key" framework for data
 importation and recoding.

Package: r-cran-labeling
Description-md5: 74a4c96f8b2a138ac46137cd0e880dde
Description-en: GNU R Axis Labeling optimization
 This system extends Wilkinsons optimization-based labeling approach to create
 a more robust, full-featured axis labeler.

Package: r-cran-lambda.r
Description-md5: 37f6572ecaafbb1edb5c91f7e5bd34e6
Description-en: GNU R modeling data with functional programming
 This GNU R package provides a language extension to efficiently write
 functional programs in R. Syntax extensions include multi-part function
 definitions, pattern matching, guard statements, built-in (optional)
 type safety.

Package: r-cran-lasso2
Description-md5: 75b0c1d564e41f883fb3df3cfcf89868
Description-en: GNU R L1 constrained estimation aka `lasso'
 Routines and documentation for solving regression problems
 while imposing an L1 constraint on the estimates, based on
 the algorithm of Osborne et al. (1998).

Package: r-cran-later
Description-md5: 1c62ed316cc3a85eefa85c09177ca9a0
Description-en: GNU R utilities for delaying function execution
 This GNU R packages enables the execution of arbitrary R or C functions
 some time after the current time, after the R execution stack has
 emptied.

Package: r-cran-lattice
Description-md5: de2690898df5fbedc7b2696214220c65
Description-en: GNU R package for 'Trellis' graphics
 This package provides functions for 'Trellis' graphics -- a framework
 for data visualization developed at the Bell Labs by Rick Becker, Bill
 Cleveland et al, extending ideas presented in Bill Cleveland's 1993 book
 'Visualizing Data'.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-latticeextra
Description-md5: dab1bbf42d6c32e31c5454531ae56778
Description-en: GNU R package of additional graphical displays based on lattice
 This CRAN package provides generic functions and standard methods
 for trellis-based displays.

Package: r-cran-lava
Description-md5: c512b4e87f394d0391d07ed467abc0fc
Description-en: GNU R latent variable models
 A general implementation of Structural Equation Models
 with latent variables (MLE, 2SLS, and composite likelihood
 estimators) with both continuous, censored, and ordinal
 outcomes (Holst and Budtz-Joergensen (2013)
 <doi:10.1007/s00180-012-0344-y>). The package also provides
 methods for graph exploration (d-separation, back-door criterion),
 simulation of general non-linear latent variable models, and
 estimation of influence functions for a broad range of statistical
 models.

Package: r-cran-lavaan
Description-md5: 2a772b73fada71cbe7ef1adb75ffec74
Description-en: GNU R package for latent variable analysis -- lavaan
 This package supplies latent variable analysis for R.  One can
 use lavaan to estimate a large variety of multivariate statistical models,
 including path analysis, confirmatory factor analysis, structural equation
 modeling and growth curve models.

Package: r-cran-lavasearch2
Description-md5: fdff906ed30b43a07260215b5701f469
Description-en: GNU R tools for model specification in the latent variable framework
 Tools for model specification in the latent variable framework (add-on
 to the 'lava' package). The package contains three main functionalities:
 Wald tests/F-tests with improved control of the type 1 error in small
 samples, adjustment for multiple comparisons when searching for local
 dependencies, and adjustment for multiple comparisons when doing
 inference for multiple latent variable models.

Package: r-cran-lazyeval
Description-md5: b429cee5d03a9a6e7e527efae845cf3b
Description-en: GNU R lazy (non-standard) evaluation
 This GNU R package provides a disciplined approach to non-standard
 evaluation.
 .
 Lazyeval provides principled tools to perform non-standard evaluation
 (NSE) in R.  It is helpful for programmin with packages like dplyr and
 ggplot2, or to use a principled way of working with delayed expressions
 in own packages. As the name suggests, non-standard evaluation breaks
 away from the standard evaluation (SE) rules in order to do something
 special.

Package: r-cran-leaps
Description-md5: 35b129ce6a3397dfc3184841b1279164
Description-en: Regression Subset Selection
 Regression subset selection, including exhaustive search.

Package: r-cran-learnbayes
Description-md5: 3f847eda16e3c7ccdf8cee73c04611fd
Description-en: GNU R functions for learning bayesian inference
 LearnBayes contains a collection of functions helpful in learning the
 basic tenets of Bayesian statistical inference. It contains functions
 for summarizing basic one and two parameter posterior distributions and
 predictive distributions. It contains MCMC algorithms for summarizing
 posterior distributions defined by the user. It also contains functions
 for regression models, hierarchical models, Bayesian tests, and
 illustrations of Gibbs sampling.

Package: r-cran-leiden
Description-md5: e53cc84618e79dd9ffea8039546c92eb
Description-en: GNU R implementation of Leiden clustering algorithm
 Implements the 'Python leidenalg' module to be called in R. Enables
 clustering using the leiden algorithm for partition a graph into
 communities. See the 'Python' repository for more details:
 <https://github.com/vtraag/leidenalg> Traag et al (2018) From Louvain to
 Leiden: guaranteeing well-connected communities. <arXiv:1810.08473>.

Package: r-cran-lexrankr
Description-md5: d03408345328c547da9bb6310e0272cd
Description-en: extractive summarization of text with the LexRank algorithm
 An R implementation of the LexRank algorithm implementing stochastic
 graph-based method for computing relative importance of textual units
 for Natural Language Processing. The technique on the problem
 of Text Summarization (TS) is tested. Extractive TS relies on the concept of
 sentence salience to identify the most important sentences in a
 document or set of documents. Salience is typically defined in terms of
 the presence of particular important words or in terms of similarity to
 a centroid pseudo-sentence.

Package: r-cran-lhs
Description-md5: 80d3c99c9c9ff30fdbc80cc8bae35d49
Description-en: GNU R Latin Hypercube Samples
 This package provides a number of methods for creating and augmenting Latin
 Hypercube Samples.
 .
 Latin hypercube sampling (LHS) is a statistical method for generating a sample
 of plausible collections of parameter values from a multidimensional
 distribution. The sampling method is often used to construct computer
 experiments.

Package: r-cran-libcoin
Description-md5: a5b2fae7fca4395be81396236791bfe4
Description-en: GNU R linear test statistics for permutation inference
 Basic infrastructure for linear test statistics and permutation
 inference in the framework of Strasser and Weber (1999)
 <http://epub.wu.ac.at/102/>. This package must not be used by end-users.
 CRAN package 'coin' implements all user interfaces and is ready to be
 used by anyone.

Package: r-cran-lifecycle
Description-md5: fa6c01ec929283ba263c8903dfd1644b
Description-en: manage the life cycle of your GNU R package functions
 Manage the life cycle of your exported functions with
 shared conventions, documentation badges, and non-invasive
 deprecation warnings. The 'lifecycle' package defines four
 development stages (experimental, maturing, stable, and
 questioning) and three deprecation stages (soft-deprecated,
 deprecated, and defunct). It makes it easy to insert badges
 corresponding to these stages in your documentation. Usage of
 deprecated functions are signalled with increasing levels of
 non-invasive verbosity.

Package: r-cran-linprog
Description-md5: 82129d23d3b7a774f7bef9e86401219a
Description-en: GNU R linear programming / optimization
 This package can be used to solve Linear Programming /
 Linear Optimization problems by using the simplex algorithm.

Package: r-cran-lisreltor
Description-md5: 1033f0cef28c51a718b8ec2dacb70537
Description-en: import output from LISREL into GNU R
 This is an unofficial package aimed at automating the
 import of LISREL output in R.  This package or its maintainer
 is not in any way affiliated with the creators of LISREL and
 SSI, Inc.

Package: r-cran-listenv
Description-md5: b5b23a9be7f91d51be356dbd1881e8bd
Description-en: R package: Environments Behaving (Almost) as Lists
 List environments are environments that have list-like properties. For
 instance, the elements of a list environment are ordered and can be accessed
 and iterated over using index subsetting, e.g. 'x <- listenv(a=1, b=2); for (i
 in seq_along(x)) x[[i]] <- x[[i]]^2; y <- as.list(x)'.

Package: r-cran-littler
Description-md5: f696f1e4db13cfb0347f3ab93e90d267
Description-en: GNU R scripting and command-line front-end
 The 'r' (aka 'littler') program provides a lightweight binary wrapper around
 the GNU R language and environment for statistical computing and graphics.
 .
 While R can be used in batch mode, the r binary adds full support for
 both 'shebang'-style scripting (i.e. using a  hashmark-exclamation-path
 expression as the first line in scripts) as well as command-line use in
 standard Unix pipelines. In other words, r provides the R language without
 the environment.

Package: r-cran-lme4
Description-md5: e0a11a5329ab3520a18f2bac1295c648
Description-en: GNU R package for linear mixed effects model fitting
 This CRAN package provides S4 classes and methods for fitting and
 examining linear mixed effects models (also called multilevel models,
 panel data models, and several other names) and generalized linear
 mixed effects models.

Package: r-cran-lmertest
Description-md5: 7585c7cc369f6fc8a5ad760a17f8ed86
Description-en: GNU R tests in Linear Mixed Effects Models
 Different kinds of tests for linear mixed effects models as implemented
 in 'lme4' package are provided. The tests comprise types I - III F tests
 for fixed effects, LR tests for random effects.
 The package also provides the calculation of population means for fixed factors
 with confidence intervals  and corresponding plots. Finally the backward
 elimination of non-significant effects is implemented.

Package: r-cran-lmtest
Description-md5: 5ac68162bd5f679095538dfb5ee66de1
Description-en: GNU R package for diagnostic checking in linear models
 This package provides a collection of tests, data sets and examples
 for diagnostic checking in linear regression models.

Package: r-cran-logcondens
Description-md5: be00f52d017f57cee12c9e0a134267b1
Description-en: GNU R estimate a log-concave probability density from Iid observations
 Given independent and identically distributed observations X(1), ...,
 X(n), compute the maximum likelihood estimator (MLE) of a density as
 well as a smoothed version of it under the assumption that the density
 is log-concave, see Rufibach (2007) and Duembgen and Rufibach (2009).
 The main function of the package is 'logConDens' that allows computation
 of the log-concave MLE and its smoothed version. In addition, the package
 provides functions to compute (1) the value of the density and distribution
 function estimates (MLE and smoothed) at a given point (2) the
 characterizing functions of the estimator, (3) to sample from the
 estimated distribution, (5) to compute a two-sample permutation test
 based on log-concave densities, (6) the ROC curve based on log-concave
 estimates within cases and controls, including confidence intervals for
 given values of false positive fractions (7) computation of a confidence
 interval for the value of the true density at a fixed point. Finally,
 three datasets that have been used to illustrate log-concave density
 estimation are made available.

Package: r-cran-logging
Description-md5: 1d85feb976e408b2d2a14b42f0f1e03f
Description-en: GNU R logging package
 Pure R implementation of the ubiquitous log4j package. It offers
 hierarchic loggers, multiple handlers per logger, level based filtering,
 space handling in messages and custom formatting.

Package: r-cran-logspline
Description-md5: 37d50990835d96d7ad9cf97e3b47ec8e
Description-en: GNU R package providing routines for the logspline density estimation
 The logspline package provides routines for logspline density estimation
 based on the algorithm from Stone et. al. (1997).

Package: r-cran-loo
Description-md5: 541ebc4ccd5628907cfdc754e577ac33
Description-en: GNU R leave-one-out cross-validation and WAIC for Bayesian models
 Efficient approximate leave-one-out cross-validation (LOO) for Bayesian
 models fit using Markov chain Monte Carlo. The approximation uses Pareto
 smoothed importance sampling (PSIS), a new procedure for regularizing
 importance weights. As a byproduct of the calculations, it is possible
 as well to obtain approximate standard errors for estimated predictive
 errors and for the comparison of predictive errors between models. The
 package also provides methods for using stacking and other model
 weighting techniques to average Bayesian predictive distributions.

Package: r-cran-lpsolve
Description-md5: 4369341c99a407551d60268ed6526dbe
Description-en: GNU R package providing linear program solvers
 This package provides functions for solving linear, integer and
 mixed integer programs.

Package: r-cran-lsei
Description-md5: 63d0446f086ae10c3c094f4030afab16
Description-en: solving problems under equality/inequality constraints
 The lsei package contains functions that solve least squares
 linear regression problems under linear equality/inequality
 constraints. Functions for solving quadratic programming problems
 are also available, which transform such problems into least squares
 ones first. It is developed based on the 'Fortran' program of Lawson
 and Hanson (1974, 1995), which is public domain and available at
 <http://www.netlib.org/lawson-hanson>.

Package: r-cran-lsmeans
Description-md5: 1cf8544a9dc9e8e3dbae5345172e713b
Description-en: GNU R package providing least-squares means for various classes of models
 The r-cran-lsmeans package is a GNU R package to obtain least-squares
 means for many linear, generalized linear, and mixed models.
 Additionally it can compute contrasts or linear functions of least-
 squares means, and comparisons of slopes.

Package: r-cran-lubridate
Description-md5: d2c5e479a209c3a2acbd579236578013
Description-en: simplifies dealing with dates in R
 Functions to work with date-times and timespans: fast and user
 friendly parsing of date-time data, extraction and updating of components of
 a date-time (years, months, days, hours, minutes, and seconds), algebraic
 manipulation on date-time and timespan objects. The 'lubridate' package has
 a consistent and memorable syntax that makes working with dates easy and
 fun.

Package: r-cran-luminescence
Description-md5: 9373a6711630204b624d5c0468be883a
Description-en: GNU R comprehensive luminescence dating data analysis
 A collection of various R functions for the purpose of Luminescence
 dating data analysis. This includes, amongst others, data import,
 export, application of age models, curve deconvolution, sequence
 analysis and plotting of equivalent dose distributions.

Package: r-cran-lwgeom
Description-md5: 2bfc9d978cef42aad65e4f30181c1ae7
Description-en: GNU R bindings to selected 'liblwgeom' functions for simple features
 This GNU R package provides access to selected functions found in
 'liblwgeom' library, the light-weight geometry library used by 'PostGIS'.

Package: r-cran-magic
Description-md5: 31417a089b611962552f5c8bdf15fbc5
Description-en: GNU R create and investigate magic squares
 A collection of efficient, vectorized algorithms for the
 creation and investigation of magic squares and hypercubes, including
 a variety of functions for the manipulation and analysis of
 arbitrarily dimensioned arrays.  The package includes methods for
 creating normal magic squares of any order greater than 2.  The
 ultimate intention is for the package to be a computerized embodiment
 all magic square knowledge, including direct numerical verification
 of properties of magic squares (such as recent results on the
 determinant of odd-ordered semimagic squares).  Some antimagic
 functionality is included.  The package also
 serves as a rebuttal to the often-heard comment "I thought R
 was just for statistics".

Package: r-cran-magick
Description-md5: 1b1131b3f9500e4fc8fd4c3c0eb29ab6
Description-en: advanced graphics and image-processing in GNU R
 Bindings to 'ImageMagick': the most comprehensive open-source image
 processing library available. Supports many common formats (png, jpeg, tiff,
 pdf, etc) and manipulations (rotate, scale, crop, trim, flip, blur, etc).
 All operations are vectorized via the Magick++ STL meaning they operate either
 on a single frame or a series of frames for working with layers, collages,
 or animation. In RStudio images are automatically previewed when printed to
 the console, resulting in an interactive editing environment. The latest
 version of the package includes a native graphics device for creating
 in-memory graphics or drawing onto images using pixel coordinates.

Package: r-cran-magrittr
Description-md5: 82658d2b411499bf8bf98cdbd9fa1a50
Description-en: GNU R forward-pipe operator
 Provides a mechanism for chaining commands with a new forward-pipe
 operator, %>%. This operator will forward a value, or the result of an
 expression, into the next function call/expression. There is flexible
 support for the type of right-hand side expressions.

Package: r-cran-maldiquant
Description-md5: 3475e2f29d270963ef3a161077432799
Description-en: GNU R package for quantitative analysis of mass spectrometry data
 MALDIquant provides a complete analysis pipeline for MALDI-TOF and other
 mass spectrometry data. Distinctive features include baseline subtraction
 using the SNIP algorithm, peak alignment using warping functions,
 handling of replicated measurements as well as allowing spectra with
 different resolutions.

Package: r-cran-maldiquantforeign
Description-md5: 93e3962c44b4b3c033b40c291bb0cc39
Description-en: GNU R package providing import/export routines for MALDIquant
 The MALDIquantForeign package reads (tab, csv, Bruker fid, Ciphergen XML,
 mzXML, mzML, imzML, Analyze 7.5) and writes (tab, csv, msd, mzML)
 different file formats of mass spectrometry data into/from MALDIquant objects.

Package: r-cran-manipulatewidgets
Description-md5: 390976521b198006b74746e43cc2325a
Description-en: GNU R package for more interactivity in interactive charts
 The package helps to add controls like sliders, pickers, checkboxes,
 etc. that can be used to modify the input data or the parameters of
 an interactive chart created with package 'htmlwidgets'.

Package: r-cran-mapdata
Description-md5: 1d3e79d68466a75dcd8e3aebc7df5d6e
Description-en: GNU R support for producing geographic maps (supplemental data)
 This package provides facilities for easily producing high-resolution
 maps based on data sets in the GNU R statistical computing
 environment.  It includes high-resolution map data for the entire
 world, along with maps of China (including provincial boundaries) and
 a higher-resolution map of New Zealand and a number of nearby Pacific
 islands.
 .
 The suggested r-cran-mapproj package adds facilities for
 calculating geographic projections, which are used by mapmakers to
 compensate for the inaccuracies inherent in projecting a spheroid's
 surface onto a two-dimensional plane.

Package: r-cran-mapproj
Description-md5: bc177f81fe8a92462c5af4ade8fd6941
Description-en: GNU R support for cartographic projections of map data
 This package provides facilities for easily producing cartographic
 projections of maps based on data sets in the GNU R statistical
 computing environment.  Projections are used by mapmakers to
 compensate for the inaccuracies inherent in projecting a spheroid's
 surface onto a two-dimensional plane.

Package: r-cran-maps
Description-md5: 41d54b00a792028ccf4d58832c290a63
Description-en: GNU R support for producing geographic maps
 This package provides facilities for easily producing maps based on
 data sets in the GNU R statistical computing environment.
 .
 The r-cran-maps package includes map data for the United States
 (including state and county-level maps), New Zealand, and a world
 map; additional maps (including a higher-resolution world map) are
 available in the suggested r-cran-mapdata package.
 .
 The suggested r-cran-mapproj package adds facilities for calculating
 geographic projections, which are used by mapmakers to compensate for
 the inaccuracies inherent in projecting a spheroid's surface onto a
 two-dimensional plane.

Package: r-cran-maptools
Description-md5: 665811dd7df8d41ead80135dfdf5c87d
Description-en: GNU R Tools for reading and handling spatial objects
 Set of tools for manipulating and reading geographic data, in particular
 ESRI shapefiles; C code used from shapelib. It includes binary access to
 GSHHS shoreline files. The package also provides interface wrappers for
 exchanging spatial objects with packages such as PBSmapping, spatstat,
 maps, RArcInfo, Stata tmap, WinBUGS, Mondrian, and others.

Package: r-cran-maptree
Description-md5: dedf11e67b31b4b6edeb5f602d52e568
Description-en: GNU R mapping, pruning, and graphing tree models
 This GNU R package provides functions with example data for graphing,
 pruning, and mapping models from hierarchical clustering, and
 classification and regression trees.

Package: r-cran-markdown
Description-md5: ba33b3eee8d948dcf54666d7e62b3e4f
Description-en: GNU R package providing R bindings to the Sundown Markdown rendering library
 Provides R bindings to the Sundown Markdown rendering library by
 Vicent Marti
 e.a., based upon work by Natacha Porté. Markdown is a plain-text
     formatting syntax that can be converted to XHTML or other formats.
 .
 The R function `markdownToHTML` renders a markdown file to HTML. Options
 controlling HTML output and supported markdown extensions can be
 optionally specified.
 .
 The package also exports the underlying Sundown C extension API which
 enables creating and calling custom renderers using the
 `renderMarkdown` function.
 .
 Please note: the rmarkdown package (with leading r) converts R Markdown
 documents into even more formats, by using pandoc; the CRAN rmarkdown
 package is a newer and enhanced version of this markdown package.

Package: r-cran-mass
Description-md5: 1637487ae1fdcbcc075337db596a5348
Description-en: GNU R package of Venables and Ripley's MASS
 The MASS package provides functions and datasets to support the book
 'Modern Applied Statistics with S' (4th edition) by W.N. Venables and
 B.D. Ripley. The following URL provides more details about the book:
 URL: http://www.stats.ox.ac.uk/pub/MASS4

Package: r-cran-matching
Description-md5: b816d12d695220accab14802adf0a7a5
Description-en: multivariate and propensity score matching with balance optimization
 Provides functions for multivariate and propensity score matching
 and for finding optimal balance based on a genetic search algorithm.
 A variety of univariate and multivariate metrics to
 determine if balance has been obtained are also provided.

Package: r-cran-matchit
Description-md5: eb38c5b814371a9c56e064b45c858371
Description-en: GNU R package of nonparametric matching methods
 MatchIt implements the suggestions of Ho, Imai, King, and Stuart
 (2004) for improving parametric statistical models by preprocessing
 data with nonparametric matching methods.
 .
 MatchIt implements a wide range of sophisticated matching methods,
 making it possible to greatly reduce the dependence of causal
 inferences on hard-to-justify, but commonly made, statistical
 modeling assumptions. The software also easily fits into existing
 research practices since, after preprocessing with MatchIt,
 researchers can use whatever parametric model they would have used
 without MatchIt, but produce inferences with substantially more
 robustness and less sensitivity to modeling assumptions. MatchIt is
 an R program, and also works seamlessly within Zelig.

Package: r-cran-matlab
Description-md5: 7eb1690d7b51798a55a469c6a294d0c7
Description-en: MATLAB emulation package
 Emulate MATLAB code using R

Package: r-cran-matrix
Description-md5: a641c813b5e1a7051f5b9a37320ac0ce
Description-en: GNU R package of classes for dense and sparse matrices
 This CRAN package provides S4 classes and methods for numerical
 linear algebra using dense or sparse matrices.  The sparse matrix
 implementation uses code from the LDL sparse matrix package and
 code from the Metis package of partitioning algorithms.

Package: r-cran-matrixcalc
Description-md5: c045d8e5e2ce817cdc096ccb4ba9d3da
Description-en: GNU R functions for matrix calculations -- matrixcalc
 GNU R package supplying functions to support matrix calculations
 for probability, econometric and numerical analysis. There are
 additional functions that are comparable to APL functions which
 are useful for actuarial models such as pension mathematics.

Package: r-cran-matrixmodels
Description-md5: e0affec54f9f77d02c932fa6d6d34941
Description-en: GNU R package for sparse and dense matrix models
 The MatrixModels package supports modelling with sparse and dense
 'Matrix' matrices, using modular prediction and response module
 classes.

Package: r-cran-matrixstats
Description-md5: 44222dd849b86f5c846ce61e22cae232
Description-en: GNU R methods that apply to rows and columns of a matrix
 This package provides methods operating on rows and columns of
 matrices, e.g. col/rowMedians() and col/rowRanks(). There are also some
 vector-based methods, e.g. anyMissing(), weightedMedians(), and
 binMeans(). The objective is to have all methods being optimized for
 speed and memory usage.

Package: r-cran-maxlik
Description-md5: 4a4c8bdb46095e37ba2937e9fbdcf38d
Description-en: GNU R maximum likelihood estimation
 This GNU R package provides tools for maximum likelihood estimation.

Package: r-cran-mclust
Description-md5: e56f1c7d370a5db80c95f51e7ae8591d
Description-en: Gaussian Mixture Modelling for Model-Based Clustering
 This GNU R package supports Gaussian Mixture Modelling for Model-Based
 Clustering, Classification, and Density Estimation.
 .
 Gaussian finite mixture models fitted via EM algorithm for model-based
 clustering, classification, and density estimation, including Bayesian
 regularization, dimension reduction for visualisation, and
 resampling-based inference.

Package: r-cran-mcmc
Description-md5: 1e9119e3559202f27646ae4fb171aa9f
Description-en: GNU R package for Markov Chain Monte Carlo simulations
 Simulates continuous distributions of random vectors using Markov
 chain Monte Carlo (MCMC). Users specify the distribution by an R
 function that evaluates the log unnormalized density. Algorithms are
 random walk Metropolis algorithm (function metrop), simulated
 tempering (function temper), and morphometric random walk Metropolis
 (Johnson and Geyer, Annals of Statistics, 2012, function
 morph.metrop), which achieves geometric ergodicity by change of
 variable.

Package: r-cran-mcmcpack
Description-md5: e61e7c97144ccf110c561d9a0afdc130
Description-en: R routines for Markov chain Monte Carlo model estimation
 This is a set of routines for GNU R that implement various
 statistical and econometric models using Markov chain Monte Carlo
 (MCMC) estimation, which allows "solving" models that would otherwise
 be intractable with traditional techniques, particularly problems in
 Bayesian statistics (where one or more "priors" are used as part of
 the estimation procedure, instead of an assumption of ignorance about
 the "true" point estimates), although MCMC can also be used to solve
 frequentist statistical problems with uninformative priors.  MCMC
 techniques are also preferable over direct estimation in the presence
 of missing data.
 .
 Currently implemented are a number of ecological inference (EI)
 routines (for estimating individual-level attributes or behavior from
 aggregate data, such as electoral returns or census results), as well
 as models for traditional linear panel and cross-sectional data, some
 visualization routines for EI diagnostics, two item-response theory
 (or ideal-point estimation) models, metric, ordinal, and
 mixed-response factor analysis, and models for Gaussian (linear) and
 Poisson regression, logistic regression (or logit), and binary and
 ordinal-response probit models.
 .
 The suggested packages (r-cran-bayesm, -eco, and -mnp) contain
 additional models that may also be useful for those interested in
 this package.

Package: r-cran-mda
Description-md5: c7e846e4697e8defd1c271bbc5b6a974
Description-en: GNU R mixture and flexible discriminant analysis
 This package provides GNU R mixture and flexible discriminant analysis,
 multivariate adaptive regression splines (MARS), BRUTO, ...

Package: r-cran-medadherence
Description-md5: d3c4fdf6bae2e8ad663f9350e8131e4f
Description-en: GNU R Medication Adherence: Commonly Used Definitions
 Adherence is defined as "the extent to which a person's behavior
 coincides with medical or health advice", which is very important, for
 both clinical researchers and physicians, to identify the treatment
 effect of a specific medication(s).
 .
 A variety of measures have been developed to calculate the medication
 adherence. Definitions and methods to address adherence differ greatly
 in public literature. Choosing which definition should be determined by
 overall study goals.  This package provides the functions to calculate
 medication adherence based on commonly used definitions.

Package: r-cran-memoise
Description-md5: cac56ed20d8fbcdeba42c5255f2ed325
Description-en: Memoise functions
 Cache the results of a function so that when you call it again with the same
 arguments it returns the pre-computed value.

Package: r-cran-mertools
Description-md5: f74bd46bdfec19cae5bd785e891bf413
Description-en: GNU R tools for analyzing mixed effect regression models
 Provides methods for extracting results from mixed-effect model objects
 fit with the 'lme4' package. Allows construction of prediction
 intervals efficiently from large scale linear and generalized linear
 mixed-effects models.

Package: r-cran-metamix
Description-md5: 9f51ca1b979fb77ee86077f0f70ab8bf
Description-en: GNU R bayesian mixture analysis for metagenomic community profiling
 Resolves complex metagenomic mixtures by analysing deep sequencing
 data, using a mixture model based approach. The use of parallel Monte
 Carlo Markov chains for the exploration of the species space enables
 the identification of the set of species more likely to contribute to
 the mixture.

Package: r-cran-metap
Description-md5: e2033c06d54495dff6bf4cb71d8ff7d2
Description-en: Meta-Analysis of Significance Values
 The canonical way to perform meta-analysis involves using effect sizes.
 When they are not available this package provides a number of methods
 for meta-analysis of significance values including the methods of
 Edgington, Fisher, Lancaster, Stouffer, Tippett, and Wilkinson; a
 number of data-sets to replicate published results; and a routine for
 graphical display.

Package: r-cran-metrics
Description-md5: c71fba825661c9292bacebbbc8a63d32
Description-en: GNU R evaluation metrics for machine learning
 An implementation of evaluation metrics in R that are commonly
 used in supervised machine learning. It implements metrics for
 regression, time series, binary classification, classification,
 and information retrieval problems. It has zero dependencies and
 a consistent, simple interface for all functions.

Package: r-cran-mets
Description-md5: 3897a54c9237ed9b5a0148788f0cfb9d
Description-en: GNU R analysis of multivariate event times
 Implementation of various statistical models for multivariate
 event history data <doi:10.1007/s10985-013-9244-x>. Including multivariate
 cumulative incidence models <doi:10.1002/sim.6016>, and  bivariate random
 effects probit models (Liability models) <doi:10.1016/j.csda.2015.01.014>.
 Also contains two-stage binomial modelling that can do pairwise odds-ratio
 dependence modelling based marginal logistic regression models. This is an
 alternative to the alternating logistic regression approach (ALR).

Package: r-cran-mfilter
Description-md5: 416f51dadef8e6e2bffe1c60c540be25
Description-en: GNU R package providing miscellaneous time series filters
 The package implements several time series filters useful for smoothing and
 extracting trend and cyclical components of a time series. The routines are
 commonly used in economics and finance, however they should also be interest
 to other areas. Currently, Christiano-Fitzgerald, Baxter-King,
 Hodrick-Prescott, Butterworth, and trigonometric regression filters are
 included in the package.

Package: r-cran-mgcv
Description-md5: 8cbc51e89d1ea8ef0b5b4a541dc1b898
Description-en: GNU R package for multiple parameter smoothing estimation
 This package provides functions for generalised additive models (GAMs)
 and other generalized ridge regression problems with multiple smoothing
 parameter selection by GCV or UBRE. It includes an implementation (not
 a clone) of gam().
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-mi
Description-md5: 448b680bae0ec9b057fa5850aaa00c28
Description-en: GNU R package for Missing Data Imputation and Model Checking -- mi
 The mi R package provides functions for data manipulation, imputing missing
 values in an approximate Bayesian framework, diagnostics of the models used to
 generate the imputations, confidence-building mechanisms to validate some of
 the assumptions of the imputation algorithm, and functions to analyze multiply
 imputed data sets with the appropriate degree of sampling uncertainty.

Package: r-cran-mice
Description-md5: e96f2e2829bbbdab64a562ce6d23139a
Description-en: GNU R multivariate imputation by chained equations
 Multiple imputation using Fully Conditional Specification (FCS)
 implemented by the MICE algorithm as described in Van Buuren and
 Groothuis-Oudshoorn (2011) <doi:10.18637/jss.v045.i03>. Each variable has
 its own imputation model. Built-in imputation models are provided for
 continuous data (predictive mean matching, normal), binary data (logistic
 regression), unordered categorical data (polytomous logistic regression)
 and ordered categorical data (proportional odds). MICE can also impute
 continuous two-level data (normal model, pan, second-level variables).
 Passive imputation can be used to maintain consistency between variables.
 Various diagnostic plots are available to inspect the quality of the
 imputations.

Package: r-cran-mime
Description-md5: d93a912963865a5af36821a6a10fc254
Description-en: R package which maps filenames to MIME Types
 Guesses the MIME type from a filename extension using the data derived
 from /etc/mime.types in UNIX-type systems.

Package: r-cran-minerva
Description-md5: fdb91b17fc9edf543918b7650bba0e70
Description-en: Maximal Information-Based Nonparametric Exploration
 Wrapper for 'minepy' implementation of Maximal
 Information-based Nonparametric Exploration statistics (MIC and
 MINE family). Detailed information of the ANSI C implementation of
 'minepy' can be found at <http://minepy.readthedocs.io/en/latest>.

Package: r-cran-miniui
Description-md5: 729298012bc2febd48b4414b27c8f7b2
Description-en: Shiny UI Widgets for Small Screens
 Provides UI widget and layout functions for writing Shiny apps
 that work well on small screens. Designed to work especially
 well for creating Shiny Gadgets.

Package: r-cran-minpack.lm
Description-md5: 9fa38e165090e5db511a50b220fae58a
Description-en: GNU R Levenberg-Marquardt nonlinear least-squares algorithm found in MINPACK
 The nls.lm function provides an R interface to lmder and lmdif from the
 MINPACK library, for solving nonlinear least-squares problems by a
 modification of the Levenberg-Marquardt algorithm, with support for
 lower and upper parameter bounds. The implementation can be used via nls-
 like calls using the nlsLM function.

Package: r-cran-minqa
Description-md5: 2d594bbfa653c11dade3945cd1a2649a
Description-en: GNU R package for quadratic optimisation without derivatives
 The minqa package implements derivative-free optimization by
 quadratic approximation based on an interface to Fortran
 implementations by MJD Powell.

Package: r-cran-misc3d
Description-md5: f97f70df0c2324f3f6814e0196bd9b8c
Description-en: GNU R collection of 3d plot functions and rgl-based isosurfaces
 This CRAN package provides a collection of miscellaneous 3d plots, including
 rgl-based isosurfaces:
 .
  contour3d     Uses rgl to render isosurfaces, or three-dimensional
                contours, computed by the marching cubes algorithm.
 .
  image3d       Crude 3d analog of image() using rgl to plot points on a
                three dimensional grid representing values in a three
                dimensional array. Assumes high values are inside and
                uses alpha blending to make outside points more
                transparent.
 .
  lines3d       Draws connected line segments in an rgl window.
 .
  parametric3d  Plots a two-parameter surface in three dimensions in
                rgl.  Based on Mathematica's Param3D
 .
  slices3d      Uses tkrplot to create an interactive slice view of three or
                four dimensional volume data, such as MRI data.

Package: r-cran-misctools
Description-md5: 24e61da31fab0b72a93af8a1ad823f5f
Description-en: GNU R miscellaneous tools and utilities
 Many of them facilitate the work with matrices, e.g. inserting rows or
 columns, creating symmetric matrices, or checking for semidefiniteness. Other
 tools facilitate the work with regression models, e.g. extracting the standard
 errors, obtaining the number of (estimated) parameters, or calculating
 R-squared values.

Package: r-cran-mitml
Description-md5: b9b2e219b5b829a155d55c8791fc11c2
Description-en: GNU R tools for multiple imputation in multilevel modeling
 Provides tools for multiple imputation of missing data in multilevel
 modeling. Includes a user-friendly interface to the packages 'pan' and 'jomo',
 and several functions for visualization, data management and the analysis
 of multiply imputed data sets.

Package: r-cran-mitools
Description-md5: 54bbcc55e098056892802097ceb8ea6b
Description-en: GNU R tools for multiple imputation of missing data
 This GNU R package provides tools to perform analyses and combine results
 from multiple-imputation datasets.

Package: r-cran-mixtools
Description-md5: 02b87ae3464a9cb0ccb68df352b904ee
Description-en: GNU R tools for analyzing finite mixture models
 The GNU R mixtools package is a collection of R functions for analyzing
 finite mixture models. This package is based upon work supported by the
 National Science Foundation under Grant No. SES-0518772.

Package: r-cran-mlbench
Description-md5: 33eefd34e434a0c72d269f08c91d5400
Description-en: GNU R Machine Learning Benchmark Problems
 This GNU R package provices a collection of artificial and real-world
 machine learning benchmark problems, including, e.g., several data sets
 from the UCI repository.

Package: r-cran-mlmetrics
Description-md5: 1b293a3e44087a7922aa7cd757553001
Description-en: GNU R machine learning evaluation metrics
 This package contains a collection of evaluation metrics for GNU R,
 including loss, score and utility functions, that measure regression,
 classification and ranking performance.

Package: r-cran-mlmrev
Description-md5: 3b08e15947bc29734b4c86f0908fec30
Description-en: GNU R Examples from Multilevel Modelling Software Review
 This GNU R package provides data and examples from a multilevel
 modelling software review as well as other well-known data sets from the
 multilevel modelling literature.

Package: r-cran-mlr
Description-md5: 37a79fb96ae8929a158660e83bb75e8c
Description-en: Machine learning in GNU R
 Interface to a large number of classification and regression
 techniques, including machine-readable parameter descriptions. There is
 also an experimental extension for survival analysis, clustering and
 general, example-specific cost-sensitive learning. Generic resampling,
 including cross-validation, bootstrapping and subsampling. Hyperparameter
 tuning with modern optimization techniques, for single- and multi-objective
 problems. Filter and wrapper methods for feature selection. Extension of
 basic learners with additional operations common in machine learning, also
 allowing for easy nested resampling. Most operations can be parallelized.

Package: r-cran-mnormt
Description-md5: c0d2846468a0445f50a1460824961619
Description-en: GNU R package providing multivariate normal and t distribution
 This package provides functions for computing the density and the
 distribution function of, and for generating random vectors from the
 multivariate normal and multivariate t distributions.  It provides
 functions similar in scope to those of the package 'mvtnorm', but
 with some differences; one of these is that probabilities are
 computed via a non-Monte Carlo method.

Package: r-cran-mnp
Description-md5: a93002366341dd06c8c31e3607a7d2bc
Description-en: GNU R package for fitting multinomial probit (MNP) models
 MNP is an R package that fits Bayesian Multinomial Probit (MNP)
 models via Markov chain Monte Carlo (MCMC).  Along with the standard
 multinomial probit model, it can also fit models with different
 choice sets for each observation and complete or partial ordering of
 all the available alternatives.  The estimation is based on the
 efficient marginal data augmentation algorithm that is developed by
 Imai and van Dyk (2004).

Package: r-cran-mockery
Description-md5: def8ba9baf862fc6743dc1d0e777d3e0
Description-en: mocking library for GNU R
 The two main functionalities of this package are creating mock
 objects (functions) and selectively intercepting calls to a given
 function that originate in some other function. It can be used
 with any testing framework available for R. Mock objects can
 be injected with either this package's own stub() function or a
 similar with_mock() facility present in the testthat package.

Package: r-cran-mockr
Description-md5: b56dec00768576ae647ecbd028ea4892
Description-en: mocking in GNU R
 Provides a means to mock a package function, i.e., temporarily
 substitute it for testing. Designed as a drop-in replacement for
 'testthat::with_mock()', which may break in R 3.4.0 and later.

Package: r-cran-modeldata
Description-md5: 7eba3bf2e4aff849b3c80a2637c48547
Description-en: Data Sets Used Useful for Modeling Packages
 Data sets used for demonstrating or testing model-related packages are
 contained in this package.

Package: r-cran-modelmetrics
Description-md5: ce5c9a2c38cdbef732c2dbd02e7cbd9c
Description-en: GNU R Rapid Calculation of Model Metrics
 This GNU R package provides a collection of metrics for evaluating models
 written in C++ using 'Rcpp'.

Package: r-cran-modelr
Description-md5: 5a5c388cea822c67d82b5f04faa69974
Description-en: GNU R modelling functions that work with the pipe
 This package provides GNU R functions for modelling that help you
 seamlessly integrate modelling into a pipeline of data manipulation
 and visualisation.

Package: r-cran-modeltools
Description-md5: 0279fb0e208f63d7c4b2e62cfdc0eeb1
Description-en: GNU R package providing a collection of tools to deal with statistical models
 The r-cran-modeltools package is a GNU R package providing a collection
 of tools to deal with statistical models.

Package: r-cran-msm
Description-md5: d56de45b477deb65af5ef08165aeef03
Description-en: GNU R Multi-state Markov and hidden Markov models in continuous time
 Functions for fitting general continuous-time Markov and hidden Markov
 multi-state models to longitudinal data. Both Markov transition rates and the
 hidden Markov output process can be modelled in terms of covariates. A variety
 of observation schemes are supported, including processes observed at arbitrary
 times, completely-observed processes, and censored states.

Package: r-cran-multcomp
Description-md5: ec9d9e8e3a7b20f2c967bb300d37531a
Description-en: GNU R package for multiple comparison procedures
 This package provides functions to perform multiple comparison tests and
 simultaneous confidence intervals in one-way layout testing setups.

Package: r-cran-multcompview
Description-md5: 4343d0dee5300cf465b59a0ad71a4db4
Description-en: GNU R visualizations of paired comparisons
 Convert a logical vector or a vector of p-values or a correlation,
 difference, or distance matrix into a display identifying the pairs
 for which the differences were not significantly different.
 Designed for use in conjunction with the output of functions like
 TukeyHSD, dist{stats}, simint, simtest, csimint, csimtest{multcomp},
 friedmanmc, kruskalmc{pgirmess}.

Package: r-cran-multicool
Description-md5: 9073a59067cbc7a98f9a74fcb42b1311
Description-en: GNU R permutations of multisets in Cool-Lex order
 A set of tools to permute multisets without loops or hash tables and to
 generate integer partitions. The permutation functions are based on C
 code from Aaron Williams. Cool-lex order is similar to colexicographical
 order. The algorithm is described in Williams, A. Loopless Generation of
 Multiset Permutations by Prefix Shifts. SODA 2009, Symposium on Discrete
 Algorithms, New York, United States. The permutation code is distributed
 without restrictions. The code for stable and efficient computation of
 multinomial coefficients comes from Dave Barber. The code can be
 download from <http://tamivox.org/dave/multinomial/index.html> and is
 distributed without conditions. The package also generates the integer
 partitions of a positive, non-zero integer n. The C++ code for this is
 based on Python code from Jerome Kelleher which can be found here
 <http://jeromekelleher.net/category/combinatorics.html>. The C++ code
 and Python code are distributed without conditions.

Package: r-cran-multicore
Description-md5: b800eada2452bdcf21d3ff3f663c95d7
Description-en: GNU R parallel processing on multi-core or multi-cpu machines
 This package provides a way of running parallel computations in R on
 machines with multiple cores or CPUs. Jobs can share the entire
 initial workspace and it provides methods for results collection.

Package: r-cran-multidimbio
Description-md5: 41d3d9a883d85cba912f4b62ef9e72d6
Description-en: GNU R multivariate analysis and visualization for biological data
 Code to support a systems biology research program from inception
 through publication. The methods focus on dimension reduction approaches
 to detect patterns in complex, multivariate experimental data and places
 an emphasis on informative visualizations. The goal for this project is
 to create a package that will evolve over time, thereby remaining
 relevant and reflective of current methods and techniques.

Package: r-cran-multilevel
Description-md5: ffb5d64be38f9e1918775e9f78455a75
Description-en: GNU R multilevel functions for applied psychology
 The functions in this package are designed to be used in the analysis of
 multilevel data by applied psychologists. The package includes functions
 for estimating common within-group agreement and reliability indices.
 The package also contains basic data manipulation functions that
 facilitate the analysis of multilevel and longitudinal data.

Package: r-cran-munsell
Description-md5: f313c30fe36b644254606cd9d48a92d2
Description-en: Utilities for using Munsell colors
 Provides easy access to, and manipulation of, the Munsell colors.
 Provides a mapping between Munsell's original notation
 (e.g., "5R 5/10") and hexadecimal strings suitable for use
 directly in R graphics. Also provides utilities to explore slices
 through the Munsell color tree, to transform Munsell colors and
 display color palettes.

Package: r-cran-mutoss
Description-md5: e5ace1e80698c510c5204b9bb3c2a356
Description-en: GNU R unified multiple testing procedures
 Designed to ease the application and comparison of multiple
 hypothesis testing procedures for FWER, gFWER, FDR and FDX. Methods are
 standardized and usable by the accompanying 'mutossGUI'.

Package: r-cran-mvnfast
Description-md5: 1346688edbf09213235127b4f4e049e0
Description-en: GNU R fast multivariate normal and student's t methods
 Provides computationally efficient tools related to the multivariate
 normal and Student's t distributions. The main functionalities are:
 simulating multivariate random vectors, evaluating multivariate normal
 or Student's t densities and Mahalanobis distances. These tools are very
 efficient thanks to the use of C++ code and of the OpenMP API.

Package: r-cran-mvnormtest
Description-md5: 1c586cfdb45fe8a71ad6e412800cb34f
Description-en: GNU R package for multivariate normality test
 The mvnormtest package provides a generalization of the
 Shapiro-Wilk test for multivariate variables.

Package: r-cran-mvtnorm
Description-md5: bbf14142d76c8c0ea63bdddee1a48ee8
Description-en: GNU R package to compute multivariate Normal and T distributions
 This package provides a collection of functions to compute the multivariate
 Normal and T distributions.

Package: r-cran-natserv
Description-md5: be4e3cf1934b2ceb9294ddcfce04eb46
Description-en: GNU R 'NatureServe' Interface
 Interface to 'NatureServe' (<http://www.natureserve.org>).
 Includes methods to get data, image metadata, search taxonomic names,
 and make maps.

Package: r-cran-ncdf4
Description-md5: 8a7554ee7f8517999c044341ab297e48
Description-en: GNU R interface to Unidata netCDF format data files
 High-level R interface to data files written using Unidata's netCDF
 library (version 4 or earlier), which are binary data files that are
 portable across platforms and include metadata information in addition
 to the data sets. Using this package, netCDF files
 (either version 4 or "classic" version 3) can be opened and data sets
 read in easily. It is also easy to create new netCDF dimensions,
 variables, and files, in either version 3 or 4 format, and manipulate
 existing netCDF files.

Package: r-cran-ncdfgeom
Description-md5: 670b251a572d01c4298d51aaedaac264
Description-en: GNU R NetCDF geometry and time series
 Ncdfgeom is intended to write spatial geometries, their attributes, and
 timeseries data (that would typically be stored in two or more files) into
 a single file. The package provides functions to read and write NetCDF-CF
 Discrete Sampling Geometries point and timeseries feature types as well as
 NetCDF-CF spatial geometries. These utilities are meant to be general, but
 were designed to support working with typical geospatial feature data with
 linked attributes and time series in NetCDF.

Package: r-cran-ncmeta
Description-md5: 7732ed9fd233af0d4166f368730badf2
Description-en: GNU R Straightforward 'NetCDF' Metadata
 Extract metadata from 'NetCDF' data sources, these can be files, file
 handles or servers. This package leverages and extends the lower level
 functions of the 'RNetCDF' package providing a consistent set of
 functions that all return data frames.  There are named concepts of
 'grid', 'axis' and 'source' which are all meaningful entities without
 formal definition in the 'NetCDF' library. 'RNetCDF' matches the
 library itself with only the named concepts of 'variables', 'dimensions'
 and 'attributes'. 'ncmeta' provides a required framework for the
 in-development 'tidync' project.

Package: r-cran-nfactors
Description-md5: 605263559aaa5b26776c847c293d30b8
Description-en: GNU R analysis and solutions to the Cattell Scree test
 This GNU R package provides parallel analysis and non graphical
 solutions to the Cattell Scree Test.
 .
 Indices, heuristics and strategies to help determine the number of
 factors/components to retain:
   1. Acceleration factor (af with or without Parallel Analysis);
   2. Optimal Coordinates (noc with or without Parallel Analysis);
   3. Parallel analysis (components, factors and bootstrap);
   4. lambda > mean(lambda) (Kaiser, CFA and related);
   5. Cattell-Nelson-Gorsuch (CNG);
   6. Zoski and Jurs multiple regression (b, t and p);
   7. Zoski and Jurs standard error of the regression coeffcient (sescree);
   8. Nelson R2;
   9. Bartlett khi-2;
  10. Anderson khi-2;
  11. Lawley khi-2 and
  12. Bentler-Yuan khi-2.

Package: r-cran-nleqslv
Description-md5: 4ad74f38af0ebcaefdd3f8e1b1a7f387
Description-en: GNU R package for solving systems of nonlinear equations
 This R package solves a system of nonlinear equations using a Broyden or a
 Newton method with a choice of global strategies such as line search and trust
 region. There are options for using a numerical or user supplied Jacobian, for
 specifying a banded numerical Jacobian and for allowing a singular or
 ill-conditioned Jacobian.

Package: r-cran-nlme
Description-md5: 07c766b5eb76b627773828d05d894a0a
Description-en: GNU R package for (non-)linear mixed effects models
 This package provides functions to fit and compare linear and non-linear
 mixed-effects models.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-nloptr
Description-md5: 3917da00287026520395b9b8a9f91649
Description-en: GNU R package for interface to NLopt
 The nloptr package provides an R interface to NLopt. NLopt is a
 free/open-source library for nonlinear optimization, providing a
 common interface for a number of different free optimization routines
 available online as well as original implementations of various other
 algorithms.  See
 http://ab-initio.mit.edu/wiki/index.php/NLopt_Introduction for more
 information on the available algorithms.

Package: r-cran-nlp
Description-md5: 837baca737287d5a1e2aafd7daec7994
Description-en: Natural Language Processing Infrastructure for R
 Basic classes and methods for Natural Language Processing in R.

Package: r-cran-nmf
Description-md5: d6fe09f8e871e2430c9cff98f18b3888
Description-en: GNU R framework to perform non-negative matrix factorization
 This package implements a set of previously published algorithms and
 seeding methods, and provides a framework to test, develop and plug
 new/custom algorithms.  Most of the built-in algorithms have been
 optimized, and the main interface function provides parallel
 computations on multicore machines.

Package: r-cran-nnet
Description-md5: 1e0570660ee6d0cfbedc0ea4c7413ad2
Description-en: GNU R package for feed-forward neural networks
 The nnet package provides functions and datasets to support
 feed-forward neural networks with a single hidden layer, and
 multinomial log-linear models, as discussed in the book 'Modern
 Applied Statistics with S' (4th edition) by W.N. Venables and
 B.D. Ripley. The following URL provides more details about the book:
 URL: http://www.stats.ox.ac.uk/pub/MASS4

Package: r-cran-nnls
Description-md5: ede6109c3087b3fd17088c214b67f9fe
Description-en: GNU R package for non-negative least squares (the Lawson-Hanson algorithm)
 An R package to the Lawson-Hanson implementation of an algorithm for non-
 negative least squares (NNLS). Also allows the combination of non-negative
 and non-positive constraints.

Package: r-cran-nortest
Description-md5: 232411b8c4feb4e287a847cf3a798786
Description-en: GNU R package with five tests for normality
 The package provides five omnibus tests for testing the composite
 hypothesis of normality.

Package: r-cran-nozzle.r1
Description-md5: 6f9973fa2f0efc47264ff6fcb58d20b9
Description-en: GNU R nozzle reports
 The Nozzle package provides an API to generate HTML
 reports with dynamic user interface elements based on
 JavaScript and CSS (Cascading Style Sheets). Nozzle was
 designed to facilitate summarization and rapid browsing of
 complex results in data analysis pipelines where multiple
 analyses are performed frequently on big data sets. The package
 can be applied to any project where user-friendly reports need
 to be created.

Package: r-cran-npsurv
Description-md5: 42d011b2ff1ad1d257047a7a7500a5ae
Description-en: Nonparametric Survival Analysis
 Contains functions for non-parametric survival analysis of
 exact and interval-censored observations.

Package: r-cran-numderiv
Description-md5: eb3526375422c9ec446fe1d0aad16a7b
Description-en: GNU R package for accurate numerical derivatives
 This package provide methods for calculating (usually) accurate
 numerical first and second order derivatives. Accurate calculations
 are done using Richardson's extrapolation or, when applicable, a
 complex step derivative is available. A simple difference method is
 also provided. Simple difference is (usually) less accurate but is
 much quicker than Richardson's extrapolation and provides a useful
 cross-check.  Methods are provided for real scalar and vector valued
 functions.

Package: r-cran-openmx
Description-md5: a940507c31615e57395ca440324dc4c4
Description-en: GNU R extended structural equation modelling
 Create structural equation models that can be manipulated
 programmatically. Models may be specified with matrices or paths (LISREL
 or RAM) Example models include confirmatory factor, multiple group,
 mixture distribution, categorical threshold, modern test theory,
 differential Fit functions include full information maximum likelihood,
 maximum likelihood, and weighted least squares. equations, state space,
 and many others. Support and advanced package binaries available at
 <http://openmx.ssri.psu.edu>. The software is described in Neale,
 Hunter, Pritikin, Zahery, Brick, Kirkpatrick, Estabrook, Bates, Maes, &
 Boker (2016) <doi:10.1007/s11336-014-9435-8>.

Package: r-cran-openssl
Description-md5: 8c0f9c00e82da9593b5ea71bb950afcf
Description-en: GNU R toolkit for encryption, signatures and certificates based on OpenSSL
 Bindings to OpenSSL libssl and libcrypto, plus custom SSH pubkey
 parsers. Supports RSA, DSA and NIST curves P-256, P-384 and P-521.
 Cryptographic signatures can either be created and verified manually or
 via x509 certificates. AES block cipher is used in CBC mode for
 symmetric encryption; RSA for asymmetric (public key) encryption. High-
 level envelope functions combine RSA and AES for encrypting arbitrary
 sized data. Other utilities include key generators, hash functions (md5,
 sha1, sha256, etc), base64 encoder, a secure random number generator,
 and 'bignum' math methods for manually performing crypto calculations on
 large multibyte integers.

Package: r-cran-openxlsx
Description-md5: 24822baa9c3e4d3bf10a8bfe3eb45121
Description-en: GNU R package to read and write XLSX files
 The openxlsx package simplifies the creation of Excel .xlsx files by
 providing a high level interface to writing, styling and editing
 worksheets. Through the use of 'Rcpp', read/write times are
 comparable to the 'xlsx' and 'XLConnect' packages with the added
 benefit of removing the dependency on Java.

Package: r-cran-optparse
Description-md5: 3a02d6cac59f0cb183af6ec711837815
Description-en: GNU/R Command line option parser
 A command line parser inspired by Python's 'optparse' library to be
 used with Rscript to write "#!" shebang scripts that accept short and
 long flag/options.

Package: r-cran-ordinal
Description-md5: 0bf2fc8be0c888e4b10f61d8ac7fb929
Description-en: GNU R regression models for ordinal data
 Implementation of cumulative link (mixed) models also known
 as ordered regression models, proportional odds models, proportional
 hazards models for grouped survival times and ordered logit/probit/...
 models. Estimation is via maximum likelihood and mixed models are fitted
 with the Laplace approximation and adaptive Gauss-Hermite quadrature.
 Multiple random effect terms are allowed and they may be nested, crossed or
 partially nested/crossed. Restrictions of symmetry and equidistance can be
 imposed on the thresholds (cut-points/intercepts). Standard model
 methods are available (summary, anova, drop-methods, step,
 confint, predict etc.) in addition to profile methods and slice
 methods for visualizing the likelihood function and checking
 convergence.

Package: r-cran-packrat
Description-md5: 0968b7bbfb35023b1a5b78d5078d11f1
Description-en: GNU R dependency management system for R package dependencies
 Manage the R packages your project depends on in an isolated,
 portable, and reproducible way.

Package: r-cran-pan
Description-md5: 0b01c02642641caa79ce6c2f8375c674
Description-en: GNU R multiple imputation for multivariate panel or clustered data
 It provides functions and examples for maximum likelihood estimation for
 generalized linear mixed models and Gibbs sampler for multivariate linear
 mixed models with incomplete data, as described in Schafer JL (1997)
 "Imputation of missing covariates under a multivariate linear mixed model".
 Technical report 97-04, Dept. of Statistics, The Pennsylvania State University.

Package: r-cran-parallelmap
Description-md5: d53466a710ea6362181229d908eecd3b
Description-en: GNU R unified interface to parallelization back-ends
 Unified parallelization framework for multiple back-end,
 designed for internal package and interactive usage.
 The main operation is a parallel "map" over lists.
 Supports local, multicore, mpi and BatchJobs mode.
 Allows "tagging" of the parallel operation
 with a level name that can be later selected by the user to
 switch on parallel execution for exactly this operation.

Package: r-cran-parameters
Description-md5: 79189be3f062bd09b9b128586cedda30
Description-en: GNU R processing of model parameters
 Utilities for processing the parameters of various statistical models.
 Beyond computing p values, CIs, and other indices for a wide variety of
 models (see support list of insight; Lüdecke, Waggoner & Makowski (2019)
 <doi:10.21105/joss.01412>), this package implements features like
 standardization or bootstrapping of parameters and models, feature
 reduction (feature extraction and variable selection) as well as
 conversion between indices of effect size.

Package: r-cran-paramhelpers
Description-md5: 3e50a072fdbeba74dc0488e2a9ad108e
Description-en: GNU R helpers for parameters in black-box optimization and tuning
 Functions for parameter descriptions and operations in black-box
 optimization, tuning and machine learning. Parameters can be described
 (type, constraints, defaults, etc.), combined to parameter sets and can in
 general be programmed on. A useful OptPath object (archive) to log function
 evaluations is also provided.

Package: r-cran-parmigene
Description-md5: 5e044ae5cf94b900d0d454b26c4dc831
Description-en: Parallel Mutual Information to establish Gene Networks
 The package provides a parallel estimation of the mutual
 information based on entropy estimates from k-nearest neighbors
 distances and algorithms for the reconstruction of gene
 regulatory networks.

Package: r-cran-party
Description-md5: 9e691608bc4b8a9206a2a54193d5d545
Description-en: GNU R laboratory for recursive partytioning
 A computational toolbox for recursive partitioning.
 The core of the package is ctree(), an implementation of
 conditional inference trees which embed tree-structured
 regression models into a well defined theory of conditional
 inference procedures. This non-parametric class of regression
 trees is applicable to all kinds of regression problems, including
 nominal, ordinal, numeric, censored as well as multivariate response
 variables and arbitrary measurement scales of the covariates.
 Based on conditional inference trees, cforest() provides an
 implementation of Breiman's random forests. The function mob()
 implements an algorithm for recursive partitioning based on
 parametric models (e.g. linear models, GLMs or survival
 regression) employing parameter instability tests for split
 selection. Extensible functionality for visualizing tree-structured
 regression models is available. The methods are described in
 Hothorn et al. (2006) <doi:10.1198/106186006X133933>,
 Zeileis et al. (2008) <doi:10.1198/106186008X319331> and
 Strobl et al. (2007) <doi:10.1186/1471-2105-8-25>.

Package: r-cran-partykit
Description-md5: 1b02b1a245bc511f88312e6a6e1fc11b
Description-en: GNU R toolkit for recursive partytioning
 A toolkit with infrastructure for representing, summarizing, and
 visualizing tree-structured regression and classification models. This
 unified infrastructure can be used for reading/coercing tree models from
 different sources ('rpart', 'RWeka', 'PMML') yielding objects that share
 functionality for print()/plot()/predict() methods. Furthermore, new and
 improved reimplementations of conditional inference trees (ctree()) and
 model-based recursive partitioning (mob()) from the 'party' package are
 provided based on the new infrastructure. A description of this package
 was published by Hothorn and Zeileis (2015)
 <http://jmlr.org/papers/v16/hothorn15a.html>.

Package: r-cran-pbapply
Description-md5: cadfb5b86f1ea3c05961189769df7353
Description-en: GNU R package providing progress bars for vectorized R functions
 The r-cran-pbapply package is a GNU R package providing progress bars
 for vectorized R functions.

Package: r-cran-pbdzmq
Description-md5: be6261de61efd7387db2ea9ed9cdeb1b
Description-en: R bindings for ZeroMQ from the pbdR project
 A set of bindings for the well-known ZeroMQ communication library.
 pbdZMQ wraps the C API in higher-level R functions and supports several
 common ZeroMQ patterns including request-reply and push-pull. Some
 compatibility functions are included for existing code using rzmq.

Package: r-cran-pbivnorm
Description-md5: 428e4e2dee1e6e5f609c1567f29b483a
Description-en: GNU R package for calculating probabilities from a bivariate normal CDF
 The r-cran-pbivnorm package is a GNU R package providing a vectorized
 R function for calculating probabilities from a standard bivariate
 normal CDF

Package: r-cran-pbkrtest
Description-md5: 4eb5ffbf2fae5fee295a5cb6639aa8ab
Description-en: GNU R package for tests in linear mixed-effect models
 The pbkrtest package provides parametric bootstrap and Kenward-Rogers
 tests for linear mixed effects models as in the lme4 package.

Package: r-cran-pbmcapply
Description-md5: 73d3f00c0a3b0ea564f4e5b714196d69
Description-en: GNU R tracking the progress of Mc*pply with progress bar
 This light-weight GNU R package helps you track and visualize the
 progress of parallel version of vectorized R functions (mc*apply).
 Parallelization (mc.core > 1) works only on *nix systems providing
 the fork() functionality.

Package: r-cran-pcapp
Description-md5: 493abb81068b042a2fd5dbccd98b90de
Description-en: Robust PCA by Projection Pursuit
 Provides functions for robust PCA by projection pursuit. The methods are
 described in Croux et al. (2006) <doi:10.2139/ssrn.968376>, Croux et al.
 (2013) <doi:10.1080/00401706.2012.727746>, Todorov and Filzmoser (2013)
 <doi:10.1007/978-3-642-33042-1_31>.

Package: r-cran-pcict
Description-md5: 6dedd21d7ba4e6b56f447148354bddc8
Description-en: GNU R Implementation of POSIXct work-alike calendars
 This GNU R package provides a work-alike to R's POSIXct class which
 implements 360- and 365-day calendars in addition to the gregorian
 calendar.

Package: r-cran-pdftools
Description-md5: 79b223f9a60cbd391934d028da39c608
Description-en: GNU R text extraction, rendering and converting of PDF documents
 Utilities based on 'libpoppler' for extracting text, fonts, attachments
 and metadata from a PDF file. Also supports high quality rendering of
 PDF documents into PNG, JPEG, TIFF format, or into raw bitmap vectors
 for further processing in R.

Package: r-cran-performance
Description-md5: 46ef6ee19ed0ad4b64b834d01b4991e4
Description-en: GNU R assessment of regression models performance
 Utilities for computing measures to assess model quality,
 which are not directly provided by R's 'base' or 'stats' packages. These
 include e.g. measures like r-squared, intraclass correlation coefficient
 (Nakagawa, Johnson & Schielzeth (2017) <doi:10.1098/rsif.2017.0213>),
 root mean squared error or functions to check models for overdispersion,
 singularity or zero-inflation and more. Functions apply to a large variety of
 regression models, including generalized linear models, mixed effects models
 and Bayesian models.

Package: r-cran-permute
Description-md5: f1b62d8b545914fa87224a0ddd133b0d
Description-en: R functions for generating restricted permutations of data
 Implements a set of restricted permutation designs for freely exchangeable,
 line transects (time series), and spatial grid designs plus permutation of
 blocks (groups of samples). ‘permute’ also allows split-plot designs, in which
 the whole-plots or split-plots or both can be freely-exchangeble or one of the
 restricted designs. The permute package is modelled after the permutation
 schemes of Canoco 3.1 by Cajo ter Braak.

Package: r-cran-phangorn
Description-md5: 30da123f29512ae1bddc3b06ad7dd4fa
Description-en: GNU R package for phylogenetic analysis
 phangorn is a tool for reconstructing phylogenies, using distance-based
 methods, maximum parsimony or maximum likelihood, and performing Hadamard
 conjugation. It also offers functions for comparing trees, phylogenetic models
 or splits, simulating character data and performing congruence analysis.

Package: r-cran-pheatmap
Description-md5: d9c529ca313a6a8685176c39641af74c
Description-en: GNU R package to create pretty heatmaps
 GNU R implementation of heatmaps that offers more control over dimensions and
 appearance.

Package: r-cran-phylobase
Description-md5: a3512812f37cb426711deba5f112b750
Description-en: GNU R base package for phylogenetic structures and comparative data
 This R package provides a base S4 class for comparative methods,
 incorporating one or more trees and trait data as these are used in
 other packages dealing with phylogenetic structures and comparative data.

Package: r-cran-phytools
Description-md5: f66836da7553b77091704af997f63b10
Description-en: GNU R phylogenetic tools for comparative biology
 A wide range of functions for phylogenetic analysis. Functionality is
 concentrated in phylogenetic comparative biology, but also includes a
 diverse array of methods for visualizing, manipulating, reading or
 writing, and even inferring phylogenetic trees and data. Included among
 the functions in phylogenetic comparative biology are various for
 ancestral state reconstruction, model-fitting, simulation of phylogenies
 and data, and multivariate analysis. There are a broad range of plotting
 methods for phylogenies and comparative data which include, but are not
 restricted to, methods for mapping trait evolution on trees, for
 projecting trees into phenotypic space or a geographic map, and for
 visualizing correlated speciation between trees. Finally, there are a
 number of functions for reading, writing, analyzing, inferring,
 simulating, and manipulating phylogenetic trees and comparative data not
 covered by other packages. For instance, there are functions for
 randomly or non-randomly attaching species or clades to a phylogeny, for
 estimating supertrees or consensus phylogenies from a set, for
 simulating trees and phylogenetic data under a range of models, and for
 a wide variety of other manipulations and analyses that phylogenetic
 biologists might find useful in their research.

Package: r-cran-pillar
Description-md5: bc9dc31896c87d53548c5f932be77031
Description-en: GNU R coloured formatting for columns
 This GNU R package provides a 'pillar' generic designed for formatting
 columns of data using the full range of colours provided by modern
 terminals.

Package: r-cran-pixmap
Description-md5: eb262bc813ff5c372276d228b5fc654c
Description-en: GNU R bitmap images (Pixel Maps)
 This GNU R package is a family "pixmap" of classes providing
 methods for creating, plotting and converting bitmapped images
 in three different formats: RGB, grey and indexed pixmaps.

Package: r-cran-pkgbuild
Description-md5: 333a2b614981f2b104ec8a3f39f82ef2
Description-en: find tools needed to build GNU R packages
 Provides functions used to build R packages. Locates compilers
 needed to build R packages on various platforms and ensures the PATH is
 configured appropriately so R can use them.

Package: r-cran-pkgconfig
Description-md5: 163752c342a325730b1a84b16149cacc
Description-en: Private Configuration for 'R' Packages
 Set configuration options on a per-package basis.
 Options set by a given package only apply to that package,
 other packages are unaffected.

Package: r-cran-pkgkitten
Description-md5: 01f14b1dac3955f107b2861c4fc20647
Description-en: GNU R package to create simple packages
 This package provides a function kitten() which create cute little
 packages which pass R CMD check. This sets it apart from
 package.skeleton() which it calls, and which leaves imperfect files
 behind. As this is not exactly helpful for beginners, kitten() offers
 an alternative.

Package: r-cran-pkgload
Description-md5: 1662f1f68ae8ec9e51cdba3025ccf72d
Description-en: simulate GNU R package installation and attach
 Simulates the process of installing a package and then attaching it.
 This is a key part of the 'devtools' package as it allows you to rapidly
 iterate while developing a package.

Package: r-cran-pkgmaker
Description-md5: 7042e9f4241a489136ef0f36ef2871f5
Description-en: GNU R package development utilities
 This package provides some low-level utilities to use for package
 development. It currently provides managers for multiple package specific
 options and registries, vignette, unit test and bibtex related utilities.
 It serves as a base package for many other R packages and as an incubator
 package for other general purposes utilities, that will eventually be packaged
 separately.

Package: r-cran-pki
Description-md5: 29f5b56444b5d4b1a1f214bd264a81c1
Description-en: public key infrastucture for R based on the X.509 standard
 PKI functions such as verifying certificates, RSA encription and
 signing which can be used to build PKI infrastructure and perform
 cryptographic tasks.

Package: r-cran-plm
Description-md5: 50591f84166ac06347e8eab72e426a1c
Description-en: GNU R estimators and tests for panel data econometrics
 This R package intends to make the estimation of linear panel models
 straightforward. It provides functions to estimate a wide variety of models=
 and to make (robust) inference.
 .
 The main functions to estimate models are:
  - plm: panel data estimators using lm on transformed data,
  - pgmm: generalized method of moments (GMM) estimation for panel data,
  - pvcm: variable coefficients models for panel data,
  - pmg: mean groups (MG), demeaned MG and common correlated effects (CCEMG)
    estimators.
 .
 Next to the model estimation functions, the package offers several functions
 for statistical tests related to panel data/models.
 .
 Multiple functions for (robust) variance-covariance matrices are at hand as
 well. The package also provides data sets to demonstrate functions and to
 replicate some text book/paper results.

Package: r-cran-plogr
Description-md5: 1bf2966d550582efda38db8452ba2162
Description-en: GNU R C++ Logging Library
 Plogr is a simple header-only logging library for C++ to be used with
 GNU R. Add 'LinkingTo: plogr' to 'DESCRIPTION', and '#include <plogr.h>'
 in your C++ modules to use it.

Package: r-cran-plotly
Description-md5: cecc3a721615181f4ae356efe531d6a0
Description-en: create interactive web graphics via 'plotly.js' in GNU R
 Easily translate 'ggplot2' graphs to an interactive web-based version
 and/or create custom web-based visualizations directly from R. Once
 uploaded to a 'plotly' account, 'plotly' graphs (and the data behind
 them) can be viewed and modified in a web browser.

Package: r-cran-plotmo
Description-md5: b9f9ffa22e3a7d5c386a4396ba258d30
Description-en: GNU R plot a model's response and residuals
 Plot model surfaces for a wide variety of models
 using partial dependence plots and other techniques.
 Also plot model residuals and other information on the model.

Package: r-cran-plotrix
Description-md5: 0d796a7902a7b571b54846c288e6be0e
Description-en: GNU R package providing various plotting functions
 This R package provides lots of plots, various labeling, axis and color scaling
 functions.  Some of them are:
 .
  arctext:          Display text on a circular arc.
  barhier:          Display a set of hierarchically associated variables
  barp:             A bar plotting routine
  bin.wind.records: Classify wind direction and speed records.
  centipede.plot:   Display a centipede plot
  clock24.plot:     Plot values on a 24 hour "clockface".
  color.legend:     Legend matching categories or values to colors
  dotplot.mtb:      Minitab style dotplots.
  gantt.chart:      Display a Gantt chart
  intersectDiagram: Display set intersections
  multhist:         Plot a multiple histogram, as a barplot
  polar.plot:       Plot values on a circular grid of 0 to 360 degrees.
  vectorField:      Display magnitude/direction vectors
  weighted.hist:    Display a weighted histogram
 .
 and many more.  Read more about this package at
 http://cran.r-project.org/web/packages/plotrix/plotrix.pdf

Package: r-cran-pls
Description-md5: 63dddbc3399108cee4b574954e56bc6e
Description-en: GNU R partial least squares and principal component regression
 This GNU R package provides functions for multivariate regression methods
 Partial Least Squares Regression (PLSR), Principal Component
 Regression (PCR) and Canonical Powered Partial Least Squares (CPPLS).

Package: r-cran-plumber
Description-md5: 460d7fc4866515d17eba729151f03dea
Description-en: API Generator for GNU R
 This GNU R package gives the ability to automatically generate and serve
 an HTTP API from R functions using the annotations in the R documentation
 around your functions.

Package: r-cran-plyr
Description-md5: daff54d9bcdcec52e70afbed87cc0968
Description-en: tools for splitting, applying and combining data
 plyr is a set of tools that solves a common set of problems: you need to break
 a big problem down into manageable pieces, operate on each pieces and then put
 all the pieces back together. For example, you might want to fit a model to
 each spatial location or time point in your study, summarise data by panels or
 collapse high-dimensional arrays to simpler summary statistics. The development
 of plyr has been generously supported by BD (Becton Dickinson).

Package: r-cran-png
Description-md5: ae892834101f3eaefd14bf1796aa8da8
Description-en: GNU R package to read and write PNG images
 This package provides an easy and simple way to read, write and display
 bitmap images stored in the PNG format. It can read and write both
 files and in-memory raw vectors.

Package: r-cran-polspline
Description-md5: e9560c07d855d62f591c24b03d7c7be2
Description-en: GNU R package providing polynomial spline fitting
 This package provides functions for polynomial spline fitting
 routines hazard regression, hazard estimation with flexible tails,
 logspline, lspec, polyclass, and polymars.

Package: r-cran-polyclip
Description-md5: 2b9dede859d8e1367b2eb882ff331e69
Description-en: GNU R Polygon Clipping
 R port of the Clipper library. Performs polygon clipping operations
 (intersection, union, set minus, set difference) for polygonal regions
 of arbitrary complexity, including holes. Also computes offset polygons
 (spatial buffer zones, morphological dilations, Minkowski dilations) for
 polygonal regions and polygonal lines.

Package: r-cran-polycor
Description-md5: 2916bfa7965c91e49bf917177e5da9b2
Description-en: GNU R polychoric and polyserial correlations
 Computes polychoric and polyserial correlations by quick "two-step"
 methods or ML, optionally with standard errors; tetrachoric and biserial
 correlations are special cases.

Package: r-cran-polycub
Description-md5: 7fffd1503ef5147573ba82b7e8a6020d
Description-en: GNU R Cubature over Polygonal Domains
 The following methods for cubature (numerical integration)
 over polygonal domains are currently implemented:
 the two-dimensional midpoint rule as a simple wrapper around
 as.im.function() from package 'spatstat' (Baddeley and Turner, 2005),
 the product Gauss cubature by Sommariva and Vianello (2007),
 an adaptive cubature for isotropic functions via line integrate()
 along the boundary (Meyer and Held, 2014),
 and quasi-exact methods specific to the integration of the
 bivariate Gaussian density over polygonal and circular domains
 (based on formulae from the Abramowitz and Stegun (1972) handbook).
 For cubature over simple hypercubes, the packages 'cubature' and
 'R2Cuba' are more appropriate.

Package: r-cran-popepi
Description-md5: 5b278b12982f690e8520ca0cdb0c082f
Description-en: Functions for Epidemiological Analysis using Population Data
 Enables computation of epidemiological statistics where e.g.
 counts or mortality rates of the reference population are used. Currently
 supported: excess hazard models, rates, mean survival times, relative
 survival, as well as standardized incidence and mortality ratios (SIRs/SMRs),
 all of which can be easily adjusted for e.g. age.
 Fast splitting and aggregation of 'Lexis' objects (from package 'Epi')
 and other computations achieved using 'data.table'.

Package: r-cran-powerlaw
Description-md5: 878052bf6819167ab4504e3132bef78b
Description-en: GNU R analysis of heavy tailed distributions
 GNU R implementation of maximum likelihood estimators for a variety
 of heavy tailed distributions, including both the discrete and continuous
 power law distributions. Additionally, a goodness-of-fit based approach is
 used to estimate the lower cut-off for the scaling region.

Package: r-cran-prabclus
Description-md5: 28291f7dd0eece0195b35c85e69adc40
Description-en: GNU R clustering of presence-absence, abundance and multilocus genetic data
 Distance-based parametric bootstrap tests for clustering with spatial
 neighborhood information. Some distance measures, Clustering of presence-
 absence, abundance and multilocus genetical data for species
 delimitation, nearest neighbor based noise detection.

Package: r-cran-pracma
Description-md5: c3d97ff8f8a3779b67a15d7b5642c72f
Description-en: practical numerical math functions for GNU R
 Provides a large number of functions from numerical analysis and
 linear algebra, numerical optimization, differential equations,
 time series, plus some well-known special mathematical functions.
 Uses 'MATLAB' function names where appropriate to simplify porting.

Package: r-cran-praise
Description-md5: 217c17574646d95f8a22e41082d14afc
Description-en: GNU R praise users
 Build friendly R packages that praise their users if they have done
 something good, or they just need it to feel better.

Package: r-cran-prediction
Description-md5: f18288e80cb0e54b7a5f4f2cfcc2fe66
Description-en: GNU R tidy, type-safe 'prediction()' methods
 A one-function package containing 'prediction()', a type-safe
 alternative to 'predict()' that always returns a data frame. The package
 currently supports common model types (e.g., "lm", "glm") from the
 'stats' package, as well as numerous other model classes from other add-
 on packages. See the README or main package documentation page for a
 complete listing.

Package: r-cran-prettycode
Description-md5: 553678fa349c6bd027d2252d319440a2
Description-en: pretty print GNU R code in the terminal
 Replace the standard print method for functions with one that
 performs syntax highlighting, using ANSI colors, if the terminal
 supports them.

Package: r-cran-prettyr
Description-md5: 7c68a37b796ef5a42073498feec03bda
Description-en: Pretty Descriptive Stats
 Functions for conventionally formatting descriptive stats,
 reshaping data frames and formatting R output as HTML.

Package: r-cran-prettyunits
Description-md5: 0162b6c7ad1f925030d569c0086bfcc2
Description-en: GNU R pretty, human readable formatting of quantities
 Pretty, human readable formatting of quantities.
 Time intervals: 1337000 -> 15d 11h 23m 20s.
 Vague time intervals: 2674000 -> about a month ago.
 Bytes: 1337 -> 1.34 kB.

Package: r-cran-princurve
Description-md5: 9ea1455c234ffc9141f8caa98da838bc
Description-en: fit a principal curve in arbitrary dimension
 GNU R package to fit a principal curve to a data matrix in arbitrary
 dimensions.

Package: r-cran-proc
Description-md5: a4faf3c6e1f48cd984181a92d99979a7
Description-en: Display and Analyze ROC Curves
 Tools for visualizing, smoothing and comparing receiver operating
 characteristic (ROC curves). (Partial) area under the curve (AUC) can be
 compared with statistical tests based on U-statistics or bootstrap.
 Confidence intervals can be computed for (p)AUC or ROC curves.

Package: r-cran-processx
Description-md5: cd9274e0bf2da739d5a6df9eacd9af21
Description-en: GNU R execute and control system processes
 Tools to run system processes in the background.
 It can check if a background process is running; wait on a background
 process to finish; get the exit status of finished processes; kill
 background processes and their children; restart processes. It can read
 the standard output and error of the processes, using non-blocking
 connections. 'processx' can poll a process for standard output or
 error, with a timeout. It can also poll several processes at once.

Package: r-cran-prodlim
Description-md5: be32325330545eca361ca3e3ca8f64ce
Description-en: GNU R product-limit estimation for Censored Event History Analysis
 Fast and user friendly implementation of nonparametric estimators
 for censored event history (survival) analysis. Kaplan-Meier and
 Aalen-Johansen method.

Package: r-cran-profilemodel
Description-md5: 55031ce393bdba36514676ea2cab54fa
Description-en: GNU R tools for profiling inference functions
 profileModel provides tools that can be used to calculate, evaluate,
 plot and use for inference the profiles of *arbitrary* inference
 functions for *arbitrary* 'glm'-like fitted models with linear
 predictors.

Package: r-cran-progress
Description-md5: cacf320d8e7274457f93d5782d6d5ddb
Description-en: GNU R terminal progress bars
 Configurable Progress bars for GNU R, they may include percentage,
 elapsed time, and/or the estimated completion time. They work in
 terminals, in 'Emacs' 'ESS', 'RStudio', 'Windows' 'Rgui' and the
 'macOS' 'R.app'. The package also provides a 'C++' 'API', that works
 with or without 'Rcpp'.

Package: r-cran-projpred
Description-md5: 1ffc337918328a1bded35a41d5710d9e
Description-en: GNU R projection predictive feature selection
 Performs projection predictive feature selection for generalized linear models
 (see, Piironen, Paasiniemi and Vehtari, 2018, <arXiv:1810.02406>).
 The package is compatible with the 'rstanarm' and 'brms' packages, but other
 reference models can also be used. See the package vignette for more
 information and examples.

Package: r-cran-promises
Description-md5: 3205ec327a1240891c972e6997abb7de
Description-en: GNU R abstractions for promise-based asynchronous programming
 Provides fundamental abstractions for doing asynchronous programming
 in R using promises. Asynchronous programming is useful for allowing a single
 R process to orchestrate multiple tasks in the background while also attending
 to something else. Semantics are similar to 'JavaScript' promises, but with a
 syntax that is idiomatic R.

Package: r-cran-propclust
Description-md5: b6bb379544904659a5cb691dc9714be1
Description-en: Propensity Clustering and Decomposition
 Implementation of propensity clustering and decomposition as described
 in Ranola et al. (2013) <doi:10.1186/1752-0509-7-21>. Propensity
 decomposition can be viewed on the one hand as a generalization of the
 eigenvector-based approximation of correlation networks, and on the
 other hand as a generalization of random multigraph models and conformity-
 based decompositions.

Package: r-cran-proto
Description-md5: 8c786e57597a397b673365932925765b
Description-en: Prototype object-based programming
 An object oriented system using object-based, also called prototype-
 based, rather than class-based object oriented ideas.

Package: r-cran-ps
Description-md5: 3a52f1c4cc9d8c3039aadc1af70c5d0b
Description-en: GNU R list, query, manipulate system processes
 This GNU R package provides functions to list, query and manipulate
 all system processes.  This is an implementation of the Linux
 command ps for R.

Package: r-cran-pscbs
Description-md5: da21af0d6123ccd125f634f05ca111c8
Description-en: R package: Analysis of Parent-Specific DNA Copy Numbers
 Segmentation of allele-specific DNA copy number data and detection of regions
 with abnormal copy number within each parental chromosome. Both tumor-normal
 paired and tumoronly analyses are supported.

Package: r-cran-pscl
Description-md5: 69167a61a1031457ce53afb6d933d0f8
Description-en: GNU R package for discrete data models
 This package consists of R functions developed at the Political
 Science Computational Laboratory at Stanford University; it currently
 includes models for count data (hurdle regression and zero-inflated
 negative binomial and Poisson models), ordinal probit and logit (also
 available in MASS via polr), and an item-response theory model.  Also
 included are Vuong tests for non-nested hypothesis testing and
 convenience functions for handling roll-call vote matrices.

Package: r-cran-psy
Description-md5: 69298c93d172ae11351d45f755244135
Description-en: GNU R procedures for psychometrics
 This package includes several procedures used in psychometrics and
 scaling, including:
 .
  * Cohen's Kappa and weighted Kappa (two-rater agreement tests)
  * Cronbach's Alpha (an item-reliability test)
  * Focused Principal Components Analysis
  * Intraclass correlation coefficients
  * Light's Kappa (an N-rater agreement test)
  * Screeplots and graphical representations of principal components

Package: r-cran-psych
Description-md5: 8cf44e7443d73a23242a90e11281c15e
Description-en: GNU R procedures for psychological, psychometric, and personality research
 This GNU R package provides a general purpose toolbox for personality,
 psychometric theory and experimental psychology. Functions are primarily
 for multivariate analysis and scale construction using factor
 analysis, principal component analysis, cluster analysis and
 reliability analysis, although others provide basic descriptive
 statistics. Item Response Theory is done using factor analysis of
 tetrachoric and polychoric correlations. Functions for analyzing
 data at multiple levels include within and between group
 statistics, including correlations and factor analysis. Functions
 for simulating and testing particular item and test structures are
 included. Several functions serve as a useful front end for
 structural equation modeling. Graphical displays of path diagrams,
 factor analysis and structural equation models are created using
 basic graphics. Some of the functions are written to support a
 book on psychometric theory as well as publications in personality
 research.

Package: r-cran-psychometric
Description-md5: 1b33dfcccd431062850e3ec2e244eb92
Description-en: GNU R applied psychometric theory
 Contains GNU R functions useful for correlation theory,
 meta-analysis (validity-generalization), reliability, item
 analysis, inter-rater reliability, and classical utility.

Package: r-cran-psychotools
Description-md5: a28a365340e56367ffd124555f027756
Description-en: GNU R psychometric modeling infrastructure
 Infrastructure for psychometric modeling such as data classes (for
 item response data and paired comparisons), basic model fitting functions (for
 Bradley-Terry, Rasch, parametric logistic IRT, generalized partial credit,
 rating scale, multinomial processing tree models), extractor functions for
 different types of parameters (item, person, threshold, discrimination,
 guessing, upper asymptotes), unified inference and visualizations, and various
 datasets for illustration.  Intended as a common lightweight and efficient
 toolbox for psychometric modeling and a common building block for fitting
 psychometric mixture models in package "psychomix" and trees based on
 psychometric models in package "psychotree".

Package: r-cran-psychotree
Description-md5: e1c7df461c53929a2d8ff035530ad354
Description-en: GNU R recursive partitioning based on psychometric models
 Recursive partitioning based on psychometric models, employing the general
 MOB algorithm (from package partykit) to obtain Bradley-Terry trees, Rasch
 trees, rating scale and partial credit trees, and MPT trees.

Package: r-cran-psychtools
Description-md5: 621158b4749b0c45264d6707aa983611
Description-en: GNU R tools to accompany the 'r-cran-psych'
 This GNU R package provides Psychological Research Support functions,
 data sets, and vignettes for the 'psych' package. It contains several of
 the biggest data sets for the 'psych' package as well as one vignette. A
 few helper functions for file manipulation are included as well. For
 more information, see the <https://personality-project.org/r> web page.

Package: r-cran-psyphy
Description-md5: 2ea92c3df8be4c6fac4185d168c17e35
Description-en: functions for analyzing psychophysical data in GNU R
 An assortment of functions that could be useful in analyzing data from
 psychophysical experiments. It includes functions for calculating d'
 from several different experimental designs, links for m-alternative forced-
 choice (mafc) data to be used with the binomial family in glm (and
 possibly other contexts) and self-Start functions for estimating gamma
 values for CRT screen calibrations.

Package: r-cran-purrr
Description-md5: 64658367e189b9c7b64fbe3b0a61c88a
Description-en: GNU R functional programming tools
 This package provides a complete and consistent functional programming
 toolkit for GNU R.
 .
 Purrr draws inspiration from many related tools:
 .
   * List operations defined in the Haskell prelude
   * Scala's list methods.
   * Functional programming libraries for javascript: underscore.js, lodash
     and lazy.js.
   * rlist, another R package to support working with lists. Similar goals
     but somewhat different philosophy.

Package: r-cran-purrrlyr
Description-md5: 568c986c49c3571d553da2b3f570d9a8
Description-en: GNU R Tools at the Intersection of 'purrr' and 'dplyr'
 This GNU R package provides some functions at the intersection of
 r-cran-dplyr and r-cran-purrr that formerly lived in the
 package r-cran-purrr.

Package: r-cran-pvclust
Description-md5: 1011ff660cf57a3abc7460b753838a59
Description-en: Hierarchical Clustering with P-Values via Multiscale Bootstrap
 pvclust is a package for assessing the uncertainty in
 hierarchical cluster analysis. It provides AU (approximately
 unbiased) p-values as well as BP (boostrap probability) values
 computed via multiscale bootstrap resampling.

Package: r-cran-pwr
Description-md5: 5c3700b8bf42892255f64b2d3f6b2e5f
Description-en: GNU R basic functions for power analysis
 Power analysis functions along the lines of "Statistical Power Analysis
 for the Behavioral Sciences" by Jacob Cohen (1988).

Package: r-cran-pwt
Description-md5: 82659f79f56f07f120b45ae8e2ee8750
Description-en: GNU R package for the Penn World Tables (version 5.6 to 7.1)
 This package contains the Penn World Tables (PWT), which provide purchasing
 power parity (PPP) and national income accounts converted to international
 prices for 189 countries for some or all the years 1950-2010. The data are
 developed and maintained by scholars at the Center for International
 Comparisons of Production, Income and Prices (CIC) from the University of
 Pennsylvania.
 .
 The package contains all the releases of the PWT from version 5.6 to 7.1,
 which were created by the University of Pennsylvania. Note that more recent
 versions of the PWT have been created by the University of California, Davis
 and the University of Groningen, and are available in the r-cran-pwt8 and
 r-cran-pwt9 packages.

Package: r-cran-pwt8
Description-md5: aa49b1f9e146a439a60a3aa154264912
Description-en: GNU R package for the Penn World Tables (version 8.x)
 This package contains the Penn World Tables (PWT) version 8.x, which provide
 purchasing power parity (PPP) and national income accounts converted to
 international prices for 167 countries between 1950 and 2011.
 .
 This version of the PWT is produced by the University of California, Davis and
 the University of Groningen. It is the continuation of the work by the
 University of Pennsylvania. The older versions of the PWT (version 7 and below)
 are available in the package r-cran-pwt. A newer version of the PWT (version
 9) is available in the package r-cran-pwt9.

Package: r-cran-pwt9
Description-md5: 05a43d1ee359ea76b88a7047859aeafa
Description-en: GNU R package for the Penn World Tables (version 9.x)
 This package contains the Penn World Tables (PWT) version 9.x, which provide
 purchasing power parity (PPP) and national income accounts converted to
 international prices for 182 countries between 1950 and 2017.
 .
 This version of the PWT is produced by the University of California, Davis and
 the University of Groningen. It is the continuation of the work by the
 University of Pennsylvania. Older versions of the PWT are available in the
 packages r-cran-pwt and r-cran-pwt8.

Package: r-cran-qap
Description-md5: 86161e3385a3ffb7ff6a70dde2bb5a44
Description-en: GNU R heuristics for the quadratic assignment problem (QAP)
 This GNU R package implements heuristics for the Quadratic Assignment
 Problem (QAP).  Currently only a simulated annealing heuristic is
 available.

Package: r-cran-qgraph
Description-md5: 1cbd925a57b417950662d42917cc8868
Description-en: GNU R graph plotting methods and psychometric data visualization
 This GNU R package provides graph plotting methods, psychometric data
 visualization and graphical model estimation functions based on
 weighted network visualization and analysis, as well as Gaussian graphical
 model computation. See Epskamp et al. (2012) <doi:10.18637/jss.v048.i04>.

Package: r-cran-qpdf
Description-md5: dcf6445111f77e564ad2bef81093c770
Description-en: GNU R split, combine and compress PDF files
 Content-preserving transformations of PDF files such as split, combine,
 and compress. This package interfaces directly to the 'qpdf' C++ API
 and does not require any command line utilities. Note that 'qpdf' does
 not read actual content from PDF files: to extract text and data you
 need the 'pdftools' package.

Package: r-cran-qqman
Description-md5: ff36dfd800f7e977462696deca66aa25
Description-en: R package for visualizing GWAS results using Q-Q and manhattan plots
 qqman is an add-on package for the R statistical environment. This package
 provides functions for visualizing Genome-Wide Association Studies (GWAS)
 results using Manhattan plots and Quantile-Quantile plots.

Package: r-cran-qtl
Description-md5: 7fa92b08b16db901b46842e20fcc105d
Description-en: GNU R package for genetic marker linkage analysis
 R/qtl is an extensible, interactive environment for mapping quantitative
 trait loci (QTLs) in experimental crosses. It is implemented as an
 add-on-package for the freely available and widely used statistical
 language/software R (see http://www.r-project.org).
 .
 The development of this software as an add-on to R allows one to take
 advantage of the basic mathematical and statistical functions, and
 powerful graphics capabilities, that are provided with R. Further,
 the user will benefit by the seamless integration of the QTL mapping
 software into a general statistical analysis program. The goal is to
 make complex QTL mapping methods widely accessible and allow users to
 focus on modeling rather than computing.
 .
 A key component of computational methods for QTL mapping is the hidden
 Markov model (HMM) technology for dealing with missing genotype data. The
 main HMM algorithms, with allowance for the presence of genotyping errors,
 for backcrosses, intercrosses, and phase-known four-way crosses
 were implemented.
 .
 The current version of R/qtl includes facilities for estimating
 genetic maps, identifying genotyping errors, and performing single-QTL
 genome scans and two-QTL, two-dimensional genome scans, by interval
 mapping (with the EM algorithm), Haley-Knott regression, and multiple
 imputation. All of this may be done in the presence of covariates (such
 as sex, age or treatment). One may also fit higher-order QTL models by
 multiple imputation.

Package: r-cran-quadprog
Description-md5: c2826ee493c05509b15e48a05b915ac9
Description-en: GNU R package for solving quadratic programming problems
 This CRAN package provides functions and documentation for solving
 quadratic programming problems in GNU R.

Package: r-cran-quantmod
Description-md5: 32aa9836c33b9a098b1ee275b160ddbb
Description-en: GNU R package for quantitative financial modeling framework
 This package contains functions to specify, build, trade, and analyse
 quantitative financial trading strategies with R.

Package: r-cran-quantreg
Description-md5: 016299bcc49bf247cc69fbb561fdc2f7
Description-en: GNU R package for quantile regression
 The quantreg package provides quantitle regression and related methods.

Package: r-cran-qvcalc
Description-md5: ddef8731d2bdcf37cbd3232218d99b25
Description-en: GNU R quasi variances for factor effects in statistical models
 This GNU R package provides functions to compute quasi variances and
 associated measures of approximation error in statistical models.

Package: r-cran-r.cache
Description-md5: 0a0e50aba7fb7353beb30470ed0d8c72
Description-en: R package: Fast and Light-Weight Caching of Objects and Results
 Memoization can be used to speed up repetitive and computational expensive
 function calls. The first time a function that implements memoization is
 called the results are stored in a cache memory. The next time the function is
 called with the same set of parameters, the results are momentarily retrieved
 from the cache avoiding repeating the calculations. With this package, any R
 object can be cached in a key-value storage where the key can be an arbitrary
 set of R objects. The cache memory is persistent (on the file system).

Package: r-cran-r.methodss3
Description-md5: d210fa4cc65bcb84aa17d2c5e0526ca7
Description-en: GNU R utility function for defining S3 methods
 Methods that simplify the setup of S3 generic functions and S3 methods.
 Major effort has been made in making definition of methods as simple as
 possible with a minimum of maintenance for package developers. For
 example, generic functions are created automatically, if missing, and
 naming conflict are automatically solved, if possible. The method
 setMethodS3() is a good start for those who in the future may want to
 migrate to S4. This is a cross-platform package implemented in pure R
 that generates standard S3 methods.

Package: r-cran-r.oo
Description-md5: cbfa3fe9ef3d0d68f9ab5399ebd99b59
Description-en: GNU R object-oriented programming with or without references
 Methods and classes for object-oriented programming in R with or
 without references. Large effort has been made on making definition of
 methods as simple as possible with a minimum of maintenance for package
 developers. The package has been developed since 2001 and is now
 considered very stable. This is a cross-platform package implemented in
 pure R that defines standard S3 classes without any tricks.

Package: r-cran-r.utils
Description-md5: 796fa3838b91dfb69eb6078145ad5a99
Description-en: GNU R various programming utilities
 This GNU R package contains various programming utilities,  These
 functions are useful when programming and developing R packages.

Package: r-cran-r6
Description-md5: 9b58dbcc05e6b625ff827bda2960f288
Description-en: R classes with reference semantics
 The R6 package allows the creation of classes with reference semantics,
 similar to R's built-in reference classes. Compared to reference classes,
 R6 classes are simpler and lighter-weight, and they are not built on S4
 classes so they do not require the methods package. These classes allow
 public and private members, and they support inheritance, even when the
 classes are defined in different packages.

Package: r-cran-randomfields
Description-md5: af099897000ec9954277c8044d50a5a5
Description-en: GNU R simulation and analysis of random fields
 This GNU R package can be used for simulation of Gaussian and extreme
 value random fields; conditional simulation; kriging; maximum likelihood
 estimation.

Package: r-cran-randomfieldsutils
Description-md5: 1e9138923c7d45f10e399a2b889197b1
Description-en: utilities for the simulation and analysis of random fields
 Various utilities are provided that might be used in spatial statistics
 and elsewhere. It delivers a method for solving linear equations that
 checks the sparsity of the matrix before any algorithm is used.
 Furthermore, it includes the Struve functions.

Package: r-cran-randomforest
Description-md5: 9c426f8863a49b037052e87707ecc6b1
Description-en: GNU R package implementing the random forest classificator
 RandomForest implements Breiman’s random forest algorithm (based on Breiman
 and Cutler’s original Fortran code) for classification and regression. It can
 also be used in unsupervised mode for assessing proximities among data points.
 .
 The technique uses multiple decision trees and combines their individual
 votes.

Package: r-cran-randomglm
Description-md5: a81b8575a3394c08ab36b024c50735ec
Description-en: Random General Linear Model Prediction
 The package implements a bagging predictor based on
 general linear models

Package: r-cran-ranger
Description-md5: 465eeee64e47503360247dc1f842751c
Description-en: Fast Implementation of Random Forests
 A fast implementation of Random Forests, particularly suited for high
 dimensional data. Ensembles of classification, regression, survival and
 probability prediction trees are supported. Data from genome-wide association
 studies can be analyzed efficiently. In addition to data frames, datasets of
 class 'gwaa.data' (R package 'GenABEL') and 'dgCMatrix' (R package 'Matrix')
 can be directly analyzed.

Package: r-cran-rann
Description-md5: e72f899d7690b3370236bb943a4f352c
Description-en: Fast Nearest Neighbour Search Using L2 Metric
 Finds the k nearest neighbours for every point in a given dataset
 in O(N log N) time using Arya and Mount's ANN library (v1.1.3). There is
 support for approximate as well as exact searches, fixed radius searches
 and 'bd' as well as 'kd' trees. The distance is computed using the L2
 (Euclidean) metric. Please see package 'RANN.L1' for the same
 functionality using the L1 (Manhattan, taxicab) metric.

Package: r-cran-rappdirs
Description-md5: a18bbc2c56894dd29408131f57e290f3
Description-en: GNU R application directories
 This GNU R package provides functions to determine where to save data,
 caches and Logs.
 .
 An easy way to determine which directories on the users computer
 you should use to save data, caches and logs. This is a port of Python's
 Appdirs to R.

Package: r-cran-raschsampler
Description-md5: ba1a284b20684d3effe6f222bf8962e3
Description-en: GNU R package for sampling binary matrices with fixed margins
 This package implements an MCMC algorithm for sampling of binary
 matrices with fixed margins complying to the Rasch model.  Its
 stationary distribution is uniform.  The algorithm also allows
 for square matrices with fixed diagonal.

Package: r-cran-raster
Description-md5: 31f985f1d5e33e33eed1433d96f5e4fd
Description-en: GNU R geographic data analysis and modeling
 This GNU R package provides functions for reading, writing,
 manipulating, analyzing and modeling of gridded spatial data. The
 package implements basic and high-level functions. Processing of very
 large files is supported.

Package: r-cran-rcarb
Description-md5: 5063efb339402f1187f7167cfdc56fb6
Description-en: GNU R dose rate modelling of carbonate-rich samples
 Translation of the 'MATLAB' program 'Carb' (Nathan and Mauz 2008
 <DOI:10.1016/j.radmeas.2007.12.012>; Mauz and Hoffmann 2014) for dose
 rate modelling for carbonate-rich samples in the context of trapped
 charged dating (e.g., luminescence dating) applications.

Package: r-cran-rcmdcheck
Description-md5: 15a0e0ee4f661f2210b69ae5d024351a
Description-en: Run 'R CMD check' from 'R' and Capture Results
 Run 'R CMD check' from 'R' programmatically, and capture the
 results of the individual checks.

Package: r-cran-rcmdr
Description-md5: 6b4dec750a3203f4810dfe6d2309580f
Description-en: GNU R platform-independent basic-statistics GUI
 This package provides a simple GUI, based on the GNU interface to Tcl/Tk,
 that is suitable for teaching introductory statistics.

Package: r-cran-rcmdrmisc
Description-md5: aa63925b699de985dea27b7d6f42f08a
Description-en: GNU R package for miscellaneous Rcmdr utilities
 The RcmdrMisc package provides various statistical, graphics, and
 data-management functions used by the Rcmdr package in the
 R Commander GUI for R.

Package: r-cran-rcolorbrewer
Description-md5: 4b8ac5d9a6178fbc84f5ed1b8816f30d
Description-en: GNU R package providing suitable color palettes
 This package of functions for GNU R provides the 'Color Brewer'
 palettes for drawing nice maps, or coloring other charts. See
 http://www.colorbrewer.org for an details on the Color Brewer
 colouring schemes.

Package: r-cran-rcpp
Description-md5: 5175ba31aa847a8a43df6b39a3b51bfd
Description-en: GNU R package for Seamless R and C++ Integration
 The Rcpp package provides R functions as well as C++ classes which
 offer a seamless integration of R and C++. Many R data types and objects can be
 mapped back and forth to C++ equivalents which facilitates both writing of new
 code as well as easier integration of third-party libraries. Documentation
 about Rcpp is provided by several vignettes included in this package, via the
 Rcpp Gallery site at http://gallery.rcpp.org, the paper by Eddelbuettel and
 Francois (2011, JSS), and the book by Eddelbuettel (2013, Springer); see
 'citation("Rcpp")' for details on these last two.

Package: r-cran-rcppannoy
Description-md5: b8027d31b5134f908db251ebc058d4ef
Description-en: Rcpp bindings for Annoy (approximate nearest neighbors)
 'Annoy' is a small C++ library for Approximate Nearest Neighbors
 written for efficient memory usage as well an ability to load from / save to
 disk. This package provides an R interface by relying on the 'Rcpp' package,
 exposing the same interface as the original Python wrapper to 'Annoy'.

Package: r-cran-rcpparmadillo
Description-md5: c309bfc794234cf603128f6808096f81
Description-en: GNU R package for Armadillo C++ linear algebra library
 Armadillo is a templated C++ linear algebra library (by Conrad Sanderson)
 that aims towards a good balance between speed and ease of use. Integer,
 floating point and complex numbers are supported, as well as a subset of
 trigonometric and statistics functions. Various matrix decompositions are
 provided through optional integration with LAPACK and ATLAS libraries.
 .
 A delayed evaluation approach is employed (during compile time) to combine
 several operations into one, and to reduce (or eliminate) the need for
 temporaries. This is accomplished through recursive templates and template
 meta-programming.
 .
 This library is useful if C++ has been decided as the language of choice
 (due to speed and/or integration capabilities), rather than another language.
 .
 The RcppArmadillo package includes the header files from the
 templated Armadillo library. Thus users do not need to install
 Armadillo itself in order to use RcppArmadillo.
 .
 This Armadillo integration provides a nice illustration of the
 capabilities of the Rcpp package for seamless R and C++ integration.
 .
 Armadillo is licensed under the MPL 2.0, while RcppArmadillo (the Rcpp
 bindings/bridge to Armadillo) is licensed under the GNU GPL version 2
 or later, as is the rest of Rcpp.

Package: r-cran-rcppeigen
Description-md5: 01b8a5119feefd8c97170df00b2780e7
Description-en: GNU R package for Eigen templated linear algebra
 Eigen is a C++ template library for linear algebra: matrices, vectors,
 numerical solvers and related algorithms.  It supports dense
 and sparse matrices on integer, floating point and complex
 numbers, decompositions of such matrices, and solutions of
 linear systems. Its performance on many algorithms is
 comparable with some of the best implementations based on
 Lapack and level-3 BLAS.
 .
 The RcppEigen package includes the header files from the Eigen C++
 template library. Thus users do not need to install Eigen itself in
 order to use RcppEigen.

Package: r-cran-rcppgsl
Description-md5: d0035a9f5ccf0c410dbd8e934a860746
Description-en: GNU R package for integration with the GNU GSL
 The 'GNU Scientific Library' (or 'GSL') is a collection of numerical
 routines for scientific computing. It is particularly useful for C
 and C++ programs as it provides a standard C interface to a wide
 range of mathematical routines such as special functions,
 permutations, combinations, fast fourier transforms, eigensystems,
 random numbers, quadrature, random distributions, quasi-random
 sequences, Monte Carlo integration, N-tuples, differential equations,
 simulated annealing, numerical differentiation, interpolation, series
 acceleration, Chebyshev approximations, root-finding, discrete Hankel
 transforms physical constants, basis splines and wavelets.  There are
 over 1000 functions in total with an extensive test suite.
 .
 The 'RcppGSL' package provides an easy-to-use interface between 'GSL'
 data structures and R using concepts from 'Rcpp' which is itself a
 package that eases the interfaces between R and C++.
 .
 This package also serves as a prime example of how to build a package
 that uses 'Rcpp' to connect to another third-party library. The
 'autoconf' script, 'inline' plugin and example package can all be
 used as a stanza to write a similar package against another library.

Package: r-cran-rcppparallel
Description-md5: 33e0e1ca6e4cd7102cb489dac22b3502
Description-en: parallel programming tools for Rcpp
 High level functions for parallel programming with 'Rcpp' (GNU R).
 For example, the 'parallelFor()' function can be used to convert the work of
 a standard serial "for" loop into a parallel one and the 'parallelReduce()'
 function can be used for accumulating aggregate or other values.

Package: r-cran-rcppprogress
Description-md5: b9c52f98b00fb9e68e9a8ce9f3b08da7
Description-en: interruptible progress bar for C++ in GNU R packages
 This GNU R package allows one to display a progress bar in the R
 console for long running computations taking place in c++ code,
 and support for interrupting those computations even in multithreaded
 code, typically using OpenMP.

Package: r-cran-rcpproll
Description-md5: 00727366e74e39457627346583cfb221
Description-en: GNU R efficient rolling / windowed operations
 Provides fast and efficient routines for
 common rolling / windowed operations. Routines for the
 efficient computation of windowed mean, median,
 sum, product, minimum, maximum, standard deviation
 and variance are provided.

Package: r-cran-rcurl
Description-md5: bb43a00c2615279c0df922457246a072
Description-en: GNU R General network (HTTP/FTP/...) client interface
 The package allows one to compose general HTTP requests and provides
 convenient functions to fetch URIs, get & post forms, etc. and process
 the results returned by the Web server. This provides a great deal of
 control over the HTTP/FTP/... connection and the form of the request
 while providing a higher-level interface than is available just using R
 socket connections. Additionally, the underlying implementation is
 robust and extensive, supporting FTP/FTPS/TFTP (uploads and downloads),
 SSL/HTTPS, telnet, dict, ldap, and also supports cookies, redirects,
 authentication, etc.

Package: r-cran-rdbnomics
Description-md5: 7a9af3c1dfa1bd4e2819b25ea72c27dd
Description-en: access to hundreds of millions data series from DBnomics API
 This package provides access to DBnomics data series
 (<https://db.nomics.world/>). DBnomics is an open-source project with the goal
 of aggregating the world’s economic data in one location, free of charge to
 the public. DBnomics covers hundreds of millions of series from international
 and national institutions (World Bank, International Monetary Fund, Eurostat,
 national statistical institutes and central banks…).

Package: r-cran-rdflib
Description-md5: ff9c5eb73f760b6ecd6037a383eda240
Description-en: GNU R tools to manipulate and query semantic data
 The Resource Description Framework, or 'RDF' is a widely used
 data representation model that forms the cornerstone of the
 Semantic Web. 'RDF' represents data as a graph rather than
 the familiar data table or rectangle of relational databases.
 The 'rdflib' package provides a friendly and concise user interface
 for performing common tasks on 'RDF' data, such as reading, writing
 and converting between the various serializations of 'RDF' data,
 including 'rdfxml', 'turtle', 'nquads', 'ntriples', and 'json-ld';
 creating new 'RDF' graphs, and performing graph queries using 'SPARQL'.
 This package wraps the low level 'redland' R package which
 provides direct bindings to the 'redland' C library.  Additionally,
 the package supports the newer and more developer friendly
 'JSON-LD' format through the 'jsonld' package. The package
 interface takes inspiration from the Python 'rdflib' library.

Package: r-cran-rdpack
Description-md5: 27e6c2c1bda77c64447ba32f3dd47f04
Description-en: GNU R update and manipulate Rd documentation objects
 Functions for manipulation of R documentation objects,
 including functions reprompt() and ereprompt() for updating 'Rd'
 documentation for functions, methods and classes; 'Rd' macros for
 citations and import of references from 'bibtex' files for use in
 'Rd' files and 'roxygen2' comments; 'Rd' macros for evaluating and
 inserting snippets of 'R' code and the results of its evaluation or
 creating graphics on the fly; and many functions for manipulation of
 references and Rd files.

Package: r-cran-readbrukerflexdata
Description-md5: c6fe00a8b5e0aec09ef8ba316281941f
Description-en: GNU R package to read Bruker Daltonics *flex format files
 The readBrukerFlexData package reads data files acquired by MALDI-TOF MS on
 Bruker Daltonics machines of the *flex series.

Package: r-cran-readmzxmldata
Description-md5: ed9f0645255fdac7baf792cbb0ef4baa
Description-en: GNU R package to read mass spectrometry data in mzXML format
 The readMzXmlData package contains functions for reading
 mass spectrometry data in mzXML format.

Package: r-cran-readr
Description-md5: 273e1bca087fc6972c8d3c1bd3b37670
Description-en: GNU R package to read rectangular text data
 The goal of 'readr' is to provide a fast and friendly way to read
 rectangular data (like 'csv', 'tsv', and 'fwf'). It is designed to flexibly
 parse many types of data found in the wild, while still cleanly failing when
 data unexpectedly changes.

Package: r-cran-readstata13
Description-md5: 49a2f4163a7f770a04e017c97c260e71
Description-en: GNU R package to import 'Stata' data files
 This package contains functions to read and write the 'Stata' file format.

Package: r-cran-readxl
Description-md5: b478fe30dcca49348e4da6402271c276
Description-en: GNU R package to read Excel files
 The readxl package imports Excel files into R. Supports '.xls' via the embedded
 'libxls' C library (http://sourceforge.net/projects/libxls/) and '.xlsx' via
  the embedded 'RapidXML' C++ library (http://rapidxml.sourceforge.net).

Package: r-cran-recipes
Description-md5: cd7fdab093dd07718f27cfdb087cfce4
Description-en: GNU R preprocessing tools to create design matrices
 This GNU R package provides an extensible framework to create and
 preprocess design matrices. Recipes consist of one or more data
 manipulation and analysis "steps". Statistical parameters for the steps
 can be estimated from an initial data set and then applied to other data
 sets. The resulting design matrices can then be used as inputs into
 statistical or machine learning models.

Package: r-cran-redland
Description-md5: 8fc4b837b9b6d2d10ad1b85cecf6d5ef
Description-en: RDF library bindings in GNU R
 GNU R methods to parse, query and serialize information stored in the
 Resource Description Framework (RDF).
 .
 This package supports RDF by implementing an R interface to the Redland
 RDF C library.  In brief, RDF provides a structured graph consisting of
 Statements composed of Subject, Predicate, and Object Nodes.

Package: r-cran-registry
Description-md5: 3416c5f9ca2e71fdcb31ba0812d42227
Description-en: GNU R package for registries
 This package provides a generic infrastructure for creating and using
 registries.

Package: r-cran-regsem
Description-md5: 00b39acbb8a8671b4bacc7bb49f721cb
Description-en: GNU R regularized structural equation modeling
 Uses both ridge and lasso penalties (and extensions) to penalize
 specific parameters in structural equation models. The package offers
 additional cost functions, cross validation, and other extensions beyond
 traditional structural equation models. Also contains a function to
 perform exploratory mediation (XMed).

Package: r-cran-relimp
Description-md5: 9c22864e5ee8caf48735f987edfc2dc5
Description-en: GNU R package for inference on relative importance of regressors
 This package provides functions to facilitate inference on the relative
 importance of predictors in a linear or generalized linear model.

Package: r-cran-relsurv
Description-md5: 4f8b0157bd8c270aedd50569cc9d5a20
Description-en: GNU R relative survival
 Various functions for relative survival analysis: regression and
 non-parametric estimators.
 .
 It is used in "Analysing population-based cancer survival - settling
 the controversies."

Package: r-cran-rematch
Description-md5: 592dad24b761a013b1d48115050b7485
Description-en: GNU R package to match regular expression with a nicer api
 This package offers a small wrapper on 'regexpr' to extract
 the matches and captured groups from the match of a regular
 expression to a character vector.

Package: r-cran-remotes
Description-md5: 4c8110c4b0e599d12b2c42bef7e54f0c
Description-en: R Package Installation from Remote Repositories, Including 'GitHub'
 Download and install R packages stored in 'GitHub',
 'BitBucket', or plain 'subversion' or 'git' repositories. This package
 is a lightweight replacement of the 'install_*' functions in 'devtools'.
 Indeed most of the code was copied over from 'devtools'.

Package: r-cran-rentrez
Description-md5: b11d0092792f26c26a24eed6bab54457
Description-en: GNU R interface to the NCBI's EUtils API
 Provides an R interface to the NCBI's EUtils API allowing users to
 search databases like GenBank and PubMed, process the results of those
 searches and pull data into their R sessions.

Package: r-cran-repr
Description-md5: c7cb646d70d7ee23ce8f5f9f30140c98
Description-en: Serializable representations of R objects
 GNU R library providing string or binary representations of various types
 of R objects, to support using R with the Jupyter notebook.

Package: r-cran-reprex
Description-md5: 74a107ac692c64b7e7df66eb3e01b8ab
Description-en: Prepare Reproducible Example Code via the Clipboard
 Convenience wrapper that uses the 'rmarkdown' package to render
 small snippets of code to target formats that include both code and output.
 The goal is to encourage the sharing of small, reproducible, and runnable
 examples on code-oriented websites, such as <https://stackoverflow.com> and
 <https://github.com>, or in email. The user's clipboard is the default source
 of input code and the default target for rendered output. 'reprex' also
 extracts clean, runnable R code from various common formats, such as
 copy/paste from an R session.

Package: r-cran-reshape
Description-md5: 2429f8c247cdfa921ec2a1a66cdd1d8d
Description-en: Flexibly reshape data
 Reshape lets you flexibly restructure and aggregate data using just two
 functions: melt and cast.
 .
 Reshape (hopefully) makes it easy to do what you have been struggling
 to do with tapply, by, aggregate, xtabs, apply and summarise. It is also
 useful for getting your data into the correct structure for lattice or
 ggplot plots.

Package: r-cran-reshape2
Description-md5: ca2876672d15e5b86a9be2564ae3d193
Description-en: Flexibly reshape data: a reboot of the reshape package
 Reshape lets you flexibly restructure and aggregate data using just two
 functions: melt and cast.
 .
 Reshape (hopefully) makes it easy to do what you have been struggling
 to do with tapply, by, aggregate, xtabs, apply and summarise. It is also
 useful for getting your data into the correct structure for lattice or
 ggplot plots.

Package: r-cran-reticulate
Description-md5: 1762a23af61597d1a557df2b4429a603
Description-en: R interface to Python modules, classes, and functions
 Interface to Python modules, classes, and functions. When calling into
 Python, R data types are automatically converted to their equivalent Python
 types. When values are returned from Python to R they are converted back to R
 types.

Package: r-cran-rex
Description-md5: 6e8dd8e6b7dfb454ce74666cb2f3b27e
Description-en: GNU R friendly regular expressions
 This GNU R package provides a friendly interface for the construction of
 regular expressions.
 .
 It is very helpful to parse server log files as well as URLs.

Package: r-cran-rgdal
Description-md5: 731ba318ad90af59b91585a829bc8e5e
Description-en: GNU R bindings for the geospatial data abstraction library
 Provides bindings to the 'Geospatial' Data Abstraction Library ('GDAL')
 (>= 1.11.4) and access to projection/transformation operations from the
 'PROJ.4' library. The 'GDAL' and 'PROJ.4' libraries are external to the
 package, and, when installing the package from source, must be correctly
 installed first. From 'rgdal' 1.4.1, provision is made for 'PROJ6'
 accommodation, with 'PROJ6' functionality to follow; from 1.4.1 'rgdal'
 will build and function when 'PROJ' >= 6. Both 'GDAL' raster and 'OGR'
 vector map data can be imported into R, and 'GDAL' raster data and 'OGR'
 vector data exported. Use is made of classes defined in the 'sp'
 package. Windows and Mac Intel OS X binaries (including 'GDAL', 'PROJ.4'
 and 'Expat') are provided on 'CRAN'.

Package: r-cran-rgenoud
Description-md5: 6b3c2fb2c28eb2ab4a5578905f450e54
Description-en: R Version of GENetic Optimization Using Derivatives
 This GNU R package provides a genetic algorithm plus derivative optimizer.

Package: r-cran-rggobi
Description-md5: e3e7aac1d115d4061eff9123343b46d4
Description-en: GNU R package for the GGobi data visualization system
 This Debian package contains the R package for access to the ggobi
 data visualization system for high-dimensional data from within GNU R.
 .
 See http://www.ggobi.org/rggobi for rggobi, http://www.ggobi.org/ggobi
 and http://www.r-project.org for GNU R.

Package: r-cran-rgl
Description-md5: c95123a291f6ca37423390cc343e742b
Description-en: GNU R package for three-dimensional visualisation using OpenGL
 This package provides functions implementing a new graphics device suitable
 for visualisation of GNU R objects in three dimensions using the OpenGL
 libraries.

Package: r-cran-rglpk
Description-md5: 595fa2619ed4e21ff406ac32be7914e7
Description-en: GNU R interface to the GNU Linear Programming Kit
 GLPK is open source software for solving large-scale linear programming (LP),
 mixed integer linear programming (MILP) and other related problems.

Package: r-cran-rglwidget
Description-md5: 8b72ee6b1321ef74ef0882c0d4e91ac5
Description-en: GNU R 'rgl' in 'htmlwidgets' Framework
 This GNU R package provides an 'htmlwidgets' (framework for creating
 HTML widgets that render in various contexts) framework for the 'rgl'
 (three-dimensional visualisation using OpenGL) package.

Package: r-cran-rgtk2
Description-md5: 7794e942f8f055dd4176a3f9e439c186
Description-en: GNU R binding for Gtk2
 This package provides facilities in the S language (i.e. the language
 which GNU R provides) for programming graphical interfaces using Gtk,
 the GNOME GUI toolkit.
 .
 This package extends and updates the previous r-omegahat-rgtk package to
 the 2.8 release of the Gtk libraries.

Package: r-cran-rhandsontable
Description-md5: c10ee7a72989a894c09a04c4dbe378c7
Description-en: GNU R interface to the 'Handsontable.js' library
 An R interface to the 'Handsontable' JavaScript library, which is a
 minimalist Excel-like data grid editor. See <https://handsontable.com/>
 for details.

Package: r-cran-rinside
Description-md5: 65830fcc8af65e2f4db0fcb8fea1ea04
Description-en: GNU R package to embed R in C++ application
 The 'RInside' packages makes it easier to have "R inside" your C++
 application by providing a C++ wrapper class providing the R
 interpreter.
 .
 As R itself is embedded into your application, a shared library build
 of R is required. This works on Linux, OS X and even on Windows
 provided you use the same tools used to build R itself.
 .
 Numerous examples are provided in the eight subdirectories of the
 examples/ directory of the installed package: standard, mpi (for
 parallel computing) qt (showing how to embed 'RInside' inside a Qt
 GUI application), wt (showing how to build a "web-application" using
 the Wt toolkit), armadillo (for 'RInside' use with 'RcppArmadillo')
 and eigen (for 'RInside' use with 'RcppEigen').  The example use
 GNUmakefile(s) with GNU extensions, so a GNU make is required (and
 will use the GNUmakefile automatically).
 .
 Doxygen-generated documentation of the C++ classes is available at
 the 'RInside' website as well.

Package: r-cran-rio
Description-md5: a4301b6f90b1168708579073bc0ed276
Description-en: GNU R package with Swiss-army knife for data i/o
 Streamlined data import and export by making assumptions that the
 user is probably willing to make: 'import()' and 'export()' determine
 the data structure from the file extension, reasonable defaults are
 used for data import and export (e.g., 'stringsAsFactors=FALSE'),
 web-based import is natively supported (including from SSL/HTTPS),
 compressed files can be read directly without explicit decompression,
 and fast import packages are used where appropriate. An additional
 convenience function, 'convert()', provides a simple method for
 converting between file types.

Package: r-cran-ritis
Description-md5: d3387a25104e9b236bd8d8c989198629
Description-en: GNU R Integrated Taxonomic Information System client
 A GNU R interface to the Integrated Taxonomic Information System ('ITIS')
 (<https://www.itis.gov>). Includes functions to work with the 'ITIS' REST
 'API' methods (<https://www.itis.gov/ws_description.html>), as well as the
 'Solr' web service (<https://www.itis.gov/solr_documentation.html>).

Package: r-cran-rjags
Description-md5: 3cc06e249dd7fbdcc13abc4c4cedf44a
Description-en: R interface to the JAGS Bayesian statistics package
 rjags allows calling JAGS code from R to estimate Bayesian
 statistical models using Gibbs sampling.  Coupled with the coda
 package, it allows the researcher to set up data in R, run a model
 specified in the JAGS/BUGS language on the data, and then conduct
 post-estimation analysis using R's tools.

Package: r-cran-rjava
Description-md5: ed31f82935f7a8738231b510c8146dde
Description-en: GNU R low-level interface to Java
 This package provide a low-level interface from GNU R to Java VM very much
 like .C/.Call and friends. Allows creation of objects, calling methods and
 accessing fields.

Package: r-cran-rjson
Description-md5: 9bf6db267a756cf5b00b958230c68829
Description-en: GNU R package for converting between R and JSON objects
 This package allows conversion of R objects to and from Javascript object
 notation (JSON) format. Conversion can be done from a file or directly from an
 URL. There are two available implementations: a fast C one and a slower R one.

Package: r-cran-rlang
Description-md5: 6705f2e777248c0bb7840b20a35a652d
Description-en: Functions for Base Types and Core R and 'Tidyverse' Features
 A toolbox for working with base types, core R features
 like the condition system, and core 'Tidyverse' features like tidy
 evaluation.

Package: r-cran-rlist
Description-md5: f1a72975a4666961ae5db73eef4bed13
Description-en: GNU R toolbox for non-tabular data manipulation
 Provides a set of functions for data manipulation with
 list objects, including mapping, filtering, grouping, sorting,
 updating, searching, and other useful functions. Most functions
 are designed to be pipeline friendly so that data processing with
 lists can be chained.

Package: r-cran-rlrsim
Description-md5: ec04a7bcbc658e4077e71154d749c838
Description-en: GNU R exact likelihood ratio tests for mixed and additive models
 Rapid, simulation-based exact (restricted) likelihood ratio tests
 for testing the presence of variance components/nonparametric terms for
 models fit with nlme::lme(),lme4::lmer(), lmeTest::lmer(), gamm4::gamm4(),
 mgcv::gamm() and SemiPar::spm().

Package: r-cran-rlumshiny
Description-md5: a3cbf355001390897812edea36cdef5e
Description-en: GNU R 'Shiny' Applications for the R Package 'Luminescence'
 A collection of 'shiny' applications for the R package 'Luminescence'.
 These mainly, but not exclusively, include applications for plotting
 chronometric data from e.g. luminescence or radiocarbon dating. It
 further provides access to bootstraps tooltip and popover
 functionality and contains the 'jscolor.js' library with a custom
 'shiny' output binding.

Package: r-cran-rmarkdown
Description-md5: 2b785d27f6362d7b827cd1516d665b4b
Description-en: convert R markdown documents into a variety of formats
 R Markdown is a framework for creating documents that mix R code with
 markdown to produce visually pleasing, high quality and reproducible
 reports. It supports various output formats, including HTML, PDF,
 Microsoft Word and Beamer.
 .
 Please note: Upstream rmarkdown contains export to ioslides.  This was
 removed from the Debian package due to not existing license statement.
 If you need this functionality you need to install rmarkdown manually
 from CRAN.

Package: r-cran-rmpi
Description-md5: a306e56885dbe14491fdbc63f1267460
Description-en: GNU R package interfacing MPI libraries for distributed computing
 This CRAN package provides an interface to the MPI (Message-Passing
 Interface) API. It also provides an interactive R slave environment
 in which distributed statistical computing can be carried out.

Package: r-cran-rms
Description-md5: 9fe79ccc22f1a3025abc6da6b5e51bde
Description-en: GNU R regression modeling strategies by Frank Harrell
 Regression modeling, testing, estimation, validation, graphics,
 prediction, and typesetting by storing enhanced model design
 attributes in the fit.  rms is a collection of 229 functions that
 assist with and streamline modeling.  It also contains functions for
 binary and ordinal logistic regression models and the Buckley-James
 multiple regression model for right-censored responses, and implements
 penalized maximum likelihood estimation for logistic and ordinary
 linear models.  rms works with almost any regression model, but it
 was especially written to work with binary or ordinal logistic
 regression, Cox regression, accelerated failure time models,
 ordinary linear models, the Buckley-James model, generalized least
 squares for serially or spatially correlated observations, generalized
 linear models, and quantile regression.
 .
 See Frank Harrell (2001), Regression Modeling Strategies, Springer
 Series in Statistics, as well as http://biostat.mc.vanderbilt.edu/Rrms.

Package: r-cran-rmysql
Description-md5: 6596605f84414457fb9832b939a50145
Description-en: GNU R package providing a DBI-compliant interface to MySQL
 The package implements access to MySQL databases via the DBI interface of R.

Package: r-cran-rncl
Description-md5: 8675e3367835c89ab1ab7d295d19e169
Description-en: GNU R interface to the Nexus Class Library
 This R package provides an interface to the Nexus Class Library which
 allows parsing of NEXUS, Newick and other phylogenetic tree file
 formats. It provides elements of the file that can be used to build
 phylogenetic objects such as ape's 'phylo' or phylobase's 'phylo4(d)'.

Package: r-cran-rneos
Description-md5: 25071b6f3f60d79960e62a5d186c0580
Description-en: GNU R package with XML-RPC interface to NEOS
 The rneos package implements access to the XML-RPC API for NEOS
 enabling the user to pass optimization problems to NEOS and retrieve
 results within R.

Package: r-cran-rnetcdf
Description-md5: 927b0d870d084ba698dc93226c48d373
Description-en: GNU R package that provides an R interface to NetCDF datasets
 This package provides an R interface to Unidata's NetCDF library
 functions. NetCDF (network Common Data Form) is a set of interfaces
 for array-oriented data access. In addition to the interface to the
 NetCDF library functions, R interfaces are provided to access
 Unidata's UDUNITS calendar conversions.

Package: r-cran-rnexml
Description-md5: 2ade0db5504009650f0abb7bac11f264
Description-en: GNU R package for semantically rich I/O for the 'NeXML' format
 Provides access to phyloinformatic data in 'NeXML' format. The package
 should add new functionality to R such as the possibility to manipulate
 'NeXML' objects in more various and refined way and compatibility with
 'ape' objects.

Package: r-cran-rngtools
Description-md5: 85118a44b8e16a4eabec02669775c86e
Description-en: GNU R package for random number generators
 This package contains a set of functions for working with Random Number
 Generators (RNGs). In particular, it defines a generic S4 framework for
 getting/setting the current RNG, or RNG data that are embedded into
 objects for reproducibility. Notably, convenient default methods greatly
 facilitate the way current RNG settings can be changed.

Package: r-cran-rniftilib
Description-md5: 8886070d201ed1b7dfba108629558768
Description-en: GNU/R interface to NIFTICLIB
 R interface to nifticlib (nifticlib-2.0.0) (read/write
 ANALYZE(TM)7.5/NIfTI-1 volume images)

Package: r-cran-robust
Description-md5: 9662bcc8bc19e481dfeefd452644fa14
Description-en: Port of the S+ "Robust Library"
 Methods for robust statistics, a state of the art in the early
 2000s, notably for robust regression and robust multivariate analysis.

Package: r-cran-robustbase
Description-md5: 7ebe2e911b36b89b0815cb1da540e214
Description-en: GNU R package providing basic robust statistics
 This package provides 'essential' robust statistics. The goal of the
 package is to provide tools allowing to analyze data with robust methods.
 This includes regression methodology including model selections and
 multivariate statistics where the authors strive to cover the book
 "Robust Statistics,  Theory and Methods" by Maronna, Martin and Yohai;
 Wiley 2006.

Package: r-cran-robustrankaggreg
Description-md5: c64c36436ffde1268ea600a795af9597
Description-en: Methods for robust rank aggregation
 Methods for aggregating ranked lists, especially lists of
 genes. It implements the Robust Rank Aggregation (Kolde et. al
 in preparation) and some other simple algorithms for the task.
 RRA method uses a probabilistic model for aggregation that is
 robust to noise and also facilitates the calculation of
 significance probabilities for all the elements in the final
 ranking.

Package: r-cran-rockchalk
Description-md5: 830c04467dcac8551cfaa6964a5703d7
Description-en: GNU R regression estimation and presentation
 A collection of functions for interpretation and presentation
 of regression analysis.  These functions are used
 to produce the statistics lectures in
 <http://pj.freefaculty.org/guides>. Includes regression
 diagnostics, regression tables, and plots of interactions and
 "moderator" variables. The emphasis is on "mean-centered" and
 "residual-centered" predictors. The vignette 'rockchalk' offers a
 fairly comprehensive overview.  The vignette 'Rstyle' has advice
 about coding in R.  The package title 'rockchalk' refers to the
 school motto, 'Rock Chalk Jayhawk, Go K.U.'.

Package: r-cran-rocr
Description-md5: 67d77b1b5bfeb7e4e084ffd06446af6b
Description-en: GNU R package to prepare and display ROC curves
 ROC graphs, sensitivity/specificity curves, lift charts,
 and precision/recall plots are popular examples of trade-off
 visualizations for specific pairs of performance measures. ROCR is a
 flexible tool for creating cutoff-parametrized 2D performance curves
 by freely combining two from over 25 performance measures (new
 performance measures can be added using a standard interface).
 Curves from different cross-validation or bootstrapping runs can be
 averaged by different methods, and standard deviations, standard
 errors or box plots can be used to visualize the variability across
 the runs. The parametrization can be visualized by printing cutoff
 values at the corresponding curve positions, or by coloring the
 curve according to cutoff. All components of a performance plot can
 be quickly adjusted using a flexible parameter dispatching
 mechanism. Despite its flexibility, ROCR is easy to use, with only
 three commands and reasonable default values for all optional
 parameters.
 .
 ROCR features: ROC curves, precision/recall plots, lift charts, cost
 curves, custom curves by freely selecting one performance measure for the
 x axis and one for the y axis, handling of data from cross-validation
 or bootstrapping, curve averaging (vertically, horizontally, or by
 threshold), standard error bars, box plots, curves that are color-coded
 by cutoff, printing threshold values on the curve, tight integration
 with Rs plotting facilities (making it easy to adjust plots or to combine
 multiple plots), fully customizable, easy to use (only 3 commands).
 .
 Performance measures that ROCR knows: Accuracy, error rate, true
 positive rate, false positive rate, true negative rate, false negative
 rate, sensitivity, specificity, recall, positive predictive value,
 negative predictive value, precision, fallout, miss, phi correlation
 coefficient, Matthews correlation coefficient, mutual information, chi
 square statistic, odds ratio, lift value, precision/recall F measure,
 ROC convex hull, area under the ROC curve, precision/recall break-even
 point, calibration error, mean cross-entropy, root mean squared error,
 SAR measure, expected cost, explicit cost.

Package: r-cran-rodbc
Description-md5: 2598198680ecb6c8aa4370ff5b871498
Description-en: GNU R package for ODBC database access
 This CRAN package provides access to any Open DataBase Connectivity (ODBC)
 accessible database.
 .
 The package should be platform independent and provide access to any
 database for which a driver exists.  It has been tested with MySQL
 and PostgreSQL on both Linux and Windows (and to those DBMSs on Linux
 hosts from R under Windows), Microsoft Access, SQL Server and Excel
 spreadsheets (read-only), and users have reported success with
 connections to Oracle and DBase.
 .
 Usage is covered in the R Data Import/Export manual (available via the
 r-doc-pdf, r-doc-html and r-doc-info packages).

Package: r-cran-rook
Description-md5: 088f5bc419b2a6cbb48da8d6f09d2be5
Description-en: web server interface for R
 The package provides a set of routines for R to perform as a web
 server. This is used by a series of reverse dependencies to develop
 interactive interfaces to statistical analyses and reports.

Package: r-cran-rook-examples
Description-md5: 14154ffba1c287af05f528b40e4d254f
Description-en: web server interface for R (examples)
 The package provides a set of routines for R to perform as a web
 server. This is used by a series of reverse dependencies to develop
 interactive interfaces to statistical analyses and reports.
 .
 This package separates the examples from the core functionality.

Package: r-cran-rotl
Description-md5: f983e3c523e39e7104e9b6a548ba69d3
Description-en: GNU R interface to the 'Open Tree of Life' API
 An interface to the 'Open Tree of Life' API to retrieve phylogenetic
 trees, information about studies used to assemble the synthetic tree,
 and utilities to match taxonomic names to 'Open Tree identifiers'. The
 'Open Tree of Life' aims at assembling a comprehensive phylogenetic tree
 for all named species.

Package: r-cran-roxygen2
Description-md5: 54c44cc2704e16b486d200fbd57c12ba
Description-en: in-line documentation for GNU R
 Generate your Rd documentation, 'NAMESPACE' file, and collation
 field using specially formatted comments. Writing documentation in-line
 with code makes it easier to keep your documentation up-to-date as your
 requirements change. 'Roxygen2' is inspired by the 'Doxygen' system for C++.

Package: r-cran-rpact
Description-md5: ae9e21930639dc2208677921afc4429a
Description-en: Confirmatory Adaptive Clinical Trial Design and Analysis
 Design and analysis of confirmatory adaptive clinical trials with
 continuous, binary, and survival endpoints according to the methods
 described in the monograph by Wassmer and Brannath (2016). This
 includes classical group sequential as well as multi-stage adaptive
 hypotheses tests that are based on the combination testing principle.

Package: r-cran-rpart
Description-md5: 5e041d743573f4100f0a151a84d0c9b0
Description-en: GNU R package for recursive partitioning and regression trees
 This package provides functions to recursive partitioning and regression
 trees.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.
 .
 URL (of the S-Plus original): http://www.mayo.edu/hsr/Sfunc.html

Package: r-cran-rpf
Description-md5: d0fdcd3e436a8fb59559a1adef471588
Description-en: GNU R response probability functions
 The purpose of this package is to factor out logic and math common
 to Item Factor Analysis fitting, diagnostics, and analysis. It is
 envisioned as core support code suitable for more specialized IRT packages
 to build upon. Complete access to optimized C functions are made available
 with R_RegisterCCallable().

Package: r-cran-rpostgresql
Description-md5: dd2711f500f22c8852d7e9b5346eec1a
Description-en: GNU R package providing database interface and driver for PostgreSQL
 This package provides a Database Interface (DBI) compliant driver
 for R to access PostgreSQL database systems.

Package: r-cran-rprojroot
Description-md5: 251a70a303f7261da53b9b942bf46142
Description-en: GNU R finding files in project subdirectories
 Robust, reliable and flexible paths to files below a
 project root. The 'root' of a project is defined as a directory
 that matches a certain criterion, e.g., it contains a certain
 regular file.

Package: r-cran-rprotobuf
Description-md5: 2e48c0e2cc3f1157de69f9411989d6fb
Description-en: GNU R package providing an interface to the Protocol Buffers API
 r-cran-protobuf is a GNU R package providing an interface to the
 Protocol Buffers API. Protocol Buffers are a way of encoding structured
 data in an efficient yet extensible format. Google uses Protocol Buffers
 for almost all of its internal RPC protocols and file formats.

Package: r-cran-rquantlib
Description-md5: f139cdd9d7c068bac6ae0ef04474010a
Description-en: GNU R package interfacing the QuantLib finance library
 This CRAN package provides an interface for GNU R to the QuantLib
 library for modeling, trading, and risk management of financial assets.

Package: r-cran-rrcov
Description-md5: 0556f17c0daf44f086693c105c539704
Description-en: Scalable Robust Estimators with High Breakdown Point
 Robust Location and Scatter Estimation and Robust
 Multivariate Analysis with High Breakdown Point.

Package: r-cran-rredlist
Description-md5: 1bf65eda7633dd695280f029274b2731
Description-en: GNU R IUCN Red List Client
 The IUCN Red List is a global list of threatened and endangered
 species. This GNU R package provides an IUCN Red List client to the Red
 List API routines.
 .
 The IUCN Global Species Programme working with the IUCN Species Survival
 Commission (SSC) has been assessing the conservation status of species,
 subspecies, varieties, and even selected subpopulations on a global
 scale for the past 50 years in order to highlight taxa threatened with
 extinction, and thereby promote their conservation.

Package: r-cran-rsample
Description-md5: ff7cd329dc4ad2b235bff31b6b7f5cdd
Description-en: GNU R general resampling infrastructure
 Classes and functions to create and summarize different types of
 resampling objects (e.g. bootstrap, cross-validation).
 .
 A resample is defined as the result of a two-way split of a data set.
 For example, when bootstrapping, one part of the resample is a sample
 with replacement of the original data. The other part of the split
 contains the instances that were not contained in the bootstrap sample.
 Cross-validation is another type of resampling.

Package: r-cran-rsclient
Description-md5: d0e1fd8ae0fa2c4bc7c577c99549eba7
Description-en: GNU R package providing an Rserve client
 This package provides a client for Rserve, allowing to connect to
 Rserve instances and issue commands.
 .
 Rserve is provided by the 'rserve' package in Debian.

Package: r-cran-rsconnect
Description-md5: aae652d19d8c57315e61dfe96f646df4
Description-en: GNU R deployment interface for markdown and Shiny applications
 Programmatic deployment interface for 'RPubs', 'shinyapps.io', and
 'RStudio Connect'. Supported content types include R Markdown documents,
 Shiny applications, Plumber APIs, plots, and static web content.

Package: r-cran-rsdmx
Description-md5: 5d6a508c4a8203fcf4652a85b3d9b4cf
Description-en: GNU R package for the Statistical Data and Metadata Exchange (SDMX) framework
 This package provides a set of classes and methods to read data and metadata
 documents exchanged through the Statistical Data and Metadata Exchange (SDMX)
 framework, currently focusing on the SDMX XML standard format (SDMX-ML).
 .
 SDMX is an initiative to foster standards for the exchange of statistical
 information. It is sponsored by several major providers of statistical
 information: the Bank for International Settlements, the European Central
 Bank, Eurostat (the statistical office of the European Union), the
 International Monetary Fund (IMF), the Organisation for Economic Co-operation
 and Development (OECD), the United Nations Statistics Division, the United
 Nations Educational, Scientific and Cultural Organization and the World Bank.
 .
 The package can therefore be used to download statistical information from the
 servers of those organizations, and from those of several other institutions.

Package: r-cran-rserve
Description-md5: 7970aee9642afd81d258420c000a4152
Description-en: GNU R Rserve tcp/ip server and sample clients
 This package provide Rserve, a headless server for GNU R.  Rserve
 acts as a socket server (TCP/IP or local sockets) which allows binary
 requests to be sent to R. Every connection has a separate workspace
 and working directory. Client-side implementations are available for
 popular languages such as C/C++ and Java, allowing any application to
 use facilities of R without the need of linking to R code. Rserve
 supports remote connection, user authentication and file transfer. A
 simple R client is included in this package as well.
 .
 URL: http://www.rosuda.org/Rserve/

Package: r-cran-rsgcc
Description-md5: 8627b3333dc9a7799c39a4fd5e8cb711
Description-en: Gini correlation and clustering of gene expression data
 This package provides functions for calculating
 associations between two genes with five correlation
 methods(e.g., the Gini correlation coefficient [GCC], the
 Pearson's product moment correlation coefficient [PCC], the
 Kendall tau rank correlation coefficient [KCC], the Spearman's
 rank correlation coefficient [SCC] and the Tukey's biweight
 correlation coefficient [BiWt], and three non-correlation
 methods (e.g., mutual information [MI] and the maximal
 information-based nonparametric exploration [MINE], and the
 euclidean distance [ED]). It can also been implemented to
 perform the correlation and clustering analysis of
 transcriptomic data profiled by microarray and RNA-Seq
 technologies. Additionally, this package can be further applied
 to construct gene co-expression networks (GCNs).

Package: r-cran-rslurm
Description-md5: 8d1c21642c04cd023b1eb0a6d2379d8c
Description-en: Submit R Calculations to a Slurm Cluster
 Functions that simplify submitting R scripts to a Slurm workload
 manager, in part by automating the division of embarrassingly parallel
 calculations across cluster nodes.

Package: r-cran-rsolnp
Description-md5: a2deb7753c739a677f7a5c6e548c9dfc
Description-en: GNU R general non-linear optimization
 This GNU R package provides general non-linear optimization using
 augmented lagrange multiplier method

Package: r-cran-rspectra
Description-md5: 97910890d8dc98a5be85efc79d7767c5
Description-en: GNU R solvers for large-scale eigenvalue and SVD problems
 This package provides a R interface to the 'Spectra' library
 <https://spectralib.org/> for large-scale eigenvalue and SVD
 problems. It is typically used to compute a few
 eigenvalues/vectors of an n by n matrix, e.g., the k largest eigenvalues,
 which is usually more efficient than eigen() if k << n. This package
 provides the 'eigs()' function that does the similar job as in 'Matlab',
 'Octave', 'Python SciPy' and 'Julia'. It also provides the 'svds()' function
 to calculate the largest k singular values and corresponding
 singular vectors of a real matrix. The matrix to be computed on can be
 dense, sparse, or in the form of an operator defined by the user.

Package: r-cran-rsprng
Description-md5: 9bf9b6b75fa7318fead633a735043a5d
Description-en: GNU R interface to SPRNG (Scalable Parallel RNGs)
 This package provides an interface to the SPRNG (Scalable Parallel Random
 Number Generator) library API, along with examples and documentation.

Package: r-cran-rsqlite
Description-md5: 24a68b90bcc32afc4d3990d890b579b4
Description-en: Database Interface R driver for SQLite
 This package embeds the SQLite database engine in R and provides an interface
 compliant with the DBI package. This package is built with the SQLite package
 distributed in Debian, not with the copy included in the CRAN package.

Package: r-cran-rstan
Description-md5: a2598b9c408db224f70af4acf31c66e2
Description-en: GNU R interface to Stan
 User-facing R functions are provided to parse, compile, test, estimate,
 and analyze Stan models by accessing the header-only Stan library
 provided by the 'StanHeaders' package. The Stan project develops a
 probabilistic programming language that implements full Bayesian
 statistical inference via Markov Chain Monte Carlo, rough Bayesian
 inference via 'variational' approximation, and (optionally penalized)
 maximum likelihood estimation via optimization. In all three cases,
 automatic differentiation is used to quickly and accurately evaluate
 gradients without burdening the user with the need to derive the partial
 derivatives.

Package: r-cran-rstanarm
Description-md5: 9dc9b8ff1b5b4a00a6d0eec18e2efa56
Description-en: GNU R bayesian applied regression modeling via stan
 Estimates previously compiled regression models using the 'rstan'
 package, which provides the R interface to the Stan C++ library for Bayesian
 estimation. Users specify models via the customary R syntax with a formula and
 data.frame plus some additional arguments for priors.

Package: r-cran-rstantools
Description-md5: f10a4e60017a0b09e27e21753a5fe56c
Description-en: tools for developing GNU R packages interfacing with 'Stan'
 Provides various tools for developers of R packages interfacing
 with 'Stan' <http://mc-stan.org>, including functions to set up the required
 package structure, S3 generics and default methods to unify function naming
 across 'Stan'-based R packages, and vignettes with recommendations for
 developers.

Package: r-cran-rstudioapi
Description-md5: 23b9b317184338c5398c74125e9ff595
Description-en: GNU R package for access to the RStudio API
 The rstudioapi package provides access the RStudio API (if available) and
 provides informative error messages when it's not.

Package: r-cran-rsvd
Description-md5: 5d63cddd80519f8618fc46b2cc71e66a
Description-en: Randomized Singular Value Decomposition
 Low-rank matrix decompositions are fundamental tools and widely used for
 data analysis, dimension reduction, and data compression. Classically,
 highly accurate deterministic matrix algorithms are used for this task.
 However, the emergence of large-scale data has severely challenged our
 computational ability to analyze big data. The concept of randomness has
 been demonstrated as an effective strategy to quickly produce
 approximate answers to familiar problems such as the singular value
 decomposition (SVD). The rsvd package provides several randomized matrix
 algorithms such as the randomized singular value decomposition (rsvd),
 randomized principal component analysis (rpca), randomized robust
 principal component analysis (rrpca), randomized interpolative
 decomposition (rid), and the randomized CUR decomposition (rcur). In
 addition several plot functions are provided. The methods are discussed
 in detail by Erichson et al. (2016) <arXiv:1608.02148>.

Package: r-cran-rsymphony
Description-md5: e7e849e9c77cff5b006e773b83f38775
Description-en: GNU R interface to the SYMPHONY MILP solver
 Rsymphony provides an interface from GNU R to the SYMPHONY mixed
 integer linear programming (MILP) solver. More information about
 SYMPHONY is at http://www.coin-or.org/SYMPHONY/

Package: r-cran-rtsne
Description-md5: 98226e9f9acb92f206af885cfaafe554
Description-en: GNU R T-Distributed Stochastic Neighbor Embedding using a Barnes-Hut
 This package implements a R wrapper around the fast T-distributed
 Stochastic Neighbor Embedding implementation by Van der Maaten
 (see <https://github.com/lvdmaaten/bhtsne/> for more information
 on the original implementation).

Package: r-cran-runit
Description-md5: f1990b43bc087fd33fb44a46dbcb2c77
Description-en: GNU R package providing unit testing framework
 This package implements a standard Unit Testing framework, with
 additional code inspection and report generation tools

Package: r-cran-rversions
Description-md5: ddb23a9b9a1700fea456e997828a7c9c
Description-en: query GNU R versions, including 'r-release' and 'r-oldrel'
 Query the main 'R' 'SVN' repository to find the
 versions 'r-release' and 'r-oldrel' refer to, and also all
 previous 'R' versions and their release dates.

Package: r-cran-rvest
Description-md5: b539a55b030d5f0d05e4b2aac30a1c91
Description-en: Easily Harvest (Scrape) Web Pages
 Wrappers around the 'xml2' and 'httr' packages to make it easy to
 download, then manipulate, HTML and XML.

Package: r-cran-rwave
Description-md5: 28a0dedf8555cba7fa72a0e40eca72aa
Description-en: GNU R time-frequency analysis of 1-D signals
 A set of R functions which provide an environment for the Time-Frequency
 analysis of 1-D signals (and especially for the wavelet and Gabor
 transforms of noisy signals). It was originally written for Splus by
 Rene Carmona, Bruno Torresani, and Wen L. Hwang, first at the University
 of California at Irvine and then at Princeton University. Credit should
 also be given to Andrea Wang whose functions on the dyadic wavelet
 transform are included. Rwave is based on the book: "Practical
 Time-Frequency Analysis: Gabor and Wavelet Transforms with an Implementation
 in S", by Rene Carmona, Wen L. Hwang and Bruno Torresani (1998, eBook
 ISBN:978008053942), Academic Press.

Package: r-cran-rwiener
Description-md5: 37ad2332610c17fbfd405a9ff3b624e5
Description-en: GNU R Wiener process distribution functions
 Provides Wiener process distribution functions,
 namely the Wiener first passage time density, CDF, quantile and random
 functions. Additionally supplies a modelling function (wdm) and further
 methods for the resulting object.

Package: r-cran-samr
Description-md5: b70bdf02833d19f3a62039b4c16af62a
Description-en: GNU R significance analysis of microarrays
 This GNU R package provides significance analysis of microarrays.
 A microarray is a multiplex lab-on-a-chip. It is a 2D array on a solid
 substrate (usually a glass slide or silicon thin-film cell) that assays
 large amounts of biological material using high-throughput screening
 miniaturized, multiplexed and parallel processing and detection methods.
 .
 This package helps analysing this kind of microarrays.

Package: r-cran-sandwich
Description-md5: b4d852f913148c2e50d3b9eab5ac765e
Description-en: GNU R package for model-robust standard error estimates
 This package contains model-robust standard error estimators for time series
 and longitudinal data.

Package: r-cran-satellite
Description-md5: 0be6088efcbebe44792a49f9e84a1e4e
Description-en: GNU R handling and manipulating remote sensing data
 This package provides a broad variety of functions which are useful
 for handling, manipulating, and visualizing satellite-based remote sensing
 data. These operations range from mere data import and layer handling (eg
 subsetting), over Raster* typical data wrangling (eg crop, extend), to more
 sophisticated (pre-)processing tasks typically applied to satellite imagery
 (eg atmospheric and topographic correction). This functionality is
 complemented by a full access to the satellite layers' metadata at any
 stage and the documentation of performed actions in a separate log file.
 Currently available sensors include Landsat 4-5 (TM), 7 (ETM+), and 8
 (OLI/TIRS Combined), and additional compatibility is ensured for the Landsat
 Global Land Survey data set. Moreover, support for Terra and Aqua-MODIS as
 well as PROBA-V is expected to arrive shortly.

Package: r-cran-scales
Description-md5: 774adc4cc5c0ad895d2d1c56e2dd9ed4
Description-en: Scale functions for visualization
 Graphical scales map data to aesthetics, and provide
 methods for automatically determining breaks and labels
 for axes and legends.

Package: r-cran-scatterd3
Description-md5: ad9ba35afb9849af53d535e6e8694890
Description-en: D3 JavaScript scatterplot from GNU R
 This GNU R package creates 'D3' 'JavaScript' scatterplots from 'R' with
 interactive features : panning, zooming, tooltips, etc.
 .
 The `scatterD3` package provides an HTML widget based on the `htmlwidgets`
 package and allows one to produce interactive scatterplots by using the
 `d3` javascript visualization library.

Package: r-cran-scatterplot3d
Description-md5: 0cdd314e03e6d40857e3c9bde28cbc37
Description-en: GNU R package for Visualizing Multivariate Data
 Scatterplot3d is an GNU R package for the visualization of multivariate data
 in a three dimensional space. Basically scatterplot3d generates a scatter plot
 in the 3D space using a parallel projection. Higher dimensions (fourth, fifth,
 etc.) of the data can be visualized to some extent using, e.g. different
 colors, symbol types or symbol sizes.

Package: r-cran-sctransform
Description-md5: 7d96f8664a9a855819d1bbe2d077943c
Description-en: Variance Stabilizing Transformations for Single Cell UMI Data
 A normalization method for single-cell UMI count data using a
 variance stabilizing transformation. The transformation is based on a
 negative binomial regression model with regularized parameters. As part of the
 same regression framework, this package also provides functions for
 batch correction, and data correction. See Hafemeister and Satija 2019
 <doi:10.1101/576827> for more details.

Package: r-cran-sdmtools
Description-md5: 275b49f177f2d98142f9c42bcde5f564
Description-en: Species Distribution Modelling Tools
 This package provides a set of tools for post processing the
 outcomes of species distribution modeling exercises. It includes novel
 methods for comparing models and tracking changes in distributions through
 time. It further includes methods for visualizing outcomes, selecting
 thresholds, calculating measures of accuracy and landscape fragmentation
 statistics, etc.
 .
 This package was made possible in part by financial
 support from the Australian Research Council & ARC Research Network for
 Earth System Science.

Package: r-cran-segmented
Description-md5: 47d113fc43b1a9675feb64b8f23f91e5
Description-en: GNU R segmented relationships in regression models
 This GNU R package provides segmented relationships in regression
 models with breakpoints/changepoints estimation.
 .
 Given a (generalized) linear model, segmented ‘updates’ the model by
 adding one or more segmented relationships. Several variables with
 multiple breakpoints are allowed.

Package: r-cran-selectr
Description-md5: ff8d5907f250bd984edb8669ea2b8b3d
Description-en: Translate CSS Selectors to XPath Expressions
 Translates a CSS3 selector into an equivalent XPath
 expression. This allows one to use CSS selectors when working with
 the XML package as it can only evaluate XPath expressions. Also
 provided are convenience functions useful for using CSS selectors on
 XML nodes. This package is a port of the Python package 'cssselect'
 (<https://cssselect.readthedocs.io/>).

Package: r-cran-sem
Description-md5: d8fb9cc6bb71dead574d8110ee105b34
Description-en: GNU R functions for fitting structural equation models -- sem
 GNU R package supplying functions for fitting general linear structural
 equation models (with observed and latent variables) using the RAM
 approach, and for fitting structural equations in observed-variable
 models by two-stage least squares.

Package: r-cran-semplot
Description-md5: 1440a60cfbeb2fb5d14c2bf93ce3e3ac
Description-en: path Diagrams and visual analysis of various SEM GNU R packages
 This GNU R package provides path diagrams and visual analysis of various
 SEM packages' output.  Path diagrams including visualizations of the
 parameter estimates can be plotted with `semPaths` and visualizations of
 the implied and observed correlation structures can be plotted using
 `semCors`. Finally, SEM syntax can be generated using `semSyntax`.

Package: r-cran-semtools
Description-md5: 16b9ec72b8318311c2c2366b5ab6f380
Description-en: GNU R Tools for Structural Equation Modeling -- semTools
 GNU R package providing useful tools for structural equation modeling packages.

Package: r-cran-sendmailr
Description-md5: 6c3f6e0237c71fc0873dda4436c169a0
Description-en: send email using GNU R
 This GNU R package contains a simple SMTP client which provides a
 portable solution for sending email, including attachements, from within
 GNU R.

Package: r-cran-seqinr
Description-md5: 789b7410478a6d5a82b2022848198c03
Description-en: GNU R biological sequences retrieval and analysis
 Exploratory data analysis and data visualization for biological sequence
 (DNA and protein) data. Includes also utilities for sequence data
 management under the ACNUC system.

Package: r-cran-seriation
Description-md5: ac1962abd370a3f3076d7e15341d943c
Description-en: GNU R infrastructure for ordering objects using seriation
 Infrastructure for seriation with an implementation of several
 seriation/sequencing techniques to reorder matrices, dissimilarity
 matrices, and dendrograms. Also provides (optimally) reordered heatmaps,
 color images and clustering visualizations like dissimilarity plots, and
 visual assessment of cluster tendency plots (VAT and iVAT).

Package: r-cran-seroincidence
Description-md5: e23665ea473dd0e4bb2ec1224bae3e30
Description-en: GNU R seroincidence calculator tool
 Antibody levels measured in a cross-sectional population samples can be
 translated into an estimate of the frequency with which seroconversions
 (new infections) occur. In order to interpret the measured
 cross-sectional antibody levels, parameters which predict the decay of
 antibodies must be known. In previously published reports (Simonsen et
 al. 2009 and Versteegh et al. 2005), this information has been obtained
 from longitudinal studies on subjects who had culture-confirmed
 Salmonella and Campylobacter infections. A Bayesian back-calculation
 model was used to convert antibody measurements into an estimation of
 time since infection. This can be used to estimate the seroincidence in
 the cross-sectional sample of population. For both the longitudinal and
 cross-sectional measurements of antibody concentrations, the indirect
 ELISA was used. The models are only valid for persons over 18 years. The
 seroincidence estimates are suitable for monitoring the effect of
 control programmes when representative cross-sectional serum samples are
 available for analyses. These provide more accurate information on the
 infection pressure in humans across countries.

Package: r-cran-sessioninfo
Description-md5: c4885f238bec052978c7c9962d71af6f
Description-en: R Session Information
 Query and print information about the current R session.
 It is similar to 'utils::sessionInfo()', but includes more information
 about packages, and where they were installed from.

Package: r-cran-setrng
Description-md5: 06ea60435046a589fd3bbc61420ddba4
Description-en: GNU R set (normal) random number generator and seed
 SetRNG provides utilities to help set and record the setting of
 the seed and the uniform and normal generators used when a random
 experiment is run. The utilities can be used in other functions
 that do random experiments to simplify recording and/or setting all the
 necessary information for reproducibility.
 See the vignette and reference manual for examples.

Package: r-cran-seurat
Description-md5: e659572039d49e2404d6bbc88edb145d
Description-en: Tools for Single Cell Genomics
 A toolkit for quality control, analysis, and exploration of single cell
 RNA sequencing data. 'Seurat' aims to enable users to identify and
 interpret sources of heterogeneity from single cell transcriptomic
 measurements, and to integrate diverse types of single cell data. See
 Satija R, Farrell J, Gennert D, et al (2015) <doi:10.1038/nbt.3192>,
 Macosko E, Basu A, Satija R, et al (2015)
 <doi:10.1016/j.cell.2015.05.002>, and Butler A and Satija R (2017)
 <doi:10.1101/164889> for more details.

Package: r-cran-sf
Description-md5: 9ab2056a03176ae485da026b01b86beb
Description-en: Simple Features for R
 Support for simple features, a standardized way to encode spatial vector
 data. Binds to 'GDAL' for reading and writing data, to 'GEOS' for
 geometrical operations, and to 'PROJ' for projection conversions and
 datum transformations.

Package: r-cran-sfsmisc
Description-md5: 648566a636364fae6e06f9307dd0aaf7
Description-en: GNU R utilities from 'Seminar fuer Statistik' ETH Zurich
 This packagr assembles a set of useful utilities ['goodies'] from
 Seminar fuer Statistik ETH Zurich, quite a few related to graphics; some
 were ported from S-plus.

Package: r-cran-shape
Description-md5: 29b19097b302a02d4ecc2d259ef824d9
Description-en: GNU R functions for plotting graphical shapes, colors
 This GNU R package provides functions for plotting graphical shapes such
 as ellipses, circles, cylinders, arrows, ...

Package: r-cran-shazam
Description-md5: 34fd8fba9274dcd56ee399bba24b4182
Description-en: Immunoglobulin Somatic Hypermutation Analysis
 Provides a computational framework for Bayesian estimation of
 antigen-driven selection in immunoglobulin (Ig) sequences, providing an
 intuitive means of analyzing selection by quantifying the degree of
 selective pressure. Also provides tools to profile mutations in Ig
 sequences, build models of somatic hypermutation (SHM) in Ig sequences,
 and make model-dependent distance comparisons of Ig repertoires.
 .
 SHazaM is part of the Immcantation analysis framework for Adaptive
 Immune Receptor Repertoire sequencing (AIRR-seq) and provides tools for
 advanced analysis of somatic hypermutation (SHM) in immunoglobulin (Ig)
 sequences. Shazam focuses on the following analysis topics:
 .
  * Quantification of mutational load
    SHazaM includes methods for determine the rate of observed and
    expected mutations under various criteria. Mutational profiling
    criteria include rates under SHM targeting models, mutations specific
    to CDR and FWR regions, and physicochemical property dependent
    substitution rates.
  * Statistical models of SHM targeting patterns
    Models of SHM may be divided into two independent components:
     1) a mutability model that defines where mutations occur and
     2) a nucleotide substitution model that defines the resulting mutation.
    Collectively these two components define an SHM targeting
    model. SHazaM provides empirically derived SHM 5-mer context mutation
    models for both humans and mice, as well tools to build SHM targeting
    models from data.
  * Analysis of selection pressure using BASELINe
    The Bayesian Estimation of Antigen-driven Selection in Ig Sequences
    (BASELINe) method is a novel method for quantifying antigen-driven
    selection in high-throughput Ig sequence data. BASELINe uses SHM
    targeting models can be used to estimate the null distribution of
    expected mutation frequencies, and provide measures of selection
    pressure informed by known AID targeting biases.
  * Model-dependent distance calculations
    SHazaM provides methods to compute evolutionary distances between
    sequences or set of sequences based on SHM targeting models. This
    information is particularly useful in understanding and defining
    clonal relationships.

Package: r-cran-shiny
Description-md5: f955d63fe2898ddb6547aa1e464fcb14
Description-en: GNU R web application framework
 Makes it incredibly easy to build interactive web applications with R.
 Automatic "reactive" binding between inputs and outputs and extensive
 pre-built widgets make it possible to build beautiful, responsive, and
 powerful applications with minimal effort.

Package: r-cran-shinybs
Description-md5: 198ac70423de9166ad26f3585842649a
Description-en: GNU R Twitter bootstrap components for Shiny
 This GNU R package adds additional Twitter Bootstrap components to Shiny.
 .
 Shiny is a GNU R web application framework.

Package: r-cran-shinycssloaders
Description-md5: 01784573ce2fcd88681ede4eb17bcd92
Description-en: add CSS loading animations to 'shiny' outputs in GNU R
 Create a lightweight Shiny wrapper for the css-loaders created by Luke
 Hass <https://github.com/lukehaas/css-loaders>. Wrapping a Shiny output
 will automatically show a loader when the output is (re)calculating.

Package: r-cran-shinydashboard
Description-md5: 0bc281ca66747425241aa67489053206
Description-en: GNU R create dashboards with 'Shiny'
 This GNU R package enables creations of dashboards with 'Shiny'. This package
 provides a theme on top of 'Shiny', making it easy to create attractive
 dashboards.

Package: r-cran-shinyfiles
Description-md5: e38d61b36ff194b95e20017a5d0c6869
Description-en: GNU R server-side file system viewer for shiny
 Provides functionality for client-side navigation of
 the server side file system in shiny apps. In case the app is running
 locally this gives the user direct access to the file system without the
 need to "download" files to a temporary location. Both file and folder
 selection as well as file saving is available.

Package: r-cran-shinyjs
Description-md5: e783ea819ca625827ff75170aa82625f
Description-en: Easily Improve the User Experience of Your Shiny Apps in Seconds
 Perform common useful JavaScript operations in Shiny apps that will
 greatly improve your apps without having to know any JavaScript. Examples
 include: hiding an element, disabling an input, resetting an input back to
 its original value, delaying code execution by a few seconds, and many more
 useful functions for both the end user and the developer. 'shinyjs' can also
 be used to easily call your own custom JavaScript functions from R.

Package: r-cran-shinystan
Description-md5: 9f910143442cee4b30bdc3758b3e84ef
Description-en: GNU R interactive diagnostics and analysis for Bayesian models
 A graphical user interface for interactive Markov chain Monte
 Carlo (MCMC) diagnostics and plots and tables helpful for analyzing a
 posterior sample. The interface is powered by the 'Shiny' web
 application framework from 'RStudio' and works with the output of MCMC
 programs written in any programming language (and has extended
 functionality for 'Stan' models fit using the 'rstan' and 'rstanarm'
 packages).

Package: r-cran-shinythemes
Description-md5: 19ed4f9ce9b09be754fa2f5fc9ae054f
Description-en: Themes for Shiny
 Themes for use with Shiny. Includes several Bootstrap themes
 from <http://bootswatch.com/>, which are packaged for use with Shiny
 applications.

Package: r-cran-sitmo
Description-md5: cdd3e75f3a01223906c374430cec8785
Description-en: GNU R parallel pseudo random number generator 'sitmo' header files
 Provided within are two high quality and fast PPRNGs that may be
 used in an 'OpenMP' parallel environment. In addition, there is a generator
 for one dimensional low-discrepancy sequence. The objective of this library
 to consolidate the distribution of the 'sitmo' (C++98 & C++11), 'threefry' and
 'vandercorput' (C++11-only) engines on CRAN by enabling others to link to the
 header files inside of 'sitmo' instead of including a copy of each engine
 within their individual package. Lastly, the package contains example
 implementations using the 'sitmo' package and three accompanying vignette that
 provide additional information.

Package: r-cran-sjlabelled
Description-md5: 1e502ef9570befe440af72e34cfee75a
Description-en: GNU R labelled data utility functions
 Collection of functions dealing with labelled data, like reading and
 writing data between R and other statistical software packages like 'SPSS',
 'SAS' or 'Stata', and working with labelled data. This includes easy ways
 to get, set or change value and variable label attributes, to convert
 labelled vectors into factors or numeric (and vice versa), or to deal with
 multiple declared missing values.

Package: r-cran-sjmisc
Description-md5: 54fc2dc4fd8d2d1bf7d6f32db7c632fe
Description-en: GNU R data and variable transformation functions
 Collection of miscellaneous utility functions, supporting data
 transformation tasks like recoding, dichotomizing or grouping variables,
 setting and replacing missing values. The data transformation functions
 also support labelled data, and all integrate seamlessly into a
 'tidyverse'-workflow.

Package: r-cran-sjplot
Description-md5: 53738bb4d85f75acf41acb96e6e5a455
Description-en: GNU R data visualization for statistics in social science
 Collection of plotting and table output functions for data
 visualization. Results of various statistical analyses (that are
 commonly used in social sciences) can be visualized using this package,
 including simple and cross tabulated frequencies, histograms, box plots,
 (generalized) linear models, mixed effects models, principal component
 analysis and correlation matrices, cluster analyses, scatter plots,
 stacked scales, effects plots of regression models (including
 interaction terms) and much more. This package supports labelled data.

Package: r-cran-sjstats
Description-md5: b5b5dde12dd36c25e688774a9bed98bf
Description-en: GNU R collection of convenient functions for statistical computations
 Collection of convenient functions for common statistical computations,
 which are not directly provided by R's base or stats packages.
 This package aims at providing, first, shortcuts for statistical
 measures, which otherwise could only be calculated with additional
 effort (like standard errors or root mean squared errors). Second,
 these shortcut functions are generic (if appropriate), and can be
 applied not only to vectors, but also to other objects as well
 (e.g., the Coefficient of Variation can be computed for vectors,
 linear models, or linear mixed models; the r2()-function returns
 the r-squared value for 'lm', 'glm', 'merMod' or 'lme' objects).
 The focus of most functions lies on summary statistics or fit
 measures for regression models, including generalized linear
 models and mixed effects models. However, some of the functions
 also deal with other statistical measures, like Cronbach's Alpha,
 Cramer's V, Phi etc.

Package: r-cran-slam
Description-md5: 56af58381e2ec5cc54b470cdb8dd2b39
Description-en: GNU R sparse lighweight arrays and matrices package
 This package provides data structures and algorithms for sparse
 arrays and matrices, based on index arrays and simple triplet
 representations, respectively.

Package: r-cran-sm
Description-md5: b0edaaf031eee011ffe6354321fb66e8
Description-en: GNU R package for kernel smoothing methods
 This CRAN package provides functions and documentation for kernel smoothing
 methods for GNU R. The functions are described in the book "Applied
 Smoothing Techniques for Data Analysis: The kernel approach with S-Plus
 illustrations" by Adrian W. Bowman & Adelchi Azzalini, Oxford UP, 1997.

Package: r-cran-sn
Description-md5: 0ccb2979748c941037de8abf57a96555
Description-en: GNU R package providing skew-normal and skew-t distributions
 This package provides functions for manipulating skew-normal and
 skew-t probability distributions, and for fitting them to data, in
 the scalar and in the multivariate case.

Package: r-cran-snakecase
Description-md5: 41e56dd31b5a6a950bf975d0927f9f18
Description-en: convert strings into any case for GNU R
 This package provides a consistent, flexible and easy to use tool
 to parse and convert strings into cases like snake or camel among
 others.

Package: r-cran-snow
Description-md5: 8ef2341cc63946eea1ae1eb2ba7048a5
Description-en: GNU R package for 'simple network of workstations'
 This package provide the 'simple network of workstations' (SNOW), an
 easy-to-use system for parallel computing in GNU R.

Package: r-cran-snowballc
Description-md5: eeea19ce4cd2eec79351c43490a9ded3
Description-en: Snowball stemmers based on the C libstemmer UTF-8 library
 An R interface to the C libstemmer library that implements Porter's word
 stemming algorithm for collapsing words to a common root to aid
 comparison of vocabulary. Currently supported languages are Danish,
 Dutch, English, Finnish, French, German, Hungarian, Italian, Norwegian,
 Portuguese, Romanian, Russian, Spanish, Swedish and Turkish.

Package: r-cran-snowfall
Description-md5: 4746127c7892a4e030ec53a11395bdfd
Description-en: GNU R easier cluster computing (based on snow)
 Usability wrapper around snow for easier development of
 parallel R programs. This package offers e.g. extended error
 checks, and additional functions. All functions work in
 sequential mode, too, if no cluster is present or wished.
 Package is also designed as connector to the cluster management
 tool sfCluster, but can also used without it.

Package: r-cran-sodium
Description-md5: 6b137c0121855b8704f68b7aac363f14
Description-en: GNU R modern and easy-to-use crypto library
 Bindings to 'libsodium': a modern, easy-to-use software library for
 encryption, decryption, signatures, password hashing and more. Sodium uses
 curve25519, a state-of-the-art Diffie-Hellman function by Daniel Bernstein,
 which has become very popular after it was discovered that the NSA had
 backdoored Dual EC DRBG.

Package: r-cran-solrium
Description-md5: 197edc9c71bb01d3707e5892819cfbd4
Description-en: general purpose R interface to 'Solr'
 This GNU R package provides a set of functions for querying and parsing
 data from 'Solr' (<http://lucene.apache.org/solr>) 'endpoints' (local
 and  remote), including search, 'faceting', 'highlighting', 'stats', and
 'more like this'. In addition, some functionality is included for
 creating, deleting, and updating documents in a 'Solr' 'database'.

Package: r-cran-sourcetools
Description-md5: dcd47262f625030e2d1e208a31bd52f6
Description-en: tools for reading, tokenizing and parsing R code
 Tools for the reading and tokenization of R code. The
 'sourcetools' package provides both an R and C++ interface for the tokenization
 of R code, and helpers for interacting with the tokenized representation of R
 code.

Package: r-cran-sp
Description-md5: b57f7d80336ae76cf75f70b800f0c2c7
Description-en: GNU R classes and methods for spatial data
 This GNU R package provides classes and methods for spatial data. The
 classes document where the spatial location information resides, for 2D or
 3D data.  Utility functions are provided, e.g. for plotting data as maps,
 spatial selection, as well as methods for retrieving coordinates, for
 subsetting, print, summary, etc.

Package: r-cran-spacetime
Description-md5: 9b0956cf8bfa20f9d6a98361ef8ac4e0
Description-en: GNU R classes and methods for spatio-temporal data
 Classes and methods for spatio-temporal data, including space-time
 regular lattices, sparse lattices, irregular data, and trajectories;
 utility functions for plotting data as map sequences (lattice or
 animation) or multiple time series; methods for spatial and temporal
 selection and subsetting, as well as for spatial/temporal/spatio-
 temporal matching or aggregation, retrieving coordinates, print,
 summary, etc.

Package: r-cran-spam
Description-md5: b86ca9efc6a17c621f45f80d0252b3e2
Description-en: GNU R functions for sparse matrix algebra
 Set of functions for sparse matrix algebra. Differences with SparseM/Matrix
 are:
  1. only (essentially) one sparse matrix format supported,
  2. based on transparent and simple structure(s),
  3. tailored for MCMC calculations within GMRF.
  4. S3 and S4 like-"compatible"

Package: r-cran-sparsem
Description-md5: 820e9d0f52288d3775e876da39513e0c
Description-en: GNU R package for basic linear algebra for sparse matrices
 The SparseM package implements basic linear algebra for sparse matrices.

Package: r-cran-spatial
Description-md5: 7e8e990ddff0e633031df67cf2d82ef4
Description-en: GNU R package for spatial statistics
 The spatial package provides functions and datasets to support
 chapter 15 on 'Spatial Statistic' in the book 'Modern Applied
 Statistics with S' (4th edition) by W.N. Venables and
 B.D. Ripley. The following URL provides more details about the book:
 URL: http://www.stats.ox.ac.uk/pub/MASS4

Package: r-cran-spatialreg
Description-md5: 5976a6c5c2775b51add3e03ad5c61b10
Description-en: GNU R spatial regression analysis
 A collection of all the estimation functions for spatial cross-sectional
 models (on lattice/areal data using spatial weights matrices) contained
 up to now in 'spdep', 'sphet' and 'spse'. These model fitting functions
 include maximum likelihood methods for cross-sectional models proposed
 by 'Cliff' and 'Ord' (1973, ISBN:0850860369) and (1981,
 ISBN:0850860814), fitting methods initially described by 'Ord' (1975)
 <doi:10.1080/01621459.1975.10480272>. The models are further described
 by 'Anselin' (1988) <doi:10.1007/978-94-015-7799-1>. Spatial two stage
 least squares and spatial general method of moment models initially
 proposed by 'Kelejian' and 'Prucha' (1998) <doi:10.1023/A:1007707430416>
 and (1999) <doi:10.1111/1468-2354.00027> are provided. Impact methods
 and MCMC fitting methods proposed by 'LeSage' and 'Pace' (2009)
 <doi:10.1201/9781420064254> are implemented for the family of cross-
 sectional spatial regression models. Methods for fitting the log
 determinant term in maximum likelihood and MCMC fitting are compared by
 'Bivand et al.' (2013) <doi:10.1111/gean.12008>, and model fitting
 methods by 'Bivand' and 'Piras' (2015) <doi:10.18637/jss.v063.i18>; both
 of these articles include extensive lists of references. 'spatialreg' >=
 1.1-* correspond to 'spdep' >= 1.1-1, in which the model fitting
 functions are deprecated and pass through to 'spatialreg', but will mask
 those in 'spatialreg'. From versions 1.2-*, the functions will be made
 defunct in 'spdep'.

Package: r-cran-spatstat
Description-md5: 9bbe4b77892099cfdf94da493ce584db
Description-en: GNU R Spatial Point Pattern analysis, model-fitting, simulation, tests
 A GNU R package for analysing spatial data, mainly Spatial Point Patterns,
 including multitype/marked points and spatial covariates, in any
 two-dimensional spatial  region.  Contains functions for plotting spatial
 data, exploratory data analysis, model-fitting, simulation, spatial sampling,
 model diagnostics, and formal inference. Data types include point patterns,
 line segment patterns, spatial windows, and pixel images. Point process
 models can be fitted to point pattern data.  Cluster type models are fitted
 by the method of minimum contrast. Very general Gibbs point process models
 can be fitted to point pattern data using a function ppm similar to lm or glm.
 Models may include dependence on covariates, interpoint interaction and
 dependence on marks. Fitted models can be simulated automatically.  Also
 provides facilities for formal inference (such as chi-squared tests) and model
 diagnostics (including simulation envelopes, residuals, residual plots and Q-Q
 plots).

Package: r-cran-spatstat.data
Description-md5: 166f806ecedf20afd789f0cf27645425
Description-en: datasets for the package r-cran-spatstat
 This package contains all the datasets for the 'r-cran-spatstat' package
 which was separated by upstream and thus is provided as a separate
 package also in Debian.

Package: r-cran-spatstat.utils
Description-md5: 3a8f80aa8b300e7a727c2c9db4a3fd52
Description-en: GNU R utility functions for r-cran-spatstat
 This GNU R package contains utility functions for the 'spatstat' package
 which may also be useful for other purposes.

Package: r-cran-spc
Description-md5: bcbf481d39c9c4f72eca58e8e04768ec
Description-en: GNU R Statistical Process Control
 Evaluation of control charts by means of the zero-state, steady-state ARL
 (Average Run Length). Setting up control charts for given in-control ARL
 and plotting of the related figures. The control charts under consideration
 are one- and two-sided EWMA and CUSUM charts for monitoring the mean of
 normally distributed independent data. Now, the ARL calculation of
 EWMA-S^2 control charts is added. Other charts and parameters are in
 preparation. Further SPC areas will be covered as well (sampling plans,
 capability indices ...).

Package: r-cran-spdata
Description-md5: eef2f4ed3e4869656cba39d9df3d306e
Description-en: GNU R datasets for spatial analysis
 Diverse spatial datasets for demonstrating, benchmarking and teaching
 spatial data analysis. It includes R data of class sf (defined by the
 package 'sf'), Spatial ('sp'), and nb ('spdep'). Unlike other spatial
 data packages such as 'rnaturalearth' and 'maps', it also contains data
 stored in a range of file formats including GeoJSON, ESRI Shapefile and
 GeoPackage. Some of the datasets are designed to illustrate specific
 analysis techniques. cycle_hire and cycle_hire_osm, for example, is
 designed to illustrate point pattern analysis techniques.

Package: r-cran-spdep
Description-md5: c862b8f4f28cfb858117c39f49110355
Description-en: GNU R spatial dependence: weighting schemes, statistics and models
 A collection of functions to create spatial weights matrix objects from
 polygon contiguities, from point patterns by distance and tessellations,
 for summarizing these objects, and for permitting their use in spatial
 data analysis, including regional aggregation by minimum spanning tree;
 a collection of tests for spatial autocorrelation, including global
 Moran's I, APLE, Geary's C, Hubert/Mantel general cross product
 statistic, Empirical Bayes estimates and Assunção/Reis Index, Getis/Ord
 G and multicoloured join count statistics, local Moran's I and Getis/Ord
 G, saddlepoint approximations and exact tests for global and local
 Moran's I; and functions for estimating spatial simultaneous
 autoregressive (SAR) lag and error models, impact measures for lag
 models, weighted and unweighted SAR and CAR spatial regression models,
 semi-parametric and Moran eigenvector spatial filtering, GM SAR error
 models, and generalized spatial two stage least squares models.

Package: r-cran-splines2
Description-md5: 8a6fe2de857c9322f4338554c7a4b1d0
Description-en: GNU R regression spline functions and classes
 Constructs B-splines and its integral, monotone splines
 (M-splines) and its integral (I-splines), convex splines (C-splines),
 and their derivatives of given order. Piecewise constant basis is
 allowed for B-splines and M-splines. See
 De Boor (1978) <doi:10.1002/zamm.19800600129>,
 Ramsay (1988) <doi:10.1214/ss/1177012761>, and
 Meyer (2008) <doi:10.1214/08-AOAS167> for more information.

Package: r-cran-spp
Description-md5: 6789c1c77359b6f9a435abe80ec2b9ee
Description-en: GNU R ChIP-seq processing pipeline
 R package for anlaysis of ChIP-seq and other functional sequencing data
  * Assess overall DNA-binding signals in the data and select appropriate
    quality of tag alignment.
  * Discard or restrict positions with abnormally high number of tags.
  * Calculate genome-wide profiles of smoothed tag density and save them
    in WIG files for viewing in other browsers.
  * Calculate genome-wide profiles providing conservative statistical
    estimates of fold enrichment ratios along the genome. These can be
    exported for browser viewing, or thresholded to determine regions of
    significant enrichment/depletion.
  * Determine statistically significant point binding positions
  * Assess whether the set of point binding positions detected at a
    current sequencing depth meets saturation criteria, and if does not,
    estimate what sequencing depth would be required to do so.

Package: r-cran-squarem
Description-md5: 50244cb46e57114daf164eea0836d380
Description-en: Squared Extrapolation Methods for Accelerating EM-Like Monotone Algorithms
 Algorithms for accelerating the convergence of slow,
 monotone sequences from smooth, contraction mapping such as the
 EM algorithm. It can be used to accelerate any smooth, linearly
 convergent acceleration scheme.  A tutorial style introduction
 to this package is available in a vignette on the CRAN download
 page or, when the package is loaded in an R session, with
 vignette("SQUAREM").

Package: r-cran-stabledist
Description-md5: d0cfec5a0638dcb78f08e6fce0f80acb
Description-en: GNU R package for stable distribution functions
 This package provides density, probability and quantile functions,
 and random number generation for (skew) stable distributions, using
 the parametrizations of Nolan.

Package: r-cran-stanheaders
Description-md5: 9b1506a6ab4cbbf87b708a39b939806a
Description-en: C++ Header Files for Stan for GNU R
 The C++ header files of the Stan project are provided by this package,
 but it contains no R code, vignettes, or function documentation. There
 is a shared object containing part of the 'CVODES' library, but it is
 not accessible from R. 'StanHeaders' is only useful for developers who
 want to utilize the 'LinkingTo' directive of their package's DESCRIPTION
 file to build on the Stan library without incurring unnecessary
 dependencies. The Stan project develops a probabilistic programming
 language that implements full or approximate Bayesian statistical
 inference via Markov Chain Monte Carlo or 'variational' methods and
 implements (optionally penalized) maximum likelihood estimation via
 optimization. The Stan library includes an advanced automatic
 differentiation scheme, 'templated' statistical and linear algebra
 functions that can handle the automatically 'differentiable' scalar
 types (and doubles, 'ints', etc.), and a parser for the Stan language.
 The 'rstan' package provides user-facing R functions to parse, compile,
 test, estimate, and analyze Stan models.

Package: r-cran-stars
Description-md5: 88e8c4dcbb477da760539377770a7eb1
Description-en: scalable, spatiotemporal tidy arrays for GNU R
 This package provides support for scalable, spatiotemporal tidy arrays in
 GNU R, using GDAL bindings.
 .
 Package stars provides infrastructure for data cubes, array data with
 labeled dimensions, with emphasis on arrays where some of the dimensions
 relate to time and/or space.

Package: r-cran-statmod
Description-md5: ec23192a68b9b7eac0b88184e35e22fd
Description-en: GNU R package providing algorithms and functions for statistical modeling
 This R package provides a collection of algorithms and functions to aid
 statistical modeling. Includes limiting dilution analysis (aka ELDA), growth
 curve comparisons, mixed linear models, heteroscedastic regression,
 inverse-Gaussian probability calculations, Gauss quadrature and a secure
 convergence algorithm for nonlinear models. Also includes a number of advanced
 generalized linear model functions including new Tweedie and Digamma glm
 families and a secure convergence algorithm.

Package: r-cran-stringdist
Description-md5: ae03b8e1698898260e19684c803f5548
Description-en: GNU R approximate string matching and string distance functions
 Implements an approximate string matching version of R's native 'match'
 function. Can calculate various string distances based on edits (Damerau-
 Levenshtein, Hamming, Levenshtein, optimal sting alignment), qgrams (q-
 gram, cosine, jaccard distance) or heuristic metrics (Jaro, Jaro-
 Winkler). An implementation of soundex is provided as well. Distances
 can be computed between character vectors while taking proper care of
 encoding or between integer vectors representing generic sequences.

Package: r-cran-stringi
Description-md5: 85ac5a24c94e62cafa0b0d03553977b0
Description-en: GNU R character string processing facilities
 Allows for fast, correct, consistent, portable, as well as convenient
 character string/text processing in every locale and any native
 encoding. Owing to the use of the ICU library, the package provides R
 users with platform-independent functions known to Java, Perl, Python,
 PHP, and Ruby programmers. Among available features there are: pattern
 searching (e.g. via regular expressions), random string generation,
 string collation, transliteration, concatenation, date-time formatting
 and parsing, etc.

Package: r-cran-stringr
Description-md5: 191d5b57a1fd556fba846717c4d83659
Description-en: Make it easier to work with strings
 stringr is a set of simple wrappers that make R's string functions more
 consistent, simpler and easier to use. It does this by ensuring that:
 function and argument names (and positions) are consistent, all
 functions deal with NA's and zero length character appropriately, and
 the output data structures from each function matches the input data
 structures of other functions.

Package: r-cran-strucchange
Description-md5: 7dc4f7c87a4631e62a6475b2b46d6496
Description-en: GNU R package for structural change regression estimation
 This package functions for testing, dating and monitoring of
 structural change in linear regression relationships. The strucchange
 package features tests/methods from the generalized fluctuation test
 framework as well as from the F test (Chow test) framework. This
 includes methods to fit, plot and test fluctuation processes (e.g.,
 CUSUM, MOSUM, recursive/moving estimates) and F statistics,
 respectively.  It is possible to monitor incoming data online using
 fluctuation processes.
 .
 Finally, the breakpoints in regression models with structural changes
 can be estimated together with confidence intervals.  Emphasis is
 always given to methods for visualizing the data.

Package: r-cran-survey
Description-md5: 38be057a326c4bbed5b9a0786bc82877
Description-en: GNU R analysis of complex survey samples
 Summary statistics, two-sample tests, rank tests, generalised linear
 models, cumulative link models, Cox models, loglinear models, and
 general maximum pseudolikelihood estimation for multistage stratified,
 cluster-sampled, unequally weighted survey samples. Variances by Taylor
 series linearisation or replicate weights. Post-stratification,
 calibration, and raking. Two-phase subsampling designs. Graphics. PPS
 sampling without replacement. Principal components, factor analysis.

Package: r-cran-survival
Description-md5: 3b335fcecb47a122c13df7affdb398c7
Description-en: GNU R package for survival analysis
 This package provides functions and datasets for survival analysis:
 descriptive statistics, two-sample tests, parametric accelerated failure
 models, Cox model. Delayed entry (truncation) is allowed for all models;
 interval censoring for parametric models.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-svglite
Description-md5: 58406d90716297504a94b25529c93abd
Description-en: GNU R 'SVG' graphics device
 This package provides graphics device for GNU R that produces
 'Scalable Vector Graphics'.  'svglite' is a fork of the older
 'RSvgDevice' package.

Package: r-cran-sys
Description-md5: 95ca21530a25e72b86d9bc27f25e26d8
Description-en: Powerful and Reliable Tools for Running System Commands in GNU R
 Drop-in replacements for the base system2() function with fine control
 and consistent behavior across platforms. Supports clean interruption,
 timeout, background tasks, and streaming STDIN / STDOUT / STDERR over
 binary or text connections. Arguments on Windows automatically get
 encoded and quoted to work on different locales. On Unix platforms the
 package also provides functions for evaluating expressions inside a
 temporary fork. Such evaluations have no side effects on the main R
 process, and support reliable interrupts and timeouts. This provides the
 basis for a sandboxing mechanism.

Package: r-cran-systemfit
Description-md5: 0a49d484b4173c08882a5a0d13e90af7
Description-en: GNU R estimating systems of simultaneous equations
 Econometric estimation of simultaneous
 systems of linear and nonlinear equations using Ordinary Least
 Squares (OLS), Weighted Least Squares (WLS), Seemingly Unrelated
 Regressions (SUR), Two-Stage Least Squares (2SLS), Weighted
 Two-Stage Least Squares (W2SLS), and Three-Stage Least Squares (3SLS).

Package: r-cran-systemfonts
Description-md5: 2ebb75f2ab581f9a766ada8b58d6287c
Description-en: system native font finding for GNU R
 Provides system native access to the font catalogue. As font
 handling varies between systems it is difficult to correctly locate
 installed fonts across different operating systems. The 'systemfonts'
 package provides bindings to the native libraries on Windows, macOS and
 Linux for finding font files that can then be used further by e.g. graphic
 devices. The main use is intended to be from compiled code but 'systemfonts'
 also provides access from R.

Package: r-cran-taxize
Description-md5: 546ecc30bca18726c0fe5ca0336a9161
Description-en: GNU R taxonomic information from around the web
 GNU R package to interact with a suite of web 'APIs' for taxonomic
 tasks, such as verifying species names, and getting taxonomic
 'hierarchies'.

Package: r-cran-tcltk2
Description-md5: b4f5ca9e9b45d617505f105752517729
Description-en: GNU R package for Tcl/Tk additions
 A series of additional Tcl commands and Tk widgets with style
 and various functions to supplement the tcltk core package for R.

Package: r-cran-tcr
Description-md5: 3ea4a31baf99f15e278456148b0d7808
Description-en: Advanced Data Analysis of Immune Receptor Repertoires
 Cells of the immune system are the grand exception to the rule
 that all cells of an individuum have (mostly exact) copies of the
 same DNA. B cells (which produce antibodies) and T cells (which
 communicate with cells) however have a section of their DNA with
 genes of the groups V, D and J that are reorganised within the
 genomic DNA to provide the flexibility to deal with yet unknown
 pathogens.
 .
 This package provides a platform for the advanced analysis of T
 cell receptor repertoire data and its visualisations.

Package: r-cran-teachingdemos
Description-md5: 3a97e798f04e2c3c80527148eb671d97
Description-en: GNU R Demonstrations for teaching and learning
 This package is a set of demonstration functions that can be used in a
 classroom to demonstrate statistical concepts, or on your own to better
 understand the concepts or the programming.

Package: r-cran-tensor
Description-md5: 1adeb44ba1ae3003860174f806683cd0
Description-en: GNU R Tensor product of arrays
 The tensor product of two arrays is notionally an outer product of the
 arrays collapsed in specific extents by summing along the appropriate
 diagonals.

Package: r-cran-testit
Description-md5: 42aef7780341a7f97c17bddaf6103250
Description-en: simple package for testing GNU R packages
 This GNU R package provides two convenience functions assert() and
 test_pkg() to facilitate testing R packages.

Package: r-cran-testthat
Description-md5: df238af427186b9f7eb1838dc0aba836
Description-en: GNU R testsuite
 Testthat code. Tools to make testing fun.
 .
 Software testing is important, but, in part because it is frustrating
 and boring, many developers avoid it. 'testthat' is a testing framework for R
 that is easy learn and use, and integrates with your existing 'workflow'.

Package: r-cran-tfisher
Description-md5: d812b2212b696c51c9c8f329c5fc7c80
Description-en: GNU R optimal thresholding fisher's P-value combination method
 This GNU R package provides the cumulative distribution function (CDF),
 quantile, and statistical power calculator for a collection of
 thresholding Fisher's p-value combination methods, including Fisher's
 p-value combination method, truncated product method and, in particular,
 soft-thresholding Fisher's p-value combination method which is proven to
 be optimal in some context of signal detection. The p-value calculator
 for the omnibus version of these tests are also included. For reference,
 please see Hong Zhang and Zheyang Wu. "TFisher Tests: Optimal and
 Adaptive Thresholding for Combining p-Values", submitted.

Package: r-cran-tfmpvalue
Description-md5: 85b62ef82868a47f02cbe4d1fa63c642
Description-en: GNU R P-Value Computation for Position Weight Matrices
 In putative Transcription Factor Binding Sites (TFBSs) identification
 from sequence/alignments, it is interesting to obtain the significance
 of certain match score. TFMPvalue provides the accurate calculation of
 P-value with score threshold for Position Weight Matrices, or the score
 with given P-value. This package is an interface to code originally made
 available by Helene Touzet and Jean-Stephane Varre, 2007, Algorithms Mol
 Biol:2, 15.

Package: r-cran-tgp
Description-md5: 8df682c19562241dc98fcd8ada74723c
Description-en: GNU R Bayesian treed Gaussian process models
 Bayesian nonstationary, semiparametric nonlinear regression and design by
 treed Gaussian processes (GPs) with jumps to the limiting linear model (LLM).
 Special cases also implemented include Bayesian linear models, CART, treed
 linear models, stationary separable and isotropic GPs, and GP single-index
 models.  Provides 1-d and 2-d plotting functions (with projection and slice
 capabilities) and tree drawing, designed for visualization of tgp-class
 output.  Sensitivity analysis and multi-resolution models are supported.
 Sequential experimental design and adaptive sampling functions are also
 provided, including ALM, ALC, and expected improvement. The latter supports
 derivative-free optimization of noisy black-box functions.

Package: r-cran-th.data
Description-md5: 9beb7b518a74c2ef4842e7b3ae145ba4
Description-en: GNU R package for datasets by Torsten Hothorn
 The TH.data package contain datasets used in other packages
 written by Torsten Hothorn.

Package: r-cran-threejs
Description-md5: 8689cf460648db49ecfaf8cd50dd62f3
Description-en: GNU R interactive 3D scatter plots, networks and globes
 This package provides a GNU R interface the 'three.js' visualization
 library to create interactive 3D scatter plots, network plots.
 See https://threejs.org.

Package: r-cran-tibble
Description-md5: e1fceabc342973d14651328f62754656
Description-en: GNU R Simple Data Frames
 This GNU R package provides a 'tbl_df' class that offers better checking
 and printing capabilities than traditional data frames.
 .
 Tibbles are a modern take on data frames. They keep the features that have
 stood the test of time, and drop the features that used to be convenient but
 are now frustrating (i.e. converting character vectors to factors).

Package: r-cran-tidygraph
Description-md5: 906a78a22e0dd6ffc06b45f8b74bb89e
Description-en: GNU R tidy API for graph manipulation
 A graph, while not "tidy" in itself, can be thought of as two tidy
 data frames describing node and edge data respectively. 'tidygraph'
 provides an approach to manipulate these two virtual data frames using the
 API defined in the 'dplyr' package, as well as provides tidy interfaces to
 a lot of common graph algorithms.

Package: r-cran-tidyr
Description-md5: 0a75781b0b96fc45137163dd1ccbbebe
Description-en: GNU R package to easily tidy data
 GNU R package to easily tidy data with 'spread()' and 'gather()'
 functions.
 .
 An evolution of 'reshape2'. It's designed specifically for data tidying
 (not general reshaping or aggregating) and works well with 'dplyr' data
 pipelines.

Package: r-cran-tidyselect
Description-md5: 0d8e4a6f1232a244682939f1d1fb125d
Description-en: GNU R select from a set of strings
 GNU R backend for the selecting functions of the 'tidyverse'.
 It makes it easy to implement select-like functions in your own
 packages in a way that is consistent with other 'tidyverse'
 interfaces for selection.

Package: r-cran-tidyverse
Description-md5: 5181d29f2fde7295b2a7934e0c3c51a6
Description-en: Easily Install and Load the 'Tidyverse'
 The 'tidyverse' is a set of packages that work in harmony
 because they share common data representations and 'API' design. This
 package is designed to make it easy to install and load multiple
 'tidyverse' packages in a single step. Learn more about the 'tidyverse'
 at <https://tidyverse.org>.

Package: r-cran-tiff
Description-md5: ea1d46fb1596a145acc76443be59b860
Description-en: Read and write TIFF images
 This package provides an easy and simple way to read, write and display
 bitmap images stored in the TIFF format. It can read and write both
 files and in-memory raw vectors.

Package: r-cran-tigger
Description-md5: 7bedf1181b6cce84d2b1c1d9dac6be75
Description-en: Infers new Immunoglobulin alleles from Rep-Seq Data
 Summary: Infers the V genotype of an individual from immunoglobulin (Ig)
 repertoire-sequencing (Rep-Seq) data, including detection of any novel
 alleles. This information is then used to correct existing V allele calls
 from among the sample sequences.
 .
 High-throughput sequencing of B cell immunoglobulin receptors is
 providing unprecedented insight into adaptive immunity. A key step in
 analyzing these data involves assignment of the germline V, D and J gene
 segment alleles that comprise each immunoglobulin sequence by matching
 them against a database of known V(D)J alleles. However, this process
 will fail for sequences that utilize previously undetected alleles,
 whose frequency in the population is unclear.
 .
 TIgGER is a computational method that significantly improves V(D)J
 allele assignments by first determining the complete set of gene segments
 carried by an individual (including novel alleles) from V(D)J-rearrange
 sequences. TIgGER can then infer a subject’s genotype from these
 sequences, and use this genotype to correct the initial V(D)J allele
 assignments.
 .
 The application of TIgGER continues to identify a surprisingly high
 frequency of novel alleles in humans, highlighting the critical need
 for this approach. TIgGER, however, can and has been used with data
 from other species.
 .
 Core Abilities:
  * Detecting novel alleles
  * Inferring a subject’s genotype
  * Correcting preliminary allele calls
 .
 Required Input
  * A table of sequences from a single individual, with columns containing
    the following:
    -   V(D)J-rearranged nucleotide sequence (in IMGT-gapped format)
    -   Preliminary V allele calls
    -   Preliminary J allele calls
    -   Length of the junction region
  * Germline Ig sequences in IMGT-gapped fasta format (e.g., as those
    downloaded from IMGT/GENE-DB)
 .
 The former can be created through the use of IMGT/HighV-QUEST and
 Change-O.

Package: r-cran-tikzdevice
Description-md5: 58c2294d97e360f35b88b3c50307cccf
Description-en: GNU R graphics output in LaTeX format
 This GNU R package provides a graphics output device for R that records
 plots in a LaTeX-friendly format. The device transforms plotting
 commands issued by R functions into LaTeX code blocks. When included in
 a LaTeX document, these blocks are interpreted with the help of 'TikZ'—a
 graphics package for TeX and friends written by Till Tantau. Using the
 'tikzDevice', the text of R plots can contain LaTeX commands such as
 mathematical formula. The device also allows arbitrary LaTeX code to be
 inserted into the output stream.

Package: r-cran-timedate
Description-md5: 41ded7af44ee14d310e63cb734b0ee50
Description-en: GNU R package for financial engineering -- timeDate
 This package provides functions for chronological and calendarical
 objects and is part of Rmetrics, a collection of packages for financial
 engineering and computational finance written and compiled by
 Diethelm Wuertz and others.
 .
 timeDate provides functions for chronological and calendarical objects.

Package: r-cran-timereg
Description-md5: 198010a9cc8bb10ce35db3e81e09430d
Description-en: GNU R flexible regression models for survival data
 Programs for Martinussen and Scheike (2006), `Dynamic Regression
 Models for Survival Data', Springer Verlag.  Plus more recent developments.
 Additive survival model, semiparametric proportional odds model, fast
 cumulative residuals, excess risk models and more. Flexible competing risks
 regression including GOF-tests. Two-stage frailty modelling. PLS for the
 additive risk model. Lasso in the 'ahaz' package.

Package: r-cran-timeseries
Description-md5: 94aa1f0f2717df1a1817534c23a8d80d
Description-en: GNU R package for financial engineering -- timeSeries
 This package provides functions for financial time series objects and
 is part of Rmetrics, a collection of packages for financial
 engineering and computational finance written and compiled by
 Diethelm Wuertz and others.
 .
 timeDate provides functions for financial time series objects.

Package: r-cran-tinytest
Description-md5: 818a7b2ba30b19783b7a7f056f83c586
Description-en: Lightweight and Feature Complete Unit Testing Framework
 Provides a lightweight (zero-dependency) and easy to use
 unit testing framework. Main features: install tests with
 the package. Test results are treated as data that can be stored and
 manipulated. Test files are R scripts interspersed with test commands, that
 can be programmed over. Fully automated build-install-test sequence for
 packages. Skip tests when not run locally (e.g. on CRAN). Flexible and
 configurable output printing. Compare computed output with output stored
 with the package. Run tests in parallel. Extensible by other packages.
 Report side effects.

Package: r-cran-tinytex
Description-md5: 2a02b3491b4c1848656c1b2144710a96
Description-en: GNU R helper to compile LaTeX documents
 GNU R Helper functions to install and maintain the 'LaTeX' distribution
 named 'TinyTeX' (<https://yihui.name/tinytex/>), a lightweight, cross-platform,
 portable, and easy-to-maintain version of 'TeX Live'. This package also
 contains helper functions to compile 'LaTeX' documents, and install missing
 'LaTeX' packages automatically.

Package: r-cran-tkrplot
Description-md5: 8f62d416802e7d304ec0c4fb1fb60d6c
Description-en: GNU R embedded Tk plotting device package
 This CRAN package provides a Tk graphics device which enables embedding
 of GNU R plot() results inside a Tk widget.

Package: r-cran-tm
Description-md5: b8c6fd1858ee93fa60dbb764938d69a8
Description-en: Text Mining functionality for R
 A framework for text mining applications within R.

Package: r-cran-tmb
Description-md5: 3acfadbd06aa294f5db5f46280bdc0a8
Description-en: GNU R template model builder: general random effect tool
 With this tool, a user should be able to quickly implement complex
 random effect models through simple C++ templates. The package combines
 'CppAD' (C++ automatic differentiation), 'Eigen' (templated matrix-vector
 library) and 'CHOLMOD' (sparse matrix routines available from R) to obtain an
 efficient implementation of the applied Laplace approximation with exact
 derivatives. Key features are: Automatic sparseness detection, parallelism
 through 'BLAS' and parallel user templates.

Package: r-cran-tmvtnorm
Description-md5: 298648b057fd3fbbe0be9f003770eef2
Description-en: GNU R truncated multivariate normal and student t distribution
 Random number generation for the truncated multivariate normal and
 Student t distribution. Computes probabilities, quantiles and densities,
 including one-dimensional and bivariate marginal densities. Computes
 first and second moments (i.e. mean and covariance matrix) for the
 double-truncated multinormal case.

Package: r-cran-treescape
Description-md5: 8ae73917c7bcfd9408c84e5c0f40eef2
Description-en: GNU R Statistical Exploration of Landscapes of Phylogenetic Trees
 This GNU R package provides tools for the exploration of distributions
 of phylogenetic trees. This package includes a shiny interface which can
 be started from R using 'treescapeServer()'.

Package: r-cran-treespace
Description-md5: bcf524f5d6c4233540ef0ee8660a4f8e
Description-en: Statistical Exploration of Landscapes of Phylogenetic Trees
 Tools for the exploration of distributions of phylogenetic trees.
 This package includes a shiny interface which can be started from R using
 'treespaceServer()'.

Package: r-cran-triebeard
Description-md5: 000909ffcefab340f99df20b5586cecc
Description-en: GNU R radix trees in Rcpp
 'Radix trees', or 'tries', are key-value data structures optimised for
 efficient lookups, similar in purpose to hash tables. 'triebeard'
 provides an implementation of 'radix trees' for use in R programming and
 in developing packages with 'Rcpp'.

Package: r-cran-trimcluster
Description-md5: 07d04fa0dcefbfc90fe40c6cf1620a09
Description-en: GNU R cluster analysis with trimming
 This GNU R package provides functions for cluster analysis with trimming
 by trimmed k-means clustering.

Package: r-cran-truncdist
Description-md5: 8019d5d2e41bbc5d6766ccbeda6f0aed
Description-en: GNU R functions for truncated random variables
 A collection of tools to evaluate probability density functions, cumulative
 distribution functions, quantile functions and random numbers for truncated
 random variables. These functions are provided to also compute the expected
 value and variance. Nadarajah and Kotz (2006) developed most of the functions.
 QQ plots can be produced. All the probability functions in the stats, stats4
 and evd packages are automatically available for truncation.

Package: r-cran-truncnorm
Description-md5: 2e1aa2aa51d3444188b159d672c0f468
Description-en: GNU R truncated normal distribution
 This GNU R package provides r/d/p/q functions for the truncated normal
 distribution.

Package: r-cran-tseries
Description-md5: c4618718323302cc41ebcd8cb4c11169
Description-en: GNU R package for time-series analysis and comp. finance
 This CRAN package provides additional time-series analysis functions, as
 well as several computational finance routines.

Package: r-cran-tsne
Description-md5: 4b2124c3a3dc946f4bebf26939a23b33
Description-en: t-distributed stochastic neighbor embedding for R (t-SNE)
 A "pure R" implementation of the t-SNE algorithm.

Package: r-cran-tsp
Description-md5: 7e2a242a2d7da0578f4c78458d82a3a1
Description-en: GNU R traveling salesperson problem (TSP)
 Basic infrastructure and some algorithms for the traveling
 salesperson problem (also traveling salesman problem; TSP).
 The package provides some simple algorithms and
 an interface to the Concorde TSP solver and its implementation of the
 Chained-Lin-Kernighan heuristic. The code for Concorde
 itself is not included in the package and has to be obtained separately.

Package: r-cran-ttr
Description-md5: 913131757467fe83b3fb84cb6608f968
Description-en: GNU R package to construct technical trading rules
 This package contains functions and data to construct technical trading rules
 with R.

Package: r-cran-tufte
Description-md5: 246c3418f10767a62f1e9103db0239a8
Description-en: Tufte's Styles for R Markdown Documents
 Provides R Markdown output formats to use Tufte styles for PDF and HTML
 output. The Tufte handout style is a style that Edward Tufte uses in his books
 and handouts. Tufte’s style is known for its extensive use of sidenotes, tight
 integration of graphics with text, and well-set typography. This style has
 been implemented in LaTeX and HTML/CSS, respectively.

Package: r-cran-tweenr
Description-md5: f5653cd18474c25078a87177701b0320
Description-en: GNU R interpolate data for smooth animations
 In order to create smooth animation between states of data,
 tweening is necessary. This package provides a range of functions for
 creating tweened data that can be used as basis for animation. Furthermore
 it adds a number of vectorized interpolaters for common R data
 types such as numeric, date and colour.

Package: r-cran-ucminf
Description-md5: dd414116a59b2d0ff1c9bf2c8785a6a1
Description-en: GNU R general-purpose unconstrained non-linear optimization
 An algorithm for general-purpose unconstrained non-linear optimization.
 The algorithm is of quasi-Newton type with BFGS updating of the inverse
 Hessian and soft line search with a trust region type monitoring of the
 input to the line search algorithm. The interface of 'ucminf' is
 designed for easy interchange with 'optim'.

Package: r-cran-udunits2
Description-md5: e774792d1a08fd2f059a1b7e36a8fd99
Description-en: Udunits-2 Bindings for R
 Provides simple bindings to Unidata's udunits library.

Package: r-cran-unitizer
Description-md5: ebb32ca3dbc0d8b904900a78c31e650f
Description-en: interactive unit tests for GNU R
 Simplifies regression tests by comparing objects produced by test
 code with earlier versions of those same objects.  If objects are unchanged
 the tests pass, otherwise execution stops with error details.  If in
 interactive mode, tests can be reviewed through the provided interactive
 environment.

Package: r-cran-units
Description-md5: b0cef51a2ca61f8ff411515f50bb3b0f
Description-en: Measurement Units for R Vectors
 Support for measurement units in R vectors, matrices
 and arrays: automatic propagation, conversion, derivation
 and simplification of units; raising errors in case of unit
 incompatibility. Compatible with the POSIXct, Date and difftime
 classes. Uses the UNIDATA udunits library and unit database for
 unit compatibility checking and conversion.

Package: r-cran-urca
Description-md5: a7e79a35e5f32985e9ad05916738e989
Description-en: GNU R package providing unit root and cointegration tests
 This package provides functions for unit root and cointegration
 analyses common in applied time series / econometrics.

Package: r-cran-urltools
Description-md5: 4c6b59aba605af45c9867c630815fde1
Description-en: GNU R vectorised tools for URL handling and parsing
 A toolkit for all URL-handling needs, including encoding and decoding,
 parsing, parameter extraction and modification. All functions are
 designed to be both fast and entirely vectorised. It is intended to be
 useful for people dealing with web-related datasets, such as server-side
 logs, although may be useful for other situations involving large sets of
 URLs.

Package: r-cran-uroot
Description-md5: a15d8d1d4f7e923ffd6710236aec6bc2
Description-en: GNU R unit root tests for seasonal time series
 Seasonal unit roots and seasonal stability tests. P-values based on
 response surface regressions are available for both tests. P-values
 based on bootstrap are available for seasonal unit root tests. A
 parallel implementation of the bootstrap method requires a CUDA capable
 GPU with compute capability >= 3.0, otherwise a debugging version fully
 coded in R is used.

Package: r-cran-usethis
Description-md5: 83ed1e1283017c5858d9629365030ea7
Description-en: Automate Package and Project Setup
 Automate package and project setup tasks that are otherwise
 performed manually. This includes setting up unit testing, test
 coverage, continuous integration, Git, 'GitHub', licenses, 'Rcpp', 'RStudio'
 projects, and more.

Package: r-cran-utf8
Description-md5: f84f98f3c0345d60f6375e9d9c712521
Description-en: GNU R unicode text processing
 This GNU R package helps processing and printing 'UTF-8' encoded
 international text (Unicode). Input,  validate, normalize, encode,
 format, and display.

Package: r-cran-uuid
Description-md5: 686291bf60275f3b6dbce5f270086e94
Description-en: Tools for generating and handling UUIDs
 GNU R library providing tools for generating and handling UUIDs
 (Universally Unique Identifiers). Time-based and fully random
 UUIDs are supported.

Package: r-cran-uwot
Description-md5: 5a40f340223787241a2c962b5a08ba1a
Description-en: GNU R uniform manifold approximation and projection (UMAP)
 An implementation of the Uniform Manifold Approximation and
 Projection dimensionality reduction by McInnes et al. (2018)
 <arXiv:1802.03426>. It also provides means to transform new data and to
 carry out supervised dimensionality reduction. An implementation of the
 related LargeVis method of Tang et al. (2016) <arXiv:1602.00370> is also
 provided.
 .
 This is a complete re-implementation in R (and C++, via the 'Rcpp'
 package): no Python installation is required. See the uwot website
 (<https://github.com/jlmelville/uwot>) for more documentation and examples.

Package: r-cran-v8
Description-md5: 665d057e807c7307de24e87ead6d86a0
Description-en: Embedded JavaScript Engine for R
 An R interface to Google's open source JavaScript engine. This
 package can now be compiled either with V8 version 6 or 7 (LTS) from
 nodejs or with the legacy 3.14/3.15 branch of V8.

Package: r-cran-vcd
Description-md5: d5baa40fcaf1cc05e1d641b49bcf4241
Description-en: GNU R Visualizing Categorical Data
 Visualization techniques, data sets, summary and inference procedures
 aimed particularly at categorical data. Special emphasis is given to
 highly extensible grid graphics. The package was inspired by the book
 "Visualizing Categorical Data" by Michael Friendly.

Package: r-cran-vcdextra
Description-md5: eb3a4d932d718550ad489f57e94fbe9e
Description-en: GNU R package providing extensions and additions to the vcd package
 The vcdExtra package is a GNU R package providing additional data sets,
 methods and documentation to complement the 'vcd' package for
 Visualizing Categorical Data and the 'gnm' package for Generalized
 Nonlinear Models. In particular, 'vcdExtra' extends mosaic, assoc and
 sieve plots from 'vcd' to handle 'glm()' and 'gnm()' models and adds a
 3D version in 'mosaic3d'. Additionally, methods are provided for
 comparing and visualizing lists of 'glm' and 'loglm' objects. This
 package is now a support package for the book, "Discrete Data Analysis
 with R" by Michael Friendly and David Meyer.

Package: r-cran-vcr
Description-md5: 3323bbbe344b7ea9bcd2cd003a915553
Description-en: GNU R record HTTP calls to disk
 Record test suite 'HTTP' requests and replays them during future runs. A
 port of the Ruby gem of the same name. Works by hooking into the
 'webmockr' R package for matching 'HTTP' requests by various rules
 ('HTTP' method, 'URL', query parameters, headers, body, etc.), and then
 caching real 'HTTP' responses on disk in 'cassettes'. Subsequent 'HTTP'
 requests matching any previous requests in the same 'cassette' use a
 cached 'HTTP' response.

Package: r-cran-vctrs
Description-md5: 9d404b531eb86ab7d907ceace9196b9f
Description-en: GNU R vector helpers
 Defines new notions of prototype and size that are
 used to provide tools for consistent and well-founded type-coercion
 and size-recycling, and are in turn connected to ideas of type- and
 size-stability useful for analyzing function interfaces.

Package: r-cran-vdiffr
Description-md5: fa659c002d73313650de4e1056863d87
Description-en: GNU R visual regression testing and graphical diffing
 An extension to the 'testthat' package that makes it easy
 to add graphical unit tests. It provides a Shiny application to
 manage the test cases.

Package: r-cran-vegan
Description-md5: 64aa244f1143da53b09d8adb5e4c2c65
Description-en: Community Ecology Package for R
 R package for community ecologists. It contains most multivariate analysis
 needed in analysing ecological communities, and tools for diversity analysis.
 Most diversity methods assume that data are counts of individuals.
 .
 These tools are sometimes used outside the field of ecology, for instance to
 study populations of white blood cells or RNA molecules.

Package: r-cran-venndiagram
Description-md5: a8ed7b752b845a1fce88d77c7a49e460
Description-en: Generate High-Resolution Venn and Euler Plots
 A set of functions to generate high-resolution Venn and Euler plots.
 Includes handling for several special cases, including two-case scaling,
 and extensive customization of plot shape and structure.

Package: r-cran-vgam
Description-md5: c6182155b24a09624a08326d1fbc08c9
Description-en: GNU R package for estimating vector generalized additive models
 This package implements a variety of functions for estimating vector
 generalized additive models (VGAMs), as well as vector generalized
 linear models (VGLMs), reduced-rank VGLMs, and quadratic reduced-rank
 VGLMs.
 .
 Specific models that can be fit include a wide variety of
 categorical-response models (with nominal and ordinal dependent
 variables), bivariate binary-response models (bivariate
 logit/probit), and seemingly-unrelated regressions.  The package also
 includes a number of standard and non-standard distribution functions
 of use to statisticians.

Package: r-cran-vioplot
Description-md5: 6341769d22e9e2c2c3f92bcd4958d766
Description-en: GNU R toolbox for violin plots
 Violin plots are a method of plotting numeric data. A violin plot is a
 combination of a box plot and a kernel density plot. Specifically, it
 starts with a box plot. It then adds a rotated kernel density plot to
 each side of the box plot.

Package: r-cran-vipor
Description-md5: 519b64242c360960c47944a0824769d6
Description-en: GNU R plot categorical data using quasirandom noise and density estimates
 Generate a violin point plot, a combination of a violin/histogram
 plot and a scatter plot by offsetting points within a category based on their
 density using quasirandom noise.

Package: r-cran-viridis
Description-md5: 735a9f43746a3f80c48b77e646ab3889
Description-en: GNU R package for color maps from matplotlib
 The viridis package provides a port of the new matplotlib color maps
 (viridis (default), magma, plasma and inferno) to R. matplotlib
 <http://matplotlib.org/ is a popular plotting library for
 Python. These color maps are designed in such a way that they will
 analytically be perfectly perceptually-uniform, both in regular form
 and also when converted to black-and-white. They are also designed to
 be perceived by readers with the most common form of color blindness.

Package: r-cran-viridislite
Description-md5: 3ba0e05e71432f3314c816c8ebd023ce
Description-en: GNU R package for color maps from matplotlib (Lite Version)
 The viridis package provides a port of the new matplotlib color maps
 (viridis (default), magma, plasma and inferno) to R. matplotlib
 <http://matplotlib.org/ is a popular plotting library for
 Python. These color maps are designed in such a way that they will
 analytically be perfectly perceptually-uniform, both in regular form
 and also when converted to black-and-white. They are also designed to
 be perceived by readers with the most common form of color blindness.

Package: r-cran-waveslim
Description-md5: 48923b21c946b9e7dc3cb0440698dc41
Description-en: GNU R wavelet routines for 1-, 2- and 3-D signal processing
 Basic wavelet routines for time series (1D), image (2D)
 and array (3D) analysis.  The code provided here is based on
 wavelet methodology developed in Percival and Walden (2000);
 Gencay, Selcuk and Whitcher (2001); the dual-tree complex wavelet
 transform (DTCWT) from Kingsbury (1999, 2001) as implemented by
 Selesnick; and Hilbert wavelet pairs (Selesnick 2001, 2002).  All
 figures in chapters 4-7 of GSW (2001) are reproducible using this
 package and R code available at the book website(s) below.

Package: r-cran-wavethresh
Description-md5: f126a55337483918b75729acc7e00a65
Description-en: GNU R wavelets statistics and transforms
 Performs 1, 2 and 3D real and complex-valued wavelet transforms,
 nondecimated transforms, wavelet packet transforms, nondecimated
 wavelet packet transforms, multiple wavelet transforms,
 complex-valued wavelet transforms, wavelet shrinkage for
 various kinds of data, locally stationary wavelet time series,
 nonstationary multiscale transfer function modeling, density
 estimation.

Package: r-cran-wdi
Description-md5: c795b443280392907ac52bce74d451f7
Description-en: GNU R package for accessing the World Development Indicators
 This package gives access to the World Development Indicators (WDI), a database
 of various development indicators, compiled by the World Bank from
 officially-recognized international sources.
 .
 Note that the package does not contain the data. Instead, it provides a set of
 functions to download the data from the World Bank's website.

Package: r-cran-webgestaltr
Description-md5: 721be8cc518c444d7770c7cfd75924fb
Description-en: find over-represented properties in gene lists
 The web version WebGestalt <http://www.webgestalt.org> supports 12
 organisms, 354 gene identifiers and 321,251 function categories. Users
 can upload the data and functional categories with their own gene
 identifiers. In addition to the Over-Representation Analysis, WebGestalt
 also supports Gene Set Enrichment Analysis and Network Topology
 Analysis. The user-friendly output report allows interactive and
 efficient exploration of enrichment results. The WebGestaltR package not
 only supports all above functions but also can be integrated into other
 pipeline or simultaneously analyze multiple gene lists.

Package: r-cran-webmockr
Description-md5: 027cf5e5dae5b390ecefe7c34886446f
Description-en: GNU R stubbing and setting expectations on 'HTTP' requests
 Stubbing and setting expectations on 'HTTP' requests.
 Includes tools for stubbing 'HTTP' requests, including expected
 request conditions and response conditions. Match on
 'HTTP' method, query parameters, request body, headers and
 more.

Package: r-cran-webshot
Description-md5: 12f4966c464f5f09e3c0d55ce9febee7
Description-en: GNU R take screenshots of web pages
 This GNU R package provides functions to takes screenshots of web pages,
 including Shiny applications and R Markdown documents.

Package: r-cran-webutils
Description-md5: 320237f354e30db14ef211cb4b2ffed0
Description-en: GNU R utility functions for developing web applications
 High performance in-memory http request parser for application/json,
 multipart/form-data, and application/x-www-form-urlencoded. Includes live demo
 of hosting and parsing multipart forms with either 'httpuv' or 'Rhttpd'.

Package: r-cran-wgcna
Description-md5: fa2a47b4269b60803464374fdef281a3
Description-en: Weighted Correlation Network Analysis
 Functions necessary to perform Weighted Correlation Network Analysis
 on high-dimensional data as originally described in Horvath and Zhang
 (2005) <doi:10.2202/1544-6115.1128> and Langfelder and Horvath (2008)
 <doi:10.1186/1471-2105-9-559>. Includes functions for rudimentary data
 cleaning, construction of correlation networks, module identification,
 summarization, and relating of variables and modules to sample
 traits. Also includes a number of utility functions for data manipulation
 and visualization.

Package: r-cran-whatif
Description-md5: b85ffa3a7c29f2b60fd2dc80b9ea1c55
Description-en: GNU R evaluate counterfactuals
 Inferences about counterfactuals are essential for prediction,
 answering what if questions, and estimating causal effects.
 However, when the counterfactuals posed are too far from the data at
 hand, conclusions drawn from well-specified statistical analyses
 become based largely on speculation hidden in convenient modeling
 assumptions that few would be willing to defend. Unfortunately,
 standard statistical approaches assume the veracity of the model
 rather than revealing the degree of model-dependence, which makes this
 problem hard to detect. WhatIf offers easy-to-apply methods to
 evaluate counterfactuals that do not require sensitivity testing over
 specified classes of models. If an analysis fails the tests offered
 here, then it is known that substantive inferences will be sensitive to
 at least some modeling choices that are not based on empirical evidence,
 no matter what method of inference one chooses to use. WhatIf
 implements the methods for evaluating counterfactuals discussed in
 Gary King and Langche Zeng, 2006, "The Dangers of Extreme
 Counterfactuals," Political Analysis 14 (2) <DOI:10.1093/pan/mpj004>;
 and Gary King and Langche Zeng, 2007, "When Can History Be Our Guide? The
 Pitfalls of Counterfactual Inference," International Studies
 Quarterly 51 (March) <DOI:10.1111/j.1468-2478.2007.00445.x>.

Package: r-cran-whisker
Description-md5: 2c44d401f96603ca6004e85d7277c56e
Description-en: GNU R mustache, logicless templating
 This GNU R package enables logicless templating, reuse templates in many
 programming languages including R.

Package: r-cran-wikidatar
Description-md5: a8527f4dda303b33997ce4bb1b2dced6
Description-en: GNU R API Client Library for 'Wikidata'
 This package provides an API client for GNU R to access the Wikidata
 http://wikidata.org/ store of semantic data.

Package: r-cran-wikipedir
Description-md5: 647d8f29fe163615c91fa9bb4acef43c
Description-en: GNU R MediaWiki API Wrapper
 A wrapper for the MediaWiki API, aimed particularly at the
 Wikimedia 'production' wikis, such as Wikipedia. It can be used to retrieve
 page text, information about users or the history of pages, and elements of
 the category tree.

Package: r-cran-wikitaxa
Description-md5: 36f792095c03e88ef11ebc8d2019a677
Description-en: taxonomic information from 'Wikipedia' for GNU R
 This package provides 'Taxonomic' information from 'Wikipedia',
 'Wikicommons', 'Wikispecies', and 'Wikidata' for GNU R. There are
 functions included for getting taxonomic information from each of the
 sources just listed, as well performing taxonomic search.

Package: r-cran-withr
Description-md5: 44d39964091636f0b9574c9bb1add683
Description-en: GNU R package to run code 'With' temporarily modified global state
 A set of functions to run code 'with' safely and temporarily
 modified global state. Many of these functions were originally a part of the
 'devtools' package, this provides a simple package with limited dependencies
 to provide access to these functions.

Package: r-cran-wordcloud
Description-md5: 90c325b068ec3bf5b7dbd7182933259f
Description-en: word clouds with GNU R
 Word clouds (also known as text clouds or tag clouds) work in a simple
 way: the more a specific word appears in a source of textual data (such
 as a speech, blog post, or database), the bigger and bolder it appears
 in the word cloud.
 .
 This package enables creation with GNU R.

Package: r-cran-worrms
Description-md5: 59b1760a45725e218c08b9f13af4cf2f
Description-en: GNU R client of World Register of Marine Species (WoRMS)
 Client for World Register of Marine Species
 (<http://www.marinespecies.org/>). Includes functions for each
 of the API methods, including searching for names by name, date and
 common names, searching using external identifiers, fetching
 synonyms, as well as fetching taxonomic children and
 taxonomic classification.

Package: r-cran-xfun
Description-md5: 5511e1a61cc90701ee8149587919cf62
Description-en: miscellaneous GNU R functions by 'Yihui Xie'
 This package provides miscellaneous functions for GNU R commonly used in
 other packages maintained by 'Yihui Xie'.  For instance it is needed in
 r-cran-tinytex.

Package: r-cran-xml
Description-md5: a70c8433eb89c642697d5be98e4b1761
Description-en: GNU R package for XML parsing and generation
 This package provides facilities for the R language to
 .
  * parse XML files, URLs and strings, using either the DOM (Document
    Object Model)/tree-based approach, or the event-driven SAX (Simple
    API for XML) mechanism;
  * generate XML content to buffers, files, URLs, and internal XML trees;
  * read DTDs as R objects.

Package: r-cran-xml2
Description-md5: 9f4e439dde66f931d30f875a5b525299
Description-en: GNU R XML parser
 This GNU R package works with XML files using a simple, consistent
 interface. Built on top of the 'libxml2' C library.
 .
 Modifying existing XML can be done in xml2 by using the replacement functions
 of the accessors. They all have methods for both individual `xml_node` objects
 as well as `xml_nodeset` objects. If a vector of values is provided it is
 applied piecewise over the nodeset, otherwise the value is recycled.

Package: r-cran-xopen
Description-md5: b4ed5318dbfb3637c99986c966bd1280
Description-en: Open System Files, 'URLs', Anything
 Cross platform solution to open files, directories or 'URLs'
 with their associated programs.

Package: r-cran-xslt
Description-md5: 5573bfaf952df9e3578e282e7d75f735
Description-en: extensible style-sheet language transformations for GNU R
 This GNU R package is an extension for the 'xml2' package to transform
 XML documents by applying an 'xslt' style-sheet.

Package: r-cran-xtable
Description-md5: 5d4c9231d18fa82d2d8ab1f5e71d9da0
Description-en: GNU R coerce data to LaTeX and HTML tables
 This R package provides functions returning, displaying or writing to
 disk the LaTeX or HTML code associated with the supplied object of
 class xtable.  The package also provides functions converting an R object
 to an xtable object, which can then be printed as a LaTeX or HTML table.

Package: r-cran-xts
Description-md5: 4391f3ce1cfceaff89e5c5c20e46f6db
Description-en: GNU R package for time series analysis -- xts
 This package provide uniform handling of R's different time-based data
 classes by extending r-cran-zoo, maximizing native format information
 preservation and allowing for user level customization and extension, while
 simplifying cross-class interoperability.

Package: r-cran-yaml
Description-md5: 9559f86b602d1de8e703821cc060e77d
Description-en: Methods to convert R data to YAML and back
 This package implements the LibYAML YAML 1.1 parser and emitter for R.  YAML is
 a human-readable markup language. With it, you can create easily readable
 documents that can be consumed by a variety of programming languages, including
 R.  The r-cran-yaml package has two main functions: yaml.load and as.yaml.  The
 yaml.load function is the YAML parsing function: it accepts a YAML document as
 a string and returns R data structures.  The as.yaml function is an S3 method
 used to convert R objects into YAML strings.
 .
 This package is useful for R programmers dealing with YAML documents.

Package: r-cran-zeallot
Description-md5: a7cd4f0d88b9b13a965dbe7c73147807
Description-en: GNU R multiple, unpacking, and destructuring assignment
 Provides a %<-% operator to perform multiple,
 unpacking, and destructuring assignment in R. The
 operator unpacks the right-hand side of an assignment
 into multiple values and assigns these values to
 variables on the left-hand side of the assignment.

Package: r-cran-zelig
Description-md5: 934c9acf9b6f095f6f9884e7e8cc49e4
Description-en: GNU R package providing a unified front-end for estimating statistical models
 With thousands of contributors who have written hundreds of packaged
 routines, R can deal with nearly any statistical problem.  Although
 this high level of participation may be its greatest strength, the
 enormous diversity in approaches to statistical inference covered by
 R often results in a virtual babel of competing functions and
 inconsistent syntax.
 .
 To address these problems from a common perspective, the upstream
 authors have created Zelig, a single, easy-to-use program, with a
 unified framework and syntax, that can estimate, help interpret, and
 present the results of a large range of statistical methods. It
 literally is "everyone's statistical software" because Zelig uses R
 code from many researchers.  They also hope it will become
 "everyone's statistical software" for applications, and they have
 designed it so that anyone can use it or add their methods to it.
 Zelig comes with detailed, self-contained documentation that
 minimizes startup costs for Zelig and R, automates graphics and
 summaries for all models, and, with only three simple commands
 required, generally makes the power of R accessible for all users.
 Zelig also works well for teaching, and is designed so that scholars
 can use the same program they use for their research.

Package: r-cran-zeligchoice
Description-md5: 9ba5a80cd0b6c404ecfbd267a4e51295
Description-en: GNU R zelig choice models
 This package provides an add-on for r-cran-zelig. Enables the use of a
 variety of logit and probit regressions.

Package: r-cran-zeligei
Description-md5: b0c4f621ee352063bab6622df12993bf
Description-en: GNU R zelig ecological inference models
 This package provides an add-on for r-cran-zelig 5. It enables the use of a
 variety of  ecological inference models.

Package: r-cran-zeligverse
Description-md5: 368e14b0734d3edf29c04d09852af5dd
Description-en: GNU R easily install and load stable zelig packages
 This GNU R package provides an easy way to load stable Core Zelig and
 ancillary Zelig packages.  It is needed to run the full test suite of
 the package r-cran-zelig.

Package: r-cran-zip
Description-md5: 22e73a54842b240c5ac38375debd1819
Description-en: GNU R package for cross-platform zip compression
 The zip package provides a cross-Platform 'zip' compression library.
 A replacement for the 'zip' function, that does not require any
 additional external tools on any platform.

Package: r-cran-zoo
Description-md5: fcd81229df7dbee7df8cbbd25ff74872
Description-en: GNU R package for totally ordered indexed observations
 This package contains an S4 class with methods for totally ordered indexed
 observations such as irregular time series.

Package: r-doc-html
Description-md5: 915d39f623bffdcd57d9a67a9e4f756a
Description-en: GNU R html manuals for statistical computing system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This package provides the R manuals in html format. The sibling packages
 r-doc-pdf and r-doc-info provides the same manuals.

Package: r-doc-info
Description-md5: 473a7b2c67dab81cd13f242acf3f1254
Description-en: GNU R info manuals statistical computing system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This package provides the R manuals in info format. The sibling packages
 r-doc-html and r-doc-pdf provide the same manuals.

Package: r-doc-pdf
Description-md5: 5726be4a4c2028d1d2bd9ee6122a0612
Description-en: GNU R pdf manuals for statistical computing system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This package provides the R manuals in pdf format. The sibling packages
 r-doc-html and r-doc-info provides the same manuals.

Package: r-mathlib
Description-md5: fda173ca22c9952d304064d107a71c22
Description-en: GNU R standalone mathematics library
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This package provides the libRmath shared and static libraries which can
 be called from standalone C or C++ code.

Package: r-omegahat-xmlrpc
Description-md5: c9c5deaee7eab57eaa3dc4ea0bb74675
Description-en: GNU R package for Remote Procedure Calls via XML
 The XMLRPC package implements remote procedure calls via XML.

Package: r-other-amsmercury
Description-md5: e60c610a29e4d6f15208644721f089d4
Description-en: efficient calculation of accurate masses and abundances of isotopic peaks
 This GNU R package provides efficient calculation of accurate masses and
 abundances of isotopic peaks.  It is a precondition for R NITPICK which
 does peak identification for mass spectrometry data.

Package: r-other-chbutils
Description-md5: 888e9eec1e46badab5d49cf0e667f7ba
Description-en: GNU R utilities from Harvard School of Public Health Bioinformatics
 This GNU R package contains the following functions:
  chb_utils-package     chb_utils
  annotate_df           Annotate Dataframe containing Ensembl IDs with Gene
                        Symbols and Descriptions
  boxplotoutliers       Cel file IDs of intensity boxplot outlier arrays (in
                        characters).
  chb_utils             chb_utils
  col2rownames          add a column to a dataframe consisting of the
                        current rownames
  convertIDs            Get other Ids for genes in the same order
  create_report         Create report of QC
  getTFpairs            Get mouse TF-target pairs from opossum database
  get_biomart           Get biomart annotation from id
  ggheatmap             generate a heatmap + dendrograms, ggplot2 style
  ggheatmap.show        Display a ggheatmap
  ggplotDispEsts        Plot Dispersion Estimates - nicer ggplot version of
                        DESeq graph
  mds                   Plot MDS
  mdsplot.RGset         MDS (MultiDimensional Scaling) Plots
  nuseoutliers          Cel file IDs of intensity NUSE outlier arrays (in
                        characters).
  PCAplot.cds           Pairwise Principal Component Analysis Plots of
                        CountDataSet objects
  PCAplot.eset          Pairwise Principal Component Analysis Plots
                        Plots the differntially expressed genes on an MA
  plotDE                plot, ggplot style If adjusted pvalues are present,
                        colors those that pass cutoff red
  plot_dendro           ggplot based dendrogram plot
  print_enrichGO        Clean and print results from enrichGO
                        Plots the pvalue quantiles against the uniform
  qqplot_JH             distribution. If adjusted pvalues are present,
                        colors those that pass cutoff red
  rleoutliers           Cel file IDs of intensity RLE outlier arrays (in
                        characters).
  row2colnames          add a column to a dataframe consisting of the
                        current rownames
  runGO                 Run GO using clusterprofiler
  variance_by_component Plot PC importance
  volcano_density_plot  Create volcano plot from log2FC and adjusted pvalues
                        data frame
  write_report          Write report of QC

Package: r-other-curvefdp
Description-md5: 7e0aa71f516594d3bb37d960e0a44511
Description-en: estimation of confidence levels for peptide identifications
 This is a GNU R package for the Analytical Chemistry publication
 entitled 'Estimating the Confidence of Peptide Identifications without
 Decoy Databases'.  The function curveFDR(scores) fits a gaussian mixture
 model to a score distribution of peptide identifications and thereby
 allows the estimation of confidence levels based on the false discvory
 proportion.

Package: r-other-iwrlars
Description-md5: 012d92d9ea39eccacff47cc4cf66d9a5
Description-en: least angle regression, lasso, positive lasso and forward stagewise
 This GNU R package provides efficient procedures for fitting an entire
 lasso sequence with the cost of a single least squares fit. Least angle
 regression and infinitessimal forward stagewise regression are related
 to the lasso described in http://www-stat.stanford.edu/~hastie/Papers/#LARS.
 .
 This is a modified version of the original lars package by Hastie and Efron,
 providing a LARS modification for non-negative lasso.

Package: r-other-mott-happy.hbrem
Description-md5: 091f1a877d0762eebe5690b9952c5fc9
Description-en: GNU R package for fine-mapping complex diseases
 Happy is an R interface into the HAPPY C package for fine-mapping
 Quantitative Trait Loci (QTL) in Heterogenous Stocks (HS). An HS is
 an advanced intercross between (usually eight) founder inbred strains
 of mice. HS are suitable for fine-mapping QTL.  It uses a multipoint
 analysis which offers significant improvements in statistical power to
 detect QTLs over that achieved by single-marker association.
 .
 The happy package is
 an extension of the original C program happy; it uses the C code to
 compute the probability of descent from each of the founders, at each
 locus position, but the happy packager allows a much richer range of
 models to be fit to the data.
 .
 Read /usr/share/doc/r-other-mott-happy/README.Debian for a more
 detailed explanation.

Package: r-other-nitpick
Description-md5: 67b97e34df01e7730a668cfe61af8d17
Description-en: peak identification for mass spectrometry data
 This R package allows reliable extraction of features from mass spectra
 and helps in the automated analysis of proteomic mass spectrometry (MS)
 experiments.
 .
 This is the NITPICK implementation for peak picking in MS spectra.

Package: r-other-wasabi
Description-md5: fae6e980fc6737f3b616dc4b1a0df7ea
Description-en: prepare Sailfish and Salmon output for downstream analysis using GNU R
 This GNU R package converts the output of the Sailfish and Salmon RNA-seq
 quantification tools so that it can be used with the Sleuth differential
 analysis package.

Package: r-other-x4r
Description-md5: 404ada28734b8c362d16cb18a30b3090
Description-en: XMLA/MDX cube tool for R
 Enable R to source data from an OLAP cube via XMLA by specifying an
 MDX query.

Package: r-recommended
Description-md5: fa7931c821d7f86d0b3420906f4ab9a1
Description-en: GNU R collection of recommended packages [metapackage]
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, several thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-<name>'.
 .
 This Debian package is now a metapackage that depends on a set of
 packages that are recommended by the upstream R core team as part of a
 complete R distribution, and distributed along with the source of R
 itself, as well as directly via the CRAN network of mirrors. This set
 comprises the following packages (listed in their upstream names):
  - KernSmooth: Functions for kernel smoothing for Wand & Jones (1995)
  - Matrix: Classes and methods for dense and sparse matrices and
    operations on them using Lapack and SuiteSparse
  - MASS, class, nnet and spatial: packages from Venables and Ripley,
    `Modern Applied Statistics with S' (4th edition).
  - boot: Bootstrap R (S-Plus) Functions from the book "Bootstrap Methods
    and Their Applications" by A.C. Davison and D.V. Hinkley (1997).
  - cluster: Functions for clustering (by Rousseeuw et al.)
  - codetools: Code analysis tools for R
  - foreign: Read data stored by Minitab, S, SAS, SPSS, Stata, ...
  - lattice: Implementation of Trellis (R) graphics
  - mgcv: Multiple smoothing parameter estimation and GAMs by GCV
  - nlme: Linear and nonlinear mixed effects models
  - rpart: Recursive partitioning and regression trees
  - survival: Survival analysis, including penalised likelihood.

Package: r10k
Description-md5: 1d3bc45bbe5969fef129c00ad7ed667c
Description-en: Puppet environment and module deployment
 R10K provides a general purpose toolset for deploying Puppet
 environments and modules. It implements the Puppetfile format
 and provides a native implementation of Puppet dynamic environments.

Package: r6rs-nanopass-dev
Description-md5: 01e541edd81e6702f28448c1f10c1b1e
Description-en: embedded DSL for writing compilers in Scheme
 The nanopass framework is an embedded DSL for writing compilers in
 Scheme as a series of small, single-purpose passes. It is used in the
 Chez Scheme compiler.

Package: r8168-dkms
Description-md5: eaa28982dd31d75e907229d8fbe767db
Description-en: dkms source for the r8168 network driver
 r8168 is the Linux device driver released by RealTek for their network
 controllers with PCI-Express interface:
  * 10/100/1000M Gigabit Ethernet: RTL8111B, RTL8111C, RTL8111D, RTL8111E,
    RTL8111F, RTL8111G(S), RTL8111H(S), RTL8118(A)(S), RTL8119i, RTL8111L,
    RTL8168B, RTL8168E, RTL8168H, RTL8111DP, RTL8111EP, RTL8111FP, RTL8411,
    RTL8411B
 .
 This driver should only be used for devices not yet supported by the
 in-kernel driver r8169. Please see the README.Debian for instructions how
 to report bugs against r8169 that made it necessary to use r8168-dkms.
 .
 Installation of the r8168-dkms package will disable the in-kernel r8169
 module. To re-enable r8169, the r8168-dkms package must be purged.
 .
 This package provides the dkms source code for the r8168 kernel modules.
 Kernel source or headers are required to compile these modules.

Package: rabbit
Description-md5: 5f14277b5a8371e00bbb32d5b989ac28
Description-en: presentation tool using RD, a simple text format
 Rabbit is an application to do presentations with RD documents.  RD is a
 simple, easy-to-read, and easy-to-write text format like Wiki.  Rabbit has
 many noteworthy features, which distinguish this application from other
 presentation tools.
 .
  * Slide looks are defined with Ruby and customizable.
  * Various keyboard interfaces.
  * Mouse gesture support.
  * Slides can contain many image formats: EPS, SVG, GIMP, and Dia.
  * Rabbit can be a server and you can access it with the dRuby interface.
  * and more...

Package: rabbit-mode
Description-md5: 3e512ec4750200e3c8fb08635fb78af3
Description-en: Emacs-lisp rabbit-mode for writing RD document using Rabbit
 Rabbit is an application to do presentations with RD documents. RD is a
 simple, easy-to-read, and easy-to-write text format like Wiki. Rabbit has
 many noteworthy features, which distinguish this application from other
 presentation tools.
 .
 This package provides Emacs major-mode for editing RD document using Rabbit

Package: rabbiter
Description-md5: b0f76691c52ca989764c3171104f4f0a
Description-en: Twitter client for Rabbit
 Rabbiter is a tool that collects tweets related to the talk and sends
 them to Rabbit as comments.
 .
 Rabbiter receives comments from twitter and sends them to Rabbit.
 Rabbit shows them in your slides. It is very useful when you talk on
 public events/conferences.

Package: rabbitsign
Description-md5: b8938710d96ca75bbc7f5a7c52ec5cbb
Description-en: application signing system for the TI-73/83+/84+ calculators
 RabbitSign is a free implementation of TI's application signing
 system for the TI-73/83+/84+ calculators.
 .
 It handles binary, sorted and unsorted hex, and GraphLink files,
 automatically detects keys, checks the validity of important header
 fields, can validate and re-sign previously signed apps, accepts all
 valid keys, is highly portable, has no stupid limitations on file
 names, application lengths, or header fields and is faster by an order
 of magnitude than TI's software.

Package: rabbitvcs-cli
Description-md5: fbdc07dbc43e7e5f473a0235a97f8600
Description-en: Command line interface for RabbitVCS
 RabbitVCS is a set of graphical tools written to provide simple and
 straightforward access to the version control systems SVN (Subversion)
 and Git. This is the command-line interface.

Package: rabbitvcs-core
Description-md5: d3c7a4e0d7c1131f5a95e40ac6746a94
Description-en: Easy version control
 RabbitVCS is a set of graphical tools written to provide simple and
 straightforward access to the version control systems SVN (Subversion)
 and Git. There are extensions for Nautilus and gedit, and a simple
 command-line interface.

Package: rabbitvcs-gedit
Description-md5: 82c13f5f8547fb03f1250e9c188ab6e1
Description-en: Gedit extension for RabbitVCS
 RabbitVCS is a set of graphical tools written to provide simple and
 straightforward access to the version control systems SVN (Subversion)
 and Git. This is the extension for gedit text editor.

Package: rabbitvcs-nautilus
Description-md5: 53542ac9518bfbc2081f313bdc22c94f
Description-en: Nautilus extension for RabbitVCS
 RabbitVCS is a set of graphical tools written to provide simple and
 straightforward access to the version control systems SVN (Subversion)
 and Git. This is the extension for the Nautilus file manager.

Package: racc
Description-md5: 30ec63133daa01a46cf6c5dc37be8783
Description-en: Ruby LALR parser generator
 Racc is LALR(Look-Ahead LR) parser generator for Ruby, written in Ruby. It
 allows programmers to easily write syntactic parsers from simple rules, like
 yacc and bison.

Package: racket
Description-md5: eb37b392a59f619c0e5aba669c36e8e2
Description-en: extensible programming language in the Scheme family
 Racket (previously PLT Scheme) is a programming language suitable for
 scripting and application development, including GUIs and web
 services.
 .
 It supports the creation of new programming languages through a rich,
 expressive syntax system. Supplied languages include Typed Racket,
 ACL2, FrTime, and Lazy Racket, and R6RS Scheme.
 .
 Racket includes the DrRacket programming environment, a virtual
 machine with a just-in-time compiler, tools for creating stand-alone
 executables, the Racket web server, extensive libraries, and
 documentation for both beginners and experts.

Package: racket-common
Description-md5: eddfae380d66d0d6282d095190b257be
Description-en: extensible programming language in the Scheme family (shared files)
 This package includes the architecture independent files for Racket
 (but not the documentation, see racket-doc for that).

Package: racket-doc
Description-md5: 4701f37256db778b16798cb33a31683c
Description-en: extensible programming language in the Scheme family (documentation)
 This package includes all of the documentation for Racket.

Package: racon
Description-md5: 0245f53dd68b53cce76f4a5dd8d8a554
Description-en: consensus module for raw de novo DNA assembly of long uncorrected reads
 Racon is intended as a standalone consensus module to correct raw
 contigs generated by rapid assembly methods which do not include a
 consensus step. The goal of Racon is to generate genomic consensus which
 is of similar or better quality compared to the output generated by
 assembly methods which employ both error correction and consensus steps,
 while providing a speedup of several times compared to those methods. It
 supports data produced by both Pacific Biosciences and Oxford Nanopore
 Technologies.
 .
 Racon can be used as a polishing tool after the assembly with either
 Illumina data or data produced by third generation of sequencing. The
 type of data inputed is automatically detected.
 .
 Racon takes as input only three files: contigs in FASTA/FASTQ format,
 reads in FASTA/FASTQ format and overlaps/alignments between the reads
 and the contigs in MHAP/PAF/SAM format. Output is a set of polished
 contigs in FASTA format printed to stdout. All input files can be
 compressed with gzip.
 .
 Racon can also be used as a read error-correction tool. In this
 scenario, the MHAP/PAF/SAM file needs to contain pairwise overlaps
 between reads including dual overlaps.
 .
 A wrapper script is also available to enable easier usage to the end-
 user for large datasets. It has the same interface as racon but adds
 two additional features from the outside. Sequences can be subsampled
 to decrease the total execution time (accuracy might be lower) while
 target sequences can be split into smaller chunks and run sequentially
 to decrease memory consumption. Both features can be run at the same
 time as well.

Package: radare2
Description-md5: 54c4e6ca7d79783f5f8ca088316a348b
Description-en: free and advanced command line hexadecimal editor
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped IO
 layer supporting multiple backends for local/remote files, debugger
 (OS X, BSD, Linux, W32), stream analyzer, assembler/disassembler (rasm)
 for x86, ARM, PPC, m68k, Java, MSIL, SPARC, code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extractor
 supporting PE, mach0, ELF, class, etc. named rabin, and a block-based
 hash utility called rahash.

Package: radare2-cutter
Description-md5: 2a1faef4a60e42bf4f4b71dce16ece60
Description-en: GUI for radare2 reverse engineering framework
 Cutter is a Qt based GUI for reverse engineering binaries, which makes
 use of the radare2 framework. Advanced users are expected to use the
 radare2 CLI tools instead, which are much more powerful.

Package: radeontool
Description-md5: 9dab5a1be3d1bdd33ce8c52abf042cd3
Description-en: utility to control ATI Radeon backlight functions on laptops
 Radeontool is a small utility to control ATI Radeon based laptops' backlight
 and external output functions. It is also able to dump the contents of
 registers for debugging purposes.
 .
 WARNING: Radeontool code has not been completely audited and may contain bugs
 that could damage your hardware. Use at your own risk.

Package: radeontop
Description-md5: 975d2ba742c8e0be1ddf87d609debe02
Description-en: Utility to show Radeon GPU utilization
 radeontop is a small utility which allows one to monitor the utilization of
 Radeon GPUs starting from the R600 series and newer using undocumented
 performance counters in the hardware. The utility works with the free
 drivers.
 .
 It displays the utilization of the graphics pipe, event engine, vertex cache,
 vertex group and tesselator, texture addresser and cache, the shader units
 and more, both with a relative percent value as well as a colorful bar diagram.

Package: radiant
Description-md5: ebbe6c64ad9b1f2094c661efc9e77f4e
Description-en: explore hierarchical metagenomic data with zoomable pie charts
 Krona allows hierarchical data to be explored with zoomable pie charts.
 Krona charts include support for several bioinformatics tools and raw
 data formats. The charts can be viewed with a recent version of any
 major web browser.

Package: radicale
Description-md5: e4b5bea2218c1d82b905ded638e4e8c4
Description-en: simple calendar and addressbook server - daemon
 Radicale is a CalDAV (calendar) and CardDAV (contact) server.
 .
 Calendars and address books are available for both local and remote
 access, possibly limited through authentication policies. They can be
 viewed and edited by calendar and contact clients on mobile phones or
 computers.
 .
 This package contains the radicale daemon.
 .
 Creating encrypted password files require the package apache2-utils.
 .
 Stronger password hashes require the packages python3-passlib and
 python3-bcrypt.
 .
 Serving directly with uWSGI
 requires the packages uwsgi and uwsgi-plugin-python3.
 Serving with Apache as front-end and uWSGI only as middleware
 additionally requires the packages apache2 and libapache2-mod-proxy-uwsgi.

Package: radio
Description-md5: 296f7c3be896551261ad589dc0e99f06
Description-en: ncurses-based radio application
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides a ncurses-based radio application. It
 supports the video4linux API.

Package: radioclk
Description-md5: 21679d71da952b3457c2393945658d74
Description-en: simple ntp refclock daemon for MSF/WWVB/DCF77 time signals
 radioclkd takes the demodulated time signals from simple MSF/WWVB/DCF77
 time signal receivers on the control lines of a serial port, decodes
 the signals, and provides an interface to ntp via the shared memory
 refclock driver.

Package: radium-compressor
Description-md5: 0567f42ed76663d80296f28593d0328e
Description-en: audio compressor for JACK
 Radium Compressor is the system compressor in Radium,
 but distributed as a standalone JACK client application.
 .
 Radium Compressor uses the stereo compressor found in
 effect.lib in the Faust distribution.

Package: radlib-dev
Description-md5: 991ed639b31a32aa874c1549b53dc19c
Description-en: development file for librad0
 radlib is a C language library developed to abstract details of interprocess
 communications and common linux/unix system facilities so that application
 developers can concentrate on application solutions. It encourages developers
 (whether expert or novice) to use a proven paradigm of event-driven,
 asynchronous design. By abstracting interprocess messaging, events, timers,
 and any I/O device that can be represented as a file descriptor, radlib
 simplifies the implementation of multi-purpose processes, as well as multi-
 process applications.
 .
 This is the package needed for development.

Package: radon
Description-md5: 6fca64bb2ac60308f32f72bd74e74c3f
Description-en: Python tool to compute code metrics (Python3)
 Radon is a Python tool which computes various code metrics.
 Supported metrics are:
 .
 raw metrics: SLOC, comment lines, blank lines, &c.
 Cyclomatic Complexity (i.e. McCabe’s Complexity)
 Halstead metrics (all of them)
 the Maintainability Index (a Visual Studio metric)
 .
 Radon can be used either from the command line or
 programmatically through its API.

Package: radsecproxy
Description-md5: 29c8b16ab5ef6fbfc97624ba02df3402
Description-en: RADIUS protocol proxy supporting RadSec
 radsecproxy is a generic RADIUS proxy that in addition to usual RADIUS UDP
 transport also supports TLS (RadSec). It aims to be flexible while at the same
 time small in size and memory footprint, efficient and easy to configure.
 .
 It can be useful as a proxy on site boundaries or in other complex RADIUS
 routing topologies. It supports both IPv4 and IPv6.

Package: radvdump
Description-md5: a36cad1a71f64df5cf2c3ec8ee86aed6
Description-en: dumps Router Advertisements
 Tool for IPv6 network administrators.
 Dumps RA, Router Advertisements.

Package: rafkill
Description-md5: a75bf7982389f6e4f11a5243ab78a308
Description-en: vertical shoot'em-up similar to Raptor: Call of the Shadows
 Rafkill is a clone of Raptor: Call of the Shadows, a classic shoot'em-up game.
 .
 You have a bird's eye view of the playing field, which is an alien world,
 and your job is to destroy the enemies that are flying towards you shooting
 bullets. The score lets you buy life, shield, better weapons or even new
 spaceships.
 .
 Rafkill features three spaceships, more than twenty weapons, colourful
 graphics with transparency effects, music and sound.

Package: rafkill-data
Description-md5: fbba00cd2cb40de3726870f84bfb4f0f
Description-en: graphics and audio data for rafkill
 Rafkill is a clone of Raptor: Call of the Shadows, a classic shoot'em-up game.
 .
 This package contains the architecture-independent data for rafkill. For more
 information, see the rafkill package.

Package: ragel
Description-md5: 626a3a834420377fc9f339a6ce023164
Description-en: compiles finite state machines into code in various languages
 Ragel compiles finite state machines from regular languages into C, C++,
 Objective-C, D, Ruby or Java code. Ragel allows the programmer to embed
 actions at any point in a regular language. Non-determinism can be
 controlled through the use of embedded priorities and guarded regular
 language operators. Ragel also supports the construction of scanners and
 the building of state machines using state-charts. Ragel can be used to
 create robust recognizers and parsers which run very fast. It can work
 with integer-sized alphabets and can compile large state machines.
 The generated code has no dependencies.

Package: ragout
Description-md5: 8f0c7441aed2bf02da71eefce4a282fe
Description-en: Reference-Assisted Genome Ordering UTility
 Ragout (Reference-Assisted Genome Ordering UTility) is a tool for
 chromosome-level scaffolding using multiple references. Given initial
 assembly fragments (contigs/scaffolds) and one or multiple related
 references (complete or draft), it produces a chromosome-scale assembly
 (as a set of scaffolds).
 .
 The approach is based on the analysis of genome rearrangements (like
 inversions or chromosomal translocations) between the input genomes and
 reconstructing the most parsimonious structure of the target genome.
 .
 Ragout now supports both small and large genomes (of mammalian scale
 and complexity). The assembly of highly polymorphic genomes is
 currently limited.

Package: ragout-examples
Description-md5: 3acd8375d948d70dceeaf4b5e8dd98bb
Description-en: Reference-Assisted Genome Ordering UTility (example data)
 Ragout (Reference-Assisted Genome Ordering UTility) is a tool for
 chromosome-level scaffolding using multiple references. Given initial
 assembly fragments (contigs/scaffolds) and one or multiple related
 references (complete or draft), it produces a chromosome-scale assembly
 (as a set of scaffolds).
 .
 The approach is based on the analysis of genome rearrangements (like
 inversions or chromosomal translocations) between the input genomes and
 reconstructing the most parsimonious structure of the target genome.
 .
 Ragout now supports both small and large genomes (of mammalian scale
 and complexity). The assembly of highly polymorphic genomes is
 currently limited.
 .
 This package contains example data to test ragout.

Package: raidutils
Description-md5: b70de52308cfcc4665ad6f6dcbd2e281
Description-en: Transition Package, raidutils to dpt-i2o-raidutils
 The Adaptec I2O RAID hardware utilities package has been renamed.
 This dummy dependency package helps transition from raidutils to
 dpt-i2o-raidutils.

Package: rail
Description-md5: 6da32dfc85d9caf243038f8d22f12edb
Description-en: Replace Agent-string Internal Library
 RAIL is a elisp library, translates codenames with Latin chars of
 FLIM/SEMI/XEmacs/UTF-2000-Mule/Meadow to Japanese characters (On
 irchat-pj, Japanize code name for "CTCP VERSION" return string).
 .
 It also provides a function compatible with genjis.el (a part of tm,
 that converts mule-version to Japanese characters).

Package: rails
Description-md5: 584dbe2af2cbe2732009dcf02baf0aba
Description-en: MVC ruby based framework geared for web application development (metapackage)
 Rails is a full-stack, open-source web framework in Ruby for writing
 real-world applications.
 .
 Being a full-stack framework means that all layers are built to work
 seamlessly together. That way you don't repeat yourself and you can
 use a single language from top to bottom. Everything from templates to
 control flow to business logic is written in Ruby.
 .
 This is a metapackage.

Package: rainbows
Description-md5: 88e84d2d57b7281798dc42992b0b5b38
Description-en: HTTP server for sleepy Rack applications
 Rainbows! is an HTTP server for sleepy Rack applications.  It is based on
 Unicorn, but designed to handle applications that expect long request/response
 times and/or slow clients.

Package: raincat
Description-md5: c245c9feae30c3e0652a1c07c3bb6180
Description-en: 2D puzzle game featuring a fuzzy little cat
 Raincat is a 2d puzzle game similar to the Incredible Machine and Lemmings
 series. Your goal is simple: guide the cat safe and dry to the end of each
 level. Just mind the rain, puddles, and loose fire hydrants in your path!

Package: raincat-data
Description-md5: 685685929fd4d8733303195d83685df7
Description-en: 2D puzzle game featuring a fuzzy little cat - data files
 Raincat is a 2d puzzle game similar to the Incredible Machine and Lemmings
 series. Your goal is simple: guide the cat safe and dry to the end of each
 level. Just mind the rain, puddles, and loose fire hydrants in your path!
 .
 This package contains the data files.

Package: rainloop
Description-md5: abe4034b9fd0b01ead5e49a116d62d46
Description-en: Simple, modern & fast web-based email client
 Rainloop is a PHP-based MUA with a modern interface and no database
 requirements.
 .
 It supports IMAP and SMTP protocols (including SSL), Sieve scripts, multiple
 accounts and identities, an admin panel for configuration, and integration
 with a variety of commonly-used services. Plugins can be installed to further
 extend functionality. Emails are not stored locally, but are accessed through
 IMAP.

Package: raintpl
Description-md5: d8e509dafd4de4d69018f79347c3dc53
Description-en: easy and fast template engine for PHP
 RainTPL is an easy template engine for PHP that enables designers and
 developers to work better together, it loads HTML template to
 separate the presentation from the logic.
 .
 Features
 --------
 .
    - Easy for designers, only 10 tags, {$variable}, {#constant#}, {include},
      {loop}, {if}, { comment }, {noparse}, {function}
    - Easy for developers, 5 methods to load and draw templates.
    - Powerful, modifier and operation with variables
    - Extensible, load plugins and register new tags
    - Secure, sandbox with blacklist.

Package: rakarrack
Description-md5: 910366108575727a50de7023154566db
Description-en: Simple and easy guitar effects processor for GNU/Linux
 Rakarrack is a guitar effects processor for GNU / Linux simple and easy to use
 but it contains features that make it unique in this field of applications.
 .
 Currently it contains 17 effects:
  * Linear Equalizer
  * Parametric Equalizer
  * Compressor
  * Distorsion
  * Overdrive
  * Echo
  * Chorus
  * Phaser
  * Flanger
  * Reverb
  * WahWah
  * Alienwah
  * Harmonizer
  * NoiseGate
  * Musical Delay
  * Cabinet
  * AutoPan/Extra Stereo
 .
 Rakarrack integrates a tuner and a MIDI converter. It can also be handled by
 an external MIDI controller. The settings designed by the user can be stored in
 presets and these presets can be used to create banks of effects.

Package: rake-compiler
Description-md5: df485c295e9511e41b2b27cbe7ebc83c
Description-en: Rake-based Ruby Extension (C, Java) task generator
 The rake-compiler is first and foremost a productivity tool for Ruby
 developers. It's goal is to make the busy developer's life easier by
 simplifying the building and packaging of Ruby extensions by
 simplifying code and reducing duplication.
 .
 It follows *convention over configuration* by advocating a standardized
 build and package structure for both C and Java based RubyGems.
 .
 Rake-compiler is the result of many hard-won experiences dealing with
 several diverse RubyGems that provided native extensions for different
 platforms and different user configurations in different ways. Details
 such as differences in code portability, differences in code clarity,
 and differences in project directory structure often made it very
 difficult for newcomers to those RubyGems.

Package: rakudo
Description-md5: eab14223886cc39425a796041b2bf14c
Description-en: Perl 6 implementation on top of Moar virtual machine
 Rakudo Perl is a compiler that implements the Perl 6 specification and runs on
 top of several virtual machines. Debian rakudo package runs on top of MoarVM.
 .
 Perl 6 is a programming language, member of the Perl family. Like Perl 5, her
 world-famous big sister, Perl 6 intends to carry forward the high ideals of
 the Perl community and is currently being developed by a team of dedicated and
 enthusiastic volunteers.
 .
 valgrind package installation is suggested to debug issues with
 perl6-valgrind-m program.

Package: rally
Description-md5: 28a64bc2a02874c816451b5b70256bbd
Description-en: benchmark System for OpenStack - command line and configuration
 Rally is a Benchmark-as-a-Service project for OpenStack.
 .
 Rally is intended to provide the community with a benchmarking tool that is
 capable of performing specific, complicated and reproducible test cases on
 real deployment scenarios.
 .
 This package contains the command line and configuration file.

Package: rambo-k
Description-md5: a05ed8471b33e5174a52d89ac988bf09
Description-en: Read Assignment Method Based On K-mers
 RAMBO-K is a tool for rapid and sensitive removal of background sequences
 from Next Generation Sequencing data.
 .
 RAMBO-K is a reference-based tool for rapid and sensitive extraction of
 one organisms reads from a mixed dataset. It is based on a Markov chain
 implementation, which uses genomic characteristics of each reference to
 assign reads to the associated set.

Package: ramond
Description-md5: 25888d6c668e1c3592bce68b1df9d497
Description-en: IPv6 Router Advertisement MONitoring Daemon
 ramond is a scriptable IPv6 Router Advertisement Monitoring Daemon.
 .
 The tool was designed to `clear' (by sending spoofed zero lifetime
 adverts) rogue-routes sent by users running 6to4 gateways on a campus
 network.
 .
 Actions are scriptable. Almost all the available information is
 passed to a script via environmental variables.

Package: rampler
Description-md5: 5cdc77eca0cb0be494a7a6938cd68a1e
Description-en: module for sampling genomic sequences
 Standalone module for sampling genomic sequences. It supports two modes,
 random subsampling of sequencer data to a desired depth (given the
 reference length) and file splitting to desired size in bytes.
 .
 Rampler takes as first input argument a file in FASTA/FASTQ format which
 can be compressed with gzip. The rest of input parameters depend on the
 mode of operation. The output is stored into a file(s) which is in the
 same format as the input file but uncompressed.

Package: rancid
Description-md5: c3d1e662df232fbb8fd7d78a39f154c4
Description-en: Really Awesome New Cisco confIg Differ
 This is a popular ISP toolkit, based on expect and shell scripts,
 for managing router configurations.
 .
 Rancid monitors a router's (or device's) configuration, including
 software and hardware (cards, serial numbers, etc), using CVS, Subversion,
 or Git.

Package: rancid-cgi
Description-md5: 1051f9fac0c291ac95e14e747073ebd2
Description-en: looking glass CGI based on rancid tools
 The looking glass is a web interface for gathering some common
 information from your routers.
 .
 It uses tools like clogin from rancid package to do its job.

Package: rand
Description-md5: 59e2ad5476dd8eab14ab187483bea92a
Description-en: random number/character generator
 This program can be used to write pseudo random numbers/characters to
 the standard output. The arguments can be used to generate integer or
 float numbers with a certain precision, or to "mask" the numbers with
 certain characters.

Package: randomplay
Description-md5: c5691bb28f4af26a65bbc96c1d6b954e
Description-en: command-line based shuffle music player that remembers songs between sessions
 Randomplay plays your music collection (or execute any arbitrary commands on
 any arbitrary filetypes) in random order, remembering songs played across
 sessions. It also has many features to make command-line music playing more
 convenient, including recursive regexp searching for tracks and the ability to
 specify a certain number of tracks, bytes, or minutes to play.  Randomplay
 will also generate a list of music files to be loaded onto a portable music
 player device.  It includes a 'random weighting' feature, so your favorite
 songs are more likely to come up in the random shuffle.
 .
 Randomplay is a convenient tool for the user who does everything in an xterm
 window or console and is constantly devising complex find/grep/sed command
 lines to play just the right set of songs.

Package: randomsound
Description-md5: b19b7173c2d5ee546040139b48e961d4
Description-en: ALSA sound card related entropy gathering daemon
 Using the low order bit of the ADC output of your sound card,
 randomsound gathers entropy, debiases it and offers it up to your
 kernel's random pool.

Package: randtype
Description-md5: 30fc40e00a9512945970fff46a1cc5d8
Description-en: semi-random text typer
 Randtype is a small utility to output characters or lines at random intervals.
 There are a few command line options to refine the output. With it you can
 output files to the screen, and if you configured it well, it will look like
 someone is actually typing - with optional typos even.

Package: ranger
Description-md5: 7f811aec48674129ecdcdc719da1178f
Description-en: Console File Manager with VI Key Bindings
 Ranger is a console file manager with VI key bindings.  It provides a
 minimalistic and nice curses interface with a view on the directory hierarchy.
 It ships with "rifle", a file launcher that is good at automatically finding
 out which program to use for what file type.
 .
 Design Goals
  * An easily maintainable file manager in a high level language
  * A quick way to switch directories and browse the file system
  * Keep it small but useful, do one thing and do it well
  * Console based, with smooth integration into the unix shell

Package: rapid-photo-downloader
Description-md5: d75fd28bd8503b69cb0ef0f0665a333e
Description-en: Photo downloader (importer) from cameras, memory cards, other devices
 Rapid Photo Downloader can be used by both professional and amateur
 photographers to download photos and videos from multiple cameras,
 memory cards and Portable Storage Devices simultaneously. It provides
 many flexible, user-defined options for subfolder creation, photo and
 video renaming, and backup.

Package: rapidjson-dev
Description-md5: 82c1215fc3ffdf6126ad42a8d7fc5313
Description-en: fast JSON parser/generator for C++ with SAX/DOM style API
 RapidJSON is an attempt to create the fastest JSON parser and generator.
 .
  - Small but complete. Supports both SAX and DOM style API. SAX parser only a
    few hundred lines of code.
  - Fast. In the order of magnitude of strlen(). Optionally supports
    SSE2/SSE4.2 for acceleration.
  - Self-contained. Minimal dependency on standard libraries. No BOOST, not
    even STL.
  - Compact. Each JSON value is 16 or 20 bytes for 32 or 64-bit machines
    respectively (excluding text string storage). With the custom memory
    allocator, parser allocates memory compactly during parsing.
  - Full RFC7159 compliance. Supports UTF-8, UTF-16 and UTF-32.
  - Support both in-situ parsing (directly decode strings into the source JSON
    text) and non-destructive parsing (decode strings into new buffers).
  - Parse number to int/unsigned/int64_t/uint64_t/double depending on input
  - Support custom memory allocation. Also, the default memory pool allocator
    can also be supplied with a user buffer (such as a buffer allocated on
    user's heap or programme stack) to minimize allocation.

Package: rapidjson-doc
Description-md5: 5a78d4d9a760f7eca684b2126958e286
Description-en: fast JSON parser/generator for C++ (API documentation)
 This package contains the documentation for RapidJSON, a fast JSON
 parser/generator for C++ with both SAX/DOM style APIs.

Package: rapmap
Description-md5: e50e166638930f20ea8df0bd5eb49f87
Description-en: rapid sensitive and accurate DNA read mapping via quasi-mapping
 RapMap is a testing ground for ideas in quasi-mapping / (lightweight /
 pseudo) transcriptome alignment. That means that, at this point, it is
 somewhat experimental. The develop branch will have the latest
 improvements and additions, but is not guaranteed to be stable between
 commits. Breaking changes to the master branch will be accompanied by a
 tag to the version before the breaking change. Currently, RapMap is a
 stand-alone quasi-mapper that can be used with other tools. It is also
 being used as part of Sailfish and Salmon. Eventually, the hope is to
 create and stabilize an API so that it can be used as a library from
 other tools.
 .
 Quasi-mapping / (lightweight / pseudo)-alignment is the term that is
 used here for the type of information required for certain tasks (e.g.
 transcript quantification) that is less "heavyweight" than what is
 provided by traditional alignment. For example, one may only need to
 know the transcripts / contigs to which a read aligns and, perhaps, the
 position within those transcripts rather than the optimal alignment and
 base-for-base CIGAR string that aligns the read and substring of the
 transcript. For details on RapMap (quasi-mapping in particular), please
 check out the associated paper. Note: RapMap implements both quasi-
 mapping and pseudo-alignment (originally introduced in Bray et al.
 2016), these two are not the same thing. They are distinct concepts, and
 RapMap simply happens to implement algorithms for computing both.

Package: rapmap-dev
Description-md5: 5a873d80e195d38824462c6ed02a1a46
Description-en: rapmap - rapid sensitive and accurate DNA read mapping (some headers)
 RapMap is a testing ground for ideas in quasi-mapping / (lightweight /
 pseudo) transcriptome alignment. That means that, at this point, it is
 somewhat experimental. The develop branch will have the latest
 improvements and additions, but is not guaranteed to be stable between
 commits. Breaking changes to the master branch will be accompanied by a
 tag to the version before the breaking change. Currently, RapMap is a
 stand-alone quasi-mapper that can be used with other tools. It is also
 being used as part of Sailfish and Salmon. Eventually, the hope is to
 create and stabilize an API so that it can be used as a library from
 other tools.
 .
 This package contains some headers and C++ source files which are
 included by package salmon and are provided here in an accessible
 way to avoid code duplication.  Usually there is no reason to install
 this package except to build the salmon package.

Package: rapmap-example-data
Description-md5: 3baf8bcb0016402a5d0d36a2c0b102bc
Description-en: example data for rapmap - rapid sensitive and accurate DNA read mapping
 RapMap is a testing ground for ideas in quasi-mapping / (lightweight /
 pseudo) transcriptome alignment. That means that, at this point, it is
 somewhat experimental. The develop branch will have the latest
 improvements and additions, but is not guaranteed to be stable between
 commits. Breaking changes to the master branch will be accompanied by a
 tag to the version before the breaking change. Currently, RapMap is a
 stand-alone quasi-mapper that can be used with other tools. It is also
 being used as part of Sailfish and Salmon. Eventually, the hope is to
 create and stabilize an API so that it can be used as a library from
 other tools.
 .
 This package contains example data to test this program.

Package: rarcrack
Description-md5: fc6f3b48bc2f6d07f80dc13f274f471c
Description-en: Password cracker for rar archives
 This program uses a brute force algorithm to guess your encrypted compressed
 file's password.
 .
 This program can crack zip,7z and rar file passwords.

Package: rarpd
Description-md5: 286e1db581c5106e58cc77589f3bd8c3
Description-en: Reverse Address Resolution Protocol daemon
 RARP is a protocol which allows individual devices on a network
 to get their IP addresses assigned based on their MAC addresses.
 .
 You have use of this daemon only if you have on your local network
 machines like diskless Sun boxes, or other netbooting devices.

Package: rasdaemon
Description-md5: 962545a9e22020ccd686f6c5e425e37f
Description-en: utility to receive RAS error tracings
 rasdaemon is a RAS (Reliability, Availability and Serviceability) logging
 tool.  It currently records memory errors, using the EDAC tracing events.
 EDAC are drivers in the Linux kernel that handle detection of ECC errors
 from memory controllers for most chipsets on x86 and ARM architectures.
 This userspace component consists of an init script which makes sure EDAC
 drivers and DIMM labels are loaded at system startup, as well as a utility
 for reporting current error counts from the EDAC sysfs files.

Package: rasmol
Description-md5: 75ecf7c6def681e41739672da198600e
Description-en: visualization of biological macromolecules
 RasMol is a molecular graphics program intended for the visualisation of
 proteins, nucleic acids and small molecules. The program is aimed at
 display, teaching and generation of publication quality images.
 .
 The program reads in a molecule coordinate file and interactively displays
 the molecule on the screen in a variety of colour schemes and molecule
 representations. Currently available representations include depth-cued
 wireframes, 'Dreiding' sticks, spacefilling (CPK) spheres, ball and stick,
 solid and strand biomolecular ribbons, atom labels and dot surfaces.
 .
 Supported input file formats include Protein Data Bank (PDB), Tripos
 Associates' Alchemy and Sybyl Mol2 formats, Molecular Design Limited's
 (MDL) Mol file format, Minnesota Supercomputer Center's (MSC) XYZ (XMol)
 format, CHARMm format, CIF format and mmCIF format files.
 .
 This package installs two versions of RasMol, rasmol-gtk has a modern
 GTK-based user interface and rasmol-classic is the version with the old
 Xlib GUI.

Package: rasmol-doc
Description-md5: 49337aac4c7fb86e9326ed9296837a55
Description-en: documentation for rasmol
 Extra documentation for Rasmol, a program to visualize biological
 macromolecules. Included are the Rasmol manual in various formats,
 a command reference card and a copy of the openrasmol website,
 http://rasmol.org.

Package: raspell
Description-md5: c30a70e73d27521d8c795eb8f1230930
Description-en: interface binding for the Aspell spelling checker
 raspell is an interface binding for the Aspell spelling checker written in
 Ruby. It allows one to use the Aspell spelling checker from Ruby source code.

Package: rasterio
Description-md5: ba5869ffbceb6e5cda4eca7c6f619edd
Description-en: Command line tool for investigating geospatial rasters
 Rasterio reads and writes geospatial raster datasets.
 .
 Rasterio employs GDAL under the hood for file I/O and raster formatting.
 Its functions typically accept and return Numpy ndarrays. Rasterio is designed
 to make working with geospatial raster data more productive and more fun.
 .
 This package contains a command-line line interface to rasterio "rasterio"
 which can be used to inspect raster datasets.

Package: rasterlite2-bin
Description-md5: 3422659ba559aa6ff6267f96c25dee4f
Description-en: command line tools for librasterlite2
 librasterlite2 is an open source library that stores and retrieves huge
 raster coverages using a SpatiaLite DBMS.
 .
 It is intended to completely replace and supersede librasterlite.
 The two projects are completely unrelated: the unique similarity between
 both is just in covering the same identical application area.
 .
 This package contains command-line tools to use librasterlite2.

Package: rasterview
Description-md5: 83b4b1d968a63b16e4562675a200ae8e
Description-en: CUPS/PWG/Apple raster file viewer
 RasterView is a CUPS, PWG, and Apple raster file viewing application.
 It basically allows you to look at the raster data produced by any of
 the standard CUPS RIP filters (cgpdftoraster, imagetoraster,
 pdftoraster, and pstoraster) and is normally used to either test those
 filters or look at the data that is being sent to your raster printer
 driver.

Package: ratbagd
Description-md5: d92536492aa9fbf3914ddf6610c0df5f
Description-en: D-Bus daemon handling mouse configuration
 ratbagd provides access over D-Bus to settings exposed by libratbag,
 such as DPI and button mappings on gaming mice.
 .
 It currently supports some Etekcity, G.Skill, Roccat, and SteelSeries
 mice, most Logitech gaming mice, and some Logitech keyboards and
 non-gaming mice.

Package: rate4site
Description-md5: 6533f89fda0383a3e237110031a93bc2
Description-en: detector of conserved amino-acid sites
 Rate4Site calculates the relative evolutionary rate at each site using a
 probabilistic-based evolutionary model.
 This allows taking into account the stochastic process underlying sequence
 evolution within protein families
 and the phylogenetic tree of the proteins in the family.
 The conservation score at a site corresponds to the site's evolutionary rate.

Package: ratfor
Description-md5: da1beceff3344cc56527267cb56c26f9
Description-en: Rational Fortran preprocessor for Fortran 77
 Ratfor77 is a preprocessor that converts the Rational Fortran dialect
 into ordinary Fortran 77. The output can then be compiled using gfortran
 or f2c + gcc.
 .
 The Ratfor dialect provides C-like control structures and some
 syntactic sugar that makes Fortran programs easier to read and write.

Package: ratmenu
Description-md5: 9b0f734d36305afa286fae1a2c153028
Description-en: Creates X menus from the shell
 This is ratmenu, a simple program that allows you to create X menus from the
 shell.  Although designed for ratpoison, it will work with any ICCCM compliant
 window manager.
 .
 It was forked from 9menu version 1.5, to provide specific support
 for the ratpoison window manager.  Where 9menu only responds to the mouse, and
 ignores the keyboard, ratmenu has all mouse sensitivity taken out, and only
 responds to the keyboard.  Since then it has evolved nifty features and
 behaviors of its own.  The source code was also cleaned up and old cruft
 weeded out.

Package: ratpoints
Description-md5: 6a6ab04c20a8e781de105bf5a5133994
Description-en: find rational points on hyperelliptic curves
 This program tries to find all rational points within a given height
 bound on a hyperelliptic curve in a very efficient way, by using
 an optimized quadratic sieve algorithm.

Package: ratpoison
Description-md5: 372760a7563dbda00db6b1be9b905c40
Description-en: keyboard-only window manager
 ratpoison is a simple window manager with no fancy graphics,
 no window decorations, and no rodent dependence.
 It is largely modelled after GNU Screen.
 .
 The screen can be split into non-overlapping frames. All
 windows are kept maximized inside their frames to take
 full advantage of your precious screen real estate.
 .
 All interaction with the window manager is done through
 keystrokes. ratpoison has a prefix map to minimize
 key clobbering.

Package: ratt
Description-md5: ea8c420622886054f8c0d4688a4b3053
Description-en: Rebuild All The Things!
 ratt (“Rebuild All The Things!”) operates on a Debian .changes file of a
 just-built package, identifies all reverse-build-dependencies and rebuilds
 them with the .debs from the .changes file.
 .
 The intended use-case is, for example, to package a new snapshot of
 a Go library and verify that the new version does not break any other
 Go libraries/binaries.

Package: rauc
Description-md5: 42bbfd20b0670b3ef9f68a12d6fe68d6
Description-en: Robust Auto-Update Controller - binary
 Safe and secure software updates for embedded Linux
 .
 RAUC controls the update process on embedded Linux systems. It is both a target
 application that runs as an update client and a host/target tool that allows
 you to create, inspect and modify installation artifacts.
 .
 This package provides the rauc binary.

Package: rauc-service
Description-md5: 4e1c86ba1ff32ea4bf48adf1cd01d9a6
Description-en: Robust Auto-Update Controller - service
 Safe and secure software updates for embedded Linux
 .
 RAUC controls the update process on embedded Linux systems. It is both a target
 application that runs as an update client and a host/target tool that allows
 you to create, inspect and modify installation artifacts.
 .
 This package provides the rauc service files.

Package: rawdns
Description-md5: 6b826e5ceb92af5abdcfe4de044e5e77
Description-en: raw DNS interface to the Docker API
 "rawdns" is a DNS forwarder that allows for DNS requests to return the IP
 addresses of Docker containers directly.
 .
 For example, if one has a container named "app", then a request for
 "app.docker" would return the IP address of that container.  Likewise, if the
 "app" container has a linked database container whose alias name is "db", then
 a request for "db.app.docker" would return the IP address of the linked
 database container.

Package: rawtherapee
Description-md5: b3d3ccc9f0d3c136194f74429df067a8
Description-en: raw image converter and digital photo processor
 RawTherapee is an advanced program for developing raw photos and for processing
 non-raw photos. It is non-destructive, makes use of OpenMP, supports all the
 cameras supported by dcraw and carries out its calculations in a high precision
 32bit floating point engine. RawTherapee supports JPEG, PNG, and TIFF as output
 format for processed photos.

Package: rawtherapee-data
Description-md5: 817186c046a43f15305ad676c5e8e334
Description-en: raw image converter and digital photo processor (data files)
 RawTherapee is an advanced program for developing raw photos and for processing
 non-raw photos. It is non-destructive, makes use of OpenMP, supports all the
 cameras supported by dcraw and carries out its calculations in a high precision
 32bit floating point engine. RawTherapee supports JPEG, PNG, and TIFF as output
 format for processed photos.
 .
 This package contains the data files.

Package: rawtran
Description-md5: 3fdfd6c7d54dbf8d46d99a62da73cfd2
Description-en: RAW photo to FITS converter
 Rawtran is an utility to convert of raw photos in .CR2, .CRW, MRW, NEF,
 RAF, etc. formats to the general astronomical FITS  image  format.
 The  identification  of  raw photo type and decoding itself is done via
 DCRAW utility by D.Coffin.

Package: rawtran-doc
Description-md5: 0e6e443791e7f281332a486f7043c911
Description-en: Documentation of Rawtran
 Rawtran is an utility to convert of raw photos in .CR2, .CRW, MRW, NEF,
 RAF, etc. formats to the general astronomical FITS  image  format.
 The  identification  of  raw photo type and decoding itself is done via
 DCRAW utility by D.Coffin.
 .
 This package contains documentation (images, html).

Package: rax-openstack-guest-agents
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: raxml
Description-md5: af25050e26e4eb856b4aa781a142389c
Description-en: Randomized Axelerated Maximum Likelihood of phylogenetic trees
 RAxML is a program for sequential and parallel Maximum Likelihood-based
 inference of large phylogenetic trees. It has originally been derived
 from fastDNAml.

Package: ray
Description-md5: 90fa195cc91a005a4c4a249227fbe393
Description-en: de novo genome assemblies of next-gen sequencing data
 Ray is a parallel software that computes de novo genome assemblies with
 next-generation sequencing data.
 Ray is written in C++ and can run in parallel on numerous interconnected
 computers using the message-passing interface (MPI) standard.
 Included:
  - Ray de novo assembly of single genomes
  - Ray Méta de novo assembly of metagenomes
  - Ray Communities microbe abundance + taxonomic profiling
  - Ray Ontologies gene ontology profiling

Package: ray-doc
Description-md5: 5c8cf85e5a31972bfb049e196c756885
Description-en: documentation for ray parallel de novo genome assembler
 Ray is a parallel software that computes de novo genome assemblies with
 next-generation sequencing data.
 Ray is written in C++ and can run in parallel on numerous interconnected
 computers using the message-passing interface (MPI) standard.
 .
 This package includes documentation files.

Package: ray-extra
Description-md5: afd8c0f87a1a153466e4c7a2b1e24ba4
Description-en: Scripts and XSL sheets for post-processing for ray
 Ray is a parallel software that computes de novo genome assemblies with
 next-generation sequencing data.
 Ray is written in C++ and can run in parallel on numerous interconnected
 computers using the message-passing interface (MPI) standard.
 .
 This package contains scripts and XSL sheets for post-processing.

Package: raysession
Description-md5: 3993f26303ad581b1d1057457a6e471f
Description-en: Session Manager for Audio Software
 Ray Session is a GNU/Linux session manager for audio programs as Ardour,
 Carla, QTractor, Non-Timeline, etc...
 .
 It uses the same API as Non Session Manager, so programs compatible with NSM
 are also compatible with Ray Session. As Non Session Manager, the principle
 is to load together audio programs, then be able to save or close all
 documents together.
 .
 Ray Session offers a little more:
 .
  - Factory templates for NSM and LASH compatible applications
  - Possibility to save any client as template
  - Save session as template
  - Name files with a prettier way
  - remember if client was started or not
  - Abort session almost anytime
  - Change Main Folder of sessions on GUI
  - Possibility to KILL client if clean exit is too long
  - Open Session Folder button (open default file manager)
 .
 Ray Session is being developed by houston4444, using Python3 and Qt5.

Package: razercfg
Description-md5: 6eaebdec1e5f80d38f4c80ed7be87dfe
Description-en: Razer device configuration tool
 This is a system daemon and Python-powered CLI configuration utility for Razer
 devices on Linux systems.

Package: razor
Description-md5: faecd6c972a016b7f0b48f3a9d192a0e
Description-en: spam-catcher using a collaborative filtering network
 Vipul's Razor is a distributed, collaborative, spam detection and
 filtering network. Razor establishes a distributed and constantly updating
 catalogue of spam in propagation. This catalogue is used by clients to
 filter out known spam. On receiving a spam, a Razor Reporting Agent (run
 by an end-user or a troll box) calculates and submits a 20-character unique
 identification of the spam (a SHA Digest) to its closest Razor
 Catalogue Server. The Catalogue Server echos this signature to other
 trusted servers after storing it in its database. Prior to manual
 processing or transport-level reception, Razor Filtering Agents (end-users
 and MTAs) check their incoming mail against a Catalogue Server and filter
 out or deny transport in case of a signature match. Catalogued spam, once
 identified and reported by a Reporting Agent, can be blocked out by the
 rest of the Filtering Agents on the network.

Package: rbd-fuse
Description-md5: 15cf24eaec74387c1ae6ddef87cdb866
Description-en: FUSE-based rbd client for the Ceph distributed file system
 Ceph is a distributed network file system designed to provide
 excellent performance, reliability, and scalability.  This is a
 FUSE-based client that allows one to map Ceph rbd images as files.

Package: rbd-mirror
Description-md5: 1d710ddd4d105de6cfd76d4ca18a79b3
Description-en: Ceph daemon for mirroring RBD images
 Ceph is a distributed storage system designed to provide excellent
 performance, reliability, and scalability.
 .
 This package provides a daemon for mirroring RBD images between
 Ceph clusters, streaming changes asynchronously.

Package: rbd-nbd
Description-md5: 7780c9ecf943aeed38e3b3d394062e74
Description-en: NBD-based rbd client for the Ceph distributed file system
 Ceph is a massively scalable, open-source, distributed
 storage system that runs on commodity hardware and delivers object,
 block and file system storage.  This is a
 NBD-based client that allows one to map Ceph rbd images as local
 block device.
 .
 NBD base client that allows one to map Ceph rbd images as local
 block device.

Package: rbdoom3bfg
Description-md5: bb57ef0e203b415599251f97b9568c25
Description-en: Doom3 BFG edition game engine
 RBDoom3BFG 3 is a Doom 3 BFG GPL source modification. The goal of RBDoom3BFG 3
 is to bring Doom 3 BFG with the help of SDL to all suitable platforms. Bugs
 present in the original DOOM 3 will be fixed (when identified) without altering
 the original game-play.
 .
 Note, to play the original game, you'll need a copy of the game data.
 .
 The package can also be used with free map data, like the demomap from
 OpenTechBFG.

Package: rbenv
Description-md5: e6555d95d149d30f1dbfc9fe82de9d92
Description-en: simple per-user Ruby version manager
 rbenv lets you easily switch between multiple versions of Ruby. It's
 simple, unobtrusive, and follows the UNIX tradition of single-purpose
 tools that do one thing well.

Package: rblcheck
Description-md5: 6bee9f1882cba26e5217a297b19793ac
Description-en: Tool to query DNSBL servers
 This program is a very basic interface to DNS Blackhole Lists.
 The basic idea of the filter is that when someone is blacklisted for
 email abuse of some sort, a new domain name is resolved of the form
 "2.0.0.127.domain.name.com", where 2.0.0.127 is the abusive IP address
 in reverse (for example, 2.0.0.127 would be the IP address 127.0.0.2),
 and "domain.name.com" is the base domain name of the filtering service.

Package: rbldnsd
Description-md5: 5851b01780ba19218d00417a244c5312
Description-en: small nameserver daemon designed for DNSBLs
 rbldnsd is a small authoritative-only DNS nameserver
 designed to serve DNS-based blocklists (DNSBLs).
 It may handle IP-based and name-based blocklists.

Package: rbootd
Description-md5: 0db2270ca1150fda750ff80d3e1fcf83
Description-en: Remote Boot Daemon
 The rbootd daemon is used for booting some HP workstations
 over the network (such as the 9000/300 and 9000/400 series).
 It can also boot PA RISC workstations.  It handles the first
 stage of the boot sequence and can be used to start booting
 Linux, NetBSD or HPUX.

Package: rc
Description-md5: 11e8e01b2d641cc0020533e173650123
Description-en: implementation of the AT&T Plan 9 shell
 rc is a command interpreter and programming language similar to sh(1). It is
 based on the AT&T Plan 9 shell of the same name. The shell offers a C-like
 syntax (much more so than the C shell), and a powerful mechanism for
 manipulating variables. It is reasonably small and reasonably fast, especially
 when compared to contemporary shells. Its use is intended to be interactive,
 but the language lends itself well to scripts.

Package: rclone
Description-md5: 534688973f4bad995c6ec0fd1dced0a0
Description-en: rsync for commercial cloud storage
 Rclone is a program to sync files and directories between the local
 file system and a variety of commercial cloud storage providers:
 .
  - Google Drive
  - Amazon S3
  - Openstack Swift / Rackspace cloud files / Memset Memstore
  - Dropbox
  - Google Cloud Storage
  - Amazon Drive
  - Microsoft One Drive
  - Hubic
  - Backblaze B2
  - Yandex Disk

Package: rclone-browser
Description-md5: b4bf403b2603406ceb796a24edeb0974
Description-en: Simple cross platform GUI for rclone
 This is a GUI for rclone, which is a program to sync files and directories
 between the local file system and a variety of commercial cloud storage
 providers.

Package: rcm
Description-md5: 4691379cc2624b613523b50e67d8bb2a
Description-en: tool to manage rc files (dotfiles)
 rcm is a suite of tools to manage rc files (dotfiles). The tools keep dotfiles
 in a single directory, managing symlinks to them from (or copies of them in)
 other directories. rcm supports tagging rc files and splitting them by
 hostname. It also can generate a bootstrapping script for use a new machine.

Package: rcs
Description-md5: 15e816a3413cbf988f8fe2207c3d11df
Description-en: The GNU Revision Control System
 The Revision Control System (RCS) manages multiple
 revisions of files. RCS automates the storing, retrieval,
 logging, identification, and merging of revisions. RCS is
 useful for text that is revised frequently, for example
 programs, documentation, graphics, papers, and form letters.
 .
 Note: this package contains certain general-purpose commands
 (such as merge or ident) which may used by other programs
 installed on your system.

Package: rcs-blame
Description-md5: afbe819fd8fef1ea488876bea6b35236
Description-en: display the last modification for each line in an RCS file
 Blame is the equivalent for CVS's annotate command.
 .
 An annotated RCS file describes the revision and date in which each line was
 added to the file, and the author of each line.

Package: rdesktop
Description-md5: 8c862de1aa0e735c7fe6d7de229c8248
Description-en: RDP client for Windows NT/2000 Terminal Server and Windows Servers
 rdesktop is an open source client for Windows NT/2000 Terminal Server and
 Windows Server 2003/2008. Capable of natively speaking its Remote Desktop
 Protocol (RDP) in order to present the user's Windows desktop. Unlike Citrix
 ICA, no server extensions are required.

Package: rdfind
Description-md5: c6c9ecb679ba4f0ba5d52f6f541c9eae
Description-en: find duplicate files utility
 rdfind is a program to find duplicate files and optionally list, delete
 them or replace them with symlinks or hard links.  It is a command
 line program written in c++, which has proven to be pretty quick compared
 to its alternatives.

Package: rdiff
Description-md5: 5284c275efe652989ea1372eb7c32b01
Description-en: Binary diff tool for signature-based differences
 rdiff is a little like diff and patch all rolled into one, with support for
 binary files. Since it takes advantage of the rsync remote-delta algorithm, you
 do not need the source file in the same place as your destination file.
 .
 To use it, you generate a "signature" of the original file and copy the
 signature (which is very small) to the same location as the modified file. Then
 you generate a "delta" which describes the changes required to turn the source
 file into the modified file. The small delta file can then be used to "patch"
 the original file, to transform it into the modified file.

Package: rdiff-backup
Description-md5: f0d05d9b77697c469e8b0b53030e2a32
Description-en: remote incremental backup
 rdiff-backup backs up one directory to another, possibly over a network. The
 target directory ends up a copy of the source directory, but extra reverse
 diffs are stored in a special subdirectory of that target directory, so you can
 still recover files lost some time ago. The idea is to combine the best
 features of a mirror and an incremental backup. rdiff-backup also preserves
 subdirectories, hard links, dev files, permissions, uid/gid ownership,
 modification times, extended attributes, acls, and resource forks.
 .
 Also, rdiff-backup can operate in a bandwidth efficient manner over a pipe,
 like rsync. Thus you can use rdiff-backup and ssh to securely back a hard drive
 up to a remote location, and only the differences will be transmitted. Finally,
 rdiff-backup is easy to use and settings have sensible defaults.

Package: rdiff-backup-fs
Description-md5: e2639206f9baf69d9569e015bdde4b08
Description-en: Fuse filesystem for accessing rdiff-backup archives
 rdiff-backup-fs is a filesystem in userspace  that  reads  rdiff-backup
 archives and provides convenient access for different revisions of the copied
 files and directories.

Package: rdist
Description-md5: d6cd93b7fcf355e8971750bcbf052738
Description-en: remote file distribution client and server
 Rdist is a program to maintain identical copies of files over multiple hosts.
 It preserves the owner, group, mode, and mtime of files if possible and can
 update programs that are executing.

Package: rdkit-data
Description-md5: 650c372561c1f5f21f366a51814eaa65
Description-en: Collection of cheminformatics and machine-learning software (data files)
 RDKit is a Python/C++ based cheminformatics and machine-learning software
 environment.
 .
 This package contains data files.

Package: rdkit-doc
Description-md5: f66c7299bc3ae5f8a56ac2defc65028c
Description-en: Collection of cheminformatics and machine-learning software (documentation)
 RDKit is a Python/C++ based cheminformatics and machine-learning software
 environment.
 .
 This package contains the documentation.

Package: rdmacm-utils
Description-md5: f54fb199777450b289caf0020383aa7a
Description-en: Examples for the librdmacm library
 librdmacm is a library that allows applications to set up reliable
 connected and unreliable datagram transfers when using RDMA adapters.
 It provides a transport-neutral interface in the sense that the same
 code can be used for both InfiniBand and iWARP adapters.  The
 interface is based on sockets, but adapted for queue pair (QP) based
 semantics: communication must use a specific RDMA device, and data
 transfers are message-based.
 .
 librdmacm only provides communication management (connection setup
 and tear-down) and works in conjunction with the verbs interface
 provided by libibverbs, which provides the interface used to actually
 transfer data.
 .
 This package contains useful librdmacm1 example programs such as
 rping and udaddy.

Package: rdnssd
Description-md5: 7f6af1fa6e3e8a6e206f1e1d3aa62701
Description-en: IPv6 recursive DNS server discovery daemon
 rdnssd autoconfigures recursive DNS servers on IPv6 networks
 using ICMPv6 Neighbor Discovery (RFC 5006), and can update the
 DNS resolvers configuration (/etc/resolv.conf) accordingly.

Package: rdp-alignment
Description-md5: 9ad4c9f8b883634484e0f38706166137
Description-en: Ribosomal Database Project (RDP) alignment tools package
 The Alignment tools package contains commands to do defined community
 analysis, pairwise alignment and hidden markov model (HMMER3 models,
 no training).
 .
 The package also contains the AlignmentTools Java library which is used by
 other RDP tools.

Package: rdp-classifier
Description-md5: cbe79b54495f0797c75136dbf6e0911e
Description-en: extensible sequence classifier for fungal lsu, bacterial and archaeal 16s
 The RDP Classifier is a naive Bayesian classifier which was developed
 to provide rapid taxonomic placement based on rRNA sequence data. The
 RDP Classifier can rapidly and accurately classify bacterial and
 archaeal 16s rRNA sequences, and Fungal LSU sequences. It provides
 taxonomic assignments from domain to genus, with confidence estimates
 for each assignment. The RDP Classifier likely can be adapted to
 additional phylogenetically coherent bacterial taxonomies.

Package: rdp-classifier-doc
Description-md5: 9755acd98836f42964d57d10c7a9a644
Description-en: documentation and examples for rdp-classifier
 The RDP Classifier is a naive Bayesian classifier which was developed
 to provide rapid taxonomic placement based on rRNA sequence data. The
 RDP Classifier can rapidly and accurately classify bacterial and
 archaeal 16s rRNA sequences, and Fungal LSU sequences. It provides
 taxonomic assignments from domain to genus, with confidence estimates
 for each assignment. The RDP Classifier likely can be adapted to
 additional phylogenetically coherent bacterial taxonomies.
 .
 This package contains the JavaDoc generated HTML and examples for
 rdp-classifier.

Package: rdp-readseq
Description-md5: 124226f81a5ccc2095bb45c30b38c16c
Description-en: Ribosomal Database Project (RDP) sequence reading and writing
 Rdp-readseq is a simple user interface to the sequence reading library
 developed by the Ribosomal Database Project.  It can handle genbank, embl,
 fasta, fastq, sff and sto files.  It can read from files or streams, and
 can handle indexing files.
 .
 The package also contains the ReadSeq Java library which is used by other
 RDP tools.

Package: rdtool
Description-md5: 3e50332f9d70a1a430858e8def2f9a42
Description-en: RD document formatter
 RD is multipurpose documentation format created for documentating Ruby and
 output of Ruby world.
 .
 You can embed RD into Ruby script. And RD have neat syntax which help you
 to read document in Ruby script. On the other hand, RD have a feature for
 class reference.
 .
 RDtool is one of frontends of formatter for RD.  This package provides rd2
 command.

Package: rdtool-elisp
Description-md5: 98b4a957d3441e618fc0b7a539287e69
Description-en: Emacs-lisp rd-mode for writing RD document
 RD is multipurpose documentation format created for documentating Ruby and
 output of Ruby world.
 .
 You can embed RD into Ruby script. And RD have neat syntax which help you
 to read document in Ruby script. On the other hand, RD have a feature for
 class reference.
 .
 This package provides Emacs major-mode for RD editing.

Package: rdup
Description-md5: 7ee7cf4a5c1bd5fd3146fe817890777e
Description-en: utility to create a file list suitable for making backups
 rdup is a utility inspired by rsync and the plan9 way of doing
 things. rdup itself does not backup anything. It only prints a list
 of files that are changed, or all files in case of a null dump. It
 also handles files that are removed, allowing for correct incremental
 backups.

Package: re
Description-md5: 2ed7d604e7694bd8b55c5f54e770682c
Description-en: Russian Anywhere -- Russian text converter
 Russian Anywhere is a Russian character decoding program. It allows one to
 transfer Russian characters between different codepages. Main
 purpose is to convert damaged Russian e-mail messages to readable form and
 it also may be used to convert files from/to known and unknown codepages.

Package: read-edid
Description-md5: 47a6a60c061631811f9635c58782eaf5
Description-en: hardware information-gathering tool for VESA PnP monitors
 read-edid consists of two tools:
 .
 get-edid uses a VESA VBE 2 interrupt service routine request to read
 a 128 byte EDID version 1 structure from your graphics card, which
 retrieves this information from the monitor via the Data Display
 Channel (DDC).
 .
 get-edid uses architecture-specific methods for querying the video
 hardware (real-mode x86 instructions on i386, Open Firmware device
 tree parsing on PowerMac) and is therefore only available for i386 and
 powerpc architectures.
 .
 parse-edid parses this data structure and outputs data suitable for
 inclusion into the XFree86 or X.org configuration file. It is available
 for any architecture.

Package: readseq
Description-md5: ea5b579d2401da971810ba00ea4b7cc8
Description-en: Conversion between sequence formats
 Reads and writes nucleic/protein sequences in various
 formats. Data files may have multiple sequences.
 Readseq is particularly useful as it automatically detects many
 sequence formats, and converts between them.

Package: realmd
Description-md5: af9e5e761d418dbb77663ac5c17d034d
Description-en: DBus service for configuring kerberos and other online identities
 realmd is a D-Bus system service that manages discovery and enrollment in
 realms/domains like Active Directory or IPA.
 .
 realmd can configure either SSSD or Windbind as client software. It will also
 automatically install the required packages using PackageKit if needed.

Package: reapr
Description-md5: 293360a33ad6e6e8a412e05853784134
Description-en: universal tool for genome assembly evaluation
 REAPR is a tool that evaluates the accuracy of a genome assembly using mapped
 paired end reads, without the use of a reference genome for comparison. It can
 be used in any stage of an assembly pipeline to automatically break incorrect
 scaffolds and flag other errors in an assembly for manual inspection. It
 reports mis-assemblies and other warnings, and produces a new broken assembly
 based on the error calls.
 .
 The software requires as input an assembly in FASTA format and paired reads
 mapped to the assembly in a BAM file. Mapping information such as the fragment
 coverage and insert size distribution is analysed to locate mis-assemblies.
 REAPR works best using mapped read pairs from a large insert library (at least
 1000bp). Additionally, if a short insert Illumina library is also available,
 REAPR can combine this with the large insert library in order to score each
 base of the assembly.

Package: rear
Description-md5: 4de665cc6ca45ffe13b7a19630f9e260
Description-en: Bare metal disaster recovery and system migration framework
 It is a modular framework with many ready-to-go workflows for common
 situations.  Relax-and-Recover produces a bootable image. This image can
 repartition the system. Once that is done it initiates a restore from backup.
 Restores to different hardware are possible. Relax-and-Recover can therefore be
 used as a migration tool as well.

Package: rear-doc
Description-md5: 974fb437207796cb89ee1f16214897e4
Description-en: Bare metal disaster recovery and system migration framework (documentation)
 It is a modular framework with many ready-to-go workflows for common
 situations.  Relax-and-Recover produces a bootable image. This image can
 repartition the system. Once that is done it initiates a restore from backup.
 Restores to different hardware are possible. Relax-and-Recover can therefore be
 used as a migration tool as well.
 .
 This package contains the documentation of rear.

Package: reaver
Description-md5: c70abce7e7881fde1f10820ab1e59498
Description-en: brute force attack tool against Wifi Protected Setup PIN number
 Reaver performs a brute force attack against an access point's WiFi
 Protected Setup pin number.
 Once the WPS pin is found, the WPA PSK can be recovered and alternately
 the AP's wireless settings can be reconfigured.

Package: rebar
Description-md5: 2844a96ba983e006c63e13560431a789
Description-en: Sophisticated build-tool for Erlang projects that follows OTP principles
 rebar is an Erlang build tool that makes it easy to compile and
 test Erlang applications, port drivers and releases.
 .
 rebar is a self-contained Erlang script, so it's easy to distribute or even
 embed directly in a project. Where possible, rebar uses standard Erlang/OTP
 conventions for project structures, thus minimizing the amount of build
 configuration work. rebar also provides dependency management, enabling
 application writers to easily re-use common libraries from a variety of
 locations (git, hg, etc).

Package: reboot-notifier
Description-md5: 12fe9f5ea91817b50fe4d4262428c833
Description-en: daily reboot notification mailer
 This package sends a daily email to the administrator when a system
 reboot is required due to a kernel update.
 .
 The format used for the temporary files in /var/run/ is designed
 to be compatible with any scripts that were written to work with
 the late update-notifier-common package.

Package: rebound
Description-md5: 0b5dbbc5e475aa053897d491c43c21d8
Description-en: Command-line tool to fetch Stack Overflow results when program execution error
 Rebound is a command-line tool that instantly fetches
 Stack Overflow results when getting a program execution
 error in multiple programming languages. This tool will
 execute the program, pull the error message if needed,
 and display related Stack Overflow questions and answers
 without leaving the terminal.
 .
 Features
 .
  - Supported file types:
    - Python
    - Node.js
    - Java
    - Golang
    - Ruby
  - View answers in command line mode
  - Open browser for GUI

Package: recap
Description-md5: 367c7f1a28b4cebd46e1a4c64534520f
Description-en: Generates reports of various information about the server
 This program is intended to be used as a companion for the reporting provided
 by sysstat. It will create a set of reports summarizing hardware resource
 utilization. The script also provides optional reporting on a web server,
 MySQL and network connections.

Package: reclass
Description-md5: 845d4c3b522bc24eb33cdf4644d60af3
Description-en: hierarchical inventory backend for configuration management systems
 reclass is an "external node classifier" (ENC) as can be used with automation
 tools, such as Puppet, Salt, and Ansible. It is also a stand-alone tool for
 merging data sources recursively.
 .
 The purpose of an ENC is to allow a system administrator to maintain an
 inventory of nodes to be managed, completely separately from the configuration
 of the automation tool. Usually, the external node classifier completely
 replaces the tool-specific inventory (such as site.pp for Puppet,
 ext_pillar/master_tops for Salt, or /etc/ansible/hosts).
 .
 reclass allows you to define your nodes through class inheritance, while
 always able to override details further up the tree (i.e. in more specific
 nodes). Think of classes as feature sets, as commonalities between nodes, or
 as tags. Add to that the ability to nest classes (multiple inheritance is
 allowed, well-defined, and encouraged), and piece together your infrastructure
 from smaller bits, eliminating redundancy and exposing all important
 parameters to a single location, logically organised.
 .
 This package provides the reclass CLI and adapters for Salt and Ansible.

Package: reclass-doc
Description-md5: 47058143a33196598e845743a9670a01
Description-en: reclass documentation
 reclass is an "external node classifier" (ENC) as can be used with automation
 tools, such as Puppet, Salt, and Ansible. It is also a stand-alone tool for
 merging data sources recursively.
 .
 This package provides the documentation for reclass.

Package: recoll
Description-md5: 97a16581f4d01e705c30286f9ce44f08
Description-en: Personal full text search package
 This package is a personal full text search package is based on a very strong
 backend (Xapian), for which it provides an easy to use and feature-rich
 interface.
 .
 Features:
  * Qt-based GUI.
  * Supports the following document types (and their compressed versions).
   - Natively: text, html, OpenOffice files, maildir and mailbox (Mozilla and
     IceDove mail) with attachments, pidgin log files.
   - With external helpers:  pdf (pdftotext), postscript (ghostscript), msword
     (antiword), excel, rtf (unrtf).
  * Powerful query facilities, with boolean searches, phrases, filter on file
    types and directory tree.
  * Support for multiple charsets, Internal processing and storage uses Unicode
    UTF-8.
  * Stemming performed at query time (can switch stemming language after
    indexing).
  * Easy installation. No database daemon, web server or exotic language
    necessary.
  * An indexer which runs either as a thread inside the GUI or as an external,
    cron'able program.
 .
 This metapackage installs recoll GUI and commandline tools.

Package: recollcmd
Description-md5: bf16c7cda652f39b17d973a474875c9f
Description-en: Command line programs for recoll
 This package contains command line programs for a personal full text search
 package recoll.

Package: recollgui
Description-md5: ab58186618d6df83918beb63bf0e9546
Description-en: GUI program and elements for recoll
 This package contains main recoll GUI for configuring, controlling and
 querying recoll indexes.

Package: recommonmark-scripts
Description-md5: 7c899119f8f93de3172b1903dfe42801
Description-en: CommonMark utility for Docutils and Sphinx projects -- scripts
 The recommonmark Python module allows ones to write CommonMark inside of
 Docutils and Sphinx projects.
 .
 This package installs the scripts.

Package: recon-ng
Description-md5: 6541f3eeec9bc0376acb734317b27460
Description-en: Web Reconnaissance framework written in Python
 Recon-ng is a full-featured Web Reconnaissance framework written in Python.
 Complete with independent modules, database interaction, built in convenience
 functions, interactive help, and command completion, Recon-ng provides a
 powerful environment in which open source web-based reconnaissance can be
 conducted quickly and thoroughly.
 .
 Recon-ng has a look and feel similar to the Metasploit Framework, reducing the
 learning curve for leveraging the framework. However, it is quite different.
 Recon-ng is not intended to compete with existing frameworks, as it is designed
 exclusively for web-based open source reconnaissance. If you want to exploit,
 use the Metasploit Framework. If you want to Social Engineer, use the Social
 Engineer Toolkit.

Package: recordmydesktop
Description-md5: d5386212c64f5cbe4193f1767ef4ee38
Description-en: Captures audio-video data of a Linux desktop session
 The application produces an ogg-encapsulated theora-vorbis file.
 recordMyDesktop tries to be as unobstrusive as possible by proccessing only
 regions of the screen that have changed

Package: recoverdm
Description-md5: 7b3eccb95f44b301f1b8fc6cfd87f0e8
Description-en: recover files on disks with damaged sectors
 recoverdm recover disks with bad sectors. You can recover files as well
 complete devices. In case it finds sectors which simply cannot be recovered,
 it writes an empty sector to the output file and continues.
 .
 When recovering a CD or a DVD and the program cannot read the sector in
 "normal mode", then the program will try to read the sector in "RAW mode"
 (without error-checking etc.). This toolkit also has a utility called
 'mergebad' which merges multiple images into one.
 .
 This package is useful in forensics investigations.

Package: recoverjpeg
Description-md5: 68260883b836d1abbdb2a013e8059906
Description-en: recover JFIF (JPEG) pictures and MOV movies
 recoverjpeg tries to recover JFIF (JPEG) pictures and MOV movies from
 a peripheral. This may be useful if you mistakenly overwrite a partition
 or if a device such as a digital camera memory card is bogus.
 .
 This package provides these executables: recoverjpeg, recovermov,
 remove-duplicates and sort-pictures. The remove-duplicates is useful to
 remove duplicate files found. sort-pictures can be used to sort pictures
 according to exif date.
 .
 This package acts as a carver (data carving) and is useful in forensics
 investigations.

Package: recutils
Description-md5: 7d9de4bc22f9d9db55097eaa1df5c0e0
Description-en: text-based databases called recfiles
 GNU Recutils is a set of tools and libraries
 to access human-editable, text-based databases called recfiles.
 .
 The data is stored as a sequence of records,
 each record containing an arbitrary number of named fields.
 .
 Despite its simplicity,
 recfiles can be used to store medium-sized databases.

Package: redboot-tools
Description-md5: 800db9edbcd84d98766e28835a098657
Description-en: Collection of utilities to manipulate RedBoot data
 fis is a tool to manipulate entries in a RedBoot FIS directory, similar
 to the fis command in the RedBoot interactive shell.
 .
 fconfig is a tool to manipulate RedBoot configuration data, similar to
 the fconfig command in the RedBoot interactive shell.
 .
 These utilities help create RedBoot data from scratch or
 querying/updating the data of an installed system.  This can be used to
 generate images with RedBoot data or to change the boot data of
 devices.

Package: redeclipse
Description-md5: 2395a7d42130d6f9bc457b0c91a8215e
Description-en: free, casual arena shooter
 A fun-filled, casual, first person arena shooter, built as a total conversion
 of Cube Engine 2, which lends itself toward a balanced gameplay, with a
 general theme of agility in a variety of environments.
 .
  * Cross-platform multiplayer
  * Features parkour, impulse boosts, dashing, and other tricks
  * Favourite gamemodes with an array of mutators and variables
  * Builtin editor lets you create your own maps cooperatively online

Package: redeclipse-common
Description-md5: 3942a0ed5ca578bf2ac3bc1bbc3552a9
Description-en: common config files for the Red Eclipse FPS game
 This package contains the common config files for the server and client of the
 Red Eclipse FPS game.

Package: redeclipse-data
Description-md5: caa768fd43b5f3008c12364205a75427
Description-en: data for the Red Eclipse FPS game
 This package contains the data content, e.g. maps, models, textures, sounds,
 etc. for the Red Eclipse FPS game.

Package: redeclipse-server
Description-md5: 315338a2439def5a06eb51a250e84e31
Description-en: server for the Red Eclipse FPS game
 This package contains the dedicated server for the Red Eclipse FPS game, it
 also includes some example scripts for configuring the server. It contains no
 init integration.

Package: redet
Description-md5: 1d7e28cc57606e00d91a0f6557c1f937
Description-en: regular expression development and execution tool
 Redet allows the user to construct regular expressions and test them against
 input data by executing any of a variety of search programs, editors,
 and programming languages that make use of regular expressions. When a
 suitable regular expression has been constructed it may be saved to a file.
 .
 Redet stands for Regular Expression Development and Execution
 Tool. For each program, a palette showing the available regular expression
 syntax is provided. Selections from the palette may be copied to the
 regular expression window with a mouse click. Users may add their own
 definitions to the palette via their initialization file.
 Redet also keeps a list of the regular expressions executed, from which
 entries may be copied back into the regular expression under construction.
 The history list is saved to a file and restored on startup, so it
 persists across sessions.
 .
 So long as the underlying program supports Unicode, Redet allows UTF-8
 Unicode in both test data and regular expressions.

Package: redet-doc
Description-md5: a0b3bdade3d0ceed7ea4b71a7d20534a
Description-en: regular expression development and execution tool (documentation)
 Documentation for the Redet (regular expression development and execution
 tool.

Package: redfishtool
Description-md5: 6872accca554298597fdc86d168c0904
Description-en: redfish command-line client
 Redfishtool is a commandline tool that implements the client side of the
 Redfish RESTful API for Data Center Hardware Management.
 .
 Redfish is the new RESTful API for hardware management defined by the DMTF
 Scalable Platform Management Forum (SPMF). It provides a modern, secure,
 multi-node, extendable interface for doing hardware management. The initial
 release included hardware inventory, server power-on/off/reset, reading power
 draw, setting power limits, reading sensors such as fans, read/write of ID
 LEDs, asset tags, and went beyond IPMI in functionality to include inventory
 of processors, storage, Ethernet controllers, and total memory. New Redfish
 extensions have now been added to the spec and include firmware update, BIOS
 config, memory inventory, direct attached storage control, and the list grows.
 .
 redfishtool makes it simple to use the Redfish API from a BASH script or
 interactively from a client command shell.
 .
 While other generic HTTP clients such as Linux curl can send and receive
 Redfish requests, redfishtool goes well beyond these generic HTTP clients by
 automatically handling many of the hypermedia and Redfish-specific protocol
 aspects of the Redfish API that require a client to often execute multiple
 queries to a redfish service to walk the hypermedia links from the redfish
 root down to the detailed URI of a specific resource (eg Processor-2 of
 Blade-4 in a computer blade system). Specifically, redfishtool provides the
 following functions over curl:
 .
  * implements Redfish Session Authentication as well as HTTP Basic Auth
  * walks the Redfish schema following strict interoperpbility processors...]
    to find the targeted instance based on Id, UUID, URL or other
    attributes
  * handles GETs for collections that are returned in multiple pieces-requiring
    client to read in a loop until the full collection is returned
  * handles ETag and If-Match headers when PATCHing a resource to write
    properties
  * implements many common set or action operations with simple commandline
    syntax (eg server reset, setting LEDs, assetTag, powerLimits, etc)
  * negotiates the latest redfish protocol version between client and service
    (demonstrating the proper way to do this)
  * can read specific properties of a resource, or expand collections to
    include all members of the collection expanded
  * supports adding and deleting users, and common Redfish account service
    operations
  * For debug, provides multiple levels of verbose output to add descriptive
    headers, and show what HTTP requests are being executed
  * For debug, includes multiple levels of status display showing HTTP status
    codes and headers returned and sent
  * For easy parsing, outputs all responses in JSON format unless verbose or
    status debug options were specified

Package: redir
Description-md5: 2089a3403d126a5a0bcf29b22b68406d
Description-en: Redirect TCP connections
 It can run under inetd or stand alone (in which case it handles multiple
 connections).  It is 8 bit clean, not limited to line mode, is small and
 light. Supports transparency, FTP redirects, http proxying, NAT and bandwidth
 limiting.
 .
 redir is all you need to redirect traffic across firewalls that authenticate
 based on an IP address etc. No need for the firewall toolkit. The
 functionality of inetd/tcpd and "redir" will allow you to do everything you
 need without screwy telnet/ftp etc gateways. (I assume you are running IP
 Masquerading of course.)

Package: redis
Description-md5: 1f71827385593ea66e6e88a41b6c85b5
Description-en: Persistent key-value database with network interface (metapackage)
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 The dataset is stored entirely in memory and periodically flushed to disk.

Package: redis-redisearch
Description-md5: e831bfdb10248e768c502999779091ec
Description-en: Full-text and secondary search index engine module for Redis
 Redisearch implements a search engine on top of Redis but unlike other search
 libraries it does not use internal data structures such as sorted sets.
 .
 This also enables more advanced features such as exact phrase matching and
 numeric filtering for text queries that are not possible or efficient with
 traditional Redis search approaches.

Package: redis-redisearch-doc
Description-md5: 20917006e6dd7868a43715086c031d34
Description-en: Full-text and secondary search index engine module for Redis (documentation)
 Redisearch implements a search engine on top of Redis but unlike other search
 libraries it does not use internal data structures such as sorted sets.
 .
 This also enables more advanced features such as exact phrase matching and
 numeric filtering for text queries that are not possible or efficient with
 traditional Redis search approaches.
 .
 This package contains the documentation for redisearch.

Package: redis-sentinel
Description-md5: f4556dfa5cce22a246afed26179a780a
Description-en: Persistent key-value database with network interface (monitoring)
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 This package contains the Redis Sentinel monitoring software.

Package: redis-server
Description-md5: 9160ed1405585ab844f8750a9305d33f
Description-en: Persistent key-value database with network interface
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 The dataset is stored entirely in memory and periodically flushed to disk.

Package: redis-tools
Description-md5: 73e12355ae0942ddf9ddc6d068f95d50
Description-en: Persistent key-value database with network interface (client)
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 This package contains the command line client and other tools.

Package: redmine
Description-md5: 3a216a1439e1b07aad3aecd0c613d53b
Description-en: flexible project management web application
 Redmine is a flexible project management web application. Written using Ruby
 on Rails framework, it is cross-platform and cross-database.
 .
 Dependencies for database support are provided by these metapackages:
 redmine-mysql, redmine-pgsql, redmine-sqlite.
 .
 Features
   * Multiple projects support
   * Flexible role based access control
   * Flexible issue tracking system
   * Gantt chart and calendar
   * News, documents & files management
   * Feeds & email notifications
   * Per project wiki
   * Per project forums
   * Time tracking
   * Custom fields for issues, time-entries, projects and users
   * SCM integration (SVN, CVS, Git, Mercurial, Bazaar and Darcs)
   * Issue creation via email
   * Multiple LDAP authentication support
   * User self-registration support
   * Multilanguage support

Package: redmine-mysql
Description-md5: 5334d370ac0b467914273b70a17e9522
Description-en: metapackage providing MySQL dependencies for Redmine
 This package only provides MySQL dependencies for Redmine, a
 flexible project management web application. Install this
 one if you want to use a MySQL database with Redmine.
 .
 Redmine package should be installed along with this package.

Package: redmine-pgsql
Description-md5: f308cd100ee4983a5adb6ff3370520bf
Description-en: metapackage providing PostgreSQL dependencies for Redmine
 This package provides PostgreSQL dependencies for Redmine, a
 flexible project management web application. Install this
 one if you want to use a PostgreSQL database with Redmine.
 .
 Redmine package should be installed along with this package.

Package: redmine-plugin-custom-css
Description-md5: 87a368eab15aa0fb6e96f4541b50ebb2
Description-en: Redmine plugin to add custom CSS editable through web interface
 This plugin allow admins to customize themes by editing additional CSS
 in plugin's settings through Redmine web interface.

Package: redmine-plugin-local-avatars
Description-md5: 5c83e06d4a67147f80b6154a730f5b6d
Description-en: Redmine plugin to add local avatar support
 This plugin allows Redmine users to upload a picture to be used as an
 avatar (instead of depending on images from Gravatar).
 .
 Users can set their image through the /my/account page. The
 administrator can also manage users' avatars through the /users
 section.

Package: redmine-plugin-pretend
Description-md5: 0941ebe11c83c8953a80132a5429fa1d
Description-en: Redmine plugin to allow admins to log-in as users
 This plugin allow admins to temporarily log-in as users without knowing
 their passwords to make updates on their behalf, check access level
 or troubleshoot problems.

Package: redmine-sqlite
Description-md5: 92a51359a57c7c0c86638eb96a7a1e4e
Description-en: metapackage providing sqlite dependencies for Redmine
 This package provides sqlite dependencies for Redmine, a
 flexible project management web application. Install this
 one if you want to use a sqlite database with Redmine.
 .
 Redmine package should be installed along with this package.

Package: redshift
Description-md5: 63097b90fc4b0f93c181ccd92c47b673
Description-en: Adjusts the color temperature of your screen
 The color temperature is set according to the position of the sun. A
 different color temperature is set during night and daytime. During
 twilight and early morning, the color temperature transitions smoothly
 from night to daytime temperature to allow your eyes to slowly
 adapt.
 .
 This package provides the base program.

Package: redshift-gtk
Description-md5: 13d84be370dfb5b6eaa75705d672951a
Description-en: Adjusts the color temperature of your screen with GTK+ integration
 The color temperature is set according to the position of the sun. A
 different color temperature is set during night and daytime. During
 twilight and early morning, the color temperature transitions smoothly
 from night to daytime temperature to allow your eyes to slowly
 adapt.

Package: redsocks
Description-md5: 47987016a693cca66e9b58bf39853ca2
Description-en: arbitrary TCP connection redirector to a SOCKS or HTTPS proxy server
 Redsocks is a daemon running on the local system, that will transparently
 tunnel any TCP connection via a remote SOCKS4, SOCKS5 or HTTP proxy server. It
 uses the system firewall's redirection facility to intercept TCP connections,
 thus the redirection is system-wide, with fine-grained control, and does
 not depend on LD_PRELOAD libraries.
 .
 Redsocks supports tunneling TCP connections and UDP packets. It has
 authentication support for both, SOCKS and HTTP proxies.
 .
 Also included is a small DNS server returning answers with the "truncated" flag
 set for any UDP query, forcing the resolver to use TCP.

Package: ree
Description-md5: 4515a358cc306ae518f98e23980c4cba
Description-en: extract ROM extensions
 ROM extension extractor (ree) is a tool which extracts ROM extensions
 from the firmware of a PC computer. This package also comes with fontdump,
 a program to extract the fonts from your video BIOS.
 .
 ree will scan the system memory, address c0000 - f0000 in 512 byte steps for
 identification of ROM extensions (55,aa). If found, it will calculate its
 size (byte after id, multiplied by 512byte steps) and save the output to
 a .rom file.

Package: refind
Description-md5: 9b3e73b9c1433dc9c16339776cee464c
Description-en: boot manager for EFI-based computers
 A graphical boot manager for EFI- and UEFI-based computers, such as all
 Intel-based Macs and recent (most 2011 and later) PCs. rEFInd presents a
 boot menu showing all the EFI boot loaders on the EFI-accessible
 partitions, and optionally BIOS-bootable partitions on Macs and BIOS boot
 entries on UEFI PCs with CSMs. EFI-compatible OSes, including Linux,
 provide boot loaders that rEFInd can detect and launch. rEFInd can launch
 Linux EFI boot loaders such as ELILO, GRUB Legacy, GRUB 2, and 3.3.0 and
 later kernels with EFI stub support. EFI filesystem drivers for ext2/3/4fs,
 ReiserFS, Btrfs, NTFS, HFS+, and ISO-9660 enable rEFInd to read boot
 loaders from these filesystems, too. rEFInd's ability to detect boot
 loaders at runtime makes it very easy to use, particularly when paired with
 Linux kernels that provide EFI stub support.

Package: reflex
Description-md5: a93811cd1d8a5403944275b3d3bb4635
Description-en: Run a command when files change
 Reflex is a small tool to watch a directory and rerun a command
 when certain files change. It's great for automatically running
 compile/lint/test tasks and for reloading your application when the
 code changes.

Package: refmac-dictionary
Description-md5: 1ece2d349c4c3bced825d20f2171596e
Description-en: dictionary for macromolecular refinement and model building
 Dictionary of ligands and constituent blocks (e.g. amino acids, nucleic
 acids, sugars) contains necessary stereochemical information (e.g. bond
 lengths, angles, torsion angles) about small molecules used in refinement
 and model building. Values in the dictionary are for an abstract form of
 monomers, i.e there is no conformational/configurational or environment
 dependence.

Package: reformat
Description-md5: 02bc57cc0e4b7839c94eb3d75becc59b
Description-en: simple tool for re-formatting plain ascii texts
 reformat reads plain ascii texts from stdin, reformats them and then
 writes the result to stdout.
 .
 Reformatting can be:
   o justify text
   o add a left margin
   o 'resize' text to a special width
   o ...

Package: regexxer
Description-md5: 220cdeac7288a05388f84791701a3d2e
Description-en: visual search and replace tool using Perl Regex
 Regexxer is a nifty GUI search/replace tool featuring Perl-style
 regular expressions (PCRE - Perl Regex). If you need project-wide
 substitution and you're tired of hacking sed command lines together,
 then you should definitely give it a try.
 .
 The tool can be used to search files or contents inside of a file.

Package: regina-normal
Description-md5: 343584d7c889f4c945e8a3a5767ebfaa
Description-en: mathematical software for low-dimensional topology
 Regina is a software package for 3-manifold and 4-manifold topologists,
 with a focus on triangulations, normal surfaces and angle structures.
 .
 For 3-manifolds, it includes high-level tasks such as 3-sphere recognition,
 connected sum decomposition and Hakenness testing, comes with a rich
 database of census manifolds, and incorporates the SnapPea kernel for
 working with hyperbolic manifolds.  For 4-manifolds, it offers a range of
 combinatorial and algebraic tools, plus support for normal hypersurfaces.
 .
 Regina comes with a full graphical user interface, as well as Python bindings
 and a low-level C++ programming interface.
 .
 This package includes the graphical user interface and the command-line
 Python interface.  The users' handbook and the API documentation are in
 the separate package regina-normal-doc, and the MPI-enabled utilities for
 high-performance clusters are in the separate package regina-normal-mpi.

Package: regina-normal-dev
Description-md5: e9d25990ce64009733a121d02c5233ab
Description-en: development files for Regina, the topology software
 Regina is a software package for low-dimensional topologists.  This package
 provides development files for compiling Regina's mathematical engine into
 other projects.
 .
 The calculation engine is provided as a C++ shared library.  For complete
 API documentation, see the package regina-normal-doc.
 .
 Regina focuses on the study of 3-manifold and 4-manifold triangulations,
 normal surfaces, and angle structures.  For Regina's standard graphical
 user interface, and for more information about what Regina can do, see
 the package regina-normal.

Package: regina-normal-doc
Description-md5: a8604ca38c7f2b0002d384c9855c7df5
Description-en: documentation for Regina, the topology software
 Regina is a software package for low-dimensional topologists.  This package
 provides a rich users' handbook, complete API documentation for the C++
 calculation engine and Python bindings, and the full specification for
 Regina's XML data file format.
 .
 Regina focuses on the study of 3-manifold and 4-manifold triangulations,
 normal surfaces, and angle structures.  For Regina's standard graphical
 user interface, and for more information about what Regina can do, see
 the package regina-normal.

Package: regina-normal-mpi
Description-md5: aa184e07fe335a60ecba23d5f1717669
Description-en: MPI utilities for Regina, the topology software
 Regina is a software package for low-dimensional topologists.  This package
 contains the components of Regina designed to run large parallel computations
 on a high-performance cluster using MPI.
 .
 These components are built against the default implementation of MPI,
 and must be run under the default MPI runtime environment (as found
 in the package mpi-default-bin).
 .
 Regina focuses on the study of 3-manifold and 4-manifold triangulations,
 normal surfaces, and angle structures.  For Regina's standard graphical
 user interface, and for more information about what Regina can do, see
 the package regina-normal.

Package: regina-rexx
Description-md5: 63dc4525715f73137c97814c514a6570
Description-en: Regina REXX interpreter
 Regina is an ANSI compliant REXX interpreter for multiple platforms.
 .
 REXX is a procedural language that allows programs and algorithms
 to be written in a clear and structured way, it is also designed
 to be used as a macro language by arbitrary application programs.
 .
 Contains the Regina REXX interpreter (regina and rexx), external
 queue support (rxstack and rxqueue) and example programs.

Package: regionset
Description-md5: d8c455345188c006e6bece483bf7ac4a
Description-en: view and modify the region code of DVD drives
 Regionset is a small utility which displays and sets
 the region/zone setting of a DVD drive, allowing it to decrypt
 the DVD's sold in this geographical zone. Hardware vendors
 often limit the number of such modifications, but it is
 necessary to set it at least once with a brand new drive.
 .
 URL: http://linvdr.org/projects/regionset/

Package: registry-tools
Description-md5: 7266dad7d5ee9360537ffc04ec7157f3
Description-en: tools for viewing and manipulating the Windows registry
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 This package contains tools for viewing and manipulating the binary
 "registry" found on Windows machines, both locally and remote.

Package: reglookup
Description-md5: 4b4cbf28979f6df6f91127ad133ce24b
Description-en: utility to analysis for Windows NT-based registry
 RegLookup is a system to direct analysis of Windows NT-based registry
 files providing command line tools, a C API, and a Python module for
 accessing registry data structures. The project has a focus on providing
 tools for digital forensics investigations (though is useful for many
 purposes), and includes algorithms for retrieving deleted data structures
 from registry hives.
 .
 Currently the program allows one to read an entire registry and output it
 in a (mostly) standardized, quoted format. It also provides features for
 filtering of results based on registry path and data type. The package
 provides the following commands: reglookup, reglookup-recover and
 reglookup-timeline.

Package: reglookup-doc
Description-md5: 60172bccd1cc3532fbddee5a02a959b5
Description-en: developer documentation for libregfi and python-pyregfi
 RegLookup is a system to direct analysis of Windows NT-based registry
 files providing command line tools, a C API, and a Python module for
 accessing registry data structures. The project has a focus on providing
 tools for digital forensics investigations (though is useful for many
 purposes), and includes algorithms for retrieving deleted data structures
 from registry hives.
 .
 Currently the program allows one to read an entire registry and output it
 in a (mostly) standardized, quoted format. It also provides features for
 filtering of results based on registry path and data type. The package
 provides the following commands: reglookup, reglookup-recover and
 reglookup-timeline.
 .
 This package contains the all developer documentation for libraries libregfi
 and python-pyregfi.

Package: relational
Description-md5: 93f4c26999e5f549dc3c70e5e1e88924
Description-en: Educational tool for relational algebra (graphical user interface)
 Relational is primarily a tool to provide a workspace for experimenting with
 relational algebra, an offshoot of first-order logic.
 .
 This package provides a graphical user interface that can be used to
 execute relational queries.

Package: relational-cli
Description-md5: fee13806478885574b9337aa5c052968
Description-en: Educational tool for relational algebra (command line interface)
 Relational is primarily a tool to provide a workspace for experimenting with
 relational algebra, an offshoot of first-order logic.
 .
 This package provides a command line interface that can be used to
 execute relational queries.

Package: relion-bin
Description-md5: 11b37d59596139388bb1a837e1e09405
Description-en: toolkit for 3D reconstructions in cryo-electron microscopy
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 relion-bin provides the serial command-line tools

Package: relion-bin+gui
Description-md5: be4acca0a506c00a12fb7590bf77eccb
Description-en: parallel toolkit for 3D reconstructions in cryo-electron microscopy
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 relion-bin+gui provides the serial command-line tools and the graphical user
 interface.

Package: relion-bin+mpi
Description-md5: 9a3b46a6bd0077e84e25b7bc555253fc
Description-en: parallel toolkit for 3D reconstructions in cryo-electron microscopy
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 relion-bin+mpi provides the serial and parallel command-line tools based on
 OpenMPI.

Package: relion-bin+mpi+gui
Description-md5: c45f291e5e68464ccf6fbf15740cef16
Description-en: parallel toolkit for 3D reconstructions in cryo-electron microscopy
 RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone
 computer program for Maximum A Posteriori refinement of (multiple) 3D
 reconstructions or 2D class averages in cryo-electron microscopy.
 .
 RELION provides a GUI, several command-line tools in parallel (MPI) and serial
 versions as well as a C++ API.
 .
 relion-bin+mpi+gui provides the serial and parallel command-line tools as
 well as the graphical user interface.

Package: remake
Description-md5: e1f3a023b432d891c2ad8c42b2062bce
Description-en: GNU make fork with improved error reporting and debugging
 Modernized version of GNU make utility that adds improved error
 reporting, the ability to trace execution in a comprehensible way, and
 a debugger. Some of the features of the debugger are:
  * see the target call stack
  * set breakpoints on targets
  * show and set variables
  * execute arbitrary "make" code
  * issue shell commands while stopped in the middle of execution
  * inspect target descriptions
  * write a file with the commands of the target expanded

Package: remaster-iso
Description-md5: e46510b437cb1e546ad9740bc366acde
Description-en: Set of scripts to remaster Debian iso images.
 Set of scripts to extract an iso image, edit the images
 filesystem.squashfs, and finally compose the changes back in iso format.

Package: remctl-client
Description-md5: 9adff733f2787cbf9d4d36ca24a4c617
Description-en: Client for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the client program (remctl).

Package: remctl-server
Description-md5: 4a9a5e5e1e2d1cfd0bc3f6df421c0b9c
Description-en: Server for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the server (remctld) and the SSH backend
 (remctl-shell).

Package: remembrance-agent
Description-md5: dd0739daa838fa0c80d0981ea4e64168
Description-en: Emacs mode to help find relevant texts
 The Remembrance Agent is one of the projects being developed by the MIT
 Media Lab's software agents group.  Given a collection of the user's
 accumulated email, Usenet news articles, papers, saved HTML files and other
 text notes, it attempts to find those documents which are most relevant to
 the user's current context.  That is, it searches this collection of text
 for the documents which bear the highest word-for-word similarity to the
 text the user is currently editing, in the hope that they will also bear
 high conceptual similarity and thus be useful to the user's current work.
 These suggestions are continuously displayed in a small buffer at the
 bottom of the user's emacs buffer.  If a suggestion looks useful, the full
 text can be retrieved with a single command.

Package: remind
Description-md5: 5b163d21d42fbc03e201fdb61071c10d
Description-en: sophisticated calendar and alarm program
 Remind allows you to remind yourself of upcoming events and
 appointments.  Each reminder or alarm can consist of a message sent
 to standard output, or a program to be executed.
 .
 It also features: sophisticated date calculation, moon phases,
 sunrise/sunset, Hebrew calendar, alarms, PostScript output, tcl/tk
 front-end and proper handling of holidays.
 .
 Reminders can be created by the remind scripting language or by using
 the graphical frontend package "tkremind".

Package: remmina-plugin-exec
Description-md5: e0cae0c436f8d6ccfecf539d387b47c0
Description-en: EXEC plugin for Remmina
 Remmina is a remote desktop client written in GTK+, aiming to be
 useful for system administrators and travellers, who need to work
 with lots of remote computers in front of either large monitors or
 tiny netbooks.
 .
 Remmina supports multiple network protocols in an integrated and
 consistent user interface.
 .
 Currently RDP, VNC, SPICE, WWW, NX, XDMCP, EXEC and SSH are supported.
 .
 This package contains the EXEC plugin for Remmina.

Package: remmina-plugin-kwallet
Description-md5: 384e8a0e7ceefc65529350b78ae064d6
Description-en: KWallet plugin for Remmina
 Remmina is a remote desktop client written in GTK+, aiming to be
 useful for system administrators and travellers, who need to work
 with lots of remote computers in front of either large monitors or
 tiny netbooks.
 .
 Remmina supports multiple network protocols in an integrated and
 consistent user interface.
 .
 Currently RDP, VNC, SPICE, WWW, NX, XDMCP, EXEC and SSH are supported.
 .
 This package contains the KWallet plugin for Remmina, which
 allows one to store and retrieve passwords using the KDE wallet.

Package: remmina-plugin-nx
Description-md5: b7b7eb331c107c89d76ba8883a712e07
Description-en: NX plugin for Remmina
 Remmina is a remote desktop client written in GTK+, aiming to be
 useful for system administrators and travellers, who need to work
 with lots of remote computers in front of either large monitors or
 tiny netbooks.
 .
 Remmina supports multiple network protocols in an integrated and
 consistent user interface.
 .
 Currently RDP, VNC, SPICE, WWW, NX, XDMCP, EXEC and SSH are supported.
 .
 This package contains the NX plugin for Remmina.

Package: remmina-plugin-spice
Description-md5: 3977c5498d18279499b5c373044856b5
Description-en: Spice plugin for Remmina
 Remmina is a remote desktop client written in GTK+, aiming to be
 useful for system administrators and travellers, who need to work
 with lots of remote computers in front of either large monitors or
 tiny netbooks.
 .
 Remmina supports multiple network protocols in an integrated and
 consistent user interface.
 .
 Currently RDP, VNC, SPICE, WWW, NX, XDMCP, EXEC and SSH are supported.
 .
 This package contains the Spice plugin for Remmina.

Package: remmina-plugin-www
Description-md5: 96f1d717b71489d00ab9774299a38fa5
Description-en: WWW plugin for Remmina
 Remmina is a remote desktop client written in GTK+, aiming to be
 useful for system administrators and travellers, who need to work
 with lots of remote computers in front of either large monitors or
 tiny netbooks.
 .
 Remmina supports multiple network protocols in an integrated and
 consistent user interface.
 .
 Currently RDP, VNC, SPICE, WWW, NX, XDMCP, EXEC and SSH are supported.
 .
 This package contains the WWW plugin for Remmina.

Package: remmina-plugin-xdmcp
Description-md5: 582ca6ceae3a5d54376b955ad83c5035
Description-en: XDMCP plugin for Remmina
 Remmina is a remote desktop client written in GTK+, aiming to be
 useful for system administrators and travellers, who need to work
 with lots of remote computers in front of either large monitors or
 tiny netbooks.
 .
 Remmina supports multiple network protocols in an integrated and
 consistent user interface.
 .
 Currently RDP, VNC, SPICE, WWW, NX, XDMCP, EXEC and SSH are supported.
 .
 This package contains the XDMCP plugin for Remmina.

Package: remote-logon-config-agent
Description-md5: aecec4a606577858d81a18a7f98e559a
Description-en: Retrieve host and session information from remote broker servers
 This tiny Python tool is part of the Arctica Greeter display manager.
 .
 The remote-logon-config-agent fetches JSON based remote server
 information from a brokerage host (formerly known as UCCS, a service run
 by Canonical Ltd. around 2012-2014).
 .
 This tool gets used by the DBus service 'remote-logon-service'. The
 retrieved information is then handed over by the DBus service to Arctica
 Greeter.
 .
 The retrieved information can be obtained from a remote desktop
 brokerage service like Arctica Server (with brokerage support) or from
 the X2Go Session Broker.
 .
 In Arctica Greeter, the logon information is presented to the user for
 starting up remote desktop sessions on Arctica, X2Go or RDP / Citrix
 servers.

Package: remote-logon-service
Description-md5: 52a87f6b65d12a2a1058637769913d0e
Description-en: DBus service for tracking available remote logon servers
 The 'remote-logon-service' DBus service obtains its data from the Python
 script 'remote-logon-config-agent'. The retrieved information is then
 handed over to Arctica Greeter.
 .
 In Arctica Greeter, the logon information is presented to the user for
 starting up remote desktop sessions on Arctica, X2Go or RDP / Citrix
 servers.

Package: remote-tty
Description-md5: 16e2ccc9384491e20fe40f93906cc7f8
Description-en: multiuser "tip"/"cu" replacement with logging
 This is Paul Vixie's rtty serial console tool. It allows runs a server
 per port which then support multiple connections at time from
 "tip"/"cu"-like clients. It also supports logging of output from the
 port, even when no client is connected. This can be invaluable for post
 mortem diagnosis of crashes of serial consoled machines.

Package: remotetea
Description-md5: a1ec732b12b902fe798067fd387b4f47
Description-en: Sun ONC/RPC support for Java
 This package implements Sun's Open Network Computing Remote Procedure
 Call specification (see RFC 1831, RFC 1832, RFC 1833) in pure Java.
 .
 It supports:
  * RPC calls over TCP/IP as well as UDP/IP;
  * both client and server functionality;
  * portmapper querying;
  * authentication types AUTH_NONE, AUTH_UNIX, and AUTH_SHORT
    (on both client and server sides).
 .
 The utility jrpcgen is provided to compile .x files into Java classes.

Package: remotetrx
Description-md5: e0c70485614957f7454eac11ef05ac12
Description-en: Remote controller for radio transceivers
 The RemoteTrx server facilitates remote control of amateur radio
 transceivers via the EchoLink® protocol. It is normally
 used together with the 'svxlink-server' package, which allows
 for nearly world-wide access from the Internet.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 The RemoteTrx server provides remote control of a connected radio
 transceiver. EchoLink® is a registered trademark of
 Synergenics, LLC.

Package: renaissance-doc
Description-md5: e993f2175725cd9c2496859cfe6d380d
Description-en: GNUstep GUI Framework - documentation
 Renaissance is a GNUstep develoment framework which runs on top of the
 GNUstep libraries.
 .
 This package include the Renaissance manual, tutorial and various
 examples.

Package: rename
Description-md5: f25bdadb8b97cbf70a4a78c50ca2e8af
Description-en: Perl extension for renaming multiple files
 This package provides both a perl interface for renaming files
 (File::Rename) and a command line tool 'rename' which is intended to
 replace the version currently supplied by the perl package.

Package: rename-flac
Description-md5: b3d15647f22fcff62dd31a93cd8b6749
Description-en: CLI tool to rename FLAC files
 rename-flac is a command-line tool that takes the information from FLAC
 metadata to batch rename the files according to a filenaming scheme.

Package: renameutils
Description-md5: 8ab9d70863e989e497da85c1eda09757
Description-en: Programs to make file renaming easier
 The file renaming utilities (renameutils for short) are a set of
 programs designed to make renaming of files faster and less
 cumbersome.
 .
 This package consists of five programs - qmv, imv, icp, qcp and deurlname:
 .
 qmv ("quick move") allows file names to be edited in a text
 editor. The names of all files in a directory are written to a text
 file, which is then edited by the user. The text file is read and
 parsed, and the changes are applied to the files.
 .
 imv ("interactive move"), is trivial but useful when you are too lazy
 to type (or even complete) the name of the file to rename twice. It
 allows a file name to be edited in the terminal using the GNU Readline
 library.
 .
 icp and qcp are similar to imv and qmv but for copying using "cp".
 .
 deurlname removes URL encoded characters (such as %20 representing
 space) from file names. Some programs such as w3m tend to keep those
 characters encoded in saved files.

Package: renattach
Description-md5: d467f7645de54f85c5066dbf2b3b5c21
Description-en: Rename attachments on the fly
 Renattach is a small, efficient and surprisingly effective filter
 designed primarily to offer an additional level of safety to Windows
 users whose e-mails pass through a UNIX-like mail server. Many modern
 viruses are spread through e-mail, and renattach combats such viruses by
 filtering e-mail attachments based on file extension. The idea is to
 rename potentially dangerous attachments (executable ones) so that
 the user, or the user's poorly written e-mail software, does not
 accidentally execute the attachment.

Package: render-bench
Description-md5: 3b95d34acaa1d10239db777c0a5a0d70
Description-en: Benchmark for the XRender extension
 A program that performs a series of tests to benchmark the XRender X11
 extension.  It also performs the same tests using the Imlib2 library to
 provide a base for comparing performance.

Package: renderdoc
Description-md5: 3ffc1706d27b822ae7f9c6548867f9af
Description-en: Stand-alone graphics debugging tool
 RenderDoc is a free MIT licensed stand-alone graphics debugger that
 allows quick and easy single-frame capture and detailed introspection
 of any application using Vulkan, D3D11, OpenGL & OpenGL ES or D3D12
 across Windows 7 - 10, Linux, or Android.

Package: reniced
Description-md5: de88b89cd7aa45a2a0cd08307d12bb0e
Description-en: renice running processes based on regular expressions
 reniced takes a list of regular expressions, looks for processes
 matching them and renices the processes to given values.
 .
 Instead of editing the scripts in /etc/init.d to give daemons the
 nicelevel you want (and get prompted at every package update because
 these files are conffiles) you can just run reniced once a day.

Package: renpy
Description-md5: 041a87b0d914d0ac0e8c82e7b472f699
Description-en: framework for developing visual-novel type games
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 Ren'Py tries to be like an executable script, allowing you to get a working
 game without much more effort than is required to type the game script into
 the computer.
 .
 Ren'Py is implemented on top of Python, and that Python heritage shows
 through in many places. Many Ren'Py statements allow Python expressions
 to be used, and there are also Ren'Py statements that allow for the
 execution of arbitrary Python code. Many of the less-used features of
 Ren'Py are exposed to the user by way of Python. By only requiring use of
 the simplest features of Python, it's hoped that Ren'Py will be usable by
 all game authors.

Package: renpy-demo
Description-md5: 190f46381153aad008dabba8f62deaac
Description-en: framework for developing visual-novel type games - demo
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 This package includes a full playable example showing the features of the
 framework.

Package: renpy-doc
Description-md5: e5f9a77eda0a06f632b211f653d31de1
Description-en: framework for developing visual-novel type games - doc
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 This package includes the documentation for Ren'Py.

Package: renpy-thequestion
Description-md5: d1b3aa8b528129537675a794fbed7435
Description-en: simple and complete Ren'Py game
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 This package includes a simple and complete Ren'Py game.

Package: renrot
Description-md5: 94ef78f3791efeb8aa581de9cc25aada
Description-en: Rename and rotate files according to EXIF tags
 RenRot renames files according the DateTimeOriginal and FileModifyDate
 EXIF tags, if they exist. Otherwise, the name will be set according to
 the current timestamp. Additionally, it rotates JPEG images and their
 thumbnails, using the Orientation EXIF tag.

Package: rep
Description-md5: 902f579f55694d6fa5c9e1aa16b0f49f
Description-en: lisp command interpreter
 rep is a dialect of Lisp, designed to be used both as an extension
 language for applications and as a general purpose programming language.
 .
 It was originally written to be mostly-compatible with Emacs Lisp, but has
 subsequently diverged markedly. Its aim is to combine the best features of
 Scheme and Common Lisp and provide an environment that is comfortable for
 implementing both small and large scale systems. It tries to be a
 "pragmatic" programming language.

Package: rep-doc
Description-md5: eb01d7a11bea02855ea2537c29562596
Description-en: documentation for the lisp command interpreter
 rep is a dialect of Lisp, designed to be used both as an extension
 language for applications and as a general purpose programming language.
 .
 It was originally written to be mostly-compatible with Emacs Lisp, but has
 subsequently diverged markedly. Its aim is to combine the best features of
 Scheme and Common Lisp and provide an environment that is comfortable for
 implementing both small and large scale systems. It tries to be a
 "pragmatic" programming language.
 .
 This package contains the language documentation in info format.

Package: rep-gtk
Description-md5: fd03440f4f856227cef8c49bafd98928
Description-en: GTK+ binding for librep
 This package provides an interface to the GTK+ graphical toolkit for
 the librep lisp interpreter.

Package: repeatmasker-recon
Description-md5: ef49f5f976f649a4b11b57010e18f09d
Description-en: finds repeat families from biological sequences
 The RECON package implements a de novo algorithm for the identification
 of repeat families from biological sequences.
 .
 The program implements an approach for the de novo identification and
 classification of repeat sequence families that is based on extensions
 to the usual approach of single linkage clustering of local pairwise
 alignments between genomic sequences. The extensions use multiple
 alignment information to define the boundaries of individual copies of
 the repeats and to distinguish homologous but distinct repeat element
 families. When tested on the human genome, this approach was able to
 properly identify and group known transposable elements. The program,
 should be useful for first-pass automatic classification of repeats in
 newly sequenced genomes.

Package: repetier-host
Description-md5: c1716170771bb86e91f7e6d392da3acb
Description-en: host controller for RepRap style 3D printers
 Repetier-Host allows you to perform all the steps needed to 3D-print models.
 It supplies the following features:
   - arranging model(s) on a virtual print plate
   - slicing the model and computing paths for printer head
   - checking the g-code for errors
   - sending the g-code to printer
   - monitoring the printer

Package: rephrase
Description-md5: c02d8dba2b8f4be50edf0ec8cadac9fd
Description-en: Specialized passphrase recovery tool for GnuPG
 If you can nearly remember your GnuPG passphrase - but not quite - then
 Rephrase may be able to help. Tell Rephrase the parts of the passphrase you
 know, and any number of alternatives for the parts you're not sure about; and
 Rephrase will try all the alternatives, in all possible combinations, and tell
 you which combination (if any) gives you the correct passphrase.

Package: repmgr
Description-md5: 152b67ccef845b5fd3aa24975a43e5b1
Description-en: replication manager for PostgreSQL (metapackage)
 repmgr is an open-source tool suite for managing replication and failover in a
 cluster of PostgreSQL servers. It enhances PostgreSQL's built-in hot-standby
 capabilities with tools to set up standby servers, monitor replication, and
 perform administrative tasks such as failover or manual switchover operations.
 .
 This is a metapackage that depends on the version specific packages.

Package: repmgr-common
Description-md5: 0e17d2543ba80316e58e40e8d61b44e2
Description-en: replication manager for PostgreSQL common files
 repmgr is an open-source tool suite for managing replication and failover in a
 cluster of PostgreSQL servers. It enhances PostgreSQL's built-in hot-standby
 capabilities with tools to set up standby servers, monitor replication, and
 perform administrative tasks such as failover or manual switchover operations.
 .
 This package contains repmgr files common to all versions.

Package: reportbug
Description-md5: 89ec41936f451ef5842d72156f0bc71c
Description-en: reports bugs in the Debian distribution
 reportbug is a tool designed to make the reporting of bugs in Debian
 and derived distributions relatively painless.  Its features include:
 .
  * Integration with mutt and mh/nmh mail readers.
  * Access to outstanding bug reports to make it easier to identify
    whether problems have already been reported.
  * Automatic checking for newer versions of packages.
  * Optional automatic verification of integrity of packages via debsums.
  * Support for following-up on outstanding reports.
  * Optional PGP/GnuPG integration.
 .
 reportbug is designed to be used on systems with an installed mail
 transport agent, like exim or sendmail; however, you can edit the
 configuration file and send reports using any available mail server.
 .
 This package also includes the "querybts" script for browsing the
 Debian bug tracking system.
 .
 REPORTBUG IS MEANT FOR UBUNTU DEVELOPERS TO FORWARD BUGS TO DEBIAN.
 IF YOU WANT TO REPORT A BUG IN UBUNTU, PLEASE USE "ubuntu-bug"
 INSTEAD (from the "apport" package).

Package: reportbug-gtk
Description-md5: 7a7db154dbcf1b046f7870385e9dfd41
Description-en: reports bugs in the Debian distribution (GTK+ UI)
 reportbug is a tool designed to make the reporting of bugs in Debian
 and derived distributions relatively painless.  Its features include:
 .
  * Integration with mutt and mh/nmh mail readers.
  * Access to outstanding bug reports to make it easier to identify
    whether problems have already been reported.
  * Automatic checking for newer versions of packages.
  * Optional automatic verification of integrity of packages via debsums.
  * Support for following-up on outstanding reports.
  * Optional PGP/GnuPG integration.
 .
 reportbug is designed to be used on systems with an installed mail
 transport agent, like exim or sendmail; however, you can edit the
 configuration file and send reports using any available mail server.
 .
 This package contains a desktop file and icon, and has dependencies
 to enable the GTK+ UI mode of reportbug to work.

Package: reposurgeon
Description-md5: a8314666f689b6a68559123cd1263bfa
Description-en: Tool for editing version-control repository history
 `reposurgeon` enables risky operations that version-control systems
 don't want to let you do, such as (a) editing past comments and metadata,
 (b) excising commits, (c) coalescing commits, and (d) removing files and
 subtrees from repo history. The original motivation for `reposurgeon`
 was to clean up artifacts created by repository conversions.
 .
 `reposurgeon` is also useful for scripting very high-quality
 conversions from Subversion.  It is better than `git-svn` at tag
 lifting, automatically cleaning up `cvs2svn` conversion artifacts,
 dealing with nonstandard repository layouts, recognizing branch
 merges, handling mixed-branch commits, and generally at coping with
 Subversion's many odd corner cases.  Normally Subversion repos should
 be analyzed at a rate of upwards of ten thousand commits per minute,
 though that rate can fall significantly on extremely large
 repositories.
 .
 Another auxiliary program, `repotool`, performs various useful
 operations such as checkouts and tag listing in a VCS-independent
 manner.  Yet another, `repomapper`, assists in automatically preparing
 contributor maps of CVS and SVN repositories.
 .
 The `repocutter` program is available for some specialized operations on
 Subversion dumpfiles; it may be useful in extracting portions of
 particularly gnarly Subversion repositories for conversion witth
 reposurgeon.
 .
 This distribution supports a generic conversion workflow using these
 tools, and includes the DVCS Migration Guide that describes how to use it.

Package: reprepro
Description-md5: 906badd8b28c01d174ccfdfa4460ec5d
Description-en: Debian package repository producer
 reprepro is a tool to manage a repository of Debian packages
 (.deb, .udeb, .dsc, ...). It stores files either being
 injected manually or downloaded from some other repository
 (partially) mirrored into one pool/ hierarchy.
 Managed packages and files are stored in a Berkeley DB,
 so no database server is needed.
 Checking signatures of mirrored repositories and creating
 signatures of the generated Package indices is supported.
 .
 This package also contains the changestool and rredtool helpers.

Package: reprof
Description-md5: 342238c0d4c4aebefe24e19de814dee8
Description-en: protein secondary structure and accessibility predictor
 'reprof' is an improved implementation of 'prof', a popular protein secondary
 structure and accessibility predictor.  Prediction is either
 done from protein sequence alone or from an alignment - the latter should be
 used for optimal performance.
 .
 This package provides the 'reprof' command.  It is only a command line
 interface to the functionality provided by the modules in
 librg-reprof-bundle-perl.

Package: reprotest
Description-md5: 72eafe04323c1b04988b407a2fd08e34
Description-en: Build software and check it for reproducibility
 reprotest builds the same source code twice in different environments, and
 then checks the binaries produced by each build for differences. If any are
 found, then diffoscope (or if unavailable then diff) is used to display them
 in detail for later analysis.
 .
 It supports different types of environment such as a "null" environment (i.e.
 doing the builds directly in /tmp) or various other virtual servers, for
 example schroot, ssh, qemu, and several others.
 .
 reprotest is developed as part of the “reproducible builds” Debian project.

Package: reprozip
Description-md5: 344fa00548e9e518fc896be25591b656
Description-en: tool for reproducing scientific experiments (packer)
 ReproZip is a tool aimed at simplifying the process of creating
 reproducible experiments from command-line executions, a frequently-used
 common denominator in computational science.
 .
 It tracks operating system calls and creates a package that contains
 all the binaries, files and dependencies required to run a given
 command on the author’s computational environment (packing step). A
 reviewer can then extract the experiment in his environment to
 reproduce the results (unpacking step).
 .
 This package provides the ReproZip packer.

Package: repsnapper
Description-md5: d95156a7bdcd60c9b6efae475b53a77f
Description-en: STL to GCode Converter and print software for RepRap machines
 A RepRap is a 3D printer: a machine which can print 3-dimensional plastic
 objects from a computer model. Repsnapper can convert STL, which can be
 created with most 3D drawing programs like Blender, into GCode, which the
 RepRap can understand. It can also be used to send the result to the RepRap.

Package: reptyr
Description-md5: 9f2dcd7f27b5260f9243f5c1223801db
Description-en: Tool for moving running programs between ptys
 reptyr is a utility for taking an existing running program and
 attaching it to a new terminal, and is particularly useful for moving
 a long-running process into a GNU screen session.
 .
 reptyr does a more thorough job of transferring programs than many
 other tools, including the popular "screenify" shell script, because
 it changes the program's controlling terminal. This means that
 actions such as window resizes and interrupts are sent to the process
 from the new terminal.

Package: request-tracker4
Description-md5: 8875ccade9d644e4c45bdd5dc45c475f
Description-en: extensible trouble-ticket tracking system
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This package provides the core of RT.
 .
 This package supports three database types out of the box: MySQL,
 PostgreSQL and SQLite. In order to support a zero-configuration install,
 SQLite will be used by default, but is not recommended for production
 use. Please see /usr/share/doc/request-tracker4/NOTES.Debian for more
 details and consider installing rt4-db-postgresql or rt4-db-mysql at
 the same time as this package.

Package: rerun
Description-md5: 3b279f3ada5335bf798145acd11e26f9
Description-en: tool to launch commands and restart them on filesystem changes
 Rerun launches your program, then watches the filesystem. If a relevant file
 changes, then it restarts your program. Rerun works for both long-running
 processes (e.g. apps) and for short-running ones (e.g. tests).
 .
 Install libnotify-bin to get desktop notifications when your application is
 restarted, or about the results of your tests.

Package: resample
Description-md5: c3678042da68a87a132f3dd5171291ed
Description-en: Resamples a sound file using a FIR filter
 The resample program "resamples" a soundfile to change its sampling
 rate.  For example, it can be used to convert the sampling rate from
 48 kHz (used by DAT machines) to 44.1 kHz (the standard sampling rate
 for Compact Discs).
 .
 The windowfilter program designs Finite-Impulse-Response (FIR) digital
 filters by the so-called "window method."  In this method, the ideal
 impulse response (a sinc function) is "windowed" by a Kaiser window (a
 popular window used in spectrum analysis).

Package: resfinder
Description-md5: cce3ff3cc36c4c2328391a5521af12c8
Description-en: identify acquired antimicrobial resistance genes
 ResFinder identifies acquired antimicrobial resistance genes in total or
 partial sequenced isolates of bacteria.
 .
 ResFinder that uses BLAST for identification of acquired antimicrobial
 resistance genes in whole-genome data. As input, the method can use both
 pre-assembled, complete or partial genomes, and short sequence reads
 from four different sequencing platforms. The method was evaluated on
 1862 GenBank files containing 1411 different resistance genes, as well
 as on 23 de-novo-sequenced isolates.

Package: resfinder-db
Description-md5: a4cfc4a3c540446a5b15cae84ba390d4
Description-en: ResFinder database is a curated database of acquired resistance genes
 ResFinder identifies acquired antimicrobial resistance genes in total or
 partial sequenced isolates of bacteria.
 .
 ResFinder that uses BLAST for identification of acquired antimicrobial
 resistance genes in whole-genome data. As input, the method can use both
 pre-assembled, complete or partial genomes, and short sequence reads
 from four different sequencing platforms. The method was evaluated on
 1862 GenBank files containing 1411 different resistance genes, as well
 as on 23 de-novo-sequenced isolates.
 .
 This package provides the database needed for resfinder.

Package: resfinder-example
Description-md5: b1c2e96cc2068944760d609b9beaea60
Description-en: identify acquired antimicrobial resistance genes (example data)
 ResFinder identifies acquired antimicrobial resistance genes in total or
 partial sequenced isolates of bacteria.
 .
 ResFinder that uses BLAST for identification of acquired antimicrobial
 resistance genes in whole-genome data. As input, the method can use both
 pre-assembled, complete or partial genomes, and short sequence reads
 from four different sequencing platforms. The method was evaluated on
 1862 GenBank files containing 1411 different resistance genes, as well
 as on 23 de-novo-sequenced isolates.
 .
 This package contains example data to test resfinder.

Package: resolvconf
Description-md5: e009e7114cd0b15ac6dbe0d813ec9472
Description-en: name server information handler
 Resolvconf is a framework for keeping up to date the system's
 information about name servers. It sets itself up as the intermediary
 between programs that supply this information (such as ifup and
 ifdown, DHCP clients, the PPP daemon and local name servers) and
 programs that use this information (such as DNS caches and resolver
 libraries).
 .
 This package may require some manual configuration. Please
 read the README file for detailed instructions.

Package: resolvconf-admin
Description-md5: a5d0f081f19804b85cf11966c74b3d78
Description-en: setuid helper program for setting up the local DNS
 resolvconf-admin deals with setting the local DNS information, which
 needs to be done by root on some systems. For example, it should
 enable a non-privileged DHCP client to add information about DNS
 resolvers it discovers.
 .
 If /sbin/resolvconf is present, it is invoked as root with the
 recommended data. If it is not present, then /etc/resolv.conf is
 overwritten with a simple file.
 .
 Note: setuid binaries like resolvconf-admin are additional attack
 surface on your system.  If you can use a different approach, such as
 enabling systemd-resolved, you should probably prefer it.
 .
 DO NOT INSTALL THIS PACKAGE (or any other with a setuid binary) IF
 YOU DO NOT NEED IT!

Package: resource-agents-paf
Description-md5: aabb7f84f098b392dbc4e44f43de3c3d
Description-en: PostgreSQL resource agent for Pacemaker
 PostgreSQL Automatic Failover (aka. PAF) is a new OCF resource Agent
 dedicated to PostgreSQL. Its original wish is to keep a clear limit between
 the Pacemaker administration and the PostgreSQL one, to keep things simple,
 documented and yet powerful.
 .
 It only supports multi-state (Master-Slave) PostgreSQL clusters.

Package: restartd
Description-md5: ce90d56603c1a94c679b0941e39aafad
Description-en: Process checker and/or restarter
 Restartd is a daemon for checking running and not running
 processes. It reads the /proc directory every n seconds and does
 a POSIX regexp on the process names. You can execute a script or a
 program if the process is or is not running.

Package: restic
Description-md5: 306158c67df1c70aca079d38e0afc1e3
Description-en: backup program with multiple revisions, encryption and more
 restic is a program that does backups right and was designed with the following
 principles in mind:
    - Easy: Doing backups should be a frictionless process, otherwise you might
 be tempted to skip it.  Restic should be easy to configure and use, so
 that, in the event of a data loss, you can just restore it. Likewise,
 restoring data should not be complicated.
    - Fast: Backing up your data with restic should only be limited by your
 network or hard disk bandwidth so that you can backup your files every day.
 Nobody does backups if it takes too much time. Restoring backups should
 only transfer data that is needed for the files that are to be restored, so
 that this process is also fast.
    - Verifiable: Much more important than backup is restore, so restic enables
 you to easily verify that all data can be restored.
    - Secure: Restic uses cryptography to guarantee confidentiality and
 integrity of your data. The location the backup data is stored is assumed
 not to be a trusted environment (e.g. a shared space where others like
 system administrators are able to access your backups). Restic is
 built to secure your data against such attackers.
    - Efficient: With the growth of data, additional snapshots should only take
 the storage of the actual increment. Even more, duplicate data should be
 de-duplicated before it is actually written to the storage back end to save
 precious backup space.

Package: restorecond
Description-md5: 1e034d172d391fc14d0580646082b3da
Description-en: SELinux core policy utilities (restorecond utilities)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains restorecond to restore contexts of files that often get
 the wrong context.

Package: restricted-ssh-commands
Description-md5: 543b5deaa17e97cd3acbf19774031f2c
Description-en: Restrict SSH users to a predefined set of commands
 restricted-ssh-commands is intended to be called by SSH to restrict a
 user to only run specific commands. A list of allowed regular
 expressions can be configured in /etc/restricted-ssh-commands/. The
 requested command has to match at least one regular expression.
 Otherwise it will be rejected.
 .
 restricted-ssh-commands is useful to grant restricted access via SSH to
 do certain task. For example, it could allow a user to upload a Debian
 packages via scp and run reprepro processincoming.
 .
 Create a configuration file in /etc/restricted-ssh-commands/ and add
 following line to ~/.ssh/authorized_keys to use it
 .
    command="/usr/lib/restricted-ssh-commands",no-port-forwarding,
    no-X11-forwarding,no-agent-forwarding,no-pty ssh-rsa [...]

Package: retext
Description-md5: 37b08b8d51524d665413d19af6179942
Description-en: Simple text editor for Markdown and reStructuredText
 ReText is a simple editor for Markdown and reStructuredText markup languages.
 .
 ReText supports tabs, live text preview and syntax highlighting. Supported
 export formats: HTML, ODT, PDF. It is also possible to write custom export
 extensions.
 .
 It is written in Python using Qt libraries.

Package: retroarch
Description-md5: 3dde528dcf9a3ec987b90319552fca63
Description-en: Simple frontend for the libretro library
 RetroArch is an open source, multi-platform frontend for the libretro API.
 It can be used as a modular multi emulator system, game engine, media player
 and 3D technical demonstration. These features are available through
 libretro cores.
 .
 It provides three built-in GUI: RGUI, XMB and GLUI.

Package: retroarch-assets
Description-md5: 302d4dcf7f3e672e6e5376eedd5650ac
Description-en: RetroArch assets for XMB, GLUI and Zarch
 This package installs RetroArch assets for XMB, GLUI and Zarch menu drivers.

Package: retry
Description-md5: 4d6b8c2833b40add90bc20cc2db07725
Description-en: Retry a command until the command succeeds
 Retry captures stdin into memory as the data is passed to the repeated
 command, and this captured stdin is then replayed should the command be
 repeated. This makes it possible to embed the retry tool into shell
 pipelines.
 .
 Retry captures stdout into memory, and if the command was successful stdout
 is passed on to stdout as normal, while if the command was repeated stdout
 is passed to stderr instead. This ensures that output is passed to stdout
 once and once only.

Package: retweet
Description-md5: d19d95b73641e7c3140f5d7109293275
Description-en: Simple twitter bot to retweet
 Retweet automatically retweets tweets from a Twitter account to
 another one. It also supports a range of criteria a tweet must
 meet in order to  perform the action of retweeting it.

Package: rev-plugins
Description-md5: 12335738427b1867affbbbf30b1c275d
Description-en: reverb LADSPA plugin
 This plugin features two inputs for stereo operation,
 and some code to prevent floating point denormalisation.
 Plugin is based on gverb by Juhana Sadeharju,
 but the code is entirely original.

Package: revolt
Description-md5: ed4de4df87db850d4e8ad59d9a8439e5
Description-en: better desktop integration for Riot.im
 Revolt is a small application which wraps the Riot.im webapp to provide better
 integration with desktop environments in general, and GNOME in particular:
 .
   * Having Riot as a "standalone" application with its own window, launcher,
     icon, etc. instead of it living in a browser tab.
   * Persistent notifications (for desktop environments supporting them, i.e.
     GNOME). Notifications are automatically prevented when the Revolt window
     is focused.
   * Status icon for desktop environment which have a tray bar applet (XFCE,
     Budgie, likely many others).
 .
 Riot.im is a chat client for Matrix, an open, federated communications
 protocol.

Package: revu-tools
Description-md5: dc8b9567a7d3567c184d01ae990c7ae3
Description-en: reviewing tools for Debian packages
 REVU-Tools is a set of scripts to help Debian packages reviewers in their task.
 It gathers fours scripts: revu-build, revu-orig, revu-report and a hook script
 for pbuilder, used by revu-build to perform build tests.
 .
 Among the information REVU-tools gives are:
  - Whether the package is a Debian-native
  - Whether the package contains a usable debian/watch file
  - Whether new versions of the program are available, using the debian/watch
    file with uscan
  - Whether the md5 sums of the upstream tarball and the orig.tar.gz are
    identical, and whether this is normal or not
  - Whether there is a diff between the extracted upstream tarball and
    orig.tar.gz
  - Whether the package fails to build from source in pbuilder
  - Whether lintian and linda are happy with this package
  - Whether running debuild -S -sa on this package generates files in the diff
    outside of debian/

Package: rex
Description-md5: a90edbb22e0483e75067929909550f9c
Description-en: deployment and configuration management tool
 Rex is a tool to ease the execution of commands on multiple remote
 servers. You can define small tasks, chain tasks to batches, link
 them with servers or server groups, and execute them easily in
 your terminal.

Package: rexical
Description-md5: 4f8fc72ff11226b7c19cd7fbc31a0f2f
Description-en: Lexical scanner generator for Ruby
 Rexical is a lexical scanner generator that is used with Racc to generate
 Ruby programs. Rexical is written in Ruby.
 .
 Racc is a LALR(1) parser generator that is also written in Ruby.

Package: rexima
Description-md5: 8a9bfb0c1ba1198c3cf56f04efe42d8d
Description-en: simple ncurses/command-line mixer
 rexima is 'a mixer' spelled backwards. A humble command-line/ncurses mixer
 which is easy to use and can modify mixer settings non-interactively in
 shell scripts (for example).

Package: rfcdiff
Description-md5: 053291736c0fff583bb47c60476926af
Description-en: compares two internet draft files and outputs the difference
 The purpose of this program is to compare two versions of an internet-draft,
 and as output produce a diff in one of several formats:
  * side-by-side html diff
  * paged wdiff output in a text terminal
  * a text file with changebars in the left margin
  * a simple unified diff output
 .
 It can also be used to compare ordinary (non-rfc) text files.
 .
 In all cases, internet-draft headers and footers are stripped before
 generating the diff, to produce a cleaner diff.

Package: rfdump
Description-md5: a72946548ba3259fe04559cd954aeefa
Description-en: tool to decode RFID tag data
 RFDump is a tool to decode RFID tags and show their meta information:
 tag ID, tag type, manufacturer etc. The user data memory of a tag can
 be displayed and modified using either a hex or an ASCII editor. In
 addition, the integrated cookie feature demonstrates how easy it is
 for a company to abuse RFID technology to spy on their customers.
 RFDump works with the ACG multi-tag reader or similar card reader
 hardware.

Package: rgbpaint
Description-md5: 74c773fb3b2414af6102dee631077e9d
Description-en: simple pixel-based painting program
 This package provides the simplified painting program rgbPaint,
 a spin-off from mtPaint by the same authors. Light on dependencies,
 it can edit and save images in ICO, JPEG, or PNG format only,
 though it can also read GIF, PCX, SVG, TGA, and TIFF formats.
 .
 The user interface is intentionally kept simple, without drop-down
 menus. Ten brushes are provided, and a palette of twenty colors,
 each of which can be replaced from a color blender. The available
 actions are painting, filling, and area selection. The program can
 also start by taking a screen snapshot to use as the initial image.
 .
 Originally aimed at the OLPC initiative, rgbPaint works well with
 the Sugar desktop environment - especially with a graphics tablet;
 even young children can quickly master it to produce true brushwork!

Package: rgxg
Description-md5: b3e622e782b3470bc0682694d228322f
Description-en: command-line tool to generate regular expressions
 rgxg (ReGular eXpression Generator) is a command-line tool to generate
 (extended) regular expressions.
 .
 It can be useful to generate (extended) regular expressions to match for
 instance a specific number range (e.g. 0 to 31 or 00 to FF) or all addresses
 of a CIDR block (e.g. 192.168.0.0/24 or 2001:db8:aaaa::/64).

Package: rhash
Description-md5: 1b9baaeabf77319f9a71a4784a419af4
Description-en: utility for computing hash sums and magnet links
 RHash is a console utility for calculation and verification of magnet links
 and a wide range of hash sums like CRC32, MD4, MD5, SHA1, SHA256, SHA512,
 AICH, ED2K, Tiger, DC++ TTH, BitTorrent BTIH, GOST R 34.11-94, RIPEMD-160,
 HAS-160, EDON-R, Whirlpool and Snefru.
 Hash sums are used to ensure and verify integrity of large volumes of data
 for a long-term storing or transferring.
 .
 Features:
  * Output in a predefined (SFV, BSD-like) or a user-defined format.
  * Can calculate Magnet links.
  * Ability to process directories recursively.
  * Updating hash files (adding hash sums of files missing in the hash file).
  * Portability: the program works the same on Linux, *BSD or Windows.

Package: rhc
Description-md5: a2e44a7f64e68277f84ca667a39758e4
Description-en: OpenShift command-line client tools
 OpenShift is a cloud computing platform as a service (PaaS) product from Red
 Hat.
 .
 rhc is a command-line client for OpenShift that allows you to remotely manage
 your OpenShift application.

Package: rheolef
Description-md5: 9a98070bd1b6efef2dd49e84533fc36e
Description-en: efficient Finite Element environment
 Rheolef is a computer environment that serves as a convenient laboratory for
 computations in applied mathematics involving finite element-like methods.
 It provides a set of commands and C++ algorithms and containers.
 .
 Most basically, containers cover the classic graph data structure for sparse
 matrix formats and finite element meshes. At a higher level of abstraction,
 they can handle approximate finite element spaces, discrete fields.
 Flexible and powerful expressions are used to specify bilinear forms.
 .
 Current applications include:
  * massively distributed memory finite element environment, based on MPI;
  * elasticity, Stokes and Navier-Stokes problems in 2D and 3D;
  * complex fluids applications: viscoplasticity, viscoelasticity, wall slip;
  * nonlinear problems with fixed-point, Newton and continuation methods;
  * high order polynomials, mixed elements and discontinuous Galerkin methods;
  * auto-adaptive mesh approaches;
  * axisymmetric problems;
  * multi-regions and variable coefficient problems.
 .
 This package provides the rheolef commands. These support input and
 output in various file formats for mesh-generators and numerical data
 visualization systems such as paraview, and gnuplot.

Package: rheolef-doc
Description-md5: a6de61386e8246ab8112aaa779db5bc9
Description-en: efficient Finite Element environment - documentation
 Rheolef is a computer environment that serves as a convenient laboratory for
 computations in applied mathematics involving finite element-like methods.
 It provides a set of commands and C++ algorithms and containers.
 .
 Most basically, containers cover the classic graph data structure for sparse
 matrix formats and finite element meshes. At a higher level of abstraction,
 they can handle approximate finite element spaces, discrete fields.
 Flexible and powerful expressions are used to specify bilinear forms.
 .
 Current applications include:
  * massively distributed memory finite element environment, based on MPI;
  * elasticity, Stokes and Navier-Stokes problems in 2D and 3D;
  * complex fluids applications: viscoplasticity, viscoelasticity, wall slip;
  * nonlinear problems with fixed-point, Newton and continuation methods;
  * high order polynomials, mixed elements and discontinuous Galerkin methods;
  * auto-adaptive mesh approaches;
  * axisymmetric problems;
  * multi-regions and variable coefficient problems.
 .
 This package provides the documentation.

Package: rhino
Description-md5: c0634d81edf27589098e6ab9ae84198f
Description-en: JavaScript engine written in Java
 Rhino is an implementation of the JavaScript language written
 entirely in Java. It is typically embedded into Java applications to
 provide scripting to end users.

Package: rhinote
Description-md5: ab13017a38ea6c4c8c2d494b32592fea
Description-en: virtual sticky-notes for your desktop
 Rhinote is a small program that provides virtual sticky-notes. It's handy
 for jotting down quick notes or holding copied text that you plan to paste
 elsewhere later.
 .
 Notes can be saved as plain text for later viewing/editing with Rhinote or
 any other text editor.
 .
 Rhinote is designed to be "keyboard friendly", that is, every single action
 is bound to a specific keystroke.

Package: rhythmbox-ampache
Description-md5: fd23726722102f379bd1e77ef1c2652a
Description-en: play audio streams from an Ampache server
 Rhythmbox-Ampache is a plugin for Rhythmbox music player that allows it to
 stream directly from an instance of an Ampache music streaming server.
 .
 Ampache is a web-based audio file manager implemented with PHP and
 MySQL which allows viewing, editing, and playing audio files via the
 web. It has support for playlists, artist and album views, album art,
 random or vote-based play and per-user play-tracking/theming.
 Playback may be via HTTP, on-the-fly transcoding and downsampling,
 Mpd/Icecast, or integrated Flash player. Multiple Ampache servers can
 be linked together using XML-RPC. The software is fully localized in many
 languages.

Package: rhythmbox-plugin-cdrecorder
Description-md5: 2cf45d2d5fa6dfedb6016b170eb884a9
Description-en: burning plugin for rhythmbox music player
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 Originally inspired by Apple's iTunes, the current version also supports
 Internet Radio, iPod integration and generic portable audio player
 support, Audio CD burning, Audio CD playback, music sharing, and
 Podcasts.
 .
 This package contains the brasero based CD/DVD burning plugin.

Package: rhythmbox-plugin-magnatune
Description-md5: 0d75f143f7997f4a16d729f95affbb08
Description-en: Magnatune plugin for rhythmbox (transitional package)
 This is a transitional package. It can safely be removed.

Package: rhythmbox-plugin-zeitgeist
Description-md5: f41e70b13663f39808bfb029033e0aaa
Description-en: zeitgeist plugin for rhythmbox music player
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 Originally inspired by Apple's iTunes, the current version also supports
 Internet Radio, iPod integration and generic portable audio player
 support, Audio CD burning, Audio CD playback, music sharing, and
 Podcasts.
 .
 This package contains the zeitgeist logging plugin.

Package: ri
Description-md5: f058289b15546e88fb742f71b96dcdc1
Description-en: Ruby Interactive reference
 ri is a command line tool that displays descriptions of built-in Ruby
 methods, classes, and modules. For methods, it shows you the calling
 sequence and a description. For classes and modules, it shows a
 synopsis along with a list of the methods the class or module
 implements.
 .
 This package provides ri command and descriptions about Ruby.
 This package provides the ri documentation for the Ruby standard library.
 The ri executable itself is in the ruby package.
 .
 This package is a dependency package, which depends on Debian's default Ruby
 version (currently v2.7).

Package: ri-li
Description-md5: 202d804e477c200e7552d539d2101ebc
Description-en: toy train simulation game
 Ri-li is a game in which you drive a wooden toy steam locomotive across many
 levels and collect all the coaches to win.
 .
 It features:
  * a colorful animated wooden steam locomotive;
  * 50 game levels;
  * 3 beautiful tunes and many sound effects;
  * 14 languages (Arabic, Breton, Chinese, English, Esperanto, French,
     German, Italian, Japanese, Portuguese, Russian, Slovak, Spanish, and
     Swedish).

Package: ri-li-data
Description-md5: d6ac1be12a9c5ac12468a5e925651323
Description-en: toy train simulation game - data files
 Ri-li is a game in which you drive a wooden toy steam locomotive across many
 levels and collect all the coaches to win.
 .
 This package provides data files for the game.

Package: ricks-amdgpu-utils
Description-md5: dc3e63803ad9d27ccc7cc51126dc6168
Description-en: AMD GPU performance adjustment and monitoring
 A set of utilities for monitoring AMD GPU performance and modifying
 control settings.
 .
 Please refer to the user guide to adjust featuremask parameter for
 grub bootloader.

Package: ricochet
Description-md5: fba8948bc979e76276a96f6b1f0b7bfa
Description-en: multi-user networked version of the Ricochet Robots game
 Ricochet Robots is a multi-player board game which involves each user
 simultaneously solving a geometric challenge. This implementation is
 a networked version of the game with both client and server programs
 written in nickle using the nichrome toolkit.

Package: ricochet-im
Description-md5: a55094b2534093b306c23b54149fdfb1
Description-en: anonymous metadata-resistant instant messaging
 Ricochet is an experiment with a different kind of instant messaging that
 doesn't trust anyone with your identity, your contact list, or your
 communications.
 .
   - You can chat without exposing your identity (or IP address) to anyone
   - Nobody can discover who your contacts are or when you talk (metadata-free)
   - There are no servers or operators to compromise that could access your
     information
   - It's cross-platform and easy for non-technical users
 .
 Ricochet is a peer-to-peer instant messaging system built on Tor hidden
 services. Your login is your hidden service address, and contacts connect to
 you (not an intermediate server) through Tor. The rendezvous system makes it
 extremely hard for anyone to learn your identity from your address.
 .
 Ricochet is not affiliated with or endorsed by The Tor Project. For more
 information, you can read about Tor and learn about Ricochet's design or
 protocol (or the old protocol). Everything is open-source and open to
 contribution.
 .
 **This software is an experiment**. It hasn't been audited or formally
 reviewed by anyone. Security and anonymity are difficult topics, and you
 should carefully evaluate your risks and exposure with any software. Do not
 rely on Ricochet for your safety.

Package: riece
Description-md5: a2cd7ab0ae6c00dc63f46b888692daed
Description-en: IRC client for Emacs
 Riece is a user interface for IRC (Internet Relay Chat).  You should
 spell it with the first letter capitalized and pronounce it as /ri:s/.
 .
 The features of Riece are as follows:
 .
   * Several IRC servers may be used at the same time.
   * Many features built upon the extension mechanism called add-on.
     Currently 30 such add-ons are available.
   * Installation is easy.  Riece doesn't depend on other packages.
   * Setup is easy.  Automatically save/restore the configuration.
   * Step-by-step instructions are included.
   * Mostly compliant with the latest IRC client protocol (RFC 2812).

Package: riemann-c-client
Description-md5: 99c45b4e5cc55374417ffefd0d6d7c97
Description-en: Client utility for the Riemann event stream processor
 Riemann is a network event stream processor, intended for analyitics,
 metrics and alerting; and to glue various monitoring systems together.
 .
 This package provides a simple utility to send events to, or query a
 Riemann server.

Package: ries
Description-md5: 49c33512f8160b7f7ffc4377d024137d
Description-en: find algebraic equations, given their solution
 Given a number, ries searches for algebraic equations in one
 variable that have a solution (root) near that number. It avoids
 trivial or reducible solutions like ``x/x = 1''. If the input is
 an integer, ries can find an exact solution
 expressed in terms of single-digit integers.
 .
 The output gives progressively ``more complex'' equations
 that come progressively closer to matching the input number.

Package: rifiuti
Description-md5: 46d349c622d6bc6cca518074b0e386c6
Description-en: MS Windows recycle bin analysis tool
 Rifiuti is a tool to examine the INFO2 files. The INFO2 file gives meta
 information about the files found in the MS Windows recycle bin.
 .
 This package is useful in forensics investigations.

Package: rifiuti2
Description-md5: e698e75493f3492723bea6ca03a3e972
Description-en: replacement for rifiuti, a MS Windows recycle bin analysis tool
 Rifiuti2 analyses recycle bin files from Windows. Analysis of Windows
 recycle bin is usually carried out during Windows computer forensics.
 .
 Rifiuti2 can extract file deletion time, original path and size of deleted
 files and whether the deleted files have been moved out from the recycle
 bin since they are trashed.
 .
 Rifiuti2 is a rewrite of rifiuti, which is originally written for identical
 purpose. Then it was extended to cover more functionalities, such as:
 .
   * Handles recycle bin up to Windows 10;
   * Handles ancient Windows like 95, NT4 and ME;
   * Supports all localized versions of Windows - both Unicode-based ones
     and legacy ones (using ANSI code page);
   * Supports output in XML format as well as original tab-delimited text.
 .
 Rifiuti2 is designed to be portable and runs on command line environment. Two
 programs rifiuti and rifiuti-vista are chosen depending on relevant Windows
 recycle bin format.

Package: rig
Description-md5: da93263d97056c0b5d5007f57e8dfe5b
Description-en: Random identity generator
 RIG (Random Identity Generator) is a free replacement for a shareware
 program out there called 'fake'. It generates random, yet real-looking,
 personal data. It is useful if you need to feed a name to a Web site,
 BBS, or real person, and are too lazy to think of one yourself. Also,
 if the Web site/BBS/person you are giving the information to tries to
 cross-check the city, state, zip, or area code, it will check out.

Package: rinetd
Description-md5: c779dc6fda8c28eb8fd8878f71d69c09
Description-en: Internet TCP redirection server
 rinetd redirects TCP connections from one IP address and port to another,
 with basic IP-based access control.
 .
 rinetd is a single-process server which handles any number of connections
 to the address/port pairs specified in the file /etc/rinetd.conf. Since
 rinetd runs as a single process using nonblocking I/O, it is able to
 redirect a large number of connections without a severe impact on the
 machine. This makes it practical to run services on machines inside an IP
 masquerading firewall.

Package: ring
Description-md5: e88c090adab676a4867273441bc1709b
Description-en: transitional package for jami
 This is a transitional package. It can safely be removed.

Package: ring-daemon
Description-md5: 90577d466cfe15833724a31e88989f76
Description-en: transitional package for jami-daemon
 This is a transitional package. It can safely be removed.

Package: rinse
Description-md5: 4b6f0b2c4b4d69f1d7b04f930dd8f819
Description-en: RPM installation environment
 This is a tool for bootstrapping a basic RPM-based distribution of
 GNU/Linux.
 .
 It is comparable in purpose to the standard Debian debootstrap utility,
 but works upon RPM-based distributions instead.
 .
 Rinse can setup 32 and 64-bit installations of:
  * CentOS
  * Scientific Linux CERN
  * Fedora
  * OpenSUSE

Package: rio
Description-md5: 5b40d453420e166c011ea4fc5bfe4e23
Description-en: Command line Diamond Rio MP3 player controller
 rio is a Diamond Rio MP3 CLI controller program
 The home website is http://www.world.co.uk/sba
 This program supports file uploads and downloads, on both
 the Classic Rio and the 64 Meg Rio, and supports plug in cards.
 .
 The default port is 0x378, if your rio is plugged into a
 different port, you will need to use the -p option.
 .
 This program directly controls various IOports.  The program need to
 be run as root, or setuid root.
 .
 If you execute the following line as root after package installation,
 the program will be setuid root.
 .
 chmod 4755 /usr/bin/rio
 .
 Do NOT do that unless you understand the security implications of a
 setuid binary that can "easily" overwrite any system file.

Package: ripe-atlas-tools
Description-md5: 0d48e7432d5ddf8a4ed6070e2b5e7a0b
Description-en: command-line interface for RIPE Atlas
 ripe-atlas-tools is the official command-line client for RIPE Atlas.
 RIPE Atlas is a global network of probes that measure Internet
 connectivity and reachability, providing an overview of the state of the
 Internet in real time. Currently the following operations are supported
 by the command line interface:
 .
  * Creation of measurements (ping, traceroute, DNS)
  * Reports on public measurements
  * Retrieval of measurement streams
  * Public measurement searches
  * Probe listings

Package: ripe-atlas-tools-doc
Description-md5: b961084cf51eb69399a00d0aacf2f42a
Description-en: command-line interface for RIPE Atlas (documentation)
 ripe-atlas-tools is the official command-line client for RIPE Atlas.
 RIPE Atlas is a global network of probes that measure Internet
 connectivity and reachability, providing an overview of the state of the
 Internet in real time. Currently the following operations are supported
 by the command line interface:
 .
  * Creation of measurements (ping, traceroute, DNS)
  * Reports on public measurements
  * Retrieval of measurement streams
  * Public measurement searches
  * Probe listings
 .
 This package contains the documentation for ripe-atlas-tools

Package: ripgrep
Description-md5: 036cda5b7b984beae7aa99e9d0ade58f
Description-en: Recursively searches directories for a regex pattern
 ripgrep is a line-oriented search tool that recursively searches your current
 directory for a regex pattern while respecting your gitignore rules and
 automatically skip hidden files/directories (smart filtering) and binary files.
 ripgrep is similar to other popular search tools like The Silver Searcher, ack
 and grep.
 .
 ripgrep is generally faster than both The Silver Searcher and GNU grep. It
 defaults to recursive directory search and won't search files ignored by your
 `.gitignore` files. Use ripgrep if you like speed, filtering by default, fewer
 bugs, and Unicode support.
 .
 On the other hand, if you like multiline search, then ripgrep may not quite
 meet your needs (yet), and it will never support fancy regex features such as
 backreferences or lookaround
 .
 This package contains the following binaries built from the Rust crate
 "ripgrep":
  - rg

Package: ripit
Description-md5: 97fda908aaec471ab8b638a6294429f3
Description-en: Textbased audio CD ripper
 ripit runs in text mode (no fancy GUI here) and does everything required to
 produce a set of mp3, ogg, flac, m4a files without any user-intervention.
 .
 ripit does the following with an Audio CD:
  - Get the audio CD Album/Artist/Tracks information from CDDB
  - Get the audio CD Album/Artist/Tracks information from Musicbrainz
  - Rip the audio CD Tracks (using cdparanoia or other cdrippers)
  - Encode the files (using lame, oggvorbis flac and/or faac)
  - ID3 tag them (v1 & v2)
  - Optional: creates a playlist (M3U) file (lists MP3s created,
    used by various MP3 players)
  - Optional: Prepares and sends a CDDB submission.
  - Optional: Saves the CDDB file.

Package: ripmime
Description-md5: 9f1728206381dbb894cb2616138da421
Description-en: Extract attachments out of MIME encoded emails
 ripMIME's primary purpose is to extract attachments out of a MIME
 encoded emails.

Package: ripoff
Description-md5: c5417963cd9c410c58c794605c60fc4d
Description-en: modular and intuitive GTK+-based CD-ripper
 RipOff is a GTK+ based CD Ripper for Linux that sports a simple interface,
 CDDB lookups, and a plugin-based encoder architecture.
 .
 This version doesn't have MP3 support compiled in, you'll need to install
 the ripoff-mp3-plugin package to rip into mp3.
 .
 This package contains the ripoff executable.

Package: ripper
Description-md5: 2bbb5efb5214f8923f588dd2c647341a
Description-en: scrape licenses out of files
 Ripper inspect source files and show the license if it found. It
 support directories, files or URI repositories as argument.
 .
 This package provides command-line to use alone or embbed in others
 programs.

Package: ripperx
Description-md5: cdeabf4ef72c33d57aecc4b4e2fd5952
Description-en: GTK-based audio CD ripper/encoder
 ripperX is a graphical interface for ripping CD audio tracks (using
 cdparanoia) and then encoding them into the Ogg, FLAC, or MP2/3
 formats using the vorbis tools, FLAC, toolame or other available
 MP3 encoders.
 .
 It includes support for CDDB lookups and ID3v2 tags.

Package: ristretto
Description-md5: 99d1eb901a80a6f11af6489eb7ae9121
Description-en: lightweight picture-viewer for the Xfce desktop environment
 Ristretto is a fast and lightweight picture-viewer for the Xfce desktop
 environment.

Package: rkflashtool
Description-md5: f80a75d625f0b5405f2a37112d11fca1
Description-en: Tools for flashing Rockchip devices
 Allows flashing of Rockchip based embedded linux devices.
 The list of currently supported devices is:
 RK2818, RK2918, RK2928, RK3026, RK3036, RK3066, RK312X, RK3168, RK3188,
 RK3228, RK3229, RK3288, RK3368

Package: rkhunter
Description-md5: 7a6d48ddb1caefe15280ce7ca3d33e31
Description-en: rootkit, backdoor, sniffer and exploit scanner
 Rootkit Hunter scans systems for known and unknown rootkits,
 backdoors, sniffers and exploits.
 .
 It checks for:
  - SHA256 hash changes;
  - files commonly created by rootkits;
  - executables with anomalous file permissions;
  - suspicious strings in kernel modules;
  - hidden files in system directories;
 and can optionally scan within files.
 .
 Using rkhunter alone does not guarantee that a system is not
 compromised. Running additional tests, such as chkrootkit, is
 recommended.

Package: rkt
Description-md5: 78c38aa5ed9d488d2152c963de2b0c9f
Description-en: CLI for running App Containers
 rkt (pronounced "rock-it") is an alternative to the Docker runtime, designed
 for server environments with the most rigorous security and production
 requirements.  Rocket is oriented around the App Container specification,
 a new set of simple and open specifications for a portable container format.
 .
 This package provides "rkt" utility.

Package: rkward
Description-md5: e8a3cbd610e4eb795ccc0b8f8601ff5f
Description-en: KDE frontend to the R statistics language
 RKWard aims to become an easy to use, transparent frontend to R,
 a powerful system for statistical computation and graphics.
 Besides a convenient GUI for the most important statistical functions,
 future versions will also provide seamless integration with an
 office-suite.

Package: rkward-data
Description-md5: 21cb2f4d9b19a1aaec0241b160b3b363
Description-en: KDE frontend to the R statistics language - data files
 RKWard aims to become an easy to use, transparent frontend to R,
 a powerful system for statistical computation and graphics.
 Besides a convenient GUI for the most important statistical functions,
 future versions will also provide seamless integration with an
 office-suite.
 .
 This package provides the architecture independent data files for rkward.

Package: rlfe
Description-md5: f8875af0d8f80b49e66fe615acb5dcd9
Description-en: Front-end using readline to "cook" input lines for other programs
 This tool lets you use history and line-editing in any text oriented
 tool. This is especially useful with third-party proprietary tools that
 cannot be distributed linked against readline. It is not perfect but it
 works pretty well.
 .
 See the ledit and rlwrap packages for other programs of that kind.

Package: rlinetd
Description-md5: 0726ce3c088a129c62f12b99d7282689
Description-en: gruesomely over-featured inetd replacement
 rlinetd is designed to replace the BSD inetd. Feature-wise, it is a
 proper superset of the BSD inetd, including rpc support and both udp
 and tcp services. In addition, it supports a number of resource
 limiting features, including full resource limits a la setrlimit(2),
 renicing, chroot and limited per-service instances. To round out the
 features stolen from xinetd, it also supports binding to individual
 interfaces on request, and configurable logging.

Package: rlpr
Description-md5: eabd3f745836dab73004ed22dac3e7a8
Description-en: A utility for lpd printing without using /etc/printcap
 Rlpr makes it possible (or at the very least, easier), to print files
 on remote sites to your local printer, and vice versa.  The rlpr
 package includes BSD-compatible replacements for 'lpr', 'lpq', and
 'lprm', whose functionality is a superset of their BSD counterparts.  In other
 words, with the rlpr package, you can do everything you can do with the BSD
 printing commands, and more.  The programs contained within the rlpr
 package are all GPL'd, and are more lightweight, cleaner and more
 secure than their BSD counterparts.

Package: rlvm
Description-md5: 02d441becace68d9ad9f698de2566534
Description-en: RealLive virtual machine clone
 rlvm is a Free Software reimplementation of VisualArt's KK's RealLive
 interpreter. RealLive is a game engine used to write visual novels, used in
 the games Kanon, Air, CLANNAD, Planetarian, Tomoyo After and Little Busters,
 among many others.

Package: rlwrap
Description-md5: 2dd4e4df63ed824e61c4e21ad05cd1eb
Description-en: readline feature command line wrapper
 This package provides a small utility that uses the GNU readline library
 to allow the editing of keyboard input for any other command.  Input
 history is remembered across invocations, separately for each command;
 history completion and search work as in bash and completion word lists
 can be specified on the command line.

Package: rmagic
Description-md5: a2207252401a27a3ae1b73df8aff4f82
Description-en: Report Magic for Analog
 With Report Magic for Analog, anyone can create great looking website
 statistics reports. Report Magic for Analog uses the Computer Readable
 Output format created by Analog, the most popular logfile analyser in
 the world. Using this and some simple settings you provide, Report
 Magic formats beautiful reports with tables, descriptions and graphs.
 .
 Using Report Magic for Analog, you can easily maintain a consistent
 company image with all your reports. By designing the report format to
 mimic your site's colors and graphics, you can have Analog's statistics
 reports seem to "fit-in" with the rest of your website. Report Magic
 for Analog lets you set things like font, foreground and background
 colors, background images, color themes, and more, thus ensuring a
 perfect fit with your already designed site.

Package: rmail
Description-md5: 5999f32ca68d0cb331555bc479168fd4
Description-en: MTA->UUCP remote mail handler
 Rmail handles mail received via uucp and passes it to the local
 sendmail (or workalike).
 .
 This version comes from the sendmail source package and the only
 change is the call to sendmail (from -obq to -obi).

Package: rman
Description-md5: dc9379514d3ea788d1385765940d3a7c
Description-en: PolyglotMan - Reverse compile man pages
 PolyglotMan (formerly RosettaMan) is a filter for UNIX manual pages.
 It takes as input man pages formatted for a variety of UNIX flavors
 (not [tn]roff source) and produces as output a variety of file
 formats.

Package: rmligs-german
Description-md5: a49cc6b48ca0a27f85a427467d382e4d
Description-en: remove incorrectly used ligatures from LaTeX documents
 rmligs-german is a program for removing incorrectly used ligatures
 from LaTeX documents.  This version is intended for German language
 texts only.

Package: rmlint
Description-md5: 5ac49a76d676161eaee0f5eede7d8a54
Description-en: Extremely fast tool to remove filesystem lint
 rmlint finds space waste and other broken things on your filesystem
 and offers to remove them.
 .
 It is able to find:
  * Duplicate files & directories.
  * Non-stripped binaries.
  * Broken symlinks.
  * Empty files.
  * Recursive empty directories.
  * Files with broken user or group ID.
 .
 Key Features:
  * Extremely fast.
  * Flexible and easy command-line options.
  * Choice of several hashes for hash-based duplicate detection.
  * Option for exact byte-by-byte comparison (only slightly slower).
  * Numerous output options.
  * Option to store time  of last run; next time will only scan new files.
  * Many options for original selection/prioritisation.
  * Can handle very large file sets (millions of files).
  * Colourful progress bar.

Package: rmlint-doc
Description-md5: 4653bd1e89c79e9aa3c8d8e77a401fc7
Description-en: HTML documentation for rmlint
 rmlint finds space waste and other broken things on your filesystem
 and offers to remove them.
 .
 It is able to find:
  * Duplicate files & directories.
  * Non-stripped binaries.
  * Broken symlinks.
  * Empty files.
  * Recursive empty directories.
  * Files with broken user or group ID.
 .
 Key Features:
  * Extremely fast.
  * Flexible and easy command-line options.
  * Choice of several hashes for hash-based duplicate detection.
  * Option for exact byte-by-byte comparison (only slightly slower).
  * Numerous output options.
  * Option to store time  of last run; next time will only scan new files.
  * Many options for original selection/prioritisation.
  * Can handle very large file sets (millions of files).
  * Colourful progress bar.
 .
 This package provides documentation in HTML for rmlint.

Package: rmlint-gui
Description-md5: 6fb9d3e931620165df39f13f8b5452f9
Description-en: GTK+ frontend to rmlint
 rmlint-gui (a.k.a. Shredder) is a graphical user interface to rmlint
 using GTK+. It uses rmlint to find space waste and other broken things
 on your filesystem and offers to remove them.
 .
 It is able to find:
  * Duplicate files & directories.
  * Non-stripped binaries.
  * Broken symlinks.
  * Empty files.
  * Recursive empty directories.
  * Files with broken user or group ID.
 .
 Key Features:
  * Extremely fast.
  * Flexible and easy command-line options.
  * Choice of several hashes for hash-based duplicate detection.
  * Option for exact byte-by-byte comparison (only slightly slower).
  * Numerous output options.
  * Option to store time  of last run; next time will only scan new files.
  * Many options for original selection/prioritisation.
  * Can handle very large file sets (millions of files).

Package: rna-star
Description-md5: c6dec2f618a2789f3d047717c690ae49
Description-en: ultrafast universal RNA-seq aligner
 Spliced Transcripts Alignment to a Reference (STAR) software based on a
 previously undescribed RNA-seq alignment algorithm that uses sequential
 maximum mappable seed search in uncompressed suffix arrays followed by
 seed clustering and stitching procedure. STAR outperforms other aligners
 by a factor of >50 in mapping speed, aligning to the human genome 550
 million 2 × 76 bp paired-end reads per hour on a modest 12-core server,
 while at the same time improving alignment sensitivity and precision. In
 addition to unbiased de novo detection of canonical junctions, STAR can
 discover non-canonical splices and chimeric (fusion) transcripts, and is
 also capable of mapping full-length RNA sequences. Using Roche 454
 sequencing of reverse transcription polymerase chain reaction amplicons,
 the authors experimentally validated 1960 novel intergenic splice
 junctions with an 80-90% success rate, corroborating the high precision
 of the STAR mapping strategy.

Package: rnahybrid
Description-md5: db1db70993b796837e42d61c73c580b8
Description-en: Fast and effective prediction of microRNA/target duplexes
 RNAhybrid is a tool for finding the minimum free energy hybridisation of a
 long and a short RNA. The hybridisation is performed in a kind of domain mode,
 ie. The short sequence is hybridised to the best fitting part of the long one.
 The tool is primarily meant as a means for microRNA target prediction.

Package: rnetclient
Description-md5: ee9128f551bbab8e8f2d6c476a772833
Description-en: Send the Brazilian Income Tax Report to the Brazilian Tax Authority
 rnetclient is a Free Software that can be used to submit the Brazilian Income
 Tax Report to the Brazilian Tax Authority (Receita Federal).  It is the
 outcome of reverse-engineering ReceitaNet, the official and proprietary
 software that Receita Federal distributes.

Package: rng-tools
Description-md5: 6da2aca3dd07b55b609d9cf3d5d7cd57
Description-en: Daemon to use a Hardware TRNG
 The rngd daemon acts as a bridge between a Hardware TRNG (true random number
 generator) such as the ones in some Intel/AMD/VIA chipsets, and the kernel's
 PRNG (pseudo-random number generator).
 .
 It tests the data received from the TRNG using the FIPS 140-2 (2002-10-10)
 tests to verify that it is indeed random, and feeds the random data to the
 kernel entropy pool.
 .
 This increases the bandwidth of the /dev/random device, from a source that
 does not depend on outside activity.  It may also improve the quality
 (entropy) of the randomness of /dev/random.
 .
 A TRNG kernel module such as hw_random, or some other source of true
 entropy that is accessible as a device or fifo, is required to use this
 package.
 .
 This is an unofficial version of rng-tools which has been extensively
 modified to add multithreading and a lot of new functionality.

Package: rng-tools-debian
Description-md5: 92853c313852972f917ddd479b6d7b05
Description-en: daemon to use a Hardware TRNG (classic version)
 The rngd daemon acts as a bridge between a Hardware TRNG (true random
 number generator), such as the ones in some Intel/AMD/VIA chipsets,
 and the kernel's PRNG (pseudo-random number generator).
 .
 It tests the data received from the TRNG using the FIPS 140-2 (2002-10-10)
 tests to verify that it is indeed random, and feeds the random data to the
 kernel entropy pool.
 .
 This increases the bandwidth of the /dev/random device, from a source
 that does not depend on outside activity. It may also improve the
 quality (entropy) of the randomness of /dev/random.
 .
 A TRNG kernel module such as hw_random, or some other source of true
 entropy that is accessible as a device or fifo, is required to use this
 package.
 .
 This is an unofficial version of rng-tools which has been extensively
 modified to add multithreading and a lot of new functionality. However,
 most users of newer or high-bandwidth HWRNGs might wish to install the
 5.x version of rng-tools, also packaged as rng-tools5, instead; while
 it lacks some of the new functionality from this version, it offers
 more performant support for those.

Package: rng-tools5
Description-md5: 53bdd8d47cb06608cb9b3f3a21f5a0d5
Description-en: Daemon to use a Hardware TRNG
 The rngd daemon acts as a bridge between a Hardware TRNG (true random number
 generator) such as the ones in some Intel/AMD/VIA chipsets, and the kernel's
 PRNG (pseudo-random number generator).
 .
 It tests the data received from the TRNG using the FIPS 140-2 (2002-10-10)
 tests to verify that it is indeed random, and feeds the random data to the
 kernel entropy pool.
 .
 This increases the bandwidth of the /dev/random device, from a source that
 does not depend on outside activity. This may improve the behavior of systems
 which experience low values in /proc/sys/kernel/random/entropy_avail
 It may also improve the quality (entropy) of the randomness of /dev/random.
 .
 A TRNG kernel module such as hw_random, or some other source of true
 entropy that is accessible as a device or fifo, is required to use this
 package. It will take advantage of the RDRAND and RDSEED instructions
 included in some Intel & AMD CPUs.

Package: roaraudio
Description-md5: 799622fe316bb2b6ed376d748fd478f9
Description-en: sound server for audio mixing
 RoarAudio is a system for audio mixing. Its main purpose is to mix audio from
 different clients before sending it to its outputs (for example a soundcard).
 It is completely network transparent (UNIX sockets, TCP/IP, DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 This package contains the RoarAudio sound server "roard" implementing the
 RoarAudio protocol.

Package: roarclients
Description-md5: 009276778a74ab297b1e7a361a45b91e
Description-en: Collection of basic clients for the RoarAudio Sound System
 This package is a collection of basic clients for the RoarAudio Sound System
 based on libroar. The collection includes tools for playback and recording
 as well as controlling and monitoring the sound system.
 Some tools are also very helpful for testing and debugging a RoarAudio
 setup or software.

Package: roarplaylistd
Description-md5: 80c24797e5a06aa9e65f818812c6a59e
Description-en: RoarAudio PlayList Daemon (RPLD)
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controlled using a simple protocol.
 It can listen on UNIX and TCP sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.

Package: roarplaylistd-codechelper-gst
Description-md5: 0db6ccc1f9102ad1f15b5a6de4fe1e1c
Description-en: roarplaylistd helper script for GStreamer codecs
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controlled using a simple protocol.
 It can listen on UNIX and TCP sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.
 .
 This helper script is used for roarplaylistd to play additional codecs
 (GStreamer version).

Package: roarplaylistd-dev
Description-md5: 4b2ff2d1d2b9771ca62df8c761135264
Description-en: RoarAudio PlayList Daemon (RPLD) (plugin development files)
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controlled using a simple protocol.
 It can listen on UNIX and TCP sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.
 .
 This package provides headers and other files for plugin development.

Package: roarplaylistd-tools
Description-md5: c2e9dd2aac6969882258968ec41fb89b
Description-en: Tools to control RoarAudio PlayList Daemon (RPLD)
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controlled using a simple protocol.
 It can listen on UNIX and TCP sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.
 .
 This is a collection of tools to control RoarAudio PlayList Daemon (RPLD).

Package: roary
Description-md5: 6d457fd706202fc2ef292eacf7b46029
Description-en: high speed stand alone pan genome pipeline
 Roary is a high speed stand alone pan genome pipeline, which takes annotated
 assemblies in GFF3 format (as produced, for instance, by Prokka) and calculates
 the pan genome. Using a standard desktop PC, it can analyse datasets with
 thousands of samples, something which is computationally infeasible with
 existing methods, without compromising the quality of the results. 128 samples
 can be analysed in under 1 hour using 1 GB of RAM and a single processor.
 To perform this analysis using existing methods would take weeks and hundreds
 of GB of RAM. Roary is not intended for meta-genomics or for comparing
 extremely diverse sets of genomes.

Package: robin-map-dev
Description-md5: 91d9fedfb4838854cc2cfb09a0bd07bf
Description-en: C++ implementation of a fast hash map and hash set
 The robin-map library is a C++ implementation of a fast hash map and
 hash set using open-addressing and linear robin hood hashing with
 backward shift deletion to resolve collisions.
 .
 Four classes are provided: tsl::robin_map, tsl::robin_set,
 tsl::robin_pg_map and  tsl::robin_pg_set.
 The first two are faster and use a power of two growth policy,
 the last two use a prime growth policy instead and are able to cope
 better with a poor hash function.
 Use the prime version if there is a chance of repeating patterns in
 the lower bits of your hash (e.g. you are storing pointers with an
 identity hash function).

Package: robocode
Description-md5: 6380529980f3b0409a4d09a704570b7f
Description-en: Java programming game based on battle tanks
 Robocode is a Java programming game where the goal is to develop a robot
 battle tank to battle against other tanks. The robot battles are running in
 real-time and on-screen. The motto of Robocode is: Build the best, destroy the
 rest!

Package: robocut
Description-md5: 44d78558046871fd258dd2c7d8b2b208
Description-en: Control program for Graphtec cutting plotters
 Robocut is a simple graphical program to allow you to cut graphics with a
 Graphtec Craft Robo 2 Vinyl Cutter model CC220-20 and Silhouette SD. It can
 read SVG files produced by Inkscape, but it should also work with other
 SVG files.

Package: robotfindskitten
Description-md5: cea3321f9fceee71952062f05aaf60f7
Description-en: Zen Simulation of robot finding kitten
 In this simulation, you play the part of robot. Your task is to
 complete the simulation by finding kitten, as is your destiny, and
 indeed your wont.  You (robot) are represented by the # character, and
 you move around with the arrow keys touching things.  If the thing you
 touch is kitten, you get a cute little animation (which was cuter in
 the DOS version) and the simulation ends. Otherwise, you get a brief
 description of what it is you touched.

Package: robustirc-bridge
Description-md5: 7c523959e308d2b13a295e8d7c90af1a
Description-en: bridge between IRC and RobustIRC
 The RobustIRC bridge allows you to connect to a RobustIRC network using your
 regular IRC client (such as irssi, WeeChat, XChat, etc).
 .
 It can be used as a SOCKS proxy for IRC clients which support SOCKS proxies,
 or it can be run for a specific RobustIRC network, in which case it will
 listen on a port (localhost:6667 by default) and talk IRC.

Package: rockdodger
Description-md5: c1d33117992c3802190febc73c9b438c
Description-en: Fly through an asteroid field avoiding rocks and greeblies
 Rock Dodger is a horizontal scrolling action game where you have to
 navigate your spaceship through a stream of rocks flying in from the
 right. Your only help is a laser to destroy rocks and a limited amount
 of shield. This game had once upon in time the 4th place in NoStarch
 game contest.

Package: rocksdb-tools
Description-md5: 540488c747b111953bb09c54825e34be
Description-en: persistent Key-Value Store for Flash and RAM Storage (tools)
 C++ library providing an embedded key-value store, where keys and values are
 arbitrary byte streams. It was developed at Facebook based on LevelDB and
 provides backwards-compatible support for LevelDB APIs.
 .
 RocksDB is optimized for Flash with extremely low latencies. RocksDB uses a
 Log Structured Database Engine for storage, written entirely in C++.
 .
 RocksDB features highly flexible configuration settings that may be tuned to
 run on a variety of production environments, including pure memory, Flash,
 hard disks or HDFS. It supports various compression algorithms and good tools
 for production support and debugging.
 .
 Features:
   - Designed for application servers wanting to store up to a few terabytes
     of data on locally attached Flash drives or in RAM
   - Optimized for storing small to medium size key-values on fast storage --
     flash devices or in-memory
   - Scales linearly with number of CPUs so that it works well on ARM
     processors
 .
 This package contains administration and data access tools.

Package: rocs
Description-md5: 4630339f2ebf0d533f4893ee0b27c1bd
Description-en: graph theory IDE
 Rocs aims to be a Graph Theory IDE for helping professors to show the results
 of a graph algorithm and also helping students to do the algorithms.
 .
 Rocs has a scripting module, done in Qt Script, that interacts with the drawn
 graph and every change in the graph with the script is reflected on the drawn
 one.
 .
 This package is part of the KDE education module.

Package: roffit
Description-md5: 6c70fe6d48d97df873bd775cbda1bf3a
Description-en: convert nroff manual pages into HTML
 Read nroff formatted manual page from standard input and convert it
 to HTML. Options -mandir and --hrefdir can generate cross-manpage
 links. URLs are converted to <a href> links.

Package: rofi
Description-md5: c0d0d93f1b0ffed577d4a229e51f1bf1
Description-en: window switcher, run dialog and dmenu replacement
 rofi can act as an application launcher, window switcher, ssh launcher and
 dmenu replacement.
 .
 Included features:
  * Full (configurable) keyboard navigation
  * Type to filter
    + Tokenized: Type any word in any order to filter
    + Case insensitive
  * UTF-8 enabled
    + UTF-8 aware string collating
    + intl. keyboard support (`e -> è)
  * Pango font rendering
  * RTL language support
  * Window Switcher
    + I3 support (requires i3-wm to be installed)
    + EWMH compatible WM
  * Run dialog
  * Desktop File Run dialog
  * SSH launcher
  * History based ordering based on use. (optional)
  * Levenshtein distance ordering of matches. (optional)
  * Drop in dmenu replacement (with many added improvements).
  * Can be easily extended using scripts.

Package: rofi-dev
Description-md5: 20ea36ea11094109933fa588d3aa7669
Description-en: development files for rofi
 This package provides headers to allow development of plugins for rofi.
 .
 rofi is a window switcher, run dialog and dmenu replacement

Package: roger-router
Description-md5: 9bb0ac2636b14376c6bd383ecc97b549
Description-en: Home router management tool - GUI
 Journal, Fax-Software and Call-Monitor for AVM FRITZ!Box or compatible
 routers. The following features are supported through plugins:
 .
  * Call monitor, journal and address book
  * Use system as softphone or dial support
  * Fax transfer with CUPS integration.
  * import address books from the Fritz!Box, Evolution and Thunderbird
  * status icon and notification popus
 .
 A predecessor to the program was formerly called FRITZ!Box Fun (ffgtk).
 This is the GUI version of the program including plugins.

Package: roger-router-cli
Description-md5: 49ad210a872d245ac74b9504dbbd9ab3
Description-en: Home router management tool - command-line interface
 Journal, Fax-Software and Call-Monitor for AVM FRITZ!Box or compatible
 routers. The following features are supported through plugins:
 .
  * Call monitor, journal and address book
  * Use system as softphone or dial support
  * Fax transfer with CUPS integration.
 .
 A predecessor to the program was formerly called FRITZ!Box Fun (ffgtk).
 .
 This is the command-line interface part of the package only.  The GUI
 is available in the roger-router package.

Package: roguenarok
Description-md5: 15fa4c9e582c6dde5dcc9e2315d1abf5
Description-en: versatile and scalable algorithm for rogue taxon identification
 RogueNaRok is a versatile and scalable algorithm for rogue taxon
 identification. It also includes implementations of the maximum agreement
 subtree, leaf stability index and taxonomic instability index.

Package: rolldice
Description-md5: fc24e9e12c794a8f92ab0ca6e1058501
Description-en: virtual dice roller
 rolldice is a virtual dice roller that takes a string on the command
 line in the format  of some fantasy role playing games like Advanced
 Dungeons & Dragons [1] and returns the result of the dice rolls.
 .
 [1] Advanced Dungeons & Dragons is a registered trademark of TSR, Inc.

Package: rollup
Description-md5: a0c58f18dae06aa310574e51a6f97313
Description-en: ES6 module bundler for JavaScript
 Rollup is a module bundler for JavaScript which compiles small pieces of code
 into something larger and more complex, such as a library or application. It
 uses the standardized ES module format for code, instead of previous
 idiosyncratic solutions such as CommonJS and AMD.
 .
 ES modules let you freely and seamlessly combine the most useful individual
 functions from your favorite libraries. Rollup can optimize ES modules for
 faster native loading in modern browsers, or output a legacy module format
 allowing ES module workflows today.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: rolo
Description-md5: e9f1159f9dec94d6d710ead9af5bd2e7
Description-en: text-based (vCard) contact management software
 Rolo keeps track of your contacts and display them to you with a
 text-based menu. Rolo strives to be a well-constructed tool for
 complimenting text-based email programs-such as mutt. It utilizes the
 vCard version 3.0 format for storing its contacts and it interfaces
 with the end-user through a NCurses front-end.

Package: ronn
Description-md5: 2c253bec114012b82b2e53e39aeb42af
Description-en: tool to build manuals from Markdown
 Ronn builds manuals. It converts simple, human readable textfiles to roff for
 terminal display, and also to HTML for the web.
 .
 The source format includes all of Markdown but has a more rigid structure and
 syntax extensions for features commonly found in manpages (definition lists,
 link notation, etc.). The ronn-format(7) manual page defines the format in
 detail.
 .
 This package contains the ronn conversion tool.

Package: roodi
Description-md5: 068852d8321aa654b75c5c48ef750e08
Description-en: Ruby object oriented design inferometer
 Roodi stands for Ruby Object Oriented Design Inferometer. It parses your
 Ruby code and warns you about design issues you have based on the
 configured checks.

Package: root-tail
Description-md5: 4809f51e22e0770267fe710f97f8f965
Description-en: Displays select log files in the X root window
 Root-tail is a program that displays and follows the most recent
 contents of one or more log fileslike "tail -f" but on the X root
 window, through the use of transparent windows.

Package: ros-actionlib-msgs
Description-md5: cbdb774cf0958e75426a26184192c918
Description-en: Messages relating to Robot OS actionlib, definitions
 This package is part of Robot OS (ROS), and contains the common
 messages to interact with an action server and an action client.  For
 full documentation of the actionlib API see the
 https://wiki.ros.org/actionlib package.
 .
 This package contains the message definitions.

Package: ros-base
Description-md5: 32d0cd858f2f38c615d8b31456a4f79f
Description-en: Python Robot OS base metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides all the ROS base system (including ROS core).

Package: ros-base-dev
Description-md5: 489d1f5584986353b03a8f2cce3d861f
Description-en: Robot OS base development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a ROS base development system (including ROS core).

Package: ros-base-lisp-dev
Description-md5: 80b062e625d9c3aff120027074360b50
Description-en: Lisp Robot OS base development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a Lisp ROS base development system (including ROS core).

Package: ros-base-python-dev
Description-md5: cb3d0c3e3a54bca8974d0af62a9f3ad4
Description-en: Python Robot OS base development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a Python ROS base development system (including ROS core).

Package: ros-cmake-modules
Description-md5: 83ed23d4e064fafc1ce2aa8a40a07507
Description-en: Robot OS CMake Modules
 This package is part of Robot OS (ROS). It contains a bunch of CMake
 Modules which are not distributed with CMake but are commonly used by
 ROS packages. The modules added are:
  * FindEigen.cmake
  * FindGflags.cmake
  * FindGSL.cmake
  * FindNUMPY.cmake
  * FindPoco.cmake
  * FindTBB.cmake
  * FindTinyXML.cmake
  * FindTinyXML2.cmake
  * FindUUID.cmake
  * FindXenomai.cmake

Package: ros-core
Description-md5: bb3c0b66ae87ddfd7f17a4ed89d4e1e8
Description-en: Robot OS core metapackage
 This package is part of Robot OS (ROS). It is a metapackage
 providing all the ROS core system.
 .
 Different to upstream, this package does not provide geneus and
 rosbag_migration_rule.
 Please install them from source, if you need them.

Package: ros-core-dev
Description-md5: 92a0affdb75952ff8a4c9733b0479767
Description-en: Robot OS core development metapackage
 This package is part of Robot OS (ROS). It is a metapackage
 which provides a ROS core development system.

Package: ros-core-lisp-dev
Description-md5: 0e912ff87eb642a653adaabcb3189408
Description-en: Lisp Robot OS core development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a Lisp ROS core development system.

Package: ros-core-python-dev
Description-md5: ea2487197364e10d0858a5d039016005
Description-en: Python Robot OS core development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a Python ROS core development system.

Package: ros-core-rosbuild-dev
Description-md5: 00278bab8ac1f04d26321c0482112f00
Description-en: Robot OS rosbuild core development metapackage
 This package is part of Robot OS (ROS). It is a metapackage
 which provides the rosbuild ROS build system.

Package: ros-desktop
Description-md5: 78e1a8a93b0a4168dc78a7149a5b21ac
Description-en: Robot OS desktop metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides the ROS desktop system (including ROS robot and viz).
 .
 Different to upstream, this package does not provide:
 common_tutorials, geometry_tutorials, ros_tutorials, roslint, urdf_tutorial,
 visualization_tutorials.
 Please install them from source, if you need them.

Package: ros-desktop-dev
Description-md5: 02e58a039007513f959fe5f5ff3333ed
Description-en: Robot OS desktop development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a ROS desktop development system (including ROS robot and viz).

Package: ros-desktop-full
Description-md5: df1ff127667d46a840210999b17f3a98
Description-en: Robot OS desktop-full metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides the ROS desktop-full system.

Package: ros-desktop-full-dev
Description-md5: b3565b9782ca1ceb43df2239a00a0c05
Description-en: Robot OS desktop-full development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a ROS desktop-full development system (including all dependencies
 needed to build ROS desktop-full).

Package: ros-desktop-full-lisp-dev
Description-md5: 19bd97d0140216cb93fa4048ee771ed2
Description-en: LISP Robot OS desktop-full development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a LISP-based ROS desktop-full development system.

Package: ros-desktop-full-python-dev
Description-md5: e2a000ce3e567a69eb8ea65299f7ab21
Description-en: Python Robot OS desktop-full development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a python-based ROS desktop-full development system.

Package: ros-desktop-lisp-dev
Description-md5: 98060749c20241ac9d218be4ef98f218
Description-en: LISP Robot OS desktop development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a LISP-based ROS desktop development system (including ROS robot).

Package: ros-desktop-python-dev
Description-md5: 649bde6acd416a625e0a4c75f03c4ba9
Description-en: Python Robot OS desktop development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a python-based ROS desktop development system (including ROS robot
 and viz).

Package: ros-diagnostic-msgs
Description-md5: 581233259ce6c20e44704cab22abb559
Description-en: Messages relating to Robot OS diagnostic, definitions
 This package is part of Robot OS (ROS), and contains the messages
 which provide the standardized interface for the diagnostic and
 runtime monitoring systems in ROS. These messages are currently used
 by the https://wiki.ros.org/diagnostics stack, which provides
 libraries for simple ways to set and access the messages, as well as
 automated ways to process the diagnostic data.
 .
 This package contains the message definitions.

Package: ros-environment
Description-md5: 170cb53ac495ce409c58e32bcc130d7f
Description-en: Robot OS environment hooks
 This package is part of Robot OS (ROS). It provides hooks to set the
 environment variables ROS_VERSION, ROS_DISTRO, ROS_PACKAGE_PATH, and
 ROS_ETC_DIR.

Package: ros-geometry-msgs
Description-md5: 2612abc382d2e25029abb3317f16c244
Description-en: Messages relating to Robot OS geometry, definitions
 This package is part of Robot OS (ROS), and provides the messages for
 common geometric primitives such as points, vectors, and poses. These
 primitives are designed to provide a common data type and facilitate
 interoperability throughout the system.
 .
 This package contains the message definitions.

Package: ros-map-msgs
Description-md5: 1fbc6397d882c1c4049526b68792551d
Description-en: Definitions of map-related ROS Messages
 This package is part of Robot OS (ROS). This package defines messages commonly
 used in mapping packages.
 .
 It contains the map-related message definitions.

Package: ros-message-generation
Description-md5: a4a4773259b03761a39ba683d1cbd518
Description-en: Generates build-time messaging dependencies for Robot OS packages
 This package is part of Robot OS (ROS). It contains cmake macros to
 generate build-time dependencies for the messages ROS packages use.

Package: ros-message-runtime
Description-md5: 9574515fe5f0a2a6c6ec626d9af011f4
Description-en: Generates runtime messaging dependencies for Robot OS package
 This package is part of Robot OS (ROS). It contains cmake macros to
 generate runtime dependencies for the messages ROS packages use.

Package: ros-mk
Description-md5: afd7b82209c371dd548c49a35cd0a569
Description-en: Robot OS make file helpers
 This package is part of Robot OS (ROS). It is a collection of make
 include files for building ROS architectural elements.  Most package
 authors should use cmake.mk, which calls CMake for the build of the
 package. The other files in this package are intended for use in
 exotic situations that mostly arise when importing 3rdparty code.

Package: ros-move-base-msgs
Description-md5: 8770b59797c9082e87d185569908f118
Description-en: Definitions of move-base-related ROS Messages
 This package is part of Robot OS (ROS). Holds the action description and
 relevant messages for the move_base package.
 .
 It contains the navigation-related message definitions.

Package: ros-nav-msgs
Description-md5: f4c2e5cedf48175d0c7d3ff2837997db
Description-en: Messages relating to Robot OS nav, definitions
 This package is part of Robot OS (ROS), and provides the common
 messages used to interact with the 'nav' https://wiki.ros.org/navigation
 stack.
 .
 This package contains the message definitions.

Package: ros-opencv-apps
Description-md5: 0c5a2f2f286a425c52a50fe3bfa90fea
Description-en: opencv_apps Robot OS package - apps
 This package is part of Robot OS (ROS). It contains several ROS
 packages for working providing OpenCV functionalities in a simplest
 manner in ROS, i.e., running a launch file that corresponds to
 the functionality.
 .
 The package contains implementations for edge detection, structural analysis,
 people/face detection, motion analysis and object segmentation.
 .
 It contains the nodes and the ROS stuff of the opencv-apps.

Package: ros-pcl-msgs
Description-md5: 8eca2d55c31c4af88d33a2dd12548351
Description-en: Definitions of PCL-related Robot OS Messages
 This package is part of Robot OS (ROS). It contains messages which
 provide the glue between the Point Cloud Library and ROS.
 .
 This package contains the message definitions.

Package: ros-perception
Description-md5: a17bcb20d95045ed4c48b8216aa15e3d
Description-en: Python Robot OS perception metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides all the ROS perception system (including ROS base).
 .
 Different to upstream, this package does not provide:
 image_pipeline, image_transport_plugins, laser_assembler, laser_filters,
 laser_geometry, perception_pcl.
 Please install them from source, if you need them.

Package: ros-perception-dev
Description-md5: 9118710052ed8ad81229f6de30a0ebcf
Description-en: Robot OS perception development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a ROS perception development system (including ROS base).

Package: ros-perception-lisp-dev
Description-md5: 42a52058186daac43f2625bf63cdb76d
Description-en: LISP Robot OS perception development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a LISP-based ROS perception development system (including
 ROS base).

Package: ros-perception-python-dev
Description-md5: d357db50b48f31a82e8cc439f3c426f5
Description-en: Python Robot OS perception development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a python-based ROS perception development system
 (including ROS base).

Package: ros-robot
Description-md5: 5e04ad13e1dc2bfeb0263fa1a00f7ff7
Description-en: Python Robot OS robot metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides all the ROS robot system (including ROS base).
 .
 Different to upstream, this package does not provide:
 control_msgs, diagnostics, executive_smach, filters, robot_state_publisher,
 xacro.
 Please install them from source, if you need them.

Package: ros-robot-dev
Description-md5: fa946ad335335c765e3b298470a0bc51
Description-en: Robot OS robot development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a ROS robot development system (including ROS base).

Package: ros-robot-lisp-dev
Description-md5: 919da5be9c47e05a4f19501263288ff8
Description-en: LISP Robot OS robot development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a LISP-basde ROS robot development system (including
 ROS base).

Package: ros-robot-python-dev
Description-md5: dd7e228af88e170a3d69d697864db45a
Description-en: Python Robot OS robot development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a ROS python-based robot development system (including
 ROS base).

Package: ros-robot-state-publisher
Description-md5: 91cc41df633b4dfad70a6afcc002b423
Description-en: Robot OS robot_state_publisher
 This package is part of Robot OS (ROS). This package allows you to publish the
 state of a robot to tf. Once the state gets published, it is available to all
 components in the system that also use tf. The package takes the joint angles
 of the robot as input and publishes the 3D poses of the robot links, using a
 kinematic tree model of the robot.
 .
 This package contains the tools.

Package: ros-roscpp-msg
Description-md5: c7ed9c2fbe7f2858f2061cb95a54d8aa
Description-en: Robot OS definitions of roscpp messages
 This package is part of Robot OS (ROS). roscpp is a C++ implementation of ROS.
 It provides a client library that enables C++ programmers to quickly interface
 with ROS topics, services, and
 parameters.
 .
 roscpp is the most widely used ROS client library and is designed to be the
 high-performance library for ROS. ROS console output library.
 .
 This package contains the message definitions.

Package: ros-rosgraph-msgs
Description-md5: cb3f6f357aceb4147826696d2a3bb080
Description-en: Messages relating to the Robot OS Computation Graph, definitions
 This package is part of Robot OS (ROS). It contains the definitions of
 the messages relating to the ROS Computation Graph. Most users are not
 expected to interact with the messages in this package, and it is
 strongly advised against. These messages are generally wrapped in
 higher level APIs.

Package: ros-sensor-msgs
Description-md5: 64b7cbfd8fecc4e9bdc410d8e40b92df
Description-en: Messages relating to Robot OS sensor, definitions
 This package is part of Robot OS (ROS).
 This package defines messages for commonly used sensors, including
 cameras and scanning laser rangefinders.
 .
 This package contains the message definitions.

Package: ros-shape-msgs
Description-md5: 08a817995fce86663c9b68edda253bf6
Description-en: Messages relating to Robot OS shape, definitions
 This package is part of Robot OS (ROS), and provides messages for
 commonly used sensors, including cameras and scanning laser
 rangefinders.
 .
 This package contains the message definitions.

Package: ros-simulators
Description-md5: f5187816c4d430d66149e18d29b8ec27
Description-en: Python Robot OS simulators metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides all the ROS robot system (including ROS robot).
 .
 Different to upstream, this package does not provide:
 rqt_common_plugins, rqt_robot_plugins, stage_ros.
 Please install them from source, if you need them.

Package: ros-simulators-dev
Description-md5: 607a3bb49fdae9ec166a1f568064f4a8
Description-en: Robot OS simulators development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a ROS simulators development system (including ROS robot).
 .
 Different to upstream, this package does not provide:
 gazebo_ros_pkgs, rqt_common_plugins, rqt_robot_plugins, stage_ros.
 Please install them from source, if you need them.

Package: ros-simulators-lisp-dev
Description-md5: b38bca4722a6a0564c94b00295c28897
Description-en: LISP Robot OS simulators development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a LISP-based ROS simulators development system (including
 ROS robot).

Package: ros-simulators-python-dev
Description-md5: f5b7f55898e3581f72106aad607faf4c
Description-en: Python Robot OS simulators development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a python-based ROS simulators development system
 (including ROS robot).

Package: ros-std-msgs
Description-md5: 0a0de2a10d60d15d93c6e9f67712a4ab
Description-en: Message definitions for Standard Robot OS Messages
 This package is part of Robot OS (ROS). It contains message
 definitions for the ROS std_msgs library, which contains wrappers for
 ROS primitive types, which are documented in the msg specification. It
 also contains the Empty type, which is useful for sending an empty
 signal.

Package: ros-std-srvs
Description-md5: bc1b1b9495926f4602fc504997de4353
Description-en: Robot OS Common service definitions, definitions
 This package is part of Robot OS (ROS). It contains common service
 definitions.
 .
 This package contains the message definitions of the common service
 definitions messages.

Package: ros-stereo-msgs
Description-md5: ea899637af0397434139567a0b28003c
Description-en: Messages relating to Robot OS stereo, definitions
 This package is part of Robot OS (ROS), and provides messages
 specific to stereo processing, such as disparity images.
 .
 This package contains the message definitions.

Package: ros-tf2-msgs
Description-md5: b3cbce7d66b7c1c578d7d4721b3b60e0
Description-en: Robot OS messages for tf2 transform library - definitions
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.
 .
 This package contains the message definitions.

Package: ros-topic-tools-srvs
Description-md5: 6cf560ceb93d25b7f28d7c075eca32ef
Description-en: service templates files for libtopic-tools (Robot OS)
 This package is part of Robot OS (ROS). It contains development files
 for the libtopic-tools library, which provides tools for directing,
 throttling, selecting, and otherwise messing with ROS topics at a
 meta level.
 .
 This contains the service files

Package: ros-trajectory-msgs
Description-md5: ea0b448b8fe16f7fe830e5cb88cbd8bb
Description-en: Messages relating to Robot OS trajectory, definitions
 This package is part of Robot OS (ROS), and provides messages for
 defining robot trajectories. These messages are also the building
 blocks of most of the https://wiki.ros.org/control_msgs
 .
 This package contains the message definitions.

Package: ros-visualization-msgs
Description-md5: fc5ae0aff37817a07514ee6b0d0a1275
Description-en: Messages relating to Robot OS visualization, definitions
 This package is part of Robot OS (ROS), and provides a set of
 messages used by higher level packages, such as rviz, that deal in
 visualization-specific data.
 .
 The main messages in visualization_msgs is
 visualization_msgs/Marker.  The marker message is used to
 send visualization "markers" such as boxes, spheres, arrows, lines,
 etc. to a visualization environment such as
 https:///www.ros.org/wiki/rviz See the rviz tutorial
 https://www.ros.org/wiki/rviz/Tutorials for more information.
 .
 This package contains the message definitions.

Package: ros-viz
Description-md5: 6426f8e339b9ee6f2fd74537c31017b1
Description-en: Python Robot OS viz metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides all the ROS viz system (including ROS base).

Package: ros-viz-dev
Description-md5: a20949786f26c48f00c97aae410d0ea0
Description-en: Robot OS viz development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a ROS viz development system (including ROS base).

Package: ros-viz-python-dev
Description-md5: 5a034b8c7188bcbc5b5e84deba111d26
Description-en: Python Robot OS viz development metapackage
 This package is part of Robot OS (ROS). It is a metapackage which
 provides a python-based ROS viz development system (including ROS
 base).

Package: rosbash
Description-md5: b3539ab2d1aa178ea3d3840fceedc491
Description-en: Assorted shell commands for using Robot OS with bash
 This package is part of Robot OS (ROS). The rosbash package contains
 some useful bash functions and adds tab-completion to a large number
 of the basic ros utilities. The package includes limited support for
 zsh and tcsh by way of sourcing the roszsh or rostcsh files
 respectively. It doesn't provide documentation on these shells,
 though much of the functionality is similar to the bash shell
 extensions.

Package: rosbuild
Description-md5: 2f361c1de3223459da0eaad9b1d418d4
Description-en: scripts for managing the Robot OS build system
 This package is part of Robot OS (ROS). Rosbuild solves the core
 problem of gathering appropriate build flags from, and tracking
 dependencies in, the ROS package tree. The macros provided by
 rosbuild automatically inherit the union of build flags exported by
 packages on which your package depends.

Package: rosegarden
Description-md5: 5c65f29c7ed569642ec74021c668bc22
Description-en: music editor and MIDI/audio sequencer
 Rosegarden is a Qt application which provides a mixed Audio/MIDI
 sequencer (for playback and recording), a multi-track editor, music
 editing using both piano-roll and score notation, MIDI file IO,
 lilypond and Csound files export, etc.

Package: roslang
Description-md5: 5af2574e4a88e027b3188cb427327cd3
Description-en: Common metapackage for all Robot OS client libraries
 This package is part of Robot OS (ROS). It is mainly used to find
 client libraries (via 'rospack depends-on1 roslang'). The roslang
 package is only of interest to those implementing a ROS client
 library. Client libraries mark themselves as such by depending on
 the roslang package, which allows rosbuild and other tools to perform
 appropriate actions, such as msg- and srv-based code generation. The
 roslang package itself contains no actual code.

Package: roslisp
Description-md5: 1266f96db6cbfb7b79560567657e66a2
Description-en: Lisp client library for Robot OS
 This package is part of Robot OS (ROS). Roslisp is a client library
 for writing ROS nodes in idiomatic Common Lisp. The library is
 written to support ease of use, quick scripting of nodes, and
 interactive debugging of a running ROS system.

Package: rosout
Description-md5: 9b3b21f28073a535790e063c30fae749
Description-en: Robot OS system-wide logging mechanism
 This package is part of Robot OS (ROS). rosout is the name of the
 console log reporting mechanism in ROS. It can be thought of as
 comprising several components:
  * The `rosout` node for subscribing, logging, and republishing the
    messages.
  * The /rosout topic
  * The /rosout_agg topic for subscribing to an aggregated feed

Package: rospack-tools
Description-md5: 62f27aca122e262037cb0dbbc4f5d143
Description-en: command-line tool for retrieving information about Robot OS packages
 This package is part of Robot OS (ROS).  rospack is a command-line
 tool for retrieving information about ROS packages available on the
 filesystem. It implements a wide variety of commands ranging from
 locating ROS packages in the filesystem, to listing available stacks,
 to calculating the dependency tree of stacks. It is also used in the
 ROS build system for calculating build information for packages.

Package: rotix
Description-md5: 6316d7be5b1cb3e6a31486d45f917cfd
Description-en: generate rotational obfuscations
 Rotix allows you to generate rotational obfuscations, like the world-famous
 ROT-13. Note that this is not an encryption pack.
 .
 Install Rotix if you want to generate ROT-13 variants.

Package: rotter
Description-md5: 715132c0bee81d09b6761333066cd23d
Description-en: JACK client for transmission recording and audio logging
 Rotter is a Recording of Transmission / Audio Logger for JACK. It was
 designed for use by radio stations, who are legally required to keep
 a recording of all their output. Rotter runs continuously, writing to
 a new file every hour.

Package: roundcube
Description-md5: d6553eaac17c025256cb902a265e252d
Description-en: skinnable AJAX based webmail solution for IMAP servers - metapackage
 RoundCube Webmail is a browser-based multilingual IMAP client with an
 application-like user interface. It provides full functionality
 expected from an e-mail client, including MIME support, address book,
 folder manipulation and message filters.
 .
 The user interface is fully skinnable using XHTML and CSS 2.
 .
 This package will install a full roundcube application.

Package: roundcube-core
Description-md5: 070b49746ffa5175d706c57880b405e7
Description-en: skinnable AJAX based webmail solution for IMAP servers
 RoundCube Webmail is a browser-based multilingual IMAP client with an
 application-like user interface. It provides full functionality
 expected from an e-mail client, including MIME support, address book,
 folder manipulation and message filters.
 .
 The user interface is fully skinnable using XHTML and CSS 2.
 .
 This package provides the core code for roundcube. You should install
 it along with one of the roundcube database metapackages.

Package: roundcube-mysql
Description-md5: e3030807c558599393412b21babfca91
Description-en: metapackage providing MySQL dependencies for RoundCube
 This package provides MySQL dependencies for RoundCube Webmail, a
 skinnable AJAX based webmail solution for IMAP servers. Install this
 one if you want to use a MySQL database with RoundCube.

Package: roundcube-pgsql
Description-md5: 7a8d9c1ae68fcd3b5176a88c3c7750d8
Description-en: metapackage providing PostgreSQL dependencies for RoundCube
 This package provides PostgreSQL dependencies for RoundCube Webmail,
 a skinnable AJAX based webmail solution for IMAP servers. Install
 this one if you want to use a PostgreSQL database with RoundCube.

Package: roundcube-plugins
Description-md5: 9d938733bb09501e1220d825f58b84a0
Description-en: skinnable AJAX based webmail solution for IMAP servers - plugins
 RoundCube Webmail is a browser-based multilingual IMAP client with an
 application-like user interface. It provides full functionality
 expected from an e-mail client, including MIME support, address book,
 folder manipulation and message filters.
 .
 The user interface is fully skinnable using XHTML and CSS 2.
 .
 This package provides several plugins for Roundcube. They should be
 enabled in the configuration of Roundcube.

Package: roundcube-plugins-extra
Description-md5: 3107f8b5975daedeb6b85f4921bda023
Description-en: skinnable AJAX based webmail solution - extra plugins
 RoundCube Webmail is a browser-based multilingual IMAP client with an
 application-like user interface. It provides full functionality
 expected from an e-mail client, including MIME support, address book,
 folder manipulation and message filters.
 .
 The user interface is fully skinnable using XHTML and CSS 2.
 .
 This package provides a collection of several plugins for Roundcube that
 are not maintained directly by Roundcube authors, namely:
  - compose-addressbook
  - contextmenu
  - dkimstatus
  - dovecot-impersonate
  - fail2ban
  - keyboard-shortcuts
  - listcommands
  - markasjunk2
  - message-highlight
  - sauserprefs
  - sieverules
  - thunderbird-labels
 .
 They should be enabled in the configuration of Roundcube.

Package: roundcube-sqlite3
Description-md5: 57abc373e59353dec211093c19a195fe
Description-en: metapackage providing SQLite dependencies for RoundCube
 This package provides SQLite dependencies for RoundCube Webmail,
 a skinnable AJAX based webmail solution for IMAP servers. Install
 this one if you want to use a SQLite database with RoundCube.

Package: routino
Description-md5: fe6b12474f376c01dedd2616bd05dd79
Description-en: Set of tools to find a path between two points
 Routino is an application for finding a route between two points
 using the dataset of topographical information collected by
 OpenStreetMap

Package: routino-common
Description-md5: 9d50524c2c1159dfdc518414757c990e
Description-en: Routino data
 Routino is an application for finding a route between two points
 using the dataset of topographical information collected by
 OpenStreetMap
 .
 This package provides the common data for Routino.

Package: routino-www
Description-md5: c029b2eade196448e4949804939ad231
Description-en: Web frontend for routino
 Routino is an application for finding a route between two points
 using the dataset of topographical information collected by
 OpenStreetMap
 .
 This is the web frontend to the router.

Package: rovclock
Description-md5: 084a3f804ebdc6b0ff1175c88c22c212
Description-en: utility to control frequency rates of your Radeon card
 It is very helpful for underclocking the Radeon chip in order to save
 power when running on batteries. Also, by underclocking it is possible
 to prevent the fan from running.
 It can also be used to gain performance by overclocking.

Package: rover
Description-md5: 04d0813215e008ca52b0709dd11bc9c9
Description-en: text-based light-weight frontend for update-alternatives
 Rover is a text-based light-weight frontend for update-alternatives, which is
 inspired by the ranger file browser. Compared to existing graphical frontends
 such as galternatives and kalternatives, Rover still has the following
 advantages:
   1. User doesn't need to move hand off the keyboard.
   2. Zero GUI overhead, and not bound to graphical environment.
   3. Supports searching by substring or Python regex.

Package: rox-filer
Description-md5: cfeaf02a1a5b85b60764b1ddc08704ae
Description-en: simple graphical file manager for X11
 ROX-Filer is a simple and easy to use graphical file manager
 for X11 based on the GTK2 library. It uses a uniform
 drag-and-drop approach for every operation.
 .
 It is also the core component of the ROX Desktop Environment.
 .
 Invoking rox opens each directory or file listed,  or  the
 current working directory if no arguments are given.

Package: rpki-trust-anchors
Description-md5: 74688ae214e4188c5f4cf2d34fedf35e
Description-en: RPKI Trust Anchor Locators
 This package contains the RPKI Trust Anchor Locators (TALs), i.e. data
 which allows software to download and verify the certificates published
 by the Regional Routing Registries for the purpose of verifying Route
 Origin Authorisation certificates (ROAs).

Package: rpl
Description-md5: 1cb905b4fa5032e19c0a3aa08791c695
Description-en: replace strings in files
 rpl is an intelligent search and replacement utility. It will change
 strings with new strings in multiple text files at the same time.
 .
 rpl can verify, find and edit several plain texts quickly.

Package: rplay-client
Description-md5: db7ea6eb5275fde4a4d72b177aed633a
Description-en: rplay network audio system - basic clients
 This package contains the basic rplay clients (rplay, rptp).  The clients
 are used to play sounds on the local host or remote systems.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: rplay-contrib
Description-md5: e208080f5881c3e1317c1b6db4b8f117
Description-en: rplay network audio system - contributed binaries
 Contains mailsounds and Mailsounds each of which can be used to play
 sounds on a system when new mail arrives.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: rplay-server
Description-md5: a837446457cb0780b41fbeb313d2f3a6
Description-en: rplay network audio system - server
 This package contains the rplay server.  The rplay server allows sounds
 to be played on the system.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: rpm
Description-md5: b637dea69233214122f83668b1ac634e
Description-en: package manager for RPM
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 On Debian and derived systems it is recommended to use "alien" to
 convert RPM packages into .deb format instead of bypassing the Debian
 package management system by installing them directly with rpm.

Package: rpm-common
Description-md5: 281a776fb3c0b33e3fa2befccc935f42
Description-en: common files for RPM
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package contains some scripts and default configuration which is
 used by RPM libraries.

Package: rpm-i18n
Description-md5: 38f72444aa854dc7f3f657c4be38e842
Description-en: localization and localized man pages for rpm
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package contains localization of rpm and localized man pages.

Package: rpm2cpio
Description-md5: 40ab460ae975fb68939c5b31c0e7dc8e
Description-en: tool to convert RPM package to CPIO archive
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package contains tool to convert RPM packages to standard CPIO
 archive.

Package: rpmlint
Description-md5: b8da9a736db7db144d0b4163fc42d180
Description-en: RPM package checker
 rpmlint is a tool for checking common errors in rpm packages.  rpmlint
 can be used to test individual packages before uploading or to check
 an entire distribution.  By default all applicable checks are
 performed but specific checks can be performed by using command line
 parameters.
 .
 rpmlint can check binary rpms (files and installed ones), source rpms,
 and plain specfiles, but all checks do not apply to all argument
 types.  For best check coverage, run rpmlint on source rpms instead of
 plain specfiles, and installed binary rpms instead of uninstalled
 binary rpm files.
 .
 The idea for rpmlint is from the lintian tool of the Debian project.

Package: rr
Description-md5: 4c72f8b65ce46127f213845f3489a874
Description-en: application execution recorder, player and debugger
 rr allows application executions to be recorded and then replayed
 with gdb as many times as desired.
 .
 This allows intermittent, or complex to reproduce, bugs to be
 captured and then debugged at leisure. Replays are deterministic,
 always identical from one run to another.
 .
 rr is incompatible with ptrace hardening, and currently only supports
 Intel CPUs with Nehalem or later microarchitectures. The amd64
 package supports debugging amd64 and i386 binaries.

Package: rrdcached
Description-md5: 4d8a85234e5733e4bc3e4f2b629e4f4c
Description-en: data caching daemon for RRDtool
 RRDCacheD is a daemon that receives updates to existing RRD files, accumulates
 them and, if enough have been received or a defined time has passed, writes
 the updates to the RRD file. The daemon was written with big setups in mind.
 Those setups usually run into I/O related problems. RRDCacheD's goal is to
 alleviate these problems.

Package: rrdcollect
Description-md5: 9cfdce28f86c368145952f13e8ba61f8
Description-en: Round-Robin-Database Collecting Daemon
 RRDcollect is a daemon which polls certain files in /proc/
 directory, gathering data and storing it inside RRDtool's
 database files.  Being written in C it should be both fast
 and resources-friendly.  It supports both scanf(3)-style
 pattern matches and perl compatible regular expressions.
 .
 This package does not create rrd files. You need to create those files
 manually using rrdtool package.

Package: rrdcollect-dbg
Description-md5: 1aea791f716f4cc9ef3a5047d6cd205e
Description-en: debugging symbols for rrdcollect
 This package contains the debugging symbols for RRDcollect, the daemon which
 polls data from certain sources into RRD databases.

Package: rrdtool-tcl
Description-md5: b846eb67771d33328ed170f4f37b5a75
Description-en: time-series data storage and display system (Tcl interface)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 This package contains a Tcl interface to RRDs.

Package: rrdweather
Description-md5: c86fefcde26f2444392eea9522131ee7
Description-en: weather monitoring tool based on RRDtool and weather.com
 RDWeather is a tool based on RRDtool and weather.com for weather monitoring.
 It regularly collects weather data from a XML file and put them in
 nice RRDtool graphs.

Package: rrep
Description-md5: bde8e8b5ea0bac44a7b315e1ba98cf59
Description-en: recursive pattern replacement utility
 rrep is a pattern replacement utility.  It comes with
 support for regular expressions, recursive directory
 processing, backup, simulation and prompting.  The
 replacement string may contain special characters to
 refer to portions of the matched pattern.

Package: rrootage
Description-md5: 0f85621992be68de3bd7f78fcf25fc67
Description-en: arcade-style space shooting game
 rRootage is an arcade-style vertical shooting game, with music to match.
 Avoid the barrage of bullets and destroy the enemy's battle ship with your
 laser. rRootage has 40 levels, each playable in four different modes.
 .
 rRootage was created by Kenta Cho.

Package: rrootage-data
Description-md5: 31bdc3386453bd56eb673d3900f62c11
Description-en: space shooting game - data files
 This package contains data files for the rRootage game.

Package: rs
Description-md5: d97e4ed404dbab37fcffe56d166d4370
Description-en: reshape a data array
 rs reads the standard input, interpreting each line as a row of
 blank-separated entries in an array, transforms the array
 according to the options, and writes it on the standard output.
 Numerous options control input, reshaping and output processing;
 the simplest usage example is "ls -1 | rs", which outputs the
 same (on an 80-column terminal) as the modern "ls" with no "-1"
 argument.

Package: rsakeyfind
Description-md5: 56c621be6fd0438c3559a6115f1b46b9
Description-en: locates BER-encoded RSA private keys in memory images
 rsakeyfind is a tool that locates BER-encoded RSA private keys in MEMORY-IMAGE.
 If a MODULUS-FILE is specified, it will locate private and public keys matching
 the hex-encoded modulus read from this file.
 .
 This package is useful to several activities, as forensics investigations.

Package: rsbackup
Description-md5: 89600b35c2f4678b96b064c1b193e46f
Description-en: rsync-based backup utility
 Backups are stored as complete filesystem trees on a (perhaps
 external) hard disk.  Multiple backups use hard links between
 identical files to save space.
 .
 Backups may be taken from multiple machines (over SSH) and stored to
 multiple disks.
 .
 Backups may be made automatically, i.e. without relying on the
 operator to remember to make a backup.

Package: rsbackup-graph
Description-md5: 57cb48942d813cb5c503518f656cd099
Description-en: Graphics for rsync-based backup utility
 Backups are stored as complete filesystem trees on a (perhaps
 external) hard disk.  Multiple backups use hard links between
 identical files to save space.
 .
 Backups may be taken from multiple machines (over SSH) and stored to
 multiple disks.
 .
 Backups may be made automatically, i.e. without relying on the
 operator to remember to make a backup.
 .
 This package generates graphical representations of currently
 available backups.

Package: rsem
Description-md5: 20ba6f1e1adc3851b48029310a06b4ed
Description-en: RNA-Seq by Expectation-Maximization
 RSEM is a software package for estimating gene and isoform expression
 levels from RNA-Seq data. The RSEM package provides an user-friendly
 interface, supports threads for parallel computation of the EM
 algorithm, single-end and paired-end read data, quality scores,
 variable-length reads and RSPD estimation. In addition, it provides
 posterior mean and 95% credibility interval estimates for expression
 levels. For visualization, It can generate BAM and Wiggle files in both
 transcript-coordinate and genomic-coordinate. Genomic-coordinate files
 can be visualized by both UCSC Genome browser and Broad Institute’s
 Integrative Genomics Viewer (IGV). Transcript-coordinate files can be
 visualized by IGV. RSEM also has its own scripts to generate transcript
 read depth plots in pdf format. The unique feature of RSEM is, the read
 depth plots can be stacked, with read depth contributed to unique reads
 shown in black and contributed to multi-reads shown in red. In addition,
 models learned from data can also be visualized. Last but not least,
 RSEM contains a simulator.

Package: rsh-client
Description-md5: 0e567d8dad97373a23bdade592b87a3b
Description-en: client programs for remote shell connections
 This package contains rsh, rcp and rlogin.

Package: rsh-redone-client
Description-md5: 6ab3a9e656837b6283888b972f2bd5a1
Description-en: Reimplementation of rsh and rlogin
 Rsh-redone is a reimplementation of the remote shell clients and servers.
 It is written from the ground up to avoid the bugs found in the standard
 clients and servers. It also fully supports IPv6.
 .
 This package provides rsh and rlogin.

Package: rsh-redone-server
Description-md5: 98dead85e8cae3cc7878805b04c9dfbd
Description-en: Reimplementation of rshd and rlogind
 Rsh-redone is a reimplementation of the remote shell clients and servers.
 It is written from the ground up to avoid the bugs found in the standard
 clients and servers. It also fully supports IPv6.
 .
 This package provides rshd and rlogind.

Package: rsh-server
Description-md5: 49081719c7c028e0a91cbc1fcb22dd0c
Description-en: server program for remote shell connections
 This package contains rexecd, rlogind and rshd.

Package: rsibreak
Description-md5: 9a21a4925f90b49fcb2349e86bd145de
Description-en: utility to help prevent repetitive strain injury
 Prolonged use of a mouse and keyboard can cause a repetitive strain injury
 (RSI), so computer users are advised to take regular breaks.
 .
 RSIBreak helps prevent repetitive strain injuries by keeping track of usage
 patterns and periodically informing users to take breaks.  During break
 periods, RSIBreak can either show an unobtrusive message, lock the screen
 entirely, or present a slideshow.

Package: rsnapshot
Description-md5: 2b1db969c25bf5671e00e441a3e6a388
Description-en: local and remote filesystem snapshot utility
 rsnapshot is an rsync-based filesystem snapshot utility. It can take
 incremental backups of local and remote filesystems for any number of
 machines. rsnapshot makes extensive use of hard links, so disk space is
 only used when absolutely necessary.

Package: rspamd
Description-md5: 7e5be3910fdbb8e6287582c3520347e2
Description-en: Rapid spam filtering system
 Rspamd is a rapid, modular and lightweight spam filter. It is designed to work
 with big amount of mail and can be easily extended with own filters written in
 Lua.

Package: rsplib-all
Description-md5: f5a96c8c3a91144b8e1fde3491b7c857
Description-en: RSerPool implementation RSPLIB
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This is the installation metapackage for the RSerPool implementation RSPLIB.
 It installs all RSPLIB components.

Package: rsplib-doc
Description-md5: ac125c33869a36d2cb3397bb5ae1dc23
Description-en: documentation of the RSerPool implementation RSPLIB
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This package contains the documentation for the RSerPool implementation
 RSPLIB.

Package: rsplib-fgp-cfgfiles
Description-md5: 8de022bbeb0694f48018dad7c04b655a
Description-en: RSerPool Fractal Generator Service example input files
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This package provides a set of input files for the Fractal Generator
 service.

Package: rsplib-registrar
Description-md5: c0449b67d74ba2e139636c1fbb17122e
Description-en: RSerPool Registrar service
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This package provides the registrar, which is the management component
 for RSerPool-based server pools. You need at least one registrar in a
 setup, but for redundancy reasons, you should have at least two.

Package: rsplib-services
Description-md5: c48ab74fc7e55337f67db0dade52fae7
Description-en: RSerPool example services
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This package provides the rsplib RSerPool example services:
 Echo, Discard, Daytime, CharGen, CalcApp, FractalGenerator and
 ScriptingService.

Package: rsplib-tools
Description-md5: f43a5e01497f04375a2652a5a077b908
Description-en: RSerPool test tools
 Reliable Server Pooling (RSerPool) is the IETF's standard (RFC 5351 to
 RFC 5356) for a lightweight server pool and session management framework.
 It provides highly available pool management (that is registration
 handling and load distribution/balancing) by components called Registrar
 and a client-side/server-side API for accessing the service of a pool.
 .
 This package provides some test tools for RSerPool setups.

Package: rss-bridge
Description-md5: 0c84b90a90ca3642497d5f8ca3dd5469
Description-en: web service generating ATOM feeds for websites that don't have them
 Provides a PHP web service which generates ATOM feeds for facebook, twitter,
 youtube, flickr, google, instagram, pinterest and more than 130 other web
 services which do not provide ATOM or RSS feeds themselves. The software acts
 as a proxy between the web service and an RSS reader software. It scrapes
 their publicly available HTML pages to extract the necessary information.
 To prevent banning, the results are cached.

Package: rss-glx
Description-md5: b313ffb26b564475e632e629b6226cc8
Description-en: Really Slick Screensavers GLX Port
 rss-glx is a GLX port of the Really Slick Screensavers collection by
 Terry Welsh (http://www.reallyslick.com/). Also included are several
 other OpenGL screensavers ported from other platforms.
 .
 The screensavers can either be run as stand-alone applications or get
 integrated into XScreenSaver's list of active screensavers. More
 information about using these with xscreensaver can be found in
 /usr/share/doc/rss-glx.
 .
 Screensavers included in this package are: Biof, Busy Spheres,
 Colorfire, Cyclone, Drempels, Euphoria, Feedback, Fieldlines, Flocks, Flux,
 Helios, Hufo's Smoke, Hufo's Tunnel, Hyperspace, Lattice, Lorenz Attractor,
 MatrixView, Plasma, Pixel City, Skyrocket, Solarwinds, SpirographX, and
 Sundancer2.

Package: rss2email
Description-md5: 657c015717dd2608972773cde5e54408
Description-en: receive RSS feeds by email
 rss2email is a simple program which you can run in your crontab.
 It watches RSS (or Atom) feeds and sends you a nicely formatted
 email message for each new item.

Package: rss2irc
Description-md5: 4b7397737f38017ed122411f3e0a2d1f
Description-en: IRC bot that watches an RSS/Atom feed and relays it to a channel
 This bot polls a single RSS or Atom feed and announces updates to
 an IRC channel, with options for customizing output and behavior. It
 aims to be a simple, dependable bot that does its job and creates no
 problems.

Package: rsstail
Description-md5: 11e58d909657f8c6c3df30dbf978198f
Description-en: console RSS reader that monitors a feed and outputs new entries
 This small, simple application reads an RSS feed and outputs it like the
 'tail' command.
 .
 This tool can be used as a plugin in MultiTail

Package: rst2pdf
Description-md5: e64dd39906680e1c6b84b108d693c637
Description-en: ReportLab-based reStructuredText to PDF renderer
 The usual way of creating PDF files from reStructuredText is by going through
 LaTeX. This tool provides an alternative by producing PDF directly using the
 ReportLab library.

Package: rstat-client
Description-md5: e990ee2decd660442859182a9fb1669a
Description-en: client for rstatd
 This package contains rup(1) and rsysinfo(1), clients for rstatd.

Package: rstatd
Description-md5: fe5226d01db8b3af4b27e7f85d40d4b7
Description-en: displays uptime information for remote machines
 This allows other machines on your local network to get information about
 your computer - especially uptime.  This will allow you to use the rup(1)
 command.

Package: rsyncrypto
Description-md5: 62fc22a358759065da4970932087f254
Description-en: rsync friendly encryption
 Rsyncrypto allows you to encrypt a file or a directory structure, such that
 they can later be synchronized to another machine using rsync. This means
 that local changes to the plain text file result in local changes to the
 cipher text file.
 .
 rsyncrypto compresses the plain text file prior to encrypting it.

Package: rsyslog-czmq
Description-md5: f3d9c0df73b612d8d59df64eb6f1efa3
Description-en: ZeroMQ protocol support for rsyslog via CZMQ
 These plugins allows rsyslog to send and receive ZeroMQ syslog
 messages via the CZMQ library.

Package: rsyslog-doc
Description-md5: 56e2e21af4e89d546589f2ecfa2f6bdc
Description-en: documentation for rsyslog
 This package contains detailed HTML documentation for rsyslog.
 .
 It describes the general configuration file syntax for filters, actions,
 templates, etc, and has detailed information for all available configuration
 directives.

Package: rsyslog-elasticsearch
Description-md5: 70ea8e4a3fd075407bd491943fb8aa9f
Description-en: Elasticsearch output plugin for rsyslog
 This plugin allows rsyslog to write syslog messages to Elasticsearch, a
 distributed, multitenant-capable full-text search engine with a RESTful web
 interface and schema-free JSON documents.

Package: rsyslog-gnutls
Description-md5: 265225b5dc73eb3f29a762b048053702
Description-en: TLS protocol support for rsyslog (GnuTLS)
 This netstream plugin allows rsyslog to send and receive encrypted syslog
 messages via the syslog-transport-tls IETF standard protocol.

Package: rsyslog-gssapi
Description-md5: 79a6e301e123c9629e0c4e9aaa191cae
Description-en: GSSAPI authentication and encryption support for rsyslog
 These plugins allow rsyslog to write and/or receive GSSAPI authenticated and
 encrypted syslog messages. GSSAPI is commonly used for Kerberos
 authentication.

Package: rsyslog-hiredis
Description-md5: 25985930fefb6abfae5747e162ad10e3
Description-en: Redis output plugin for rsyslog
 This plugin allows rsyslog to write syslog messages to Redis, a
 key-value database in a similar vein to memcache but the dataset
 is non-volatile.

Package: rsyslog-kafka
Description-md5: 859920336ebf2f34ddfcd5786e12aede
Description-en: Apache Kafka support for rsyslog
 These plugins allow rsyslog to send and receive syslog messages via Apache
 Kafka, a distributed and scalable message broker offering strong durability
 and fault-tolerance guarantees.

Package: rsyslog-mongodb
Description-md5: fccd547ba5d35ccbc2019238e7a767f9
Description-en: MongoDB output plugin for rsyslog
 This plugin allows rsyslog to write syslog messages to MongoDB, a
 scalable, high-performance, open source NoSQL database.

Package: rsyslog-mysql
Description-md5: 73513767b35f7839dfc63fc06366fcb0
Description-en: MySQL output plugin for rsyslog
 This plugin allows rsyslog to write syslog messages into a MySQL database.

Package: rsyslog-openssl
Description-md5: f4d05e7ef47ce2ae73ddcbed5a958267
Description-en: TLS protocol support for rsyslog (OpenSSL)
 This netstream plugin allows rsyslog to send and receive encrypted syslog
 messages via the syslog-transport-tls IETF standard protocol.

Package: rsyslog-pgsql
Description-md5: 1d2cf23cdf0c487b7ba6462ff29414d9
Description-en: PostgreSQL output plugin for rsyslog
 This plugin allows rsyslog to write syslog messages into a PostgreSQL
 database.

Package: rsyslog-relp
Description-md5: 16c1db74c009634d13e59cd2b9fd25e9
Description-en: RELP protocol support for rsyslog
 These plugins allow rsyslog to send and receive syslog messages via the
 RELP protocol. RELP ensures reliable transport over the network even on
 connection loss or if a peer becomes unavailable.

Package: rt-app
Description-md5: ba2c49858874d8cd6596321e18fc9953
Description-en: Test application which simulates a real-time periodic load
 rt-app is a test application that starts multiple periodic threads in
 order to simulate a real-time periodic load. It supports the
 SCHED_OTHER/SCHED_FIFO/SCHED_RR kernel mechanisms as well as the
 AQuoSA framework and SCHED_DEADLINE. It can be run with a simple
 command-line config, or a more complex set of tasks/threads
 configured in a (json) config file.

Package: rt-tests
Description-md5: 81e88645d2b857477b854a1c8cc59ad8
Description-en: Test programs for rt kernels
 rt-tests contains a set of programs that test and measure various components
 of real-time kernel behavior, such as timer latency, signal latency and the
 functioning of priority-inheritance mutexes.
 Additionally it contains hackbench, a program to generate work for the
 scheduler.

Package: rt4-apache2
Description-md5: 50bf51c0ec829a522b9884787a763b28
Description-en: Apache 2 specific files for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This package provides various configuration files and manages the
 necessary dependencies for running request tracker (RT) version 4
 on the Apache 2 web server.

Package: rt4-clients
Description-md5: 7e53f5d4242d4eb62d464a18be5036db
Description-en: mail gateway and command-line interface to request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This package provides support for injecting tickets into Request Tracker
 from a mail server via rt-mailgate. It may be installed onto any
 machine where you want to use the "rt" command-line interface.

Package: rt4-db-mysql
Description-md5: 59dc2b0a1310ef261ac2c200f3207a9c
Description-en: MySQL database backend for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This empty package provides dependencies and dbconfig-common support for
 using Request Tracker version 4 with a MySQL database.

Package: rt4-db-postgresql
Description-md5: 039244b534dcac7b8a4db8f5eab941f7
Description-en: PostgreSQL database backend for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This empty package provides dependencies and dbconfig-common support for
 using Request Tracker version 4 with a PostgreSQL database.

Package: rt4-db-sqlite
Description-md5: 2c076f71081526e5a5b9d945bb119ee5
Description-en: SQLite database backend for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This empty package provides dependencies and dbconfig-common support for
 using Request Tracker version 4 with a local SQLite (version 3) database.
 .
 This package will be pulled in by default by request-tracker4, but SQLite
 is not recommended for production use.  Please see
 /usr/share/doc/request-tracker4/NOTES.Debian for more details and
 consider installing rt4-db-postgresql or rt4-db-mysql instead of this
 package.

Package: rt4-doc-html
Description-md5: ea93b04bda271362a28812f38c347c43
Description-en: HTML documentation for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This package provides HTML documentation for RT.

Package: rt4-extension-calendar
Description-md5: b7e23b4ff989ed060ab9b64f496243d9
Description-en: Calendar view for Request Tracker 4
 This RT extension provides a calendar view for your tickets and your
 reminders so you see when is your next due ticket. You can find it in the
 menu Search->Calendar.
 .
 There's a portlet to put on your home page (see Prefs/MyRT.html)
 .
 You can also enable ics (ICal) feeds for your default calendar and all your
 private searches in Prefs/Calendar.html. Authentication is magic number based
 so that you can give those feeds to other people.

Package: rt4-extension-customfieldsonupdate
Description-md5: 8423791c96cc43163c6cd54eb6480585
Description-en: edit ticket's custom fields on reply/comment (Request Tracker)
 This extension adds often requested feature - update of ticket's custom
 fields on reply and comment.
 .
 This is for RT 4.0.x, solutions for older versions available on the wiki,
 start from CustomFieldsOnUpdate page [1].
 .
 [1] http://requesttracker.wikia.com/wiki/CustomFieldsOnUpdate

Package: rt4-extension-jsgantt
Description-md5: b03f769fd5c40fa80e717df4a7bac2be
Description-en: Gantt charts for your tickets (for RT4)
 Gantt charts are ubiquitous for project planning and management.
 This extension provides dynamically generated Gantt charts for
 any ticket search in RT using the date fields for each ticket.
 It also provides a Gantt chart for the dependencies of a ticket
 so you can see how your entire project fits together.

Package: rt4-extension-nagios
Description-md5: 0465dbc2fa356acc53a7f56420e627ee
Description-en: merge and resolve Nagios tickets in RT
 Nagios <http://www.nagios.org> is a monitoring system that enables
 organizations to identify and resolve IT infrastructure problems.
 .
 This extension allows email alerts generated by Nagios to be aggregated
 and resolved as it generates them. It does this by examining the
 standard subject line that Nagios generates.

Package: rt4-extension-repeatticket
Description-md5: a50e0cad81913f5215498ba33afb45e7
Description-en: Repeat tickets in Request Tracker 4 based on schedule
 The RepeatTicket extension for the Request Tracker trouble-ticket tracking
 system allows you to set up recurring tickets so new tickets are
 automatically created based on a schedule. The new tickets are populated
 with the subject and initial content of the original ticket in the
 recurrence.
 .
 After you activate the plugin by adding it to your RT_SiteConfig.pm file, all
 tickets will have a Recurrence tab on the create and edit pages. To set up a
 repeating ticket, click the checkbox to "Enable Recurrence" and fill out the
 schedule for the new tickets.
 .
 New tickets are created when you initially save the recurrence, if new
 tickets are needed, and when your daily cron job runs the rt-repeat-ticket
 script.

Package: rt4-extension-smsnotify
Description-md5: e9970503ef75585371f9e63caf0ac1b8
Description-en: Send SMS notifications from RT via SMS::Send
 Use RT::Extension::SMSNotify to send text message notifications to
 mobile phones when events occur in RT, or via RT Crontool to do
 time-based alerting for things like SLA warnings.
 .
 Useful in conjunction with the RT::Extension::SLA module.

Package: rt4-fcgi
Description-md5: d240d10a86ffb376c5bfdef87965dcda
Description-en: External FastCGI support for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This package provides an external FCGI interface for web servers
 including, but not limited to, nginx, and is not needed for web servers
 such as Apache which invoke FCGI programs directly.

Package: rt4-standalone
Description-md5: d3be531a441043d767f84a9890216947
Description-en: Standalone web server support for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 This metapackage provides the standalone web server interface.

Package: rtags
Description-md5: 2a392cf1511339902f0fecf6f4c711ea
Description-en: C/C++ client/server indexer with integration for Emacs
 RTags is a client/server application that indexes C/C++ code and
 keeps a persistent file-based database of references, declarations,
 definitions, symbolnames etc. There’s also limited support for
 ObjC/ObjC++. It allows you to find symbols by name (including nested
 class and namespace scope). Most importantly it gives you proper
 follow-symbol and find-references support. It also have neat little
 things like rename-symbol, integration with clang’s “fixits”
 (https://clang.llvm.org/diagnostics.html).

Package: rtax
Description-md5: 02caa91a4d34a2f1eae5d41330161e83
Description-en: Classification of sequence reads of 16S ribosomal RNA gene
 Short-read technologies for microbial community profiling are increasingly
 popular, yet previous techniques for assigning taxonomy to paired-end reads
 perform poorly. RTAX provides rapid taxonomic assignments of paired-end
 reads using a consensus algorithm.

Package: rtirq-init
Description-md5: 4e2d4126c7640dc260e8ae2d9b3c8ce1
Description-en: startup script for realtime-preempt enabled kernels
 This package contains a script to prioritize IRQ thread handlers
 on a kernel patched with realtime-preempt patch from Ingo Molnar.
 The script takes advantage of the fact that realtime kernels use
 threads for IRQs management, and as such these threads (like any
 other thread running on your system) can be given maximum
 priority in an effort to minimize the latency of audio
 peripherals.
 .
 The script identifies the audio devices present on the machine
 and raises the priority of the threads that handle the IRQs of
 such devices.

Package: rtklib
Description-md5: 4e18576295e37ecdc36b5fd866b76096
Description-en: Real Time Kinematic and other advanced GPS positioning techniques
 This is an program package for standard and precise positioning with GNSS
 (global navigation satellite system).

Package: rtklib-doc
Description-md5: 46c43cb8d7a167da98e766bc3990c3d3
Description-en: Real Time Kinematic and advanced GPS positioning techniques manual
 This is the manual for RTKLIB.

Package: rtklib-qt
Description-md5: 23560d0a7587ab9f6d88fbe1d27959f3
Description-en: Tools for standard and precise positioning with GNSS QT interface
 This is an program package for standard and precise positioning with GNSS
 (global navigation satellite system).
 .
 These are the GUI parts of the tool.

Package: rtl-433
Description-md5: 38803417e60cb77b8a15976e782c3aa1
Description-en: Decode 433.9 Mhz data
 This is a program to decode traffic from devices that are broadcasting on
 433.9 MHz like temperature sensors.

Package: rtl-sdr
Description-md5: e83ebc54466f1df73b3c0ad5540e4f0d
Description-en: Software defined radio receiver for Realtek RTL2832U (tools)
 rtl-sdr is a software defined radio (SDR) receiver software for certain
 low-cost DVB-T/DAB(+) USB dongles based on the Realtek RTL2832U chip.
 .
 This package contains a set of command line utilities:
  * rtl_adsb: a simple ADS-B decoder for RTL2832 based DVB-T receivers
  * rtl_eeprom: an EEPROM programming tool for RTL2832 based DVB-T receivers
  * rtl_fm: a narrow band FM demodulator for RTL2832 based DVB-T receivers
  * rtl_sdr: an I/Q recorder for RTL2832 based DVB-T receivers
  * rtl_tcp: an I/Q spectrum server for RTL2832 based DVB-T receivers
  * rtl_test: a benchmark tool for RTL2832 based DVB-T receivers

Package: rtl8812au-dkms
Description-md5: c9d0c822d4f1ddbb15a058cd3313eabb
Description-en: dkms source for the r8812au network driver
 rtl8812au is the Linux device driver released for the RealTek RTL8812AU WiFi
 controllers with USB interface.
 .
 This package provides the dkms source code for the rtl8812au kernel module.
 Kernel source or headers are required to compile these modules.

Package: rtl8821ce-dkms
Description-md5: 0109b2e1a1eba221eb3d9177c884d31e
Description-en: DKMS source for the Realtek 8821C PCIe WiFi driver
 This package provides the DKMS source code for the rtl8821ce kernel module.
 Kernel source or headers are required to compile these modules.

Package: rtmpdump
Description-md5: f70a56cc38df925558fa989416eda37b
Description-en: small dumper for media content streamed over the RTMP protocol
 A small dumper for media content streamed over the RTMP protocol (like BBC's
 iPlayer high quality streams). Supplying an RTMP URL will result in a dumped
 flv file, which can be played/transcoded with standard tools.

Package: rtorrent
Description-md5: 7ddfe646b12aeb5d27a2a2a9abddc8a7
Description-en: ncurses BitTorrent client based on LibTorrent from rakshasa
 rtorrent is a BitTorrent client based on LibTorrent.  It uses ncurses
 and aims to be a lean, yet powerful BitTorrent client, with features
 similar to the most complex graphical clients.
 .
 Since it is a terminal application, it can be used with the "screen"/"dtach"
 utility so that the user can conveniently logout from the system while keeping
 the file transfers active.
 .
 Some of the features of rtorrent include:
  * Use an URL or file path to add torrents at runtime
  * Stop/delete/resume torrents
  * Optionally loads/saves/deletes torrents automatically in a session
    directory
  * Safe fast resume support
  * Detailed information about peers and the torrent
  * Support for distributed hash tables (DHT)
  * Support for peer-exchange (PEX)
  * Support for initial seeding (Superseeding)

Package: rtpg-www
Description-md5: fe67240c52945583dac7357c4d7c7273
Description-en: web based front end for rTorrent
 RTPG (rTorrent Perl GUI) is a simple web interface for rTorrent. Users
 can scan/delete/add torrents and set their respective priorities.

Package: rtpproxy
Description-md5: 96871d8b5c31190cd194026a887f4404
Description-en: Relay for Real-time Transport Protocol (RTP) media streams
 A high-performance media relay for RTP streams that can work together
 with SIP Express Router, OpenSER or Sippy B2BUA. Its main use is to
 support SIP user agents behind NAT, but it can also act as a generic media
 relay.
 .
 The main purpose of RTPproxy is to make the communication between SIP
 user agents behind NAT(s) (Network Address Translator) possible. Several
 cases exists when direct end-to-end communication is not possible and
 RTP streams have to be relayed through another host. The RTPproxy can
 be used to setup such a relaying host.
 .
 Originally created for handling NAT scenarious it can also act as a
 generic media relay as well as gateway RTP sessions between IPv4 and
 IPv6 networks. It can also perform number of additional functions,
 including call recording, playing pre-encoded announcements, real-time
 stream copying and RTP payload reframing.

Package: rtr-tools
Description-md5: 8beadbd96dd0ed08635aceaf87879957
Description-en: RPKI-RTR command line tools
 Contains tools provided with RTRlib.
 .
 rtrclient is command line that connects to an RPKI-RTR server and prints
 protocol information and information about the fetched ROAs.
 .
 rpki-rov is a command line tool that connects to an RPKI-RTR server and allows
 validating IP prefixes and origin ASes.

Package: rtsp-server-perl
Description-md5: acd7216c6fba2409770d5d6d1502a9d4
Description-en: Lightweight RTSP/RTP streaming media server (service)
 This module is designed to accept a number of sources to connect and
 transmit audio and video streams. Clients can connect and send RTSP
 commands to receive RTP data.
 .
 This was designed to make rebroadcasting audio and video data over a
 network simple.
 .
 This package provide the system service.

Package: rttool
Description-md5: 056b9539677deafdeb18f69f064b9e59
Description-en: RT table formatter
 RT is simple human-readble table format. RTtool is a converter form RT
 into various formats. RTtool is one of frontends of formatter for RT.
 .
 You can embed RT into Ruby script, and RD documents.
 .
 RTtool is one of frontends of formatter for RT.  This package provides
 rt2 command.

Package: rtv
Description-md5: 8a5d5e0fc27725ea2f2d58afd2848fc5
Description-en: Simple Reddit terminal browser
 A simple terminal viewer for Reddit. rtv provides an interface to view and
 interact with Reddit from your terminal.

Package: rubber
Description-md5: 9c456d7a32ed6f045084430a94e98eb9
Description-en: automated system for building LaTeX documents
 This is a building system for LaTeX documents. It is based on a routine that
 runs just as many compilations as necessary. The module system provides a
 great flexibility that virtually allows support for any package with no user
 intervention, as well as pre- and post-processing of the document. The
 standard modules currently provide support for bibtex, dvips, dvipdfm,
 pdftex, makeindex. A good number of standard packages are supported,
 including graphics/graphicx with automatic conversion between various
 graphics formats and Metapost compilation.
 .
 Some optional parts require the installation of suggested Debian packages:
 imagemagick (graphics conversion),
 r-cran-knitr,
 texlive-bibtex-extra (biblatex),
 texlive-binaries (aleph),
 texlive-latex-extra (cweb, minitoc, moreverb, multibib, nomencl, ntheorem),
 texlive-latex-recommended (beamer, index, listings),
 texlive-pictures (gnuplottex),
 transfig (conversion from XFig format).
 .
 pythontex from texlive-extra-utils requires
 either python3-prompt-toolkit and python3-pygments,
 or python-prompt-toolkit and python-pygments for Python2 code.

Package: rubberband-cli
Description-md5: eb326470184a9760dba8bff622c2120c
Description-en: audio time-stretching and pitch-shifting utility
 Rubber Band is a library and utility program that permits you to
 change the tempo and pitch of an audio recording independently of one
 another.
 .
 This package contains a command-line utility that can be used to
 exploit Rubber Band's capabilities.

Package: rubberband-ladspa
Description-md5: e62b4133e8c3e937e919e8f063aecbb1
Description-en: LADSPA plugin for audio pitch-shifting
 Rubber Band is a library and utility program that permits you to
 change the tempo and pitch of an audio recording independently of one
 another.
 .
 This package contains a LADSPA plugin that can change the pitch of a
 sound in real-time.

Package: rubberband-vamp
Description-md5: 7805229eee0ff008d19e713793dd4c63
Description-en: Vamp plugins using Rubber Band
 Rubber Band is a library and utility program that permits you to
 change the tempo and pitch of an audio recording independently of one
 another.
 .
 This package contains the following Vamp plugins:
 .
  * increments (Output Increments): Output time increment for each
    input step
 .
  * aggregate_increments (Accumulated Output Increments): Accumulated
    output time increments
 .
  * divergence (Divergence from Linear): Difference between actual
    output time and the output time for a theoretical linear stretch
 .
  * phaseresetdf (Phase Reset Detection Function): Curve whose peaks
    are used to identify transients for phase reset points
 .
  * smoothedphaseresetdf (Smoothed Phase Reset Detection Function):
    Phase reset curve smoothed for peak picking
 .
  * phaseresetpoints (Phase Reset Points): Points estimated as
    transients at which phase reset occurs
 .
  * timesyncpoints (Time Sync Points): Salient points which stretcher
    aims to place with strictly correct timing

Package: rubiks
Description-md5: 816b1d6e12df8602b1ae75b03f1abba0
Description-en: Small collection of Rubik's cube solvers
 This package contains several different Rubik's cube solvers,
 either useful directly on the command-line or through sagemath.
 .
 Michael Reid's "optimal" uses pre-computed tables to find an optimal solution
 to the 3x3x3 Rubik's cube.
 .
 Dik T.Winter's "dikcube" uses Kociemba's algorithm to iteratively
 find a short solution to the 3x3x3 cube.
 .
 Eric Dietz' "cubex" has fast non-optimal solver for the 3x3x3 cube.

Package: rubocop
Description-md5: 5f5232fb4de830f2f15258d35b4395ab
Description-en: Ruby static code analyzer
 rubocop is a static code analyzer for Ruby, out of the box it will
 enforce many of the guidelines outlined in the community Ruby Style Guide.
 .
 Most aspects of its behavior can be tweaked via various configuration
 options.
 .
 Apart from reporting problems in your code, RuboCop can also
 automatically fix some of the problems for you.

Package: ruby-abstract-type
Description-md5: 66e3d2bb0667166bdfcee1d510802fa8
Description-en: Allow non obstrusive declaring of abstract_type classes and modules
 This is a small standalone gem featuring a module ripped out from axiom.
 It allows one to declare abstract_type classes and modules in an unobstrusive
 way.
 .
 This is a test dependency of gitlab.

Package: ruby-ace-rails-ap
Description-md5: a773bea96c53ac574258348d5dc26f64
Description-en: ajax.org Cloud9 Editor (Ace) for the Rails asset pipeline
 This library provides the Ajax.org Cloud9 Editor (Ace) for the Rails
 applications via asset pipeline.
 .
 Ace is an embeddable code editor written in JavaScript. It matches the
 features and performance of native editors such as Sublime, Vim and TextMate.
 It can be easily embedded in any web page and JavaScript application. Ace is
 maintained as the primary editor for Cloud9 IDE and is the successor of the
 Mozilla Skywriter (Bespin) project.

Package: ruby-acme-client
Description-md5: 221dec1d4276ce046b7f4fd501427b6a
Description-en: Client for the ACME protocol
 This gem is a client implementation of the ACMEv2 protocol in Ruby. ACME is
 part of the Letsencrypt project, which goal is to provide free SSL/TLS
 certificates with automation of the acquiring and renewal process.

Package: ruby-actioncable
Description-md5: 578d00b476c023f9a55cc8883df939cd
Description-en: WebSocket framework for Rails (part of Rails)
 Action Cable seamlessly integrates WebSockets with the rest of your Rails
 application. It allows for real-time features to be written in Ruby in the same
 style and form as the rest of your Rails application, while still being
 performant and scalable. It's a full-stack offering that provides both a
 client-side JavaScript framework and a server-side Ruby framework. You have
 access to your full domain model written with Active Record or your ORM of
 choice.

Package: ruby-actionmailer
Description-md5: 76dadb58ab75d4d367d4d3add3aaead7
Description-en: email composition, delivery, and receiving framework (part of Rails)
 Action Mailer is a framework for working with email on Rails.
 Compose, deliver, receive, and test emails using the familiar
 controller/view pattern.  First-class support for multipart email
 and attachments.

Package: ruby-actionpack
Description-md5: 0ffc66c8a0daccc94cccb64a6cf97110
Description-en: web-flow and rendering framework putting the VC in MVC (part of Rails)
 Action Pack is a framework for web apps on Rails. Simple,
 battle-tested conventions for building and testing MVC web
 applications. Works with any Rack-compatible server.

Package: ruby-actionpack-action-caching
Description-md5: c575f5332ebcf0f16c8992556e41d4cc
Description-en: action caching for Action Pack
 This package provides the functionality of caching the results of Rails
 controller actions, which was removed from the Rails core with the 4.0
 release series.

Package: ruby-actionpack-page-caching
Description-md5: e5890602c5c001803bca1dfe7d066c7d
Description-en: static page caching for Action Pack (removed from core in Rails 4.0)
 Page caching is an approach to caching where the entire action output of is
 stored as a HTML file that the web server can serve without going through
 Action Pack.
 .
 This is the fastest way to cache your content as opposed to going dynamically
 through the process of generating the content. Unfortunately, this incredible
 speed-up is only available to stateless pages where all visitors are treated
 the same. Content management systems -- including weblogs and wikis -- have
 many pages that are a great fit for this approach, but account-based systems
 where people log in and manipulate their own data are often less likely
 candidates.

Package: ruby-actionpack-xml-parser
Description-md5: a67b9766a098ae5af18da9b352177eac
Description-en: XML parameters parser for Action Pack
 This package implements parsing XML paramenters for the Action Packg, which is
 the HTTP handling component of the Rails framework. This code has been
 extracted out from the Rails core in the 4.0 release.

Package: ruby-actionview
Description-md5: 66e9d06bb8fc0da7b4c452dfeb705b0b
Description-en: framework for handling view template lookup and rendering (part of Rails)
 Action View is a framework for handling view template lookup and
 rendering, and provides view helpers that assist when building HTML
 forms, Atom feeds and more. Template formats that Action View handles
 are ERB (embedded Ruby, typically used to inline short Ruby snippets
 inside HTML), and XML Builder.

Package: ruby-active-model-serializers
Description-md5: f01d3f0010432d26e5220e9d4ee5629d
Description-en: Making it easy to serialize models for client-side use
 Bringing consistency and object orientation to model serialization. Works
 great for client-side MVC frameworks! ActiveModel::Serializers brings
 convention over configuration to your JSON generation. AMS does this through
 two components: serializers and adapters. Serializers describe which
 attributes and relationships should be serialized. Adapters describe how
 attributes and relationships should be serialized.

Package: ruby-activejob
Description-md5: cb658c3c70be2537a620e3db7f6b15e9
Description-en: job framework with pluggable queues
 Active Job is a framework for declaring jobs and making them run on a variety
 of queueing backends. These jobs can be everything from regularly scheduled
 clean-ups, to billing charges, to mailings. Anything that can be chopped up
 into small units of work and run in parallel, really.

Package: ruby-activeldap
Description-md5: a73522c84d1c38f26d88e89144fbf30b
Description-en: ActiveLdap is a object-oriented API to LDAP
 'ActiveLdap' is a ruby library which provides a clean
 objected oriented interface to the Ruby/LDAP library.  It was inspired
 by ActiveRecord. This is not nearly as clean or as flexible as
 ActiveRecord, but it is still trivial to define new objects and manipulate
 them with minimal difficulty.

Package: ruby-activemodel
Description-md5: ad494bc413200b3f901192af02462dd4
Description-en: toolkit for building modeling frameworks (part of Rails)
 Active Model is a toolkit for building modeling frameworks like
 Active Record and Active Resource.  This includes a rich support for
 attributes, callbacks, validations, observers, serialization,
 internationalization, and testing.

Package: ruby-activemodel-serializers-xml
Description-md5: ec885a12573fc9e956c133252b613022
Description-en: xml serialization for active model
 This package provides gems for XML serialization for your Active
 Model, and also for serialization to XML for Active Record.

Package: ruby-activerecord
Description-md5: 6452745f1c144fe5e8efd5bbcab99307
Description-en: object-relational mapper framework (part of Rails)
 Active Records is a framework to work with databases on Rails.  Build
 a persistent domain model by mapping database tables to Ruby classes.
 Strong conventions for associations, validations, aggregations,
 migrations, and testing come baked-in.

Package: ruby-activerecord-explain-analyze
Description-md5: d0ea257f38db4e72aefe81906b014eab
Description-en: ActiveRecord#explain with support for EXPLAIN ANALYZE
 Extends ActiveRecord#explain with support for EXPLAIN ANALYZE and output
 formats of JSON, XML, and YAML.
 .
 What's EXPLAIN ANALYZE? PostgreSQL devises a query plan for each query it
 receives. Choosing the right plan to match the query structure and the
 properties of the data is absolutely critical for good performance, so the
 system includes a complex planner that tries to choose good plans. One can
 use the EXPLAIN command to see what query plan the planner creates for any
 query. With EXPLAIN ANALYZE, EXPLAIN actually executes the query, and then
 displays the true row counts and true run time accumulated within each plan
 node.

Package: ruby-activerecord-import
Description-md5: 9f17b406641913ca322a8b47e4b8142b
Description-en: Bulk-loading extension for ActiveRecord
 Extraction of the ActiveRecord::Base#import functionality from ar-extensions
 for Rails 3.1 and beyond
 .
 Learn more at /usr/share/ruby-activerecord-import/README.markdown

Package: ruby-activerecord-nulldb-adapter
Description-md5: 3922f458f5accac82a7ab7de687c0a0c
Description-en: ActiveRecord database adapters based on the Null Object pattern
 NullDB provides a database backend for Ruby ActiveRecord that translates
 database interactions into no-ops. Using NullDB enables one to test model
 business logic - including after_save hooks - without ever touching a real
 database.

Package: ruby-activestorage
Description-md5: bc7fce9affec883b44774fe36fb113cc
Description-en: Local and cloud file storage framework (part of Rails)
 Active Storage makes it simple to upload and reference files in cloud services
 like Amazon S3 and Microsoft Azure Storage, and attach those files to Active
 Records. Supports having one main service and mirrors in other services for
 redundancy. It also provides a disk service for testing or local deployments,
 but the focus is on cloud storage.
 .
 Files can be uploaded from the server to the cloud or directly from the client
 to the cloud.
 .
 Image files can furthermore be transformed using on-demand variants for
 quality, aspect ratio, size, or any other MiniMagick supported transformation.

Package: ruby-activesupport
Description-md5: 91755060a4e1c44cf778a75a5cda57cd
Description-en: Support and utility classes used by the Rails 4.1 framework
 ActiveSupport consists of utility classes and extensions to the Ruby
 standard library that were required for Rails but found to be
 generally useful.

Package: ruby-acts-as-api
Description-md5: daa31a25c49aac39243e414bcacd1d06
Description-en: makes creating XML/JSON responses in Rails 3 easy and fun
 It provides a simple interface to determine the representation of your
 model data, that should be rendered in your API responses.
 .
 In addition to Rails it theoretically can be used with any ruby app and
 any database (__ActiveRecord__ and __Mongoid__ are supported out of the
 box) as it only has few dependencies.
 .
 The lib is _very_ fast in generating your responses and battle tested in
 production with platforms like Diaspora or flinc.

Package: ruby-acts-as-list
Description-md5: 0b8995baf341bbfc12e580ceaf14aef2
Description-en: sorting, reordering capabilities to active_record models
 This "acts_as" extension provides the capabilities for sorting and reordering
 a number of objects in a list. The class that has this specified needs to have
 a "position" column defined as an integer on the mapped database table.

Package: ruby-acts-as-taggable-on
Description-md5: b13f960983d064cf9c645a1946b0c4ea
Description-en: provides advanced tagging for Rails
 With ActsAsTaggableOn, you can tag a single model on several contexts, such as
 skills, interests, and awards. It also provides other advanced functionality.
 .
 This plugin was originally based on Acts as Taggable on Steroids by Jonathan
 Viney. Rather than tying functionality to a specific keyword (namely `tags`),
 acts as taggable on allows you to specify an arbitrary number of tag
 "contexts" that can be used locally or in combination in the same way steroids
 was used.

Package: ruby-acts-as-tree
Description-md5: a0d3872ee5c54913c2105ce216e69e7a
Description-en: simple tree behaviour to active_record models
 Ruby-acts-as-tree is a ruby gem that adds simple support for organizing
 ActiveRecord models into parent–children relationships. By default, ActsAsTree
 expects a foreign key column called `parent_id`.

Package: ruby-adamantium
Description-md5: fbb334b7f228ae0b4098f199c86f1f12
Description-en: Create immutable objects with ease
 This is a small standalone gem featuring a module extracted from axiom.
 .
 It allows you to make objects immutable in a simple, unobtrusive way so
 that you can have immutable objects very easily.

Package: ruby-addressable
Description-md5: 6a120ab46f78301957317297aaa2ba8e
Description-en: alternative URI implementation for Ruby
 Addressable is a replacement for the URI implementation that is part of
 Ruby's standard library. It more closely conforms to the relevant RFCs and
 adds support for IRIs and URI templates.

Package: ruby-adsf
Description-md5: 7dd0acc17fc487794200d3fef5299ce2
Description-en: tiny static file server
 adsf (A Dead Simple Fileserver) is a tiny web server that can be spawned in any
 directory to serve static files. It can be used programmatically in Rack and
 other Ruby applications.
 .
 For example, the nanoc static website generator uses it its "view" command.

Package: ruby-ae
Description-md5: b89479cc77fa384d27ac332320695f24
Description-en: assertive expressive (ae) is an assertions library
 Assertive Expressive is an assertions library specifically designed
 for reuse by other TDD/BDD frameworks. It features a clear and concise
 syntax, and reusable extensions to ease assertion construction.

Package: ruby-aes-key-wrap
Description-md5: 8f925a0b4aa86c841114b143b6669823
Description-en: Ruby implementation of AES Key Wrap
 AES Key Wrap is also known as RFC 3394, or NIST Key Wrap.
 .
 This library is a dependency of ruby-json-jwt, which provide JSON Web Token
 support.

Package: ruby-afm
Description-md5: 189e0783dfd080d6dfdd2f4588426576
Description-en: Ruby library to read Adobe Font Metrics files
 This simple library can read Adobe Font Metrics (afm) files and use the data
 conveniently. Currently, it can parse the afm file and save it in a few
 attributes.

Package: ruby-after-commit-queue
Description-md5: f52bc2c382b1c82b68ab2a17d8eae15b
Description-en: run callbacks after database transaction
 Plugin for running methods on ActiveRecord models after record is committed
 .
 When using 'state_machine' it's hard to run a callback on event after the
 transaction is committed. after_commit_queue plugin addresses this problem.

Package: ruby-aggregate
Description-md5: 1fe5e6170d8eff6f8cf8fcdeb3c8278e
Description-en: Ruby class for accumulating aggregate statistics
 Aggregate is an intuitive ruby implementation of a statistics aggregator
 including both default and configurable histogram support. It does this
 without recording/storing any of the actual sample values, making it
 suitable for tracking statistics across millions/billions of samples
 without any impact on performance or memory footprint. Originally
 inspired by the Aggregate support in SystemTap.

Package: ruby-ahoy-email
Description-md5: a7b346534788e607832fcd081b5f5e3f
Description-en: Simple, powerful email tracking for Rails
 Email analytics for Rails
 .
 This includes:
  * A history of emails sent to each user
  * Easy UTM tagging
  * Optional open and click tracking

Package: ruby-ahoy-matey
Description-md5: a1cdd5615269316f57bb35f7a5f1fe54
Description-en: simple, powerful analytics for Rails
 This Ruby library allows one to track visits and events in Ruby, JavaScript,
 and native apps. Data is stored in the database of the Rails application by
 default so it can be easily combined with other data.
 .
 To track emails, check out Ahoy Email, and for A/B testing, check out Field
 Test.

Package: ruby-airbrussh
Description-md5: a4b9a16d7f0ed2616fe2eb2636035f10
Description-en: Concise log formatter for Capistrano and SSHKit
 A replacement log formatter for SSHKit that makes Capistrano output much easier
 on the eyes. Just add Airbrussh to your Capfile and enjoy concise, useful log
 output that is easy to read.

Package: ruby-akismet
Description-md5: da499b5f42db0cbf9af98453f91a8c1f
Description-en: Ruby client for the Akismet API
 akismet is an advanced hosted anti-spam service . It efficiently
 processes and analyzes  masses of data from millions of sites and
 communities in real time.

Package: ruby-algebrick
Description-md5: d992dee211560d1030f9ca575096c0e4
Description-en: Algebraic types and pattern matching for Ruby
 Ruby library providing typed structs based on algebraic types and
 pattern matching, seamlessly integrating with standard Ruby features.

Package: ruby-amazon-ec2
Description-md5: 7de9890935c08198a022caf6734e0bc2
Description-en: Ruby library for accessing Amazon EC2
 A Ruby library for accessing the Amazon Web Services (AWS) APIs like:
  * Elastic Compute Cloud (EC2): provides resizable compute capacity via virtual
    machine set up and allocation.
  * Autoscaling: automatically scale your Amazon EC2 capacity up or down
  * Elastic Load Balancing (ELB): distributes application traffic across
    multiple Amazon EC2 instances
  * Relational Database Service (RDS): set up, operate, and scale MySQL instance
  * CloudWatch: provides monitoring for AWS like Amazon EC2.

Package: ruby-ami
Description-md5: d3e27c0d034355912ff58e0543b11fa1
Description-en: Ruby client library for the Asterisk Management Interface
 RubyAMI is an Asterisk Management Interface client library in Ruby built on
 Celluloid IO and based on EventMachine providing a connection to the Asterisk
 Manager Interface. RubyAMI is a low level library; it does not provide any
 features beyond connection management and protocol parsing. Actions are sent
 over the wire, and responses are returned. Events are passed to a callback you
 define. It's up to you to match these up into something useful. In this regard,
 RubyAMI is very similar to Blather for XMPP or Punchblock, the Ruby 3PCC
 library.

Package: ruby-ammeter
Description-md5: 2c003cf34373c0c76e6e2acaf1b97d1e
Description-en: Write specs for your Rails 3+ generators
 ammeter makes it easy to write specs for your Rails 3 Generators.
 .
 RSpec is using ammeter to spec its own generators and it might be useful for
 others, too.
 .
 An ammeter is used to measure electrical current and electricity can be
 produced by a generator.

Package: ruby-amq-protocol
Description-md5: d88a8840c6e0165b9fd86b86d72e22b2
Description-en: AMQP 0.9.1 encoder & decoder
 amq-protocol is an AMQP 0.9.1 serialization library for Ruby. It is not an
 AMQP client: amq-protocol only handles serialization and deserialization.
 If you want to write your own AMQP client, this gem can help you with that.

Package: ruby-amqp
Description-md5: 7c2dcfa200064eac3fe25685e94ca87f
Description-en: feature-rich, asynchronous AMQP client
 AMQP is an open standard for messaging middleware that emphasizes
 interoperability between different technologies (for example, Java,
 Ruby, Python, .NET, Node.js, Erlang, C and so on).
 .
 Key features of AMQP are very flexible yet simple routing and binary
 protocol efficiency. AMQP supports many sophisticated features, for
 example, message acknowledgements, returning of messages to producer,
 redelivery of messages that couldn't be processed, load balancing
 between message consumers and so on.
 .
 This package contains a ruby client for AMQP.

Package: ruby-ansi
Description-md5: 23c5ed2b6665a4ae3a85a00673c57d32
Description-en: ANSI escape codes at your fingertips
 The ANSI project is a superlative collection of ANSI escape code
 related libraries enabling ANSI colorization and stylization of
 console output. It features classees for colorised columns, tables,
 loggers and very good coverage of standard ANSI codes.

Package: ruby-api-pagination
Description-md5: fffd6c6027cf207fb5b58db8976f9c99
Description-en: API pagination support
 This package provide Link header pagination for Rails and Grape APIs,
 following the proposed RFC 5988 (http://tools.ietf.org/html/rfc5988) standard
 for Web linking to include pagination information in the response HTTP headers
 instead of in the body of the response.

Package: ruby-apollo-upload-server
Description-md5: ee08c09b8927792f0754977c66aab20d
Description-en: apollo-upload-server implementation for Ruby on Rails as middleware
 This is a middleware which allows you to upload files using graphql-ruby,
 apollo-upload-client and Ruby on Rails.
 .
 This implementation uses v2 of the GraphQL multipart request spec, so one
 should use apollo-upload-client library >= v7.0.0-alpha.3.

Package: ruby-appraisal
Description-md5: 4c551abb8cb53c6d68983b76eeecbee2
Description-en: Find out what your Ruby gems are worth
 Appraisal integrates with bundler and rake to test your library against
 different versions of dependencies in repeatable scenarios called "appraisals."
 .
 Appraisal is designed to make it easy to check for regressions in your library
 without interfering with day-to-day development using bundler.

Package: ruby-appraiser
Description-md5: b7f452c9a92d163f1926bef9527994f0
Description-en: simple rubygems subcommand for Gemfile
 appraiser is a rubygems subcommand which displays gem information
 in a Gemfile. For each gem found, it will display its name, creators,
 the urls of the gem project, documentation and source code and will
 present a description of the gem.

Package: ruby-appraiser-reek
Description-md5: 1088e34dc01e88bc8343de128a03a29d
Description-en: Run Reek inside RubyAppraiser
 Reek adapter for ruby-appraiser

Package: ruby-appraiser-rubocop
Description-md5: 4f30ad3ea46bafc3a5c7ff277c795242
Description-en: Run Rubocop inside RubyAppraiser
 Rubocop adapter for ruby-appraiser

Package: ruby-arbre
Description-md5: eb29cc0cf0639e35ca887d53986e72c1
Description-en: Object Oriented DOM Tree in Ruby
 Arbre makes it easy to generate HTML directly in Ruby. This gem was extracted
 from Active Admin.
 .
 The purpose of Arbre is to leave the view as ruby objects as long as possible.
 This allows OO Design to be used to implement the view layer.

Package: ruby-archive-zip
Description-md5: b893504632c3221acbbed8f0c3eb1c6e
Description-en: Simple, extensible, pure Ruby ZIP archive support
 Archive::Zip provides a simple Ruby-esque interface to creating, extracting,
 and updating ZIP archives.  This implementation is 100% Ruby and loosely
 modeled on the archive creation and extraction capabilities of InfoZip's
 zip and unzip tools.

Package: ruby-arel
Description-md5: d2ab9f33bacf0dbcd86cc3919d508f58
Description-en: SQL AST manager for Ruby
 Arel is a SQL AST manager for Ruby. It simplifies the generation of
 complex SQL queries and adapts to various RDBMS systems.
 .
 It is intended to be a framework; that is, you can build your own ORM
 with it, focusing on innovative object and collection modeling as opposed to
 database compatibility and query generation.

Package: ruby-aruba
Description-md5: 3305132e743da5e7069f80eec33f3d0c
Description-en: Cucumber extension for CLI applications
 Aruba is Cucumber extension for Command line applications written in any
 programming language. Features at a glance:
 .
  * Test any command line application
  * Manipulate the file system
  * Create great HTML documentation based on your own Cucumber scenarios

Package: ruby-asana
Description-md5: 7cc13997b6775f3ece55ed8f223c089e
Description-en: official Ruby client for the Asana API
 A Ruby client for the 1.0 version of the Asana API.
 .
 Supported rubies: MRI 2.0.0 up to 2.2.x stable.
 .
 Learn more at /usr/share/doc/ruby-asana/README.md.gz

Package: ruby-ascii85
Description-md5: 9ce7535841217ef91b068d7df5876f2a
Description-en: Ruby library to encode/decode the Ascii85 format
 Ascii85 (also called "Base85") is a form of binary-to-text encoding, which is
 mainly used in Adobe's PostScript and PDF format. This package provides a
 ruby library to encode/decode Ascii85.
 .
 It ships also a command line utility, which can encode/decode files to the
 standard output.

Package: ruby-asciidoctor
Description-md5: f23952c3d7abfbe0c2badd248fbe42c0
Description-en: AsciiDoc to HTML rendering for Ruby (core libraries)
 Asciidoctor is a pure Ruby processor for converting AsciiDoc source files and
 strings into HTML 5, DocBook 4.5, DocBook 5.0 and other formats.
 .
 This package contains the library files used by the asciidoctor package.

Package: ruby-asciidoctor-include-ext
Description-md5: bb0c3462f25699b71fbeabf569de528d
Description-en: Asciidoctor's standard include::[] processor reimplemented as an extension
 This is a reimplementation of the Asciidoctor's built-in (pre)processor for the
 include::[] directive in extensible and more clean way. It provides the same
 features, but you can easily adjust it or extend for your needs. For example,
 you can change how it loads included files or add another ways how to select
 portions of the document to include.

Package: ruby-asciidoctor-pdf
Description-md5: ea9df260599c95603e21d5724cfc2f1d
Description-en: Converts AsciiDoc documents to PDF using Prawn
 An extension for Asciidoctor that converts AsciiDoc documents to PDF
 using the Prawn PDF library.

Package: ruby-asciidoctor-plantuml
Description-md5: 79956b2a2e4c582f091fb9c6f44702c5
Description-en: extension for Asciidoctor to enable support for PlantUML diagrams
 This package provides asciidoctor-plantuml gem which is an extension for the
 asciidoctor gem that enables users to add PlantUML diagrams to their asciidoc
 documents.

Package: ruby-asetus
Description-md5: 76db86f9affa0c246b1104be0abbb708
Description-en: configuration library with YAML/JSON/TOML backends
 Asetus is a ruby library that provides a easy method to acccess
 configuration files. Available backends are:
  - YAML
  - JSON
  - TOML

Package: ruby-asset-sync
Description-md5: aceb167207a9da68c01cd6226b08ff38
Description-en: synchronises assets in a Rails app and Amazon/Rackspace cloud
 After you run assets:precompile your compiled assets will be synchronised
 with your Amazon S3/Cloudfront or Rackspace Cloudfiles.
 .
 Asset Sync is built to run with the new Rails Asset Pipeline feature introduced
 in Rails 3.1.  After you run __bundle exec rake assets:precompile__ your assets
 will be synchronised to your cloud account, optionally deleting unused files
 and only uploading the files it needs to.

Package: ruby-ast
Description-md5: 1e099ee4c0e60b5396d4140714cc5089
Description-en: Ruby library for working with abstract syntax trees
 ast embraces immutability; each AST node is inherently frozen at
 creation, and updating a child node requires recreating that node
 and its every parent, recursively.
 .
 This is a design choice. It does create some pressure on
 garbage collector, but completely eliminates all concurrency
 and aliasing problems.
 .
 See also AST::Node, AST::Processor::Mixin and AST::Sexp classes for
 additional recommendations and design patterns.

Package: ruby-atk
Description-md5: 242436a9661ace3e33e3cac4072a66c1
Description-en: ATK bindings for the Ruby language
 ATK is a toolkit providing accessibility interfaces for applications or other
 toolkits. By implementing these interfaces, those other toolkits or
 applications can be used with tools such as screen readers, magnifiers, and
 other alternative input devices.
 .
 This package contains libraries for using ATK with the Ruby programming
 language.

Package: ruby-atlassian-jwt
Description-md5: b9ffe2b22765b886d928812bcc186771
Description-en: Encode and decode JWT tokens for use with the Atlassian Connect
 This gem simplifies generating the claims needed to authenticate with the
 Atlassian Connect REST APIs.
 .
 Atlassian Connect is a distributed app technology for extending Atlassian
 applications such as Bitbucket Cloud, Jira, and Confluence.

Package: ruby-atomic
Description-md5: 11af93675ae5cab0bc423d23079442d2
Description-en: atomic reference implementation for JRuby, Rubinius, and MRI
 An atomic reference implementation for JRuby, Rubinius, and MRI.
 .
 This library provides an Atomic class that guarantees atomic updates to
 its contained value.

Package: ruby-attr-encrypted
Description-md5: 60538f8a19df3eec979801aed1b13230
Description-en: Encrypt and decrypt attributes
 Generates attr_accessors that encrypt and decrypt attributes transparently.
 It works with ANY class, however, you get a few extra features when you're
 using it with ActiveRecord, DataMapper, or Sequel

Package: ruby-attr-required
Description-md5: f609497ae12ab7912ae459d8c6f9b53c
Description-en: attr_required and attr_optional support for Ruby
 REQUIRED and OPTIONAL are common terminology in RFCs, and used for protocol
 parameters. This gem helps RFC library developers to define which parameters
 (attributes in Ruby world) are REQUIRED and which are OPTIONAL.

Package: ruby-augeas
Description-md5: 3269a10ed3d3ca1e0a44a9a42c449a53
Description-en: Augeas bindings for the Ruby language
 Augeas is a configuration editing tool. It parses configuration files in their
 native formats and transforms them into a tree. Configuration changes are made
 by populating this tree and saving it back into native config files.

Package: ruby-autoparse
Description-md5: 038419f035c202b6f439149fa3597805
Description-en: provides automatic parsing for any given JSON Schema
 This package provides the gem autoparse, a parsing system based on JSON
 Schema. It is an implementation of the JSON Schema specification and can
 be used for automatically parsing any JSON Schema.

Package: ruby-autoprefixer-rails
Description-md5: fed8d363b2529718ae7b603ee14da66d
Description-en: Rails integration for the autoprefixer tool
 autoprefixer parse CSS and adds vendor prefixes to CSS rules based on browser
 support for different features, by using data from "Can I Use" website.
 .
 This package integrates autoprefixer into the Rails asset pipeline.

Package: ruby-avl-tree
Description-md5: 3eda44a26a8a35c378665ef359a572d9
Description-en: AVL and red-black trees in Ruby
 A pure-Ruby implementation of AVL and red-black trees. AVL and
 red-black trees are self-blanacing binary trees optimised for
 fast inserts and lookups.

Package: ruby-awesome-nested-set
Description-md5: a1f881890d451779151c49ed9f920f0e
Description-en: awesome nested set implementation for Active Record
 Awesome Nested Set is an implementation of the nested set pattern for
 ActiveRecord models.  It is a replacement for acts_as_nested_set and
 BetterNestedSet.
 .
 This is a new implementation of nested set based off of
 BetterNestedSet that fixes some bugs, removes tons of duplication,
 adds a few useful methods, and adds STI support.

Package: ruby-awesome-print
Description-md5: 9a7d2f38e85392fe44f4a79da9108e7f
Description-en: pretty print Ruby objects with proper indentation and colors
 Great Ruby dubugging companion: pretty print Ruby objects to
 visualize their structure. It supports custom object formatting via
 plugins.

Package: ruby-aws
Description-md5: 5c5b156fe07475fd7190ffeeb45de22c
Description-en: AWS Ruby Library for interfacing with Amazon Web Services
 This package provides a robust, fast, and secure interface to AWS services
 such as EC2, EBS, S3, SQS, SimpleDB, ELB and CloudFront. It supports signature
 versions 0, 1 and 2 on all services.

Package: ruby-aws-eventstream
Description-md5: 92d37cb474ab391293be15744c8f17df
Description-en: AWS Event Stream Library
 This Ruby package provides the Amazon Web Services event stream library.
 It decodes and encodes binary stream  under `vnd.amazon.event-stream`
 content-type.
 .
 This is a part of aws-sdk-ruby.

Package: ruby-aws-sdk
Description-md5: 98ab08cdb9b0bc483ab8ffdf1bf6f842
Description-en: Amazon Web Services SDK for Ruby
 The AWS SDK for Ruby provides a Ruby API for AWS infrastructure services.
 The SDK helps take the complexity out of coding by providing Ruby classes
 for many AWS services including Amazon S3, Amazon EC2, DynamoDB, and more.

Package: ruby-aws-sigv4
Description-md5: b1a5df84b019596267de744b8c21d983
Description-en: AWS Signature Version 4 library
 aws-sigv4 is an Amazon Web Services Signature Version 4 signing ligrary. It
 generates sigv4 signature for HTTP requests.
 .
 It is a part of aws-sdk.

Package: ruby-axiom-types
Description-md5: 2d1cdf0750d8afabaeda2d8992d93cee
Description-en: Ruby module for abstract types for logic programming
 This package provides a Ruby module that allows one to define types with
 optional constraints for use within axiom and other libraries. It can
 be used for logic programming.

Package: ruby-babosa
Description-md5: 498f0988c03a2ba7b450e87220ed52c4
Description-en: library for creating slugs
 This provides the gem babosa, a library for creating slugs. Babosa is an
 extraction and improvement of the string code from FriendlyId, intended to
 help developers create similar libraries or plugins.

Package: ruby-backbone-on-rails
Description-md5: 9ee7dcb43791130a1c03d90c240442fb
Description-en: simple library for using Backbone with Rails
 A simple library for using Backbone with Rails (>= 3.1), based on thoughtbot's
 'Backbone.js on Rails'
 .
  * Vendors the latest Backbone.js + Underscore.js in the asset pipeline[1]
 .
  * Provides an install generator to create a skeleton directory
    structure and manifest
 .
  * Provides a scaffold generator to create files and boilerplate
 .
  * Uses the naming conventions from
    thoughtbot's 'Backbone.js on Rails' http://bit.ly/pLsmzr
 .
  * Generates CoffeeScript (default) or JavaScript

Package: ruby-backports
Description-md5: cd12f739671c503a47f6b9291d5fcecf
Description-en: backports of new features for older versions of Ruby
 This Ruby library contains essential backports that enable many of the nice
 features of Ruby 1.8.7 up to 2.0.0 for earlier versions.
 .
 Features are backported with the following limitations:
  - will not break older code,
  - can be implemented in pure Ruby (no C extensions),
  - must pass RubySpec.

Package: ruby-bacon
Description-md5: bd613825971c82a36e2d95732c300bad
Description-en: Small RSpec clone
 Bacon is a small RSpec clone weighing less than 350 line of code, but
 nevertheless providing all essential features.

Package: ruby-barby
Description-md5: 2dc28989cf6b4e324993ade0aca751de
Description-en: Ruby library for barcode generation
 This is a pure-Ruby library for generating various kinds of barcodes,
 including all the standard 1D and 2D barcodes, and meant to be easily
 extensible.
 .
 Barby separates the concerns of barcode generation and graphical
 representations, so not only the barcodes' format can be extended,
 but also the way they are output.

Package: ruby-barrier
Description-md5: d5301d662c13dc88b36e43bf40216b99
Description-en: Ruby threads synchronization using a barrier method
 Barrier is an implementation of a synchronization method that enables multiple
 threads to wait until all threads have all reached a particular point of
 execution before any thread continues.

Package: ruby-base32
Description-md5: bd489a30603ff728f80c44d8458011e6
Description-en: Ruby extension for base32 encoding and decoding
 This package contains base32, a Ruby extension for encoding and decoding
 in base32 per RFC 3548.

Package: ruby-base62
Description-md5: 7807854cb3765ee545ff7714b44d9e28
Description-en: base62 encoding and decoding library for Ruby
 Base62 monkeypatches Integer to add an Integer#base62_encode
 instance method to encode an integer in the character set of
 0-9 + A-Z + a-z. It also monkeypatches String to add
 String#base62_decode to take the string and turn it back
 into a valid integer.

Package: ruby-batch-loader
Description-md5: 02d7229617256d927f6486c9ddf42f6a
Description-en: Powerful tool to avoid N+1 DB or HTTP queries
 This library provides a generic lazy batching mechanism to avoid N+1 DB
 queries, HTTP queries, etc.
 .
 Highlights
  * Generic utility to avoid N+1 DB queries, HTTP requests, etc.
  * Adapted Ruby implementation of battle-tested tools like Haskell Haxl, JS
    DataLoader, etc.
  * Batching is isolated and lazy, load data in batch where and when it's
    needed.
  * Automatically caches previous queries (identity map).
  * Thread-safe (`loader`).
  * No need to share batching through variables or custom defined classes.
  * No dependencies, no monkey-patches, no extra primitives such as Promises.

Package: ruby-bcrypt
Description-md5: 6bc4c19a96a6e0cac5a32e4eec559965
Description-en: Ruby binding for the bcrypt() password hashing algorithm
 bcrypt() is a sophisticated and secure hash algorithm designed
 by the OpenBSD project for hashing passwords. bcrypt-ruby provides
 a simple, humane wrapper for safely handling passwords.

Package: ruby-bcrypt-pbkdf
Description-md5: f8f76fd112a261919c236f22294873bf
Description-en: Ruby library for OpenBSD's bcrypt_pdkfd
 This package provides a Ruby library for bcrypt_pdkfd (a variant of PBKDF2
 with bcrypt-based PRF - pseudo-random function).

Package: ruby-beaker-hostgenerator
Description-md5: cb45837da01460d0a6bc3c968e0d2afc
Description-en: command line utility designed to generate beaker host config files
 beaker-hostgenerator is a command line utility designed to generate
 beaker host config files using a compact command line SUT
 specification.

Package: ruby-beaneater
Description-md5: 282a36ad50f398050548911449316635
Description-en: simple beanstalkd client for Ruby
 Beaneater offers a way to interact with beanstalkd from within Ruby.
 Beanstalkd is a fast, simple work queue. Its interface is generic, but was
 originally designed for reducing the latency of page views in high-volume web
 applications by running time-consuming tasks asynchronously.

Package: ruby-beautify
Description-md5: 0669837b97b443a6193a00434df8f524
Description-en: CLI binary and module that will pretty up Ruby code
 This package provides a CLI tool and module to make pretty up Ruby source
 code. It can operate on both files and on STDIN, making it suitable for
 piping.

Package: ruby-beefcake
Description-md5: 48a7560c35489e7304660b44605e4466
Description-en: sane Google Protocol Buffers library for Ruby
 A sane Google Protocol Buffers library for Ruby. This package
 provides a generator plugin for the Protocol Buffer compiler
 which generates Ruby marshaling and unmarshaling code for data
 encoded in Protocol Buffer format.

Package: ruby-behance
Description-md5: 9439bd03740cb2668a5f8acccce09d7d
Description-en: Ruby wrapper for the Behance API
 The behance Ruby gem is a Ruby wrapper for the Behance API.
 .
 Before using it, one needs to get an access token for it.
 After that, one can start playing by searching for projects or getting
 information and the content for the same.

Package: ruby-benchmark-ips
Description-md5: faa31478a086b6cd2a5f6fd69d0034b3
Description-en: iterations per second enhancement to Benchmark
 Benchmark/ips benchmarks a blocks iterations/second. For short snippets of
 code, ips automatically figures out how many times to run the code to get
 interesting data. No more guessing at random iteration counts.

Package: ruby-benchmark-ips-doc
Description-md5: e8e72392423f4d8370b3bbc12e5ac1ac
Description-en: iterations per second enhancement to Benchmark (documentation)
 Benchmark/ips benchmarks a blocks iterations/second. For short snippets of
 code, ips automatically figures out how many times to run the code to get
 interesting data. No more guessing at random iteration counts.
 .
 This package contains the html documentation for ruby-benchmark-ips.

Package: ruby-benchmark-memory
Description-md5: 56315fa642c62066cc03e1e0cf331740
Description-en: Benchmark-style memory profiling for Ruby 2.1+
 benchmark-memory is a tool that helps to benchmark the memory usage of
 different pieces of code. It leverages the power of memory_profiler to give a
 metric of the total amount of memory allocated and retained by a block, as
 well as the number of objects and strings allocated and retained.

Package: ruby-benchmark-suite
Description-md5: abe13e8db74b0b0bfa558634d7278bc8
Description-en: enhancements to the standard library benchmark.rb
 This package contains a command line tool for running multiple benchmarks
 against multiple rubies.
 .
 It is also uses benchmark/ips to report iterations per second.

Package: ruby-benchmark-suite-doc
Description-md5: 5693ccb6dcbcb17a217cbc2df88bc3bf
Description-en: enhancements to the standard library benchmark.rb (documentation)
 This package contains a command line tool for running multiple benchmarks
 against multiple rubies.
 .
 It is also uses benchmark/ips to report iterations per second.
 .
 This package contains the html documentation for ruby-benchmark-suite.

Package: ruby-bert
Description-md5: 488257930929a8d17358f2e5dd8ff01f
Description-en: BERT serializiation for Ruby
 A BERT (Binary ERlang Term) serialization library for Ruby. It can
 encode Ruby objects into BERT format and decode BERT binaries into
 Ruby objects.

Package: ruby-bindata
Description-md5: 2eac499daf8003ab367ee27a39cc892c
Description-en: declarative way to read and write binary file formats
 BinData is a declarative way to read and write binary file formats.
 .
 This means the programmer specifies *what* the format of the binary
 data is, and BinData works out *how* to read and write data in this
 format.  It is an easier ( and more readable ) alternative to
 ruby's #pack and #unpack methods.

Package: ruby-bindex
Description-md5: 5faefc00f9318719d9725c676d999c26
Description-en: Bindings for your Ruby exceptions
 When Ruby raises an exception, it leaves you a backtrace to help you figure
 out where did the exception originated in. Bindex gives you the bindings as
 well. This can help you introspect the state of the Ruby program when at the
 point the exception occurred.

Package: ruby-binding-ninja
Description-md5: a0f9ee3d039a72181a471c595843f853
Description-en: pass binding of method caller implicitly
 This is method wrapper for passing binding of method caller implcitly.
 .
 And this is lightweight alternative of binding_of_caller.

Package: ruby-binding-of-caller
Description-md5: b86d88a07529de4a804e7cdff7177599
Description-en: retrieve the binding of a method's caller
 Retrieve the binding of a method's caller. Can also retrieve bindings even
 further up the stack.
 .
 Recommended for use only in debugging situations. Do not use this in
 production apps.

Package: ruby-bio
Description-md5: 9551e5ebe8c49f9d9485b7f15547f082
Description-en: Ruby tools for computational molecular biology
 BioRuby project aims to implement an integrated environment for
 Bioinformatics with Ruby language. Design philosophy of the BioRuby library
 is KISS (keep it simple, stupid) to maximize the usability and the
 efficiency for biologists as a daily tool. The project was started in Japan
 and supported by University of Tokyo (Human Genome Center), Kyoto University
 (Bioinformatics Center) and the Open Bio Foundation.

Package: ruby-blade
Description-md5: 2741736c27dd7d7260cf4bbb0d0bfaa0
Description-en: Sprockets Toolkit for Building and Testing JavaScript Libraries
 Blade is a Sprockets toolkit for building and testing JS libraries. Sprockets
 is a Ruby library for compiling and serving web assets.
 .
 To begin with, you may add Blade to your Gemfile and then create a .blade.yml
 (or blade.yml) file in your project’s root, and define your Sprockets load
 paths and logical paths.

Package: ruby-blade-qunit-adapter
Description-md5: fe42a3846db4f6d92b4df6545f5fa06f
Description-en: Blade adapter for the QUnit JavaScript testing framework
 This is a blade adapter for QUnit JS testing framework.
 .
 QUnit is a powerful, easy-to-use JavaScript unit testing framework. It's used
 by the jQuery, jQuery UI and jQuery Mobile projects and is capable of testing
 any generic JavaScript code, including itself.

Package: ruby-blade-sauce-labs-plugin
Description-md5: 92045df62b10fd52f6a09a40dfca7b7f
Description-en: Blade Runner plugin for Sauce Labs (saucelabs.com)
 Sauce Labs ensures your favorite mobile apps and websites work flawlessly on
 every browser, operating system, and device.
 .
 This package provides a plugin to blade build system to support specific
 versions of browsers.

Package: ruby-blankslate
Description-md5: 30b261828d53df14a8d1a6beba2c8a0d
Description-en: Ruby library providing a class with no predefined methods
 BlankSlate provides an abstract base class with no predefined
 methods (except for __send__ and __id__).
 .
 BlankSlate is useful as a base class when writing classes that
 depend upon method_missing (e.g. dynamic proxies).

Package: ruby-blockenspiel
Description-md5: dc43621409c3f04a193ba11ad5c0600f
Description-en: Comprehensive implementation of Ruby DSL blocks
 Blockenspiel is a helper library designed to make it easy to implement DSL
 blocks. It is designed to be comprehensive and robust, supporting most common
 usage patterns, and working correctly in the presence of nested blocks and
 multithreading.

Package: ruby-bluefeather
Description-md5: 009057936c8c6d8c25bef6b1651ab67b
Description-en: Extend Markdown Converter written in Ruby
 BlueFeather is software for converting text written by extended
 Markdown like PHP Markdown Extra to html. It is pair of command-line
 tool and pure Ruby library.

Package: ruby-bogus
Description-md5: cdcf8100703690517be035e31d1eb789
Description-en: library creating fakes to make isolated unit tests reliable
 Bogus is a Ruby library aiming at making unit tests more reliable by
 ensuring that one doesn't stub or mock methods that don't actually exist in
 the mocked objects.

Package: ruby-bootsnap
Description-md5: a93e0d3ec0f3436e89b0fa59ce5a328f
Description-en: Boot large ruby/rails apps faster
 Bootsnap is a library that plugs into Ruby, with optional support for
 `ActiveSupport` and `YAML`, to optimize and cache expensive computations.
 .
 Bootsnap optimizes methods to cache results of expensive computations, and can
 be grouped into two broad categories:
    * Path Pre-Scanning
    * Compilation caching

Package: ruby-bootstrap-form
Description-md5: 230b2dd86640d3b3c6b55448339e4f71
Description-en: makes it easy to style forms using Twitter Bootstrap 3+
 bootstrap_form is a rails form builder that makes it super easy to create
 beautiful-looking forms using Twitter Bootstrap 3+. This library makes it
 super easy to integrate twitter bootstrap-style forms into your rails
 application.

Package: ruby-bootstrap-sass
Description-md5: ac8bb419aa1c65dd4ccd97d8d54de3af
Description-en: Twitter's Bootstrap, converted to Sass
 `bootstrap-sass` is an Sass-powered version of Twitter's Bootstrap, ready
 to drop right into your Sass powered applications.
 .
 Import "bootstrap" in your SCSS file of choice to get all of Bootstrap's
 styles, mixins and variables!

Package: ruby-bootstrap-switch-rails
Description-md5: 1ad98820d02fa56e28cd5cd96a1a116b
Description-en: asset gemification of the bootstrap-switch plugin
 bootstrap-switch-rails is a small gem for putting bootstrap-switch
 into the Rails asset pipeline. It provides the bootstrap-switch plugin
 as a Rails engine to use it within the asset pipeline.

Package: ruby-bourne
Description-md5: ca5fa045437213791c2e6391d3c0c048
Description-en: Adds test spies to mocha
 Extends mocha to allow detailed tracking and querying of
 stub and mock invocations. Allows test spies using the have_received rspec
 matcher and assert_received for Test::Unit. Extracted from the
 jferris-mocha fork.

Package: ruby-brandur-json-schema
Description-md5: 3e5de8f89cc739cea6abe51e87d5c2fb
Description-en: JSON schema/hyperschema parser and validator for Ruby
 The json_schema Ruby library provides a JSON Schema V4 and Hyperschema V4
 parser and validator.
 .
 Note that this is different from the json-schema gem, provided by the
 ruby-json-schema package.

Package: ruby-brass
Description-md5: e2adb4d1855e2169b3d52e1573db0c8f
Description-en: bare-metal Ruby assertion system standard
 BRASS stands for Bare-Metal Ruby Assertion System Standard. It is a
 very basic foundational assertions framework for other assertion and
 test frameworks to make use so they can all work together
 harmoniously.

Package: ruby-broccoli
Description-md5: f541a15bbafc64432b339309530b0df0
Description-en: Ruby extension for Broccoli
 This package provides a Ruby extension for Broccoli, Bro's client
 communication library. In general, the bindings provide the same
 functionality as Broccoli's C API.

Package: ruby-browser
Description-md5: a304488efce418dbdca30d4faa86d8ee
Description-en: browser detection for Ruby
 This gem enables users to do some browser detection with Ruby. It includes
 ActionController integration. It also allows users to define custom rules to
 define a modern browser.

Package: ruby-bsearch
Description-md5: 42c9300f44e163ea8184ec1a0f1831f7
Description-en: binary search library for Ruby
 It can search the FIRST or LAST occurrence in an array with a condition
 given by a block.

Package: ruby-bson
Description-md5: 7f6d0d282b747ab2c8bbeeddf8bef2d1
Description-en: Ruby implementation of BSON
 BSON is a binary-encoded serialization of JSON-like documents. It is a binary
 form for representing simple data structures and associative arrays.
 .
 This library provides an implementation of BSON for the Ruby programming
 language.

Package: ruby-bson-ext
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: ruby-buff-config
Description-md5: 1d1daf5263e5eaf60c09b4432a74fff8
Description-en: Ruby library providiing a simple configuration class
 This library provides a very simple class useful for varied configuration
 use-cases, like loading, parsing, validation, etc.

Package: ruby-buff-extensions
Description-md5: 5c30c5b668c04bd85c29f325ec6779bf
Description-en: Ruby library that provides extra features to core Ruby classes
 This library add extensions and additional features and methods
 to several core Ruby classes and modules:
  * Hash.
  * Object.
  * Kernel.
  * Boolean (TrueClass and FalseClass).
  * String.

Package: ruby-buff-ignore
Description-md5: 9cfa0f9acfd933e288e16a6785748475
Description-en: Ruby helper library for parsing and managing an ignore file
 Buff::Ignore is a Ruby helper library for parsing and managing an
 ignore file (such as a .gitignore or chefignore). It uses File#fnmatch
 and includes helpful methods for apply ignores to a file list.

Package: ruby-buff-ruby-engine
Description-md5: 4b937c8df8f747ce72e00c130fe7c62f
Description-en: Ruby library that allows one to query the platform running Ruby
 Buff::RubyEngine provides a very simple but convenient mixin to perform
 basic queries to the platform running Ruby.
 .
 It supports MRI, JRuby and Rubinius.

Package: ruby-buff-shell-out
Description-md5: 008a93bbc89982cd66d9d70a6f8cbd81
Description-en: Ruby library fo issuing shell commands and collecting the output
 This library provides and platform agnostic way of executing shell commands
 on the local system.
 .
 This means it can be used with MRI, JRuby, Rubinius and probably with
 another Ruby platform implementations.

Package: ruby-buftok
Description-md5: 71a3fc55454e73fe56559b54aa76bf77
Description-en: extracts token delimited entities from a sequence of arbitrary inputs
 Statefully split input data by a specifiable token
 .
 BufferedTokenizer takes a delimiter upon instantiation, or acts line-based by
 default.  It allows input to be spoon-fed from some outside source which
 receives arbitrary length datagrams which may-or-may-not contain the token by
 which entities are delimited.  In this respect it's ideally paired with
 something like EventMachine.

Package: ruby-build
Description-md5: 0731da5500a70c528fe548bee5b99e57
Description-en: tool to compile and install different versions of Ruby
 ruby-build provides a simple way to compile and install different
 versions of Ruby.
 .
 ruby-build is great when you want to build Ruby versions that are not
 available via APT, for example if you need legacy or bleeding edge
 versions of Ruby.
 .
 Combined with rbenv, ruby-build lets you easily install and switch
 between different implementations of Ruby, such as MRI, JRuby, REE
 and others.

Package: ruby-builder
Description-md5: 4eacb557dea7200faeb2db6560104deb
Description-en: Builders for XML MarkUps
 Builder provides a number of builder objects that make creating structured data
 simple to do.  Currently the following builder objects are supported:
 .
 * XML Markup
 * XML Events

Package: ruby-bundler
Description-md5: 0f8878504f4ef4eeb01d05a248e11434
Description-en: Manage Ruby application dependencies (runtime)
 Bundler manages a Ruby application's dependencies through its entire
 life, across many machines, systematically and repeatably.
 .
 This package must be used as dependency for checking application dependencies
 at runtime.

Package: ruby-bunny
Description-md5: 06caa38669e16b114076b5fbba155461
Description-en: Synchronous Ruby AMQP client
 Bunny is an AMQP (Advanced Message Queuing Protocol) client, written in Ruby,
 that is intended to allow you to interact with AMQP-compliant message
 brokers/servers such as RabbitMQ in a synchronous fashion.

Package: ruby-byebug
Description-md5: 3a524b0cf697ae2321dd03cab8e29056
Description-en: Ruby fast debugger - base + CLI
 Byebug is a Ruby 2 debugger. It's implemented using the
 Ruby 2 TracePoint C API for execution control and the Debug Inspector C API
 for call stack navigation.  The core component provides support that
 front-ends can build on. It provides breakpoint handling and bindings for
 stack frames among other things and it comes with an easy to use command
 line interface.

Package: ruby-cabin
Description-md5: 35a4a44b5e7306d1e5eaa68b9814fca0
Description-en: experiments in structured and contextual logging
 This is an experiment to try and make logging more flexible and
 more consumable. This module creates log entries as structured
 data to make the data easier to search and parse.

Package: ruby-cairo
Description-md5: 6807a3dc927b1e622c94bae0aa4f89ec
Description-en: Cairo bindings for the Ruby language
 Cairo is a multi-platform library providing anti-aliased vector-based
 rendering for multiple target backends. This package contains libraries for
 using Cairo with the Ruby programming language. It is most likely useful in
 conjunction with Ruby bindings for other libraries such as GTK+.

Package: ruby-cairo-gobject
Description-md5: 6ae153683d8d2680ec8c008bb9acb205
Description-en: CairoGObject bindings for the Ruby language
 Cairo is a multi-platform library providing anti-aliased vector-based
 rendering for multiple target backends; CairoGObject provides
 wrapper GObject types for all Cairo types.
 .
 This package contains libraries for CairoGObject with the Ruby language.

Package: ruby-cancancan
Description-md5: f16cb4f6ec9db734ee868b65a166246f
Description-en: Authorization Gem for Ruby on Rails
 CanCanCan is an authorization library for Ruby >= 2.2.0 and Ruby on Rails
 >= 4.2 which restricts what resources a given user is allowed to access.
 .
 All permissions can be defined in one or multiple ability files and not
 duplicated across controllers, views, and database queries, keeping your
 permissions logic in one place for easy maintenance and testing.
 .
 It consists of two main parts:
  1. Authorizations library that allows you to define the rules to access
 different objects, and provides helpers to check for those permissions.
  2. Rails helpers to simplify the code in Rails Controllers by performing the
 loading and checking of permissions of models automatically and reduce
 duplicated code.

Package: ruby-capture-output
Description-md5: 071109a285f9289525066dc12dfa8729
Description-en: Ruby library to grab given IO output and return it as a string
 Provides Capture.output, Capture.stdout and Capture.stderr methods that can be
 used to grab output generated by current or spawned process.
 .
 This is useful for testing when you need to start a process and check its
 output or when you need to check current process output.

Package: ruby-capybara
Description-md5: ba8ef9ee54d65811c206dbff679740ca
Description-en: integration testing tool for Rack based web applications
 Capybara helps to test web applications based on Rack (such
 as the ones using Rails or Sinatra). It simulates how a real user would
 interact with the application. Multiple test drivers are supported
 Rack::Test comes built-in but other drivers can be added separately.

Package: ruby-carrierwave
Description-md5: cda60ccda54f888bb73a3037d2d4e1e9
Description-en: Ruby file upload library
 Upload files in your Ruby applications, map them to a range of ORMs, store them
 on different backends.
 .
 This library provides a simple and extremely flexible way to upload files from
 Ruby applications. It works well with Rack based web applications, such as Ruby
 on Rails.

Package: ruby-case-transform
Description-md5: 53e5cb98b8f1c750bfbf33ded5b878be
Description-en: Transform values to different cases
 Transforms Array, Hash, Symbol, or String to UpperCamelCase, PascalCase,
 camelCase, dashed-case and underscore_case. Any other object type will just be
 returned.
 .
 Extraction of the key_transform abilities of ActiveModelSerializers

Package: ruby-cassiopee
Description-md5: 5c0ec4890f262901cd4fd8ab6d37e715
Description-en: Library to index strings and to search with exact or approximate match
 Cassiopee index one String and provide methods to search exact matches
 or approximate matches with Hamming and/or edit distance.
 .
 It supports ambiguous alphabet search and a basic cache implementation.

Package: ruby-cat
Description-md5: fd391ad17aba2eb5dfd365d440ab2344
Description-en: Create Ruby objects in a sandbox
 This Ruby libraray is mkaing it easy to generate classes inside a
 sandbox and clean out the namespace between tests.

Package: ruby-celluloid
Description-md5: 7410cd591431fe9d44e55aa8917f64fd
Description-en: actor-based concurrent object framework for ruby
 Celluloid provides a simple and natural way to build fault-tolerant concurrent
 programs in Ruby.
 .
 Celluloid enables people to build concurrent programs out of concurrent objects
 just as easily as they build sequential programs out of sequential objects.
 .
 Recommended for any developer, including novices, Celluloid should help ease
 your worries about building multithreaded Ruby programs.

Package: ruby-celluloid-essentials
Description-md5: c2652e23157c1893481790de129020b4
Description-en: internally used Celluloid tools and superstructural dependencies
 This gem provides essential Celluloid pieces like Notifications, Internals,
 Logging, Probe etc. that demand Supervision. Celluloid is an actor-based
 concurrent object framework for Ruby.

Package: ruby-celluloid-extras
Description-md5: eb73b6f9d1424b5e154f7d9b1ea367bf
Description-en: Celluloid expansion, testing, and example classes
 This gem provides classes to support examples, benchmarks, or add special
 functionality. Celluloid is an actor-based concurrent object framework for
 Ruby.

Package: ruby-celluloid-fsm
Description-md5: 24be6cf296cc6bf94421722cabe3b6b4
Description-en: Celluloid Finite State Machines
 This gem provides simple finite state machines with integrated Celluloid
 timeout support. Celluloid is an actor-based concurrent object framework for
 Ruby.

Package: ruby-celluloid-io
Description-md5: 16adbb69f4da9e885cb3192b7fe245a8
Description-en: Ruby library providing an event-driven IO system for Celluloid actors
 Celluloid::IO provides an event-driven IO system and sockets useful
 for building fast and scalable network applications that integrates
 directly with the Celluloid actor library, making it easy to combine
 both threaded and evented concepts.
 .
 Celluloid::IO is ideal for implementing services which handle large
 numbers of mostly-idle connections, such as Websocket servers or
 chat/messaging systems.

Package: ruby-celluloid-pool
Description-md5: 904081978d4d99b0f5c61aca6ae47cd1
Description-en: actor pool based on Celluloid
 This gem provides an implementation of an actor pool, based on the Celluloid.
 Celluloid is an actor-based concurrent object framework for Ruby. Celluloid
 provides a simple and natural way to build fault-tolerant concurrent programs
 in Ruby

Package: ruby-celluloid-supervision
Description-md5: 509c1d5c12b09b3ae677816e1293ee65
Description-en: Supervision support for Celluloid
 This gem provides Supervisors, Supervision Groups, and Supervision Trees for
 Celluloid. Celluloid is an actor-based concurrent object framework for Ruby.
 Celluloid provides a simple and natural way to build fault-tolerant
 concurrent programs in Ruby

Package: ruby-certificate-authority
Description-md5: a772da45d936fd410176dab6a1dc9cdf
Description-en: tool to manage the core functions outlined in RFC-3280 for PKI
 CertificateAuthority provides a programmer-friendly implementation of all the
 basic functionality contained in RFC-3280 to implement your own certificate
 authority.
 .
 With this tool, one can generate root certificates, intermediate certificates,
 and terminal certificates; and also generate/manage Certificate Revocation
 Lists (CRLs) and Online Certificate Status Protocol (OCSP) messages.

Package: ruby-cfpropertylist
Description-md5: 70b1209f0e2d867e408f34644ed77a5b
Description-en: Manipulate binary and XML property lists as defined by apple
 Class to read, manipulate and write both XML and binary property list
 files (plist(5)) as defined by Apple. Have a look at CFPropertyList::List
 for more documentation.

Package: ruby-character-set
Description-md5: 29ced2061467881c75606e0fe79f9d3f
Description-en: Build, read, write and compare sets of Unicode codepoints
 Many parts can be used independently, e.g.:
  - CharacterSet::Character
  - CharacterSet::Parser
  - CharacterSet::Writer
  - RangeCompressor

Package: ruby-charlock-holmes
Description-md5: ff58c038245ad2bd44b142b0d35eb27e
Description-en: Ruby character encoding detection library
 Character encoding detecting library for Ruby using ICU library.
 This library provides character encoding detection and transcoding.

Package: ruby-chef-config
Description-md5: 0a89d6e2253d4bd614c1361f627761ae
Description-en: Chef configuration library
 Chef is a systems integration framework and configuration management library
 written in Ruby. Chef provides a Ruby library and API that can be used to
 bring the benefits of configuration management to an entire infrastructure.
 .
 This package provides chef-config, the Chef configuration library.

Package: ruby-chef-utils
Description-md5: 1daec09faac36fdccd53112441b26a99
Description-en: Chef utilities library
 Chef is a systems integration framework and configuration management library
 written in Ruby. Chef provides a Ruby library and API that can be used to
 bring the benefits of configuration management to an entire infrastructure.
 .
 This package provides the chef-utils, the Chef utilities library.

Package: ruby-cheffish
Description-md5: 2aaf3e1702ca12c814729589b45d65e2
Description-en: library to manipulate Chef in Chef
 Cheffish provides a variety of convergent resources for interacting with
 the Chef Server; along the way, it happens to provide some very useful and
 sophisticated ways of running Chef resources as recipes in RSpec examples.

Package: ruby-childprocess
Description-md5: bca7a753d4ab21eb86b414e0fd2f383e
Description-en: Ruby library for controlling external programs running in the background
 This library aims at being a simple and reliable solution for controlling
 external programs running in the background on any Ruby / OS combination.
 .
 The code originated in the selenium-webdriver gem, but should prove useful as
 a standalone library.

Package: ruby-chromedriver-helper
Description-md5: 52450ceba2c842fb6886ba8b13f56a55
Description-en: Easy installation and use of chromedriver
 chromedriver-helper installs an executable, chromedriver-helper, in your gem
 path, and configures Selenium to invoke it as the web driver.
 .
 This script will, if necessary, download the appropriate binary for your
 platform and install it into ~/.chromedriver-helper, then exec it. Easy peasy!
 .
 Individual projects can even select which version of chromedriver they want to
 run.

Package: ruby-chronic
Description-md5: a2fafe3f5a4fd65a98d9e8bfb634b7a2
Description-en: natural language date parser
 Chronic is a natural language date/time parser written in pure Ruby.
 .
 Chronic can parse a huge variety of date and time formats. Parsing is case
 insensitive and will handle common abbreviations and misspellings.
 .
 This package provides gitlab's fork of chronic (original project is
 unmaintained).

Package: ruby-chronic-duration
Description-md5: f11ff5c44c3c9a82cfd17c07a7a01ce6
Description-en: simple Ruby natural language parser for elapsed time
 A simple Ruby natural language parser for elapsed time. (For example, 4 hours
 and 30 minutes, 6 minutes 4 seconds, 3 days, etc.) Returns all results in
 seconds. Will return an integer unless you get tricky and need a float. (4
 minutes and 13.47 seconds, for example.) The reverse can also be performed
 via the output method.

Package: ruby-chunky-png
Description-md5: 172d50c37886d2d10c9db62d0251a295
Description-en: pure ruby library for read/write, chunk-level access to PNG files
 This pure Ruby library can read and write PNG images without depending
 on an external image library, like RMagick. It tries to be memory
 efficient and reasonably fast.
 .
 Features:
  * Decodes any image that the PNG standard allows. This includes all
    standard color modes, all bit depths and all transparency,
    interlacing and filtering options.
  * Encodes images supports all color modes (true color, grayscale and
    indexed) and transparency for all these color modes. The best color
    mode will be chosen automatically, based on the amount of used
    colors.
  * R/W access to the image's pixels.
  * R/W access to all image metadata that is stored in chunks.
  * Memory efficient (uses a Fixnum, i.e. 4 or 8 bytes of memory per
    pixel, depending on the hardware)
  * Reasonably fast for Ruby standards, by only using integer math and a
    highly optimized saving routine.
  * Interoperability with RMagick if you really have to.

Package: ruby-citrus
Description-md5: bfb408fa6c68c2e6755c9cde93fabb3b
Description-en: Parsing Expressions for Ruby
 Citrus is a compact and powerful parsing library for Ruby that combines the
 elegance and expressiveness of the language with the simplicity and power of
 parsing expressions.
 .
 A parsing expression grammar, or PEG, is a type of analytic formal grammar,
 i.e. it describes a formal language in terms of a set of rules for recognizing
 strings in the language.

Package: ruby-clamp
Description-md5: 1e15f036881955fca17316c879c241f7
Description-en: minimal framework for Ruby command-line utilities
 Clamp provides an object-model for command-line utilities.
 It handles parsing of command-line options, and generation of usage help.

Package: ruby-classifier
Description-md5: 06fd17f6130bfa51e6530f0f8ce098f8
Description-en: Ruby module to allow Bayesian and other types of classifications
 Classifier is a general module to allow Bayesian and other types of
 classifications.
 .
 This package provides Bayes classifier and Latent Semantic
 Indexer. Bayesian Classifiers are accurate, fast, and have modest
 memory requirements. Latent Semantic Indexing engines are not as fast
 or as small as Bayesian classifiers, but are more flexible, providing
 fast search and clustering detection as well as semantic analysis of
 the text that theoretically simulates human learning.

Package: ruby-classifier-reborn
Description-md5: 70243dafc3a48d5b1a9ba4afa9680086
Description-en: Successor of Ruby::Classifier
 Classifier is a general module to allow Bayesian and other types of
 classifications. Classifier Reborn is a fork of original classifier
 under more active development.
 .
 This package provides Bayes classifier and Latent Semantic
 Indexer. Bayesian Classifiers are accurate, fast, and have modest
 memory requirements. Latent Semantic Indexing engines are not as fast
 or as small as Bayesian classifiers, but are more flexible, providing
 fast search and clustering detection as well as semantic analysis of
 the text that theoretically simulates human learning.

Package: ruby-clean-test
Description-md5: 88531a5db3c8933250e9a8da0b9e05e5
Description-en: Get unit tests readable and fluent
 This library is a set of small, simple tools to make your Test::Unit test cases
 easy to understand. This isn't a massive change in how you write tests, but
 simply some helpful things will make your tests easier to read.
 .
 The main problems this library solves are: understanding what part of a test
 method is setup, test, and evaluation; understanding what elements of a test
 are relevant to the test, and which are arbitrary placeholders; removing the
 requirement that your tests are method names.

Package: ruby-cleanroom
Description-md5: 5df01fe943054b687fe7c6fededc4d1d
Description-en: Ruby module for safer evaluation of Ruby DSLs
 The cleanroom pattern is a safer, more convenient, Ruby-like approach for
 limiting the information exposed by a DSL while giving users the ability
 to write awesome code.

Package: ruby-client-side-validations
Description-md5: 058cd121a3863d3e1413684b621fadd0
Description-en: client side validations made easy for your Rails v3.1+ apps
 Follow the best practices for client side validations developed by
 Luke Wroblewski
 .
 Automatically extract and apply validation rules defined on the server to
 the client.
 .
 Read more at /usr/share/doc/ruby-client-side-validations/README.md

Package: ruby-climate-control
Description-md5: 03359cc427db47ae81e01c3aaf13f0b6
Description-en: library to easily manage environment variables
 This gem enables the users to manage their environment variables from Ruby
 applications. Climate Control modifies environment variables only within
 the context of the block, ensuring values are managed properly and
 consistently.

Package: ruby-cliver
Description-md5: 52bb31ca6486d14a84869effec489101
Description-en: cross-platform version constraints for cli tools
 Cliver is a simple gem that provides an easy way to detect and use
 command-line dependencies. Under the covers, it uses
 [rubygems/requirements][] so it supports the version requirements
 you're used to providing in your gemspec.

Package: ruby-clockwork
Description-md5: f668f1e97da405937e14294b2d3e937e
Description-en: scheduler process to replace cron
 Clockwork is a cron replacement.  It runs as a lightweight,
 long-running Ruby process which sits alongside your web processes
 (Mongrel/Thin) and your worker processes (DJ/Resque/Minion/Stalker)
 to schedule recurring work at particular times or dates.
 .
 For example, refreshing feeds on an hourly basis, or send reminder
 emails on a nightly basis, or generating invoices once a month on the
 1st.

Package: ruby-clutter
Description-md5: d69ce2977e68767978d468c421a54389
Description-en: Clutter bindings for the Ruby language
 Clutter is a GObject based library for creating fast, visually rich,
 graphical user interfaces.  Clutter works by manipulating a scene-graph of
 2D surfaces, or 'actors', inside a 3D space.
 .
 This package contains libraries for using Clutter with the Ruby language.

Package: ruby-clutter-gdk
Description-md5: 5d68ffab9c0d86c744e1d11d9aa54448
Description-en: Clutter-Gdk bindings for the Ruby language
 Clutter-Gdk is a library for GDK specific API of Clutter. This library
 provides some specific API, allowing integration with the GDK API for
 manipulating the stage window and handling events outside of Clutter.
 .
 This package contains libraries for using Clutter-Gdk with the Ruby language.

Package: ruby-clutter-gtk
Description-md5: aaf7fbe5799b09ed875ca272600441f4
Description-en: Clutter-Gtk bindings for the Ruby language
 Clutter-Gtk is an integration library for the Clutter toolkit providing
 access and integration for the GTK+ library.  Clutter-Gtk allows embedding
 a Clutter scene graph into a GTK+ application; retrieving themeing
 information; integrate GTK+ data structures and object classes with
 Clutter actors.
 .
 This package contains libraries for using Clutter-Gtk with the Ruby language.

Package: ruby-cmdparse
Description-md5: deac30951f1c2829d1ea505422e8357f
Description-en: Advanced command line parsing Ruby module supporting sub-commands
 Flexible Ruby library simplifying creation of shell commands using
 sub-commands, ie a la apt-get.
 It uses optparse, part of the Ruby standard library.

Package: ruby-cocaine
Description-md5: 9c3a2826fcd0f42f151e234b2ac87475
Description-en: library for running command line commands in Ruby
 cocaine is used to run command line commands in Ruby. Commands are run
 using backticks(Ruby 1.8) or Process.spawn(Ruby 1.9).
 .
 This library supports interpolated arguments and prevents attempts
 to break system. This library throws exception if the command returns
 errors.
 .
 Performance can be increased by installing posix-spawn gem.

Package: ruby-cocoon
Description-md5: 50dfe731b68cae8372ea9a02e15536e7
Description-en: tool to handle nested forms using jQuery
 Nested forms are forms that handle nested models and attributes in one form;
 e.g. a project with its tasks or an invoice with its line items.
 .
 Cocoon is an unobtrusive nested forms handling, using jQuery.
 It is form builder-agnostic, so it works with standard Rails, Formtastic,
 or SimpleForm. It is compatible with rails 3 and rails 4.

Package: ruby-codemirror-rails
Description-md5: 20cae6e7697132502c78c667e50cfc2e
Description-en: use codemirror with rails
 This gem provides CodeMirror assets for your Rails application.

Package: ruby-coderay
Description-md5: 6a1b8a7df1ec39af6cefc22d55164494
Description-en: Ruby library for syntax highlighting
 CodeRay is a Ruby library that can scan an input file or text in a web
 page and encode it as syntax highlighted HTML output.
 .
 CodeRay supports many input languages including: C, C++, CSS, Delphi, diff,
 Groovy, HTML, RHTML (Erb+HTML), Nitro-XHTML, Java, JavaScript, JSON, PHP,
 Python, Ruby, SQL and YAML.
 .
 CodeRay can output to HTML, JSON, XML, Yaml.

Package: ruby-coercible
Description-md5: a45bdd4a1d8403ab3946fde5e3788d59
Description-en: powerful, flexible and configurable coercion library
 The Coercible Ruby library gives you access to coercer objects where each
 object is responsible for coercing only one type into other types.
 .
 For example a string coercer knows only how to coerce string objects, integer
 coercer knows only how to coerce integers etc.

Package: ruby-coffee-rails
Description-md5: f3b1f4694c6a4dd3429623cdce29d4c0
Description-en: Coffee Script adapter for the Rails asset pipeline
 This package adds the ability to write Coffee Script code for a Rails
 application and have it automatically compiled into Javascript before being
 sent to the clients.

Package: ruby-coffee-script
Description-md5: 6fe096fc4a41d92def6f082a1148457b
Description-en: Ruby CoffeeScript Compiler
 Ruby CoffeeScript is a bridge to the JS CoffeeScript compiler. It allows Ruby
 programs and libraries to compile CoffeeScript code into JavaScript.

Package: ruby-coffee-script-source
Description-md5: a7221ea3cb16d5c298bd5c044b006d61
Description-en: CoffeeScript Compiler - Ruby integration
 CoffeeScript is a little language that compiles into JavaScript.
 Underneath all of those embarrassing braces and semicolons,
 JavaScript has always had a gorgeous object model at its heart.
 CoffeeScript is an attempt to expose the good parts of JavaScript
 in a simple way.
 .
 This package provides an abstraction of the actual location of the
 CoffeeScript compiler for use by other Ruby packages, e.g. ruby-coffee-script.

Package: ruby-color
Description-md5: 852ef20ec204016ac7ac1e9b13df50b9
Description-en: Ruby library to provide RGB, CMYK and other colorspace support
 This Ruby library provides support to RGB, CMYK and other
 colorspaces. It offers 152 named RGB colors (184 with spelling
 variations) that are commonly supported and used in HTML, SVG and X11
 applications. A technique for generating a monochromatic contrasting
 palette is also included.

Package: ruby-colorator
Description-md5: 005f1fe97a510fda788c5d744a917eca
Description-en: String core extensions for terminal coloring
 A Ruby Library colorize your text in the terminal.
 .
 There are a bunch of gems that provide functionality like this, but
 none have as simple an API as this. Just call `"string".color` and your
 text will be colorized.

Package: ruby-colored
Description-md5: db78f36d9239297fc8bab82c03f8bc2b
Description-en: library to add colors or attributes to text
 This Ruby library extends the String class with methods to add ANSI colors and
 bold, underline or reverse attributes to strings. It can be used to emphasize
 messages on the console output or in logs.

Package: ruby-colored2
Description-md5: 6df287e34e5659e4b0ed25ac92058e94
Description-en: library to add colors or attributes to text
 This library extends the String class with methods to add ANSI colors
 and bold, underline or reverse attributes to strings. It can be used to
 emphasize messages on the console output or in logs.

Package: ruby-colorize
Description-md5: 8f50e9415b2a7e7d53760eaf4b7e41f6
Description-en: color methods to Ruby String class
 This Ruby extension adds methods to the String Class to set text color,
 background color and text effects on the console and command line output.
 It is using ANSI escape sequences.

Package: ruby-columnize
Description-md5: 5b05c176d6efdc9e7e5c0ab3b53756d3
Description-en: Ruby library to format arrays as column-aligned strings
 In showing a long lists, sometimes one would prefer to see the value
 arranged aligned in columns. Some examples include listing methods
 of an object or debugger commands. This Ruby library helps in aligning so.
 .
 See Examples in the rdoc documentation for examples.

Package: ruby-combustion
Description-md5: 7a691c984a37baeedca306f8401ff58e
Description-en: elegant rails engine testing
 Ruby 'combustion' is a library to help you test your Rails Engines
 in a simple and effective manner, instead of creating a full Rails
 application in your spec or test folder.
 .
 It allows you to write your specs within the context of your engine,
 using only the parts of a Rails app you need.

Package: ruby-commander
Description-md5: cf6ec34351bc9cef5c0d02be18eda413
Description-en: Ruby command-line interface library
 "Commander" bridges the gap between other terminal related libraries
 you know and love (OptionParser, HighLine), while providing many new
 features, and an elegant API.
 .
 Features:
    * Easier than baking cookies
    * Parses options using OptionParser
    * Auto-populates struct with options:
      ( no more { |v| options[:recursive] = v } )
    * Auto-generates help documentation via pluggable help formatters
    * Optional default command when none is present
    * Global / Command level options
    * Packaged with two help formatters (Terminal, TerminalCompact)
    * Imports the highline gem for interacting with the terminal
    * Adds additional user interaction functionality
    * Highly customizable progress bar with intuitive, simple usage
    * Multi-word command name support
    * Sexy paging for long bodies of text
    * Command aliasing
    * Use the 'commander' executable to initialize a commander driven program

Package: ruby-commonmarker
Description-md5: 27c89421d49621d565385e7d1b54b299
Description-en: CommonMark parser and renderer - Written in C, wrapped in Ruby
 A fast, safe, extensible parser for CommonMark. This wraps the official
 libcmark library.

Package: ruby-compat-resource
Description-md5: e5a7ebfd458be78ac48752307f532a34
Description-en: cookbook with some features of Chef 12.5 in previous 12.X releases
 This cookbook brings the custom resource syntax from Chef 12.5 to earlier Chef
 12.X releases. It provides everything in a resource, using properties, and
 have gotten rid of a bunch of boilerplate.

Package: ruby-concord
Description-md5: bebfe506347640991769411f154a3a3a
Description-en: Helper for object composition
 This helps complex combine of objects or data types into shorter and easier
 to parse by eyes. Also you can still add YARD docs for generated interface.

Package: ruby-concurrent
Description-md5: c570f55c1e599c0de2c38966299b8efd
Description-en: modern concurrency tools for Ruby
 This package provides modern concurrency tools including agents, futures,
 promises, thread pools, actors, supervisors, and more. Inspired by Erlang,
 Clojure, Go, JavaScript, actors, and classic concurrency patterns.
 .
 This package also includes edge features and additions to the concurrent-ruby.

Package: ruby-concurrent-ext
Description-md5: 89d138605792d76c39e9c69543eebc8c
Description-en: C extensions to optimize concurrent-ruby under MRI
 concurrent-ruby provides modern concurrency tools including agents, futures,
 promises, thread pools, actors, supervisors, and more. Inspired by Erlang,
 Clojure, Go, JavaScript, actors, and classic concurrency patterns.

Package: ruby-configurate
Description-md5: 7ed81ffbfff8cfe090dc24c8673da372
Description-en: Flexible configuration system for Ruby
 Configurate for Ruby allows one to specify a chain of configuration
 providers which are queried in order until one of them returns a value.
 This allows scenarios like overiding settings one's default settings with
 a user configuration file and let those be overidden by environment variables.
 The query interface allows one to group and nest the configuration options
 to a pratically unlimited level.

Package: ruby-connection-pool
Description-md5: 637f0d4d6510014bc77b282e86ad7d4d
Description-en: generic connection pool for ruby
 MongoDB has its own connection pool.  ActiveRecord has its own connection pool.
 This is a generic connection pool that can be used with anything, e.g. Redis,
 Dalli and other Ruby network clients.

Package: ruby-contest
Description-md5: 9deee2e8599a28f904e209b82898b969
Description-en: Ruby library to write declarative tests using nested contexts
 Contest allows you to write with Test::Unit declarative tests using
 nested contexts with a syntax similar to RSpec or Shoulda, and without
 performance penalties. This library is less than 100 lines of code and
 gets the job done.

Package: ruby-contracts
Description-md5: 41f2924c5fccd74de0afe8358227bcd3
Description-en: Contracts (a assert like) for Ruby
 This library provides contracts for Ruby. Contracts let you clearly express
 how your code behaves, and free you from writing tons of boilerplate, defensive
 code.

Package: ruby-cookiejar
Description-md5: 7088f59ce98cd29786183db54828256a
Description-en: client-side HTTP cookie library
 The Ruby CookieJar is a library to help manage client-side cookies in pure
 Ruby. It enables parsing and setting of cookie headers, alternating between
 multiple 'jars' of cookies at one time (such as having a set of cookies for
 each browser or thread), and supports persistence of the cookies in a JSON
 string.

Package: ruby-countries
Description-md5: e64362cdad42aaa5da8b7cc1d1752d42
Description-en: Gives you a country object full of all sorts of useful information
 Countries is a collection of all sorts of useful information for every country
 in the ISO 3166 standard. It contains info for the following standards
 ISO3166-1 (countries), ISO3166-2 (states/subdivisions), ISO4217 (currency) and
 E.164 (phone numbers).
 .
 Its author promises to add any country based data they can get access to.
 They hope this to be a repository for all country based information.

Package: ruby-coveralls
Description-md5: 330904c3ed4703f2ea836aff87959b73
Description-en: Ruby implementation of the Coveralls API
 Coveralls is a web service to help you track your code coverage over
 time, and ensure that all your new code is fully covered.
 .
 Coveralls automatically collects your code coverage data, uploads it
 to their servers and gives you a nice interface to dig into it.
 .
 Any type of Ruby project or test framework supported by SimpleCov is
 supported by the Coveralls gem. This includes all your favorites, like
 RSpec, Cucumber, and Test::Unit.
 .
 This package provides a Ruby gem to interact with Coveralls API.

Package: ruby-crack
Description-md5: 0580eabc862704f4ffe636579ebe2d50
Description-en: Ruby library to parse XML and JSON
 Crack is a really simple JSON and XML parsing Ruby library.
 .
 XML parser is ripped from Merb and the JSON parser is ripped from Rails.
 Just packaged them for all to enjoy and easily use.

Package: ruby-crass
Description-md5: fe929ce0c44cf19781dae0b741128390
Description-en: CSS parser based on the CSS Syntax Level 3 draft
 Crass is a pure Ruby CSS parser based on the CSS Syntax Level 3 draft
 specification. Tokenizes and parses CSS according to the rules defined
 in the 2013 draft of the CSS Syntax Level 3 specification.Extremely
 tolerant of broken or invalid CSS. If a browser can handle it,
 Crass should be able to handle it too.

Package: ruby-crb-blast
Description-md5: b8694674f553b0ae33fd1ec67da3861a
Description-en: Run conditional reciprocal best blast
 CRB-BLAST is a novel method for finding orthologs between one set of sequences
 and another. This is particularly useful in genome and transcriptome
 annotation.
 .
 CRB-BLAST initially performs a standard reciprocal best BLAST. It does this by
 performing BLAST alignments of query->target and target->query. Reciprocal
 best BLAST hits are those where the best match for any given query sequence in
 the query->target alignment is also the best hit of the match in the reverse
 (target->query) alignment.
 .
 Reciprocal best BLAST is a very conservative way to assign orthologs. The main
 innovation in CRB-BLAST is to learn an appropriate e-value cutoff to apply to
 each pairwise alignment by taking into account the overall relatedness of the
 two datasets being compared. This is done by fitting a function to the
 distribution of alignment e-values over sequence lengths. The function
 provides the e-value cutoff for a sequence of given length.

Package: ruby-creole
Description-md5: 0a5519a3051e4f468fc834e2a18f10d7
Description-en: Creole common wiki markup language to HTML converter
 This Ruby library contains a Creole-to-HTML converter for Creole, the
 lightweight markup language.
 .
 Creole is a common wiki markup language to be used across different wikis.
 It's not replacing existing markup but instead enabling wiki users to
 transfer content seamlessly across wikis, and for novice users to contribute
 more easily.

Package: ruby-cri
Description-md5: fef428b5011740219cec41ab296aa956
Description-en: library for building easy-to-use commandline tools
 Cri is a tool to create easy-to-use commandline interfaces in Ruby with
 support for subcommands. The central concept in Cri is the command, which has
 option definitions as well as code for actually executing itself.

Package: ruby-cri-doc
Description-md5: b7716ba0a5b134630f74de00cdc11a11
Description-en: library for building easy-to-use commandline tools - documentation
 Cri is a tool to create easy-to-use commandline interfaces in Ruby with
 support for subcommands. The central concept in Cri is the command, which has
 option definitions as well as code for actually executing itself.
 .
 This package contains the documentation for this library.

Package: ruby-css-parser
Description-md5: 1aebfc9c186a6e7dbdf3d1baf818e545
Description-en: Ruby CSS parser library
 This package provides classes for loading, parsing and cascading CSS rule sets
 in Ruby. It supports loading local or remote CSS files, and looking up rules
 by selector or media type.

Package: ruby-cssmin
Description-md5: eacec9fb06e0d99f59b625c323a262da
Description-en: Ruby library for minifying CSS
 Ruby library for minifying CSS. Inspired by cssmin.js and YUI Compressor. It
 is based on cssmin.js which was actually a JavaScript port of YUICompressor's
 CSS minifier.

Package: ruby-cstruct
Description-md5: b1beebadfa3ac0f34496657e9f839c34
Description-en: simulation of the C language's struct
 CStruct is a simulation of the C language's struct.Its main purpose is to
 manipulate binary-data conveniently in Ruby. It can be used in:
  * Binary file IO like C.
  * The parameter of the OS's API.(e.g. Win32)
  * Other...

Package: ruby-csv
Description-md5: 6f9bf3d07a4d63f7d55550f749f77842
Description-en: CSV reader and writer
 The CSV library provides a complete interface to CSV files and data. It offers
 tools to enable you to read and write to and from Strings or IO objects, as
 needed.

Package: ruby-cucumber-core
Description-md5: 3920e60d677299de6f53bdf5198f101f
Description-en: core library for the Cucumber application
 Cucumber lets software development teams describe how software should behave
 in plain text. The text is written in a business-readable domain-specific
 language and serves as documentation, automated tests and development-aid -
 all rolled into one format.
 .
 This package provides the core library for the Cucumber application.
 It contains the core domain logic to execute Cucumber features. It has no user
 interface, just a Ruby API. It can be used to build other tools that work with
 Gherkin documents.

Package: ruby-cucumber-expressions
Description-md5: 21b442766b5773092646c9cc536f29cf
Description-en: simple patterns for matching Step Definitions
 Cucumber Expressions are simple patterns for matching Step Definitions
 with Gherkin steps. They offer similar functionality to Regular
 Expressions, but with improved readability, custom parameter types,
 and expression generation.

Package: ruby-cucumber-wire
Description-md5: 3fc543057218cc06653cf06c6b2c368b
Description-en: Wire protocol for Cucumber (a ruby acceptance testing framework)
 cucumber supports a wire protocol for running tests in separate processes. Not
 necessarily even ruby processes.
 .
 This ruby library implements the wire protocol.

Package: ruby-curb
Description-md5: 5cfa251adb7ba12b658f219d6fff0318
Description-en: Ruby libcurl bindings
 Curb (probably CUrl-RuBy or something) provides Ruby-language bindings for the
 libcurl(3), a fully-featured client-side URL transfer library.
 .
 Curb is a work-in-progress, and currently only supports libcurl's 'easy'
 and 'multi' modes.

Package: ruby-curses
Description-md5: 6e5cb483bd434b398400a28862a2f120
Description-en: curses binding for Ruby
 Ruby binding for curses, ncurses, and PDCurses. curses is an
 extension library for text UI applications.
 .
 This module is built with wide character support.

Package: ruby-cutest
Description-md5: 51faf0d5bb2168b940b5a43826dc58e7
Description-en: Run ruby tests independantly
 Cutest run tests in separate processes to avoid shared state.
 Once a failure  is found, you get a report detailing what failed
 and how to locate the  error and the rest of the file is skipped.

Package: ruby-daemons
Description-md5: ffdbda476bf474265916f1fecdd3ee33
Description-en: Ruby daemons library
 Daemons provides an easy way to wrap existing ruby scripts (for example
 a self-written server) to be run as a daemon and to be controlled by
 simple start/stop/restart commands. daemons can also run and control
 blocks of Ruby code in a daemon process.

Package: ruby-dalli
Description-md5: 7b0201975c6745b84162ca4c177352d1
Description-en: memcached client library for Ruby
 Dalli is a high performance pure Ruby client for accessing memcached servers.
 It only works with memcached version 1.4 and higher, as it uses the binary
 protocol only available starting with memcached 1.4.

Package: ruby-data-migrate
Description-md5: e4d33db2e5dd18dbf8fd040a2200fbba
Description-en: migrate data alongside schema changes for rake tasks
 This data_migrate gem provides data migrations alongside schema
 migrations for rake tasks.
 .
 Data migrations are stored in db/data. They act like schema migrations,
 except they should be reserved for data migrations.
 .
 For instance, if you realize you need to titleize all yours titles,
 this is the place to do it. Running any of the provided rake tasks
 also creates a data schema table to mirror the usual schema migrations
 table to track all the goodness.

Package: ruby-data-uri
Description-md5: 04743465ad9af97a7ad43257c07c721e
Description-en: URI::Data class for parsing RFC2397 data URIs
 Data URIs allow resources to be embedded inside a URI. The URI::Data class
 provides support for parsing these URIs using the normal URI.parse method.
 .
 This was written to support embedding binary data inside JSON messages in a
 relatively reasonable way. If you find some other use for it, please drop a
 line to the upstream.

Package: ruby-database-cleaner
Description-md5: 864eaa8e794ff7c7a483cb6e7d8409fb
Description-en: clean databases to ensure a clean state for testing
 Strategies for cleaning databases.  Can be used to ensure a clean state
 for testing.
 .
 Each strategy is a small amount of code but is code that is usually needed
 in any ruby app that is testing with a database.
 .
 ActiveRecord, DataMapper, Sequel, MongoMapper, Mongoid, CouchPotato, Ohm and
 Redis are supported.

Package: ruby-dataobjects
Description-md5: 5a6dd984d8cb5d9207a8814a1713fb22
Description-en: Unified interface to various databases for Ruby
 The purpose of DataObjects.rb is to propose a single interface for Ruby to
 various databases. This package contains the core infrastructure. Adapters for
 databases (MySQL, PostgreSQL, SQLite) are available as separate packages.

Package: ruby-dataobjects-mysql
Description-md5: f4081e773f0ae3b72a5db2d3e31d3327
Description-en: MySQL adapter for ruby-dataobjects
 The purpose of DataObjects.rb is to propose a single interface for Ruby to
 various databases. This package provides the adapter for MySQL to this
 unified interface.

Package: ruby-dataobjects-postgres
Description-md5: ec22d8fd083ce16f46c4795c6da283dd
Description-en: PostgreSQL adapter for ruby-dataobjects
 The purpose of DataObjects.rb is to propose a single interface for Ruby to
 various databases. This package provides the adapter for PostgreSQL to this
 unified interface.

Package: ruby-dataobjects-sqlite3
Description-md5: c00cee4f83269e5501b44d98b6544658
Description-en: SQLite adapter for ruby-dataobjects
 The purpose of DataObjects.rb is to propose a single interface for Ruby to
 various databases. This package provides the adapter for SQLite to this
 unified interface.

Package: ruby-dbf
Description-md5: 3e84861867560f0e784bc73855154fb9
Description-en: small fast Ruby library for reading database files
 A small fast library for reading dBase, xBase, Clipper and FoxPro database
 files.
 .
 Some limitations include experimental/no support for advanced data types in
 dBase V and FoxPro. No support for FoxBase/dBase II files. No write support,
 and index files are not utilized.

Package: ruby-dbus
Description-md5: e81c7492709d98304498a9a12528f695
Description-en: Ruby module for interaction with D-Bus
 This module allows Ruby programs to interface with the D-Bus message
 bus installed on newer Unix operating systems.
 .
 Ruby D-Bus currently supports the following features:
   * Connecting to local buses.
   * Accessing remote services, objects and interfaces.
   * Invoking methods on remote objects synchronously and asynchronously.
   * Catch signals on remote objects and handle them via callbacks.
   * Remote object introspection.
   * Walking object trees.
   * Creating services and registering them on the bus.
   * Exporting objects with interfaces on a bus for remote use.
   * Rubyish D-Bus object and interface syntax support that automatically
     allows for introspection.
   * Emitting signals on exported objects.

Package: ruby-ddmemoize
Description-md5: 0e58a01604604055653c73211f18f6fc
Description-en: Ruby library providing support for memoizing functions
 The DDMemoize library adds support for memoizing Ruby
 functions, allowing for storing previously computed values.
 It provides the following features:
  * Supports memoizing functions on frozen objects
  * Releases memoized values when needed in order to reduce
    memory pressure
  * Optionally records metrics

Package: ruby-ddmetrics
Description-md5: 3f28495038570f732968522f993c9f00
Description-en: Non-timeseries measurements for Ruby programs
 DDMetrics is a Ruby library for recording and analysing measurements in
 short-running Ruby processes.
 .
 Metrics are not recorded over time, and the time series are not available.
 Metrics data (particularly summary metrics) can accumulate in memory and cause
 memory pressure. This project is not suited for long-running processes, such as
 servers. The implementation is not thread-safe.

Package: ruby-ddplugin
Description-md5: 0bcb3734fd8bd0007c4e56a2b18423b8
Description-en: plugins management for Ruby apps
 ddplugin is a library for managing plugins.
 .
 Designing a library so that third parties can easily extend it greatly improves
 its usefulness. ddplugin helps solve this problem using plugins, which are
 classes of a certain type and with a given identifier (Ruby symbol).

Package: ruby-debian
Description-md5: 981b9f96e474966d2cfa46834a23612b
Description-en: ruby interface for dpkg
 This package provides Debian::Dpkg and Debian::DpkgDeb modules and
 Debian::Deb, Debian::Dsc, Debian::Archives, Debian::Sources,
 Debian::Packages and Debian::Status classes for ruby.
 .
 It also provides two scripts, dpkg-ruby (a dpkg-awk clone) and
 dpkg-checkdeps (a utility to check for deb dependency problems).

Package: ruby-debug-inspector
Description-md5: c68c8194f71ea45686a633024725e965
Description-en: Ruby wrapper for the MRI 2.0 debug_inspector API
 A Ruby wrapper for the MRI 2.0 debug_inspector API

Package: ruby-declarative
Description-md5: 6b16f8023fc8bcdf0877df0a8727c67f
Description-en: DSL for nested schemas
 DSL for nested generic schemas with inheritance and refining.
 .
 A domain-specific language (DSL) is a computer language specialized to a
 particular application domain.
 .
 This library is a dependency of Representable, which renders and parses
 JSON/XML/YAML documents from and to Ruby objects.

Package: ruby-declarative-option
Description-md5: e46ab29f606ec139fda25e3425845a72
Description-en: Dynamic options for declarative to evaluate at runtime
 This library provides dynamic options for declarative, which is DSL for
 nested generic schemas with inheritance and refining.
 .
 A domain-specific language (DSL) is a computer language specialized to a
 particular application domain.
 .
 This library is a dependency of Representable, which renders and parses
 JSON/XML/YAML documents from and to Ruby objects.

Package: ruby-deep-merge
Description-md5: a032e044559155c37994c9a7ae4bbe4c
Description-en: recursively merge Hash elements in Ruby
 Deep Merge is a simple set of utility functions for Ruby hashes. It allows
 merging elements inside a hash together recursively. Since there is no
 standard for this, the merging is done in an arbitrary way, but should end
 up being pretty intuitive and do what is expected.

Package: ruby-default-value-for
Description-md5: 1b7201e7175e2f4d23b238269cf54996
Description-en: provides a way to specify default values for ActiveRecord models
 The default_value_for plugin allows one to define default values for
 ActiveRecord models in a declarative manner. The readme file can be found at
 /usr/share/doc/ruby-default-value-for/README.md.gz

Package: ruby-delayed-job
Description-md5: 273aecf5bd15bcd12df88b5d54938b4c
Description-en: database-backed asynchronous priority queue system
 Delayed_job (or DJ) encapsulates the common pattern of asynchronously
 executing longer tasks in the background. It is a direct extraction from
 Shopify where the job table is responsible for a multitude of core tasks.

Package: ruby-delayed-job-active-record
Description-md5: 159d84d70104e79f03a2aa50b94a6e88
Description-en: ActiveRecord backend for DelayedJob
 This gem provides an ActiveRecord backend for Delayed::Job, which is a
 Database based asynchronous priority queue system. Delayed Job encapsulates
 the common pattern of asynchronously executing longer tasks in the background.

Package: ruby-delayer
Description-md5: f177c1ac4cb144cc683bca56027d815f
Description-en: Ruby library providing delay the processing
 The delayer library allows you to delay any tasks.
 It is similar to priority-queue mechanism.

Package: ruby-delayer-deferred
Description-md5: 4b570acfa96e0de8697202726041393d
Description-en: Ruby implementation of JSDeferred
 This is an implementation of the JSDeferred in Ruby using Delayer.
 If you use this library, you can use features of the JSDeferred in Ruby.
 In addition, it extends Thread and Enumerator.

Package: ruby-delorean
Description-md5: 5566c4882a58cf82a4fcc1f39c26d57c
Description-en: lets you travel in time with Ruby by mocking Time.now
 Delorean is a smart way to mock Ruby’s Time.now method thereby allowing
 you to travel in time.
 .
 Time-travelling can be extremely useful when you're testing your application.

Package: ruby-dependor
Description-md5: 4080fec29ad7c36e72882d5f65b828c6
Description-en: classes and modules that simplifing dependency injection in Ruby
 Dependor is a set of helpers that make writing Ruby apps that use the
 dependency injection pattern easier. It comes as a set of modules, which you
 can selectively add to your project. It is designed do play nice with Rails
 and similar frameworks.

Package: ruby-descendants-tracker
Description-md5: f7919a9b7f4b92ef73285e5222aec300
Description-en: Ruby module that adds descendant tracking to a class
 This package provides a Ruby module that allows one to track descendants of
 a given class, in a unobtrusive way.

Package: ruby-device-detector
Description-md5: 56c9065150a967ed378d05915112e79d
Description-en: Precise and fast user agent parser and device detector
 Precise and fast user agent parser and device detector, backed by the largest
 and most up-to-date agent and device database.
 .
 DeviceDetector will parse any user agent and detect the browser, operating
 system, device used (desktop, tablet, mobile, tv, cars, console, etc.), brand
 and model. DeviceDetector detects thousands of user agent strings, even from
 rare and obscure browsers and devices.
 .
 The DeviceDetector is optimized for speed of detection, by providing optimized
 code and in-memory caching.

Package: ruby-devise
Description-md5: 731d12d170e4ab0f5ec4caaa710bc623
Description-en: Flexible authentication solution for Rails with Warden
 Devise is Rack based, a MVC solution based on Rails engines, allows one to
 have multiple roles (or models/scopes) signed at the same time, based on a
 modularity concept. It is composed of 12 modules: Database Authenticable,
 Token Authenticable, Omniauthable, Confirmable, Recoverable, Registerable,
 Rememberable, Trackable, Timeoutable, Validatable and Lockable.
 There are a number of extensions to be found at the github homepage of
 devise.

Package: ruby-devise-lastseenable
Description-md5: 111a19f303c80b7efcb710794f874032
Description-en: make devise update last_seen flag on user
 This gem can be used to ensure that devise will update a last_seen flag on the
 model whenever you check if a user is authed.
 .
 Devise is a flexible authentication solution for Rails based on Warden.

Package: ruby-devise-token-authenticatable
Description-md5: f7732bf9b74aaa788a0c7efaf7b8e74b
Description-en: token based authentication for devise
 This gem provides the extracted Token Authenticatable module of devise.
 It enables the user to sign in via an authentication token. This token
 can be given via a query string or HTTP Basic Authentication.

Package: ruby-devise-two-factor
Description-md5: a66a32f60b1d806b616729eb382a022a
Description-en: Barebones two-factor authentication with Devise
 Devise-two-factor is a minimalist extension to Devise which offers support for
 two-factor authentication, through the TOTP scheme. It allows you to
 incorporate two-factor authentication into your existing models.

Package: ruby-diaspora-federation
Description-md5: 8a5c6a35c0a3a5d49a1534b2168a1ce3
Description-en: diaspora* federation library
 This library provides the functionality for de-/serialization and
 de-/encryption of Entities in the protocols used for communication among the
 various installations of Diaspora*

Package: ruby-diaspora-federation-json-schema
Description-md5: 7ee2170cee008a69d1e6ff5509e8b33c
Description-en: diaspora federation json schemas
 This gem provides JSON schemas (currently one schema) for validating JSON
 serialized federation objects. It provides the functionality for
 de-/serialization and de-/encryption of Entities in the protocols used for
 communication among the various installations of diaspora. It's also a
 rails engine that adds the diaspora federation protocol to a rails app.

Package: ruby-diaspora-federation-rails
Description-md5: b67e1ca9ae8dcb71b09484b42db2d7b0
Description-en: diaspora* federation rails engine
 A rails engine that adds the diaspora* federation protocol to a rails app.
 .
 diaspora_federation provides the functionality for de-/serialization and
 de-/encryption of Entities in the protocols used for communication among the
 various installations of diaspora*.

Package: ruby-diaspora-prosody-config
Description-md5: a83115446a451fba6ae9b4c6bb228f97
Description-en: Diaspora Configuration Wrapper For Prosodoy
 This gem maps configuration options from Diaspora to Prosody.
 .
 Prosody is a modern XMPP communication server.

Package: ruby-did-you-mean
Description-md5: 8e7ba9166bdf82ec7a7cb99c1d35cd1e
Description-en: smart error messages for Ruby > 2.3
 This package provides the infrastructure to produce smart error messages on
 Ruby > 2.3.  When an undefined method is called, it will suggest similar
 method names. It will also catch mispellings on known method names, such as
 "initialize", and warn developers about them.
 .
 Starting with version 2.3, the Ruby interpreter will automatically load this
 library on startup.

Package: ruby-diff-lcs
Description-md5: 2b3aaa6ea461ce5785f9b2787dc26058
Description-en: McIlroy-Hunt longest common subsequence algorithm implementation
 Diff::LCS is a port of Algorithm::Diff that uses the McIlroy-Hunt
 longest common subsequence (LCS) algorithm to compute intelligent
 differences between two sequenced enumerable containers. The
 implementation is based on Mario I. Wolczko's Smalltalk version,
 and Ned Konz's Perl version (Algorithm::Diff).

Package: ruby-diff-match-patch
Description-md5: 101112b91ee83b77cafc145121d7db1b
Description-en: diff/match/patch algorithms implemented in Ruby
 The Diff Match and Patch libraries offer robust algorithms to perform the
 operations required for synchronizing plain text.
 .
 This is a Ruby implementation of Google's diff_match_patch in C++ and this
 work was inspired by the diff_match_patch-ruby module, which is a ruby
 binding for C++ version originally created by Google.

Package: ruby-diffy
Description-md5: 185b299dc62ea4a6401c84b321b1f691
Description-en: convenient way to diff strings in Ruby
 Diffy is a Ruby library providing a convenient way to generate a diff
 from two strings or files.
 .
 Instead of reimplementing the LCS diff algorithm, Diffy uses battle tested
 Unix diff to generate diffs, and focuses on providing a convenient interface.

Package: ruby-directory-watcher
Description-md5: 9a9e0c2f9a766adff32ac114e422d456
Description-en: Watch directory/files and Generate events by file change
 The directory watcher operates by scanning a directory at some interval
 and generating a list of files based on a user supplied glob
 pattern. As the file list changes from one interval to the next, events
 are generated and dispatched to registered observers.
 .
 Three types of events are supported: added, modified, and removed.

Package: ruby-dirty-memoize
Description-md5: 51e1ac7fbd36dde00e54253c99d1c6c8
Description-en: Similar to ruby-memoize, but for mutable and parameterizable objects
 The dirty-memoize library can help making your program faster in the
 following cases:
 .
  - You have one expensive method which sets many internal variables,
    thus lazy evaluation of these dependent variables is preferred.
  - The expensive operation depends on one or more parameters
  - Changes to one or more parameters affect all dependent variables
  - You may want to hide the call to the 'compute' operation

Package: ruby-discordrb-webhooks
Description-md5: cb0eb5b384f8c1307139dcc0d2ec5205
Description-en: blackst0ne's fork of webhook client for discordrb
 A client for Discord's webhooks to fit alongside discordrb. This library is a
 fork of discordrb-webhooks to relax hard dependency on rest-client 2.1.0-rc1.
 .
 discordrb is ruby implementation of the Discord (https://discordapp.com) API.

Package: ruby-discourse-diff
Description-md5: b4f279f09507de7bcf83c857f2454ac6
Description-en: Discourse Diff provides inline html diffing for markdown blobs
 This library has been extracted from [Discourse core]
 (https://www.github.com/discourse/discourse)
 .
 This is a inline html diffing engine forked off of Discourse, it includes
 various usage such as Inline diff for single paragraph text, HTML markdown of
 html differences and HTML markdown of markdown differences.

Package: ruby-discriminator
Description-md5: e7bb490b8df7cb09e6a37377acdeb227
Description-en: Gem making smart ActiveRecords by loading subclasses
 Discriminator is a gem which makes ActiveRecord smart about loading subclasses
 from the database.
 .
 Ideally, we'd like to load up these Active Records from the databases, with
 their subclasses already applied with discriminator, otherwise it's become
 cumbersome to determine each type in the database.
 .
 This can be exceptionally helpful for a single table inheritance situation, or
 something like events where there may be a large number of subclasses which
 could have very different behavior per subclass.

Package: ruby-distribution
Description-md5: 0982a9a9e0b95daa604067646cbb0687
Description-en: Ruby library to work with probability distributions
 This Ruby library allows one to generate random variable with a given
 probability distribution, or evaluate classical probability distributions.
 .
 The probability distributions currently implemented include: Normal univariate
 and bivariate, T, F, Chi Square, Binomial, Hypergeometric, Exponential,
 Poisson, Beta, LogNormal and Gamma.
 .
 If available, the library will use Ruby/GSL (from ruby-gsl package) for better
 performance.

Package: ruby-diva
Description-md5: f548475f0f8c6455f86e81973c8bba1b
Description-en: Implementation of expression for handling things for mikutter
 Diva::Model is a common interface of all resources handled by mikutter.
 By handling data as a subclass of Diva::Model as necessary,
 you can obtain a common interface and it is useful for cooperation
 among mikutter plugins.

Package: ruby-dnsruby
Description-md5: f2d87a0da4fc79c434f5a2ba12217017
Description-en: Ruby DNS and DNSSEC client library
 Dnsruby is a pure Ruby DNS client library. It provides a complete DNS
 client implementation, including full DNSSEC support.  Dnsruby is
 currently used in projects such as OpenDNSSEC and ISC's DLV service.

Package: ruby-docile
Description-md5: f822963a1c53b235320b2d1aa4c70669
Description-en: Docile keeps your Ruby DSLs tame and well-behaved
 Docile turns any Ruby object into a DSL. Especially useful with the
 Builder pattern.

Package: ruby-docker-api
Description-md5: 7802e2257c21f6d3af58013397cb15eb
Description-en: Ruby gem to interact with docker.io remote API
 ruby-docker-api gem provides an object-oriented interface to the Docker
 Remote API and a complete client implementation.
 .
 ruby-docker-api is designed to be very lightweight. Almost no state
 is cached (aside from id's which are immutable) to ensure that each
 method call's information is up to date. As such, just about every
 external method represents an API call.

Package: ruby-domain-name
Description-md5: f6603432357fb1ae1e901d39d2d31fbf
Description-en: Domain Name manipulation library for Ruby
 This is a Domain Name manipulation library for Ruby.
 .
 It can also be used for cookie domain validation based on the Public
 Suffix List.

Package: ruby-doorkeeper
Description-md5: 400913ab5fbb7c681cd29ae052be0dd1
Description-en: OAuth 2 provider for Rails and Grape
 Doorkeeper is a gem that makes it easy to introduce OAuth 2 provider
 functionality to your Rails or Grape application.
 .
 Learn more about it from /usr/share/doc/ruby-doorkeeper/README.md

Package: ruby-doorkeeper-i18n
Description-md5: 6f8e27137b73d638f62f0a0ec640cf4f
Description-en: Translations for doorkeeper rubygem
 This gem intends for translations for doorkeeper rubygem.
 .
 Doorkeeper is a gem (Rails engine) that makes it easy to introduce OAuth 2
 provider functionality to your Ruby on Rails or Grape application.

Package: ruby-doorkeeper-openid-connect
Description-md5: ee1b315c05859ce54f1a6f5cda9c087d
Description-en: OpenID Connect extension for Doorkeeper
 This library implements an OpenID Connect authentication provider for Rails
 applications on top of the Doorkeeper OAuth 2.0 framework.
 .
 OpenID Connect is a single-sign-on and identity layer with a growing list of
 server and client implementations.

Package: ruby-dotenv
Description-md5: c98720d54d2e1f938a5b9d83ecc7ea09
Description-en: configuration variable loader
 Like the gem "foreman", dotenv will automagically load variables from .env,
 but doesn't put them in the Procfile. Instead they are accessible via ENV.
 Thus it can also be used when running an application manually, using the
 interactive console, or running a Rake task.

Package: ruby-dry-configurable
Description-md5: 7fa080320a73dd5bb09d6216ad8bd0b1
Description-en: Simple mixin to make Ruby classes configurable
 dry-configurable is a simple mixin to add thread-safe configuration
 behaviour to your classes. There are many libraries that make use of
 configuration, and each seemed to have their own implementation with
 a similar or duplicate interface, so it was strange that
 this behaviour had not already been encapsulated into a reusable gem,
 hence dry-configurable was born.

Package: ruby-dry-container
Description-md5: 5d142d8ec4de56de8df4f537d180ccbe
Description-en: Simple, configurable object container implemented in Ruby
 dry-container is a simple, thread-safe container, intended to be one half
 of a dependency injection system, possibly in combination with dry-auto_inject.
 .
 At its most basic, dependency injection is a simple technique that makes it
 possible to implement patterns or principles of code design that rely on object
 composition, such as the SOLID principles.

Package: ruby-dry-core
Description-md5: 6a12327b9a828af207b46e94414dfc24
Description-en: Toolset of small support modules used throughout the dry-rb ecosystem.
 dry-core is a simple toolset that can be used in many places.
 .
 It allows you to cache call results that are solely determined
 by arguments. And also provides a list of constants you can use
 to avoid memory allocations or identity checks.

Package: ruby-dry-equalizer
Description-md5: 92ffe91f1d39a005dd7ec7b3247dd127
Description-en: Define equality, equivalency and hash methods automatically
 dry-equalizer is a simple mixin that can be used to add instance variable
 based equality, equivalence and inspection methods to your objects.
 .
 This library officially supports MRI >= 2.4 and jruby >= 9.2

Package: ruby-dry-inflector
Description-md5: 1ec637973994df4c22143f2172dd24c9
Description-en: Inflector for Ruby
 dry-inflector is an inflector gem for Ruby, which provides a configurable
 inflector object, rather than using a singleton.
 .
 It started with the extlib inflecto originated from active_support, then
 dm-core inflector originated from extlib. Later, inflecto was extracted
 from dm-core as a standalone inflector. Now, we resurrect inflecto and
 merged flexus, with some inflection rules from hanami-utils.
 This is dry-inflector.

Package: ruby-dry-logic
Description-md5: c61bf492e0154e650594469c21f97b83
Description-en: Predicate logic with rule composition
 Predicate logic and rule composition used by:
 - dry-types for constrained types.
 - dry-validation for composing validation rules.

Package: ruby-dry-types
Description-md5: 8dab59ed65b742d7b29500678e78637b
Description-en: Flexible type system for Ruby with coercions and constraints
 dry-types is a simple and extendable type system for Ruby; useful for value
 coercions, applying constraints, defining complex structs or value objects
 and more.
 .
 It was created as a successor to Virtus.

Package: ruby-e2mmap
Description-md5: fd26e86113b068686903d584255217ff
Description-en: define custom exceptions with specific messages
 This package provides the e2mmap gem, which supports defining custom
 exceptions using predefined messages.
 .
 This gem was split out from Ruby core in Ruby 2.7

Package: ruby-eb
Description-md5: 1e8ba91913c9d4eab28e88dea7ef83ba
Description-en: EB library interface for the Ruby
 Extension Library for EB Library
 <URL:http://www.sra.co.jp/people/m-kasahr/eb/> which is for accessing
 CD-ROM books(EPWING/EB etc.).

Package: ruby-ecasound
Description-md5: c63c542f9b89193645497e84f6f99e1d
Description-en: multitrack-capable audio recorder and effect processor (ruby bindings)
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides ecasound's Ruby bindings.

Package: ruby-ed25519
Description-md5: 80c5f2c74d627c5b952c538d442f3057
Description-en: efficient digital signature library providing the Ed25519 algorithm
 A Ruby binding to the Ed25519 elliptic curve public-key signature system
 described in RFC 8032.
 .
 Ed25519 is a modern implementation of a Schnorr signature system using
 elliptic curve groups.
 .
 Ed25519 provides a 128-bit security level, that is to say, all known attacks
 take at least 2^128 operations, providing the same security level as AES-128,
 NIST P-256, and RSA-3072.

Package: ruby-eim-xml
Description-md5: 7db3f28a0fa75d0827e91a021fc0be37
Description-en: Easy IMplemented XML by Ruby
 EimXML is a library for constructing XML objects by Ruby.

Package: ruby-ejs
Description-md5: 66effabad1866fe3cffbac10a51f43e9
Description-en: EJS (Embedded JavaScript) template compiler
 Compile and evaluate EJS (Embedded JavaScript) templates from Ruby.
 EJS templates embed JavaScript code inside <% ... %> tags, much
 like ERB. This library is a port of Underscore.js's _.template
 function to Ruby, and strives to maintain the same syntax and semantics.

Package: ruby-elasticsearch
Description-md5: aeb9403d2981fcc12d8ff9f95741806a
Description-en: Ruby client for connecting to an Elasticsearch cluster
 Elasticsearch is a distributed RESTful search engine built for the cloud.
 .
 This package implements a client for connecting to an Elasticsearch
 cluster via the Elasticsearch REST interface.

Package: ruby-elasticsearch-api
Description-md5: 98e11dc573dd6bdf708db96c882b9f38
Description-en: Ruby implementation of the Elasticsearch REST API
 Elasticsearch is a distributed RESTful search engine built for the cloud.
 .
 This package provides a Ruby implementation of the Elasticsearch
 REST API. It is normally used as a support module for the
 ruby-elasticsearch package.

Package: ruby-elasticsearch-model
Description-md5: d88b60e9870346d12652cabcb07623a7
Description-en: ActiveModel/Record integrations for Elasticsearch
 The elasticsearch-model library builds on top of the elasticsearch library. It
 aims to simplify integration of Ruby classes ("models"), commonly found e.g.
 in Ruby on Rails applications, with the Elasticsearch search and analytics
 engine.

Package: ruby-elasticsearch-transport
Description-md5: 7afdaa66407b9dd91e4e0585934e2ab4
Description-en: low-level Ruby client for connecting to Elasticsearch
 Elasticsearch is a distributed RESTful search engine built for the cloud.
 .
 This package provides a low-level Ruby client for connecting to
 an Elasticsearch cluster. It is normally used as a support module for
 the ruby-elasticsearch package.

Package: ruby-em-http-request
Description-md5: 6c7c8633d845d9a2acc4542477916753
Description-en: EventMachine based, async HTTP Request client
 em-http-client is an asynchronous HTTP client based on EventMachine
 with support for:
 .
  * Asynchronous HTTP API for single & parallel request execution
  * Keep-Alive and HTTP pipelining support
  * Auto-follow 3xx redirects with max depth
  * Automatic gzip & deflate decoding
  * Streaming response processing
  * Streaming file uploads
  * HTTP proxy and SOCKS5 support
  * Basic Auth & OAuth
  * Connection-level & Global middleware support

Package: ruby-em-mongo
Description-md5: aeccf739bd1f173afe218d53dcb428ce
Description-en: Ruby EventMachine driver for MongoDB
 An EventMachine client for MongoDB. Originally based on RMongo, this client
 aims to be as API compatible with ruby-mongo driver as possible.
 For methods that do not retrieve data from the database the API of em-mongo
 should be identical (though a subset) to the ruby-mongo driver.

Package: ruby-em-redis
Description-md5: 5dbf4e770f614018661164b6851582f3
Description-en: eventmachine-based implementation of the Redis protocol
 Modeled after eventmachine's implementation of the memcached protocol,
 and influenced by Ezra Zygmuntowicz's redis-rb library (distributed as
 part of Redis).
 .
 This library is only useful when used as part of an application that
 relies on Event Machine's event loop.  It implements an EM-based client
 protocol, which leverages the non-blocking nature of the EM interface
 to achieve significant parallelization without threads.

Package: ruby-em-socksify
Description-md5: f08744b60912304e54459ceaf2d31803
Description-en: EventMachine SOCKSify shim: adds SOCKS support to any protocol
 Dealing with SOCKS proxies is pain. EM-Socksify provides a simple shim to
 setup & negotiate a SOCKS5 connection for any EventMachine protocol. To add
 SOCKS support, all you have to do is include the module and provide your
 destination address.

Package: ruby-em-spec
Description-md5: 8bf590e490c1622e274dae9bcb231441
Description-en: BDD for Ruby/EventMachine
 Simple BDD API for testing asynchronous Ruby/EventMachine code.
 .
 em-spec can be used with either bacon, test unit or rspec.
 .
 See /usr/share/doc/ruby-em-spec/README.rdoc for more details on
 how to use it with your code.

Package: ruby-em-synchrony
Description-md5: cd0298282387f2a9c4099a4ebfc062d1
Description-en: fiber aware EventMachine libraries
 Collection of convenience classes and primitives to help untangle evented
 code, plus a number of patched EM clients to make them Fiber aware.
 .
  * Fiber aware ConnectionPool with sync/async query support
  * Fiber aware Iterator to allow concurrency control & mixing of sync / async
  * Fiber aware async inline support: turns any async function into sync
  * Fiber aware Multi-request interface for any callback enabled clients
  * Fiber aware TCPSocket replacement, powered by EventMachine
  * Fiber aware Thread, Mutex, ConditionVariable clases
  * Fiber aware sleep, defer, system

Package: ruby-em-websocket
Description-md5: e68bd7fdd26110823e1c1e565e02ec07
Description-en: EventMachine based, async, Ruby WebSocket server
 It is an async Ruby based Websocket server which is based on EventMachine
 which supports all websocket protocols
 .
 This library is a dependency of Jekyll; a simple, blog aware,
 static site generator.

Package: ruby-email-reply-parser
Description-md5: 8bb8606e3baa9e61a4143aa5127936ea
Description-en: Small library to parse plain text email content
 EmailReplyParser is a small library to parse plain text email
 content. GitHub uses this library to display comments that were
 created from email replies.

Package: ruby-email-reply-trimmer
Description-md5: db44df6ba14e38b949976862d7a67f41
Description-en: Library to trim replies from plain text email
 Email Reply Trimmer is a very small ruby library developed by discourse team
 which used to trim replies from plain text email. Different parts such as
 header, body, quoted only messages can be trimmed independently.

Package: ruby-email-spec
Description-md5: 064c447f2da1de9f51866d0d6deefad9
Description-en: easily test email in rspec and cucumber and minitest
 A collection of matchers for RSpec/MiniTest and Cucumber steps to make testing
 emails go smoothly.
 .
 This library works with ActionMailer and Pony. When using it with ActionMailer
 it works with DelayedJob, ActiveRecord Mailer and action_mailer_cache_delivery.

Package: ruby-email-validator
Description-md5: b25a8fe152ba965a6c40e052fd317342
Description-en: email validator for Rails 3+
 This library provides an email address validator for the Ruby On Rails
 framework based on a regular expression match.

Package: ruby-emot
Description-md5: 45f203e4dcff7c71ca8531b6805e7a56
Description-en: Yet another emoji handler
 Yet another emoji handler for Ruby. It contains 870 emojis with its
 name and codepoint, but not contains emoji fonts or images.
 .
 All names of emojis are from "Emoji cheat sheet for Campfire and GitHub"
 - http://www.emoji-cheat-sheet.com/

Package: ruby-encryptor
Description-md5: f865388151d5d2e91cbd4ea52cef4cf6
Description-en: wrapper for the standard Ruby OpenSSL library
 A simple wrapper for the standard ruby OpenSSL library to encrypt and decrypt
 strings. Intended to be used along with attr_encrypted gem to easily encrypt/
 decrypt attributes in any class or model.

Package: ruby-entypo-rails
Description-md5: c60405b04e26e50fd8846c200bf1327c
Description-en: rails asset pipeline plugin providing Entypo icons
 Rails asset pipeline plugin and CSS mappings for the Entypo pictograms by
 Daniel Bruce http://www.entypo.com
 .
 Entypo fonts are available via fonts-entypo package. ttf, woff, eot and svg
 formats are available.

Package: ruby-enum
Description-md5: 3e78f38d72cac67c39388dc872323748
Description-en: Enum-like behavior for Ruby
 Enums can be defined and accessed either as constants or class methods.
 .
 All Enumerable methods and hash-like methods are supported.

Package: ruby-enumerable-statistics
Description-md5: 4b17dc30cbf4e9daa46e6797ca374566
Description-en: Statistics features for Enumerable
 Enumerable::Statistics provides some methods to calculate statistical summary
 in arrays and enumerables.
 .
 The following methods are supplied by this library:
  - `Array#mean`, `Enumerable#mean` - Calculates a mean of values in an array
    or an enumerable
  - `Array#variance`, `Enumerable#variance` - Calculates a variance of values
    in an array or an enumerable
  - `Array#stdev`, `Enumerable#stdev` - Calculates a standard deviation of
    values in an array or an enumerable
  - `Array#mean_variance`, `Enumerable#mean_variance` - Calculates a mean and a
    variance simultaneously
  - `Array#mean_stdev`, `Enumerable#mean_stdev` - Calculates a mean and a
    standard deviation simultaneously
  - `Array#median` - Calculates a median of values in an array
  - `Array#percentile(q)` - Calculates a percentile or percentiles of values
    in an array
  - `Array#value_counts`, `Enumerable#value_counts`, and `Hash#value_counts` -
    Count how many items for each value in the container
  - `Array#histogram` - Calculate histogram of the values in the array

Package: ruby-enumerize
Description-md5: 9e5c1ff1ea74640b0d9a93612c4f0975
Description-en: enumerated attributes with internationalisation
 This library implements enumerated attributes, with internationalisation
 support, to provide translation of the attributes in various languages.
 .
 Enumerize can be integrated with ActiveRecord, Mongoid and MongoMapper.

Package: ruby-equalizer
Description-md5: d66483954927059e4301c2631707379a
Description-en: Ruby module to define equality, equivalence and inspection methods
 Define equality, equivalency and hash methods automatically. When you create
 an equalizer object you can use all these methods automatically.
 .
 See /usr/share/doc/ruby-equalizer/README.md for a complete example.

Package: ruby-equatable
Description-md5: f441e795caea7d18d8edbdf6184b5fe4
Description-en: extension of objects with equality comparison and inspection methods
 This library extends Ruby objects with equality comparison and inspection
 methods.
 .
 By including this module, a class indicates that its instances have
 explicit general contracts for `hash`, `==` and `eql?` methods.

Package: ruby-errbase
Description-md5: 7ef95991aee650fbaf9e6b3485c10c1a
Description-en: Common exception reporting for a variety of services
 A common exception reporting library for a variety of services.
 .
 Libraries are automatically detected. It supports rollbar,
 airbrake, exceptional, honeybadger, sentry, raygun, bugsnag,
 appsignal, opbeat.

Package: ruby-erubi
Description-md5: 20609cfdda10dfaa241630e66e635605
Description-en: Small ERB Implementation
 Erubi is a ERB template engine for ruby. It is a simplified fork of Erubis,
 using the same basic algorithm, with the following differences:
 .
  * Handles postfix conditionals when using escaping (e.g. <tt><%= foo if bar
    %></tt>)
  * Supports frozen_string_literal: true in templates via :freeze option
  * Works with ruby's --enable-frozen-string-literal option
  * Automatically freezes strings for template text when ruby optimizes it (on
    ruby 2.1+)
  * Escapes ' (apostrophe) when escaping for better XSS protection
  * Has 6x faster escaping on ruby 2.3+ by using cgi/escape
  * Has 86% smaller memory footprint
  * Does no monkey patching (Erubis adds a method to Kernel)
  * Uses an immutable design (all options passed to the constructor, which
    returns a frozen object)
  * Has simpler internals (1 file, <150 lines of code)

Package: ruby-erubis
Description-md5: c5bd692a5d82df46c85d7e951be86e33
Description-en: fast and extensible eRuby implementation which supports multi-language
 Erubis is a very fast eRuby implementation that features:
  * Multi-language support (Ruby/PHP/C/Java/Scheme/Perl/Javascript)
  * Auto escaping support
  * Auto trimming spaces around '<% %>'
  * Embedded pattern changeable (default '<% %>')
  * Enable to handle Processing Instructions (PI) as embedded pattern
  * Context object available and easy to combine eRuby template with YAML file
  * Print statement available
  * Easy to extend and customize in subclass
  * Ruby on Rails support

Package: ruby-escape
Description-md5: 50a269f2853538c1912700a5440dfa44
Description-en: HTML/URI/shell escaping utilities for Ruby
 For Ruby programmers, this utility provides several escaping functions
 for HTML, URI, and shell command line handling. This library is an full
 replacement for Ruby internal escaping Shellwords module.

Package: ruby-escape-utils
Description-md5: 3fe5878f0dce8395b075332264365b94
Description-en: Faster string escaping routines for your web apps
 escape_utils provides fast HTML escaping of string.  It has
 monkey-patches for Rack::Utils, CGI, URI, ERB::Util and Haml and
 ActionView which speed up their escaping.
 .
 It's assumed that all input is UTF-8 encoded.

Package: ruby-espeak
Description-md5: 662be604ba5a4571ee6c1331ffbeb400
Description-en: small Ruby API to create Text-To-Speech mp3 files
 This package contains espeak-ruby, a small Ruby API for utilizing ‘espeak’ and
 ‘lame’ to create Text-To-Speech mp3 files.

Package: ruby-et-orbi
Description-md5: a8c8cd164feeb485643da8a19cd54b11
Description-en: Time zones for fugit and rufus-scheduler
 EtOrbi::EoTime instances quack like Ruby Time instances, but their #zone
 returns a TZInfo::TimeZone instance.
 .
 If Rails is present, Time.zone is provided and EtOrbi will use it, unless
 ENV['TZ'] is set to a valid timezone name. Setting ENV['TZ'] to nil can
 give back precedence to Time.zone.
 .
 Rails sets its timezone under config/application.rb.

Package: ruby-ethon
Description-md5: 1fd3abf2673ec47a026fb605210fc24e
Description-en: libcurl wrapper using ffi
 Very lightweight libcurl wrapper.
 .
 In Greek mythology, Ethon, the son of Typhoeus and Echidna, is a gigantic
 eagle. So much for the history. In the modern world, Ethon is a very basic
 libcurl wrapper using ffi

Package: ruby-eventmachine
Description-md5: b0867f6a3f997a5296a1c28872dbe88c
Description-en: Ruby/EventMachine library
 EventMachine implements a fast, single-threaded engine for arbitrary network
 communications. It's extremely easy to use in Ruby. EventMachine wraps all
 interactions with IP sockets, allowing programs to concentrate on the
 implementation of network protocols. It can be used to create both network
 servers and clients. To create a server or client, a Ruby program only needs
 to specify the IP address and port, and provide a Module that implements the
 communications protocol. Implementations of several standard network protocols
 are provided with the package, primarily to serve as examples. The real goal
 of EventMachine is to enable programs to easily interface with other programs
 using TCP/IP, especially if custom protocols are required.

Package: ruby-exception-notification
Description-md5: 4154f6e85a32bc1dc515eb9387571ac4
Description-en: exception notification support for Rails and Rack apps
 Using this package, Rails or Rack applications can be configured can be
 configured to send notifications about any unhandled exceptions raised by the
 application. Notifications can be sent via email, IRC, HTTP, and other means.

Package: ruby-excon
Description-md5: 667bd3b41e57f05e38601d9fe98c4da7
Description-en: Ruby library for creating fast, persistent, HTTP(S) connections
 Ruby library for creating fast HTTP(S) connections, it supports:
  * GET/POST/PUT/DELETE/HEAD/TRACE/OPTIONS/CONNECT methods via HTTP/1.1
  * HTTPS (SSL) connections
  * Proxy and keep-alive connections
  * Multitreading and streaming download

Package: ruby-execjs
Description-md5: be9f0afe115e586be364c95037c6944e
Description-en: Run JavaScript code from Ruby
 ExecJS lets you run JavaScript code from Ruby. It can use several different
 JavaScript runtimes..
 .
 This package is used by others (e.g. ruby-uglifier and ruby-coffee-script) to
 run code written in JavaScript.

Package: ruby-exif
Description-md5: 43b14777dbb04f1868f25309a6449339
Description-en: Ruby library for EXIF tag parsing
 ruby-exif is a library for parsing, editing, and saving EXIF data.
 You can retrieve useful information from EXIF tags contained in
 images created by recording equipments(for example, digital camera).
 .
 ruby-exif provides a simple interface to this library.

Package: ruby-expression-parser
Description-md5: 9f0403bfc7ef0a32af8e48ff6ab5bf08
Description-en: Mathematical expression parser for Ruby
 Implementation of a parser for mathematical expressions. Introducing the
 Parser class which parses raw string input and gives mathematical objects as
 output.
 .
 Inspired by http://lukaszwrobel.pl/blog/math-parser-part-3-implementation .

Package: ruby-expression-parser-doc
Description-md5: 4a232ab042aac7371ef64dbcf1cbbdfb
Description-en: mathematical expression parser for Ruby (documentation)
 Implementation of a parser for mathematical expressions. Introducing the
 Parser class which parses raw string input and gives mathematical objects as
 output.
 .
 Inspired by http://lukaszwrobel.pl/blog/math-parser-part-3-implementation .
 .
 This package contains the documentation for ruby-expression-parser.

Package: ruby-extlib
Description-md5: d9f4f5b6fcaf78ff7c1771c0fd865a48
Description-en: general Ruby class extensions for DataMapper and Merb
 This library provides a number of lightweight Ruby class extensions
 extracted from DataMapper. Examples include a Mash class, which is a
 Hash with indifferent access and an added blank? method to most
 standard variable classes.

Package: ruby-eye
Description-md5: 1bddb67d8b8159402ebf2327d4c39b3b
Description-en: process monitoring tool
 Inspired from Bluepill and God. god and bluepill causes bugs (segfaults,
 crashes, lost processes, kill not-related processes, load problems, deploy
 problems, ...)
 .
 eye is something more robust and production stable. It has features of
 bluepill and god, with a few extras like chains, nested configuring, mask
 matching, easy debug configs

Package: ruby-facade
Description-md5: 5ea65e61044faf8c09cdfe1de0914e99
Description-en: facade pattern aide for Ruby
 The facade library allows you to mixin singleton methods from classes
 or modules as instance methods of the extending class.
 .
 The facade method will forward a singleton method as an instance method of the
 extending class. If no arguments are provided, then all singleton methods of
 the class or module become instance methods.

Package: ruby-factory-bot
Description-md5: 10cf18f024471303d58411c1e34649d1
Description-en: Framework and DSL for defining and using model instance factories
 factory_bot provides a fixtures replacement with a straightforward definition
 syntax, support for multiple build strategies (saved instances, unsaved
 instances, attribute hashes, and stubbed objects), and support for multiple
 factories for the same class (user, admin_user, and so on), including factory
 inheritance.

Package: ruby-factory-bot-rails
Description-md5: 2648fb65e28f1f3f1be2301d2805c56f
Description-en: provides integration between factory_bot and rails 5.2+
 factory_bot_rails provides integration for factory_bot in rails 5.2 and newer.
 .
 Currently, the only Rails-specific feature is automatic factory definition
 loading.

Package: ruby-factory-girl
Description-md5: 68885d9bd7bca7c4cd95fd2def5fb34f
Description-en: framework and DSL for defining and using model instance factories
 factory_girl provides a framework and DSL for defining and
 using factories - less error-prone, more explicit, and
 all-around easier to work with than fixtures.

Package: ruby-factory-girl-rails
Description-md5: 8154baad77f59c386c439302c8f67f5f
Description-en: provides integration between factory_girl and rails 3.2+
 factory_girl_rails provides integration for factory_girl in rails 3.2 and
 4.x.
 .
 Currently, the only Rails-specific feature is automatic factory definition
 loading.

Package: ruby-fakefs
Description-md5: 971e23953085c02bdd553a6ad359cfcc
Description-en: Fake file system to be used in unit tests
 FakeFS allows you to unit-test file system calls without actually touching the
 file system. File, Dir and FileUtils calls are redirected to a fake file system
 without requiring to use any mocking library thus making it faster and easier
 to test file system calls.

Package: ruby-faker
Description-md5: e41e9b63f411a351fe4dfe1e388bbae6
Description-en: easily generate fake data
 This gem provides a port of Data::Faker from Perl, which can be used to easily
 generate fake data: names, addresses, phone numbers, etc. It comes in very
 handy for taking screenshots, having real-looking test data, and having your
 database populated with more than one or two records while you're doing
 development.

Package: ruby-fakeredis
Description-md5: 178fa4ab06e99e383c5bd30aa310ee4f
Description-en: In-memory driver for redis-rb, useful for development and test environments
 ruby-fakeredis library is a fake(In-memory) implementation of redis-rb,
 a Ruby client that tries to match Redis' API one-to-one, while
 still providing an idiomatic interface for machines without
 Redis or test environments.

Package: ruby-fakeweb
Description-md5: 95bdeff14550fa5651346cdd6da79959
Description-en: tool for faking responses to HTTP requests
 FakeWeb is a helper for faking web requests in Ruby.
 It works at a global level, without modifying code or
 writing extensive stubs.

Package: ruby-faraday
Description-md5: 063f5a0a192ff06d0dfabc90fc24e78a
Description-en: HTTP/REST API client library
 Faraday is an HTTP client lib that provides a common
 interface over many adapters (such as Net::HTTP) and embraces the
 concept of Rack middleware when processing the request/response cycle.

Package: ruby-faraday-cookie-jar
Description-md5: 5c283e8f156844560f7098ffa96e571f
Description-en: Manages client-side cookie jar for Faraday HTTP client
 Cookie jar middleware for Faraday
 .
 This library is a piece of Faraday middleware that adds client-side Cookies
 management, using http-cookie library.

Package: ruby-faraday-middleware
Description-md5: 3906b27c32f5a579f3021197beb72872
Description-en: various middleware for Faraday HTTP/REST library
 It supports various request types like Json, Oauth and Oauth2
 and response types like Json, XML and YAML.
 .
 It also support caching with hashie and rash.

Package: ruby-faraday-middleware-multi-json
Description-md5: 9a3b9bd98148cc6efbab415d4df75304
Description-en: response JSON parser using MultiJson and FaradayMiddleware
 ruby-faraday-middleware-multi-json is a simple Faraday middleware that uses
 MultiJson to unobtrusively encode JSON requests and parse JSON responses.

Package: ruby-fast-blank
Description-md5: 335198923998d12598a51c1864acc241
Description-en: Fast String blank? implementation
 fast_blank is a simple extension which provides a fast implementation of
 active support's string#blank? function.
 .
 This implementation is about 5-9x faster than current active support, on
 the developers machine (your mileage may vary).

Package: ruby-fast-gettext
Description-md5: eaf8a27d28de403a9afb9e52f48711b3
Description-en: Fast GetText implementation for Ruby
 FastGettext provides fast, memory-efficient, and thread-safe
 internationalization API similar to GNU GetText. In addition to performance
 improvements over original Ruby GetText, its capabilities include support for
 multiple backends and advanced extensibility. Translations can be loaded from
 po, mo, yaml files or, if ActiveRecord is available, from databases.

Package: ruby-fast-stemmer
Description-md5: 4f622491ca5647beb31e79e62352e3b1
Description-en: Fast Porter stemmer based on a C version of algorithm for Ruby
 Fast-stemmer is simply a wrapping around multithreaded Porter stemming
 algorithm.
 .
 This gem adds a String::stem method, and it's in order of magnitude
 faster (and uses much less memory) than the pure Ruby implementation of
 stemmer.

Package: ruby-fast-xs
Description-md5: 2fcf0262e331151ab45b766740b02399
Description-en: ruby extension for escaping text
 fast_xs escapes text so it can be embedded more directly into XML and
 HTML without having to deal with character set issues.

Package: ruby-fastimage
Description-md5: 2beb8a51e6ccec125f29c64fc3354254
Description-en: Ruby library for finding the size or type of image with minimal dependency
 FastImage does this minimal fetch for image types GIF, JPEG, PNG, TIFF,
 BMP and PSD.
 .
 And it doesn't rely on installing external libraries such as RMagick
 (which relies on ImageMagick or GraphicsMagick) or ImageScience (which
 relies on FreeImage).
 .
 You only need supply the uri, and FastImage will do the rest.

Package: ruby-faye
Description-md5: 34a588bfa9cc009559a94ff2d12bbdce
Description-en: Simple pub/sub messaging for the web
 Faye is a set of tools for simple publish-subscribe messaging between web
 clients.
 .
 It ships with easy-to-use message routing servers for Node.js and Rack
 applications, and clients that can be used on the server and in the browser.

Package: ruby-faye-websocket
Description-md5: 36a6e8b0dddf758dd539c0477674a31f
Description-en: Standards-compliant WebSocket client and server
 This is a general-purpose WebSocket implementation extracted from the Faye
 project. It provides classes for easily building WebSocket servers and clients
 in Ruby. It does not provide a server itself, but rather makes it easy to
 handle WebSocket connections within an existing Rack application. It does not
 provide any abstraction other than the standard WebSocket API.
 .
 It also provides an abstraction for handling EventSource connections, which
 are one-way connections that allow the server to push data to the client. They
 are based on streaming HTTP responses and can be easier to access via proxies
 than WebSockets.

Package: ruby-fcgi
Description-md5: 8d7d812a7b0352a71f8b0f412607d0c3
Description-en: FastCGI library for Ruby
 FastCGI is a language independent, scalable, open extension to CGI that
 provides high performance without the limitations of server specific APIs.
 For more information, see http://www.fastcgi.com/.
 .
 This merges matz's C version(fcgi.so) & Eli's pure ruby version(fastcgi.rb)

Package: ruby-feature
Description-md5: de83e42bfe25a1681b41345323bfc18f
Description-en: Feature Toggle library for ruby
 The feature toggle functionality has to be configured by feature
 repositories. A feature repository simply provides lists of active
 features (symbols!). Unknown features are assumed inactive.
 .
 With this approach Feature is highly configurable and not bound to a
 specific kind of configuration.

Package: ruby-feedparser
Description-md5: e2606090a5da9cfaf6cdcd77ef8a6e48
Description-en: Ruby library to parse ATOM/RSS feeds
 Ruby-feedparser is a library allowing Ruby developers to parse RSS and
 ATOM feeds.

Package: ruby-ferret
Description-md5: 8d1deb5dccac860a96fb4400e85e1667
Description-en: full text search engine library for Ruby
 Ferret is a high-performance, full-featured text search engine library written
 for Ruby, inspired by the Java Lucene Project.

Package: ruby-ffaker
Description-md5: 3d9270fde17f03723d6e6a3d8a0e3c84
Description-en: ffaker generates dummy data
 ffaker is a fork of faker, and was initially written in an effort to speed up
 a slow spec suite. Since those days faker has also been rewritten and the
 "speed" factor is probably irrelevant now. Bear in mind, if your spec suite
 is slow, chances are the generation of random data will probably not account
 for much of the run time.

Package: ruby-ffi
Description-md5: 39d5857b60bcd7ce53644c19f3e81d27
Description-en: load dynamic libraries, bind functions from within ruby code
 Ruby-FFI is a ruby extension for programmatically loading dynamic
 libraries, binding functions within them, and calling those functions
 from Ruby code. Moreover, a Ruby-FFI extension works without changes
 on Ruby and JRuby. Discover why should you write your next extension
 using Ruby-FFI at https://github.com/ffi/ffi/wiki/Why-use-FFI.

Package: ruby-ffi-bit-masks
Description-md5: ce8875108f60049e0ad0fab28f82cbaa
Description-en: Adds support for bit-masked types in FFI
 FFI plugin which adds support for bitmasked types (or flags) to FFI. This
 plugin can map a Hash of flags to their bitmask value; and also an Integer
 bitmask to a Hash of flags.

Package: ruby-ffi-compiler
Description-md5: ccf4563f087802850d15762bf191265a
Description-en: Automating compilation of native libraries
 ffi-compiler is a ruby library for automating compilation
 of native libraries for use with ffi.
 .
 To use, define your own ruby->native API using ffi, implement
 it in C, then use ffi-compiler to compile it.

Package: ruby-ffi-libarchive
Description-md5: 2e84641c9dd802d00201f686209a3af8
Description-en: Ruby FFI binding to libarchive
 This library provides Ruby FFI bindings to the well-known libarchive library
 (https://github.com/libarchive/libarchive).

Package: ruby-ffi-rzmq
Description-md5: 481b3978c70f3eaba77558b4c592d9d0
Description-en: FFI based Ruby bindings for ZeroMQ (ØMQ) networking library
 ØMQ is a library which extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products.
 .
 ØMQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This module wraps ØMQ using Ruby FFI (foreign function interface). It is a
 pure Ruby wrapper so it can be used with any Ruby runtime that supports FFI,
 including MRI, Rubinius and JRuby.

Package: ruby-ffi-rzmq-core
Description-md5: 55987871766370f43a1d08826a53788c
Description-en: FFI wrapper around the ZeromMQ (ØMQ) networking library for Ruby
 ØMQ is a library which extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware products.
 .
 ØMQ sockets provide an abstraction of asynchronous message queues, multiple
 messaging patterns, message filtering (subscriptions), seamless access to
 multiple transport protocols and more.
 .
 This package contains only the FFI wrapper for the ZeroMQ (0mq) networking
 library. It has been split from ruby-ffi-rzmq so that it can be used by any
 other ZeroMQ Ruby library that want to provide their own high-level Ruby API.

Package: ruby-ffi-yajl
Description-md5: c24fc379d76f078653cdae1bd5b7531b
Description-en: Ruby FFI wrapper around YAJL 2.x
 ffi-yajl is a Ruby adapter for the yajl JSON parser/generator library.  It
 supports multiple Ruby C extension mechanisms, including both MRI native
 extensions and FFI in order to be compatible with as many Ruby implementations
 as possible while providing good performance where possible.

Package: ruby-fftw3
Description-md5: a3fd08754b40b131518f1b4b62b88cfe
Description-en: Ruby interface to the FFTW Ver.3 library
 Ruby-FFTW3 is the Ruby interface to the FFTW Ver.3 library.
 Features:
   - Use NArray, which is an efficient multi-dimensional numeric array
     class for Ruby
   - Multi-dimensional complex FFT.(Real data are coerced to complex)
   - Supports both double and single float transforms.
   - Not normalized as in FFTW

Package: ruby-file-tail
Description-md5: 7a66adb1de55f4740d0342843f33631a
Description-en: Ruby library for following still-growing files
 Small ruby library that allows it to "tail" files in Ruby, including
 following a file that still is growing, like the UNIX command 'tail
 -f' can.

Package: ruby-filepath
Description-md5: 5be2f85200c87a61ec2527e680b152c0
Description-en: small library to manipulate paths
 filepath provides the FilePath class: a modern replacement for the standard
 Pathname class.
 .
 The FilePath class provides immutable objects with dozens of convenience
 methods for common operations such as calculating relative paths,
 concatenating paths, finding all the files in a directory or modifying
 all the extensions of a list of filenames at once.

Package: ruby-filesystem
Description-md5: b7b282c32c5a62f692d613200db0a8b3
Description-en: Ruby extension for file-system information
 This is a Ruby extension for file-system information,
 using the 'statvfs' and 'getmntent' system calls.

Package: ruby-fission
Description-md5: c8a4b3eec89d706c07732aa62441f6ac
Description-en: Command line tool to manage VMware Fusion VMs
 A simple utility to manage VMware Fusion VMs from the command line.
 .
 This include options to clone, delete, start VMs and take snapshots.
 .
 See /usr/share/doc/ruby-fission/README.md.gz for all options.

Package: ruby-fix-trinity-output
Description-md5: 3f69f71932ea2f46698f4c8c3290ad8e
Description-en: Fix output fastq files from trinityrnaseq
 trinityrnaseq outputs fastq files that have been 'corrected'
 .
 Unfortunately these files are no longer properly paired and therefore can't be
 used in most aligners such as bowtie2 and snap-aligner. This script attempts
 to fix that and produce 2 properly paired fastq files and a fastq file
 containing single reads

Package: ruby-fixwhich
Description-md5: 10fa122b2c915d34aa352b18e4b54939
Description-en: ruby module that emulates the 'which' program
 Searches the path, or a provided path with given separators (path_sep,
 commonly “:”) and a separator for directories (dir_sep, commonly “/”), will
 return all of the places that filename occurs. `filename' is included as a
 part of the output.
 .
 Note that the filename must both exist in the path and be executable for it to
 appear in the return value.

Package: ruby-flexmock
Description-md5: d80473adead9dbec62b78b3b17d0c94e
Description-en: simple and flexible mock objects for testing
 FlexMock is a extremely simple mock object class compatible
 with the Test::Unit framework. Although the FlexMock's
 interface is simple, it is very flexible.

Package: ruby-flipper
Description-md5: e0d87d9573fee9b29de579b8512f9d9e
Description-en: Feature flipper for ANYTHING
 Feature flipper is the act of enabling/disabling features in your application,
 ideally without re-deploying or changing anything in your code base. Flipper
 makes this extremely easy to do with any backend you would like to use.

Package: ruby-flot-rails
Description-md5: 91306009753683c20627dda37aef2471
Description-en: jQuery Flot for Rails Asset pipeline
 Ruby-flot-rails is a ruby gem that provides easy installation and
 usage of jQuery-flot javascript library for your Rails 3.1+
 application.

Package: ruby-flowdock
Description-md5: aa82f3a8ce3dd95f1daf028522ec40ac
Description-en: Ruby library for using Flowdock's API
 Flowdock is a team collaboration app for desktop, mobile & web.
 .
 This package provides Ruby library for using the Flowdock Push API. See the
 Push API documentation at http://www.flowdock.com/api/push for details.

Package: ruby-fog-aliyun
Description-md5: 3afc63d29af1aa05dc4323781388b45b
Description-en: Fog provider for Aliyun Web Services
 This library can be used as a module for 'fog' which support aliyun OSS/ECS.
 Fog is the Ruby cloud services library.
 .
 Fog supports all major cloud providers including AWS,Blue Box and many others.

Package: ruby-fog-aws
Description-md5: 794cc31c674dcc77909b0573cf15a02b
Description-en: module for the 'fog' gem to support Amazon Web Services
 This library can be used as a module for `fog` or as standalone provider
 to use the Amazon Web Services in applications.
 .
 Fog supports all major cloud providers including AWS, Rackspace, Linode,
 Blue Box, StormOnDemand, and many others.

Package: ruby-fog-core
Description-md5: 52292290a8dd3dbca2039613e2dd67d3
Description-en: shared classes and tests for fog providers and services
 Fog supports all major cloud providers including AWS, Rackspace, Linode,
 Blue Box, StormOnDemand, and many others.
 .
 Full support for most AWS services including EC2, S3, CloudWatch, SimpleDB,
 ELB and RDS.
 .
 This package provides shared classes and tests.

Package: ruby-fog-google
Description-md5: ae8bdc0f0d7b87688b88a8582b81de88
Description-en: module for the 'fog' library to support Google cloud services
 This library can be used as a module for `fog` or as standalone provider
 to use the Google Cloud services in applications.
 .
 Fog supports all major cloud providers including AWS, Rackspace, Linode,
 Blue Box, StormOnDemand, and many others.

Package: ruby-fog-json
Description-md5: 70a657488610c8fd33c2be5eb26bbbff
Description-en: JSON parsing for fog providers
 Extraction of the JSON parsing tools shared between a number of providers
 in the 'fog' gem.
 .
 This library allows a standard interface to JSON encoding and decoding on
 top of MultiJson but with errors support.

Package: ruby-fog-libvirt
Description-md5: ee445b6f8a4c5f1ea66811558f485228
Description-en: Module for the 'fog' gem to support libvirt
 This library can be used as a module for 'fog' or as standalone libvirt
 provider, supporting the provisioning of virtual machines through libvirt from
 Ruby programs.

Package: ruby-fog-local
Description-md5: 0f65923120cd7fad2469b75d52d6902f
Description-en: Module for the 'fog' gem to support local filesystem storage
 This library can be used as a module for `fog` or as standalone provider
 to use local filesystem storage. fog is the Ruby cloud services library, top
 to bottom:
 .
  * Collections provide a simplified interface, making clouds easier to work
    with and switch between.
 .
  * Requests allow power users to get the most out of the features of each
    individual cloud.
 .
  * Mocks make testing and integrating a breeze.

Package: ruby-fog-openstack
Description-md5: 07a8ce47e088446eb1bc4e6b0169be62
Description-en: module for 'fog' library to support OpenStack instances
 This library can be used as a module for `fog` or as standalone provider
 to use any OpenStack instance in applications.
 .
 Fog supports all major cloud providers including AWS, Rackspace, Linode,
 Blue Box, StormOnDemand, and many others.

Package: ruby-fog-profitbricks
Description-md5: 16b1543f15004ad870716a08cccfc8ae
Description-en: library to use ProfitBricks cloud in applications
 Module for the 'fog' gem to support ProfitBricks. fog library supports
 many different cloud service providers.
 .
  It can also be used as a stand alone provider.

Package: ruby-fog-rackspace
Description-md5: ddbf37a23d31eccc3ad8772f566440e0
Description-en: Rackspace support for Fog
 This project provides a Ruby package of Rackspace
 provider for Fog ecosystem.
 .
 random.

Package: ruby-fog-storm-on-demand
Description-md5: 40394914f5b47ed3f6c66df0db95c0fe
Description-en: module for the 'fog' library to support StormOnDemand
 This library can be used as a module for `fog` or as standalone provider
 to use the StormOnDemand in applications.
 .
 Fog supports all major cloud providers including AWS, Rackspace, Linode,
 Blue Box, StormOnDemand, and many others.

Package: ruby-fog-terremark
Description-md5: 3fa6e64062488675564b7d1c98592208
Description-en: library for using Terremark vCloud in applications
 This library can be used as a module for `fog` or as standalone provider
 to use the Terremark vCloud in applications.
 .
 fog is a library to use many cloud service providers.

Package: ruby-fog-vmfusion
Description-md5: dd5c9052aa85238f60345bb5c5cda670
Description-en: module for the 'fog' library to support VMWARE FUSION
 This library can be used as a module for `fog` or as standalone provider
 to use the VMWARE FUSION in applications.
 .
 Fog supports all major cloud providers including AWS, Rackspace, Linode,
 Blue Box, StormOnDemand, and many others.

Package: ruby-fog-xml
Description-md5: 28da2b79fc1ecbdbe878d692a6a3769c
Description-en: XML parsing for fog providers
 Extraction of the XML parsing tools shared between a number of providers in
 the 'fog' gem. fog is the Ruby cloud services library, top to bottom:
 .
  *Collections provide a simplified interface, making clouds easier to work with
  and switch between.
 .
  *Requests allow power users to get the most out of the features of each
  individual cloud.
 .
  *Mocks make testing and integrating a breeze.

Package: ruby-fogbugz
Description-md5: a82ee001afbbfae65ed5ee958decbeec
Description-en: Ruby wrapper for the Fogbugz API
 A simple Ruby wrapper for the Fogbugz XML API.
 .
 It won't give you fancy classes for everything, it'll simply aid you in
 sending the API requests, parsing the returned XML finally retuning you a
 Hash.

Package: ruby-font-awesome-rails
Description-md5: 5446a7de867ffa26c3466dc2ed7122d3
Description-en: library providing font-awesome icon font via rails asset pipeline
 Font Awesome gives you scalable vector icons that can instantly be
 customized — size, color, drop shadow, and anything that can be done
 with the power of CSS.
 .
 This package makes it easy to use font-awesome in your rails applications.

Package: ruby-foreman
Description-md5: e65dfa3a9a1c727fe5228c529917fafd
Description-en: Process manager for applications with multiple components
 Foreman is a process manager commonly used during development of
 multi-component applications. For production, it allows allow you to either
 run your application directly or export it to some other process management
 format (i.e. init system service files).
 .
 Foreman uses a file called Procfile, which is the same mechanism for declaring
 what commands are run by your application’s dynos on the Heroku platform. It
 follows the process model. You can use a Procfile to declare various process
 types, such as multiple types of workers, a singleton process like a clock, or
 a consumer of the Twitter streaming API.

Package: ruby-formatador
Description-md5: 508b1994bcd1adbdf5841e5ae4e96696
Description-en: Ruby STDOUT text formatting library
 Ruby STDOUT text formatting library that allows you to easily change the color
 or the indentation of the displayed text, create tables, progressbar and more.

Package: ruby-forwardable-extended
Description-md5: 10039f909fcc243948a283f1394d3a30
Description-en: Forwardable with hash and instance variable extensions
 Provides more Forwardable methods for your source as
 Forwardable::Extended.
 .
  - Any delegation can accept `alias_of' which will be the message sent
    to the object.
  - You can send arguments by attaching the keyword `:args => [:my_arg]',
    these are sent a `#to_s message.'
  - Any delegation can be boolean if you wish it to be, even `:reverse'.

Package: ruby-friendly-id
Description-md5: ba51c713805a2757b730649578835878
Description-en: Comprehensive slugging and pretty-URL plugin
 FriendlyId is the "Swiss Army bulldozer" of slugging and permalink plugins for
 Active Record. It lets you create pretty URLs and work with human-friendly
 strings as if they were numeric ids.

Package: ruby-fssm
Description-md5: 9d14bbe1d94f7c407c9033d5890e93ad
Description-en: Ruby library firing events when the state of monitored paths changes
 FFSM (File System State Monitor) keeps track of the state of any number of
 paths and will fire events when said state changes (create/update/delete).
 .
 FSSM  supports inotify on GNU/Linux and polling anywhere else.

Package: ruby-ftw
Description-md5: 289efa31c1e60a0c6dfdf39b0c425794
Description-en: Ruby For The Web - APIs for client and server web stuff
 Ruby For The Web (ruby-ftw) tries to build a solid and sane API for
 client and server web stuff. Included are client and server operations
 for HTTP, WebSockets, SPDY, and more.

Package: ruby-fugit
Description-md5: 6357b5074b5e16d285775cddfc6c3097
Description-en: Time tools for Ruby and flor
 Fugit is a set of time tools for flor (a Ruby workflow engine) and the
 floraison group. It uses et-orbi to represent time instances and raabro as a
 basis for its parsers.
 .
 Fugit is being used by projects like arask, sideqik-cron, flor, etcetera. The
 simplest way to use fugit is via Fugit.parse(s).

Package: ruby-full
Description-md5: a0a2d8c4ad62d68c79d990ba0c2e5f7d
Description-en: Ruby full installation (default version)
 For many good reasons, the Ruby programming language is split in many
 small different packages. Installing this package will make sure you have
 all the packages that add up to a full Ruby installation, with the exception
 of the Tcl/Tk bindings for Ruby, which are only recommended.
 .
 This package is a dependency package, which depends on Debian's default Ruby
 version (currently v2.7).

Package: ruby-fusefs
Description-md5: 68e68c24069ac3e185735d7ac8cb4dfd
Description-en: library to easily define a filesystem in Ruby using fuse
 This library provides a simple API to define a FUSE filesystem in Ruby.
 It is *NOT* a full implementation of the FUSE API.

Package: ruby-fuubar
Description-md5: 9c8dc496c1ef612e552380e51b34da3e
Description-en: instafailing RSpec progress bar formatter
 It is an instafailing RSpec formatter that uses a progress bar instead of a
 string of letters and dots as feedback.
 .
 A video of Fuubar in action http://vimeo.com/16845253

Package: ruby-fuzzyurl
Description-md5: 19f23b5b2e014740b2c1b1d6b42ad46e
Description-en: non-strict parsing, construction, and wildcard-matching of URLs
 Fuzzyurl provides two related functions: non-strict parsing of URLs or
 URL-like strings into their component pieces (protocol, username, password,
 hostname, port, path, query, and fragment), and fuzzy matching of URLs
 and URL patterns.

Package: ruby-gd
Description-md5: e2b015bd9d1325178c8ed1091e68377e
Description-en: Extension library to use GD graphics library from Ruby
 Ruby extension library for using Thomas Boutell's GD library.
 The GD library is a popular library for creating and manipulating image
 files.

Package: ruby-gdk-pixbuf2
Description-md5: 075d2c4ece24812de3e338ede9b888d2
Description-en: Gdk-Pixbuf 2 bindings for the Ruby language
 Gdk-Pixbuf is a library for loading and rendering images.
 .
 This package contains libraries for using Gdk-Pixbuf with the Ruby programming
 language.

Package: ruby-gdk3
Description-md5: efb9e03b006bfb2e222ee2e0b7acb6c2
Description-en: GDK 3 bindings for the Ruby language
 GDK is a computer graphics library that acts as a wrapper around the
 low-level drawing and windowing functions provided by the underlying
 graphics system.  It is mainly use by GTK+ 3.
 .
 This package contains libraries for using GDK 3 with the Ruby programming
 language.

Package: ruby-gelf
Description-md5: 49f46cebcb8da945ae6585a238ff994d
Description-en: Ruby GELF library - Graylog2 Extended Log Format library for Ruby
 Library to send Graylog2 Extended Log Format (GELF) messages to an Graylog2
 logging server.
 Supports plain-text, GELF messages and exceptions.
 .
 See http://graylog2.org/ for more information about Graylog2.

Package: ruby-gemojione
Description-md5: 3021bc6947f56183c24394cb91ca94b8
Description-en: gem to provide EmojiOne
 This package provides the gem Gemojione which exposes the emojione
 unicode/image assets and APIs for working with them. It lets user to easily
 lookup emoji name, unicode character, or image assets and convert emoji
 representations.

Package: ruby-generator-spec
Description-md5: ad18b54e90d9420bb5d52eb56dc6257e
Description-en: Test Rails generators with RSpec
 Test Rails generators with RSpec using the standard Rails::Generators::TestCase
 assertion methods.
 .
 Learn more /usr/share/doc/ruby-generator-spec/README.md.gz

Package: ruby-geocoder
Description-md5: 48cabcd7bb24cabe701598a25e12ab99
Description-en: Complete Ruby geocoding solution
 Geocoder is a complete geocoding solution for Ruby. With Rails, it adds
 geocoding (by street or IP address), reverse geocoding (finding street address
 based on given coordinates), and distance queries. It's as simple as calling
 `geocode` on your objects, and then using a scope like `Venue.near
 ("Billings, MT")`.
 .
 Designed for Rails but works well outside Rails, too.

Package: ruby-get-process-mem
Description-md5: dd8ad88b6212a74e60cf3040d06294e4
Description-en: get memory usage of a process in Ruby
 Use GetProcessMem to find out the amount of RAM used by any process. Supports
 retrieval of memory usage in units of bytes, kilobytes, megabytes and
 gigabytes.

Package: ruby-getspg
Description-md5: ce2056606a98ae56c7be1339d5438938
Description-en: C library for crystal symmetry determination - Ruby bindings
 Spglib is a C library for crystal symmetry determination. Symmetry
 operations, space groups and other data can be obtained using this
 symmetry finder.
 .
 Features include:
 .
  * Identify space-group type
  * Find symmetry operations
  * Find a primitive cell
  * Search irreducible k-points
  * Refine crystal structure
  * Wyckoff position assignment
 .
 This package contains the Ruby bindings.

Package: ruby-gettext
Description-md5: 1ea6834c1292b8ea6f2cdc7e3e9cc05e
Description-en: gettext for Ruby
 This Ruby package is a localization library and toolset modeled after
 GNU gettext, and with a similar simple API.
 .
 It provides:
  * rxgettext - creates gettext-compatible PO files from Ruby scripts;
  * rmsginit - create a new PO file with user's environment and input;
  * rmsgfmt - creates a MO file from a PO file;
  * rmsgmerge - extracts translatable strings from Ruby scripts.

Package: ruby-gettext-i18n-rails
Description-md5: d4a1cf17aec5507ff0f2868b66d258d2
Description-en: Simple FastGettext Rails integration
 This package allows the developers to translate Rails applications
 via FastGettext, or use any other I18n backend as extension/fallback.

Package: ruby-gettext-i18n-rails-js
Description-md5: 4a3a39e179742949169637d66ab620a5
Description-en: make your .po files available to client side javascript as JSON
 This library extends gettext_i18n_rails making your .po files available to
 client side javascript as JSON.
 .
 It will find translations inside your .js and .coffee files, then it will
 create JSON versions of your .PO files and will let you serve them with the
 rest of your assets, thus letting you access all your translations offline
 from client side javascript.

Package: ruby-gettext-setup
Description-md5: 71db07889d36d73c34381c7126cb9543
Description-en: fast_gettext helper for Ruby
 This package is a internationalization helper using fast_gettext
 for Ruby.

Package: ruby-gherkin
Description-md5: 4b11b977d9792a330c71e55305cc6363
Description-en: lexer and parser for the Gherkin language in Ruby
 Gherkin is a language for writing software acceptance tests in an
 executable scripting language that looks like structured natural
 language. It was created in the context of the cucumber project.

Package: ruby-gio2
Description-md5: efe38f05d1b341c914b5555b0e9cbd22
Description-en: GIO bindings for the Ruby language
 GIO is striving to provide a modern, easy-to-use VFS API that sits at the
 right level in the library stack, as well as other generally useful APIs for
 desktop applications such as networking and D-Bus support.
 .
 This package provides GIO bindings to be used in Ruby programs.

Package: ruby-gir-ffi
Description-md5: 966a86a15807bf202d6245ba31d9bad7
Description-en: FFI-based GObject binding using the GObject Introspection Repository
 GObject is an abstraction layer that allows programming
 with an object paradigm that is compatible with many
 languages.
 .
 This package contains the dynamic Ruby binding generator
 for libraries that support gobject-introspection, i. e.
 which ship a gir1.2-<name>-<version> package. With these
 packages, the libraries can be used from Ruby.

Package: ruby-git
Description-md5: 8d92ce404f5bbcb4919d4faf2921e520
Description-en: Ruby interface to the Git revision control system
 Ruby/Git allows Ruby applications to access and manipulate Git
 repositories. It provides an object-oriented interface to Git’s data
 storage system and is capable of reading from and writing to the index,
 managing branches, or obtaining information about the history of a
 project.

Package: ruby-github-api
Description-md5: bee0cd61993ecf919ae85754ddddd41b
Description-en: Ruby client for the official GitHub API
 Ruby client that supports all of the GitHub API methods. It's build in a
 modular way, that is, you can either instantiate the whole API wrapper
 Github.new or use parts of it e.i. Github::Client::Repos.new if working solely
 with repositories is your main concern.
 .
 Intuitive query methods allow you easily call API endpoints.

Package: ruby-github-linguist
Description-md5: 6a332002f9000a69d52636b2361e4732
Description-en: detection and highlight of the programming language of source code
 Language detection: ruby-github-linguist defines a list of all languages
 known to GitHub in a yaml file. In order for a file to be highlighted, a
 language and a lexer must be defined there.
 .
 Syntax Highlighting: The actual syntax highlighting is handled by the
 Pygments wrapper, ruby-pygments.rb. It also provides a Lexer abstraction that
 determines which highlighter should be used on a file.
 .
 Stats: The Language stats bar that you see on every repository is built by
 aggregating the languages of each file in that repository. The top language in
 the graph determines the project's primary language.
 .
 Ignore vendored files: Checking other code into your git repo is a common
 practice. But this often inflates your project's language stats and may even
 cause your project to be labeled as another language. ruby-github-linguist is
 able to identify some of these files and directories and exclude them.
 .
 Generated file detection: Not all plain text files are true source files.
 Generated files like minified js and compiled CoffeeScript can be detected and
 excluded from language stats. As an extra bonus, these files are suppressed in
 diffs.

Package: ruby-github-markdown
Description-md5: b2731f0657ebc6a1886ccef67e342bd5
Description-en: Markdown parser for GitHub.com
 This package provides the self-contained Markdown parser used GitHub, with all
 their custom extensions.
 .
 The differences with traditional Markdown are presented on this webpage:
 https://help.github.com/articles/github-flavored-markdown/

Package: ruby-github-markup
Description-md5: 620de459af15b13b9bc282479bd564fe
Description-en: GitHub Markup rendering
 GitHub Markup converts multiple different rich text files to html.
 .
 The following markups are supported:
 .
  * Markdown
  * Textile
  * RDoc
  * Emacs org-mode
  * Creole
  * MediaWiki
  * reStructuredText
  * AsciiDoc
  * Plain Old Documentation (pod)

Package: ruby-github-pages-health-check
Description-md5: 60800bc498b6c195d7a0bba4d739e653
Description-en: check GitHub Pages for common DNS configuration issues
 The plugin checks a GitHub Pages site for common DNS configuration
 issues.

Package: ruby-gitlab
Description-md5: 590b6f95ccec10bb2902b64a4385ab8d
Description-en: Ruby wrapper and CLI for the GitLab API
 This package contains a Ruby wrapper and CLI for the GitLab API.
 .
 It is possible to use this gem as a command line interface to GitLab. In order
 to make that work you need to set a few environment variables.

Package: ruby-gitlab-flowdock-git-hook
Description-md5: 0bd9349656710c96aad9db193a9eae43
Description-en: Git Post-Receive hook for Flowdock
 Gem requirements patched for use with Gitlab.

Package: ruby-gitlab-labkit
Description-md5: bf40359caad9bbc0f7b74eef2b3b3109
Description-en: Instrumentation for GitLab
 LabKit-Ruby is minimalist library to provide functionality for Ruby services
 at GitLab.
 .
 LabKit-Ruby is the Ruby companion for LabKit, a minimalist library to provide
 functionality for Go services at GitLab.
 .
 LabKit-Ruby and LabKit are intended to provide similar functionality, but use
 the semantics of their respective languages, so are not intended to provide
 identical APIS.

Package: ruby-gitlab-sidekiq-fetcher
Description-md5: 44c1f6538541a3f1c05e273e15e16159
Description-en: Reliable fetch extension for Sidekiq
 This library is an extension to Sidekiq that adds support for reliable fetches
 from Redis. It's based on sidekiq-reliable-fetch.
 .
 There are two strategies implemented: Reliable fetch using `rpoplpush` command
 and semi-reliable fetch that uses regular `brpop` and `lpush` to pick the job
 and put it to working queue. The main benefit of "Reliable" strategy is that
 `rpoplpush` is atomic, eliminating a race condition in which jobs can be lost.
 .
 This package includes gitlab's fork of sidekiq-reliable-push.

Package: ruby-gli
Description-md5: f3397de72905e6e972cb9de9ea437e86
Description-en: Build command-suite CLI apps without a lot of syntax
 Build command-suite CLI apps that are awesome.  Bootstrap your app, add
 commands, options and documentation while maintaining a well-tested idiomatic
 command-line app
 .
 GLI allows you to make a polished, easy-to-maintain command-line application
 without a lot of syntax, but without restricting you in any way from the power
 of OptionParser.
 .
 GLI is the best way to make a “command-suite” command-line application,
 e.g. one like git.

Package: ruby-glib2
Description-md5: bda8af212ba66b4ab5dcf71c5e4d4990
Description-en: GLib 2 bindings for the Ruby language
 GLib is a useful general-purpose C library, notably used by GTK+ and GNOME.
 .
 This package contains libraries for using GLib 2 with the Ruby programming
 language. It is most likely useful in conjunction with Ruby bindings for
 other libraries such as GTK+.

Package: ruby-globalid
Description-md5: e8c62dbc889740118ee2ac2b4d98824a
Description-en: reference models by URI for Ruby on Rails
 A Global ID is an app wide URI of the form gid://YourApp/Some::Model/id
 that uniquely identifies a model instance.
 .
 This is helpful when you need a single identifier to reference different
 classes of objects, for example in job scheduling, when one needs to reference
 a model object rather than serialize the object itself. Another example is a
 drop-down list of options, consisting of both Users and Groups. One has then a
 universal identifier that works for objects of both classes.
 .
 This package is part of the dependencies for the Ruby on Rails framework.

Package: ruby-gnome
Description-md5: dd76ce652303b837b94937fb6417e9d3
Description-en: GNOME-related bindings for the Ruby language
 These bindings allow use of the GNOME developer platform using the Ruby
 programming language.
 .
 This is an empty package that depends on the various packages that provide
 the individual bindings.

Package: ruby-gnome-dev
Description-md5: a57f01e0480053eb015079498b71e787
Description-en: GNOME-related bindings for the Ruby language (development files)
 These bindings allow use of the GNOME developer platform using the Ruby
 programming language.
 .
 This package contains development files required to build ruby-gnome
 extensions.

Package: ruby-gnome2
Description-md5: 0bf71923c2a00ecc69cfbf70b94e587b
Description-en: transitional package for ruby-gnome
 This is a transitional package for ruby-gnome. It can safely be removed.

Package: ruby-gnome2-dev
Description-md5: 4d66c032488667a8c415076bef1908e3
Description-en: transitional package for ruby-gnome-dev
 This is a transitional package for ruby-gnome-dev. It can safely be removed.

Package: ruby-gnuplot
Description-md5: 6a5580ddf8e44af013c95db35e6ab640
Description-en: Ruby library to interact with gnuplot
 Gnuplot is a program that has a rich language for the generation of plots.
 Ruby Gnuplot implements a Domain-Specific Language (DSL) to control
 gnuplot from Ruby scripts.

Package: ruby-gobject-introspection
Description-md5: 625c7c400ed9a9672f453c226e76eda6
Description-en: GObject Introspection bindings for the Ruby language
 GObject Introspection is a project for providing machine readable
 introspection data of the API of C libraries.  This introspection data can
 be used in several different use cases, for example automatic code
 generation for bindings, API verification and documentation generation.
 .
 This package contains library for using GObject Introspection with the Ruby
 programming language.

Package: ruby-god
Description-md5: e507e6d9d0acf312b7c0025c14164de2
Description-en: Fully configurable process monitoring
 God is an easy to configure, easy to extend monitoring framework
 written in Ruby.
 .
 Keeping your server processes and tasks running should be a simple
 part of your deployment process. God aims to be the simplest, most
 powerful monitoring application available.

Package: ruby-gollum-lib
Description-md5: d75a7e8a48386eb006e30e79f9665421
Description-en: simple, Git-powered wiki
 A simple, Git-powered wiki with a sweet API and local frontend.
 .
 Gollum-lib is the Ruby API that allows you to retrieve raw or formatted wiki
 content from a Git repository, write new content to the repository, and
 collect various meta data about the wiki as a whole.

Package: ruby-gollum-rugged-adapter
Description-md5: 5fef1a72d6188f52c39303f9a414ce63
Description-en: Adapter for Gollum to use Rugged (libgit2) at the backend
 Gollum can use multiple backends and this package provides rugged backend.
 .
 Default backend is grit, but since it is not maintained, rugged backend will
 become the default backend soon.

Package: ruby-gon
Description-md5: abbb9948d5964ae4fcd67f3a1ee7d061
Description-en: Ruby library to send data to JavaScript from a Ruby application
 Gon is a Ruby library allowing one to easily send the content of
 variables of a Ruby web application to JavaScript. It supports JBuilder,
 Rabl and Rabl-Rails.

Package: ruby-google-api-client
Description-md5: c7521e620147371b57f20e3cb123bab1
Description-en: Client for accessing Google APIs
 This library makes it easy to access Google services via APIs.
 .
 For example, to use Google Drive, just add require 'google/apis/drive_v2'
 .
 This library is a dependency of gitlab, a git based collaboration platform.

Package: ruby-google-cloud-core
Description-md5: 0e5f3ea09b785e04982d45c08d7f129c
Description-en: Internal shared library for google-cloud-ruby
 This google-cloud-core library contains shared types, such as error classes,
 for the google-cloud project. google-cloud-core is the internal shared library
 for google-cloud-ruby.
 .
 Google provides official support for Ruby versions that are actively supported
 by Ruby Core.

Package: ruby-google-cloud-env
Description-md5: f1ba04b5a3cb900f4aba6fa535669013
Description-en: Google Cloud Platform hosting environment information
 This library provides information on the application hosting environment for
 apps running on Google Cloud Platform.
 .
 This library is supported on Ruby 2.3+.
 Google provides official support for Ruby versions that are actively supported
 by Ruby Core—that is, Ruby versions that are either in normal maintenance or
 in security maintenance, and not end of life.

Package: ruby-google-cloud-translate
Description-md5: ba46e9a8df00001766b410c940be3cf4
Description-en: API Client library for Google Cloud Translation API
 Google Cloud Translation provides a simple, programmatic interface for
 translating an arbitrary string into any supported language. It is highly
 responsive, so websites and applications can integrate with Translation API
 for fast, dynamic translation of source text. Language detection is also
 available in cases where the source language is unknown.

Package: ruby-google-protobuf
Description-md5: 317c79699eb4b3c57bdf9bcdb8761c55
Description-en: Protocol Buffers
 Protocol Buffers are Google's data interchange format.
 .
 This library contains the Ruby extension that implements Protocol Buffers
 functionality in Ruby.
 .
 The Ruby extension makes use of generated Ruby code that defines message and
 enum types in a Ruby DSL. You may write definitions in this DSL directly, but
 we recommend using protoc's Ruby generation support with .proto files. The
 build process in this directory only installs the extension; you need to
 install protoc as well to have Ruby code generation functionality.

Package: ruby-googleapis-common-protos-types
Description-md5: a611af0fafb03b430a9548287c0487a5
Description-en: Common protobuf types used in Google APIs
 Google APIs are typically deployed as API services that are hosted under
 different DNS names. One API service may implement multiple APIs and multiple
 versions of the same API.
 .
 Google APIs use Protocol Buffers version 3 (proto3) as their Interface
 Definition Language (IDL) to define the API interface and the structure of the
 payload messages. The same interface definition is used for both REST and RPC
 versions of the API, which can be accessed over different wire protocols.

Package: ruby-googleauth
Description-md5: 628d349c84439c4f793ebe4431a29097
Description-en: Google Auth Library for Ruby
 Allows simple authorization for accessing Google APIs.
 Provide support for Application Default Credentials, as described at
 https://developers.google.com/accounts/docs/application-default-credentials

Package: ruby-gpgme
Description-md5: ba63ec59d17e3fb3fe053df9164bf318
Description-en: Ruby GPGME binding
 GnuPG Made Easy (GPGME) is a library designed to make access to GnuPG
 easier for applications. It provides a High-Level Crypto API for
 encryption, decryption, signing, signature verification and key
 management.

Package: ruby-grack
Description-md5: cec9d0a9b7ca6d2af9deb1c385de0f1e
Description-en: Git Smart HTTP Server Rack implementation in Ruby/Rack
 Rack application replacement for the builtin git-http-backend CGI
 handler distributed with Git.
 .
 The default git-http-backend only runs as a CGI script, and
 specifically is only targeted for Apache 2.x usage (it requires
 PATH_INFO to be set and specifically formatted).  This Rack
 application can run on nearly every major and minor webserver out
 there by making it Rack capable.
 .
 This the GITLAB version of the library.

Package: ruby-graffiti
Description-md5: ab149d7b76443179889114ff503fe615
Description-en: Relational RDF store for Ruby
 Graffiti is an RDF store based on dynamic translation of RDF queries into SQL.
 Graffiti allows one to map any relational database schema into RDF semantics
 and vice versa, to store any RDF data in a relational database.
 .
 Graffiti uses Sequel to connect to database backend and provides a DBI-like
 interface to run RDF queries in Squish query language from Ruby applications.

Package: ruby-grape
Description-md5: b24f82e4793dc4cea10610df541ffea6
Description-en: Simple Ruby framework for building REST-like APIs
 Grape is a REST-like API micro-framework for Ruby. It's designed to run on
 Rack or complement existing web application frameworks such as Rails and
 Sinatra by providing a simple DSL to easily develop RESTful APIs. It has
 built-in support for common conventions, including multiple formats,
 subdomain/prefix restriction, content negotiation, versioning and much more.

Package: ruby-grape-entity
Description-md5: 993379811582f0c9682f34a5989bf4a0
Description-en: Entity support to API frameworks, such as Grape
 This library adds Entity support to API frameworks, such as Grape. Grape's
 Entity is an API focused facade that sits on top of an object model.
 .
 Entities are a reusable means for converting Ruby objects to API responses.
 Entities can be used to conditionally include fields, nest other entities, and
 build ever larger responses, using inheritance.

Package: ruby-grape-logging
Description-md5: 7ff8e59be0a42a5aad52005854a23141
Description-en: request logging support for Grape
 This package provides simple request logging for Grape (from the hpackage
 ruby-grape). Features include logging of response codes, request paths,
 request parameters, and others.

Package: ruby-grape-path-helpers
Description-md5: 2a3dc57add0da997f563b9d80cd9c36c
Description-en: Route path helpers for Grape
 Provides named route helpers for Grape APIs, similar to Rails' route helpers.
 .
 This is a fork and rename of grape-route-helpers. It includes some fixes
 needed for GitLab.

Package: ruby-graphql
Description-md5: 64fbbffa44adca38f090046e4ea1a707
Description-en: GraphQL language and runtime for Ruby
 A plain-Ruby implementation of GraphQL.
 .
 Goals:
  - Implement the GraphQL spec & support a Relay front end
  - Provide idiomatic, plain-Ruby API with similarities to reference
    implementation where possible
  - Support Ruby on Rails and Relay

Package: ruby-graphviz
Description-md5: 547879d2ef19d9ae64a7ed6d3d9310f5
Description-en: Ruby interface to the GraphViz graphing tool
 Ruby/Graphviz provides an interface to layout and generate images of
 directed graphs in a variety of formats (PostScript, PNG, etc.) using
 GraphViz.

Package: ruby-gravtastic
Description-md5: 1620ea758e852667eff3d5b7356df793
Description-en: Ruby wrapper for Gravatar URLs
 This package provides a module for generating the Gravatar URL. This
 module can be integrated into the Ruby projects. It works in Rails,
 Merb, and Sinatra.
 .
 Gravtastic supports these Gravatar abbreviations:
  * Rating
  * Secure URL (HTTP or HTTPS)
  * Image size
  * Image format

Package: ruby-grib
Description-md5: f1b46b92ad25ad5645b6ed593bed4bec
Description-en: Ruby interface to the ECMWF GRIB API
 RUBY-GRIB is a Ruby library to handle GRIB(GRidded Binary) file, a
 mathematically concise data format commonly used in meteorology to
 store historical and forecast weather data.

Package: ruby-grit
Description-md5: c0e6584b6d5c0cc8cfcc5d42ce4400c1
Description-en: Ruby Git bindings
 Grit gives you object oriented read/write access to Git repositories
 via Ruby. The main goals are stability and performance. To this end,
 some of the interactions with Git repositories are done by shelling
 out to the system's git command, and other interactions are done with
 pure Ruby reimplementations of core Git functionality. This choice,
 however, is transparent to end users, and you need not know which
 method is being used.
 .
 This software was developed to power GitHub, and should be considered
 production ready.
 .
 This version has been patched for use with GITLAB.

Package: ruby-grit-ext
Description-md5: 963af7dc8e3ffc88118229b7a118471a
Description-en: utf-8 support extension for grit
 This package extends ruby-grit with utf-8 support.

Package: ruby-grpc
Description-md5: f31bec47a0105a73175bcbafa43c1da7
Description-en: GRPC system in Ruby
 Send RPCs from Ruby using GRPC. GRPC is a high performance, open-source
 universal RPC framework.
 .
 Some features of gRPC:
  * Simple service definition
  * Works across languages and platforms
  * Start quickly and scale
  * Bi-directional streaming and integrated auth

Package: ruby-grpc-tools
Description-md5: bfd4a897d4dae39bcf345165413e3115
Description-en: Development tools for Ruby gRPC
 Ruby gRPC library allows sending RPCs from Ruby using GRPC.
 GRPC is a high performance, open-source universal RPC framework.

Package: ruby-gruff
Description-md5: 129e4d0d51a1fd21a400723492d497d4
Description-en: Ruby library to create beautiful graphs for one or multiple datasets
 This Ruby library can generate beautiful graphs for one or multiple datasets
 to be included in websites or in documents.  The library can be used alone or
 with Ruby on Rails.

Package: ruby-gsl
Description-md5: 1c1e4e85c25384057e19ebb64b0f0859
Description-en: Ruby bindings for the GNU Scientific Library (GSL)
 The GNU Scientific Library (GSL) is a collection of data types and
 routines for numerical computing. Ruby/GSL is an interface to the GNU
 Scientific Library for the Ruby programming language.

Package: ruby-gssapi
Description-md5: c4d1d41677a19ea2c60ab40a1175a528
Description-en: FFI wrapper around the system GSSAPI library
 A FFI wrapper around the system GSSAPI library. Please make sure and read the
 Yard docs or standard GSSAPI documentation if you have any questions.
 .
 There is also a class called GSSAPI::Simple that wraps many of the common
 features  used for GSSAPI.

Package: ruby-gstreamer
Description-md5: 44e02a1fd5e8bfefa7acc13abe9c4754
Description-en: GStreamer bindings for the Ruby language
 GStreamer is a media processing framework with support for a wide variety of
 data sources, sinks, and formats through the use of dynamically loaded
 plugins.
 .
 This package contains libraries for using GStreamer with the Ruby programming
 language.

Package: ruby-gtk2
Description-md5: 4a1a1f9d87da18df003b503ac013e296
Description-en: GTK+ 2 bindings for the Ruby language
 GTK+ is a multi-platform toolkit for creating graphical user interfaces.
 Offering a complete set of widgets, GTK+ is suitable for projects ranging
 from small one-off tools to complete application suites.
 .
 This package contains libraries for using GTK+ 2 with the Ruby programming
 language.

Package: ruby-gtk3
Description-md5: 1179e70a49cafd6a6184fcb7c6269993
Description-en: GTK+ 3 bindings for the Ruby language
 GTK+ is a multi-platform toolkit for creating graphical user interfaces.
 Offering a complete set of widgets, GTK+ is suitable for projects ranging
 from small one-off tools to complete application suites.
 .
 This package contains libraries for using GTK+ 3 with the Ruby programming
 language.

Package: ruby-gtksourceview4
Description-md5: c5c853c46408bc9b3f3f1a396b8bb43e
Description-en: GtkSourceView4 bindings for the Ruby language
 GTKSourceView4 is a text widget that extends the standard GTK+ text widget.
 .
 This package contains libraries for using the GtkSourceView4 text widget
 with syntax highlighting and other features typical of a source code
 editor in the Ruby programming language.

Package: ruby-guard
Description-md5: 2497cf951ccb264b31b12431577a36e5
Description-en: Commandline to easily handle events on file system modifications
 Guard automates various tasks by running custom rules whenever file or
 directories are modified.
 It's frequently used by software developers, web designers, writers and other
 specialists to avoid mundane, repetitive actions and commands such as
 "relaunching" tools after changing source files or configurations.
 .
 Features:
  * File system changes handled by awesome Listen gem.
  * Support for visual system notifications.
  * Huge eco-system with more than 220 Guard plugins.
  * Tested against Ruby 2.2.8, 2.3.5, 2.4.2, JRuby & Rubinius.

Package: ruby-guard-compat
Description-md5: 4fd866109ae13164f01ed1badaeb41ca
Description-en: Test helper for testing custom Guard plugins
 This library provides a test helper for testing custom Guard plugins.

Package: ruby-guard-shell
Description-md5: d64c3a2ec74026c49d5c0988a8536664
Description-en: Guard plugin for running shell commands
 Guard plugin to run shell commands when files are altered.
 .
 With this plugin, when a file changes it does something in a shell or ruby.
 It simply executes the block passed to watch if a change is detected,
 and if anything is returned from the block it will be printed.

Package: ruby-guestfs
Description-md5: a3ff7f50a8fc85b30508a57e199b0912
Description-en: guest disk image management system - Ruby bindings
 The libguestfs library allows accessing and modifying guest disk
 images.
 .
 This package contains Ruby bindings to libguestfs.

Package: ruby-gyoku
Description-md5: d8e56b468a53ba3ec8b8119bd9e70f61
Description-en: translates Ruby hashes to XML
 Gyoku is a Ruby module to translate hashes to XML. It can be configured
 to use convert key names to different naming conventions, convert dates,
 booleans and special characters. XML attributes can be set using special
 key formats.

Package: ruby-haml
Description-md5: 1a881aaa2a77db6c5a188cfabf6bb701
Description-en: Elegant, structured XHTML/XML templating engine
 Haml (HTML Abstraction Markup Language) is a layer on top of XHTML or XML
 that's designed to express the structure of XHTML or XML documents in a
 non-repetitive, elegant, easy way, using indentation rather than closing
 tags and allowing Ruby to be embedded with ease.
 .
 It was originally envisioned as a plugin for Ruby on Rails, but it can
 function as a stand-alone templating engine.

Package: ruby-haml-contrib
Description-md5: 7b8d51df8f900eccd3c611f47ea363b6
Description-en: Elegant, structured XHTML/XML templating engine - addons
 Haml (HTML Abstraction Markup Language) is a layer on top of XHTML or XML
 that's designed to express the structure of XHTML or XML documents in a
 non-repetitive, elegant, easy way, using indentation rather than closing
 tags and allowing Ruby to be embedded with ease.
 .
 This package provides several extra filters: builder, markaby, maruku,
 nokogiri, php, textile, wiki, yajl.

Package: ruby-haml-rails
Description-md5: 2cee4937b47538ba061c9683b379e27c
Description-en: Haml Generator for Rails
 Haml-rails provides Haml generators for Rails. It also enables Haml as the
 templating engine for you, so you don't have to screw around in your own
 application.rb when your Gemfile already clearly indicated what templating
 engine you have installed. Hurrah.

Package: ruby-hamlit
Description-md5: 79058a1ac3f9c0606822ffe1d6f88dee
Description-en: high performance Haml implementation
 Hamlit is another implementation of Haml, a Ruby HTML abstraction markup
 language. With some limitations by design for performance, Hamlit is much
 faster (up to about 8 times) than original haml gem in some benchmarks.

Package: ruby-hamster
Description-md5: daf46e1412cbab5b80a5a3c9fbb92102
Description-en: Efficient, immutable, thread-safe collection classes for Ruby
 Hamster collections are immutable. Whenever you modify a Hamster
 collection, the original is preserved and a modified copy is
 returned. This makes them inherently thread-safe and sharable.

Package: ruby-handlebars-assets
Description-md5: 9123e86b08191f63168458350374a66b
Description-en: compile Handlebars templates in the Rails asset pipeline
 Give your Handlebars templates their own files (including partials) and
 have them compiled, compressed, and cached as part of the Rails 3.1 asset
 pipeline!
 .
 Using `sprockets` with Sinatra or another framework? handlebars_assets
 works outside of Rails too (as of v0.2.0)

Package: ruby-hangouts-chat
Description-md5: a52bea488f314b2433f97d626aeb11b5
Description-en: Library for sending messages to Hangouts Chat rooms
 Send messages to G Suite Hangouts Chat rooms using incoming webhooks and
 Net::HTTP::Post.
 .
 Hangouts chat is a messaging platform built for teams by Google.

Package: ruby-has-scope
Description-md5: c109fe5551ea578acc7045702e8e57a0
Description-en: Maps controller filters to your resource scopes
 The gem intends to maps controller filters to your resource scopes, Has Scope
 allows you to map incoming controller parameters to named scope in your
 resources.
 .
 You can retrieve all the scopes applied in one action with current_scope
 method, has_scope supports several options such as :type, :only, :except,
 :using, :if and many more, mapping as per required resource scope. It allows
 you to map incoming controller parameters to named scope in your resources.

Package: ruby-has-secure-token
Description-md5: 2aeccea8c44026935b7dbca80d022f21
Description-en: Unique creation for random tokens for any model in ruby on rails
 The gems intends to provide an easy way to generate unique random tokens for
 any model in ruby on rails. SecureRandom::base58 is used to generate the
 24-character unique tokens, so collisions are highly unlikely.
 .
 Backport of ActiveRecord::SecureToken 5 to AR 3.x and 4.

Package: ruby-hashdiff
Description-md5: 60627f6ef643bb3df14a44e55fbe44a9
Description-en: library for computing the smallest difference between two hashes
 Given two Hashes A and B, HashDiff will calculate the smallest modification
 that can be made to change A into B.

Package: ruby-hashery
Description-md5: 81279ffd221435cf5e0e09b7b04dd57e
Description-en: facets-bread collection of Hash-like classes
 The Hashery is a tight collection of Hash-like classes. Included among its many
 offerings are the auto-sorting Dictionary class, the efficient LRUHash, the
 flexible OpenHash and the convenient KeyHash. Nearly every class is a subclass
 of the CRUDHash which defines a CRUD model on top of Ruby's standard Hash
 making it a snap to subclass and augment to fit any specific use case.

Package: ruby-hashie
Description-md5: 1d5ce1465e1e249813b3a57e8f4a33c7
Description-en: small collection of tools that make hashes more powerful
 Hashie is a growing collection of tools that extend Hashes and make
 them more useful.
 .
 Currently includes Mash (Mocking Hash), Dash (Discrete Hash), Trash,
 and Clash.

Package: ruby-hashie-forbidden-attributes
Description-md5: b29bc02072744560a1dea8c83668ed36
Description-en: strong parameter detection with Hashie and Forbidden Attributes
 This library can be used for automatic strong parameter detection with Hashie
 and Forbidden Attributes. Formerly known as hashie_rails

Package: ruby-hdfeos5
Description-md5: 5e477f9f2a35d5fdaf53825a7cba6b94
Description-en: Ruby interface to the HDF-EOS5 library
 HDF-EOS5 is a software library designed built on HDF5 to support EOS-specific
 data structures, namely Grid, Point, and Swath.
 .
 This package provides Ruby interface to the HDF-EOS5 library built on
 the NArray library, which is an efficient multi-dimensional numeric
 array class for Ruby.

Package: ruby-health-check
Description-md5: 87c3425f91decb3ff415934ed1a397bc
Description-en: Simple health check of Rails app for uptime monitoring
 Simple health check of Rails app for uptime monitoring with Pingdom, NewRelic,
 EngineYard or uptime.openacs.org etc.

Package: ruby-heapy
Description-md5: 1c5fdfed4bab02f3bfa7a38388388b9f
Description-en: Inspects Ruby heap dumps
 Got a heap dump? Great. Use this tool to see what's in it!
 .
 A CLI for analyzing Ruby Heap dumps.
 .
 To use this tool, just generate a heap dump, manually or
 using tools like derailed_benchmarks. Once you've got the
 heap dump, you can analyze it using this CLI.

Package: ruby-heroku-deflater
Description-md5: 1d7a6790b80084948d672aed7327bedf
Description-en: Enable gzip compression on heroku, but don't compress images
 A simple rack middleware, enabling compression of your assets and application
 in responses on Heroku. It saves CPU cycles on pointless compression of images
 and binary responses.
 .
 It also includes code from https://github.com/mattolson/heroku_rails_deflate.
 .
 Before serving a file from disk to a gzip-enabled client, it will look for a
 precompressed file in the same location that ends in ".gz". The purpose is to
 avoid compressing the same file each time it is requested.

Package: ruby-highline
Description-md5: c65ce9a37b56e28a63520d6f3052e9c7
Description-en: high-level interactive IO Ruby library
 Highline is a high-level IO library that provides validation, type conversion,
 and more for command-line interfaces. It also includes a complete menu system
 that can crank out anything from simple list selection to complete shells with
 just minutes of work.

Package: ruby-hike
Description-md5: dd632caf29376ce2aad7140142e0fa23
Description-en: Ruby library to find files in a set of paths
 Hike is a Ruby library for finding files in a set of paths.

Package: ruby-hikidoc
Description-md5: d1b4443270887e662e0feea5e5e63f95
Description-en: text-to-HTML conversion tool for web writers
 'HikiDoc' is a text-to-HTML conversion tool for web writers. HikiDoc
 allows you to write using an easy-to-read, easy-to-write plain text
 format, then convert it to structurally valid HTML (or XHTML).

Package: ruby-hipchat
Description-md5: 8289b9baa5a9b20f7f5eef06a21d8f26
Description-en: Ruby library to interact with HipChat
 Ruby library to interact with Atlassian HipChat.
 The HipChat HTTP Client has got support for HipChat API v1 and for API v2.
 HipChat Client comes with hooks for:
  - Capistrano
  - Rails 3 Rake Task
  - Engine Yard's Cloud platform
 .
 HipChat is a hosted chat and instand messaging for teams and enterprises.

Package: ruby-hiredis
Description-md5: fdeb02c2a10b3491ae3026d8231fc3d0
Description-en: Redis driver for Ruby using Hiredis
 ruby-hiredis provides a Ruby extension that wraps Hiredis. Both the synchronous
 connection API and a separate protocol reader are supported. It is primarily
 intended to speed up parsing multi bulk replies.
 .
 Hiredis is a minimalistic C client library for the Redis database, a
 persistent key-value database with support for atomically manipulating and
 querying data structures such as lists and sets.

Package: ruby-hitimes
Description-md5: d2a89d84f113479ac591349f36207552
Description-en: fast, high resolution timer for recording performance metrics
 Hitimes is a fast, high resolution timer library for recording performance
 metrics. It uses the appropriate low method calls for each system to get
 the highest granularity time increments possible.
 .
 It currently supports any of the following systems: * any system with the
 POSIX call `clock_gettime()` * Mac OS X * Windows * JRuby Using Hitimes can
 be faster than using a series of `Time.new` calls, and it will have a much
 higher granularity. It is definitely faster than using `Process.times`.

Package: ruby-hivex
Description-md5: 851061fd0f4952c64a5a5e540c294fe2
Description-en: Ruby bindings for hivex
 Ruby bindings for libhivex, a library for reading and writing
 Windows Registry "hive" binary files.

Package: ruby-hmac
Description-md5: 0091391c46e3a713d6a8c5d3e724918a
Description-en: Ruby interface for HMAC algorithm
 This module provides common interface to HMAC functionality. HMAC is a
 kind of "Message Authentication Code" (MAC) algorithm whose standard is
 documented in RFC2104. Namely, a MAC provides a way to check the integrity
 of information transmitted over or stored in an unreliable medium, based
 on a secret key.

Package: ruby-hocon
Description-md5: 5c94c74723a7a26a9d953c12466f204d
Description-en: Ruby port of the Typesafe Config library
 ruby-hocon is a port of the Typesafe Config Java library to Ruby.
 The library provides Ruby support for the HOCON configuration file
 format. It supports parsing and modification of existing HOCON/JSON
 files as well as rendering parsed config objects back to a Ruby String.

Package: ruby-hoe
Description-md5: 52d812f3d38073d9bb5fc156bc48cbfd
Description-en: rake/rubygems helper for project Rakefiles
 Hoe is a rake/rubygems helper for project Rakefiles. It helps you
 manage and maintain, and release your project and includes a dynamic
 plug-in system allowing for easy extensibility. Hoe ships with
 plug-ins for all your usual project tasks including rdoc generation,
 testing, packaging, and deployment.

Package: ruby-hrx
Description-md5: 5c383401ec3aee297eab83a12fde869f
Description-en: Ruby parser and serializer for the HRX format
 This gem is a parser and serializer for the HRX (Human Readable Archive)
 format.

Package: ruby-html-pipeline
Description-md5: 0c9c4257a3a8bdcb6a81a1b51db38f69
Description-en: GitHub HTML processing filters and utilities
 Helpers for processing content through a chain of filters. This module includes
 a small framework for defining DOM based content filters and applying them to
 user provided content.

Package: ruby-html-proofer
Description-md5: 94398c6efed6b59e86da1c25e4a6a95d
Description-en: Test your rendered HTML files to make sure they're accurate
 HTMLProofer is a set of tests to validate your HTML output. These tests check
 if your image references are legitimate, if they have alt tags, if your
 internal links are working, and so on. It's intended to be an all-in-one
 checker for your output.
 .
 In scope is any well-known and widely-used test for HTML document quality.
 A major use is continuous integration, so reliable results are a must have.
 Correctness is usually balanced over performance. And, if necessary, one
 should be able to trace this program's detection of HTML errors back to
 documented best practices or standards, such as W3 specifications.

Package: ruby-html2haml
Description-md5: 9dafdd51b9bb1a5a9a940c8d4077d9e8
Description-en: Converts HTML into Haml
 This package was split by its upstream author from the 'Haml' gem
 (package ruby-haml). It allows converting between HTML and HAML
 markups, and while mainly aimed at being used within Ruby code,
 includes an executable wrapper that allows it to be called from the
 command line.

Package: ruby-html2text
Description-md5: afcebe668815d0d99aaccdfde457c2c0
Description-en: convert HTML into plain text
 This package provides the gem html2text, which can be used to convert HTML
 input into a plain text format. It uses Ruby's DOM methods to load HTML from
 a string, and then iterates over the resulting DOM to correctly output plain
 text.

Package: ruby-htmlentities
Description-md5: ddda05a48d60a389be8922e56a741f81
Description-en: Ruby library for handling HTML entities
 HTMLEntities is a simple library to facilitate encoding and decoding of named
 (&yacute; and so on) or numerical (&#123; or &#x12a;) entities in HTML and
 XHTML documents.

Package: ruby-htree
Description-md5: 72af11082d974faf36ca5cca284ecedb
Description-en: HTML/XML tree library for Ruby
 htree provides a tree data structure which represent HTML and XML data.
 Features:
  * permissive unified HTML/XML parser
  * byte-to-byte round-tripping unparser
  * XML namespace support
  * dedicated class for escaped string.
  * HTML/XHTML/XML generator
  * template engine
  * recursive template expansion
  * converter to REXML document

Package: ruby-http
Description-md5: db6280d1bf67dfab5844b55174bed239
Description-en: easy-to-use client library for making http requests from Ruby
 It uses a simple method chaining system for building requests, similar
 to Python's Requests
 .
 Under the hood, The HTTP Gem uses http_parser.rb, a fast HTTP parsing
 native extension based on the Node.js parser and a Java port thereof.

Package: ruby-http-accept-language
Description-md5: 9a1ed4d181c79627651f76d7aded6e1b
Description-en: Ruby library that finds out which locale the user prefers
 This ruby library reads the language user specified in their browser
 and returns the language in an array. This package will be useful
 in cases where the locale should be selected depending on the
 user's locale settings automatically.
 .
 Features
  * Splits the http-header into languages specified by the user
  * Returns empty array if header is malformed.
  * Corrects case to xx-XX
  * Sorted by priority given, as much as possible.
  * Gives you the most important language
  * Gives compatible languages

Package: ruby-http-connection
Description-md5: 2e694f5517ce3b1765d46e53ef48736f
Description-en: HTTP helper library for Ruby
 Rightscale::HttpConnection is a robust HTTP/S library.  It implements
 a retry algorithm for low-level network errors.
 .
 Features:
  - provides put/get streaming
  - does configurable retries on connect and read timeouts,
    DNS failures, etc.
  - HTTPS certificate checking

Package: ruby-http-cookie
Description-md5: 16cac6ab303c54c40bedc64ae3023afc
Description-en: Ruby library to handle HTTP Cookies based on RFC 6265
 HTTP::Cookie is a Ruby library to handle HTTP Cookies based on RFC
 6265.  It has with security, standards compliance and compatibility
 in mind, to behave just the same as today's major web browsers.  It
 has builtin support for the legacy cookies.txt and the latest
 cookies.sqlite formats of Mozilla Firefox, and its modular API makes
 it easy to add support for a new backend store.

Package: ruby-http-form-data
Description-md5: 1f887e51a4c8ba9de43dbc2d933a6a55
Description-en: utility-belt to build form data request bodies
 This gem provides support for `application/x-www-form-urlencoded` and
 `multipart/form-data` types. It was pulled out from the gem 'http' to provide
 ability to build form data request bodies.

Package: ruby-http-parser
Description-md5: 812f4c4f7ebca23e031fc1959a3689e1
Description-en: Ruby FFI bindings to http-parser
 A super fast http parser for ruby.
 This gem will compile a local copy of http-parser.
 .
 Cross platform and multiple ruby implementation support
 thanks to ffi.

Package: ruby-http-parser.rb
Description-md5: 1ee86ce3fb6001fe280ade0859bf33fb
Description-en: Simple callback-based HTTP request/response parser
 A simple callback-based HTTP request/response parser for writing http servers,
 clients and proxies.
 .
 It is built on top of Joyent's libhttp-parser and it's Java port.

Package: ruby-http-parser.rb-doc
Description-md5: 9e945b72421124425c78f6082a0986e7
Description-en: Simple callback-based HTTP request/response parser (documentation)
 A simple callback-based HTTP request/response parser for writing http servers,
 clients and proxies.
 .
 It is built on top of Joyent's libhttp-parser and it's Java port.
 .
 This package contains the html documentation for ruby-http-parser.rb.

Package: ruby-httparty
Description-md5: 8f886a0a49a839fd171149e9508de585
Description-en: quick web service consumption from any Ruby class
 HTTParty is a Ruby library to build easily classes that can use
 Web-based APIs and related services. At its simplest, the
 HTTParty module is included within a class, which gives the class a
 "get" method that can retrieve data over HTTP. Further directives,
 however, instruct HTTParty to parse results (XML, JSON, and so on),
 define base URIs for the requests, and define HTTP authentication
 information.

Package: ruby-httpauth
Description-md5: 2151e805dca17d197034db9a122c92c7
Description-en: Ruby library for the HTTP authentication protocol (RFC 2617)
 HTTPauth is a library supporting the full HTTP Authentication protocol
 as specified in RFC 2617; both Digest Authentication and Basic Authentication.
 .
 HTTPAuth is built to be completely agnostic of the HTTP implementation.
 If you have access to your webserver's headers you can use this library
 to implement authentication.

Package: ruby-httpclient
Description-md5: 64e2660dc5aaae810fa1d3a9ffed0eba
Description-en: HTTP client library for ruby
 httpclient gives something like the functionality of
 libwww-perl (LWP) in Ruby.
 .
 Features:
    * methods like GET/HEAD/POST/* via HTTP/1.1.
    * HTTPS(SSL), Cookies, proxy, authentication(Digest, NTLM, Basic), etc.
    * asynchronous HTTP request, streaming HTTP request.
    * by contrast with net/http in standard distribution;
          o Cookies support
          o MT-safe
          o streaming POST (POST with File/IO)
          o Digest auth
          o Negotiate/NTLM auth for WWW-Authenticate (requires net/htlm module)
          o NTLM auth for WWW-Authenticate/Proxy-Authenticate (requires
            win32/sspi module)
          o extensible with filter interface
          o you don't have to care HTTP/1.1 persistent connection (httpclient
            cares instead of you).

Package: ruby-i18n
Description-md5: 0896021aa0d44b87542f4f659ff7d02b
Description-en: I18n and localization solution for Ruby
 Implementation of the Ruby on Rails I18n core API. This is the same I18n
 library included in the Ruby on Rails framework, but provided outside of
 that framework for those who wish to use it without pulling the entire
 Ruby on Rails framework.

Package: ruby-i18n-data
Description-md5: 72fa413a60d175ba731183dd5c6bcf99
Description-en: country/language names and 2-letter-code pairs, in 85 languages
 This package:
  *  Present users coutries/languages in their language
  *  Convert a country/language-name to its 2-letter-code
  *  Provides list of 2-letter-code/name pairs for all countries/languages in
     all languages

Package: ruby-i18n-inflector
Description-md5: 155ca6cce3c8cc0657c78797bd968fcf
Description-en: enhance i18n backend with inflections
 Enhances simple I18n backend in a way that it inflects translation data
 using pattern interpolation.
 .
 You can use I18n Inflector to (relatively easy) create
 translations for highly inflected languages (like those
 belonging to Slavic language family). You can also use it
 in translation services that may operate on sentences
 instead of exact words.

Package: ruby-i18n-inflector-rails
Description-md5: 897c7ec6aff14daa9b16075ca20883e1
Description-en: I18n Inflector bindings for Rails
 This Rails plug-in contains bindings for the I18n Inflector module for Ruby's
 I18n.
 .
 It's intended to be used in a projects where translations are performed
 by many people, yet there is a need to inflect sentences or words by
 user's gender, person or other data.
 .
 If you have a troop of happy translators that shouldn't have the
 ability to execute any code yet you need some simple inflection
 then this plug-in might help you.

Package: ruby-i18n-spec
Description-md5: e0ba2aa4eb6fa4367d10de0f939440ad
Description-en: Provides RSpec matchers for testing your locale files
 This gem intends to provide RSpec matchers for testing your locale files and
 their translations.
 .
 Includes a number of rspec matchers for speculation of your locale files in
 an easy manner.

Package: ruby-icalendar
Description-md5: cbdd55b2b5b1c8d0a94b6307d8465160
Description-en: ruby implementation of the iCalendar specification (RFC-5545)
 Implements the iCalendar specification (RFC-5545) in Ruby.  This allows for
 the generation and parsing of .ics files, which are used by a variety of
 calendaring applications.

Package: ruby-ice-cube
Description-md5: dc0c1537ceb6a4dd85b81d61f544f56b
Description-en: Ruby Date Recurrence Library
 ice_cube is a recurring date library for Ruby.  It allows for quick,
 programatic expansion of recurring date rules. The API is modeled after
 iCalendar events, in a pleasant Ruby syntax. The power lies in the ability
 to specify multiple rules, and have ice_cube quickly figure out whether the
 schedule falls on a certain date (.occurs_on?), or what times it occurs at
 (.occurrences, .first, .all_occurrences).

Package: ruby-ice-nine
Description-md5: e020ee77dcf48d18c0150fd808d52451
Description-en: Ruby module to deep freeze objects
 This package provides a Ruby module that allows one to deep freeze
 Ruby objects. This module provides an alternative method of freezing objects
 to the embedded from the Ruby Object module.

Package: ruby-image-processing
Description-md5: 7e862a25acb5324b2c09f3bb225656c3
Description-en: higher-level helper methods for image processing
 This package provides higher-level image processing helpers that are
 commonly needed when handling image uploads.
 .
 These are available image processing libraries:
  * ImageMagick
  * GraphicsMagick
  * libvips

Package: ruby-image-science
Description-md5: edeb530a882f3185e7128b3a6fa87ba0
Description-en: Clean Ruby library to provide simple transformations on images
 ImageScience is a clean Ruby library allowing for simple
 transformations on images, mainly geared towards generating thumbnails
 - The provided functions aim at scaling and cropping images.
 .
 Emphasis is made on keeping the code as lean as possible (at less than
 200 LoC), and on correctness to avoid memory leaks and similar
 problems that often accompany similar libraries.

Package: ruby-in-parallel
Description-md5: 2a0638380e9e23d884fdffd6274c67d6
Description-en: lightweight Ruby library with very simple syntax for parallelization
 A lightweight Ruby library with very simple syntax, making use of
 Process.fork to execute code in parallel.
 .
 Many other Ruby libraries that simplify parallel execution support
 one primary use case - crunching through a large queue of small,
 similar tasks as quickly and efficiently as possible. This library
 primarily supports the use case of executing a few larger and
 unrelated tasks in parallel, automatically managing the stdout and
 passing return values back to the main process.
 .
 This library was created to be used by Puppet's Beaker test framework
 to enable parallel execution of some of the framework's tasks, and
 allow users to execute code in parallel within their tests.
 .
 If you are looking for something that excels at executing a large
 queue of tasks in parallel as efficiently as possible, you should
 take a look at the parallel project.

Package: ruby-indentation
Description-md5: 736ac1e747c439460f7a18d0e85d3d13
Description-en: Ruby extensions for Array and String classes
 A small library of extensions to Ruby's Array and String classes that
 allow indentation manipulation of Strings and Arrays of Strings. Has
 the capability of working with multi-line strings. If you frequently
 use String arrays to manipulate text, see synopsis (In README.rdoc)
 for examples of how indentation can make your life easier.

Package: ruby-inflecto
Description-md5: c729ae236e8fd731f221240a38031a59
Description-en: Ruby library to transform words from singular to plural
 This package contains a Ruby library to transform words from singular to
 plural.
 This is a standalone inflector ripped out from dm-core The dm-core inflector
 originated from extlib. The extlib inflecto originated from active_support.

Package: ruby-influxdb
Description-md5: 1e2164fc3c29a212395ba32f368952a3
Description-en: library for InfluxDB
 This is the official Ruby library for InfluxDB (https://influxdb.com/).
 .
 InfluxDB is an Open-Source, Distributed, Time Series Database. It is a
 scalable datastore for metrics, events, and real-time analytics.

Package: ruby-inherited-resources
Description-md5: 4dd465e206d801ffd8e65959ce8522e9
Description-en: Speeds up development by making controllers inherit all restful actions
 Inherited Resources speeds up development by making your controllers inherit
 all restful actions so you just have to focus on what is important. It makes
 your controllers more powerful and cleaner at the same time.
 .
 In addition to making your controllers follow a pattern, it helps you to write
 better code by following fat models and skinny controllers convention.

Package: ruby-iniparse
Description-md5: 891153cdaf45993c8de17c0285529ee8
Description-en: library for parsing INI documents
 IniParse is a pure Ruby library for parsing INI configuration and data files.
 It preserves the structure of the original document, including whitespace and
 comments.

Package: ruby-inline
Description-md5: 079cc9d8f5167536a96eb6fcf00964c3
Description-en: Ruby library for embedding C/C++ external module code
 Inline allows you to write foreign code within your ruby code. It
 automatically determines if the code in question has changed and
 builds it only when necessary. The extensions are then automatically
 loaded into the class/module that defines it.
 .
 You can even write extra builders that will allow you to write inlined
 code in any language. Use Inline::C as a template and look at
 Module#inline for the required API.

Package: ruby-innertube
Description-md5: 0daad415cad0a7a650b479c7582e66ed
Description-en: thread-safe re-entrant resource pool
 This package provides the innertube gem, which is a thread-safe, re-entrant
 resource pool, extracted from the Riak Ruby Client, where it was used to pool
 connections to Riak.

Package: ruby-insist
Description-md5: da1bbb130dc4758eb91073db920a869a
Description-en: assertion library for both testing and for production code
 Insist is a simple block-driven assertion library for testing both
 development and production code.  Insist allows tests to be written
 in a more minimal and readable manner than Rspec.

Package: ruby-instance-storage
Description-md5: a94d55a90f074c6d371b6cf5a83339ce
Description-en: Ruby Library for managing class instances with dictionary
 If you include this library in your class, it assigns Symbol to each class
 instances.  From this feature, you can access instances with this name.

Package: ruby-instantiator
Description-md5: 6be59b31a5734d886bee3475f6eadf17
Description-en: Ruby library to instantiate an arbitrary class
 This Ruby library permits the instantiation of an arbitrary class without
 knowing anything about the constructor.

Package: ruby-integration
Description-md5: b141eb7f12f8e7d857d055f99f20652b
Description-en: Numerical integration for Ruby, with a simple interface
 This library offers numerical integration for Ruby, with a simple
 interface. The rectangular, trapezoidal, Simpson, adaptive
 quadrature, Monte Carlo and Romberg integration methods are available
 in pure Ruby. Better performance and support for infinite bounds can be
 obtained via Ruby/GSL (from the ruby-gsl package).

Package: ruby-introspection
Description-md5: 03079289741dc5e4f27b643b9c5fd290
Description-en: Ruby library for the inspection of method definitions on objects
 This library allows a dynamic inspection of the hierarchy of method definitions
 on a Ruby object. It can be used in particular to detect undesirable changes
 to classes made by other libraries.

Package: ruby-invisible-captcha
Description-md5: 12ecc08f18bc304092761f7e1e4af4cc
Description-en: Simple honeypot protection for RoR apps
 Invisible Captcha provides different techniques to protect your application
 against spambots.
 .
 The main protection is a solution based on the `honeypot` principle, which
 provides a better user experience, since there is no extra steps for real
 users, but for the bots.

Package: ruby-io-like
Description-md5: 8416c8f389972e0518b6f71acf5897a9
Description-en: Provides the functionality of an IO object to any class
 The IO::Like module provides the methods of an IO object based upon on a few
 simple methods provided by the including class: unbuffered_read,
 unbuffered_write, and unbuffered_seek.
 .
 These methods provide the underlying read, write, and seek support
 respectively, and only the method or methods necessary to the correct
 operation of the IO aspects of the including class need to be provided.
 .
 Missing functionality will cause the resulting object to appear read-only,
 write-only, and/or unseekable depending on which underlying methods are
 absent.  Additionally, read and write operations which are buffered in IO are
 buffered with independently configurable buffer sizes.
 Duplexed objects (those with separate read and write streams)
 are also supported.

Package: ruby-ipaddress
Description-md5: fac091249a3f268cd09388d82522fb99
Description-en: Ruby library to manipulate IPv4/IPv6 addresses
 IPAddress is a Ruby library designed to make manipulation
 of IPv4 and IPv6 addresses both powerful and simple. It maintains
 a layer of compatibility with Ruby's own IPAddr, while
 addressing many of its issues.

Package: ruby-iso
Description-md5: fa953fbb690bb20eece89cdf36fd1a5b
Description-en: Ruby implementation of ISO 639-1 alpha2 and ISO 3166-1
 This project is a ruby implementation of ISO 639-1 alpha2 and ISO 3166-1. It
 includes definitions of all two letter language and region codes. A subset of
 the ISO spec implemented in ruby.

Package: ruby-iso8601
Description-md5: 343d652c74e4ac8364b6fa52df13afa5
Description-en: Ruby parser to work with ISO 8601 dateTimes and durations
 ISO8601 is a simple implementation in Ruby of the ISO 8601 (Data elements and
 interchange formats - Information interchange - Representation of dates
 and times) standard.
 .
 https://en.wikipedia.org/wiki/ISO_8601

Package: ruby-jaeger-client
Description-md5: a7ce2ef441d13cf5a973833acaa48379
Description-en: OpenTracing Tracer implementation for Jaeger
 This package is Opentracing Tracer implementation in Ruby for Jaeger
 In order to understand this implementation, one must first be familiar with
 the OpenTracing API and terminology.
 .
 With this package the user can easily use this implementation of Opentracing
 API using keywords like .global_tracer , .start_active_span .

Package: ruby-jar-dependencies
Description-md5: 71fd5695af2b19b9dae50ade0001907c
Description-en: manage jar dependencies for Ruby gems
 jar-dependencies gem provides a simple way to load jars into JRuby's
 classloader. It also has additional and very convenient features like:
 allowing to declare jar dependencies in the gemspec files, vendoring
 jars with gems if needed, reuse jar files from Maven local
 repositories, lock down specific jar versions to avoid conflicts and
 support for proxy and mirrors functionalities thanks to a close
 integration with Maven.
 .
 The idea behind jar-dependencies is to do jar dependencies management
 in a correct way. It's somehow similar to the bundler tool but for
 jars.

Package: ruby-jaro-winkler
Description-md5: e1e9fa23ee1405356006e90c0da7781c
Description-en: Implementation of Jaro-Winkler distance algorithm
 jaro_winkler is an implementation of Jaro-Winkler distance algorithm which is
 written in C extension and will fallback to pure Ruby version in platforms
 other than MRI/KRI like JRuby or Rubinius. Both of C and Ruby implementation
 support any kind of string encoding, such as UTF-8, EUC-JP, Big5, etc.

Package: ruby-jbuilder
Description-md5: 37bcb7dcbd7d10b81c63c6838a9f5e09
Description-en: creation of JSON structures via a Builder-style DSL
 Jbuilder gives you a simple domain-specific language in Ruby for declaring
 JSON structures that beats massaging giant hash structures. It is particularly
 helpful when the generation process is fraught with conditionals and loops.
 .
 Jbuilder can be used stand-alone or as an ActionView template language for
 Rails.

Package: ruby-jekyll-archives
Description-md5: 9f3e5a86c1a31c34e4044f2a3e7917f1
Description-en: Jekyll plugin to generate post archive pages
 This is a Jekyll plugin to generate post archives by dates, tags, and
 categories. All archives are rendered with specific layouts using
 certain metadata available to the archive page.

Package: ruby-jekyll-avatar
Description-md5: d66d2bff8e77ff0fddaf96afe49eec45
Description-en: Jekyll plugin for rendering GitHub avatars
 Jekyll Avatar makes it easy to add GitHub avatars to a Jekyll site by
 specifying a username. If performance is a concern, Jekyll Avatar is deeply
 integrated with the GitHub avatar API, ensuring avatars are cached and load
 in parallel. It even automatically upgrades users to Retina images, when
 supported.

Package: ruby-jekyll-coffeescript
Description-md5: 5513dd474bb9fadd01e30049c9d7d477
Description-en: CoffeeScript converter for Jekyll
 Jekyll::Coffeescript is a coffeeScript converter for Jekyll.
 In your Jekyll site, create CoffeeScript files that start with the
 following lines:
 ```text
 ---
 ---
 ```
 You need those three dashes in order for Jekyll to recognize it as
 "convertible". They won't be included in the content passed to the
 CoffeeScript compiler.

Package: ruby-jekyll-commonmark
Description-md5: 8836f1e6536182e8a72b997ad3bf647b
Description-en: commonmark markdown converter for jekyll
 Jekyll Markdown converter that uses libcmark, the reference parser for
 CommonMark.

Package: ruby-jekyll-compose
Description-md5: 750e7c8f426d6e32d950647067f6bd55
Description-en: Jekyll plugin to create content from the command line
 This is a Jekyll plugin which adds new commands to create, (un)publish,
 or rename posts, drafts, pages and general content. You can also specify
 default frontmatter to be added and your default editor to open the
 newly created files.

Package: ruby-jekyll-data
Description-md5: f5f320b7bb5e0310833e04e89835213d
Description-en: read and add data files within Jekyll theme-gems to site hash
 Introducing a plugin that reads data files within jekyll theme-gems and adds
 the resulting hash to the site's internal data hash. If a _config.yml is
 present at the root of the theme-gem, it will be evaluated and the extracted
 hash data will be incorporated into the site's existing config hash.

Package: ruby-jekyll-default-layout
Description-md5: b71879cb01523a96cc94052ff53e5df0
Description-en: plugin to set default layouts for Jekyll pages and posts
 A Jekyll plugin to silently set the default layout for pages or posts if no
 layout is specified in the Markdown file.

Package: ruby-jekyll-feed
Description-md5: e95ad7dfb355ad1ed8d3ef8060222c73
Description-en: Atom feed generator for Jekyll
 This package provides a Jekyll plugin to generate an Atom (RSS-like)
 feed of your Jekyll posts.

Package: ruby-jekyll-gist
Description-md5: 91d3c09f9178f24a9ce3f49c5f5d3d9c
Description-en: Liquid tag for displaying GitHub Gists in Jekyll sites
 Jekyll::Gist is plugin provides for Liquid tag in order to displaying
 GitHub Gists in Jekyll sites, like: `{% gist %}`.
 .
 If you provide a personal access token with Gist scope, as the environmental
 variable JEKYLL_GITHUB_TOKEN, Jekyll Gist will use the Gist API to speed up
 site generation.

Package: ruby-jekyll-github-metadata
Description-md5: 78ccf5969671a55b0aa808af8fd49b17
Description-en: Jekyll plugin to propagate the site.github namespace
 Jekyll plugin to propagate the site.github namespace and set default values
 for use with GitHub Pages.
 .
   * Propagates the site.github namespace with repository metadata
   * Sets site.title as the repository name, if none is set
   * Sets site.description as the repository tagline if none is set
   * Sets site.url as the GitHub Pages domain (cname or user domain), if none
     is set
   * Sets site.baseurl as the project name for project pages if none is set

Package: ruby-jekyll-include-cache
Description-md5: ca8ad03da9890c4adce395afcf743b53
Description-en: Jekyll plugin to cache the rendering of Liquid includes
 Render computationally expensive includes (such as a sidebar or navigation)
 once, and then reuse the output any time an include is called with the same
 arguments, potentially speeding up the site's build significantly.

Package: ruby-jekyll-last-modified-at
Description-md5: 1e0369ee26649c66183d77c4562ecb64
Description-en: indicate the last time a file was modified
 This Jekyll plugin adds a liquid tag to indicate the last time a file was
 modified. The tag can be called and processed to pass along your own
 time format.

Package: ruby-jekyll-mentions
Description-md5: 448ba7ec71ca1353cc783faaab0ec007
Description-en: Jekyll plugin to add mentionable link support
 This is a Jekyll plugin to turn mentions into links. It does not notify the
 mentioned user. It is possible to use a social networks, even your own.

Package: ruby-jekyll-multiple-languages
Description-md5: bd1107d4f3e47d3f1f744d96d434eda5
Description-en: Jekyll plugin to internationalize sites
 Jekyll Multiple Languages is an internationalization plugin for Jekyll. It
 compiles a Jekyll site for one or more languages with a similar approach as
 Rails does. The different sites will be stored in subfolders with the same
 name as the language it contains.

Package: ruby-jekyll-optional-front-matter
Description-md5: 148c4438c6854166940655e1ed7064e9
Description-en: jekyll plugin to make front matter optional for markdown files
 Jekyll requires that any markdown file has YAML front matter in order to be
 processed and converted to HTML. But sometimes it's easier to simply add a
 plain markdown file. Using this plugin any markdown file in the site's
 source - except for a short list of common meta files - will be treated as
 a page and rendered as HTML by default, even if it doesn't have YAML front
 matter.

Package: ruby-jekyll-paginate
Description-md5: e31672577bcdd79d01c3145973d020ed
Description-en: Default pagination generator for Jekyll
 Jekyll::Paginate is a plugin providing the default pagination generator
 for Jekyll.

Package: ruby-jekyll-paginate-v2
Description-md5: 03de43d8c02f122218557e090849b0e9
Description-en: enhanced pagination generator for Jekyll 3
 The enhanced replacement for the previously built-in Jekyll::Paginate
 generator is responsible for reading the posts and collections of the site
 and split them correctly across multiple pages according to the supplied
 configuration. It also performs the necessary functions to link to the
 previous and next pages in the page-sets that it generates.
 .
 AutoPages is an optional feature that can automatically generate paginated
 pages for all collections, tags and categories used in the pages on the site.

Package: ruby-jekyll-readme-index
Description-md5: d13ae6c34456984be8766038dfa9746f
Description-en: plugin for building Jekyll sites with any GitHub-hosted theme
 A Jekyll plugin for building Jekyll sites with any publicly GitHub-hosted
 theme. A branch, tag, or commit can also be specified.

Package: ruby-jekyll-redirect-from
Description-md5: 7774bb455c05948669b29bb2d8f95197
Description-en: Jekyll plugin to give posts and pages multiple URLs
 When importing posts and pages, it's annoying and impractical to create new
 pages in the proper subdirectories so they redirect to the new post URL.
 Instead of dealing with maintaining those pages for redirection,
 jekyll-redirect-from handles it them.

Package: ruby-jekyll-relative-links
Description-md5: 9026f16be27c1683a84b45e2cb092bb5
Description-en: plugin for Jekyll to convert relative links to Markdown files
 This plugin converts relative links to Markdown files to their rendered
 equivalents,s so Markdown files rendered by GitHub Pages will behave similar
 to Markdown files rendered on GitHub.

Package: ruby-jekyll-remote-theme
Description-md5: d13ae6c34456984be8766038dfa9746f
Description-en: plugin for building Jekyll sites with any GitHub-hosted theme
 A Jekyll plugin for building Jekyll sites with any publicly GitHub-hosted
 theme. A branch, tag, or commit can also be specified.

Package: ruby-jekyll-sass-converter
Description-md5: 3c0ea1ffae469874d6754380ac3c89c1
Description-en: Basic Sass converter for Jekyll
 This package provides Basic Sass converter for jekyll.
 For more information about usage, please see following web site:
 Jekyll Assets Documentation: http://jekyllrb.com/docs/assets/

Package: ruby-jekyll-seo-tag
Description-md5: b95cc53cceff196405906b83aac03778
Description-en: Jekyll plugin to add metadata tags
 Jekyll SEO Tag adds the following meta tags to the site:
 .
   * Page title, with site title or description appended
   * Page description
   * Canonical URL
   * Next and previous URLs on paginated pages
   * JSON-LD Site and post metadata for richer indexing
   * Open Graph title, description, site title, and URL
   * Twitter Summary Card metadata
 .
 While one could theoretically add the necessary metadata tags oneself,
 Jekyll SEO Tag provides a battle-tested template of crowdsourced
 best-practices.

Package: ruby-jekyll-sitemap
Description-md5: 7fa0caf21af4b5ac592906a2670dbd41
Description-en: Jekyll plugin to silently generate a sitemap
 This is a Jekyll plugin to silently generate a sitemaps.org compliant sitemap
 for a Jekyll site. The user has full control, which files should be excluded.

Package: ruby-jekyll-test-plugin
Description-md5: f5bc0ca6e7fb1448e37a91cd2956579c
Description-en: facility to test Jekyll plugins
 A test plugin for Jekyll's 'gem' config option. This plugin allows the
 straight inclusion of plguins as rubygems into Jekyll instead of just
 `.rb` files.
 .
 This package should be used only as a build dependency to ruby-jekyll.

Package: ruby-jekyll-test-plugin-malicious
Description-md5: 335524b20d096347d39b9e1abb7adf10
Description-en: Malicious test plugin for Jekyll
 A test plugin for Jekyll's 'gem' config option. This plugin add
 additional "malicious" test.
 .
 This package should be used only as a build dependency to jekyll.

Package: ruby-jekyll-titles-from-headings
Description-md5: 86d698b51e8e8c7a84942a150d353005
Description-en: jekyll plugin to create a page title from the markdown heading
 A Jekyll plugin to pull the page title from the first Markdown heading when
 none is specified in the YAML front matter. This allows one to store the
 title semantically in the document itself so that it's readable, both as
 Markdown and when rendered, and machine-readable for plugins like
 ruby-jekyll-seo-tag.

Package: ruby-jekyll-toc
Description-md5: e2ec84d119ecc5e30ff3397422941a63
Description-en: Jekyll plugin to generate a table of contents
 This is a configurable plugin for Jekyll which generates a table of contents
 as an unordered list. It provides several filters and a liquid tag. The
 configuration allows one to define the minimum and maximum header levels,
 and the resulting list and item class names.

Package: ruby-jekyll-watch
Description-md5: 8152a8395142c5ea7fa4aafd2ee3ce8a
Description-en: Rebuild your Jekyll site when a file changes
 Jekyll::Watch is plugin in order to rebuild your Jekyll site when a
 file changes with the `--watch` switch.

Package: ruby-jeweler
Description-md5: c73be9ba3eb0649f9898c87cf38c339f
Description-en: Opinionated tool for creating and managing RubyGem projects
 Simple and opinionated helper for creating Rubygem projects on GitHub

Package: ruby-jira
Description-md5: 9d8c0727f15ba3e15223b9723b803028
Description-en: Atlassian JIRA REST API client
 Ruby library for use with the Atlassian JIRA REST API.
 .
 Jira is a proprietary issue tracking product, developed by Atlassian. It
 provides bug tracking, issue tracking, and project management functions.

Package: ruby-jmespath
Description-md5: d3a410d1671aa3f13cf2fc0844cc3c92
Description-en: Ruby implementation of JMESPath
 An implementation of JMESPath for Ruby. This implementation supports searching
 JSON documents as well as native Ruby data structures.
 .
 You can call JMESPath.search with a valid JMESPath search expression and data
 to search. It will return the extracted values.

Package: ruby-jnunemaker-matchy
Description-md5: 7a8a9492dbbef488360061e627b5e7a4
Description-en: RSpec-like matcher system for use in Test::Unit
 Matchy provides a sophisticated matcher system for developing Ruby
 testing frameworks in an RSpec-like behavior-driven style, which
 eliminates the overhead of writing assertions.

Package: ruby-joiner
Description-md5: 9f015ef0408e31b537d54b6e623cc66d
Description-en: builds activerecord joins from association paths
 Builds ActiveRecord outer joins from association paths and provides
 references to table aliases.

Package: ruby-journey
Description-md5: 1fe5dbba83a78e81668322e64c12bcd4
Description-en: Journey is a router for Ruby on Rails
 Journey is a router designed for Rails framework.  It routes
 requests.

Package: ruby-jquery-atwho-rails
Description-md5: bf16802aabe4b50c96fb61b0de78c774
Description-en: jquery plugin for @mentions
 This is a jQuery plugin that implement Github-like mentions. You can type @
 and start typing a few characters of the usernames to get a matching list.
 Users mentioned this way will get an email notification.
 .
 Learn more at /usr/share/doc/ruby-jquery-atwho-rails/README.md.gz

Package: ruby-jquery-datatables-rails
Description-md5: 0e2d8a24b180761653cc63feaa293652
Description-en: jquery datatables for rails
 This package provides jquery datatables for rails.

Package: ruby-jquery-rails
Description-md5: c72a5b85522fc81cb03a7b2f129872db
Description-en: jQuery for Rails 3+
 This package provides jQuery, jQuery UI and the jQuery-ujs driver for Rails 3
 and 4 applications, and integrates these libraries into the Rails 3+ asset
 pipeline.

Package: ruby-jquery-scrollto-rails
Description-md5: e78e0684bb47d90530748fa6eb44500c
Description-en: library to use jQuery scrollTo with Rails
 This gem provides jQUery scrollTo plugin to be used with Rails application.
 The scrollTo plugin provides Lightweight, cross-browser and highly
 customizable animated scrolling with jQuery.

Package: ruby-jquery-ui-rails
Description-md5: 59777f29f417dd393414ad1678d01d29
Description-en: jQuery UI packaged for the Rails asset pipeline
 jQuery UI's JavaScript, CSS, and image files packaged for the Rails 3.1+ asset
 pipeline
 .
 This package depends on libjs-jquery-ui already in Debian as a separate
 package (which may differ in minor versions from the embedded jquery-ui
 release).

Package: ruby-js-image-paths
Description-md5: d632a13d74b7d47ae579a103682c57b7
Description-en: Rails image paths in your JS
 Easily access your image assets in your JavaScript code. This works similar
 to the image_path helper in Rails.
 .
 It is a rails engine and accessed via sprockets

Package: ruby-js-regex
Description-md5: 3d39a07cd8f9191f79286cc252ca8bf5
Description-en: Converts Ruby regexes to JavaScript regexes
 JsRegex converts Ruby's native regular expressions for JavaScript, taking care
 of various incompatibilities and returning warnings for unsolvable differences.

Package: ruby-js-routes
Description-md5: b191e9bf0f9cda116a1cee9d0947af2d
Description-en: Brings Rails named routes to javascript
 Generates javascript file that defines all Rails named routes as javascript
 helpers
 .
 Learn about its usage from /usr/share/doc/ruby-js-routes/Readme.md.gz

Package: ruby-json
Description-md5: 580962466871607374425ac53965b45b
Description-en: JSON library for Ruby
 This library implements the JSON (JavaScript Object Notation) specification in
 Ruby, allowing the developer to easily convert data between Ruby and JSON. You
 can think of it as a low fat alternative to XML, if you want to store data to
 disk or transmit it over a network rather than use a verbose markup language.

Package: ruby-json-jwt
Description-md5: 876d102fea648b264a964a86a5af74b7
Description-en: JSON Web Token and its family in Ruby
 JSON Web Token and its family (JSON Web Signature, JSON Web
 Encryption and JSON Web Key) in Ruby

Package: ruby-json-schema
Description-md5: 0a8dded0e31f01df668a1c5ef0a373e2
Description-en: Ruby JSON Schema Validator
 This library is intended to provide Ruby with an interface for validating JSON
 objects against a JSON schema conforming to JSON Schema Draft 4. Legacy
 support for JSON Schema Draft 3, JSON Schema Draft 2, and JSON Schema Draft 1
 is also included.

Package: ruby-json-spec
Description-md5: e4255da1b70f74bd53f5c6c389c1f416
Description-en: Ruby library that provides JSON helpers for RSpec and Cucumber
 json_spec provides useful RSpec (testing tool for the Ruby language)
 matchers and Cucumber (tool to validate acceptance tests written in a
 behavior-driven development (BDD) styles) steps for testing JSON content.
 .
 It eases the testing of API-centric projects.

Package: ruby-jsonapi-renderer
Description-md5: c7ba9cb9803b9ca6cfab614368ae6ea2
Description-en: Render JSONAPI documents
 Ruby gem for rendering JSON API documents

Package: ruby-jsonify
Description-md5: 8bd0fb80c86e481d00cdb8dc3c14fd0c
Description-en: builder-style engine to turn Ruby objects into JSON
 Jsonify provides a builder-style engine for creating correct JSON
 representations of Ruby objects entirely based on the JSON
 specification.

Package: ruby-jsonpath
Description-md5: d76156e8e39993e3466b004a02060374
Description-en: Ruby implementation of JsonPath
 Every JSON object is composed on an inherent hierarchy and structure. Every
 JSON ends up creating a tree of nodes, where each node is a JSON Element.
 .
 This hierarchy in JSON allows us to create a standard mechanism to traverse
 through specific parts of the JSON. A standard way to do this is called
 JSONPath.

Package: ruby-jwt
Description-md5: 91be40a361cee61b5d1d6de479642cb1
Description-en: JSON Web Token implementation in Ruby
 A JSON Web Token (JWT) is a compact token format intended for space
 constrained environments such as HTTP Authorization headers and URI query
 parameters, and used as a means of representing claims to be transferred
 between two parties. The claims in a JWT are encoded as a JSON object that is
 digitally signed.
 .
 The JWT specification supports several algorithms for cryptographic signing.
 This library currently supports HMAC (HS256, HS384, HS512) and RSA
 (RS256, RS256, RS512). Unsigned plaintext JWT's are supported too.

Package: ruby-kakasi-ffi
Description-md5: 113f0b58af3851e110b615b68724befe
Description-en: KAKASI interface for Ruby with Fiddle/DL/FFI
 KAKASI interface for the object-oriented scripting language Ruby.
 KAKASI is the language processing filter to convert Kanji characters
 to Hiragana, Katakana or Romaji(alphabetical description of Japanese
 pronunciation).
 This package is replacement for ruby-kakasi.

Package: ruby-kaminari
Description-md5: 809baff3edd3b536122f1d277f2ff60d
Description-en: pagination engine plugin for Rails 3+ and other modern frameworks
 Kaminari is a Scope & Engine based, clean, powerful, agnostic, customizable
 and sophisticated paginator for Rails 3+
 .
 Features:
  * Clean
  * Easy to use
  * Simple scope-based API
  * Customizable engine-based I18n-aware helper
  * ORM & template engine agnostic
  * Modern
 .
 Learn more at /usr/share/doc/ruby-kaminari/README.rdoc

Package: ruby-kaminari-actionview
Description-md5: 341781c6228bdff5549ba1e8f1608b75
Description-en: Kaminari Action View adapter
 kaminari-actionview provides pagination helpers for your Action View templates
 .
 Kaminari is a Scope & Engine based, clean, powerful, agnostic, customizable
 and sophisticated paginator for Rails 3+

Package: ruby-kaminari-activerecord
Description-md5: 53fefbafb76a2453b8c3280419d82603
Description-en: Kaminari Active Record adapter
 kaminari-activerecord lets your Active Record models be paginatable
 .
 Kaminari is a Scope & Engine based, clean, powerful, agnostic, customizable
 and sophisticated paginator for Rails 3+

Package: ruby-kaminari-core
Description-md5: ad5aab5dd28c89febcd1d4e4e84714fb
Description-en: Kaminari's core pagination library
 kaminari-core includes pagination logic independent from ORMs and view
 libraries.
 .
 Kaminari is a Scope & Engine based, clean, powerful, agnostic, customizable
 and sophisticated paginator for Rails 3+

Package: ruby-kgio
Description-md5: 5167dbb6381dca96305b4427e11b3f36
Description-en: Kinder, gentler I/O for Ruby
 kgio provides non-blocking I/O methods for Ruby without raising
 exceptions on EAGAIN and EINPROGRESS. It is intended for use with the
 Unicorn and Rainbows! Rack servers, but may be used by other
 applications (that run on Unix-like platforms).

Package: ruby-kitchen-docker
Description-md5: 7c3a74aac3923015b38d9849be02489a
Description-en: Docker Driver for Test Kitchen
 A Docker Driver for Test Kitchen.
 .
 Test Kitchen is a test harness tool to execute your configured code on one or
 more platforms in isolation. A driver plugin architecture is used which lets
 you run your code on various cloud providers and virtualization technologies
 such as Amazon EC2, Blue Box, CloudStack, Digital Ocean, Rackspace, OpenStack,
 Vagrant, Docker, LXC containers, and more. Many testing frameworks are already
 supported out of the box including Bats, shUnit2, RSpec, Serverspec, with
 others being created weekly.

Package: ruby-kitchen-salt
Description-md5: 406351602c162f5a5c0310905a851247
Description-en: salt provisioner for test-kitchen
 salt provisioner for test-kitchen so that you can test all the things

Package: ruby-knapsack
Description-md5: f776436ea158d4e0aeea88e881fb542e
Description-en: Parallel tests across CI server nodes based on time execution
 Knapsack splits tests across CI nodes based on each test file's time execution
 and makes sure that tests will run comparable time on each node.
 .
 It generates a test time execution report and uses it for future test runs.

Package: ruby-knife-acl
Description-md5: e7961f4084a1565eea3156bec26c549b
Description-en: Knife plugin to manipulate Chef server access control lists
 Chef has ACLs for each object it manages. These aren't configurable through
 knife built-ins.
 .
 This package adds the "knife acl" series of commands for managing them.

Package: ruby-kpeg
Description-md5: 52819d9ce264b45c2e42d7a5d5ca0622
Description-en: KPeg is a simple PEG library for Ruby
 KPeg is a simple PEG (parsing expression grammar) library for Ruby. It
 provides an API as well as native grammar to build the grammar. KPeg
 strives to provide a simple, powerful API without being too exotic.

Package: ruby-kramdown
Description-md5: b321a0892ba94ffaa24e230fa856d937
Description-en: Fast, pure-Ruby Markdown-superset converter - ruby library
 The kramdown is a fast, pure Ruby, Markdown parser and converter, using
 a strict syntax definition and supporting several common
 extensions.
 .
 The kramdown library is mainly written to support the kramdown-to-HTML
 conversion chain. However, due to its flexibility it supports other
 input and output formats as well. Here is a list of the
 supported formats:
   * input formats: kramdown (a Markdown superset), Markdown, HTML
   * output formats: HTML, kramdown, LaTeX (and therefore PDF)
 .
 This package contains the ruby library.

Package: ruby-kramdown-rfc2629
Description-md5: d742789c66c063e299359097bae08648
Description-en: generate XML2RFC XML from Markdown
 kramdown-rfc2629 is an additional kramdown backend that allows
 generation of XML2RFC XML markup (also known as RFC 2629 compliant
 markup). This is useful for anyone who is writing Internet-Drafts
 and RFCs in the IETF.

Package: ruby-kubeclient
Description-md5: ecd2fc477384ca912e0b5819120187b4
Description-en: client for Kubernetes REST API
 The client supports GET, POST, PUT, DELETE on nodes, pods, secrets, services,
 replication controllers, namespaces, resource quotas, limit ranges, endpoints,
 persistent volumes, persistent volume claims, component statuses and service
 accounts.
 .
 The client currently supports Kubernetes REST API version v1.

Package: ruby-kyotocabinet
Description-md5: 2691c1dcacb7081871f21bf3d5de747e
Description-en: Straightforward implementation of DBM - Ruby bindings
 Kyoto Cabinet is a library of routines for managing a database. The
 database is a simple data file containing records, each is a pair of
 a key and a value. Every key and value is serial bytes with variable
 length. Both binary data and character string can be used as a key and
 a value. Each key must be unique within a database. There is neither
 concept of data tables nor data types. Records are organized in
 hash table or B+ tree.
 .
 Warning: while this library is thread-safe with Ruby 1.9.x,
 it is NOT thread-safe with Ruby 1.8.x.
 .
 This package contains the bindings for the Ruby scripting language.

Package: ruby-lapack
Description-md5: 0511ccca38f6892dba79854a2671d5d9
Description-en: Ruby wrapper of LAPACK
 Ruby-LAPACK is Ruby wrapper of LAPACK library using NArray.
 .
 This package provides libraries and documents.

Package: ruby-launchy-shim
Description-md5: 1356f2ac2d6e12a8a73a41719dc0a426
Description-en: helper class for launching a web browser
 Launchy is a helper class for launching a web browser in a fire and forget
 manner from Ruby programs. This is a minimal shim that replaces the original
 Launchy on Debian, without all the code to support non-Debian systems.

Package: ruby-ldap
Description-md5: 993ad84cc23343ea7a99381e71593dc0
Description-en: OpenLDAP library binding for Ruby
 Ruby/LDAP is a Ruby extension library which provides an interface to the
 LDAP (e.g. OpenLDAP, Netscape SDK and Active Directory). The common API
 for application development is described in RFC1823.

Package: ruby-leaflet-rails
Description-md5: 158598f7d41e4df23e0a934589717697
Description-en: Use leaflet.js with Rails 4
 This gem provides the leaflet.js map display library for your Rails 4
 application.

Package: ruby-letter-opener
Description-md5: b060dde1bb07cd1f0b83f832a85fcddd
Description-en: Preview mail in the browser instead of sending
 Preview email in the default browser instead of sending it.
 This means you do not need to set up email delivery in your development
 environment, and you no longer need to worry about accidentally
 sending a test email to someone else's address.

Package: ruby-levenshtein
Description-md5: cc4585abd0fabed13870bc529f1da96d
Description-en: calculates the Levenshtein distance between two sequences
 The Levenshtein distance is a metric for measuring the amount of difference
 between two sequences (i.e., the so called edit distance). The Levenshtein
 distance between two sequences is given by the minimum number of operations
 needed to transform one sequence into the other, where an operation is an
 insertion, deletion, or substitution of a single element.
 .
 This Ruby library can work on strings, arrays or any other objects provided
 the sequences respond to :each and the objects to :hash and :eql?.

Package: ruby-libprelude
Description-md5: f398aa815e81387c46bad39694050dbf
Description-en: Security Information and Events Management system [ Ruby bindings ]
 The Prelude Library is a collection of generic functions providing
 communication between the Prelude SIEM suite components. It provides a
 convenient interface for sending alerts to Prelude Manager with transparent
 SSL, failover and replication support, asynchronous events and timer
 interfaces, an abstracted configuration API (hooking at the commandline, the
 configuration line, or wide configuration, available from the Manager), and a
 generic plugin API. It allows you to easily turn your favorite security
 program into a Prelude sensor.
 .
 This package contains the ruby bindings for Prelude.

Package: ruby-librarian
Description-md5: 1c1eba261ae8944a0cc557bef1da0d8e
Description-en: framework for writing bundlers
 Librarian is a framework for writing bundlers, which are tools that resolve,
 fetch, install, and isolate a project's dependencies, in Ruby.
 .
 A bundler written with Librarian will expect you to provide a specfile listing
 your project's declared dependencies, including any version constraints and
 including the upstream sources for finding them. Librarian can resolve the
 spec, write a lockfile listing the full resolution, fetch the resolved
 dependencies, install them, and isolate them in your project.
 .
 A bundler written with Librarian will be similar in kind to Bundler, the
 bundler for Ruby gems that many modern Rails applications use.

Package: ruby-librdf
Description-md5: c2321911067fa10ea7bb47eab61ee25e
Description-en: Ruby language bindings for the Redland RDF library
 This package contains the files needed to use the Redland Resource
 Description Framework (RDF) library in Ruby programs.

Package: ruby-libvirt
Description-md5: a7d1a9e27136846efcead6abb45fa6bf
Description-en: Ruby bindings for libvirt
 This library provides Ruby bindings for using libvirt.
 .
 Libvirt is a toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, and XEN.

Package: ruby-libxml
Description-md5: 9687b15af7c8680988a7d37fe981a11c
Description-en: Ruby Bindings for LibXML2
 The Libxml-Ruby project provides Ruby language bindings for the GNOME
 Libxml2 XML toolkit. It is free software, released under the MIT License.
 Libxml-ruby's primary advantage over REXML is performance - if speed
 is your need, these are good libraries to consider, as demonstrated
 by the informal benchmark below.

Package: ruby-licensee
Description-md5: c5c6cd24635ebc0cd1a900136caec6b5
Description-en: program to detect open source project licenses
 Licensee automates the process of reading LICENSE files and
 compares their contents to known licenses using a fancy maths.

Package: ruby-linked-list
Description-md5: bcb1db4e64b72002629ded7fd33ce51d
Description-en: Ruby implementation of Doubly Linked List
 Ruby implementation of Doubly Linked List, following some Ruby idioms.

Package: ruby-liquid
Description-md5: 76b4081e014b0907107fba3ecba844ee
Description-en: Ruby library for rendering safe HTML and email templates
 Liquid is a secure, non-evaling end user template engine.  It has simple
 markup and beautiful results and is able to style emails as well as HTML.
 .
 It was developed for usage in Ruby on Rails web applications and integrates
 seamlessly as a plugin but it also works excellently as a stand alone library.

Package: ruby-listen
Description-md5: 05d88119d5a5ade6eb916b9d6a9949ba
Description-en: Ruby library listening to file modifications
 This Ruby library listens to file modifications and notifies you about the
 changes.
 .
 It detects file modification, addition and removal, and supports multiple
 directories from a single listener. It uses checksum comparison for
 modifications made under the same second, and allows supplying regexp-patterns
 to ignore and filter paths for better results.

Package: ruby-little-plugger
Description-md5: 6a1613a274f1b84337b161945b5fb409
Description-en: module that provides Gem based plugin management
 LittlePlugger is a module that provides Gem based plugin management.
 By extending your own class or module with LittlePlugger you can easily
 manage the loading and initializing of plugins provided by other gems.

Package: ruby-locale
Description-md5: f2c70041e71db669498c1cf0d0e6019b
Description-en: Locale library for Ruby
 Ruby-Locale is a pure Ruby library which provides a basic API for
 localization.
 .
 It aims to support programs of every kind, from GUI applications to web
 libraries, on all the platforms that Ruby works on, and to provide a
 central hub for other i18n/l10n software.

Package: ruby-lockfile
Description-md5: 9b089c2af8f7940f679d508896640796
Description-en: create NFS-safe lockfiles
 This is a Ruby library for creating lockfiles safe for use over NFS (Network
 File System).
 .
 The package contains both the library for including lockfile in Ruby programs
 and the command line program rlock. The program rlock can either create
 lockfiles or optionally can run a program with a lockfile.

Package: ruby-log4r
Description-md5: 58564913c577a928503b88dd3856d027
Description-en: Logging library for Ruby
 Log4r is a comprehensive and flexible logging library written in Ruby for
 use in Ruby programs.
 .
 It features a hierarchical logging system of any number of levels, custom
 level names, logger inheritance, multiple output destinations, execution
 tracing, custom formatting, thread safety and more.

Package: ruby-logger-application
Description-md5: b55d85009f694233c3b97fee524998a3
Description-en: add logging support to Ruby application
 This gem provides the ability to add logging support to a Ruby application. It
 is extracted from Ruby's Logger, as Logger::Application was dropped in Ruby
 2.2

Package: ruby-logging
Description-md5: 045f9190613edac5ed8c9fadab85ce18
Description-en: flexible and extendable logging library for Ruby
 Logging is a flexible logging library for use in Ruby programs based on the
 design of Java's log4j library. It features a hierarchical logging system,
 custom level names, multiple output destinations per log event, custom
 formatting, and more.

Package: ruby-logging-rails
Description-md5: 497226dc0ee977c6506e6c5bf3c628d6
Description-en: Logging for rail applications
 A Railtie for integrating the logging framework into your Rails 3
 application.

Package: ruby-logify
Description-md5: effdefca16db880738dfd2eeb688dacc
Description-en: Lightweight logging library for Ruby
 Logify is an incredibly light-weight Ruby logger with a developer-friendly API
 and no dependencies. It is intentionally very opinionated and is optimized for
 speed. This combination makes it perfect for command line applications.

Package: ruby-lograge
Description-md5: 796130c8a07156871cf4e075033e5feb
Description-en: Tame Rails' multi-line logging into a single line per request
 Lograge is an attempt to bring sanity to Rails' noisy and unusable, unparsable
 and, in the context of running multiple processes and servers, unreadable
 default logging output. Rails' default approach to log everything is great
 during development, it's terrible when running it in production.

Package: ruby-loofah
Description-md5: dd62dd12a9dcd46918a43f84bbd3b246
Description-en: manipulation and transformation of HTML/XML documents and fragments
 Loofah is a general library for manipulating and transforming HTML/XML
 documents and fragments. It's built on top of Nokogiri and libxml2, so
 it's fast and has a nice API.
 .
 Loofah excels at HTML sanitization (XSS prevention). It includes some
 nice HTML sanitizers, which are based on HTML5lib's whitelist, so it
 most likely won't make your codes less secure. (These statements have
 not been evaluated by Netexperts.)

Package: ruby-lru-redux
Description-md5: d808bbc3f7ee26fd595bbd149d166ded
Description-en: efficient implementation of an lru cache
 Efficient and thread safe LRU cache.
 .
 Least Recently Used cache discards least recently used items first from the
 cache.

Package: ruby-luabridge
Description-md5: 4452a5ac2819bbe77e3d0f81cc00774f
Description-en: access Lua from Ruby
 RubyLuaBridge is a seamless bridge between Ruby and Lua.  It is a C
 extension that uses the actual Lua C library.
 .
 This package is built for the default Ruby version.

Package: ruby-lumberjack
Description-md5: 5b4e81e3bb2601517766ef14b8628bc7
Description-en: Lumberjack is a logging implementation in Ruby
 A simple, powerful, and very fast logging utility that can be a drop in
 replacement for Logger or ActiveSupport::BufferedLogger. Provides support
 for automatically rolling log files even with multiple processes writing the
 same log file.

Package: ruby-mab
Description-md5: 42e630e15d3c8ce9b5792854690dd20e
Description-en: templating engine for writing HTML in pure Ruby
 With Mab, HTML is generated by mapping method calls to HTML elements,
 object attributes to element classes or HTML IDs and block arguments to
 the contents of the elements.
 .
 This engine is an alternative to ERb which weaves the two languages
 together.  It is also a replacement for templating languages which use
 primitive languages that blend with HTML.
 .
 Mab is almost fully backward compatible with the Markaby library.

Package: ruby-macaddr
Description-md5: 4098cd8aec024d45c39459c10f74df62
Description-en: library to read system mac address
 cross platform mac address determination for ruby.
 .
 This is useful for generating unique identification numbers (uuid).
 .
 Read /usr/share/ruby-macaddr/README for more info.

Package: ruby-magic
Description-md5: 79a0ef4e6808a8f13a2ab6e1458bcffe
Description-en: Ruby FFI bindings to libmagic
 This package provides a Ruby FFI wrapper to the "magic" library that
 determines content type and encoding of files and strings. The library does
 three types of tests: file system tests, magic number tests, and language
 tests. The first test that succeeds causes the file type to be returned.

Package: ruby-mail
Description-md5: 27ac399008a2ddc35e4b594efd5887d0
Description-en: Ruby library to handle email generation, parsing and sending
 The purpose of this library is to provide a single point of access to
 handle all email functions, including sending and receiving
 emails. All network type actions are done through proxy methods to
 Net::SMTP, Net::POP3 etc.
 .
 Built from experience with TMail, it is designed to be a pure ruby
 implementation that makes generating, sending and parsing emails a no
 brainer.
 .
 Finally, Mail has been designed with a very simple object oriented
 system that really opens up the email messages you are parsing, if
 you know what you are doing, you can fiddle with every last bit of
 your email directly.

Package: ruby-mail-gpg
Description-md5: 2190bcec7f4c7257fd3a7bf5582d1226
Description-en: GPG/MIME encryption plugin for the Ruby Mail Library
 This tiny lib adds GPG/MIME capabilities to Mail::Message and
 ActionMailer::Base. Because privacy matters.
 .
 For maximum interoperability the lib also supports decryption of messages
 using the non-standard 'PGP-Inline' method as for example supported in the
 Mozilla Enigmail OpenPGP plugin.

Package: ruby-mail-room
Description-md5: 67bf902e87a40630b3a74fb201deacac
Description-en: Forward mail from gmail IMAP to a callback URL or job worker, simply
 mail_room is a configuration based process that will idle on IMAP connections
 and execute a delivery method when a new message is received. Examples of
 delivery methods include:
 POST to a delivery URL (Postback)
 Queue a job to Sidekiq or Que for later processing (Sidekiq or Que)
 Log the message or open with LetterOpener (Logger or LetterOpener)

Package: ruby-marcel
Description-md5: 4240a8d3c5b6460e322e69f0a22116c2
Description-en: Simple mime type detection
 Marcel attempts to choose the most appropriate content type for a given file
 by looking at the binary data, the filename, and any declared type (perhaps
 passed as a request header).
 .
 By preference, the magic number data in any passed in file is used to determine
 the type. If this doesn't work, it uses the type gleaned from the filename,
 extension, and finally the declared type. If no valid type is found in any of
 these, "application/octet-stream" is returned.
 .
 Some types aren't easily recognised solely by magic number data. For example
 Adobe Illustrator files have the same magic number as PDFs (and can usually
 even be viewed in PDF viewers!). For these types, Marcel uses both the magic
 number data and the file name to work out the type.

Package: ruby-marginalia
Description-md5: 56c4e374697da8ddee34dae1b853e3b3
Description-en: Attach comments to your ActiveRecord queries
 By default, it adds the application, controller, and action names as a comment
 at the end of each query.
 .
 This helps when searching log files for queries, and seeing where slow queries
 came from.

Package: ruby-marisa
Description-md5: ce552b53fb8a6d80ccb36e18d7570478
Description-en: Ruby bindings for MARISA
 Matching Algorithm with Recursively Implemented StorAge (MARISA) is a static
 and space-efficient trie data structure.
 .
 This package contains Ruby bindings for MARISA.

Package: ruby-markdown-it-html5-embed
Description-md5: 1453ac17693435390e1b1d2c33c0ef4e
Description-en: Markdown-it plugin for embedding audio/video in the HTML5 way
 This is a plugin for markdown-it which adds support for embedding audio/video
 in the HTML5 way.
 .
 markdown-it is a markdown parser.

Package: ruby-markerb
Description-md5: 314d9d6efcad3fdaac7850fcf0c5b41f
Description-en: multipart templates made easy with Markdown + ERb
 Markerb allows you to render multipart e-mails from a single template.
 The template is written in Markdown, which is delivered as a text part,
 but also rendered and delivered as an HTML part.

Package: ruby-maruku
Description-md5: da725d098df9786d8dcc97dab80ed1f5
Description-en: Markdown-superset interpreter written in Ruby
 Maruku implements a superset of the Markdown language, designed to
 easily create HTML documents while only focusing on the high-level
 structure and not the details. Maruku also supports LaTeX export,
 which means you can produce PDF output.
 .
 Maruku prides itself of being decently fast on long documents.

Package: ruby-mathml
Description-md5: 313b192540413179b413a99781559f49
Description-en: TeX formula to MathML conversion library for Ruby
 This ruby library intends to provide a converter from LaTeX mathematical
 expressions to MathML, a low-level specification for mathematical and
 scientific content on the Web.

Package: ruby-maven-libs
Description-md5: 7c0976a61aedbe3484c075a46e165018
Description-en: Ruby library that provides access to a Maven installation
 This ruby library wraps a Maven installation and allows access to it by
 exposing it under a namespace provided by the gem.
 .
 Its main use is in combination with polyglot-maven and ruby-maven
 since it can be used in that way to write and use POM files with a
 convenient and familiar (for rubyists) domain specific language instead
 of traditional XML definitions as is expected by Maven.

Package: ruby-maxitest
Description-md5: 6487f89554f7aaf54f899be82149f024
Description-en: Minitest + all the features you always wanted
 Features:
 1. Ctrl+c stops tests and prints failures
 2. pastable rerun snippet for failures (disabled/integrated on rails 5)
 3. multiple before & after blocks
 4. around blocks around { |t| Dir.chdir(...) { t.call } }
 5. red-green output (disabled/integrated on rails 5)
 6. mtest executable to run by line number and by folder
   (disabled/integrated on rails 5)
 7. full backtrace for errors and assertions with verbose (-v)
 8. And more!

Package: ruby-maxminddb
Description-md5: d905006592e6f77b60d7ef6890edb139
Description-en: MaxMind DB binary file reader
 Pure Ruby MaxMind DB (GeoIP2) binary file reader. MaxMind provides both binary
 and CSV databases for GeoIP2. Both formats provide additional data not
 available in the legacy databases including localized names for cities,
 subdivisions, and countries.

Package: ruby-mecab
Description-md5: aa45d8c9aa8f702eeb47a8a5f98a786e
Description-en: Mecab binding for Ruby language
 Mecab is a Japanese morphological analysis system.
 .
 ruby-mecab is its binding for Ruby language.

Package: ruby-mechanize
Description-md5: 4d9d0170efed2b2dca969ba29bae04d3
Description-en: library used for automating interaction with websites
 This library is used for automating interaction with websites.
 Mechanize automatically stores and sends cookies, follows redirects,
 can follow links, and submit forms.  Form fields can be populated and
 submitted.  Mechanize also keeps track of the sites that you have
 visited as a history.
 .
 This library is loosely based on WWW::Mechanize for Perl.

Package: ruby-memoist
Description-md5: 87151238c1008d178cdefe6d84396909
Description-en: Ruby library providing method memoization
 The memoist library provides methods memoization.
 Memoization cached objects can be looked up faster.
 .
 This is an extraction of Ruby on Rails' ActiveSupport::Memoizable library.
 Ruby on Rails' ActiveSupport::Memoizable has been already deprecated,
 but it is still useful.

Package: ruby-memoizable
Description-md5: 9bec3905a8301d89840b514cf9b1eb6e
Description-en: memoize method return values
 Memoization is an optimization that saves the return value of a method
 so it doesn't need to be re-computed every time that method is called.
 .
 Learn more about its rationale and usage at
 /usr/share/ruby-memoizable/README.md

Package: ruby-memory-profiler
Description-md5: adf716b921c228521aa72a34243e87bb
Description-en: Memory profiler for Ruby
 Memory profiler is a memory profiling routines for Ruby 2.3+.
 It requires Ruby(MRI) version 2.3.8 and above.
 .
 One can use the .start/.stop API as well but it can only be run once per
 report, and .stop will be the only time you can retrieve the report using
 this API.

Package: ruby-mercenary
Description-md5: 65c7aea0700e4ad4a1a96c74e5d25779
Description-en: Lightweight and flexible library for writing command-line apps in Ruby
 Mercenary is lightweight and flexible library for writing command-line
 apps in Ruby.

Package: ruby-messagebus-api
Description-md5: e138f1f559dd3120c08b614d217e030f
Description-en: Send email through the Message Bus service
 Message Bus provides email at scale, done right. Simple. Message Bus provides
 a REST API and several language-specific wrappers (SDKs) that provides full
 access to all platform functionality.
 .
 messagebus_ruby_api allows you to use the Message Bus API from ruby programs.

Package: ruby-metaclass
Description-md5: 3f745e843aba3232efd46dbf6a294f94
Description-en: Ruby library adding a metaclass method to all Ruby objects
 This simple Ruby library provides for every Ruby object a method called
 "__metaclass__", returning a class attached the object, which offers
 possibilities of metaprogramming, like adding specific methods to the object.

Package: ruby-metaid
Description-md5: 61d7441e22cd3260a7c7170b6dae4781
Description-en: Some additional methods to make metaprogramming easier
 MetAid adds a few innocent methods to the ruby Object and Module
 classes, in order to make metaprogramming easier. For the lore of
 metaprogramming see Seeing Metaclasses Clearly and Chapter Six of
 Why's (Poignant) Guide to Ruby, at
 http://mislav.uniqpath.com/poignant-guide

Package: ruby-method-source
Description-md5: c5ab569b074790e3e47de4c03d9fc0a1
Description-en: Retrieve the sourcecode for a method as a Ruby string
 The `method_source` is a utility to return a method's sourcecode as a
 Ruby string. Also returns `Proc` and `Lambda` sourcecode.
 .
 Method comments can also be extracted using the `comment` method.
 .
 It is written in pure Ruby (no C).
  - Some Ruby 1.8 support now available.
  - Support for MRI, RBX, JRuby, REE
 .
 `method_source` provides the `source` and `comment` methods to the
 `Method` and `UnboundMethod` and `Proc` classes.

Package: ruby-metriks
Description-md5: 29401d3661e0d1640385c1882a902250
Description-en: experimental threadsafe, low-impact measurement library for Ruby
 This is an experiment in making a threadsafe, low impact library
 to measure aspects of your Ruby. It provides an API for creating and
 using counters, gauges, meters, timers and utilisation timers as well
 as methods for reporting metrics to a log file or some other metric
 aggregation tool.

Package: ruby-middleware
Description-md5: b117c3e025040d1f11a5fe300e205e24
Description-en: middleware abstraction for Ruby.
 Ruby `middleware` is a library which provides a generalized
 implementation of the middleware pattern for Ruby. The middleware
 pattern is a useful abstraction tool in various cases, but is
 specifically useful for splitting large sequential chunks of logic
 into small pieces.

Package: ruby-mime
Description-md5: 1864ddeb67d08008fefab0b5fcc2d579
Description-en: MIME library for Ruby
 ruby-mime is a library for building RFC compliant Multipurpose Internet
 Mail Extensions (MIME) messages. It can be used to construct standardized
 MIME messages for use in client/server communications, such as Internet
 mail or HTTP multipart/form-data transactions.

Package: ruby-mime-types
Description-md5: b334dd8c27f810743b5b981f5db234ee
Description-en: guess MIME type of files
 This library allows for the identification of a file's likely MIME
 content type. The identification of MIME content type is based on a
 file's filename extensions.

Package: ruby-mime-types-data
Description-md5: 728dbf0f8878879b7c676384b15479de
Description-en: registry for information about MIME media type definitions
 This package consists of the gem mime-types-data that provides a registry for
 information about MIME media type definitions. It can be used with the Ruby
 mime-types library or other software to determine defined filename extensions
 for MIME types, or to use filename extensions to look up the likely MIME type
 definitions.

Package: ruby-mimemagic
Description-md5: bf798b7b80a2c2ac130544e4f3dccce1
Description-en: fast MIME detection by extension or content
 The mimemagic Ruby library provides fast MIME detection by extension or
 content. It uses the freedesktop.org MIME database provided by the
 shared-mime-info package. It also contains some extra magic to detect
 Microsoft Office 2007+ formats.

Package: ruby-mini-exiftool
Description-md5: 620d88911e8a4ad7fb369289a7f79029
Description-en: wrapper for exiftool command-line
 This library is a wrapper for the Exiftool command-line application,
 written by Phil Harvey. It provides the full power of Exiftool to Ruby:
 reading and writing of EXIF-data, IPTC-data and XMP-data.

Package: ruby-mini-histogram
Description-md5: f18de03ae9ad2db66b9a2e01983847a4
Description-en: build histograms out of Ruby arrays
 It makes histograms out of Ruby data.
 .
 Alternatives to this library include enumerable-statistics. But this gem does
 not require a native extension compilation (faster to install, but performance
 is slower), and this gem does not extend or monkeypatch any core classes.

Package: ruby-mini-magick
Description-md5: a44f2635e5337409abb15fe127fe2f83
Description-en: wrapper for ImageMagick with a small memory footprint
 MiniMagick is a Ruby library providing a wrapper around
 ImageMagick or GraphicsMagick, allowing manipulation of images with
 minimal use of memory. MiniMagick gives access to all the commandline
 options provided by ImageMagick.

Package: ruby-mini-mime
Description-md5: 148ecb27b98daca1f0bf817973d73f15
Description-en: Lightweight mime type implementation
 Minimal mime type implementation for use with the mail and rest-client gem.
 MiniMime is optimised to minimize memory usage. It keeps a cache of 100 mime
 type lookups (and 100 misses).

Package: ruby-minimization
Description-md5: 402e25133128a469d7434fb170e5ec5d
Description-en: Ruby library providing minimization algorithms
 This library provides pure Ruby implementation of some unidimensional
 minimization algorithms: the Newton-Raphson method, the golden section
 search, and Brent minimization algorithm.

Package: ruby-minispec-metadata
Description-md5: 69f07f9e7276585aff358de62fec1a87
Description-en: define and access metadata in MiniTest::Spec
 Minispec::Metadata provides a library to pass metadata to MiniTest::Spec
 descriptions and specs like in RSpec. It allows adding metadata to specs
 similar to RSpec.

Package: ruby-minitar
Description-md5: 8cd26fd5937af984bf2e41f364392298
Description-en: Provides POSIX tarchive management for Ruby
 Archive::Tar::Minitar is a pure-Ruby library and command-line utility that
 provides the ability to deal with POSIX tar(1) archive files.
 .
 The implementation is based heavily on Mauricio Ferna'ndez's implementation in
 rpa-base, but has been reorganised to promote reuse in other projects.

Package: ruby-minitest-around
Description-md5: e94036ef4861fa7aac19fc1c5a36b057
Description-en: Around block for minitest
 Alternative for setup/teardown dance. Minitest-around also enables the use of
 multiple before/after blocks, which normally don't work in minitest.
 minitest-around currently supports only minitest 5.X

Package: ruby-minitest-excludes
Description-md5: 13ddde3a4d87636044b7629953c109a0
Description-en: Ruby unit tests helper library for MiniTest framework
 minitest/excludes.rb extends MiniTest::Unit::TestCase to provide a
 clean API for excluding certain tests you don't want to run under
 certain conditions.

Package: ruby-minitest-focus
Description-md5: 43eb6b07252ff19821000320915ba7ae
Description-en: provides ability to focus on a few tests easily
 This package provides minitest-focus gem which allows a developer to focus on
 a few tests with ease without having to use command-line arguments.
 .
 Good for tools like guard that can't understand test output.

Package: ruby-minitest-global-expectations
Description-md5: 96e2bff25861e384160afbc692102495
Description-en: Support minitest expectation methods for all objects
 minitest-global_expectations allows one to keep using simple code in one's
 minitest specs, without having to wrap every single object one is calling
 an expectation method on with an underscore.

Package: ruby-minitest-hooks
Description-md5: 8ef8e7189bff9b4fa67e39063c7fc8c1
Description-en: Around and before_all/after_all/around_all hooks for Minitest
 minitest-hooks adds around and before_all/after_all/around_all hooks for
 Minitest. This allows you do things like run each suite of specs inside a
 database transaction, running each spec inside its own savepoint inside that
 transaction, which can significantly speed up testing for specs that share
 expensive database setup code.

Package: ruby-minitest-power-assert
Description-md5: 468b53c7b48be1e24a6a06fdcf46f7a8
Description-en: power assert implementation for minitest
 The minitest-power_assert gem overrides the Ruby #assert method to provide
 an implementation for Minitest.

Package: ruby-minitest-reporters
Description-md5: 711916ae013b80dfe6fd6c310eaec3bb
Description-en: creates customizable Minitest output formats
 Death to haphazard monkey-patching! Extend Minitest through simple hooks.

Package: ruby-minitest-shared-description
Description-md5: e6a55239e5e5c6dee18f7d1110d82980
Description-en: Support for shared specs and shared spec subclasses for Minitest
 minitest-shared_description adds support for shared specs and shared spec
 subclasses to Minitest.  Minitest supports shared specs by default using plain
 ruby modules, but does not support shared spec subclasses.  In addition to
 making it possible to share subclasses, minitest-shared_desciption also
 provides a slightly nicer interface for sharing specs.

Package: ruby-minitest-stub-const
Description-md5: 55bd4c8d6f9e4be079cb1ea069cf81e4
Description-en: Stub constants for the duration of a block in MiniTest
 Like RSpec's stub_const, but boring and non-magical.
 .
 Learn more about its usage at
 /usr/share/doc/ruby-minitest-stub-const/README.md.gz

Package: ruby-minitest-utils
Description-md5: 7bef69d8500275026531804210cd1029
Description-en: utilities for minitest
 This gem provides some utilities for Minitest's day-to-day usage. The
 utilities include
  * A better reporter
  * A TestNotifier reporter
  * Some Rails niceties (set up FactoryGirl, WebMock and Capybara)
  * Add a t and l methods (i18n)

Package: ruby-mixlib-archive
Description-md5: 0cddfd9a8d35d4f6fc0ecfdccf445d47
Description-en: simple interface to various archive formats
 This package provides a simple interface to various archive formats.
 .
 Currently only uncompressed and gzipped TAR files are supported.

Package: ruby-mixlib-authentication
Description-md5: 2c58c13a9c3d357740f8a58f14a7fcde
Description-en: Simple Ruby mixin for creating a logger object
 Provides a class based object to provide logging.  Messages can be sent at
 different levels such as warn or error.  Logging defaults to STDOUT but
 can also be sent to a file with simple built in rotation.

Package: ruby-mixlib-cli
Description-md5: f079dc12d9b6d626b681019fd35e2e68
Description-en: Ruby mixin for creating command line applications
 It provides a class based object to parse command line options. It supports
 long and short options, descriptions, and a number of other common features.
 .
 Mixlib::CLI provides a class-based command line option parsing object,
 like the one used in Chef, Ohai and Relish.

Package: ruby-mixlib-config
Description-md5: 7fd76989cbfc2c0e69336ffc1e2b9034
Description-en: Simple class based config mechanism for Ruby
 Mixlib-config provides a class based object to store and manipulate
 configuration values. A file can be read in to populate the object,
 and values can be set and read from the internal hash using methods.

Package: ruby-mixlib-install
Description-md5: 90da50b21fc28e8907ca57023b2defa4
Description-en: Mixin to help with omnitruck installs
 Ruby library providing mixin to help with omnitruck installs.
 .
 Used by Chef related tools.

Package: ruby-mixlib-log
Description-md5: abb3b8c6241580a941e093d7c8dc351d
Description-en: Simple Ruby mixin for creating a logger object
 Provides a class based object to provide logging. Messages can be sent at
 different levels such as warn or error. Logging defaults to STDOUT but
 can also be sent to a file with simple built in rotation.

Package: ruby-mixlib-shellout
Description-md5: 66fdf150b89a853dc605614c443b42ed
Description-en: mixin library for subprocess management, output collection
 Provides a simplified interface to shelling out yet still collecting
 both standard out and standard error and providing full control over
 environment, working directory, uid, gid, etc.
 .
 No means for providing interaction with the subprocess is provided,
 apart from a simple string as input.

Package: ruby-mixlib-versioning
Description-md5: 60717d3178c15dd9adf57c7aca89752e
Description-en: Ruby library to parse, compare and manipulate version strings
 General purpose Ruby library that allows you to parse, compare and manipulate
 version strings in multiple formats.

Package: ruby-mizuho
Description-md5: 71101684ee86f4e1c8c7ddb2fccf3bb3
Description-en: documentation formatting tool
 Mizuho is a documentation formatting tool, best suited for small to
 medium-sized documentation.
 Mizuho converts Asciidoc input files into nicely outputted HTML,
 possibly one file per chapter. Multiple templates are supported,
 so you can write your own.

Package: ruby-mmap2
Description-md5: cc204af303e5c77e46d5e9add475c92c
Description-en: Mmap class implement memory-mapped file objects for Ruby 2.x
 This library is a dependency of prometheus-client-mmap.
 .
 Prometheus is a systems and services monitoring system.

Package: ruby-mobile-fu
Description-md5: 5bead70933cf1761c2f78b357e88f014
Description-en: detect mobile requests from mobile devices in your Rails app
 Mobile Fu allows you to automatically detect mobile devices that access your
 Rails application.
 .
 People can access your site from a Palm, Blackberry, iPhone, iPad, Nokia, etc.
 and it will automatically adjust the format of the request from :html to
 :mobile.

Package: ruby-mocha
Description-md5: fb69674beb79c60a99238172a3f2726e
Description-en: Mocking and stubbing library for Ruby
 Mocha is a mocking and stubbing library with JMock/SchMock syntax, which
 allows mocking and stubbing of methods on real (non-mock) classes. Mocking and
 stubbing are techniques used to improve unit testing.

Package: ruby-mocha-doc
Description-md5: 8b62d1bddb95209177e12bf007e0bb17
Description-en: Mocking and stubbing library for Ruby - documentation
 Mocha is a mocking and stubbing library with JMock/SchMock syntax, which
 allows mocking and stubbing of methods on real (non-mock) classes. Mocking and
 stubbing are techniques used to improve unit testing.
 .
 This package contains the documentation of the Mocha library.

Package: ruby-model-tokenizer
Description-md5: 84e1ea5813af6439f15d5581dfd30f5c
Description-en: Random token generator for Rails models
 Model tokenizer is a ruby library that allows you to creates random tokens
 which can be used as primary keys for Active Record objects. It can  create
 a new tokenized model. If the model already exists, ModelTokenizer will
 integrate into it. It also creates the appropriate migration.

Package: ruby-mojo-magick
Description-md5: 86a53d423b730fedd5b050aa73b50ffe
Description-en: simple Ruby stateless module interface to ImageMagick
 MojoMagick is a "dog simple, do very little" image library. It is basically
 a couple of stateless module methods that make it somewhat more convenient
 than calling ImageMagick by hand.

Package: ruby-molinillo
Description-md5: 1e4d380e1a657f3aee9180057c5fa6f6
Description-en: generic dependency resolution algorithm
 Provides an implementation of a dependency resolution algorithm, as
 commonly found in package management tools. This implementation has
 replaced the older resolvers in CocoaPads and Bundler.

Package: ruby-momentjs-rails
Description-md5: 03d11766011c126df29619a048ad035a
Description-en: wraps the Moment.js library in a rails engine for asset pipeline
 momentjs-rails wraps the Moment.js library in a rails engine for simple
 use with the asset pipeline provided by Rails 3.1 and higher. The gem
 includes the development (non-minified) source for ease of exploration.
 The asset pipeline will minify in production.
 .
 Moment.js is "a lightweight javascript date library for parsing,
 manipulating, and formatting dates." Moment.js does not modify the
 native Date object. Rather, it creates a wrapper for it.

Package: ruby-moneta
Description-md5: 798fbb2d62d0d93055faad9980b0cd9b
Description-en: Ruby interface to multiple key/value stores
 Moneta is a Ruby library to interface with a variety of key/value stores.
 A short overview of its features:
  - support a lot of backends with consistent behaviour,
  - allows a full configuration of the serialization -> compression -> adapter
    stack using proxies (similar to Rack middlewares),
  - expiration for all stores,
  - atomic operations,
  - includes a simple pure-ruby key/value server and client,
  - integration with Rails, Rack/Rack-Cache, Sinatra and Ramaze.

Package: ruby-money
Description-md5: 832c04bd98d101f551de1d923222c598
Description-en: Ruby Library for dealing with money and currency conversion
 A Ruby Library for dealing with money and currency conversion.
 .
 Features
  - Provides a `Money` class which encapsulates all information about a certain
  amount of money, such as its value and its currency.
  - Provides a `Money::Currency` class which encapsulates all information about
  a monetary unit.
  - Represents monetary values as integers, in cents. This avoids floating point
  rounding errors.
  - Represents currency as `Money::Currency` instances providing a high level of
  flexibility.
  - Provides APIs for exchanging money from one currency to another.

Package: ruby-mongo
Description-md5: 682523eac293b544676df9e59ef4ea4c
Description-en: Ruby driver for MongoDB
 This package provides an interface for communicating with the MongoDB database
 in Ruby
 .
 For more information about Mongo, see https://www.mongodb.org.

Package: ruby-mono-logger
Description-md5: cef7a7819b57572593a93a3d789d67d3
Description-en: lock-free logger for Ruby
 A lock-free logger compatible with latest versions of Ruby. Ruby does not
 allow you to request a lock in a trap handler because that could deadlock,
 so Logger is not sufficient.

Package: ruby-mousetrap-rails
Description-md5: 5951adfee3cdfc5a00db27b2a351d093
Description-en: integrate Mousetrap javascript library with Rails Asset Pipeline
 Mousetrap is a javascript library for handling keyboard shortcuts in your web
 applications. This gem integrates Mousetrap with Rails asset pipeline for easy
 of use.
 .
 Learn more at /usr/share/doc/ruby-mousetrap-rails/README.md.gz

Package: ruby-mp3tag
Description-md5: ba5c845d836bc791439b259138f82fb2
Description-en: Ruby library for manipulating ID3V1.1 tags in MP3
 mp3tag.rb is a ruby class to read ID3v1.0 an ID3v1.1, and write
 ID3v1.1 tags in MP3 files. These tags save meta information about
 the music piece such song name, artist, album, genre, track number
 and a comment.

Package: ruby-mpi
Description-md5: cbd5d705a8ff884fe9a6219e5f1e9de1
Description-en: Ruby binding of MPI
 Ruby-MPI is a ruby binding of Message Passing Interface (MPI), which is
 an API specification that allows processes to communicate with one
 another by sending and receiving messages.

Package: ruby-msfrpc-client
Description-md5: 3b72352669c7fd7988b19b383cb4f949
Description-en: Rapid7 Metasploit API client library written in Ruby
 This is the official Ruby client for the Metasploit Pro RPC service.
 Metasploit Pro is a commercial penetration testing product provided
 by Rapid7.
 .
 For more information on Metasploit Pro, please visit the
 http://metasploit.com/ site.

Package: ruby-msgpack
Description-md5: 474edfed036cd285dcb54ad2f766082e
Description-en: Ruby library for MessagePack
 ruby-msgpack is Ruby library for MessagePack, a binary-based efficient object
 serialization. It enables to exchange structured objects between many
 languages like JSON. But unlike JSON, it is very fast and small.

Package: ruby-mtrc
Description-md5: 60281941c77f2ee87ef87dc7b242880b
Description-en: library to accumulate metrics and extract basic statistics
 MTRC is a small library to accumulate metrics and extract basic
 statistics from them. This library can generate min, max, median and
 percentile statistics for custom metrics in Ruby code.

Package: ruby-multi-json
Description-md5: ada83932dcaf88fd3cf0828da3ed3346
Description-en: Ruby library to provide easy switching between different JSON backends
 A Ruby library to provide easy switching between different JSON backends,
 including Oj, Yajl, the JSON gem (with C-extensions), the pure-Ruby JSON gem,
 and OkJson.
 The Debian package dependencies only provide Oj by default. If you need
 another backing library, you must install it yourself.

Package: ruby-multi-test
Description-md5: 001f87237d8d3608332e7346360a795c
Description-en: library to control autorun feature of test frameworks
 This library provides a uniform interface onto whatever testing library has
 been loaded into a running Ruby process. It can be used for example to
 deactivate the autorun feature of those testing frameworks in a unified way.
 Supported test frameworks are RSpec, test/unit, and minitest.
 .
 It is used to run the test suite of the cucumber package.

Package: ruby-multi-xml
Description-md5: ba1461404edaa55ef86501ff35221358
Description-en: generic swappable back-end for XML parsing in Ruby
 Provides swappable XML backends utilizing LibXML, Nokogiri, Ox, or REXML.
 .
 Lots of Ruby libraries utilize XML parsing in some form, and everyone has their
 favorite XML library. In order to best support multiple XML parsers and
 ibraries, `multi_xml` is a general-purpose swappable XML backend library.

Package: ruby-multibitnums
Description-md5: 690eebad0fe71005beac20a51222d21b
Description-en: extension library for integers with arbitrary bit lengths
 Multibitnums is Ruby class library, handling multiple multi-bit data
 packed in a C string. The number of bits is fixed to an arbitrary
 value. For example, it can accommodate 11-bit integers.

Package: ruby-multibitnums-dbg
Description-md5: 226c50205cedcc7f5aae69f12f31000d
Description-en: extension library for integers with arbitrary bit lengths (debugging symbols)
 Multibitnums is Ruby class library, handling multiple multi-bit data
 packed in a C string. The number of bits is fixed to an arbitrary
 value. For example, it can accommodate 11-bit integers.
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps. Most people will not need this package.

Package: ruby-multipart-parser
Description-md5: 686babb64427fd8b09b6caf1293d5b48
Description-en: simple parser for multipart MIME messages
 multipart-parser is a simple parser for multipart MIME messages, written in
 Ruby, based on felixge/node-formidable's parser.
 .
 Some things to note:
  - pure Ruby
  - event-driven API
  - only supports one level of multipart parsing: another parser needs
    to be invoked to handle nested messages
  - does not perform I/O
  - does not depend on any other library

Package: ruby-multipart-post
Description-md5: f98e711060030758eea0c6c50850bd7a
Description-en: multipart form post accessory for Net::HTTP
 Adds a streamy multipart form post capability to Net::HTTP. Also
 supports other methods besides POST.
 .
 FEATURES/PROBLEMS:
 .
  * Appears to actually work. A good feature to have.
  * Encapsulates posting of file/binary parts and name/value parameter parts,
    similar to most browsers' file upload forms.
  * Provides an UploadIO helper class to prepare IO objects for inclusion in
    the params hash of the multipart post object

Package: ruby-murmurhash3
Description-md5: 5a48a43b82f6f6d27c784c464a933a11
Description-en: implements mumur3 hashing function
 Ruby implementation of noncriptographic hash Murmur3 (both native and pure
 ruby). It includes x86_32bit variant and x64_128bit variant. x86_128bit
 variant is omitted. It exposes finalization mix functions as variant of
 superfast integer hashing.

Package: ruby-mustache
Description-md5: 8af4e16042e2487ca6fe1978b3304079
Description-en: Mustache is a framework-agnostic way to render logic-free views
 Inspired by ctemplate, Mustache is a framework-agnostic way to render
 logic-free views. (As in model-view-controller.)
 .
 As ctemplates says, "It emphasizes separating logic from presentation:
 it is impossible to embed application logic in this template
 language."
 .
 Think of Mustache as a replacement for your views. Instead of views
 consisting of ERB or HAML with random helpers and arbitrary logic,
 your views are broken into two parts: a Ruby class and an HTML
 template.

Package: ruby-mustermann
Description-md5: b6dd3218efadeda26aa83b1636022516
Description-en: use patterns like regular expressions
 library implementing patterns that behave like regular expressions.
 .
 Given a string pattern, Mustermann will turn it into an object that behaves
 like a regular expression and has comparable performance characteristics.

Package: ruby-mustermann-grape
Description-md5: 063621d57af2129184a864fb512c7c6a
Description-en: Grape syntax for Mustermann
 This library implements the `grape` pattern type for Mustermann.
 .
 mustermann is a library implementing patterns that behave like regular
 expressions.

Package: ruby-mysql2
Description-md5: 637b80aa3f82b9381d0e2ddde04dbdc8
Description-en: simple, fast MySQL library for Ruby
 This is an API module that allows Ruby programs to interface with MySQL
 databases. Usually, it will be pulled in automatically by packages providing
 Ruby programs which need this capability, you only need to install it directly
 if you intend to write such programs yourself. You may want to prefer the
 ruby-mysql2 package over the ruby-mysql package, since benchmarks have shown
 it to be faster, it is non-blocking and it supports UTF-8 database encoding.

Package: ruby-nakayoshi-fork
Description-md5: fe674e5cda1e2b1235eb460a40fef4ca
Description-en: solves CoW friendly problem on MRI 2.2 and later
 MRI 2.1 employs generational GC algorithms that separate YOUNG objects and OLD
 objects. Young objects will be promoted to old objects when they survive 1 GC.
 .
 However, Ruby 2.2 employs an algorithm to promote young objects after 3 GCs.
 .
 nakayoshi_fork promotes most of young objects before fork by invoking GC some
 times.

Package: ruby-nanotest
Description-md5: 7d297559f5372e878d57a82e8022e586
Description-en: Exteremely minimal test framework
 When all you need is #assert. Extremely minimal test framework. Perfect for
 DIY lovers. Nanotest provides  the bare minimum needed; for everything else,
 there's ruby.

Package: ruby-narray
Description-md5: a953084abc85d13464529d99decab553
Description-en: Numerical N-dimensional Array library for Ruby
 NArray is an extension library for Ruby that provides fast calculation and
 easy manipulation of large numerical arrays with the Ruby language. It has
 numerical n-dimensional array class as well as vector and matrix subclasses,
 which can contain 1/2/4-byte Integer, single/double-precision Real/Complex and
 Ruby Object.

Package: ruby-narray-miss
Description-md5: 59a7dac34c4d814a53003217d37d1f34
Description-en: Additional class with processing of missing value to NArray
 NArrayMiss is an additional class with processing of missing value to
 NArray for Ruby.
 .
 Narray is a numeric multi-dimensional array class.

Package: ruby-naught
Description-md5: c1f66106c1ccb555dca70d6b50822a34
Description-en: Naught is a toolkit for building Null Objects
 Null Objects can make your code more confident (Confident Ruby is a book
 by Avdi Grimm on Patterns for Joyful Coding).
 .
 While you don't *need* a Null Object library, this one offers some
 conveniences you probably won't find elsewhere.
 .
 Learn more about this library from /usr/share/ruby-naught/README.markdown
 (It has many examples).

Package: ruby-ncurses
Description-md5: f4a56cf1042c6542f050b7dc33da672e
Description-en: ruby extension for the ncurses C library
 All C functions are wrapped by module functions of the module "Ncurses",
 with exactly the same name. Additionally, C functions expecting a WINDOW* as
 their first argument can also be called as methods of the "Ncurses::WINDOW"
 class.
 .
 The panel library (for support of overlapping windows) is also wrapped, in
 the module "Ncurses::Panel".
 .
 This module is built with wide character support.

Package: ruby-necromancer
Description-md5: fbd73e98c72232dc97bd2ea9b76359a3
Description-en: Library offering conversion from one object type to another
 Necromancer provides independent type conversion component for TTY toolkit.
 .
 Conversion between Ruby core types frequently comes up in projects but is
 solved by half-baked solutions. This library aims to provide an independent and
 extensible API to support a robust and generic way to convert between core Ruby
 types.
 .
 Features:
 .
  * Simple and expressive API
  * Ability to specify own converters
  * Ability to compose conversions out of simpler ones
  * Support conversion of custom defined types
  * Ability to specify strict conversion mode

Package: ruby-nenv
Description-md5: 05991b8e90629996594a288667d3fbbd
Description-en: convenient wrapper for Ruby's ENV
 This gem provides an easy way to use Ruby's ENV, which is a hash-like
 accessor for environment variables. This provides much friendlier stubbing
 in tests and namespaces which can be passed around as objects.

Package: ruby-neovim
Description-md5: 3524c98bbf4d840b8e4b86cb66f3a528
Description-en: Ruby client for Neovim
 Ruby library to control remote neovim instances.
 .
 Can be used as a neovim plugin host, allowing one to write neovim plugins
 completely in ruby.
 .
 It also acts as a compatibility layer for Ruby plugins written for legacy
 vim. The :ruby, :rubyfile, and :rubydo commands are intended to behave the
 same as they did in vim.

Package: ruby-nested-form
Description-md5: bbe0199196413bdc4bb95e0fc5078098
Description-en: conveniently handle multiple models in a single form
 Library to conveniently handle multiple models in a single form with Rails 3
 and jQuery or Prototype.
 .
 Learn more about its usage from /usr/share/doc/ruby-nested-form/README.md

Package: ruby-net-dns
Description-md5: b8f6ba855b01d34634cc23ced8d4344b
Description-en: Pure Ruby DNS library
 This package contains a pure Ruby DNS library, with a clean OO interface and
 an extensible API.
 .
 It started as a port of Perl Net::DNS module, but it evolved in time into a
 full Ruby library.
 .
 This package ships fork of net-dns by gitlab.

Package: ruby-net-http-digest-auth
Description-md5: f65c4d3c1077245ae751a98fa4223925
Description-en: RFC 2617 Digest Access Authentication implementation
 Stand-alone Ruby library implementing Digest Access
 Authentication. This package is completely independent (and does not
 fully integrate with) Net::HTTP.

Package: ruby-net-http-persistent
Description-md5: 247809db8881fea92f55f6801627cb6f
Description-en: Manages persistent connections using Net::HTTP
 This package provides persistent connections to Net::HTTP.
 .
 Creating a new HTTP connection for every request involves an extra TCP
 round-trip and causes TCP congestion avoidance negotiation to start over.
 Using persistent HTTP connections can dramatically increase the speed of HTTP.
 .
 Net::HTTP supports persistent connections with some API methods but does not
 handle reconnection gracefully.  Net::HTTP::Persistent supports reconnection
 and retry according to RFC 2616.

Package: ruby-net-http-pipeline
Description-md5: 71cbb714d48e7c52715ec0a1a112ddd7
Description-en: HTTP/1.1 pipelining implementation atop Net::HTTP
 This package contains an HTTP/1.1 pipelining implementation atop Net::HTTP.
 A pipelined connection sends multiple requests to the HTTP server without
 waiting for the responses. The server will respond in-order.

Package: ruby-net-irc
Description-md5: 586c7594513b397f37542f0a7c70ebeb
Description-en: Ruby IRC library (Client, Server and many IRC gateways to webservice)
 The "net-irc" is a library for implementing IRC server(for gateway and
 web services) and client(for bot).
 .
 This is mostly conform to RFC 1459 but partly not for convenience.

Package: ruby-net-ldap
Description-md5: 7361973b336dab09de8e4fd976f50768
Description-en: LDAP client library for Ruby
 Net::LDAP is an LDAP support library written in pure Ruby.  It
 supports all LDAP client features, and a subset of server features
 as well.

Package: ruby-net-ntp
Description-md5: f35e97b8efba6ee1173f8069e027baf1
Description-en: NTP client for ruby
 This project was a rubyfied version of perl's Net::NTP module, by James G.
 Willmore. It provides a method to query an NTP server as specified in RFC1305
 and RFC2030. Updated and re-released in 2010 by Zencoder.

Package: ruby-net-scp
Description-md5: c30e767b85671b948197bd048f6d7acd
Description-en: pure ruby implementation of the SCP protocol
 Net::SCP is a pure-Ruby implementation of the SCP protocol.
 This operates over SSH (and requires the Net::SSH library), and allows
 files and directory trees to copied to and from a remote server.

Package: ruby-net-sftp
Description-md5: 90796a3bdaee3b9508db98420d9fa9f3
Description-en: Ruby implementation of the SFTP protocol
 Net::SFTP is a pure-Ruby implementation of the SFTP protocol (specifically,
 versions 1 through 6 of the SFTP protocol). Note that this is the "Secure File
 Transfer Protocol", typically run over an SSH connection, and has nothing to
 do with the FTP protocol.

Package: ruby-net-ssh
Description-md5: 55972a1a305eed01d7d977b082acfbf5
Description-en: Ruby implementation of the SSH protocol
 Net::SSH is a pure-Ruby implementation of the SSH protocol. It allows
 you to write programs that invoke and interact with processes on remote
 servers, via SSH.

Package: ruby-net-ssh-gateway
Description-md5: b7564eecf48c09ad77d3337a5ecec3fb
Description-en: Ruby library for tunneling connections to servers with ssh
 Net::SSH::Gateway is a library for programmatically tunneling connections to
 servers via a single "gateway" host.
 It is useful for establishing Net::SSH connections to servers behind firewalls,
 but can also be used to forward ports and establish connections of other types,
 like HTTP, to servers with restricted access.

Package: ruby-net-ssh-krb
Description-md5: 33c05243fd36ee6d2f72160ae5f61ea7
Description-en: Kerberos support for ruby-net-ssh
 Extends ruby-net-ssh by adding Kerberos authentication capability for
 password-less logins on multiple platforms.

Package: ruby-net-ssh-multi
Description-md5: d96b3c9d2ae5eda0935025fa062337a5
Description-en: Ruby library for making multiple SSH connections to remote systems
 Net::SSH::Multi is a library for controlling multiple Net::SSH connections
 via a single interface. It exposes an API similar to that of
 Net::SSH::Connection::Session and Net::SSH::Connection::Channel, making it
 simpler to adapt programs designed for single connections to be used with
 multiple connections.
 .
 This library is particularly useful for automating repetitive tasks that
 must be performed on multiple machines. It executes the commands in parallel,
 and allows commands to be executed on subsets of servers (defined by groups).

Package: ruby-netaddr
Description-md5: f239e8f1830fed32c61ade250ce68b2e
Description-en: manipulating network addresses
 NetAddr easily handle advanced tasks such as automating the
 subnetting/supernetting of IP space, performing calculations on IP CIDR
 blocks, and other various items.

Package: ruby-netcdf
Description-md5: 5dda80abccdd7cdbe0569336d5f4bd6a
Description-en: Ruby interface of netCDF library
 RubyNetCDF is a Ruby interface of netCDF library built on the NArray
 library, which is an efficient multi-dimensional numeric array class
 for Ruby.

Package: ruby-netrc
Description-md5: cd1f572bd6face9916bc52cc6bdb5fd2
Description-en: Ruby library to read and write netrc files
 This Ruby library can read and update netrc(5) files, preserving formatting
 including comments and whitespace.
 .
 This package contains the 'netrc' library, not to be confused with the
 'net-netrc' library from the 'ruby-net-netrc' package.

Package: ruby-nfc
Description-md5: 589ae52816a76b74e1f5d7f7427afb9d
Description-en: ruby wrapper for the libnfc
 NFC is a ruby wrapper for the Near Field Communication library (libnfc).
 The Near Field Communication library works with many USB RFID readers,
 so this lets you read RFID tags.

Package: ruby-nfnetlink
Description-md5: 3104dd1a22a294434ba2080f40b3c971
Description-en: Wrapper on top of libnfnetlink using FFI
 libnfnetlink is the low-level library for netfilter related kernel/userspace
 communication. It provides a generic messaging infrastructure for in-kernel
 netfilter subsystems (such as nfnetlink_log, nfnetlink_queue,
 nfnetlink_conntrack) and their respective users and/or management tools in
 userspace.
 .
 This package contains a small, still incomplete, wrapper around libnfnetlink.

Package: ruby-nfqueue
Description-md5: 09c79f537c01d89eed8d924a1a641eff
Description-en: Wrapper around libnetfilter_queue using FFI
 This package contains a tiny wrapper around libnetfilter_queue. It allows you
 to very simply intercept and modify network traffic in a Ruby environment.

Package: ruby-ngraph
Description-md5: 05edfae8b7f19ada152c65267cfd343d
Description-en: Library for using ngraph-gtk Ruby programs
 This library provides an API to use ngraph-gtk. Ngraph is the program
 to create scientific 2-dimensional graphs for researchers and
 engineers. This program can create advanced graphs which can't be
 created by spreadsheets. Graphs can be exported to postscript.
 .
 This package contains a library for using ngraph-gtk in Ruby programs.

Package: ruby-nio4r
Description-md5: 517e4f005b34b5c4fd9a4d76ac0a0c97
Description-en: Ruby library providing a selector API for monitoring IO objects
 nio4r (New IO for Ruby) provides an abstract, cross-platform stateful
 I/O selector API for Ruby. I/O selectors are the heart of
 "reactor"-based event loops, and monitor multiple I/O objects for
 various types of readiness, e.g. ready for reading or writing.
 .
 The most similar API provided by Ruby today is Kernel.select, however
 the select API requires you to pass in arrays of all of the I/O
 objects you're interested in every time. nio4r provides a more
 object-oriented API that lets you register I/O objects with a selector
 then handle them when they're selected for various types of events.
 .
 nio4r is modeled after the Java NIO API, but simplified for
 ease-of-use.
 .
 Its goals are:
 .
  * Expose high-level interfaces for stateful IO selectors.
  * Keep the API small to maximize both portability and performance across
    many different OSes and Ruby VMs.
  * Provide inherently thread-safe facilities for working with IO objects.

Package: ruby-nokogiri
Description-md5: 8b5216e340ca3509e38f4420fb6ca92a
Description-en: HTML, XML, SAX, and Reader parser for Ruby
 Nokogiri (鋸) is an HTML, XML, SAX, and Reader parser.  It is able to
 search documents via XPath or CSS3 selectors, and is a drop-in replacement
 for Hpricot (though not bug for bug).

Package: ruby-nokogiri-diff
Description-md5: 6dad6dbb908cee7dff9c4a75f9a6a627
Description-en: calculate the differences between two XML/HTML documents
 Nokogiri::Diff adds the ability to calculate the differences (added or removed
 nodes) between two XML/HTML documents.
 .
 Features
  * Performs a breadth-first comparison between children nodes.
  * Compares XML/HTML Elements, Attributes, Text nodes and DTD nodes.
  * Allows calculating differences between documents, or just enumerating the
  added or removed nodes.

Package: ruby-nokogumbo
Description-md5: cf1ed1fd9a9639dd89d99fdb870d603a
Description-en: Nokogiri interface to the Gumbo HTML5 parser
 Nokogiri is an HTML and XML parser for Ruby. Gumbo is a HTML5 parser written
 in pure C.
 .
 Nokogumbo allows a Ruby program to invoke the Gumbo parser and access
 the result as a Nokogiri parsed document.

Package: ruby-nori
Description-md5: 98c9aa5b96717c8a05173e51ee8534aa
Description-en: XML to Hash translator
 Really simple XML parser ripped from Crack which ripped it from Merb.
 Nori was created to bypass the stale development of Crack, improve its XML
 parser and fix certain issues.
 .
 Nori supports pluggable parsers and ships with both REXML and Nokogiri
 implementations. It defaults to Nokogiri, but you can change it to use REXML.

Package: ruby-notiffany
Description-md5: 037eb4f5286eb68a59eceee39fccf484
Description-en: Wrapper libray for most popular notification libraries
 Notification library supporting popular notifiers, such as:
 Growl, libnotify, TMux, Emacs, rb-notifu, notifysend, gntp, TerminalNotifier.
 .
 Features:
  * most popular notification libraries supported
  * easy to override options at any level (new(), notify())
  * using multiple notifiers simultaneously
  * child processes reuse same configuration

Package: ruby-notify
Description-md5: d3d6f0b390af48722d60c08eb4477443
Description-en: Desktop notification command on cross platform
 The "notify" provides a function to notify on cross platform.
 Notify supports the following features:
   - growl
   - notify-send
   - Growl for Windows (`ruby_gntp`)
   - libnotify
   - Mountain Lion Notification Center (`terminal-notifier`)
 .
 In Debian, "notify" command is simple wrapper to execute "notify-send".

Package: ruby-notmuch
Description-md5: 4a5eb6380faed4bf3e42af7e8ef0ea1a
Description-en: Ruby interface to the notmuch mail search and index library
 Notmuch is a system for indexing, searching, reading, and tagging
 large collections of email messages in maildir or mh format. It uses
 the Xapian library to provide fast, full-text search with a very
 convenient search syntax.
 .
 This package provides a Ruby interface to the notmuch
 functionality, directly interfacing with a shared notmuch library.

Package: ruby-ntlm
Description-md5: cacef1d850160bd8497deaf0c378a881
Description-en: NTLM authentication client for Ruby
 NTLM (NT LAN Manager) is an authentication protocol used in various Microsoft
 network protocol implementations. It employs a challenge-response mechanism
 for authentication, in which clients are able to prove their identities
 without sending a password to the server.
 This Ruby library provides message creator and parser for the NTLM
 authentication. It supports NTLM v1 only.

Package: ruby-numerizer
Description-md5: ce7762d98cea3c0d34776dbb5298de2d
Description-en: parse numbers in natural language from strings (ex forty two)
 Numerizer is a library to help with parsing numbers in natural language from
 strings (ex forty two). It was extracted from the awesome Chronic gem
 http://github.com/evaryont/chronic.

Package: ruby-numru-misc
Description-md5: 0d432734102d60cbc87105a5a443aa97
Description-en: Miscellaneous functions and classes to help Ruby programming
 The module "NumRu::Misc" provides miscellaneous functions and classes to
 facilitate programming for Ruby.
   - NumRu::Misc::KeywordOpt
     A class to facilitate optional keyword arguments. More specifically,
     it helps the use of a Hash to mimic the keyword argument system.
     With this, you can set default values and description to each
     keyword argument.
   - NArray Enhanchement
   - NumRu::Misc::MD_Iterators
     A Mixin. To be included in a class with multi-dimension indexing
     support (such as NArray).
   - NumRu::Misc::EMath
     To be included instead of the Math predefined module (or NMath in
     NArray). Unlike Math and NMath, EMath handles unknown classes by
     calling its native instance method (assuming the same name).

Package: ruby-numru-units
Description-md5: b38a2fe24a41a600aae86a28d980adde
Description-en: Ruby class library to handle units of physical quantities
 Numru-units is a class to handle units of physical quantities.
 It covers most functionality of UNIDATA's UDUNITS Library
 (http://www.unidata.ucar.edu/packages/udunits), however,
 with a more sophisticated handling of string expressions.

Package: ruby-oauth
Description-md5: 54877f8aa90520efdb6fbaa283d807c9
Description-en: Ruby library for OAuth core
 This package is a ruby library providing OAuth consumer and provider.
 .
 OAuth is an open standard that allows users to share their private
 resources (e.g. photos, videos, contact lists) stored on one site with
 another site without having to hand out their username and password.

Package: ruby-oauth2
Description-md5: b7a0e24836ee940b9284a382f83854dc
Description-en: ruby wrapper for the OAuth 2.0 protocol
 A Ruby wrapper for the OAuth 2.0 protocol built with a similar style to the
 original OAuth spec.
 .
 This is a work in progress, being built first to solve the pragmatic process of
 connecting to existing OAuth 2.0 endpoints (a.k.a. Facebook) with the goal of
 building it up to meet the entire specification over time.

Package: ruby-obexftp
Description-md5: dc520555636c19317e0cda9c9735b8b2
Description-en: ruby binding to the object exchange file transfer library
 OBEX, the OBject EXchange protocol, can best be described as binary HTTP.
 OBEX is optimized for ad-hoc links and can be used to exchange
 all kind of objects like files, pictures, calendar entries (vCal)
 and business cards (vCard) over bluetooth, IrDA, USB and serial cable
 links.
 .
 This package contains the binding for the Ruby programming language.

Package: ruby-octokit
Description-md5: 0935ca9194eafaedd25a13741a4ec20e
Description-en: Ruby toolkit for working with the GitHub API
 ruby-octokit wraps the GitHub API in a flat API client that follows Ruby
 conventions and requires little knowledge of REST.
 .
 It provides all expected features needed to interact with Github or
 any other implementation of their API:
 .
  - Authenticated (basic and oauth) and unauthenticated requests.
  - Access to all resources and fields.
  - Direct access to HTTP response headers if needed.
  - Pagination.
  - Hypermedia features (ruby-octokit is built on top of ruby-sawyer).

Package: ruby-odbc
Description-md5: 4b0bfc29dbe68a7af0b5ec446842bbc8
Description-en: ODBC Binding for Ruby
 ODBC is a standard API for connecting to ODBC data sources such as Relational
 Database Management Systems (RDBMSs). For accessing ODBC data sources from the
 Ruby language, an ODBC binding library and Ruby interface are required.
 .
 This package includes both UTF-8 and non-UTF-8 versions.

Package: ruby-oembed
Description-md5: 537a34238319d30474d132bc7164f447
Description-en: oEmbed for Ruby
 An oEmbed consumer library written in Ruby, letting you easily get embeddable
 HTML representations of supported web pages, based on their URLs. See
 http://oembed.com for more information about the protocol.

Package: ruby-ogginfo
Description-md5: c752adde2ae3262c6d01cd9622638afd
Description-en: Ruby library for accessing low-level information on ogg files
 Ruby-ogginfo provides access to the bitrate, length, samplerate, encoder,
 and tag information of ogg files. It can also access and write tags for
 ogg files provided that vorbis-tools is installed.

Package: ruby-oily-png
Description-md5: 8e5a3d26030464adc071000be70ce85d
Description-en: native mixin to speed up ChunkyPNG
 OilyPNG is a Ruby C extension to speed up the pure Ruby ChunkyPNG
 library. It is a standalone module, so it does not require LibPNG,
 ImageMagick or any other library. Currently it has an alternative
 implementation of decoding and encoding PNGs, making these operations
 much faster, especially for PNG images that apply filtering.

Package: ruby-oj
Description-md5: 550e05851a129202d0895a04dc6ee06d
Description-en: fast JSON parser and serializer for Ruby
 JSON (or JavaScript Object Notation) is a text-based open standard designed
 for human-readable data interchange.
 .
 Oj (Optimized JSON) is a Ruby library written to provide speed optimized
 handling of JSON, for parsing JSON and serializing objects.

Package: ruby-ole
Description-md5: 15d521c2fd93f8ba660c6dd6c3b22750
Description-en: Ruby library for read/write access for OLE compound documents
 Ruby-ole is a library for read/write access to OLE2 structured storage
 files, such as those produced by Microsoft Office, eg *.doc, *.msg
 etc.
 .
 It provides a simple and easy to use API, supporting read and write
 streaming, by providing transparent access to the "files" within OLE
 documents as IO-like objects, supporting all the expected operations.

Package: ruby-omniauth
Description-md5: da3d28de808add35ff16a65de6d77527
Description-en: flexible authentication system utilizing Rack middleware
 OmniAuth is a Ruby library that standardizes multi-provider
 authentication for web applications. It was created to be powerful,
 flexible, and do as little as possible. Any developer can create
 strategies for OmniAuth that can authenticate users via disparate
 systems. OmniAuth strategies have been created for everything from
 Facebook to LDAP.

Package: ruby-omniauth-auth0
Description-md5: c3c197b42b2cd834dd75720ca03e6a0e
Description-en: Omniauth OAuth2 strategy for the Auth0 platform
 Auth0 is an authentication broker that supports social identity providers
 as well as enterprise identity providers such as Active Directory,
 LDAP, Google Apps, Salesforce.
 .
 OmniAuth is a library that standardizes multi-provider authentication for  web
 applications. It was created to be powerful, flexible.
 .
 omniauth-auth0 is the omniauth strategy for Auth0.

Package: ruby-omniauth-authentiq
Description-md5: 3786a9e2f8762a2dbb50c2c45f4bb9c7
Description-en: Authentiq strategy for OmniAuth
 Strategy to enable passwordless authentication in OmniAuth via Authentiq.
 .
 OmniAuth is a library that standardizes multi-provider authentication for  web
 applications. It was created to be powerful, flexible.

Package: ruby-omniauth-azure-oauth2
Description-md5: a548e88502e3fe6e4f881e286e713f84
Description-en: Windows Azure Active Directory OAuth2 strategy for OmniAuth
 This package installs the gem omniauth-azure-oauth2 that provides a simple way
 to authenticate to Windows Azure Active Directory (WAAD) over OAuth2 using
 OmniAuth.

Package: ruby-omniauth-bitbucket
Description-md5: 3ef1f5aefcaed6d74a5cc6decbf8f65a
Description-en: Oauth2 bitbucket strategy for OmniAuth
 This library contains a OAuth2 facebook strategy for OmniAuth. It supports the
 OAuth 2.0 server-side and client-side flows.
 .
 Read more about it at
 https://confluence.atlassian.com/display/BITBUCKET/Version+2

Package: ruby-omniauth-cas3
Description-md5: 161490cac978598e2de672927a82198c
Description-en: CAS 3.0 Strategy for OmniAuth
 This is a OmniAuth 1.0 compatible port of the previously available [OmniAuth
 CAS strategy][old_omniauth_cas] that was bundled with OmniAuth 0.3. This
 strategy has also been updated for CAS protocol version 3.0 and patched to
 deal with namespace issues.

Package: ruby-omniauth-crowd
Description-md5: 2bb5ad294cd8557ea6e970f1d919b595
Description-en: OmniAuth provider for Atlassian Crowd REST API
 This package provides the gem 'omniauth_crowd', which is an OmniAuth provider
 for Atlassian Crowd's REST API.  It allows you to easily integrate your Rack
 application in with Atlassian Crowd.

Package: ruby-omniauth-facebook
Description-md5: 874a664b31a1ff7794fad04c69d33c3a
Description-en: Oauth2 facebook strategy for OmniAuth
 This gem contains a OAuth2 facebook strategy for OmniAuth. It supports the
 OAuth 2.0 server-side and client-side flows.
 .
 Read the Facebook docs for more details:
 http://developers.facebook.com/docs/authentication.

Package: ruby-omniauth-github
Description-md5: e1accc812598f1a995c7bbf57c7616b1
Description-en: GitHub strategy for the Ruby OmniAuth library
 OmniAuth is a Ruby library that standardizes multi-provider
 authentication for web applications. It was created to be powerful,
 flexible, and do as little as possible. Any developer can create
 strategies for OmniAuth that can authenticate users via disparate
 systems. OmniAuth strategies have been created for everything from
 Facebook to LDAP.
 .
 This package contains the official OmniAuth strategy for GitHub.

Package: ruby-omniauth-gitlab
Description-md5: 4a10e4efb9f8ea1dd30a6ca918f65345
Description-en: OAuth2 strategy for authenticating to GitLab service
 This gem provides the OAuth2 strategy for authenticating to the GitLab
 service.
 .
 GitLab is a web-based Git repository manager with wiki and issue tracking
 features.

Package: ruby-omniauth-google-oauth2
Description-md5: 3b478ca22b9e22809c5c5d8b1e537082
Description-en: Google OAuth2 strategy for OmniAuth 1.x
 This gem allows one to authenticate with Google via OAuth2 in OmniAuth
 .
 Google's developer area contains ample documentation on how it implements
 this, so if you are really interested in the details, check  out
 https://developers.google.com/accounts/docs/OAuth2 for more.

Package: ruby-omniauth-jwt
Description-md5: e1932c4129178d3875a97da32828287a
Description-en: JSON Web Token (JWT) is a simple way to send verified information
 between two parties online.
 .
 OmniAuth::JWT provides a clean, simple wrapper on top of JWT so that you can
 easily implement this kind of SSO either between your own applications or allow
 third parties to delegate authentication.

Package: ruby-omniauth-kerberos
Description-md5: bd2c82444b7ce854eaad366e8433a64a
Description-en: OmniAuth strategy for Kerberos
 This gem provides an OmniAuth strategy for Kerberos. Kerberos is a computer
 network authentication protocol which works on the basis of 'tickets' to allow
 nodes communicating over a non-secure network to prove their identity to one
 another in a secure manner. OmniAuth is a library that standardizes
 multi-provider authentication for web applications

Package: ruby-omniauth-ldap
Description-md5: 0498864ccd318fc0cb5623b2bb83cbdf
Description-en: LDAP strategy for Ruby OmniAuth library
 OmniAuth is a Ruby library that standardizes multi-provider
 authentication for web applications. It was created to be powerful,
 flexible, and do as little as possible. Any developer can create
 strategies for OmniAuth that can authenticate users via disparate
 systems. OmniAuth strategies have been created for everything from
 Facebook to LDAP.
 .
 This package contains LDAP strategy for OmniAuth
 .
 This version has been patched for use with GITLAB.

Package: ruby-omniauth-multipassword
Description-md5: acbc7d6ec76c474342dd6688a1b9cba1
Description-en: OmniAuth strategy to use different password strategies
 Provides an OmniAuth strategy that can be used to authenticate using different
 password strategies. The compatible strategies include omniauth-internal,
 which can authenticate using has_secure_password in Rails and
 omniauth-kerberos, which can authenticate using a Kerberos server.
 .
 OmniAuth is a library that standardizes multi-provider authentication for web
 applications

Package: ruby-omniauth-oauth
Description-md5: 4717e02f38e8a05ad740a1b9ddaddc15
Description-en: generic OAuth (1.0/1.0a) strategy for OmniAuth
 This gem contains a generic OAuth strategy for OmniAuth. It is meant to
 serve as a building block strategy for other strategies and not to be
 used independently (since it has no inherent way to gather uid and user
 info).

Package: ruby-omniauth-oauth2
Description-md5: 1ba6868672635a327588a0ae64edae40
Description-en: abstract OAuth2 strategy for OmniAuth
 This gem contains a generic OAuth2 strategy for OmniAuth. It is meant to
 serve as a building block strategy for other strategies and not to be
 used independently (since it has no inherent way to gather uid and user
 info).

Package: ruby-omniauth-oauth2-generic
Description-md5: 7a1184e35262509c030bdc1169a01306
Description-en: generic OmniAuth strategy for OAuth2 providers
 This package provides the gem omniauth-oauth2-generic that provides a generic,
 configurable OmniAuth Strategy for OAuth2 providers.
 .
 OmniAuth is a flexible authentication system utilizing Rack middleware

Package: ruby-omniauth-openid
Description-md5: 9e4431f0704c804c7ac8a15fd35d9749
Description-en: openid strategy for OmniAuth
 Provides strategies for authenticating to providers using the OpenID standard.
 .
 Use the strategy as a middleware in your application if you want to use OpenID
 as stand alone. If OpenID is one of several authentication strategies, use the
 OmniAuth Builder.
 .
 See /usr/share/doc/ruby-omniauth-openid/README.md for examples.

Package: ruby-omniauth-openid-connect
Description-md5: 6996d38cfbc08fcf832861cb60265728
Description-en: OpenID Connect Strategy for OmniAuth
 This gem intends for an OpenID Connect Strategy for OmniAuth.
 .
 The name configuration exists because you could be using multiple OpenID
 Connect providers in a single app.

Package: ruby-omniauth-remote-user
Description-md5: ba18be1cc389ec2fce439702b13b1aa2
Description-en: Omniauth extrategy for Remote-User HTTP header
 This package allows applications using ruby-omniauth to authenticate users
 using the Remote-User HTTP header. This can be used with HTTP basic
 authentication, SSL client certificate authentication, and all authentication
 methods that sets the Remote-User header for the underlying application.
 .
 This should not be used in an application that is directly acessible from the
 network, but applications that are under a web server setup that will properly
 handle authentication before setting the Remote-User header for the
 application.

Package: ruby-omniauth-salesforce
Description-md5: 648c9a0d3e38801cedf61e651526ccf3
Description-en: OmniAuth strategy for salesforce.com
 OmniAuth is a Ruby library that standardizes multi-provider
 authentication for web applications. It was created to be powerful,
 flexible, and do as little as possible. Any developer can create
 strategies for OmniAuth that can authenticate users via disparate
 systems. OmniAuth strategies have been created for everything from
 Facebook to LDAP.
 .
 This package contains the OmniAuth strategy for salesforce.com

Package: ruby-omniauth-saml
Description-md5: 678424b52a9dcc88891efb41b2950367
Description-en: generic SAML strategy for OmniAuth
 This gem provides a strategy to use SAML with OmniAuth. Security Assertion
 Markup Language (SAML) is an XML-based, open-standard data format for
 exchanging authentication and authorization data between parties, in
 particular, between an identity provider and a service provider.

Package: ruby-omniauth-shibboleth
Description-md5: 6916f8394b42765949641fe4027c1a9d
Description-en: OmniAuth Shibboleth strategies for OmniAuth
 OmniAuth Shibboleth strategy is an OmniAuth strategy for authenticating
 through Shibboleth (SAML)
 .
 OmniAuth Shibboleth strategy uses the 'auth hash' for providing user
 attributes passed by Shibboleth SP. It enables developers to use Shibboleth
 and the other authentication methods, including local auth, together in one
 application.

Package: ruby-omniauth-tumblr
Description-md5: 406fa00e3397f30500ac1a470a8654ba
Description-en: OmniAuth strategy for Tumblr
 This library is an OmniAuth 1.0 Strategy for authenticating with the
 Tumblr API.
 .
 Setting up a tumblr dev account and usage of this library is documented in
 /usr/share/ruby-omniauth-tumblr/README.md

Package: ruby-omniauth-twitter
Description-md5: 0445e2b727ef44432d02497cfc6d2f9c
Description-en: OmniAuth strategy for Twitter
 Twitter offers a few different methods of integration. This strategy implements
 the browser variant of the "Sign in with Twitter" flow.
 .
 Twitter uses OAuth 1.0a. Twitter's developer area contains ample documentation
 on how it implements this, so if you are really interested in the details, go
 check that out for more.

Package: ruby-omniauth-ultraauth
Description-md5: 7d9ca511ba8e8d4799edee9bd25ce969
Description-en: Omniauth strategy for UltraAuth
 OmniAuth is a Ruby library that standardizes multi-provider authentication for
 web applications. It was created to be powerful, flexible, and do as little as
 possible. Any developer can create strategies for OmniAuth that can
 authenticate users via disparate systems. OmniAuth strategies have been
 created for everything from Facebook to LDAP.
 .
 Eliminate customer phishing / hijacking and increase user satisfaction with
 password-less authentication.

Package: ruby-omniauth-wordpress
Description-md5: 5f1155d46a5ebd23def3d1923a3f5e67
Description-en: Wordpress strategy for OmniAuth
 This library contains a OAuth2 wordpress strategy for OmniAuth. It allows your
 ruby application to connect to  wordpress and allows you to post to a
 wordpress blog from your application.

Package: ruby-open-graph-reader
Description-md5: f16678ed3ad0063da43d68a4bee42d6b
Description-en: OpenGraph protocol parser
 A library to fetch and parse OpenGraph properties from an URL or a given
 string.
 .
 The Open Graph protocol enables any web page to become a rich object in a
 social graph. It allows embedding of images and videos of a webpage from its
 url.

Package: ruby-open-uri-redirections
Description-md5: 4cd6b9761225824220bbc4776b9e49a0
Description-en: openuri patch to allow redirections between HTTP and HTTPS
 This applies a patch to OpenURI to optionally allow redirections from
 HTTP to HTTPS, or from HTTPS to HTTP.

Package: ruby-open4
Description-md5: 01fde789b4b753b418ea822aa5ccc7ea
Description-en: library for managing child processes in Ruby
 Open4 lets you open child process with handles on pid, stdin, stdout, and
 stderr: manage child processes and their I/O handles easily.

Package: ruby-openid
Description-md5: 637d25746042fcf87e32b3f62adfbf62
Description-en: Ruby library for verifying and serving OpenID identities
 Ruby OpenID makes it easy to add OpenID authentication to your web
 applications.
 This library is a port of the Python OpenID library, and features:
 .
  * API for verifying OpenID identities (OpenID::Consumer)
  * API for serving OpenID identities (OpenID::Server)
  * Consumer and server support for extensions, including simple registration
  * Yadis 1.0 and OpenID 1.0 service discovery, including server fallback
  * Does not depend on underlying web framework
  * Multiple storage implementations (Filesystem, SQL)
  * Comprehensive test suite
  * Example code to help you get started, including:
    - WEBrick based consumer
    - Ruby on rails based server
    - OpenIDLoginGenerator for quickly creating a rails app that uses OpenID
      for authentication
    - ActiveRecord adapter for using an SQL store in rails

Package: ruby-openid-connect
Description-md5: 7389f5f8c2c8614f3264913d417879c8
Description-en: OpenID Connect Server & Client Library
 OpenID Connect 1.0 is a simple identity layer on top of the OAuth 2.0
 protocol. It allows Clients to verify the identity of the End-User based on
 the authentication performed by an Authorization Server, as well as to obtain
 basic profile information about the End-User in an interoperable and REST-like
 manner.
 .
 OpenID Connect allows clients of all types, including Web-based, mobile, and
 JavaScript clients, to request and receive information about authenticated
 sessions and end-users. The specification suite is extensible, allowing
 participants to use optional features such as encryption of identity data,
 discovery of OpenID Providers, and session management, when it makes sense
 for them.

Package: ruby-openstack
Description-md5: d8039c68a54d6041aa29fed29b181506
Description-en: OpenStack Ruby API
 This package provides Ruby Openstack Compute, Object-Store, Block Storage and
 Network bindings for the OpenStack API. Currently supports both v1.0 and v2.0
 (keystone) auth.

Package: ruby-opentracing
Description-md5: fb597ab12e0117bc8cb1d7b4eaef2a73
Description-en: OpenTracing API for Ruby
 This package is a Ruby platform API for OpenTracing.
 In order to understand the Ruby platform API, one must first be familiar with
 the OpenTracing project and terminology more specifically.
 .
 Everyday consumers of this opentracing gem really only need to worry about a
 couple of key abstractions: the start_active_span and start_span methods, the
 Span and ScopeManager interfaces, and binding a Tracer at runtime.

Package: ruby-optimist
Description-md5: bd15c1a16f2cff05ddf8a48c3256408c
Description-en: Commandline parser for Ruby that just gets out of your way
 Optimist is a commandline option parser for Ruby that just
 gets out of your way. One line of code per option is all you need to write.
 .
 For that, you get a nice automatically-generated help page, robust option
 parsing, command subcompletion, and sensible defaults for everything you
 don't specify.

Package: ruby-org
Description-md5: fd06ca64b5040c55c3b91640a8911e29
Description-en: Emacs org-mode parser for Ruby
 An Emacs org-mode parser written in Ruby. The most significant thing this
 library does today is convert org-mode files to HTML or Textile. Currently,
 you cannot do much to customize the conversion. The supplied textile
 conversion is optimized for extracting "content" from the orgfile as opposed
 to "metadata."

Package: ruby-origami
Description-md5: b8d883f9697bb557a0c3448c7ac8a051
Description-en: PDF analysis and manipulation tool (Ruby library)
 Origami is a PDF-compliant parser. It provides a scripting tool to generate
 and analyze malicious PDF files. It can also be used to create on-the-fly
 customized PDFs, or to inject (evil) code into already existing documents.
 .
 This package contains the Ruby library code, which can be used from other Ruby
 programs to manipulate PDF's.

Package: ruby-origin
Description-md5: 6781493b10bc4631e0eb97313e288d0e
Description-en: Simple DSL for MongoDB query generation
 Origin provides a DSL to mix in to any object to give it the ability to build
 MongoDB queries easily. It was extracted from Mongoid in an attempt to allow
 others to leverage the DSL in their own applications without needing a mapper.

Package: ruby-orm-adapter
Description-md5: 3bc773ac3a845ebc96b105ecd9a1a916
Description-en: single point of entry for using basic features of ruby ORMs
 orm_adapter provides a single point of entry for using basic features of
 popular ruby ORMs.  Its target audience is gem authors who want to support
 many ruby ORMs.

Package: ruby-os
Description-md5: cfce1c82ffab274b14baf5ea467bf65c
Description-en: Simple and easy way to know if you're on windows or not (reliably)
 It also tells how many bits the OS is, etc.
 .
 The OS library allows for some useful and easy functions, like OS.windows?
 (=> true or false) OS.bits ( => 32 or 64) etc"

Package: ruby-otr-activerecord
Description-md5: 65d4cb8c69928b82191c4886f18c19df
Description-en: Off The Rails: Use ActiveRecord with Grape, Sinatra, Rack, or anything else!
 An easy way to use ActiveRecord "off the rails."
 Works with Grape, Sinatra, plain old Rack, or even in a boring little script!
 .
 The defaults are all very Railsy (config/database.yml, db/seeds.rb,
 db/migrate, etc.), but you can easily change them.
 .
 Formerly known as grape-activerecord.

Package: ruby-ox
Description-md5: 9754971cc5d8cc81916a5e1443772e6a
Description-en: fast XML parser and object serializer
 Optimized XML (Ox), as the name implies, was written to provide speed
 optimized XML handling.  It was designed to be an alternative to Nokogiri
 and other Ruby XML parsers for generic XML parsing and as an alternative
 to Marshal for Object serialization.
 .
 Ox handles XML documents in three ways: it is a generic XML parser and
 writer, a fast Object / XML marshaller, and a stream SAX parser.  The
 library is implemented in C for speed and has a lean, simple Ruby API.

Package: ruby-packetfu
Description-md5: d3705bcf4db54b7c96cab3ffe4be00ea
Description-en: mid-level packet manipulation library for Ruby
 PacketFu is a library for reading and writing packets to an interface or to a
 libpcap-formatted file. This library can read, parse, and write network
 packets with the level of ease and fun expected from Ruby.

Package: ruby-paint
Description-md5: a2a8382d237fcbdd0c6550cc18f7f5e4
Description-en: terminal paint library with 256 color and effect support
 The paint library for ruby provides a single method, `Paint.[]`, that produces
 colored output on terminals. It comes with support for 256 color terminals,
 ANSI effects, and allows defining custom shortcuts.

Package: ruby-pango
Description-md5: 422113da666218d3e6c66a312e10ac17
Description-en: Pango bindings for the Ruby language
 Pango is a library for layout and rendering of text, with an emphasis on
 internationalization.
 .
 This package contains libraries for using Pango with the Ruby programming
 language.

Package: ruby-paper-trail
Description-md5: b648c286c45f5e95fcd14f17ead4c123
Description-en: Track changes to your models, helps to retrieve versions
 Track changes to your models, for auditing or versioning. See how a model
 looked at any stage in its lifecycle, revert it to any version, or restore it
 after it has been destroyed.
 .
 PaperTrail stores the pre-change version of the model, unlike some other
 auditing/versioning plugins, so you can retrieve the original version. This is
 useful when you start keeping a paper trail for models that already have
 records in the database.

Package: ruby-parallel
Description-md5: 740ec9fb40a63a9b8b7e74bc3c2fdc47
Description-en: library to run any kind of code in parallel processes
 This package provides a Ruby library to run any code in parallel Processes (in
 order to use all CPUs) or Threads (to speedup blocking operations).
 .
 This is best suited for map-reduce operations or e.g. parallel
 downloads or uploads.

Package: ruby-parallel-tests
Description-md5: 0ae0955561222ac540be766e3ba3e0df
Description-en: Run Test::Unit / RSpec / Cucumber / Spinach in parallel
 Speedup Test::Unit + RSpec + Cucumber + Spinach by running parallel
 on multiple CPU cores. ParallelTests splits tests into even groups
 (by number of lines or runtime) and runs each group in a single process
 with its own database.

Package: ruby-paranoia
Description-md5: bf3a95406d293ece533a4095e9959445
Description-en: re-implementation of acts_as_paranoid for Rails 3
 Paranoia is a re-implementation of acts_as_paranoid for Rails 3, using much,
 much, much less code. You would use either plugin / gem if you wished that
 when you called destroy on an Active Record object that it didn't actually
 destroy it, but just "hid" the record. Paranoia does this by setting a
 deleted_at field to the current time when you destroy a record, and hides it
 by scoping all queries on your model to only include records which do not have
 a deleted_at field.

Package: ruby-parse-cron
Description-md5: 4898d1b4a13de1cb3ac2a7907a250e2c
Description-en: parse cron expressions and calculate next job occurrence
 "parse-cron" parses crontab timing specification and determine when the
 next scheduled job should be run.

Package: ruby-parseconfig
Description-md5: 7ba08dbdfd12c2b1e0bb46faaace4e51
Description-en: Simple standard configuration file parser for Ruby
 ParseConfig provides simple parsing of standard configuration files in the
 form of 'param = value'.  It also supports nested [group] sections.

Package: ruby-parser
Description-md5: b868d266d32f75e24ca0bc425b44c4fc
Description-en: Ruby parser written in pure Ruby
 ruby_parser (RP) can be used to parse and check syntax of Ruby code.
 "Pure Ruby" in this context means the parser's code
 * consists solely of Ruby source files
 * does not add any native extensions or other C code (eg. with RubyInline)
   which requires a C compiler to be present on the user's system
 .
 It utilizes racc and its output is same as that of ParseTree's.

Package: ruby-parslet
Description-md5: 8340b0724ed504c67d32c34c6084ca84
Description-en: Parser construction library with great error reporting in Ruby
 Parslet makes developing complex parsers easy. It does so by
 .
 * providing the best error reporting possible
 * not generating reams of code for you to debug
 .
 Parslet takes the long way around to make your job easier. It allows for
 incremental language construction. Often, you start out small, implementing
 the atoms of your language first; _parslet_ takes pride in making this
 possible.

Package: ruby-passenger
Description-md5: 2f5d491755cdbc674c0341cb5c81e9d0
Description-en: transitional dummy package for passenger
 This is a transitional dummy package. It can safely be removed.

Package: ruby-passenger-doc
Description-md5: c13e80d52245e76aad02a75455901270
Description-en: transitional dummy package for passenger-doc
 This is a transitional dummy package. It can be safely removed.

Package: ruby-password
Description-md5: 29d7ffb0ecf8a7a3ef72ab893bdb7b53
Description-en: Ruby library for handling passwords
 This package is a suite of password handling methods. Specifically, it
 handles manual entry of passwords from the keyboard in both buffered and
 unbuffered modes, password strength checking, random password generation,
 phonemic password generation, and encryption of passwords.
 .
 The common CrackLib library is used to perform password strength checking.

Package: ruby-pastel
Description-md5: 01d2ad6d66def9085ad03cbfff447b78
Description-en: terminal strings styling with intuitive and clean API
 This Ruby library provides styling for strings for output to the
 terminal with an intuitive and clean API.
 .
 This is part of the TTY components framework to easily build terminal
 applications in Ruby.

Package: ruby-pathname2
Description-md5: 565ec784bb53f21dc270806cca3e8e1f
Description-en: alternate implementation of the Pathname class
 pathname2 is a library that provides an implementation of the Pathname
 class different from the one that ships as part of the Ruby standard
 library. It is a subclass of String, though several methods have been
 overridden to better fit a path context. In addition, it supports file
 URL's as paths, provides additional methods for Windows paths, and
 handles UNC paths on Windows properly.

Package: ruby-pathutil
Description-md5: ade156af974691e78b46cf6cef17335a
Description-en: Faster pure Ruby implementation of Pathname with extra bits
 Pathutil tries to be a faster pure Ruby impelementation of Pathname.
 It arose out of a need to fix basic problems with Pathname, such as
 suscepetibility to join overrides, need for automatic encoding, and
 normalization (for stuff like Jekyll) and the ability to do other
 safe-style operations in an encapsulated format, like copying files and
 folders with symlinks but only if they originate from the given root.

Package: ruby-pcaprub
Description-md5: 60b6a40b8e28707383dfecda25007754
Description-en: Ruby bindings for LBL Packet Capture library (libpcap)
 libpcap (Packet CAPture) provides a portable framework for low-level
 network monitoring.  Applications include network statistics collection,
 security monitoring, network debugging, etc.
 .
 pcaprub provide a consistent interface for using libcap in Ruby.
 .
 It does not provide packet processing functionality, only the interface for
 capturing packets, and passing yielding those packets.

Package: ruby-pdf-core
Description-md5: e973d806737c3b05b46015b379723fd3
Description-en: Ruby library to render PDF documents
 PDF::Core is a pure Ruby library to render PDF documents. It supports
 several PDF features, such as among others:
  * low-level annotation
  * istream objects and stream filters
  * NameTree
  * object repository
  * object serialization
  * indirect objects
  * page geometries
 .
 It is used internally by Prawn (provided in the ruby-prawn package),
 a Ruby PDF generation library.

Package: ruby-pdf-inspector
Description-md5: b4183d019143fd7e460b497296c4d667
Description-en: Ruby library for analyzing PDF output
 This library provides a number of tools based on the Ruby library PDF::Reader,
 provided in the ruby-pdf-reader package, to test and analyze PDF output. the
 primary purpose of this tool is to support the tests for ruby-prawn, a pure
 Ruby PDF generation library.

Package: ruby-pdf-reader
Description-md5: 00cefbb812254d1c34800339b16e1e3d
Description-en: Ruby library for accessing the content of PDF files
 The PDF::Reader library implements a PDF parser conforming as much as possible
 to the PDF specification from Adobe. It provides programmatic access to the
 contents of a PDF file with a high degree of flexibility.
 .
 This is primarily a low-level library that should be used as the foundation
 for higher level functionality. There are a few exceptions to support very
 common use cases like extracting text from a page.

Package: ruby-peach
Description-md5: b6bd2ca1de6d89a990fc34c1737a7e67
Description-en: parallel execution of each and map in Ruby
 This Ruby module provides parallel (multi-threaded) versions of the each and
 map methods. This makes it each to (almost transparently) parallelize such
 loops.

Package: ruby-peek
Description-md5: bfe10f16ffe29da50dda3dd35480a262
Description-en: Take a peek into your Rails application
 This is a profiling tool originally built at GitHub to help them get an
 insight into their application. Now, they have extracted this into Peek, so
 that other Rails applications can experience the same benefit.

Package: ruby-peek-gc
Description-md5: cc1b74645c234f3f8aebadc76aaef08b
Description-en: Take a peek into the GC info of your Rails application
 peek is a profiling tool originally built at GitHub to help them get an
 insight into their application.

Package: ruby-peek-host
Description-md5: 10b9423c2053b27a8c448c65ef7f0c4b
Description-en: Take a peek into the host which served your Rails request
 Things this peek view provides: Hostname of the instance which served your
 request.
 .
 peek is a profiling tool originally built at GitHub to help them get an
 insight into their application.

Package: ruby-peek-performance-bar
Description-md5: 90fb362043c3ad65f5fd48e076d22058
Description-en: peek into MySQL queries made during your application's requests
 Things this peek view provides:
  - Frontend
  - Latency / Receiving
  - Backend
  - TCP / SSL
  - Redirect
  - DNS Lookup
 .
 peek is a profiling tool originally built at GitHub to help them get an
 insight into their application.

Package: ruby-peek-pg
Description-md5: e549bee3906b6234c9d04c9fd2fed32a
Description-en: Take a peek into the Postgres queries made during your application's requests
 Things this peek view provides:
  - Total number of Postgres queries called during the request
  - The duration of the queries made during the request

Package: ruby-peek-rblineprof
Description-md5: 550a792113b53f9a3dc86ca45db546fe
Description-en: rails integration for rblineprof
 Peek into how much each line of your Rails application takes throughout a
 request.
 .
 Things this peek view provides:
  - Total time it takes to render individual lines within your codebase
  - Total network time spent waiting per line

Package: ruby-peek-redis
Description-md5: fa04cde098889c4ddd99956660df26c2
Description-en: Take a peek into the Redis calls made within your Rails application
 Things this peek view provides:
  - Total number of Redis commands called during the request
  - The duration of the calls made during the request
 .
 peek is a profiling tool originally built at GitHub to help them get an
 insight into their application.

Package: ruby-pg
Description-md5: 5d27f486d8d3919d33cc283d173af5da
Description-en: PostgreSQL interface for Ruby
 ruby-pg module allows Ruby programs to interface with the PostgreSQL
 database engine.
 .
 It supports the functions defined in libpq library.

Package: ruby-pkg-config
Description-md5: f93692d699df7cbc7e10c44a6f9f4c75
Description-en: pkg-config implementation for Ruby
 pkg-config can be used in your extconf.rb to properly detect necessary
 libraries for compiling native Ruby extensions, using the pkg-config database.
 Note that this package does not use pkg-config, only its database.

Package: ruby-plist
Description-md5: dbc3b1f02bd3ba854c514f44eb47110d
Description-en: all-purpose property list manipulation library
 Plist is a library to manipulate Property List files, also known as plists.
 It can parse plist files into native Ruby data structures as well as
 generating new plist files from your Ruby objects.

Package: ruby-pluggaloid
Description-md5: 4666e99f965582d412bae1f6e0c1c018
Description-en: Extensible plugin system for mikutter
 This library is used by mikutter for extensible plugin system.
 It provides plugin system and event communication.

Package: ruby-po-to-json
Description-md5: ee2b31a98af86c79401820661fc7a92c
Description-en: convert gettext PO files to JSON
 This package provides the Ruby gem po_to_json. This gem can be used to convert
 gettext PO files to JSON objects so that you can use it in your application.
 gettext PO files are often used for adding support for internationalization.

Package: ruby-poltergeist
Description-md5: cb4dcd87f1fc751d81a01a422a3610c2
Description-en: PhantomJS driver for Capybara
 Poltergeist is a driver for Capybara that allows you to run your tests on a
 headless WebKit browser, provided by PhantomJS.

Package: ruby-polyglot
Description-md5: fd516aef2948655a4a1d0b4e7f6f890c
Description-en: Custom language loaders for specified file extensions
 Allows one to write custom language loaders for specified file extensions
 to be hooked into require.
 .
 This supports the creation of DSLs having a syntax that is most
 appropriate to their purpose, instead of abusing the Ruby syntax.
 .
 Required files are attempted first using the normal Ruby loader,
 and if that fails, Polyglot conducts a search for a file having
 a supported extension.

Package: ruby-ponder
Description-md5: 61d434f6d09a044dc37a2dcb1b0b8c45
Description-en: Domain Specific Language for writing IRC Bots
 This package provides the gem Ponder (Stibbons), which is
 a Domain Specific Language for writing IRC Bots using the
 EventMachine library.

Package: ruby-poppler
Description-md5: f46174e4ed4778d53f4cf58a05313bf7
Description-en: Ruby bindings for the libpoppler-glib library
 Poppler is a PDF rendering library based on xpdf PDF viewer.
 libpoppler-glib is Glib binding for Poppler.
 .
 This package contains ruby bindings for libpoppler-glib.

Package: ruby-posix-spawn
Description-md5: 668d69936c9b23f0aa17b20e73cc51d0
Description-en: Ruby Implementation of posix_spawn(2) for faster process spawning
 The posix-spawn library aims to implement a subset of the Ruby 1.9
 `Process::spawn` interface in a way that takes advantage of fast
 process spawning interfaces when available and provides sane fallbacks
 on systems that do not.
 .
 `fork(2)` calls slow down as the parent process uses more memory due to
 the need to copy page tables. In many common uses of fork(), where it
 is followed by one of the exec family of functions to spawn child
 processes (`Kernel#system`,`IO::popen`, `Process::spawn`, etc.), it's
 possible to remove this overhead by using the use of special process
 spawning interfaces (`posix_spawn()`, `vfork()`, etc.)

Package: ruby-powerbar
Description-md5: bc059b95c5d5f6eaab5c0995052deec8
Description-en: progressbar library for Ruby
 Powerbar is a Ruby library that can create all kinds of progress bars to use
 in CLI applications. Non-terminal use is detected and fallback to logging
 output is done automatically, so applications can also be used in cronjobs
 without losing progress information.

Package: ruby-powerpack
Description-md5: 660fb0c0868c15389198405fe2a3e913
Description-en: Useful extensions to core Ruby classes
 Powerpack offers some useful extensions to the standard Ruby classes (kind of
 like ActiveSupport, but less ambitious and more modular). Powerpack add some
 extensions on Array, Enumerable, Hash, Numeric and String core classes.

Package: ruby-prawn
Description-md5: 03fb7bc2862d531ba7abc1b94c6ab5e4
Description-en: fast, nimble PDF generation library for Ruby
 Prawn is a PDF generation library for Ruby. Its highlights include:
  * Built in support for UTF-8
  * Easy image embedding
  * Flexible table drawing
  * Simple content positioning, allowing for placing elements relative to
    their sections
  * Easy text flowing within and around sections

Package: ruby-prawn-doc
Description-md5: 3e140f1400da048bca80ecd5f7391aa8
Description-en: fast, nimble PDF generation library for Ruby (documentation)
 Prawn is a PDF generation library for Ruby. Its highlights include:
  * Built in support for UTF-8
  * Easy image embedding
  * Flexible table drawing
  * Simple content positioning, allowing for placing elements relative to
    their sections
  * Easy text flowing within and around sections
 .
  This package contains the manual created from Prawn's source.

Package: ruby-prawn-icon
Description-md5: aad3c2ac7075adf423e7dcaa1e511a7f
Description-en: Provides icon fonts for PrawnPDF
 Prawn::Icon provides various icon fonts including
 FontAwesome, PaymentFont and Foundation Icons
 for use with the Prawn PDF toolkit.

Package: ruby-prawn-manual-builder
Description-md5: 2dcc17629e6ac58ba408fb7788e1cd1c
Description-en: tool to write manuals for Prawn and Prawn accessories
 This Ruby package provides a tool to generate manuals for Prawn, a PDF
 generation library in Ruby (provided by the ruby-prawn package) and Prawn
 accessories.

Package: ruby-prawn-svg
Description-md5: 61de1e2bd7808857317de23c2a816589
Description-en: SVG renderer for Prawn PDF library
 This gem allows you to render SVG directly into a PDF using the
 'prawn' gem.  Since PDF is vector-based, you'll get nice scaled
 graphics if you use SVG instead of an image.

Package: ruby-prawn-table
Description-md5: f91e89149a74931691c8078fdf4d3b90
Description-en: table support for the Prawn PDF generation library
 Prawn (provided by the ruby-prawn package) is a PDF generation library for
 Ruby.
 .
 This library adds to Prawn support for generating tables.

Package: ruby-premailer
Description-md5: 4eb64239c5519f38ef28ad846db58f59
Description-en: Preflight for HTML e-mail
 This package provides the premailer gem, which helps to improve the rendering
 of HTML emails by making CSS inline, converting links and warning about
 unsupported code.

Package: ruby-premailer-rails
Description-md5: 29f1f2e57d831f6c0c82ff71f3ff7c0c
Description-en: tool to easily create styled HTML emails in Rails
 This gem brings you the power of the premailer gem to Rails without any
 configuration needs. Create HTML emails, include a CSS file as you do in a
 normal HTML document and premailer will inline the included CSS.

Package: ruby-process-daemon
Description-md5: c4cac63f474a0ceccc04d847dae9d06b
Description-en: Defines the daemon functionality using a Ruby class
 This package provides common functionality like start, stop. It is a stable
 and helpful base class for long running tasks and daemons.
 .
 Provides standard `start`, `stop`, `restart`, `status` operations.

Package: ruby-prof
Description-md5: 479d65e95241fcf8ff2f0f80f0e61159
Description-en: Fast Ruby profiler
 ruby-prof is a fast code profiler for Ruby. It is a C extension and
 therefore is many times faster than the standard Ruby profiler. It
 supports both flat and graph profiles.  For each method, graph profiles
 show how long the method ran, which methods called it and which
 methods it called. RubyProf generate both text and html and can output
 it to standard out or to a file.

Package: ruby-progressbar
Description-md5: a9f4a5c6b18386a0f429672be66f3024
Description-en: Text progress bar library for Ruby
 Ruby/ProgressBar is a text progress bar library for Ruby. It can indicate
 progress with percentage, a progress bar, and estimated remaining time.

Package: ruby-prometheus-client-mmap
Description-md5: 669ffb11940e826ce495f3a2562b37ed
Description-en: suite of instrumentation metric primitives
 These instrumentation metric primitives can be exposed through a web services
 interface. Intended to be used together with a Prometheus server.
 .
 This Prometheus library is fork of Prometheus Ruby Client that uses mmap'ed
 files to share metrics from multiple processes. This allows efficient metrics
 processing for Ruby web apps running in multiprocess setups like Unicorn.

Package: ruby-proxifier
Description-md5: 52967474896dfdc12aaad6c1b849769f
Description-en: add support for HTTP or SOCKS proxies
 Proxifier enable ruby programmers to use HTTP or SOCKS proxies
 interchangeably when using TCPSockets. Either manually with
 `Proxifier::Proxy#open` or by `require "proxifier/env"`.
 .
 Allows one to use ruby code that doesn't user proxies for users that
 have to use proxies. The pruby and pirb executables are simple wrappers
 for  their respective ruby executables that support proxies from
 environment variables.

Package: ruby-pry-byebug
Description-md5: 9c190b3db4d13a11800257a76f58cb04
Description-en: step-by-step debugging and stack navigation capabilities in pry using byebug
 Adds step-by-step debugging and stack navigation capabilities to pry
 using byebug.
 .
 To use, invoke pry normally. No need to start your script or app
 differently: execution will stop in the first statement after your
 binding.pry.

Package: ruby-pry-rails
Description-md5: 1e6b207c0e08f48024b4cf9c1526b848
Description-en: Use Pry as your rails console
 This library allows using pry-rails instead of copying the initializer to every
 rails project.
 .
 This small library causes `rails console` to open pry.

Package: ruby-psych
Description-md5: 523074c6573981297c66b68455e403c2
Description-en: libyaml wrapper for Ruby
 ruby-psych is a YAML parser and emitter. Psych leverages libyaml for its
 YAML parsing and emitting capabilities. In addition to wrapping
 libyaml, Psych also knows how to serialize and de-serialize most Ruby
 objects to and from the YAML format.
 .
 Psych has been included with the main Ruby implementation since 1.9.2,
 and is the default YAML parser from 1.9.3 and beyond.

Package: ruby-public-suffix
Description-md5: 2b494cee204ece2ce1ef9cb0ec2113c6
Description-en: Domain name parser based on the Public Suffix List
 PublicSuffix can parse and decompose a domain name into top level domain,
 domain and subdomains.
 .
 The Public Suffix List is a catalog of certain Internet domain names.
 The term is also known by the form effective top-level domain (eTLD).
 This list is used for the security and privacy policies of web application.

Package: ruby-pundit
Description-md5: e4f3d343452210e2bfe2bc30c3c33def
Description-en: object oriented authorization for rails
 Pundit provides a set of helpers which guide you in leveraging regular
 Ruby classes and object oriented design patterns to build a simple,
 robust and scalable authorization system.

Package: ruby-puppet-forge
Description-md5: 3d2e41d2fedfe799d569ac66e51a6e97
Description-en: Access the Puppet Forge API from Ruby
 Tools that can be used to access Forge API information on Modules, Users, and
 Releases. As well as download, unpack, and install Releases to a directory.
 .
 It utilized the REST API of https://forge.puppetlabs.com

Package: ruby-puppet-syntax
Description-md5: 39cb39a4b9c6374daf55e33ef9b14968
Description-en: Syntax checks for Puppet manifests, templates, and Hiera YAML
 Puppet lets you centrally manage every important aspect of your
 system using a cross-platform specification language that manages all
 the separate elements normally aggregated in different files, like
 users, cron jobs, and hosts, along with obviously discrete elements
 like packages, services, and files.
 .
 This ruby module provides syntax checks for Puppet manifests,
 templates and Hiera YAML.

Package: ruby-puppetlabs-spec-helper
Description-md5: 6f24eceac2fcc07289caeaf76b480431
Description-en: rake tasks and spec helper for spec tests on puppet modules
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This ruby module contains rake tasks and a standard spec_helper for
 running spec tests on puppet modules.

Package: ruby-pygments.rb
Description-md5: 68826c5c506183d7f8fe5866869b3062
Description-en: pygments wrapper for Ruby
 A Ruby wrapper for the Python pygments syntax highlighter.
 .
 pygments.rb works by talking over a simple pipe to a long-lived Python child
 process. This library replaces albino, as well as a version of pygments.rb
 that used an embedded Python interpreter.
 .
 Each Ruby process that runs has its own 'personal Python'; for example, 4
 Unicorn workers will have one Python process each.  If a Python process dies,
 a new one will be spawned on the next pygments.rb request.

Package: ruby-qdbm
Description-md5: a44c68871160f458b5bc45bfe58dd5d8
Description-en: QDBM Database Libraries for Ruby
 QDBM is an embedded database library compatible with GDBM and NDBM.
 It features hash database and B+ tree database and is developed referring
 to GDBM for the purpose of the following three points: higher processing
 speed, smaller size of a database file, and simpler API.
 This package provides the Ruby interface for the QDBM database library.

Package: ruby-qr4r
Description-md5: b48632c566fec740e86a77f2d19ba0f8
Description-en: QR code generator for Ruby
 This Ruby library provides a generator of QR codes in the PNG format.
 .
 It relies on ImageMagick and the rqrcode to produce its output.

Package: ruby-raabro
Description-md5: b551b03f0755a543f31f919ac9b89fdd
Description-en: Very dumb PEG parser library
 A very dumb PEG parser library, with a horrible interface. Son to aabro,
 grandson to neg, grand-grandson to parslet. There is also a javascript version
 jaabro.
 .
 You may use raabro by providing the parsing rules, then some rewrite rules.
 The parsing rules make use of the raabro basic parsers. The rewrite rules
 match names passed as first argument to the basic parsers to rewrite the
 resulting parse trees.

Package: ruby-rabl
Description-md5: 26896b3862f7ea4d5c981eaabc04db29
Description-en: Ruby templating library with JSON, BSON, XML and MessagePack support
 RABL (Ruby API Builder Language) is a Rails and Padrino Ruby templating system
 for generating JSON, XML, MessagePack, and BSON.
 .
 It allows one to easily:
  * create arbitrary nodes named based on combining data in an object
  * pass arguments to methods and store the result as a child node
  * render partial templates and inherit to reduce code duplication
  * rename or alias attributes to change the name from the model
  * append attributes from a child into a parent node
  * include nodes only if a certain condition has been met

Package: ruby-rabl-rails
Description-md5: 83e7ad866cfefdb07f7784320eb5903d
Description-en: fast Rails 3+ templating system with JSON and XML support
 RABL (Ruby API Builder Language) is a Ruby templating system for rendering
 resources in different format (JSON, XML, BSON...).
 .
 rabl-rails is faster and uses less memory than the standard rabl gem (provided
 in the ruby-rabl package) while letting you access the same features.
 .
 rabl-rails only targets Rails 3+ application.

Package: ruby-rack
Description-md5: 19065860ac096ceaa0736619af45bcf7
Description-en: modular Ruby webserver interface
 Rack provides a minimal, modular and adaptable interface for developing
 web applications in Ruby.  By wrapping HTTP requests and responses in
 the simplest way possible, it unifies and distills the API for web
 servers, web frameworks, and software in between (the so-called
 middleware) into a single method call.
 .
 Also see http://rack.github.io/.

Package: ruby-rack-accept
Description-md5: 9140cebefb05f569fe0dffed383abaac
Description-en: suite of tools for interpreting HTTP Accept family for Ruby/Rack
 Rack-Accept is a suite of tools for Ruby/Rack applications
 that eases the complexity of building and interpreting
 the Accept*family of HTTP request headers. It has full support
 for the Accept, Accept-Charset, Accept-Encoding, and Accept-Language
 HTTP request headers.
 .
 It implements the Rack middleware interface and may be used with any
 Rack-based application.

Package: ruby-rack-attack
Description-md5: 83042866af02a446126faf3ca7e1b2c1
Description-en: Block & throttle abusive requests
 A rack middleware for throttling and blocking abusive requests. It protects
 your web app from bad clients. It allows *whitelisting*, *blacklisting*,
 *throttling*, and *tracking* based on arbitrary properties of the request.

Package: ruby-rack-cache
Description-md5: 502d43df8d1150b36eb25066a51b375d
Description-en: HTTP Caching for Rack
 Rack::Cache is suitable as a quick drop-in component to enable HTTP
 caching for Rack-based applications that produce freshness (Expires,
 Cache-Control) and/or validation (Last-Modified, ETag) information.

Package: ruby-rack-cors
Description-md5: 4abe578f8d9cb73a1c32168969767832
Description-en: enable Cross-Origin Resource Sharing in Rack apps
 Middleware that will make Rack-based apps CORS compatible.
 .
 The CORS spec allows web applications to make cross domain AJAX calls without
 using workarounds such as JSONP.

Package: ruby-rack-flash3
Description-md5: df05264a609c519d006c85e8737a5bd0
Description-en: Flash hash for Ruby Rack applications
 Rack Flash is a simple flash hash implementation for Rack apps. This version
 is Travis Reeder's fork of Pat Nakajima's original implementation that works
 with Sinatra.

Package: ruby-rack-google-analytics
Description-md5: e4141874a977356510fb57d8474b81b0
Description-en: Simple Rack middleware to inject the Google Analytics tracking code
 This middleware injects either the synchronous or asynchronous Google
 Analytics tracking code into the correct place of any request only when the
 response's `Content-Type` header contains `html`  (therefore `text/html`
 and similar).

Package: ruby-rack-mobile-detect
Description-md5: 4965396a3dd3ac98c577291e17795293
Description-en: rack middleware for ruby webapps to detect mobile devices
 Rack::MobileDetect detects mobile devices and adds an
 X_MOBILE_DEVICE header to the request if a mobile device is detected. Specific
 devices can be targeted with custom Regexps and redirect support is available.

Package: ruby-rack-mount
Description-md5: 71a825b80ba2320ae2b0206049ad7741
Description-en: Stackable dynamic tree based Rack router
 Rack::Mount supports Rack's "X-Cascade" convention to continue trying routes
 if the response returns "pass". This allows multiple routes to be nested or
 stacked on top of each other. Since the application endpoint can trigger the
 router to continue matching, middleware can be used to add arbitrary
 conditions to any route. This allows you to route based on other request
 attributes, session information, or even data dynamically pulled from a
 database.

Package: ruby-rack-oauth2
Description-md5: cb102338171a65eb69e1683b1662ea24
Description-en: Rack interface for an OAuth 2.0
 This gem provides a Rack interface for an OAuth 2.0 Server & Client Library.
 It supports both Bearer and MAC token types. Rack provides a minimal, modular,
 and adaptable interface for developing web applications in Ruby. OAuth is an
 open standard for authorization. OAuth provides client applications a 'secure
 delegated access' to server resources on behalf of a resource owner.

Package: ruby-rack-openid
Description-md5: 0bb73082ab7b89f4104a03be0b7a7ffa
Description-en: Ruby Rack library to provide access to OpenID
 This ruby library provides a more HTTPish API around the ruby-openid
 library.

Package: ruby-rack-piwik
Description-md5: a72a1e745737075ccc0540b2a36a0c1e
Description-en: piwik Analytics racking in your Ruby-Rack based project
 Rack middleware to inject the Piwik tracking code into outgoing responses.
 .
 In a digital world, Piwik gives you insights on your website's visitors,
 your marketing campaigns and much more, so you can optimize your strategy and
 online experience of your visitors. Piwik is an open source alternative to
 Google Analytics available in 48 languages!
 .
 Adapted from rack-google-analytics.

Package: ruby-rack-protection
Description-md5: 41fea8854489142d6888ca5f6dfca3ed
Description-en: Protects against typical web attacks for Rack apps
 This library protects against typical web attacks. Should work for all
 Rack apps, including Rails.

Package: ruby-rack-proxy
Description-md5: 4b036c6d485ce81e80658867f126d75f
Description-en: request/response rewriting HTTP proxy rack app
 A Rack app that provides request/response rewriting proxy capabilities with
 streaming. Subclass `Rack::Proxy` and provide `rewrite_env` and
 `rewrite_response` methods.
 .
 Rack provides a minimal, modular and adaptable interface for developing
 web applications in Ruby.

Package: ruby-rack-rewrite
Description-md5: 186b513bf84f73fc1aaeadba7ff1da6c
Description-en: rack middleware for enforcing rewrite rules
 A rack middleware for enforcing rewrite rules. In many cases you can get away
 with rack-rewrite instead of writing Apache mod_rewrite rules.
 .
 Use Cases
  - Rebuild of existing site in a new technology
  - Retire old routes
  - CNAME alternative
  - Site Maintenance

Package: ruby-rack-ssl
Description-md5: 6798337d9db02b53b4b63ef474c7a7c8
Description-en: SSL/TLS extension for Ruby Rack
 Rack middleware to force SSL/TLS connection in your Ruby Rack
 applications.

Package: ruby-rack-test
Description-md5: c5a1d6ba8f6d40a8e30d34f2f88a67fe
Description-en: Simple testing API built on Rack
 Rack::Test is a small, simple testing API for Rack apps. It can be used on its
 own or as a reusable starting point for Web frameworks and testing libraries
 to build on. Most of its initial functionality is an extraction of Merb 1.0's
 request helpers feature.

Package: ruby-rack-timeout
Description-md5: eae04ecea5fdd63550a0ba64922d31c8
Description-en: Abort requests that are taking too long.
 A generous timeout of 15s is the default. It's recommended to set the timeout
 as low as realistically viable for your application. Most applications will
 do fine with a setting between 2 and 5 seconds.
 Rack::Timeout is not a solution to the problem of long-running requests,
 it's a debug and remediation tool. App developers should track rack-timeout's
 data and address recurring instances of particular timeouts.

Package: ruby-raemon
Description-md5: f9b123643d1754cd6cc88fd3428c17f8
Description-en: framework for building UNIX daemons
 Raemon is a Ruby framework for building daemons. It's designed for writing
 master/worker pre-forking servers running on UNIX. The library has been tested
 on both 1.8.7 and 1.9.2 and carries no dependencies outside of the Ruby core.

Package: ruby-rails
Description-md5: bb28dc2452d17b899bf418f70fe748a1
Description-en: MVC ruby based framework geared for web application development
 Rails is a full-stack, open-source web framework in Ruby for writing
 real-world applications.
 .
 Being a full-stack framework means that all layers are built to work
 seamlessly together. That way you don't repeat yourself and you can
 use a single language from top to bottom. Everything from templates to
 control flow to business logic is written in Ruby.

Package: ruby-rails-assets-autosize
Description-md5: 7c8816de16d91bb9d52d83c57117b8c1
Description-en: autosize javascript library for rails applications
 Provide autosize.js via rails assets pipeline.
 .
 Autosize is a small, stand-alone script to automatically adjust textarea
 height to fit text.

Package: ruby-rails-assets-blueimp-gallery
Description-md5: 7720d1311a84f5045ccc20b570010e50
Description-en: blueimp Gallery for rails
 blueimp Gallery is a touch-enabled, responsive and customizable image & video
 gallery, carousel and lightbox, optimized for both mobile and desktop web
 browsers. It features swipe, mouse and keyboard navigation, transition
 effects, slideshow functionality, fullscreen support and on-demand content
 loading and can be extended to display additional content types.

Package: ruby-rails-assets-bootstrap
Description-md5: a4bd5e54e24cb41fc42270e043334a7c
Description-en: bootstrap for rails projects
 The most popular front-end framework for developing responsive,
 mobile first projects on the web.

Package: ruby-rails-assets-bootstrap-markdown
Description-md5: 4f0ae7912a66220199f19d4c67e524dc
Description-en: bootstrap-markdown for rails applications
 Bootstrap-Markdown designed to be easily integrated with your bootstrap
 project. It exposes useful API that allow you to fully hook-in into the
 plugin

Package: ruby-rails-assets-corejs-typeahead
Description-md5: 7c352fdd615f023c40a9aaa02c95893a
Description-en: Fast and fully-featured autocomplete search library
 The typeahead.js library consists of 2 components: the suggestion engine,
 Bloodhound, and the UI view, Typeahead.
 .
 The suggestion engine is responsible for computing suggestions for a given
 query. The UI view is responsible for rendering suggestions and handling DOM
 interactions.
 .
 Both components can be used separately, but when used together, they can
 provide a rich typeahead experience.

Package: ruby-rails-assets-diaspora-jsxc
Description-md5: f12ba3f297205d787a66b0718c622117
Description-en: XMPP javascript client for diaspora
 This package provides jsxc javascript library customized for use in diaspora.
 It is provided via rails asset pipeline.
 .
 This provides web based XMPP chat from diaspora web interface.

Package: ruby-rails-assets-emojione
Description-md5: 281e8f579269105fe59e31a3f995abfe
Description-en: provide emojione javascript for rails applications
 This library provides emojione via rails asset pipeline

Package: ruby-rails-assets-favico.js
Description-md5: 4778d243dcf060e66841819680476583
Description-en: provides favico.js to rails apps via asset pipeline
 Favico.js is a library to manipulate the favicon, adding alert badges, render
 images or videos.
 .
 this package is based on the rubygem generated by rails-assets.org from
 its bower package.

Package: ruby-rails-assets-fine-uploader
Description-md5: e0f34a7e41657d9f1e23046edde0b9bf
Description-en: Official bower build for FineUploader/fine-uploader
 A official bower build for FineUploader/fine-uploader. Fine Uploader is a
 pure-JavaScript browser-based file upload library with a long list of features
 that is unmatched by any other library. The power of Fine Uploader comes from
 its comprehensive set of options, API methods, and callbacks/events.
 .
 Fine Uploader supports all major browsers and does not require Flash, jQuery,
 or any external libraries. You may use Fine Uploader with any server side
 technology. It hasverything you need in a JavaScript file upload library.

Package: ruby-rails-assets-highlightjs
Description-md5: b294fbaa3cece4ef1e21fa2b3328e2d9
Description-en: highlightjs for rails applications
 This library provides highlightjs via rails asset pipeline for rails apps.
 .
 Highlightjs provides syntax highlighting for the Web. Its features include,
 .
  * 125 languages and 63 styles
  * automatic language detection
  * multi-language code highlighting
  * available for node.js
  * works with any markup
  * compatible with any js framework

Package: ruby-rails-assets-jakobmattsson-jquery-elastic
Description-md5: a5fa1413e5dcaa7acd01d07a88e7aed4
Description-en: jquery-elastic plugin for rails applications
 Jan Jarfalk's excellent jquery-elastic plugin via rails asset pipeline for
 easy inclusion in rails applications.
 .
 Elastic makes your textareas grow and shrink to fit it’s content. Learn more
 at http://unwrongest.com/projects/elastic/

Package: ruby-rails-assets-jeresig-jquery.hotkeys
Description-md5: a38c30f73e49f77b531c7baacd010a07
Description-en: jQuery Hotkeys for rails applications
 jQuery Hotkeys plug-in lets you easily add and remove handlers for keyboard
 events anywhere in your code supporting almost any key combination.
 .
 This plugin is based off of the plugin by Tzury Bar Yochay: jQuery.hotkeys
 (https://github.com/tzuryby/jquery.hotkeys)

Package: ruby-rails-assets-jquery
Description-md5: df15c409a15704c8e75b1e418949f1cb
Description-en: jQuery, jQuery UI and jQuery-ujs for Rails
 This package provides jQuery, jQuery UI and the jQuery-ujs driver for Rails 3
 and 4 applications, and integrates these libraries into the Rails 3+ asset
 pipeline.

Package: ruby-rails-assets-jquery-colorbox
Description-md5: 3295aa535ed9fb71829565d41d9e0f67
Description-en: jQuery lightbox and modal window plugin
 jQuery lightbox and modal window plugin for rails apps.
 .
 this package is based on the rubygem generated by rails-assets.org from
 its bower package.

Package: ruby-rails-assets-jquery-fullscreen
Description-md5: 34cccee65d61ac5645a03512ad0908bb
Description-en: provide jquery-fullscreen via rails assets pipeline
 This library enables rails applications to use jquery-fullscreen plugin
 via asset pipeline.
 .
 This package is based on rubygem autogenerated by rails-assets.org from
 its bower package.

Package: ruby-rails-assets-jquery-fullscreen-plugin
Description-md5: 530a194de746ea2b3c440b753dd0c739
Description-en: jQuery fullscreen plugin for rails applications
 jQuery Fullscreen plugin allows you to open any element
 on a page in fullscreen mode without using Flash in all
 modern browsers (Firefox, IE, Chrome, Safari, Opera).
 If this feature is not supported by the browser then
 element will be just stretched to fit the screen
 without switching to fullscreen.
 .
 Fullscreen API is natively supported in Chrome 15+,
 Safari 5.1+, Firefox 10+, Opera 12.1+ and IE 11.
 It is also present in Firefox 9.0, but it is disabled
 by default. You can be enable it by
 setting fullscreen-api.enabled to true in about:config.

Package: ruby-rails-assets-jquery-idletimer
Description-md5: 6bfb1647bb64151344726695103e3012
Description-en: provide jquery-idletimer via rails asset pipeline
 This package provides an easy way for rail apps to include jquery-idletimer.
 .
 This is based on the rubygem from rails-assets.org which is autogenerated
 from its bower package.

Package: ruby-rails-assets-jquery-nicescroll
Description-md5: a86b78be9ca593033de90cc6973a849c
Description-en: provide jquery.nicescroll via rails asset pipeline
 Nicescroll is a jquery plugin, for nice scrollbars with a very similar
 ios/mobile style.
 .
 This package is autogenerated by rails-assets.org

Package: ruby-rails-assets-jquery-placeholder
Description-md5: a6c500e5efd48b4f8553f87c13c3724b
Description-en: provide jquery-placeholder via asset pipeline
 This library provides an easy way for rails apps to use jquery placeholder
 plugin.
 .
 This package is built from rubygem autogenerated by rails-assets.org from its
 bower package.

Package: ruby-rails-assets-jquery-textchange
Description-md5: d05925f1bf13791b62d420945a53d51c
Description-en: provide jQuery TextChange Plugin via rails asset pipeline
 jQuery TextChange Plugin for rails applications.
 .
 rails-assets.org provided library (automatically generated from its
 bower package)

Package: ruby-rails-assets-jquery-ui
Description-md5: 496566951e8a85e0fb88e55e19fbfba7
Description-en: provide jquery.ui via rails assets pipeline
 Based on the rubygem autogenerated by rails-assets.org
 .
 jquery.ui provides set of user interface interactions,effects, widgets, and
 themes built on top of the jQuery JavaScript Library.

Package: ruby-rails-assets-jquery.are-you-sure
Description-md5: 42332bc9ffe1cb5d3a82121a5c134b28
Description-en: Light "dirty forms" JQuery Plugin
 Are-you-sure (jquery.are-you-sure.js) is simple light-weight "dirty form"
 JQuery Plugin for modern browsers. It helps prevent users from losing unsaved
 HTML Form changes by promoting the user to save/submit.
 .
 Are-you-sure is a minimal plugin for modern browsers. There are plenty of
 "dirty forms" implementations out there, however this is light-weight and
 not-so overengineered.

Package: ruby-rails-assets-jquery.slimscroll
Description-md5: 86dc4df870df29431f464ea1639c5c73
Description-en: provide slimScroll jQuery plugin via rails asset pipeleine
 slimScroll is a small jQuery plugin that transforms any div into a scrollable
 area. slimScroll doesn't occupy any visual space as it only appears on a user
 initiated mouse-over.
 .
 This package is based on rubygem autogenerated by rails-assets.org from its
 bower package.

Package: ruby-rails-assets-markdown-it
Description-md5: 318a14e34c4b750c5b4f66ffb9167e65
Description-en: markdown parser as a rails asset
 Markdown parser, done right. Commonmark support, extensions, syntax plugins,
 high speed - all in one.
 .
 This package makes it easy to use markdown-it javascript library in rails
 applications.

Package: ruby-rails-assets-markdown-it--markdown-it-for-inline
Description-md5: 0bb96b90dcc41959beb79bcf9c7af607
Description-en: Inline tokens iterator for markdown-it markdown parser
 markdown-it-for-inline for markdown-it markdown parser
 .
 markdown-it is markdown parser, done right. Commonmark support, extensions,
 syntax plugins, high speed - all in one.
 .
 This package makes it easy to use markdown-it-for-inline
 javascript library in rails applications.

Package: ruby-rails-assets-markdown-it-diaspora-mention
Description-md5: cd874d03c3c453754e3345e0288b1fa0
Description-en: diaspora* mentions for markdown-it markdown parser
 markdown-it is a markdown parser, done right. Commonmark support, extensions,
 syntax plugins,  high speed - all in one.
 .
 This package makes it easy to use markdown-it diaspora mention plugin in
 diaspora.

Package: ruby-rails-assets-markdown-it-hashtag
Description-md5: 19072dc30ad9e92d5541d028b2cf913a
Description-en: hashtag for markdown-it markdown parser via rails pipeline
 hashtag for markdown-it markdown parser
 .
 markdown-it is markdown parser, done right. Commonmark support, extensions,
 syntax plugins, high speed - all in one.
 .
 This package makes it easy to use markdown-it-hashtags javascript library in
 rails applications.

Package: ruby-rails-assets-markdown-it-sanitizer
Description-md5: e5946612a0721bc53cad6d5e130eb1e7
Description-en: sanitizer for markdown-it markdown parser via rails pipeline
 sanitizer for markdown-it markdown parser
 .
 markdown-it is markdown parser, done right. Commonmark support, extensions,
 syntax plugins, high speed - all in one.
 .
 This package makes it easy to use markdown-it-sanitizer javascript library in
 rails applications.

Package: ruby-rails-assets-markdown-it-sub
Description-md5: dabdf8a0728b819d1d34f4831bfd46cf
Description-en: markdown parser subscript plugin as a rails asset
 Markdown parser subscript plugin.
 .
 markdown-it is markdown parser, done right. Commonmark support, extensions,
 syntax plugins, high speed - all in one.
 .
 This package makes it easy to use markdown-it-sub javascript library in rails
 applications.

Package: ruby-rails-assets-markdown-it-sup
Description-md5: 4da5006b3966000483fa4910c81a27e8
Description-en: markdown parser superscript plugin as a rails asset
 Markdown parser superscript plugin.
 .
 markdown-it is markdown parser, done right. Commonmark support, extensions,
 syntax plugins, high speed - all in one.
 .
 This package makes it easy to use markdown-it-sup javascript library in rails
 applications.

Package: ruby-rails-assets-perfect-scrollbar
Description-md5: 6b36225fcffff2b92483104760312d0a
Description-en: Minimalistic but perfect custom scrollbar plugin
 perfect-scrollbar is minimalistic but a perfect scrollbar plugin.
 There is no change on design layout and no manipulation on DOM tree. It uses
 plain scrollTop and scrollLeft. The scrollbar style is fully customizable and
 there is an efficient update on layout change.

Package: ruby-rails-assets-punycode
Description-md5: 0807720bfca3eb81bc84e0c162d507c6
Description-en: provide punycode converter via rails asset pipeline
 This package provides an easy way for rails apps to use punycode
 via asset pipeline.
 .
 It is built from rubygem automatically generated from its bower package
 by rails-assets.org

Package: ruby-rails-assets-underscore
Description-md5: 583f1eadd8d11ce9b7f1e4e7625416fe
Description-en: underscore.js for rails applications
 Underscore.js is a utility-belt library for JavaScript that provides support
 for the usual functional suspects (each, map, reduce, filter...) without
 extending any core JavaScript objects.
 .
 Rails Assets is the frictionless proxy between Bundler and Bower.
 .
 It automatically converts the packaged components into gems that are easily
 droppable into your asset pipeline and stay up to date.

Package: ruby-rails-controller-testing
Description-md5: 0479d8418614a9a7d2a54a28f3eb6415
Description-en: Extracting `assigns` and `assert_template` from ActionDispatch
 This gem brings back assigns to your controller tests as well as
 assert_template to both controller and integration tests.
 .
 For projects and gems using controller tests outside of a Rails application,
 invoke the Rails::Controller::Testing.install method inside your test suite
 setup to include the required modules on controller test cases.

Package: ruby-rails-deprecated-sanitizer
Description-md5: 60d0aed55898df299a0eaa6f8f536e33
Description-en: HTML sanitizer API extracted from Action View
 In Rails 4.2, HTML sanitization has been rewritten using a more secure
 library.  This package includes the old behavior that shipped with Rails 4.2
 and before, and is provided as a backwards compatibility layer.

Package: ruby-rails-dom-testing
Description-md5: 4a0a4c383bd8adce0dc95701e6259c57
Description-en: SOM and Selector assertions for Rails applications
 This package provides test assertions for dealing with HTML content. DOM trees
 can be tested using `assert_dom_equal` and `assert_dom_not_equal`, and HTML
 elements can be tested using `assert_select`, `assert_select_encoded`,
 `assert_select_email`, and selected with `css_select`.

Package: ruby-rails-html-sanitizer
Description-md5: 7c513cff28c1362b292745527d2dc320
Description-en: HTML sanitization for Rails applications
 This gem is responsible to sanitize HTML fragments in Rails applications. In
 Rails 4.2 and above this gem will be responsible for sanitizing HTML fragments
 in Rails applications, i.e. in the sanitize, sanitize_css, strip_tags and
 strip_links methods.

Package: ruby-rails-i18n
Description-md5: 35f60944b15e19c835fe96b36bf1d309
Description-en: common locale data and translations for Rails i18n
 A set of common locale data and translations to internationalize and/or
 localize your Rails applications.
 .
 Central point to collect locale data for use in Ruby on Rails.
 .
 By default `rails-i18n` loads all locale files, pluralization and
 transliteration rules available in the gem.

Package: ruby-rails-observers
Description-md5: 39328a1f17dc5ae91166e269bf24a30f
Description-en: toolkit to build Rails observers (part of Rails)
 This package contains two observers: Active Record Observer and
 Action Controller Sweeper.
 .
 Active Record Observer classes respond to life cycle callbacks to
 implement trigger-like behavior outside the original class. This is a
 great way to reduce the clutter that normally comes when the model
 class is burdened with functionality that doesn't pertain to the core
 responsibility of the class.
 .
 Active Record Sweepers are the terminators of the caching world and
 responsible for expiring caches when model objects change. They do
 this by being half-observers, half-filters and implementing callbacks
 for both roles.

Package: ruby-rails-timeago
Description-md5: dd5f29b02d7fc0c6cce4d3d7bbd2f967
Description-en: Rails Helper to create time tags usable for jQuery Timeago plugin
 jQuery Timeago helper for Rails 3+
 .
 See /usr/share/ruby-rails-timeago/README.md for details about using this
 helper.

Package: ruby-rails-tokeninput
Description-md5: 2609be487a492f7b807c6325f03edbed
Description-en: jquery tokeninput automated install
 Rails Tokeninput is a small, lightweight gem which helps to automate
 installing of the jquery tokeninput plugin, including the standard
 included stylesheets.

Package: ruby-railties
Description-md5: 01d43872be0201ffeb74c2650abcbc28
Description-en: tools for creating, working with, and running Rails applications
 This package contains the Rails internals, i.e. components that implement
 and/or control application bootup, plugins, generators, and rake tasks.

Package: ruby-rainbow
Description-md5: 751b6b31cb4fc63f88e7e5d80931708d
Description-en: extension of Ruby String class enabling colored text on ANSI terminals
 Rainbow extends the ruby string class to enable coloring text on
 ANSI terminals. It adds methods to the String class and allowing
 the use offoreground and background color, bright, italic, underline,
 blink, reverse, hide and reset on text for ANSI terminals.

Package: ruby-raindrops
Description-md5: 4a8d4716ec1c59bfa7edea114c3c9d5a
Description-en: Real-time stats for preforking Rack servers
 Raindrops is a real-time stats toolkit to show statistics for Rack HTTP
 servers.  It is designed for preforking servers such as unicorn, but
 should support any Rack HTTP server on platforms supporting POSIX shared
 memory.  It may also be used as a generic scoreboard for sharing atomic
 counters across multiple processes.

Package: ruby-rash-alt
Description-md5: a8670efdd2040fca108b452001a30c28
Description-en: simple extension to Hashie::Mash for rubyified keys
 simple extension to Hashie::Mash for rubyified keys, all keys are converted to
 underscore to eliminate horrible camelCasing.
 .
 rash was renamed to rash_alt to resolve file conflict with hashie.

Package: ruby-rb-inotify
Description-md5: b4aa92c37042d6f15593d3ed54ee6629
Description-en: Ruby wrapper for Linux's inotify, using FFI
 This Ruby library is a simple wrapper over inotify, a Linux kernel subsystem
 that acts to extend filesystems to notice changes to the filesystem, and
 report those changes to applications.

Package: ruby-rblineprof
Description-md5: 412e15cb3a60f75fbd1345414e06ce94
Description-en: line-profiler for ruby
 rblineprof shows you lines of code that are slow.
 .
 See peek-rblineprof for rails integration.

Package: ruby-rbnacl
Description-md5: c0c956ee134222c8934391ff7ac1b4b0
Description-en: Ruby binding to the Networking and Cryptography (NaCl) library
 The Networking and Cryptography (NaCl) library provides a high-level
 toolkit for building cryptographic systems and protocols

Package: ruby-rbpdf
Description-md5: 5cfc584dc1d0f7a4569687af94775d2a
Description-en: Ruby library for PDF generation
 Template plugin allowing the inclusion of ERB-enabled RBPDF template files,
 what can be used for example to generate PDF files in web applications.
 .
 This package provides the main library.

Package: ruby-rbpdf-font
Description-md5: f712786c839e0277decb1f51e343625f
Description-en: Ruby library for PDF generation (font definitions)
 This package provides a template plugin allowing the inclusion of ERB-enabled
 RBPDF template files, what can be used for example to generate PDF files in
 web applications.
 .
 This package provides the font definitions for ruby-rbpdf.

Package: ruby-rbtrace
Description-md5: c0c26478dde5eaa80c6959db18bb5f95
Description-en: rbtrace: like strace but for ruby code
 rbtrace shows method calls happening inside another ruby process in real
 time.
 .
 rbtrace is designed to have minimal overhead, and should be safe to run in
 production.

Package: ruby-rbvmomi
Description-md5: a90a3978037400a0fde4269603960f9e
Description-en: Ruby interface to the VMware vSphere API
 This package provides the gem, RbVmomi, which is a Ruby interface to the
 vSphere API. Like the Perl and Java SDKs, it can be used to manage ESX and
 VirtualCenter servers.

Package: ruby-rc4
Description-md5: ba6acf40fc412804d718f284aa24040d
Description-en: Ruby library implementing the RC4 algorithm
 The RC4 encryption algorithm, also known as ARCFOUR is used in popular
 protocols such as SSL and WEP. This package provides a pure Ruby
 implementation of this algorithm.

Package: ruby-rchardet
Description-md5: 22c54b0e53f5a2c8dcff988858379ff9
Description-en: Character encoding auto-detection for Ruby
 CharDet is a library to automatically detect character encoding
 'as smart as your browser'.

Package: ruby-rd
Description-md5: cc1c9d8c71e5fcac9a5eaedde08c1d2e
Description-en: RDTool library for Ruby - library
 RD is multipurpose documentation format created for documentating Ruby and
 output of Ruby world.
 .
 You can embed RD into Ruby script. And RD have neat syntax which help you
 to read document in Ruby script. On the other hand, RD have a feature for
 class reference.
 .
 This package contains a library which is used by RDTool.

Package: ruby-rdiscount
Description-md5: 97d18cf10bb4e267cac8f8359024e2fe
Description-en: Discount Markdown Processor for Ruby
 Discount is an implementation of John Gruber's Markdown markup language in C.
 It implements all of the language described in the markdown syntax document
 and passes the Markdown 1.0 test suite.
 .
 RDiscount is a Ruby extension library for Discount. It can be used as a
 stand-alone program or within another Ruby program.

Package: ruby-re2
Description-md5: fcea24a19eb3c47aa7a464d28abb3005
Description-en: Ruby bindings to re2
 Ruby bindings to re2, "an efficient, principled regular expression library".
 .
 RE2 is a fast, safe, thread-friendly alternative to backtracking regular
 expression engines like those used in PCRE, Perl, and Python.

Package: ruby-recaptcha
Description-md5: 5c881015dfebe40251be6ec8e1a9e0cb
Description-en: Ruby helpers for the reCAPTCHA API
 This plugin gives a high-level interface for using reCAPTCHA authentication
 methods in your ruby programs.
 .
 In your views you can use the recaptcha_tags method to embed the needed
 Javascript, and you can validate in your controllers with verify_recaptcha.

Package: ruby-recursive-open-struct
Description-md5: b842e974d11d67900349eeee409c511b
Description-en: OpenStruct subclass that returns nested hash attributes as RecursiveOpenStructs
 RecursiveOpenStruct is a subclass of OpenStruct. It differs from
 OpenStruct in that it allows nested hashes to be treated in a recursive
 fashion.

Package: ruby-redcarpet
Description-md5: 74f3ab19ae1d9a8e278ee1e0b74bdf71
Description-en: Fast, safe and extensible Markdown to (X)HTML parser for Ruby
 Redcarpet is Ruby library for Markdown processing.
 .
 This is fast, safe and extensible Markdown to (X)HTML parser.
 .
 Learn more about its usage at /usr/share/doc/redcarpet/README.markdown.gz

Package: ruby-redcloth
Description-md5: f9e34e2b4a834595803a5f00d928e6fa
Description-en: Textile module for Ruby
 Textile is a very simple text format, intended for making readable text
 that can be converted to HTML, and used in docs, blogs, and Wiki pages.
 .
 RedCloth is a Ruby module for converting Textile to HTML.

Package: ruby-redis
Description-md5: 6e9afd2d70cc33304098e1d72b9d16d2
Description-en: Ruby client library for Redis
 A Ruby client that tries to match Redis' API one-to-one, while still
 providing an idiomatic interface. It features thread-safety,
 client-side sharding, pipelining, and an obsession for performance.

Package: ruby-redis-actionpack
Description-md5: 89f358c7727f1960f3a8ec6173e8b206
Description-en: Redis session store for ActionPack
 The gem redis-actionpack provides a session store for ActionPack, specifically
 for ActionDispatch. Redis Store provides a full set of stores (Cache, I18n,
 Session, HTTP Cache) for modern Ruby frameworks like Ruby on Rails, Sinatra,
 Rack, Rack::Cache and I18n. It supports object marshalling, timeouts, single
 or multiple nodes, and namespaces.

Package: ruby-redis-activesupport
Description-md5: c2d49127d56e9bdecfd1e2a9a53e9acb
Description-en: Redis store for ActiveSupport::Cache
 redis-activesupport provides a cache for ActiveSupport. Redis Store provides a
 full set of stores (Cache, I18n, Session, HTTP Cache) for modern Ruby
 frameworks like: Ruby on Rails, Sinatra, Rack, Rack::Cache and I18n. It
 supports object marshalling, timeouts, single or multiple nodes, and
 namespaces.

Package: ruby-redis-namespace
Description-md5: a8269e61b94ace138f4b3d4a6143269e
Description-en: Namespaces commands when multiple apps access same redis server
 Adds a Redis::Namespace class which can be used to namespace calls
 to Redis. This is useful when using a single instance of Redis with
 multiple, different applications.

Package: ruby-redis-rack
Description-md5: 2cea9e35793978190e6da380294cfc91
Description-en: Redis Store for Rack
 redis-rack provides a Redis backed session store Rack. Redis Store provides a
 full set of stores (Cache, I18n, Session, HTTP Cache) for modern Ruby
 frameworks like: Ruby on Rails, Sinatra, Rack, Rack::Cache and I18n. It
 supports object marshalling, timeouts, single or multiple nodes, and
 namespaces.

Package: ruby-redis-rails
Description-md5: 3aa8575c1c636ff04c7ded1c4305a1db
Description-en: Redis stores for Ruby on Rails
 redis-rails provides a full set of stores (*Cache*, *Session*, *HTTP Cache*)
 for Ruby on Rails. See the main redis-store readme at
 https://github.com/jodosha/redis-store for general guidelines.

Package: ruby-redis-store
Description-md5: c98bc11f72411198ed807331c81b9161
Description-en: redis stores for Ruby frameworks
 Redis Store provides a full set of stores (*Cache*, I18n, Session, HTTP Cache)
 for modern Ruby frameworks like: Ruby on Rails, Sinatra, Rack, Rack::Cache and
 I18n. It supports object marshalling, timeouts, single or multiple nodes, and
 namespaces.

Package: ruby-ref
Description-md5: 9291077ea60af25956159df2af4edd26
Description-en: library implements weak, soft, and strong references in Ruby
 This library provides object references for Ruby as well as some common
 utilities for working with references. Object references are used to point to
 other objects and come in three distinct flavors (weak, soft, and strong),
 that interact differently with the garbage collector.
 .
 It also includes an implementation of maps and hashes that use references and
 a reference queue.

Package: ruby-referer-parser
Description-md5: dad221b2f0bb2679a50c78445d131455
Description-en: Library for extracting marketing attribution data from referer URLs
 This gem intends for creation of libraries for extracting marketing
 attribution such as: search terms, from the referer (sic) URLs.
 .
 These libraries are currently used by Snowplow
 (http://github.com/snowplow/snowplow). It act as a database for extracting
 marketing attribution data. The referer-parser project also contains multiple
 libraries for working with the referer-parser database in different languages.

Package: ruby-regexp-parser
Description-md5: 03079328774ecf1d53d4d3ca75c7cb10
Description-en: Scanner, lexer, parser for ruby's regular expressions
 A library for tokenizing, lexing, and parsing Ruby regular expressions.
 .
  * Multilayered
    * A scanner/tokenizer based on Ragel
    * A lexer that produces a "stream" of token objects.
    * A parser that produces a "tree" of Expression objects (OO API)
  * Runs on Ruby 1.9, 2.x, and JRuby (1.9 mode) runtimes.
  * Recognizes Ruby 1.8, 1.9, and 2.x regular expressions

Package: ruby-regexp-property-values
Description-md5: 2c0077ccd47ec1920784b33e6e681da1
Description-en: Inspect property values supported by Ruby's regex engine
 This small library lets you see which property values are supported by the
 regular expression engine of the Ruby version you are running, and what they
 match.

Package: ruby-remcached
Description-md5: 67446d10c2a8108c4fcb31f409ed60d7
Description-en: Ruby EventMachine memcached client
 Ruby EventMachine memCACHED client implementation
 .
 Provides a direct interface to the memcached protocol and its semantics
 .
 Uses the memcached `binary protocol`_ to reduce parsing overhead on
 the server side (requires memcached >= 1.3)
 .
 Supports multiple servers with simple round-robin key hashing in a
 fault-tolerant way
 .
 Writing your own abstraction layer is recommended
 .
 Uses RSpec
 .
 Partially documented in RDoc-style

Package: ruby-remctl
Description-md5: 0bede0d02bfb9ea79b57724313b5ff13
Description-en: Ruby extension for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the Ruby remctl extension, which provides native
 Ruby bindings for the libremctl client library.

Package: ruby-remotipart
Description-md5: 800434fc8de1bf1b814b857264897c1f
Description-en: enables remote multipart forms (AJAX style file uploads) with jquery-rails
 Remotipart is a Ruby on Rails gem enabling remote multipart forms (AJAX style
 file uploads)  with jquery-rails.
 .
 This gem augments the native Rails 3 jQuery-UJS remote form function enabling
 asynchronous file uploads with little to no modification to your application.

Package: ruby-representable
Description-md5: c50153d1208c1e3712c770f372b71c1d
Description-en: Renders and parses JSON/XML/YAML documents from and to Ruby objects
 Includes plain properties, collections, nesting, coercion and more.
 .
 This library is a dependency of ruby-google-api-client, client for accessing
 Google APIs.

Package: ruby-request-store
Description-md5: e85a174b1f7bc8d95fe6dbd64e372a10
Description-en: per-request global variable storage for Rack-based web servers
 RequestStore gives you per-request global storage of variables for Rack-
 compliant web servers. It is intended as an alternative to Thread.current, to
 avoid bugs in threaded server implementations. RequestStore supports Rails 3+
 out-of-the-box, but can be configured for use in Rails 2.x and non-Rails
 environments.

Package: ruby-responders
Description-md5: fbe94df515e08e183a04d04104fc708d
Description-en: set of Rails responders to dry up your application
 A set of Rails responders to dry up your application. Responders is a
 repository of Rails 3 responders like FlashResponder, HttpCacheResponder.
 The readme file can be found at /usr/share/doc/ruby-responders/README.md.gz

Package: ruby-rest-client
Description-md5: 42fdae33c56e430221e7134311f38b47
Description-en: simple REST client for Ruby
 A simple HTTP and REST client for Ruby, inspired by the Sinatra microframework
 style of specifying actions: get, put, post, delete.
 The package also includes the program restclient, it can be used to easily test
 REST APIs.

Package: ruby-rethtool
Description-md5: 5065e55f1bce75426e2350c8bcefde63
Description-en: partial wrapper around the SIOCETHTOOL ioctl
 Have you ever needed to get at some details about a network interface from
 inside a Ruby script, but got stuck trying to parse the output of ethtool? If
 so, you're one-in-a-million, but at least you don't have to write an ethtool
 parser any more. use Rethtool instead!
 .
 At present, Rethtool only supports a couple of things:
  * Retrieve various details about the interface (link speed, duplex, etc).
  * Determine if the link is currently up or down.
 .
 Adding extra SIOCETHTOOL features is relatively straightforward, thanks to
 cstruct and the base infrastructure already in place.

Package: ruby-retriable
Description-md5: 6470f4a851131fd6439d471b5f824066
Description-en: Retriable is an simple DSL to retry failed code blocks
 Retriable is an simple DSL to retry failed code blocks with randomized
 exponential backoff. This is especially useful when interacting external
 api/services or file system calls.

Package: ruby-retryable
Description-md5: 2cf0341695da17033bef8a326416db23
Description-en: module that allows one to retry a code block
 Runs a code block, and retries it when an exception occurs. It's great when
 working with flakey webservices (for example).
 .
 It's configured using four optional parameters :tries, :on, :sleep, :matching,
 :ensure, :exception_cb and runs the passed block. Should an exception occur,
 it'll retry for (n-1) times.
 .
 Should the number of retries be reached without success, the last exception
 will be raised.

Package: ruby-reverse-markdown
Description-md5: 4819e89d5bab4eba2c164ec2651c030d
Description-en: converts HTML code into markdown
 reverse_markdown transforms HTML into Markdown. It is useful, for example,
 to import HTML into a Markdown based application. It supports all the
 established tags and can also be extended, it can deal with nested lists,
 inline and block code, and supports blockquotes.
 .
 It supports all the established HTML tags and requires Ruby 1.9.3 or higher.

Package: ruby-rgen
Description-md5: f74fd1be681c8df5d755c4c18e840e83
Description-en: Ruby Modelling and Generator Framework
 RGen is a framework for Model Driven Software Development (MDSD) in
 Ruby.
 .
 This means that it helps you build Metamodels, instantiate Models,
 modify and transform Models and finally generate arbitrary textual
 content from it.

Package: ruby-rgfa
Description-md5: cddacb20434431714e7615c358007e30
Description-en: parse, edit and write GFA format graphs in Ruby
 The Graphical Fragment Assembly (GFA) format is a proposed file format
 to describe the product of a genome sequence assembly process.
 rgfa implements the proposed specifications for the GFA format
 described under https://github.com/pmelsted/GFA-spec/blob/master/GFA-spec.md
 as closely as possible.
 The library allows one to create an RGFA object from a file in the GFA format
 or from scratch, to enumerate the graph elements (segments, links,
 containments, paths and header lines), to traverse the graph (by
 traversing all links outgoing from or incoming to a segment), to search for
 elements (e.g. which links connect two segments) and to manipulate the
 graph (e.g. to eliminate a link or a segment or to duplicate a segment
 distributing the read counts evenly on the copies).

Package: ruby-riddle
Description-md5: 7ccaeb1ad2b72ddacd3f80d782ec8c3b
Description-en: Ruby API for Sphinx Search
 A Ruby API and configuration helper for the Sphinx search service.

Package: ruby-riemann-client
Description-md5: 5edecc92b045b6427742a4ffe7f62bdc
Description-en: client for the distributed event system Riemann
 This package is a Ruby client for the Riemann distributed event system.
 Riemann aggregates events from your servers and applications with a
 powerful stream processing language.

Package: ruby-rinku
Description-md5: 647b2766add4e59b964c040b5ce0c343
Description-en: autolinker for Ruby
 Rinku is a Ruby library that does autolinking.
 .
 It parses text and turns anything that remotely resembles a link into an HTML
 link, just like the Ruby on Rails `auto_link` method -- but it's about 20
 times faster, because it's written in C, and it's about 20 times smarter when
 linking, because it does actual parsing instead of RegEx replacements.

Package: ruby-rinku-doc
Description-md5: 4dade0377c1c5b30c2ef9beabf5753bc
Description-en: autolinker for Ruby (documentation)
 Rinku is a Ruby library that does autolinking.
 .
 It parses text and turns anything that remotely resembles a link into an HTML
 link, just like the Ruby on Rails `auto_link` method -- but it's about 20
 times faster, because it's written in C, and it's about 20 times smarter when
 linking, because it does actual parsing instead of RegEx replacements.
 .
 This package contains the documentation for ruby-rinku.

Package: ruby-riot
Description-md5: 39793457bf78a9b31627f7a3b514640c
Description-en: fast, expressive, and context-driven unit-testing framework for Ruby
 Riot is a fast, expressive, and context-driven unit-testing framework for the
 Ruby programming language.
 .
 In contrast to other popular Ruby testing frameworks such as Test::Unit,
 Shoulda and RSpec, Riot does not run a setup and teardown sequence before and
 after each test. In Riot, tests separated in "contexts" in which a "topic"
 object is defined, and assertions on this object are made.

Package: ruby-rmagick
Description-md5: 393bf835e37efeb55b6c8c6360451be5
Description-en: ImageMagick API for Ruby
 RMagick is an interface between the Ruby programming language and the
 ImageMagick image processing library.

Package: ruby-rmagick-doc
Description-md5: 0ce2581c0fbd70d22e3556e5bfc3d594
Description-en: ImageMagick API for Ruby (documentation)
 RMagick is an interface between the Ruby programming language and the
 ImageMagick image processing library.
 .
 This package contains the documentation and some example scripts.

Package: ruby-roadie
Description-md5: ff0c77c2f62fecd275067454d0fc4bb1
Description-en: library for composing HTML email
 Email clients have bad support for stylesheets, and some of them blocks
 stylesheets from downloading. The easiest way to handle this is to work with
 inline styles (`style="..."`), but that is error prone and hard to work with
 as you cannot use classes and/or reuse styling over your HTML.
 .
 Roadie tries to make sending HTML emails a little less painful by inlining
 stylesheets and rewriting relative URLs for you.

Package: ruby-roadie-rails
Description-md5: a6738816e175bde8d34ae967b4006846
Description-en: library for composing HTML email in Rails applications
 This package provides integration of the 'roadie' library provided by the
 ruby-roadie package with Rails applications.
 .
 It can be used on "Automatic" mode, where almost everything is handdled
 automatically, or in "Manual" mode, where application developers can fine tune
 details to their specific requirements.

Package: ruby-rollout
Description-md5: 1540863b76c3596ec205a0568bd34746
Description-en: provides feature flags based on Redis
 This package provides the gem rollout, which can be used to implement feature
 flags based on Redis easily. It provides specific features like group level
 feature flags, user specific feature flags, user percentages etc.

Package: ruby-romkan
Description-md5: 26fcd312f95e9c798f1e6703782450d9
Description-en: Romaji <-> Kana conversion library for Ruby
 Ruby/Romkan is a Romaji <-> Kana conversion library for Ruby.
 It can convert a Japanese Romaji string to a Japanese Kana string
 or vice versa.

Package: ruby-ronn
Description-md5: ceb3abe56d316c146db7ed6fcc4090dd
Description-en: library to build manuals from Markdown
 Ronn builds manuals. It converts simple, human readable textfiles to roff for
 terminal display, and also to HTML for the web.
 .
 The source format includes all of Markdown but has a more rigid structure and
 syntax extensions for features commonly found in manpages (definition lists,
 link notation, etc.). The ronn-format(7) manual page defines the format in
 detail.
 .
 This package contains the ronn ruby library.

Package: ruby-roo
Description-md5: 19d04ae402682b249746b819efc439e8
Description-en: can access the contents of various spreadsheet files
 Roo can access the contents of various spreadsheet files. It can handle
  * OpenOffice
  * Excelx
  * LibreOffice
  * CSV

Package: ruby-rotp
Description-md5: ff6428f6de9f43c619234bfb70d836c4
Description-en: Ruby library for generating and verifying one time passwords
 A ruby library for generating one time passwords (HOTP & TOTP) according to
 RFC 4226 and RFC 6238. Works for both HOTP and TOTP, and includes QR Code
 provisioning.

Package: ruby-rouge
Description-md5: 6ac3935bf5382c18c1e14bcda5ba98aa
Description-en: pure-Ruby syntax highlighter compatible with pygments
 Rouge is a pure-Ruby syntax highlighter. It can highlight over 60
 languages, and output HTML or ANSI 256-color text. Its HTML output is
 compatible with stylesheets designed for pygments.

Package: ruby-roxml
Description-md5: 4f6097bb907e13169ffe89e196ef5a44
Description-en: Ruby Object to XML mapping library
 ROXML is a Ruby library designed to make it easier for Ruby developers
 to work with XML. Using simple annotations, it enables Ruby classes to
 be mapped to XML. ROXML takes care of the marshalling and unmarshalling
 of mapped attributes so that developers can focus on building
 first-class Ruby classes. As a result, ROXML simplifies the development
 of RESTful applications, Web Services, and XML-RPC.

Package: ruby-rpam-ruby19
Description-md5: 68ad668bf308822ea558c1b9672667e0
Description-en: PAM integration with Ruby
 This extension provides PAM (Pluggable Authentication Modules)
 integration. The library provides a stable API for applications
 to defer to for authentication tasks.

Package: ruby-rpatricia
Description-md5: f0d0efc38c7921205566508fc502e722
Description-en: efficient IPv4/IPv6 prefix storage and lookup for Ruby
 rPatricia provides a Ruby library for efficient IPv4 and IPv6 address/prefix
 storage and lookups. Internally it uses a Patricia trie (also known as radix
 tree), a data structure commonly used for routing table lookups and supports
 prefix insertion/removal and best/exact match lookups.
 .
 The trie data structure is implemented in C, making rPatricia fast and
 featuring a low memory footprint.

Package: ruby-rqrcode
Description-md5: a7c3e3643bbf5785f215a555eaafa9b8
Description-en: Ruby library for encoding QRCode (2D barcodes)
 rQRCode is a standalone, pure-Ruby library for generating QRCodes (2D
 barcodes). The simple interface allows you to create QR Code data
 structures ready to be displayed in the way you choose.

Package: ruby-rqrcode-core
Description-md5: 72c171e2278afde51c614c18641b6151
Description-en: Ruby Gem Library to encode QR Codes
 rqrcode_core is a Ruby library for encoding QR Codes. The simple
 interface (with no runtime dependencies) allows you to create QR Code
 data structures.

Package: ruby-rqrcode-rails3
Description-md5: a190eb272422360bf8ffe2be1f0faa55
Description-en: render QR codes with Rails 3
 This gem allows user to render QR codes with Rails 3. It supports rendering
 either SVG or PNG, JPEG, and GIF formats. QR codes are encoded by the
 rqrcode gem.
 .
 This project was inspired by the first chapter in José Valim's book Crafting
 Rails Applications

Package: ruby-rr
Description-md5: b719014f12edf39314772832b14072bf
Description-en: test double framework for Ruby
 RR (Double Ruby) is a double framework that features a rich selection of
 double techniques and a terse syntax.
 .
 Double flamework is written in http://xunitpatterns.com/Test%20Double.html

Package: ruby-rrd
Description-md5: 2563ff8edafb4d9ebbfd3c9085b3752a
Description-en: time-series data storage and display system (Ruby interfaces)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 This package contains a Ruby interfaces to RRDs.

Package: ruby-rsec
Description-md5: 0508617e289ceea19542c1c63c899266
Description-en: Parser / Regexp Combinator For Ruby
 Easy to use and extreme fast dynamic Parsing Expression
 Grammar (PEG) parser combinator, based on StringScanner.

Package: ruby-rspec
Description-md5: f6d16115e98f2dabcbf90a24c94d9515
Description-en: Behaviour Driven Development framework for Ruby - metapackage
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.

Package: ruby-rspec-collection-matchers
Description-md5: 7ef63cd6872f8aa1ab88f521b97f7764
Description-en: Ruby RSpec CollectionMatchers
 Ruby Rspec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for Ruby.
 RSpec Collection Matchers is a RSpec addon for collection cardinality matchers,
 extracted from rspec-expectations.

Package: ruby-rspec-core
Description-md5: a8179984cd6b6b50801bcfd23b6b83c5
Description-en: Behaviour Driven Development framework for Ruby - core
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.
 .
 This package provides the core of the RSpec framework.

Package: ruby-rspec-expectations
Description-md5: 9d88daafd57037c6be08f060b1de929a
Description-en: Behaviour Driven Development framework for Ruby - expectations
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.
 .
 This package provides the handling of expectations (should/should_not and
 matchers).

Package: ruby-rspec-instafail
Description-md5: 7633caa2bc96f90979088f9c70ef1b10
Description-en: Show failing specs instantly
 Show failing specs instantly. Show passing spec as green dots as usual.

Package: ruby-rspec-its
Description-md5: 29f0e9b47207dcbe5f6f3a98d851d5b9
Description-en: attribute matching extension for the RSpec BDD framework
 RSpec is a behaviour driven development framework for Ruby,
 provided by the ruby-rspec package.
 .
 The "its" method, formerly part of rspec-core before version 3, provides a
 short-hand to specify the expected value of an attribute.
 It is now distributed independently, and available in this package.

Package: ruby-rspec-logsplit
Description-md5: 423290310d8b082c2299ad30a8ecced7
Description-en: library to provide a logger for each example
 This RSpec plugin allows you to change the logger for your library for each
 separate example. This gives the ability to see the log output for each
 specific test.

Package: ruby-rspec-mocks
Description-md5: b09b29822254e67d642b6a48f96af0e6
Description-en: Behaviour Driven Development framework for Ruby - mocks
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.
 .
 This package provides support for stubbing and mocking.

Package: ruby-rspec-pending-for
Description-md5: 19136f7b08c66a2b7ce23c250788630f
Description-en: RSpec plugin to skip specs for given Ruby versions
 As an RSpec plugin it allows RSpec suite authors to mark certain
 specs as pending or to be skipped for specific Ruby implementations
 (say MRI or JRuby) or some specific versions of Ruby.

Package: ruby-rspec-profiling
Description-md5: ade05cbd06b0aec8b08713ee6898b1be
Description-en: Profile RSpec test suites
 This library collects profiles of RSpec test suites, enabling you to identify
 specs with interesting attributes. For example, find the slowest specs, or the
 spec which issues the most queries.

Package: ruby-rspec-puppet
Description-md5: 703ebd37094bc7cab78ea263c026a1ca
Description-en: RSpec tests for your Puppet manifests
 Puppet lets you centrally manage every important aspect of your system
 using a cross-platform specification language that manages all the
 separate elements normally aggregated in different files, like users,
 cron jobs, and hosts, along with obviously discrete elements like
 packages, services, and files.
 .
 This ruby module contains RSpec tests for Puppet manifests.

Package: ruby-rspec-rails
Description-md5: c2ae3f88165823f707ee61d9d6898159
Description-en: RSpec for Rails 3+
 This package provides an extension of RSpec, a behaviour driven development
 framework for Ruby, to work with Ruby on Rails, the famous MVC Ruby bases
 framework geared for web application development.

Package: ruby-rspec-retry
Description-md5: 04cf8e2fa369e5fd4d94a82d318ff686
Description-en: add support for retrying failing examples in RSpec
 RSpec is a Behaviour Driven Development framework for Ruby. This extension
 adds a `:retry` option to RSpec examples that will make RSpec retry multiple
 times a failing example.

Package: ruby-rspec-set
Description-md5: cef225c3efa7055e08c3eac07df53850
Description-en: #set(), speed-up your specs
 #set() is a helper for RSpec which setup active record objects before all
 tests and restore them to their original state  before each test.

Package: ruby-rspec-support
Description-md5: 28c56ce5d1fb12b69b2f0f132320098e
Description-en: Behaviour Driven Development framework for Ruby - support
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.
 .
 This package provides common functionality to other
 rspec packages. It is considered suitable for internal
 use only at this time.

Package: ruby-rspec-temp-dir
Description-md5: 2679ea046ff7f78b6836207c8479cd48
Description-en: creates temporary directory for each example automatically
 Rspec::TempDir creates temporary directory for each example automatically.
 .
 This is inspired by JUnit TemporaryFolder.

Package: ruby-rsvg2
Description-md5: 00012d4b67284b34b36932b06307239e
Description-en: RSVG renderer bindings for the Ruby language
 The rsvg library is an efficient renderer for Scalable Vector Graphics (SVG)
 pictures.
 .
 This package contains libraries for using RSVG with the Ruby programming
 language.

Package: ruby-rsync
Description-md5: 8fffcd88a1e9f16907aeb65eecdaf2d9
Description-en: ruby wrapper and bindings for the rsync binary
 Ruby/Rsync is a Ruby library that can synchronize files between remote hosts by
 wrapping a call to the rsync binary.
 .
 This library provides wrapper and bindings for rsync binary.

Package: ruby-rt
Description-md5: e7c24d57672032055a5638cecd7a212b
Description-en: RTTool library for Ruby
 RT is simple human-readble table format. RTtool is a converter form RT
 into various formats. RTtool is one of frontends of formatter for RT.
 .
 You can embed RT into Ruby script, and RD documents.
 .
 This package contains a library which is used by RTTool.

Package: ruby-rubocop-performance
Description-md5: bfafcdd52b6c56820b9510654da7bfcd
Description-en: Automatic performance checking tool for Ruby code
 A collection of RuboCop cops to check for performance optimizations
 in Ruby code.

Package: ruby-rubocop-rspec
Description-md5: 963b77a019ca2133f598fd648763414e
Description-en: Code style checking for RSpec files
 ruby-rubocop-rspec is Ruby library that provides RSpec-specific
 analysis for your projects, as an extension to RuboCop.
 .
 Rubocop is a Ruby static code analyzer based on the community
 Ruby style guide.

Package: ruby-ruby-engine
Description-md5: a4d8181e6dd0738f0864741c12a05466
Description-en: Provides the RubyEngine constant
 Simplifies checking for the actual ruby implementation by
 providing the constant RubyEngine.
 .
 Use this if you think DRY is important.

Package: ruby-ruby-parser
Description-md5: b868d266d32f75e24ca0bc425b44c4fc
Description-en: Ruby parser written in pure Ruby
 ruby_parser (RP) can be used to parse and check syntax of Ruby code.
 "Pure Ruby" in this context means the parser's code
 * consists solely of Ruby source files
 * does not add any native extensions or other C code (eg. with RubyInline)
   which requires a C compiler to be present on the user's system
 .
 It utilizes racc and its output is same as that of ParseTree's.

Package: ruby-ruby-version
Description-md5: 07672e5f80bc1a968d0dbd5b7a6fd5a4
Description-en: Provide the RubyVersion pseudo-constant
 Simplified checking the currently running Ruby version
 in your programs by providing the RubyVersion pseudo-constant.
 .
 Use this if you think DRY is important.

Package: ruby-ruby2-keywords
Description-md5: e09f49d1cf9da5eea39aefd1186fa197
Description-en: source-level compatibility library between ruby2.7 and ruby3
 This package provides an empty `Module#ruby2_keywords` method for ruby2.7,
 used to achieve source-level compatibility between ruby2.7 and ruby3. On
 ruby3, it does nothing.

Package: ruby-ruby2ruby
Description-md5: ad2df9f6b3780f1a332f718f6d4e88fd
Description-en: Generate pure ruby code easily from ParseTree's Sexps
 ruby2ruby provides a means of generating pure Ruby code easily from
 ParseTree's Sexps. This makes making dynamic language processors much
 easier in Ruby than ever before.

Package: ruby-rubydns
Description-md5: e415370f222eb3488bc5d5596d7ef6c3
Description-en: Easy to use DNS server and resolver for Ruby
 RubyDNS is a high-performance DNS server which can be easily integrated into
 other projects or used as a stand-alone daemon. By default it uses
 rule-based pattern matching. Results can be hard-coded, computed, fetched from
 a remote DNS server or fetched from a local cache, depending on requirements.
 .
 In addition, RubyDNS includes a high-performance asynchronous DNS resolver
 built on top of Celluloid. This module can be used by itself in client
 applications without using the full RubyDNS server stack.

Package: ruby-rubymail
Description-md5: e1c2ffd79778ee610d0396b39fd4a5da
Description-en: MIME mail parsing and generation library
 RMail is a lightweight mail library containing various utility classes and
 modules that allow ruby scripts to parse, modify, and generate MIME mail
 messages.

Package: ruby-rubymail-doc
Description-md5: fc19fc79cdd73b94a98954fdbceaeed3
Description-en: MIME mail parsing and generation library (documentation)
 RMail is a lightweight mail library containing various utility classes and
 modules that allow ruby scripts to parse, modify, and generate MIME mail
 messages.
 .
 This package contains the documentation.

Package: ruby-rubypants
Description-md5: 92bee731585f0c6732cc720f714d80db
Description-en: Ruby port of SmartyPants smart-quotes library
 RubyPants is a Ruby port of the smart-quotes library SmartyPants.
 .
 The original "SmartyPants" is a free web publishing plug-in for Movable Type,
 Blosxom, and BBEdit that easily translates plain ASCII punctuation characters
 into "smart" typographic punctuation HTML entities.

Package: ruby-rubytorrent
Description-md5: e66ce9f2e8571499ff298d4f70f99e0a
Description-en: BitTorrent library in Ruby
 BitTorrent is a peer-to-peer file sharing protocol used for distributing large
 amounts of data over the Internet.
 .
 RubyTorrent is a pure-Ruby BitTorrent peer library and toolset. You can use
 it to download or serve files over BitTorrent from any Ruby program.

Package: ruby-rubyvis
Description-md5: 379af28897bcc2c9bb2771f07150938b
Description-en: Ruby visualization toolkit
 Ruby port of Protovis.
 .
 Protovis composes custom views of data with simple marks such as
 bars and dots. Unlike low-level graphics libraries that quickly
 become tedious for visualization, Protovis defines marks through
 dynamic properties that encode data, allowing inheritance, scales
 and layouts to simplify construction.
 .
 This library implements almost completely core API of protovis,
 including all static marks, SVG builder class and data classes.

Package: ruby-rufus-scheduler
Description-md5: a2f4ee3e5e332938c504804fa4bfd5a6
Description-en: job scheduler for Ruby
 This package provides the gem rufus-scheduler, a job scheduler for Ruby. It
 is an in-process, in-memory scheduler that provides scheduling using at, cron,
 in and every jobs.

Package: ruby-rugged
Description-md5: b079ea37f68e2b178b4822265139a0f4
Description-en: Ruby binding to the libgit2 library
 libgit2 is a portable, pure C implementation of the Git distributed version
 control system core methods provided as a re-entrant linkable library with a
 solid API.
 .
 Rugged is a library for accessing libgit2 in Ruby. It allows one to
 explore and modify Git repositories with the speed and portability of libgit2,
 and the convenience of the Ruby programmation language.

Package: ruby-rugments
Description-md5: 39e2069f8ac6c22b7205f03c3e07f21c
Description-en: pure-ruby syntax highlighter replacement for pygments
 Rugments is a pure-ruby syntax highlighter.  It can highlight over 60
 languages, and output HTML or ANSI 256-color text.  Its HTML output is
 compatible with stylesheets designed for pygments.
 .
 It aims to a be a simple, easy-to-extend drop-in replacement for pygments.

Package: ruby-rushover
Description-md5: 2fe4cbcc53462de079aa042339be60a9
Description-en: Simple ruby Pushover client
 This package contains a simple ruby Pushover client. Pushover allows sending
 simple push notifications to clients on iOS and Android devices.

Package: ruby-safe-yaml
Description-md5: 87ef20c0af818e65bcbb5234b8e73e88
Description-en: safer YAML loader for Ruby
 SafeYAML provides an alternative implementation of YAML.load suitable for
 accepting user input in Ruby applications.
 .
 Unlike Ruby's built-in implementation of YAML.load, SafeYAML's version does
 not expose applications to arbitrary code execution exploits.

Package: ruby-safely-block
Description-md5: ba3f97ee353c62678b14c7d896c1790d
Description-en: Rescue and report exceptions in non-critical code
 Exceptions are rescued and automatically reported to your favorite reporting
 service. In development and test environments, exceptions are raised so you
 can fix them.
 .
 The Safely Pattern is a simple one. It allows you to tag non-critical code by
 wrapping it in a function. It’s built on top of exception handling. Gem is
 created for efficient exceptional handling.

Package: ruby-saml
Description-md5: 1b4725dc0c79138c04cb7e84b06e9d0b
Description-en: SAML toolkit for Ruby on Rails
 The Ruby SAML library is for implementing the  client side of a SAML
 authorization, i.e. it provides a means for managing authorization
 initialization and confirmation requests from identity providers.
 .
 SAML authorization is a two step process and you are expected to implement
 support for both.

Package: ruby-samuel
Description-md5: 674e4e49be25f54fb3972e90897c5f86
Description-en: automatic logger for HTTP requests in Ruby
 Samuel is a gem for automatic logging of your HTTP requests. It's named for
 the serial diarist Mr. Pepys, who was known to reliably record events both
 quotidian and remarkable. It supports both Net::HTTP and HTTPClient (formerly
 HTTPAccess2), automatically loading the correct logger for the HTTP client
 you're using.

Package: ruby-sanitize
Description-md5: 2b64f78c43810e31c817317190e764b0
Description-en: whitelist-based HTML sanitizer
 Sanitize is a whitelist-based HTML sanitizer. Given a list of acceptable
 elements and attributes, Sanitize will remove all unacceptable HTML from a
 string.
 .
 Using a simple configuration syntax, you can tell Sanitize to allow certain
 elements, certain attributes within those elements, and even certain URL
 protocols within attributes that contain URLs. Any HTML elements or attributes
 that you don't explicitly allow will be removed.
 .
 Because it's based on Nokogiri, a full-fledged HTML parser, rather than a bunch
 of fragile regular expressions, Sanitize has no trouble dealing with malformed
 or maliciously-formed HTML and returning safe output.

Package: ruby-sasl
Description-md5: 6fa9bc1e2932ab5de2266d2bc3a98c0a
Description-en: SASL client library for Ruby
 This is a reusable Ruby library for client implementations that need
 to do authentication over Simple Authentication and Security Layer
 (RFC 4422).  The library is mainly targeted at Jabber/XMPP libraries.
 .
 This is the pyu-ruby-sasl gem variant of the library.

Package: ruby-sass
Description-md5: 8be9755bf597e7255686003f3772e744
Description-en: deprecated CSS compiler - use sassc or ruby-sassc instead
 Ruby Sass should no longer be used,
 and will no longer be receiving any updates.
 .
 Please use sassc or ruby-sassc instead.
 .
 Sass makes CSS fun again. Sass is an extension of CSS3, adding nested
 rules, variables, mixins, selector inheritance, and more.
 .
 It's translated to well-formatted, standard CSS using the command line
 tool or a web-framework plugin.

Package: ruby-sass-rails
Description-md5: a82dc1ea932279bac013a48297a5e661
Description-en: Sass adapter for the Rails asset pipeline
 This package integrates Sass into the Rails asset pipeline, i.e. you can write
 stylesheets using Sass and have them compiled into CSS before being sent to
 the clients.

Package: ruby-sassc
Description-md5: 02d4b13713fba98cff925fbda9e7a7b4
Description-en: Ruby module to use libsass
 Sass is a pre-processing language for CSS.
 .
 This Ruby library uses libsass, a C/C++ port of the Sass engine,
 to compile SCSS or Sass syntax to CSS, with the speed of this engine
 and the ease of use of original Ruby Sass library.

Package: ruby-sassc-rails
Description-md5: f8347f53b1310ae78b409dc0b9310237
Description-en: Integrate SassC-Ruby into Rails
 Compilation of Sass can take quite a long time for larger codebases. This gem
 integrates the C implementation of Sass, LibSass, into the asset pipeline.
 In one larger project, this made compilation 4x faster.
 .
 This should essentially be a drop in alternative to sass-rails.

Package: ruby-sawyer
Description-md5: 1eaf92d14c8729b655ff8939487078d8
Description-en: HTTP/REST API client Ruby library
 Sawyer is an experimental hypermedia HTTP client built on top of ruby-faraday.
 .
 Although Sawyer provides the expected features of an HTTP client library,
 it's more useful when is used to interact and to implement REST APIs
 endpoints.
 .
 Out of the box it can parse data from API responses, turns contents into
 high level resources or collections of resources, relations between those
 resources, etc.

Package: ruby-schash
Description-md5: fdfa8950bf56418fae545dc8781e7c58
Description-en: Ruby Hash validator
 Perform validation of Ruby Hashes against a schema.
 .
 The schema language allows optional nodes, validation against one or more Ruby
 types and validation against regular expressions.

Package: ruby-sdl
Description-md5: e42a3cf3ad4eb4cde23f1d35a61bcfe6
Description-en: Ruby/SDL interface for Ruby
 Ruby/SDL is the ruby extension library to use SDL. SDL (Simple
 DirectMedia Layer) is a cross-platform multimedia library designed to
 provide fast access to the graphics framebuffer and audio device.

Package: ruby-sdoc
Description-md5: 467d5254bec245ba7ad75b2037243066
Description-en: RDoc generator to build searchable HTML documentation for Ruby code
 This package provides extra generators and templates for the RDoc
 documentation tool for Ruby. Generated HTML documentation has a javascript
 search index, so the documentation is searchable without any server-side
 requirements other than a web server.

Package: ruby-seamless-database-pool
Description-md5: 3457655b1524cb7454febc1c6562175f
Description-en: support for master/slave database clusters in ActiveRecord
 Seamless Database Pool provides a simple way in which to add support for a
 master/slave database cluster to ActiveRecord to allow massive scalability
 and automatic failover.

Package: ruby-secure-headers
Description-md5: b94f7d8edf167328d4d82e0c96429ca8
Description-en: Security related headers all in one gem
 Add easily configured security headers to responses including
 Content-Security-Policy(CSP) which helps detect/prevent XSS and mixed-contents
 x-frame-options, strict-transport-security, etc.

Package: ruby-securecompare
Description-md5: 4e4c3951316024fed976f239d5fcdd5d
Description-en: string comparison method safe for use in cryptographic functions
 securecompare borrows the secure_compare private method from
 ActiveSupport::MessageVerifier which lets you do safely compare strings
 without being vulnerable to timing attacks. Useful for Basic HTTP
 Authentication in your rack/rails application.

Package: ruby-seed-fu
Description-md5: 2d70c5bdda4ec51c72576305c3707c30
Description-en: easily manage seed data in an Active Record application
 Seed Fu is an attempt to once and for all solve the problem of inserting and
 maintaining seed data in a database. Seed Fu depends on Active Record, but
 doesn't have to be used with a full Rails app.

Package: ruby-select2-rails
Description-md5: 6b662d94d0a09fa03a06722f9a5b49fe
Description-en: integrate Select2 javascript library with Rails asset pipeline
 Select2 is a jQuery based replacement for select boxes. It supports searching,
 remote data sets, and infinite scrolling of results. This gem integrates
 Select2 with Rails asset pipeline for easy of use.

Package: ruby-selenium-webdriver
Description-md5: 6295e47edcff78369ad943e492444b3b
Description-en: Browser automation framework and ecosystem
 Selenium is an umbrella project encapsulating a variety of tools and libraries
 enabling web browser automation. Selenium specifically provides infrastructure
 for the W3C WebDriver specification — a platform and language-neutral coding
 interface compatible with all major web browsers.
 .
 Selenium uses a custom build system, aptly named crazyfun available on all fine
 platforms (Linux, Mac, Windows).

Package: ruby-selinux
Description-md5: 71f8f453f4c0fbe591180af8da96cd36
Description-en: Ruby bindings to SELinux shared libraries
 This package provides the Ruby bindings needed for developing Ruby
 SELinux applications.  Security-enhanced Linux is a patch of the
 Linux kernel and a number of utilities with enhanced security
 functionality designed to add mandatory access controls to Linux.
 The Security-enhanced Linux kernel contains new architectural
 components originally developed to improve the security of the Flask
 operating system. These architectural components provide general
 support for the enforcement of many kinds of mandatory access control
 policies, including those based on the concepts of Type Enforcement,
 Role-based Access Control, and Multi-level Security.

Package: ruby-semanage
Description-md5: 0573f123cd490210938f6da9efe52cb5
Description-en: Ruby bindings to for SELinux policy management
 This package provides the Ruby bindings needed for developing Ruby
 applications that manage SELinux policies.
 .
 Security-enhanced Linux is a patch of the Linux kernel and a
 number of utilities with enhanced security functionality designed to
 add mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement, Role-based Access
 Control, and Multi-level Security.

Package: ruby-semantic-puppet
Description-md5: e43424318841417d3dacd3e2ca56ac1e
Description-en: Useful tools for working with semantic versions with Puppet
 Tools used by Puppet to parse, validate, and compare semantic versions and
 version ranges and to query and resolve module dependencies.
 .
 This library is used by a number of Puppet Labs projects, including Puppet and
 r10k.

Package: ruby-semverse
Description-md5: 8a92f8514c8800c9e05609b7d938d428
Description-en: Ruby library for representing SemVer versions and constraints
 semverse is a Ruby library that allow one to manipulate, compare
 and validate versions in concordance with SemVer (Semantic Versioning)
 specification.
 .
 For more information about SemVer please refer to http://semver.org/.

Package: ruby-sentry-raven
Description-md5: 007da1895c11b2785898632befdc2262
Description-en: client interface for the Sentry error logger
 This package provides the gem sentry-raven. This gem provides a client
 interface for the Sentry error logger. Sentry provides real-time crash
 reporting for your web apps, mobile apps, and games.

Package: ruby-sequel
Description-md5: 69991565378203fc614a568f4bdc960e
Description-en: Simple, flexible, and powerful SQL database access toolkit for Ruby
 Sequel provides thread safety, connection pooling and a concise
 DSL for constructing SQL queries and table schemas.
 .
 Sequel includes a comprehensive ORM layer for mapping
 records to Ruby objects and handling associated records.
 .
 Sequel supports advanced database features such as prepared
 statements, bound variables, stored procedures, savepoints,
 two-phase commit, transaction isolation, master/slave
 configurations, and database sharding.
 .
 Sequel currently has adapters for ADO, Amalgalite, DataObjects,
 DB2, DBI, Firebird, IBM_DB, Informix, JDBC, MySQL, Mysql2, ODBC,
 OpenBase, Oracle, PostgreSQL, SQLite3, Swift, and TinyTDS.

Package: ruby-sequel-pg
Description-md5: 2ae3212929238c6b6d45432dcba60221
Description-en: Faster SELECTs when using Sequel with pg
 sequel_pg overwrites the inner loop of the Sequel postgres
 adapter row fetching code with a C version.  The C version
 is significantly faster (2-6x) than the pure ruby version
 that Sequel uses by default.

Package: ruby-sequenced
Description-md5: 633b11193d604efd5a2f8466370de205
Description-en: generate scoped sequential IDs for ActiveRecord models
 This library provides an `acts_as_sequenced` macro that automatically assigns
 a unique, sequential ID to each record. The sequential ID is not a replacement
 for the database primary key, but rather adds another way to
 retrieve the object without exposing the primary key.

Package: ruby-serialport
Description-md5: e5ea5e7a2c42319b976e77d31327ef54
Description-en: Library for using RS-232 serial ports from Ruby programs
 This library provides an API for Ruby to control RS-232 serial ports,
 and check and set the current state of the signals on the serial
 line.

Package: ruby-serverengine
Description-md5: ab92366bdaccfb4e092f9df09eeb40e4
Description-en: multiprocess server framework
 serverengine is a framework to implement robust multiprocess servers
 like Unicorn.

Package: ruby-serverspec
Description-md5: 3c1f93153b81b33d9c65bf0d71a4a5f9
Description-en: RSpec tests for your servers configured by Puppet, Chef or anything else
 With serverspec, you can write RSpec tests for checking your servers
 are configured correctly.
 .
 Serverspec tests your servers' actual state through SSH access, so
 you don't need to install any agent software on your servers and
 can use any configuration management tools, Puppet, Chef, CFEngine
 and so on.

Package: ruby-settingslogic
Description-md5: 577da0f1eeee83d86abafe51b5aa58c3
Description-en: simple settings solution for Ruby
 A simple and straightforward settings solution that uses an ERB enabled YAML
 file and a singleton design pattern.
 .
 The settings are accessible from the application by subclassing Settingslogic
 and then accessing setting attributes from this subclass.

Package: ruby-settingslogic-doc
Description-md5: 9059ff6de8d6685872ea36cf03d62a38
Description-en: simple settings solution for Ruby (documentation)
 A simple and straightforward settings solution that uses an ERB enabled YAML
 file and a singleton design pattern.
 .
 The settings are accessible from the application by subclassing Settingslogic
 and then accessing setting attributes from this subclass.
 .
 This package contains the documentation for ruby-settingslogic.

Package: ruby-setup
Description-md5: e55b6dfb6217d430c99cb3a45de38cb7
Description-en: the setup.rb install tool for Ruby
 setup.rb is an installation tool widely used for Ruby
 programs. You can use it to distribute Ruby code you wrote
 and be sure your users won't have difficulties installing
 it.

Package: ruby-sexp-processor
Description-md5: 658dcf6529108ad34ceeed2973276a8d
Description-en: brings all the generic sexp processing tools to ruby
 sexp_processor branches from ParseTree bringing all the generic sexp
 processing tools with it. Sexp, SexpProcessor, Environment, etc.
 .
 Includes SexpProcessor and CompositeSexpProcessor.
 .
 Allows you to write very clean filters.

Package: ruby-shadow
Description-md5: 19211e2801f26505fbd939dd6cf0c11f
Description-en: interface of shadow password for Ruby
 This is a module which is used when you want to access shadow password files
 from the Ruby programming language. It gives access to the same C level
 functions provided by the shadow password API.

Package: ruby-sham-rack
Description-md5: af6123664636f4151240e1a4cf5b2adf
Description-en: Net::HTTP-to-Rack plumbing for HTTP testing
 ShamRack plumbs Net::HTTP directly into Rack, for quick and easy HTTP testing.
 .
 Well, it makes it easy to _stub out external (HTTP) services_, which is handy
 in development and testing environments, or when you want to _test your HTTP
 client code_.
 .
 You can also use it to _test your Rack application_ (or Sinatra, or Rails, or
 Merb) using a variety of HTTP client libraries, to check interoperability.

Package: ruby-shellany
Description-md5: b040fda0393b7255a130590c095d9261
Description-en: MRI+JRuby compatible command output capturing
 Shellany captures command output with several features:
  * portability (should work on recent JRuby versions)
  * capturing stdout, stderr in a convenient way
  * returning the result in a convenient way
  * detecting if a shell is needed (though incomplete/primitive implementation)
  * prevents running the same command multiple times

Package: ruby-shindo
Description-md5: e9027d6449c83326c75cc29d2a37a9b7
Description-en: simple depth first Ruby testing
 Work with your tests, not against them.
 .
 This package provides shindo and shindont command line tools.
 shindo is interactive and shindont is non-interactive.

Package: ruby-shoulda
Description-md5: d5c627a4021f3aec8ca5b0b499cc820a
Description-en: additional features for the Test::Unit testing framework
 Shoulda makes it easy to write elegant, understandable, and maintainable
 tests. Shoulda consists of test macros, assertions, and helpers added on to
 the Test::Unit framework. It's fully compatible with your existing tests, and
 requires no retooling to use.
 .
 Shoulda adds lots of features for testing Rails applications, but can also be
 used for plain Ruby ones.

Package: ruby-shoulda-context
Description-md5: f9e8290f361d98c1dd17324bbb09b360
Description-en: context framework for Test::Unit
 Shoulda’s contexts make it easy to write understandable and maintainable
 tests for Test::Unit. It’s fully compatible with your existing tests in
 Test::Unit, and requires no retooling to use.
 .
 This package provides shoulda-context, a context framework for Test::Unit that
 was extracted from (and is used by) shoulda.

Package: ruby-shoulda-matchers
Description-md5: 52266030e3cbcd34ed2d0fd8f0d2267c
Description-en: Test helpers for Rails applications, compatible with Test::Unit and RSpec
 Test::Unit- and RSpec-compatible one-liners that test common Rails
 functionality. These tests would otherwise be much longer, more complex, and
 error-prone.

Package: ruby-sidekiq
Description-md5: 5bbc2f020ab526e315a132886c59b058
Description-en: Simple, efficient background processing for Ruby
 Sidekiq uses threads to handle many jobs at the same time in the
 same process.  It does not require Rails but will integrate tightly with
 Rails 3/4 to make background processing dead simple.
 .
 Sidekiq is compatible with Resque.  It uses the exact same
 message format as Resque so it can integrate into an existing Resque
 processing farm.
 .
 You can have Sidekiq and Resque run side-by-side at the same time and
 use the Resque client to enqueue jobs in Redis to be processed by Sidekiq.

Package: ruby-sidekiq-cron
Description-md5: ffbed2484324abce51c8710ea1be57ea
Description-en: scheduling add-on for Sidekiq
 Sidekiq Cron helps to add repeated scheduled jobs. It enables to set jobs to
 be run in specified time (using CRON notation)
 .
 It runs a thread alongside Sidekiq workers to schedule jobs at specified times
 (using cron notation `* * * * *` parsed by Rufus-Scheduler
 .
 It checks for new jobs to schedule every 10 seconds and doesn't schedule the
 same job multiple times when more than one Sidekiq worker is running.
 .
 Scheduling jobs are added only when at least one Sidekiq process is running.

Package: ruby-sigar
Description-md5: bbabbe2f78e45efd14243d23c5b8c440
Description-en: System Information Gatherer And Reporter
 One API to access system information regardless of the underlying platform
 .
 Hyperic's System Information Gatherer (SIGAR) is a cross-platform API for
 collecting software inventory data. SIGAR is core of HQ's auto-discovery
 functionality, and you can use it to extend auto-discovery behavior.
 .
 SIGAR includes support for Linux, FreeBSD, Windows, Solaris, AIX, HP-UX and
 Mac OSX across a variety of versions and architectures. Users of the SIGAR
 API are given portable access to inventory and monitoring data including:
 .
  * System memory, swap, cpu, load average, uptime, logins
  * Per-process memory, cpu, credential info, state, arguments, environment,
    open files
  * File system detection and metrics
  * Network interface detection, configuration information and metrics
  * Network route and connection tables
 .
 This is ruby binding for the core API, which is implemented in pure C.

Package: ruby-sigdump
Description-md5: bb09a7d3c052dea06cebbc411fdd1061
Description-en: SIGQUIT of Java VM for Ruby
 Setup signal handler which dumps backtrace of running threads and number of
 allocated objects per class. Require 'sigdump/setup', send SIGCONT, and see
 /tmp/sigdump-<pid>.log.

Package: ruby-signet
Description-md5: 767e2c84e1d0690718fd604495e9fed1
Description-en: Signet is an OAuth 1.0 / OAuth 2.0 implementation
 OAuth is an open standard that allows users to share their private
 resources (e.g. photos, videos, contact lists) stored on one site with
 another site without having to hand out their username and password.

Package: ruby-simple-captcha2
Description-md5: fb300520a72f6326a611d9d0419a47bd
Description-en: simplest and a robust captcha plugin for rails
 Available with Rails 3 + 4 or above provides backward compatibility. This
 is a fork of the popular Rubygem ``simple_captcha`` which got abandoned.
 .
 Features
 .
  * Zero FileSystem usage (secret code moved to db-store and image storage
 removed).
  * Provides various image styles.
  * Provides three level of complexity of images.
  * Works absolutely fine in distributed environment(session and db based
 implementation works fine in distributed environment).
  * Implementation is as easy as just writing a single line in your view.
 ```<%= show_simple_captcha %>``` within the 'form' tags.
  * Flexible DOM and CSS handling(There is a separate view partial for
 rendering SimpleCaptcha DOM elements).
  * Automated removal of 1 hour old unmatched simple_captcha data.

Package: ruby-simple-oauth
Description-md5: 28bf4729e681fd7d190ba9c32052568a
Description-en: Simply builds and verifies OAuth headers
 The library, "simple_oauth", provides classes in order to simply build
 and verify OAuth headers.
 .
 This library aims to support and is tested against the following Ruby
 implementations:
    Ruby 1.8.7
    Ruby 1.9.3
    Ruby 2.0.0
    Ruby 2.1
    JRuby
    Rubinius
 .
 If something doesn't work on one of these interpreters, it's a bug.

Package: ruby-simplecov
Description-md5: a231c670c826522c8cfe250f396377e2
Description-en: code coverage for Ruby 1.9+
 Code coverage for Ruby 1.9 with a powerful configuration library and automatic
 merging of coverage across test suites.
 .
 It uses 1.9's built-in Coverage library to gather code coverage data, but makes
 processing its results much easier by providing a clean API to filter, group,
 merge, format and display those results, thus giving you a complete code
 coverage suite that can be set up with just a couple lines of code.

Package: ruby-simplecov-html
Description-md5: 1f9850fca128a25644838c5b5da8ebab
Description-en: default HTML formatter for SimpleCov code coverage tool
 Default HTML formatter for SimpleCov code coverage tool for ruby 1.9+
 .
 Generates a nice HTML report of your SimpleCov ruby code coverage results on
 Ruby 1.9 using client-side Javascript quite extensively.

Package: ruby-sinatra
Description-md5: f0ddccba3e38f0a5e1e6f59c50431a7c
Description-en: Ruby web-development dressed in a DSL
 Sinatra is an open source web framework for Ruby programming language.
 It provides simple Domain Specific Language (DSL) for defining RESTful
 HTTP actions, and then defining how the application is going to respond
 to them.
 .
 This framework is lighweight and uses Rack which is a web server
 interface developed to run many Ruby frameworks using the same stack.

Package: ruby-sinatra-contrib
Description-md5: 56ae0b4094103de483ea43df9b7167ef
Description-en: collection of useful extensions to the Sinatra web framework
 sinatra-contrib is a collection of common extensions to the Sinatra web
 framework, semi-officially supported:
  - capture: Lets you capture the content of blocks in templates.
  - config_file: Allows loading configuration from yaml files.
  - content_for: Adds Rails-style content_for helpers to Haml, Erb,
    Erubis and Slim.
  - cookies: A cookies helper for reading and writing cookies.
  - engine_tracking: Adds methods like haml? that allow helper methods
    to check whether they are called from within a template.
  - json: Adds a #json helper method to return JSON documents.
  - link_header: Helpers for generating link HTML tags and
    corresponding Link HTTP headers. Adds link, stylesheet and prefetch helper
    methods.
  - multi_route: Adds ability to define one route block for multiple
    routes and multiple or custom HTTP verbs.
  - namespace: Adds namespace support to Sinatra.
  - respond_with: Choose action and/or template automatically depending
    on the incoming request. Adds helpers respond_to and respond_with.
  - custom_logger: Allows one to define their own logger instance.
  - decompile: Recreates path patterns from Sinatra's internal data
    structures (used by other extensions).
  - reloader: Automatically reloads Ruby files on code changes.
  - extension: Mixin for writing your own Sinatra extensions.
  - test_helpers: Helper methods to ease testing your Sinatra
    application. Partly extracted from Sinatra. Testing framework agnostic.

Package: ruby-six
Description-md5: 2147f8a98c70feec08827b801a04064c
Description-en: very simple authorization library for Ruby
 Six is a very simple authorization library for Ruby, which can be used for the
 Rails framework. It allows you to define rules which restricts what resources
 a given user is allowed to access.

Package: ruby-sixarm-ruby-unaccent
Description-md5: c143589863e792999dabaa291b4f1afc
Description-en: replaces a string's accented characters with unaccented characters
 Replace a string's accent characters with ASCII characters. Based on
 Perl Text::Unaccent from CPAN.

Package: ruby-slack-messenger
Description-md5: e211d866c449d250544d08829288237f
Description-en: Slim ruby wrapper for posting to slack webhooks
 Simple wrapper for posting to slack channels using slack webhooks.
 .
 Slack APIs allow one to integrate complex services with Slack to go beyond
 the integrations they provide out of the box.
 .
 Slack is a proprietary instant messaging platform developed by Slack
 Technologies.
 .
 slack-messenger is gitlab's fork of slack-notifier.

Package: ruby-slack-notifier
Description-md5: ce3fa20c73f5696be40a6126563e614f
Description-en: Ruby wrapper for posting to slack webhooks
 This gem provides a slim Ruby wrapper for posting to slack webhooks. Slack is
 a team communication tool that offers persistent chat rooms organized by topic,
 as well as private groups and direct messaging.

Package: ruby-slim
Description-md5: c733a623320b42a12ccf593fdf5499ce
Description-en: powerful (X)HTML templating engine with an elegant syntax
 Slim is a template language whose goal is to reduce the view syntax to the
 essential parts without becoming cryptic.
 .
 A short list of the features:
  - elegant syntax: short syntax without closing tags, HTML style mode with
    closing tags and configurable shortcut tags
  - automatic HTML escaping and support for Rails' `html_safe?`
  - highly configurable and extendable via plugins: logic less mode similar
    to Mustache and translator/I18n support among others.
  - high performance: comparable speed to ERB and streaming support in Rails
  - supported by all major frameworks (Rails, Sinatra, ...)
  - full Unicode support for tags and attributes on Ruby 1.9
  - embedded engines like Markdown and Textile

Package: ruby-slop
Description-md5: 735e85e9af70401305ff5e130f2c6a93
Description-en: Simple DSL for gathering options and parsing the command lineOption
 Slop is a simple option parser with an easy to remember syntax and
 friendly API.

Package: ruby-slow-enumerator-tools
Description-md5: 8c004341e0354d953a4bd327c063a96e
Description-en: transformation of Ruby enumerators to produce data slowly and unpredictably
 This Ruby library provides tools for transforming Ruby enumerators that
 produce data slowly and unpredictably.
 .
   * SlowEnumeratorTools.merge: given a collection of enumerables, creates a
     new enumerator that yields elements from any of these enumerables as soon
     as they become available.
 .
   * SlowEnumeratorTools.batch: given an enumerable, creates a new enumerable
     that yields batches containing all elements currently available.
 .
   * SlowEnumeratorTools.buffer: given an enumerable and a number, will create
     a buffer of that number of elements and try to fill it up with as many
     elements from that enumerable, so that they can be yielded immediately.

Package: ruby-snmp
Description-md5: 0a16a4318ae3aa6dad32a9df2c8e8711
Description-en: simple network management protocol bindings for ruby
 This library implements SNMP (the Simple Network Management Protocol).
 It is implemented in pure Ruby, so there are no dependencies on
 external libraries like net-snmp.

Package: ruby-snorlax
Description-md5: 4b8c6efbcdb51284bc6d2bc9a89e4e87
Description-en: Snorlax is a flexible controller for Rails APIs
 Snorlax is an opinionated, flexible, and well-RESTed gem for building Rails
 APIs. It's designed to Do The Right Thing™ by default, but allow you to
 customize where necessary. Snorlax is designed to provide a set of flexible
 API endpoints for client side consumption. It uses side loading, which means
 that all of the records returned are unnested and easily available for
 consumption.

Package: ruby-snowplow-tracker
Description-md5: 530ddc47a949a64e3d6aa6db55ea095c
Description-en: Ruby Analytics for Snowplow
 With this tracker you can collect event data from your Ruby applications, Ruby
 on Rails web applications and Ruby gems.

Package: ruby-soap4r
Description-md5: 720cb1bd7ceb45516cefbf6326d2b026
Description-en: SOAP library for the Ruby programming language
 This library was originally part of the standard library up until Ruby 1.8. It
 was removed from the standard library in Ruby 1.9 for compatibility reasons.
 .
 This version of the library was forward-ported to Ruby 1.9.

Package: ruby-socksify
Description-md5: 684f6ffed64d25dcd575775b1a169b7f
Description-en: Redirect all TCPSockets through a SOCKS5 proxy
 The shell script socksify is meant to aid in using socks with already
 compiled dynamic binaries.
 .
 This works by setting the LD_PRELOAD environment variable to libdsocks.
 It will then attempt to wrap all networking-related system calls.

Package: ruby-solve
Description-md5: e9dbb6604e3a0f6141f5d5d40afdb699
Description-en: Ruby version constraint solver implementing semantic versioning
 Ruby versioning constraint solver implementing Semantic Versioning 2.0.0
 (see http://semver.org/).
 .
 Solve supports two different resolvers. A pure Ruby solver implemented using
 Molinillo and the same dependency resolver the Chef Server uses, dep-selector,
 which is a Ruby C extension for Gecode.
 .
 The Ruby solver is installed and enabled by default. If you'd like to use the
 Gecode solver you can do so by installing the ruby-dep-selector package.

Package: ruby-source-map
Description-md5: 8d8b6bb383215ba4933788b643effda0
Description-en: ruby support for source maps in javascripts
 Ruby support for Source Maps allows you to interact with Source Maps
 in Ruby. This lets you do things like concatenate different javascript
 files and still debug them as though they were separate files.

Package: ruby-specinfra
Description-md5: 04f958117d7467bd27a64c2a2f96edef
Description-en: Common layer for serverspec and configspec
 This package is backend library for ruby-serverspec, which was
 extracted from serverspec for further abstracction, intended to
 be used by another configuration management tools.

Package: ruby-spider
Description-md5: 4e032bd2747e64dde86284218f90a10c
Description-en: web spidering library for Ruby
 spider handles the robots.txt, scraping, collecting and looping so that
 you can just handle the data.
 .
 spider is useful to applications that need gather data from web sites.

Package: ruby-spoon
Description-md5: 4937558fcebf8d91b6d0eafc41455a38
Description-en: FFI binding of the posix_spawn function for Ruby
 Spoon is an FFI binding for Ruby of the posix_spawn function, providing
 fork+exec functionality in a single shot.

Package: ruby-spreadsheet
Description-md5: 2e33090d84e59000134cf02c75814fcb
Description-en: Ruby library for manipulating MS Excel (.xls) spreadsheets
 This module provides a pure-Ruby implementation of a spreadsheet
 manipulation library, allowing for creating, reading and modifying
 files in the Microsoft Excel (.xls) format.

Package: ruby-spring
Description-md5: f29375f2598b223501251e4f5194fffc
Description-en: Rails application preloader
 Spring is a Rails application preloader. It speeds up development by
 keeping your application running in the background so you don't need to
 boot it every time you run a test, rake task or migration.

Package: ruby-spring-commands-rspec
Description-md5: d4415bf2ff63cf91a90824ec2d9b305c
Description-en: rspec command for spring
 This library implements the `rspec` command for Spring.
 .
 If you're using spring binstubs, run `bundle exec spring binstub rspec` to
 generate `bin/rspec`. Then run `spring stop` to pick up the changes.

Package: ruby-spring-watcher-listen
Description-md5: 31726a2288632e33527cb930e281bad3
Description-en: Makes spring watch files using the listen library
 This library makes Spring watch the filesystem for changes using Listen rather
 than by polling the filesystem.
 .
 On larger projects this means spring will be more responsive, more accurate
 and use less cpu on local filesystems.
 .
 NFS, shared VM folders and user file systems will still need polling.

Package: ruby-sprite-factory
Description-md5: 58fa0449973798913a47497a9bee0500
Description-en: automatic css sprite generator
 The sprite factory is a ruby library that can be used to generate
 CSS sprites. It combines individual image files from a directory
 into a single unified sprite image and creates an appropriate CSS
 stylesheet for use in your web application.
 .
 The library provides:
  * both a ruby API and a command line script.
  * many customizable options.
  * support for multiple layout algorithms - horizontal, vertical or
    packed.
  * support for any stylesheet syntax, including CSS and Sass.
  * support for any image library, including RMagick and ChunkyPNG.
  * support for any css selector style, including :hover pseudo-class
    selectors.
  * support for pngcrush'n the generated image file.
  * compatible with Rails 3.1 asset pipeline.

Package: ruby-sprockets
Description-md5: 5eb26c5286663a6dd76eeff86573aad7
Description-en: Rack-based asset packaging system
 Sprockets is a Rack-based asset packaging system that concatenates
 and serves JavaScript, CoffeeScript, CSS, LESS, Sass, and SCSS.

Package: ruby-sprockets-export
Description-md5: a1bf97f184a6a621913ec2794458de4d
Description-en: Sprockets Export
 A Sprockets directive for hassle-free UMD-style JavaScript module definitions.

Package: ruby-sprockets-rails
Description-md5: 330d63b2cabaaea69cc0ba9852c65704
Description-en: Sprockets Rails integration (part of Rails)
 This package provides Sprockets implementation for Rails 4.x (and
 beyond) Asset Pipeline.
 .
 If the basic tasks don't do all that you need, it's straight forward to
 redefine them and replace them with something more specific to your app.
 .
 You can also redefine the task with the built in task generator.

Package: ruby-spy
Description-md5: a997bf89b32a9b581ba971136372aef8
Description-en: simple modern mocking library using the spy pattern
 Spy is a mocking library that was made for the modern age. It uses
 that uses the spy pattern and checks method's existence and arity:
 Spy by default will raise an error if you attempt to stub a method that
 doesn't exist or call the stubbed method with the wrong arity.

Package: ruby-sqlite3
Description-md5: bb1e1c8ac644e7c247c4f09bf4d5a87e
Description-en: SQLite3 interface for Ruby
 This module allows Ruby programs to interface with the SQLite3 database
 engine.
 .
 Note that this module is only compatible with SQLite 3.6.16 or newer.

Package: ruby-sshkey
Description-md5: e723f2fa74c2690c3df76d1f1719276b
Description-en: SSH private/public key generator in Ruby
 Generate private/public SSH keypairs (RSA and DSA supported) using pure Ruby.
 .
 When generating a new keypair the default key type is 2048-bit RSA, but you
 can supply the type (RSA or DSA) and bits in the options. You can also
 (optionally) supply a comment or passphrase.
 .
 This library can read a public or private key and present it as a string.

Package: ruby-sshkit
Description-md5: b9eb471fca93e612cedba558cdf99c89
Description-en: toolkit for running commands in a structured way on one or more servers
 SSHKit is a comprehensive toolkit for remotely running commands in a structured
 manner on groups of servers.
 .
 SSHKit makes it easy to write structured, testable SSH commands in Ruby.

Package: ruby-stackprof
Description-md5: ccc9ad968ae668c47ca7f1f79ac80f06
Description-en: sampling callstack-profiler for ruby 2.2+
 stackprof is a fast sampling profiler for ruby code, with cpu, wallclock and
 object allocation samplers.
 .
 Inspired heavily by gperftools, and written as a replacement for perftools.rb.

Package: ruby-stamp
Description-md5: 161d0cfaf9d134ac58ae808e7bbca259
Description-en: date and time formatting for humans
 Stamp is a Ruby library that formats dates and times based on human-friendly
 examples, not arcane strftime directives. Give it an example date string with
 whatever month, day, year and weekday parts you'd like, and your date will be
 formatted accordingly.

Package: ruby-standalone
Description-md5: 0039a0b94819f47317d0c92633414921
Description-en: Ruby interpreter that won't integrate with Debian packages
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 This package provides a Ruby interpreter without providing support for other
 Debian-provided Ruby packages, i.e. it won't use any code from Debian packages
 that provide Ruby libraries, Rubygems won't recognize libraries installed with
 Debian packages etc.
 .
 This package is mostly useful for server deployments or development
 environments where one wants or needs to obtain the Ruby interpreter from
 Debian (and thus benefit from having security updates, not having to build
 from source, obtaining pre-built binaries from a trusted source etc.), but
 install everything else from sources external to Debian such as rubygems.org.
 .
 No official Debian Ruby packages, application or library, should depend on this
 package.

Package: ruby-state-machines
Description-md5: fee0dd28fbe8cce6aec2d4eb632932e6
Description-en: Adds support for creating state machines for attributes on any Ruby class
 state_machines adds support for creating state machines for
 attributes on any Ruby class. state_machine provides enough
 flexibility for creating new machine definitions on the
 fly based on an external source of transitions.

Package: ruby-state-machines-activemodel
Description-md5: 343c8d2d9ed82026301167c8ca1937db
Description-en: ActiveModel integration for State Machines
 This package provides the gem state_machines-activemodel which adds support
 for creating state machines for attributes on ActiveModel. The ActiveModel
 integration is useful for both standalone usage and for providing the base
 implementation for ORMs which implement the ActiveModel API. This integration
 adds support for validation errors and dirty attribute tracking.

Package: ruby-state-machines-activerecord
Description-md5: 583433be55673e2fb3f97be9d336e621
Description-en: State machines Active Record Integration
 The Active Record integration adds support for database transactions,
 automatically saving the record, named scopes, validation errors.
 .
 This library replaces state_machine.

Package: ruby-statistics
Description-md5: 620895e3f52e1cf13711fbbb55013693
Description-en: ruby gem for statistics inspired by the jStat js library
 This gem is intended to accomplish the same purpose as jStat js library:
 to provide ruby with statistical capabilities without the need
 of a statistical programming language like R or Octave. Some functions
 and capabilities are an implementation from other authors and are
 referenced properly in the class/method.

Package: ruby-statsd
Description-md5: 2c5f2f919f60ea37d9c31151eb4ad79a
Description-en: StatsD client for Ruby
 This package provides statsd-ruby gem, a StatsD client for Ruby language.
 .
 Statsd is a network daemon that runs on the Node.js platform and listens for
 statistics, like counters and timers, sent over UDP or TCP and sends aggregates
 to one or more pluggable backend services

Package: ruby-stomp
Description-md5: ebe287d828a71e28f222e2481907a232
Description-en: Ruby client for the stomp messaging protocol
 Stomp is a text-oriented wire protocol for messaging (MOM/MQ/JMS)
 type systems. This library provides two useful interfaces, a low-
 level class, Stomp::Connection, which is a basic protocol
 implementation, and Stomp::Client, which is designed as a higher
 level convenience API.

Package: ruby-string-direction
Description-md5: 5f8f8bf04874dfa69bc0964f859c94c8
Description-en: Automatic detection of text direction (ltr, rtl or bidi) for strings
 string-direction is a ruby library for automatic detection of the direction
 (left-to-right, right-to-left or bi-directional) in which a text should be
 displayed.

Package: ruby-stringex
Description-md5: 7db8453d36386167b7c05a3a879c1b26
Description-en: Some useful extensions to Ruby's String class
 Some useful extensions to Ruby's String class. Stringex is made up of
 three libraries:
   - ActsAsUrl: permalink solution with better character translation
   - Unidecoder: Unicode to ASCII transliteration
   - StringExtensions: miscellaneous helper methods for the String class

Package: ruby-stringify-hash
Description-md5: b683d0f85ffccc8cfb87d970ffcae93d
Description-en: ruby hash object that treats symbols and strings interchangeably
 A ruby hash object that treats symbols and strings interchangeably,
 and also recursively merges hashes.

Package: ruby-strptime
Description-md5: 898d96432aecdb7cf8a51ab3764bc892
Description-en: fast strptime/strftime engine
 A fast strptime/strftime engine which uses VM.
 .
 To experiment with that code, run bin/console for an
 interactive prompt.

Package: ruby-stud
Description-md5: 23436603d771d8f3207af65382334e9c
Description-en: reusable common code to enhance Ruby's stdlib library
 The Stud library is a collection of small, reusable bits of code to
 enhance Ruby's stdlib library. It implements functions for different
 comman tasks in systems programming such as retrying operations on
 failure, supervising workers, managing resource pools, and others.

Package: ruby-subexec
Description-md5: d4cd17bc920995b3e304473cc9661cca
Description-en: mechanism for spawning a subprocess
 Subexec is a simple library that spawns an external command. It has an
 optional timeout parameter, useful for libraries which are wrappers for
 external command line programs and which may sometimes fail ever to
 return control back to the original process.

Package: ruby-svg-graph
Description-md5: 89f96ce7862f99e768967fd69340bb3c
Description-en: Pure Ruby library for generating charts in SVG format
 SVG::Graph allows one to generate charts, that is, graphs where the values of
 one axis are not scalar. It has a very similar API to the Perl library
 SVG::TT::Graph, and the resulting charts also look the same. This isn't
 surprising, because SVG::Graph started as a loose port of SVG::TT::Graph,
 although the internal code no longer resembles the Perl original at all.

Package: ruby-svn
Description-md5: 681536b40f193ae3816c1437f1c38f7d
Description-en: Ruby bindings for Apache Subversion
 This is a set of Ruby interfaces to libsvn, the Apache Subversion libraries.
 It is useful if you want to, for example, write a Ruby script that
 manipulates a Subversion repository or working copy.  See the
 'subversion' package for more information.

Package: ruby-swd
Description-md5: e80555555b61f74abf6bd706af98ccbe
Description-en: SWD (Simple Web Discovery) Client Library
 SWD was designed to be the core of OpenID Connect Discovery, but it's replaced
 with WebFinger. So using this as a standalone library is not supported, it is
 used only for OP Config discovery with 'openid_connect'.

Package: ruby-symboltable
Description-md5: 746ab1d7a55ac81f1ac038ea754678e4
Description-en: symbols-only hash for Ruby
 SymbolTable is a handy little Ruby class that was conceived from the union of
 Hash and Symbol. SymbolTable directly extends Hash, but it stores all keys
 internally as symbols. Any key that cannot be converted to a Symbol is not
 valid.
 .
 While this may seem restrictive, it does have the nice side effect of making
 all keys slightly more memorable and usable. For example, values may be set
 and retrieved using any key that resolves to the same symbol.

Package: ruby-sync
Description-md5: 54505c66c9da09efe5a845c3b75a167e
Description-en: two-phase lock with a counter
 This package provides they sync gem, which is essentially a module that
 provides a two-phase lock with a counter.
 .
 This was part of Ruby core, but was split out in Ruby 2.7

Package: ruby-sys-filesystem
Description-md5: 3f1c8cd7f3d29beb27cb2c83db1a938e
Description-en: ruby interface for getting file system information.
 The sys-filesystem library provides a cross-platform interface for
 gathering filesystem information, such as disk space and mount point data.

Package: ruby-syslog-logger
Description-md5: f93c4134dfe5ec1cb60680de3a52e6b1
Description-en: improved Logger replacement that logs to syslog
 Logger::Syslog is a Logger replacement that logs to syslog. It is almost
 drop-in with a few caveats. Add Logger::Syslog to your Rails production
 environment to aggregate logs between multiple machines.
 .
 This particular Logger::Syslog improves the original by correctly
 mapping Rails log severities to the Syslog counterparts. It also adds
 the ability to select a syslog facility other than "user".

Package: ruby-systemu
Description-md5: c2708d8b6a83b59ce64824cf75df55fa
Description-en: universal child process handling Ruby library
 Systemu is a Ruby library to handle spawned processes in a platform
 independent fashion. This library will capture stdout and stderr and
 track child process pid.

Package: ruby-table-print
Description-md5: 02e5dd01d956695156cb303bfd53658b
Description-en: Ruby library to turn objects into formatted columns
 TablePrint turns objects into nicely formatted columns for easy reading.
 Works great in rails console, works on pure ruby objects, autodetects
 columns, lets you traverse ActiveRecord associations. Simple, powerful.

Package: ruby-task-list
Description-md5: 2ec697ab55cebbb8bb7572f861ddec5f
Description-en: GitHub-flavored-Markdown TaskList components
 This package provides various components necessary for integrating
 Task Lists into your GitHub-flavored-Markdown user content.
 .
 The Task List feature is actually made of several different components:
  * GitHub-flavored-Markdown Filter
  * Model: summarizes task list items
  * JavaScript: task list update behavior
  * CSS: styles Markdown task list items

Package: ruby-tdiff
Description-md5: 6a8031705445d937e25750060b3fc584
Description-en: Calculates the differences between two tree-like structures
 Tdiff calculates the differences between two tree-like structures.
 It is similar to Rubys built-in TSort module.

Package: ruby-temple
Description-md5: 503b75947eed243cb13f09bd2fd45c19
Description-en: template compilation framework in Ruby
 Temple is an abstraction and a framework for compiling templates to pure Ruby.
 It's all about making it easier to experiment, implement and optimize template
 languages. It should be of interest of anyone who wants to implement a
 template language or cares about the internals of a template engine.

Package: ruby-term-ansicolor
Description-md5: c4fac8004d693e5331c3f399bac5f9c6
Description-en: Ruby library that colors strings using ANSI escape sequences
 Small Ruby library that colors strings using ANSI escape sequences.
 It's possible to use constants or unary functions. Block-forms
 also autoreset at the block's end. It's also possible to use this
 module as a mixin for classes of objects that respond to :to_str,
 e.g. String.
 .
 This package is part of the Ruby library extras, a supplement to Ruby's
 standard library.

Package: ruby-terminal-table
Description-md5: 45e63d82e5e436293a219c98d06e3e8a
Description-en: simple, feature rich ASCII table generation library
 This package contains a fast and simple, yet feature rich ASCII table generator
 written in Ruby.

Package: ruby-termios
Description-md5: 0aa6246d9712f1dbe360498d867f3b6c
Description-en: termios simple wrapper for Ruby
 Ruby-termios is a wrapper for the termios(3) interface. It can be included
 into IO-family classes and extends IO-family objects. In addition, the
 methods can used as module function.

Package: ruby-terrapin
Description-md5: a0a92d6a47199c9c839dc33011473315
Description-en: Run shell commands safely, even with user-supplied values
 Apart from the basic, normal stuff, you can use nterpolated arguments. It also
 prevents attempts at being bad.
 .
 You can also see what's getting run. The 'Command' part it logs is in green
 for visibility!
 .
 Terrapin will only shell-escape what is passed in as interpolations to the run
 method. It WILL NOT escape what is passed in to the second argument of new.
 Terrapin assumes that you will not be manually passing user-generated data to
 that argument and will be using it as a template for your command line's
 structure.

Package: ruby-test-construct
Description-md5: fd0c0ed540864b99b2584dbc87530e74
Description-en: Ruby library that creates temporary files and directories for testing
 TestConstruct is a DSL for creating temporary files and directories during
 testing.
 .
 Using construct is as simple as calling within_construct and providing a
 block. All files and directories that are created within that block are
 created within a temporary directory. The temporary directory is always
 deleted before within_construct finishes.
 .
 There is nothing special about the files and directories created with
 TestConstruct, so you can use plain old Ruby IO methods to interact with them.

Package: ruby-test-declarative
Description-md5: e376efce6d7c0f78c584570db2399d04
Description-en: adds a declarative test method syntax to test/unit
 ruby-test-declarative adds a declarative test method syntax to test/unit:
 instead of using the 'def test_foo' syntax, a 'test' method is provided
 and takes a string, describing the test, as argument.

Package: ruby-test-prof
Description-md5: 466f7dfdff131b04b5f3c6c356f9e6cb
Description-en: Ruby applications tests profiling tools
 Contains tools to analyze factories usage, integrate with Ruby profilers,
 profile your examples using ActiveSupport notifications (if any) and
 statically analyze your code with custom RuboCop cops.

Package: ruby-test-spec
Description-md5: 51fdfa38162d1a6f165ec94a00b66a46
Description-en: Ruby library providing behaviour driven development interface for Test::Unit
 The test/spec library layers an RSpec-inspired interface on top of Test::Unit,
 so you can mix test-driven and behavior-driven development.
 .
 test/spec is a clean-room implementation that maps most kinds of Test::Unit
 assertions to a `should'-like syntax.

Package: ruby-test-unit-context
Description-md5: 1eca4ca13ad23c261ef7ccb17920e366
Description-en: context for the Test::Unit Ruby testing framework
 This gem makes Test::Unit::TestCases 'contextable' and thus much easier to
 read and write. It is the implementation of 'context do ... end' from RSpec
 for Test::Unit.

Package: ruby-test-unit-notify
Description-md5: 71b56b671cc1374a85eb47a58094455c
Description-en: test result notify extension for Ruby Test::Unit
 This library provides test result notification support for Ruby Test::Unit.
 .
 X Window System based environment such ad GNOME, Xfce, KDE and so on
 "notify-send" command is used for notifying test result.

Package: ruby-test-unit-rr
Description-md5: 4cc05434a7bb9973b3f3005ee6e0c067
Description-en: RR adapter for Ruby Test::Unit
 This library provides RR adaptor for Ruby Test::Unit.
 .
 You don't need RR setup codes with test-unit-rr, just require
 "test/unit/rr".

Package: ruby-test-xml
Description-md5: 78eea4eecec65ac86937538dee6792d6
Description-en: Test your XML with Test::Unit, MiniTest, RSpec, or Cucumber
 Test your XML with Test::Unit, MiniTest, RSpec, or Cucumber using handy
 assertions like #assert_xml_equal or #assert_xml_structure_contain.
 .
 This library is a build dependency of Representable, which renders and parses
 JSON/XML/YAML documents from and to Ruby objects.

Package: ruby-text
Description-md5: 22cf5756333d7c8e9bf389a17460b190
Description-en: Collection of text algorithms for Ruby
 A collection of text algorithms: Levenshtein, Soundex,
 Metaphone, Double Metaphone, Figlet, Porter Stemming.
 Library can be used to extend String and compare strings
 with errors or other algos.

Package: ruby-text-format
Description-md5: c279133fdc1c70f6d74651b946ec7745
Description-en: Ruby library for text formatting
 Text::Format is provides the ability to nicely format fixed-width
 text with knowledge of the writable space (number of columns),
 margins, and indentation settings. Text::Format can work with either
 TeX::Hyphen or Text::Hyphen to hyphenate words when formatting.

Package: ruby-text-table
Description-md5: 3d35d3f4815b3aa2f57a76b1806900f7
Description-en: feature-rich, easy-to-use plain text table formatter in Ruby
 This Ruby library allows one to easily create and format plain text tables.
 .
 It offers support for table headers and footers, and various format and
 alignment options.

Package: ruby-thinking-sphinx
Description-md5: 649ce7df9a5076f92e5d56618c7d4352
Description-en: smart wrapper over sphinx for activerecord
 Thinking Sphinx is a library for connecting ActiveRecord to the Sphinx
 full-text search tool, and integrates closely with Rails (but also
 works with other Ruby web frameworks).

Package: ruby-thor
Description-md5: 611fec1535f35a1f489c246cfddf2378
Description-en: Ruby scripting framework
 Thor is a simple and efficient scripting framework for building
 self-documenting command line utilities.
 .
 It can be used to replace rake, sake and rubigen.

Package: ruby-threach
Description-md5: 18c0c5b177f644ba81fbf9aef23a44e4
Description-en: Threaded each
 An addition to the Enumerable Ruby module that allows easy use of threaded
 each and each-like iterators. It's a very simple producer-consumer model.
 .
 If you're using stock (MRI) ruby -- you probably shouldn't bother with threach
 unless you're doing IO-intensive stuff. It'll just slow things down. But if
 you're using a ruby implementation that has real threads, like JRuby, this
 will give you relatively painless multi-threading.

Package: ruby-thread-order
Description-md5: 1bef8ade487da60929cf5b97444861eb
Description-en: test helper for ordering threaded code
 This Ruby library provides a test helper for ordering threaded code. It does
 not depend on other Ruby packages or the standard library.
 It is in particular used internally by the RSpec behavior driven development
 framework, provided in Debian by the ruby-rspec package.

Package: ruby-thread-safe
Description-md5: 2bad8368f563e31c8a59de7850f004c2
Description-en: thread-safe collections and utilities for Ruby
 This Ruby library contains a collection of data structures providing
 thread-safe versions of arrays and hashes. These data structures lock
 against the objects themselves for every method call, ensuring only one thread
 can be reading or writing at a time.
 .
 These data structures as well as the utilities contained in this library make
 thread-safe programming in Ruby easier.

Package: ruby-thrift
Description-md5: b034143c30bae64d97bc2afaa3e37b18
Description-en: Ruby bindings for Apache Thrift
 The Apache Thrift software framework, for scalable cross-language services
 development, combines a software stack with a code generation engine to build
 services that work efficiently and seamlessly between C++, Java, Python, PHP,
 Ruby, Erlang, Perl, Haskell, C#, Cocoa, JavaScript, Node.js, Smalltalk, OCaml
 and Delphi and other languages.

Package: ruby-thwait
Description-md5: 97bc5967944973e5ff380b553406a6d0
Description-en: watches for termination of multiple threads
 This package provides the gem thwait, which implements a class that waits
 for termination of multiple threads.
 .
 This gem was split off from Ruby core library in Ruby 2.7.

Package: ruby-tilt
Description-md5: c17148f710e2b2659369d4771f67930a
Description-en: Generic interface to multiple Ruby template engines
 Tilt is a thin interface over a bunch of different Ruby template engines in an
 attempt to make their usage as generic possible. This is useful for web
 frameworks, static site generators, and other systems that support multiple
 template engines but don't want to code for each of them individually.

Package: ruby-timecop
Description-md5: 1ce6edc8e35ae0828b72a1a6470ffdc9
Description-en: Ruby library to easily test time-dependent code
 Timecop makes it easy to travel through or freeze time for creating a
 predictable and ultimately testable scenario.
 .
 It is a wrapper class for manipulating the extensions to the Time, Date,
 and DateTime objects. It avoids overloading of functions with optional
 arguments while writing test cases. It is possible to nest multiple
 calls to Timecop.travel and Timecop.freeze, with each block maintaining
 concept of "now". Having no dependencies, it works with regular Ruby projects
 and Ruby on Rails projects.

Package: ruby-timers
Description-md5: 5e4c9ff351f6712ae323e5bb56e6dbed
Description-en: pure Ruby timer collections
 This library allows one to schedule several procs to fire after configurable
 delays or at periodic intervals.
 .
 It is especially useful when you are faced with an API that accepts a
 single timeout but you want to run multiple timers on top of it.

Package: ruby-timfel-krb5-auth
Description-md5: 7b41d860626c455cc114e9080e754d3c
Description-en: Kerberos binding for Ruby
 This gem provides Kerberos binding for Ruby language.
 .
 Kerberos is a computer network authentication protocol which works on the
 basis of 'tickets' to allow nodes communicating over a non-secure network
 to prove their identity to one another in a secure manner.

Package: ruby-tins
Description-md5: 6aedad5ba3d478ab981373bbfa154fac
Description-en: useful tools library in Ruby
 All the stuff that isn't good/big enough for a real library.
 .
 A few sample tools:
  * Round - A bit more versatile rounding for Ruby.
  * Null - Implementation of the null object pattern in Ruby.
  * SecureWrite - Write to a file atomically.

Package: ruby-tioga
Description-md5: bb68dd87dc1f67a0f650aaa50d2d0e1e
Description-en: Ruby library for scientific graphs
 Tioga is a blend of PDF, pdfTex and ruby into a library to make
 scientific graphs of high quality. It is fairly complete and
 extensive, and comes with examples and (online) complete documentation.
 .
 Tioga is useful for scripting the generation of graphs, and comes
 with a configuration file for irb for interactive plotting of pictures.
 .
 A PDF viewer is strongly recommended if you want to make use of the
 tioga script, a wrapper around some of the library functionalities.

Package: ruby-tioga-doc
Description-md5: 0747d3b514d6cd4b02fd2f1a76c6c61a
Description-en: Ruby library for scientific graphs
 Tioga is a blend of PDF, pdfTex and ruby into a library to make
 scientific graphs of high quality. It is fairly complete and
 extensive, and comes with examples and (online) complete documentation.
 .
 Tioga is useful for scripting the generation of graphs, and comes
 with a configuration file for irb for interactive plotting of pictures.
 .
 This package provides the rdoc documentation generated from tioga's
 source. It includes a complete API reference and a fairly extensive
 tutorial.

Package: ruby-to-regexp
Description-md5: 408a1e2466854da7337323fda656ca12
Description-en: safe way to convert strings to regexps (with options)
 Provides String#to_regexp, for example if you want to make regexps out of a
 CSV you just imported.
 .
 Some features:
  - You can also treat strings as literal regexps.
  - If you need case insensitivity and you're using :literal, pass options
    like :ignore_case.
  - You can get the options passed to Regexp.new with #as_regexp.
  - Finally, you can be more lazy using :detect.

Package: ruby-tokyocabinet
Description-md5: ffb0da9486ab07645b012826716c29a3
Description-en: Ruby Binding of Tokyo Cabinet Database
 Tokyo Cabinet is an efficient database library like GDBM and NDBM.
 It features hash database and B+ tree database and is developed as the
 successor of QDBM, for the purpose of the following three points: higher
 processing speed, smaller size of a database file, and simpler API.

Package: ruby-toml
Description-md5: 3b88810080cd9a72700497b2177beec5
Description-en: TOML Parser library for Ruby
 TOML aims to be a minimal configuration file format that's easy to read
 due to obvious semantics. TOML is designed to map unambiguously to a
 hash table. TOML should be easy to parse into data structures in a wide
 variety of languages.
 .
 This package provides TOML parser for Ruby.

Package: ruby-toml-rb
Description-md5: d1fb76a29a3274a5853a0045d014147c
Description-en: Toml parser in ruby, for ruby
 A Toml parser using Citrus parsing library.
 .
 Citrus is a compact and powerful parsing library for Ruby that combines the
 elegance and expressiveness of the language with the simplicity and power of
 parsing expressions.

Package: ruby-tomlrb
Description-md5: 09f2170a2aafe6d1ccabcb12cd0f7996
Description-en: Racc based TOML parser library for Ruby
 A Racc based TOML (Tom's Obvious, Minimal Language) Ruby parser supporting the
 0.4.0 version of the spec.
 .
 TOML aims to be a minimal configuration file format that's easy to read due to
 obvious semantics. TOML is designed to map unambiguously to a hash table.

Package: ruby-tool
Description-md5: 033ea3467652c6cac51edbbe2698805e
Description-en: general purpose Ruby library used by Sinatra 2.0 and Mustermann
 This package provides the ruby gem tool, which is a general purpose Ruby
 library. It is used by Sinatra 2.0, Mustermann and other projects that are
 related to them.

Package: ruby-torquebox-no-op
Description-md5: 7d2347d3442279d3576a135c28392037
Description-en: emulate TorqueBox APIs outside of TorqueBox
 This gem allows you to run some TorqueBox applications outside of TorqueBox by
 emulating the APIs provided by TorqueBox. Its primary goal is to enable simple
 testing of applications that use TorqueBox APIs without having to test those
 applications inside TorqueBox itself.

Package: ruby-train-core
Description-md5: fecdc2ce86891387d5e00e3f22335b0e
Description-en: transport interface to talk to a selected set of backends (core)
 Train supports talking to local or remote operating systems and APIs with
 a unified interface. It supports executing commands, interacting with files,
 identifying the target operating system, and authenticating to API-based
 services and treating them like a platform.

Package: ruby-treetop
Description-md5: ac404c35a50c86cd6223ad01cda88f5f
Description-en: Ruby-based text parsing and interpretation DSL
 Treetop is a language for describing languages. It provides a Ruby
 implementation of a custom language based on parsing expression
 grammars (PEGs).
 .
 This package provides the Ruby library implementation of treetop.

Package: ruby-trollop
Description-md5: 151636140d934c22ebc7ae9c518850cf
Description-en: command-line argument processing library
 Trollop is YAFCLAP --- yet another fine commandline argument processor
 for Ruby. Trollop is designed to provide the maximal amount of GNU-style
 argument processing in the minimum number of lines of code (for you, the
 programmer).

Package: ruby-truncato
Description-md5: 2243c5fcd1aeced2175628de84c90452
Description-en: tool for truncating HTML strings efficiently
 Ruby tool for truncating HTML strings keeping a valid HTML markup.
 .
 Its main motivation was that existing libs couldn't truncate a multiple-MB
 document into a few-KB one in a reasonable time. It uses the Nokogiri SAX
 parser.

Package: ruby-ttfunk
Description-md5: 5b746335f8ebd17d9232d7372bca2d89
Description-en: Ruby library to parse TrueType font metrics
 TTFunk is a TrueType font parser written in pure Ruby.
 It gives access to various data included in a .ttf file, including the
 name, family, subfamily of the font, as well as some metrics information.
 .
 TTFunk can be used by Ruby PDF generation libraries, like ruby-prawn.

Package: ruby-tty-color
Description-md5: 4b4796322986ceec282d217c88cf719c
Description-en: terminal color capabilities detection
 This library provides terminal color capabilities detection.
 .
 This is part of the TTY components framework to easily build terminal
 applications in Ruby.

Package: ruby-tty-command
Description-md5: 981f13097a1e4f59eba3dda92640fd39
Description-en: execution from Ruby of shell commands with pretty output logging
 This Ruby library provides a mechanism to execute shell commands with pretty
 output logging and capture their stdout, stderr and exit status.
 .
 The standard input, output and error flows of each command can be redirected
 to a file or a string.

Package: ruby-tty-cursor
Description-md5: 4922094d6b9b6dc27541eb0ca2987155
Description-en: Library to help move the terminal cursor around and manipulate text
 tty-cursor makes it easy to programmatically move the cursor in a terminal
 without needing to know terminal control codes.
 .
 It is a component of the TTY Toolkit.

Package: ruby-tty-platform
Description-md5: 89abfc69907a1bc06c7dc78424f0f400
Description-en: query methods to detect operating systems and their properties
 This Ruby library provides methods for detecting different operating systems
 and their properties.
 .
 This is part of the TTY components framework to easily build terminal
 applications.

Package: ruby-tty-prompt
Description-md5: feacb003d8ba11cb4a0da6b9008f09d0
Description-en: Library that provides an interactive command line prompt
 This Ruby library helps build terminal applications that make use of a
 beautiful and powerful interactive command line prompt. It has a robust API
 for getting and validating complex inputs.
 .
 It is a component of the TTY Toolkit.

Package: ruby-tty-reader
Description-md5: e93e12305b600d8a9137e074c5c19609
Description-en: Library for processing keyboard input in character, line and multiline modes
 This pure Ruby library allows terminal applications to provide convenient text
 input. It maintains a history of entered input with an ability to recall and
 re-edit those inputs. It can listen for keystroke events and trigger custom key
 events.
 .
 It is a component of the TTY Toolkit.

Package: ruby-tty-screen
Description-md5: e350a6f5f19064c2dc961ce95af73b61
Description-en: Library providing cross-platform terminal screen size detection
 This library makes is possible for terminal applications to adjust to the
 terminal's size. The library works on Linux, OS X and Windows/Cygwin platforms
 and supports MRI, JRuby and Rubinius Ruby interpreters.
 .
 It is a component of the TTY Toolkit.

Package: ruby-tty-spinner
Description-md5: ea37e642b26c9e8be3028881dd5176d1
Description-en: Library for showing a spinner icon for terminal tasks
 tty-spinner provides a selection of different text-based animations that can
 be shown when the user is waiting on a task running in terminal that has
 non-deterministic time frame.
 .
 Those tasks will usually be waiting for some I/O, for example a download or a
 task that was requested from a service that will give an answer whenever the
 response is ready.

Package: ruby-tty-which
Description-md5: d0d19119b6aa1d42c347f71388275c7e
Description-en: platform-independent implementation of Unix which command
 This library provides a platform-independent analogue of Unix `which`
 command.
 .
 TTY::Which has a `which` method that searches set of directories for an
 executable file based on the `PATH` environment variable, which returns
 an absolute path if the executable program exists, and `nil` otherwise.
 .
 This is part of the TTY components framework to easily build terminal
 applications in Ruby.

Package: ruby-turbolinks
Description-md5: 423fcb578f55f1a7e75e8a5404019025
Description-en: following links in your Rails web application faster
 Turbolinks makes following links in your Rails web application faster. Instead
 of letting the browser recompile the JavaScript and CSS between each page
 change, it keeps the current page instance alive and replaces only the body
 and the title in the head. Think CGI vs persistent process.
 .
 This is similar to pjax, but instead of worrying about what element on the
 page to replace, and tailoring the server-side response to fit, the entire
 body is replaced. This means that you get the bulk of the speed benefits from
 pjax (no recompiling of the JavaScript or CSS) without having to tailor the
 server-side response. It just works.

Package: ruby-turbolinks-source
Description-md5: 21f54c71d845ea570c0b0ec46c746530
Description-en: Provides Turbolinks as a ruby gem
 Turbolinks makes navigating your web application faster. Get the performance
 benefits of a single-page application without the added complexity of a
 client-side JavaScript framework. Use HTML to render your views on the server
 side and link to pages as usual.

Package: ruby-twitter
Description-md5: 101eb310116055c99decace9f75d690f
Description-en: Ruby interface to the Twitter API
 This ruby library provides a convenient access to the Twitter API.
 This provides comprehensive methods to access the API. This library
 needs only a registration of your application with Twitter. It uses
 OAuth protocol for interacting with Twitter API.

Package: ruby-twitter-oauth
Description-md5: d007d0195437cbafc9c6897744f09cf0
Description-en: Twitter OAuth REST API client library for Ruby
 The twitter_oauth is REST API client library for Ruby.

Package: ruby-twitter-stream
Description-md5: 92e0580dddc5e056731e99c459ae0282
Description-en: Twitter realtime API client for Ruby
 This package provides a simple Ruby client library for Twitter's streaming
 API. The library uses EventMachine to handle connections and adheres to
 Twitter's reconnection guidelines. The library can only use the JSON format.

Package: ruby-twitter-text
Description-md5: 6865be61267aa097dee7ae53a65a7e96
Description-en: library that does auto linking and extraction items in tweets
 This library provides text processing routines for Twitter Tweets. The major
 reason for this is to unify the various auto-linking and extraction of
 usernames, lists, hashtags and URLs.
 .
 Learn more about its usage at /usr/share/doc/ruby-twitter-text/README.rdoc

Package: ruby-typed-array
Description-md5: e8bf55a069d483424386b8fd1263a7a8
Description-en: Ruby library providing enforced-type functionality to Arrays
 All methods that alter the contents of an array that implements this Gem are
 first checked to ensure that the added items are of the types allowed. All
 methods behave exactly as their Array counterparts, including additional
 forms, block processing, etc.

Package: ruby-typhoeus
Description-md5: f89549fad21484bf830ddb0331a76f68
Description-en: parallel HTTP library on top of ethon
 Typhoeus is a HTTP client library based on Ethon which wraps libcurl.
 Sitting on top of libcurl makes Typhoeus very reliable and fast.
 .
 Like a modern code version of the mythical beast with 100 serpent heads,
 Typhoeus runs HTTP requests in parallel while cleanly encapsulating handling
 logic.

Package: ruby-tzinfo
Description-md5: 762c2a6a3dfe053247f5ea183f71c2df
Description-en: Daylight-savings aware timezone library
 TZInfo is a Ruby library that uses the standard tz (Olson) database
 to provide daylight savings aware transformations between times
 in different time zones.

Package: ruby-u2f
Description-md5: 34dbf0790a51c5bb21e43629342d997f
Description-en: U2F server side library
 Library for handling registration and authentication of U2F devices. Provides
 functionality for working with the server side aspects of the U2F protocol as
 defined in the FIDO specifications.
 .
 U2F is an open 2-factor authentication standard that enables keychain devices,
 mobile phones and other devices to securely access any number of web-based
 services — instantly and with no drivers or client software needed.

Package: ruby-uber
Description-md5: 7e556b310ba3c78245042818c54d38b9
Description-en: gem-authoring framework
 This library provides gem-authoring tools like generic builders, dynamic
 options and more.
 .
 This library is a dependency of Representable, which renders and parses
 JSON/XML/YAML documents from and to Ruby objects.

Package: ruby-uconv
Description-md5: 8dc53f26b6b458567a95791a41c922b0
Description-en: Unicode/EUC-JP translation module for Ruby
 The encoding translation module for the object-oriented scripting language
 Ruby 1.8. Supported character encodings are UCS-4, UTF-16, UTF-8, EUC-JP
 and CP932 (a variant of Shift_JIS for Japanese Windows). This cannot
 detect character encoding automatically.

Package: ruby-uglifier
Description-md5: 20ec93224e20a66f4cef182541a9d7e3
Description-en: Ruby wrapper for UglifyJS JavaScript compressor
 ruby-uglifier is a wrapper for the UglifyJS JavaScript compressor, which is
 used by other packages (e.g., the Rails 3 and 4 asset pipelines) to compress
 Javascript files.

Package: ruby-unf
Description-md5: 256a7aac8006ca7b657a8b434ddb222c
Description-en: Wrapper library to bring Unicode Normalization Form support to Ruby
 This library allows code to be portable between Ruby implementations,
 using 'unf_ext' under CRuby and 'java.text.Normalizer' on JRuby. It
 normalizes UTF-8 strings into and from NFC, NFD, NFKC or NFKD

Package: ruby-unf-ext
Description-md5: ac568bbf3ede429f10c939de20f311d2
Description-en: Unicode Normalization Form support library for CRuby
 ruby-unf_ext is an unicode normalization library for CRuby.  It provides
 classes for normalizing UTF-8 strings into and from NFC, NFD, NFKC, NFKD. The
 results is compliant with Unicode 6.0.

Package: ruby-unicode
Description-md5: 48f81e23b93767d211e2e531e945b22a
Description-en: Unicode string manipulation library for Ruby
 This library includes functionality for Unicode string manipulation.
 .
 This library is based on UAX #15 Unicode Normalization Forms.
 .
 http://www.unicode.org/unicode/reports/tr15/

Package: ruby-unicode-display-width
Description-md5: 595e3bfad18b82ca031f40d0ba70ecef
Description-en: Determines the monospace display width of a string in Ruby
 When printed to the screen, the length of the string is usually equal to the
 number of cells it occupies. However, there are categories of characters that
 occupy 2 cells (full-wide), and others that occupy 0. This library provides
 functions similar to wcwidth() and wcswidth() known from C, to determine the
 monospace display width of a string.

Package: ruby-unicode-plot
Description-md5: c0d6479b4990da2ddf6846ed3c58bf04
Description-en: Plot your data by Unicode characters
 UnicodePlot provides the feature to make charts with Unicode characters.
 .
 Supported charts: barplot, boxplot, densityplot, histogram, lineplot,
 scatterplot.

Package: ruby-unicode-utils
Description-md5: 012ddc7ed661f85684bda3e43f6a0a51
Description-en: additional Unicode aware functions for Ruby
 UnicodeUtils implements Unicode algorithms for case conversion, normalization,
 text segmentation and more in pure Ruby code.

Package: ruby-unicorn-worker-killer
Description-md5: ebe01853c77656d6749b8b61eac2a3c1
Description-en: library to kill unicorn workers by memory and request counts
 unicorn-worker-killer gem provides automatic restart of Unicorn workers based
 on
 1. max number of requests, and
 2. process memory size (RSS)
 without affecting any requests.
 .
 This will greatly improves site's stability by avoiding unexpected memory
 exhaustion at the application nodes.

Package: ruby-unidecode
Description-md5: 7427db4b2ea7f595dc6a7184231cdb09
Description-en: Transliteratively convert UTF-8 text to US-ASCII
 unidecode is a Ruby library for transliterating converting UTF-8 strings to
 plain ASCII representations.
 .
 This gem is a Ruby port of Perl library Text::Unidecode.
 The same caveats, notes, and constraints listed there apply here though the
 Ruby implementation here is slightly different, specifically the addition of
 String#to_ascii.

Package: ruby-unindent
Description-md5: 8299be0406ee73bbb0d347c2546ac17b
Description-en: Ruby method to unindent strings
 Ruby method to unindent strings. Useful for multiline strings embedded in
 already indented code.

Package: ruby-unleash
Description-md5: c94ae59a7da92cebb4f13b0aa8d9952c
Description-en: Unleash feature toggle client
 This is the ruby client for Unleash, a powerful feature toggle system that
 gives a great overview over all feature toggles across all the applications
 and services.

Package: ruby-upr
Description-md5: b8db1e5a99ee7bb723fbd2d1d4a8cfd4
Description-en: Upload Progress for Rack
 upr is Rack middleware that allows browser-side upload progress
 monitoring. It is based on (and should be client-side compatible with)
 several upload progress modules including ones used by leading web
 servers. It allows any Moneta backing store in addition to DRb.

Package: ruby-url-safe-base64
Description-md5: 5fd9e0cbc340bff2e00f5e0bb92f8c1c
Description-en: converteri for strings that contains only url-safe characters
 Converts strings to/from a slightly modified base64 that contains only
 url-safe characters

Package: ruby-user-agent-parser
Description-md5: f2149416b59e04811d03c60b5ac9814c
Description-en: Simple and comprehensive Ruby gem for parsing user agent strings
 This Ruby gem is simple and comprehensive for parsing user agent strings with
 the help of BrowserScope's UA database.
 .
 The ua-parser database is included via git submodule. To update the database
 the submodule needs to be updated and the gem is re-released, the gem includes
 a user_agent_parser bin command which will read from standard input, and parse
 each line and prints the results.

Package: ruby-useragent
Description-md5: 895d34427c34772e2fb1bcfc7c65ddb1
Description-en: HTTP User Agent parser
 UserAgent is a Ruby library that parses and compares different HTTP User
 Agents. Some of useragents it uses are edge, chrome, gecko, opera, vivaldi,
 webkit, iron.

Package: ruby-uuid
Description-md5: 320132dbe29aabd0bc870ee32d794f39
Description-en: UUID generator for Ruby
 UUID generator for producing universally unique identifiers based on RFC 4122.
 These identifiers are useful in distributed applications like diaspora.
 .
 A UUID is 128 bit long, and consists of a 60-bit time value, a 16-bit
 sequence number and a 48-bit node identifier.
 .
 To guarantee that UUIDs are unique across all machines in the network,
 the IEEE 802 MAC address of the machine's network interface card is used as
 the node identifier.
 .
 Learn more about using this library from /usr/share/ruby-uuid/README.rdoc

Package: ruby-uuidtools
Description-md5: dc06ac6c22190c05cbd9f3ba1673a72f
Description-en: UUIDs generation library for Ruby
 UUIDTools was designed to be a simple library for generating any
 of the various types of UUIDs (or GUIDs if you prefer to call
 them that). It conforms to RFC 4122 whenever possible.

Package: ruby-uuidtools-doc
Description-md5: 3989b97f5a3dcabd3663578980a32b93
Description-en: UUIDs generation library for Ruby - documentation
 UUIDTools was designed to be a simple library for generating any
 of the various types of UUIDs (or GUIDs if you prefer to call
 them that). It conforms to RFC 4122 whenever possible.
 .
 This is the Rdoc-generated documentation for the UUIDTools API.

Package: ruby-vagrant-cloud
Description-md5: 7471799ffef80ac99196c093654860d4
Description-en: Vagrant Cloud API Library
 This package provides a client library that allows one to create, modify and
 delete boxes, versions and providers in the Vagrant cloud. The main
 entry point is an object referencing a Vagrant cloud account.

Package: ruby-valid
Description-md5: 77efa6431fb72be8cd8a7afbe264ec68
Description-en: standalone, generic object validator for ruby
 Validator is a simple ruby validation class. You don't use it directly inside
 your classes like just about every other ruby validation class out there. This
 is implemented in this way so you don't automatically pollute the
 namespace of the objects you want to validate.
 .
 This also solves the problem of validating forms very nicely. Frequently you
 will have a form that represents many different data objects in your system,
 and you can pre-validate everything before doing any saving.

Package: ruby-validatable
Description-md5: 6e307250d3d9db210ff9128c5e7a65ad
Description-en: Ruby library for adding validations
 The validatable library can be included with any Ruby class and
 provide ActiveRecord looking validations. The library follows
 ActiveRecord's lead for features that are similar and introduce new
 features.

Package: ruby-validate-email
Description-md5: b4b7640b91d5c87197082eab55cc86ac
Description-en: Library for validating email addresses in Rails 3 models
 ruby-validate-email gem adds the capability of validating email addresses
 to ActiveModel.The gem only supports Rails 3 (has dependencies in
 ActiveModel and ActiveSupport 3.0)

Package: ruby-validate-url
Description-md5: 2dce309380970e0dc01ee43f48dedacc
Description-en: Library for validating urls in Rails
 ruby-validate-url gem adds the capability of validating
 URLs to ActiveRecord(a framework to work with databases on Rails)
 and ActiveModel(a toolkit for building modeling frameworks) (Rails 3).

Package: ruby-validates-hostname
Description-md5: 141a1096b2d979eca86131db5a413d03
Description-en: checks for valid hostnames
 This package provides the gem validates_hostname. This gem is basically an
 extension to ActiveRecord::Base for validating hostnames. The gem can be used
 with ActiveRecord objects to check whether a provided hostname is valid or
 not.

Package: ruby-varia-model
Description-md5: f373f5ce3eef39a884dfa48e6a1f1c53
Description-en: Ruby library that provide objects with magic attribute features
 varia_models builds on Hashie library features to provide a simple mixin
 to allow Ruby objects to have "magic attribute" reading and writing
 features.

Package: ruby-vcr
Description-md5: a55c4555e417aec44b291c7f5818fcde
Description-en: Record and replay HTTP interactions (Ruby library)
 Record your test suite's HTTP interactions and replay them during future test
 runs for fast, deterministic, accurate tests.
 .
  * Automatically records and replays your HTTP interactions with minimal
    setup/configuration code.
  * Supports and works with the HTTP stubbing facilities of multiple libraries.
    Currently, the following are supported:
    * WebMock
    * Typhoeus
    * Faraday
    * Excon
  * Supports multiple HTTP libraries:
    * Patron (when using WebMock)
    * Curb (when using WebMock -- only supports Curl::Easy at the moment)
    * HTTPClient (when using WebMock)
    * em-http-request (when using WebMock)
    * Net::HTTP (when using WebMock)
    * Typhoeus (Typhoeus::Hydra, but not Typhoeus::Easy or Typhoeus::Multi)
    * Excon
    * Faraday
    * And of course any library built on Net::HTTP, such as Mechanize, HTTParty
      or Rest Client
  * Request matching is configurable based on HTTP method, URI, host, path, body
    and headers, or you can easily implement a custom request matcher to handle
    any need.
  * The same request can receive different responses in different tests--just
    use different cassettes.
  * The recorded requests and responses are stored on disk in a serialization
    format of your choice (currently YAML and JSON are built in, and you can
    easily implement your own custom serializer) and can easily be inspected and
    edited.
  * Dynamic responses are supported using ERB.
  * Optionally re-records cassettes on a configurable regular interval to keep
    them fresh and current.
  * Disables all HTTP requests that you don't explicitly allow.
  * Simple Cucumber integration is provided using tags.
  * Includes convenient RSpec macros and integration with RSpec 2 metadata.
  * Known to work well with many popular Ruby libraries including RSpec 1 & 2,
    Cucumber, Test::Unit, Capybara, Mechanize, Rest Client and HTTParty.
  * Includes Rack and Faraday middleware.

Package: ruby-version-sorter
Description-md5: 79e850a799bdd1d8de174cfd91a1bfcc
Description-en: Fast sorting of version strings
 Used to sort version strings faster. It is used to sort a repository's
 tags. It is used as follows
 require 'version_sorter'
 versions = %w( 1.0.9 2.0 1.0.10 1.0.3 )
 VersionSorter.rsort(versions) # => ["2.0", "1.0.10", "1.0.9", "1.0.3"]
 VersionSorter.sort(versions)  # => ["1.0.3", "1.0.9", "1.0.10", "2.0"]

Package: ruby-versionist
Description-md5: ef4e53b13a5cae4244bc718cdf90ffc9
Description-en: version for Rails based RESTful APIs
 A plugin for versioning Rails based RESTful APIs.
 Versionist includes Rails generators for generating new versions of your API
 as well as new components within an existing version.

Package: ruby-versionomy
Description-md5: 579d0c8a56089e738bc04dbdd477bf1c
Description-en: Generalized version number class for Ruby
 Versionomy is a generalized version number library. It provides tools to
 represent, manipulate, parse, and compare version numbers in the wide variety
 of versioning schemes in use.

Package: ruby-vips
Description-md5: ecf83a71649d0f57b8f09dece08705aa
Description-en: Ruby extension for the vips image processing library
 This package provides a binding for the vips image processing library.
 It is extremely fast and it can process huge images without loading the
 whole image in memory.

Package: ruby-virtus
Description-md5: 4b23f41b91f6f2e32b88ea2f68551203
Description-en: attributes on steroids for plain old Ruby objects
 The goal of this Ruby library is to provide a common API for defining
 attributes on a model so all object relational and object document mappers
 could use it instead of reinventing the wheel all over again.
 .
 It is also suitable for any other use case where Ruby objects need to be
 extended with attributes that require data coercions.
 .
 This is a partial extraction of the DataMapper property API.

Package: ruby-vmstat
Description-md5: c32f65531eb6b94d58766958dfc48727
Description-en: library to gather system information
 A focused and fast ruby library that gather system information about memory
 (free, active), network(name, in bytes, out bytes), CPU(user, system, idle),
 load average, disk.

Package: ruby-voight-kampff
Description-md5: 2d40663506a3c3724f7f99d727ad768c
Description-en: detects bots, spiders, crawlers and replicants
 Voight-Kampff relies on a user agent list for its detection. It can
 easily tell you if a request is coming from a crawler, spider or bot.
 .
 This can be especially helpful in analytics such as page hit tracking.

Package: ruby-warden
Description-md5: 97e71a7a1728213c4dbb9d7d0c1c1db9
Description-en: Rack middleware that provides authentication for rack applications
 Warden is a Rack-based middleware, designed to provide a mechanism for
 authentication in Ruby web applications. It is a common mechanism that
 fits into the Rack Machinery to offer powerful options for authentication.
 .
 Warden is designed to be lazy. That is, if you don't use it, it doesn't
 do anything, but when you do use it, it will spring into action and
 provide an underlying mechanism to allow authentication in any
 Rack-based application.

Package: ruby-wavefile
Description-md5: 8714c0ff93e9bf1b87509d5a308747b7
Description-en: Pure Ruby library for reading and writing Wave sound files (*.wav)
 You can use this gem to create Ruby programs that produce audio.
 Since it is written in pure Ruby (as opposed to wrapping an
 existing C library), you can use it without having to compile a
 separate extension.

Package: ruby-web-console
Description-md5: dfe06a94694a83c6776045987d876939
Description-en: Ruby on Rails debugger
 The web console allows you to create an interactive Ruby session in your
 browser. Those sessions are launched automatically in case on an error, but
 they can also be launched manually in any page.
 .
 web-console is meant a debugging tool for Rails applications in development
 environments.

Package: ruby-webfinger
Description-md5: b3d3526b80e1683492048ce6694ed45e
Description-en: Ruby WebFinger client library
 Ruby WebFinger client library, following IETF WebFinger WG spec updates.
 .
 WebFinger is the core of OpenID Connect Discovery.

Package: ruby-webkit2-gtk
Description-md5: cd3a51fcc8c4e5cd5107285a3fba07a9
Description-en: WebKitGTK+ bindings for the Ruby language
 WebKitGTK+ is the GNOME platform port of the WebKit rendering engine.
 Offering WebKit’s full functionality through a set of GObject-based APIs,
 it is suitable for projects requiring any kind of web integration, from
 hybrid HTML/CSS applications to full-fledged web browsers, like Epiphany
 and Midori.
 .
 This package contains libraries for using WebKitGTK+ with GTK+ 3 and the Ruby
 language.

Package: ruby-webmock
Description-md5: 83ffa69d71660251c94341505328406f
Description-en: library for stubbing HTTP requests in Ruby
 WebMock allows stubbing HTTP requests and setting expectations on HTTP
 requests.
 .
 Features
 .
  * Stubbing HTTP requests at low http client lib level (no need to change
    tests when you change HTTP library).
  * Setting and verifying expectations on HTTP requests.
  * Matching requests based on method, URI, headers and body.
  * Smart matching of the same URIs in different representations (also encoded
     and non encoded forms).
  * Smart matching of the same headers in different representations.

Package: ruby-webpack-rails
Description-md5: 42ffd8d9a087b98786a3d6f9c9dcd31e
Description-en: Webpack & Rails integration made easier
 Production-tested, JavaScript-first tooling to use webpack within your Rails
 application.
 .
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 This allows using nodejs modules directly in rails applications without using
 wrappers like jquery-rails or rails-assets-jquery.

Package: ruby-webrobots
Description-md5: 7e26414cbd2d88b58ba3358ba9de19f5
Description-en: Library for creating robots.txt-aware web robots
 This library helps write robots.txt-compliant web robots in Ruby,
 based on Nokogiri's functionality.

Package: ruby-websocket
Description-md5: 2132cdb477ba2f7920b9371cdbfe973a
Description-en: Universal Ruby library to handle WebSocket protocol
 This library focuses on providing abstraction layer over
 WebSocket API instead of providing server or client
 functionality.

Package: ruby-websocket-driver
Description-md5: 5eab56d39f83c3858e59429a22caa702
Description-en: WebSocket protocol handler with pluggable I/O
 This module provides a complete implementation of the WebSocket
 protocols that can be hooked up to any TCP library. It aims to simplify
 things by decoupling the protocol details from the I/O layer, such that
 users only need to implement code to stream data in and out of it
 without needing to know anything about how the protocol actually works.
 .
 Think of it as a complete WebSocket system with pluggable I/O.

Package: ruby-websocket-extensions
Description-md5: 5a189c0f5c4bed41515da5dd3d5d4060
Description-en: Generic extension manager for WebSocket connections
 A minimal framework that supports the implementation of WebSocket extensions
 in a way that's decoupled from the main protocol. This library aims to allow a
 WebSocket extension to be written and used with any protocol library, by
 defining abstract representations of frames and messages that allow modules to
 co-operate.

Package: ruby-whenever
Description-md5: 2ab37ea38975fe157f0d0fd2fe9d3640
Description-en: Ruby library to abstract writing and deploying cron jobs
 whenever provides a clean ruby syntax for writing and deploying cron jobs. It
 provides commands to install and uninstall cronjobs, so for example an
 application can install its cronjobs when it starts, and uninstall them when
 it stops for maintaince.

Package: ruby-whitequark-parser
Description-md5: f85f9b737c8276798f6b59c07421d212
Description-en: Ruby parser written in pure Ruby
 parser is a production-ready Ruby parser written in pure Ruby. It
 recognizes as much or more code than Ripper, Melbourne, JRubyParser
 or ruby_parser, and is vastly more convenient to use.
 .
 Among its keys features are: it provides precise source location
 reporting, it has a documented AST format which is convenient to work
 with, it parses 1.8, 1.9, 2.0, 2.1, 2.2, 2.3, 2.4 and 2.5 syntax with
 backwards-compatible AST formats and also parses MacRuby and
 RubyMotion syntax extensions. It also has improved clang-like
 diagnostic messages with location information.
 .
 Since it's written in pure Ruby, runs on MRI 1.8.7 or >=1.9.2, JRuby
 and Rubinius, it has excellent test coverage and the source code is
 readable and well commented.
 .
 Not to be confused with ruby_parser gem from Ryan Davis, of seattle.rb
 Ruby user group.

Package: ruby-whitewash
Description-md5: c3e44e5c7febe75074a469c31bd0d882
Description-en: Whitelist-based HTML filter for Ruby
 This module allows Ruby programs to clean up any HTML document or fragment
 coming from an untrusted source and to remove all dangerous constructs that
 could be used for cross-site scripting or request forgery.

Package: ruby-wikicloth
Description-md5: 23b51b3d8ba9b78344a5e38094a99fbd
Description-en: MediaWiki markup language parser for Ruby
 A parser for the MediaWiki markup language for Ruby.
 .
 Supports:
  * Variables and Templates
  * Links
  * MediaWiki markup
  * Disabling parsing markup
  * References
  * HTML sanitization

Package: ruby-will-paginate
Description-md5: 0d5d96dea84126620ec37388e900d858
Description-en: Pagination for Rails
 The will_paginate library provides a simple, yet powerful and
 extensible API for pagination and rendering of pagination links in
 ActionView templates.
 .
 Pagination is just limiting the number of records displayed, showing
 a subset of them at any given view, and allowing you to move the
 displayed window. This plugin makes the whole process a breeze.
 .
 Although this package is aimed at being used from the Ruby on Rails
 framework, any Enumerable class can include WillPaginate, giving it
 this functionality.

Package: ruby-wirble
Description-md5: 6744c3493e13c35ed3e6dbde51ab56e4
Description-en: Extensions for the Ruby irb command line shell
 A handful of useful Irb features, including colorized results,
 tab-completion, history, a simple prompt, and several helper
 methods, all rolled into one easy to use package.

Package: ruby-wisper
Description-md5: 8562c8c8f408ded0e7cff40ba6f8d0a8
Description-en: micro library providing objects with Publish-Subscribe capabilities
 ruby-wisper can help you build message interchange between services or
 endpoints. Both synchronous (in-process) and asynchronous (out-of-process)
 subscriptions are supported. Connect objects based on context without
 permanence. It provides an alternative to ActiveRecord callbacks and
 Observers in Rails apps.

Package: ruby-xapian
Description-md5: 155416825fad5ae46c51ab424d398102
Description-en: Xapian search engine interface for Ruby
 This package provides Xapian Ruby bindings built for Ruby.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
 .
 The Xapian Ruby bindings provide an interface to the Xapian library from the
 Ruby programming language, allowing both indexing and retrieval operations.

Package: ruby-xdg
Description-md5: 2a4cecba6e60c7175d64149d01ed2b1e
Description-en: Ruby interface for using XDG directory standard
 XDG provides a module for supporting the XDG Base Directory.
 Presently, it only supports the XDG Base Directory Standard.
 .
 If your program utilizes user or system-wide support files
 (e.g. configuration files), you owe it to yourself to checkout
 the XDG base directory standard.
 .
 You can learn more about the standard at:
 http://standards.freedesktop.org/basedir-spec/basedir-spec-latest.html

Package: ruby-xml-simple
Description-md5: d2b194e4238d48b9fec7e5eaee36a644
Description-en: Simple Ruby API for reading and writing XML
 Class XmlSimple offers an easy API to read and write XML. It is a Ruby
 translation of Grant McLean's Perl module XML::Simple.  Simply put, it
 automatically converts XML documents into a Ruby hash.

Package: ruby-xmlhash
Description-md5: 262406593fe5046fe7fb5756aee9314b
Description-en: parse a XML string into a ruby hash
 Ruby-xmlhash is a small C module that wraps libxml2's xmlreader to
 parse a XML string into a ruby hash.

Package: ruby-xmlhash-dbg
Description-md5: 3b5f1a558f23875a7dd66e37a2788762
Description-en: parse a XML string into a ruby hash (debug symbols)
 Ruby-xmlhash is a small C module that wraps libxml2's xmlreader to
 parse a XML string into a ruby hash.

Package: ruby-xmlparser
Description-md5: 6dd80b179279971550e103f47651d3b3
Description-en: Ruby interface for the expat XML parser toolkit
 This is the module to access to James Clark's XML Parser Toolkit "expat"
 <URL:http://www.jclark.com/xml/expat.html> from Ruby.

Package: ruby-xmmsclient
Description-md5: ef452a2ad26e2c16f84cb7ef39e4fe03
Description-en: XMMS2 - Ruby bindings
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a Ruby library that allows you to write XMMS2 clients.
 It's a language binding of libxmmsclient0.

Package: ruby-xpath
Description-md5: ddf3d52b5894d66d869ddcb4d4173675
Description-en: Ruby library to generate XPath expressions
 XPath is a Ruby DSL for generating XPath expressions.
 Its primary purpose is to facilitate writing complex
 XPath queries from Ruby code.

Package: ruby-ya2yaml
Description-md5: f7977e46877346abf22b210c13380208
Description-en: UTF8 safe YAML dumper
 Ya2YAML is "yet another to_yaml". It emits YAML document with complete
 UTF8 support (string/binary detection, "\u" escape sequences and Unicode
 specific line breaks).

Package: ruby-yajl
Description-md5: 817206f479b7cfc790e117a7a32081e3
Description-en: Ruby interface to Yajl, a JSON stream-based parser library
 Supported features include,
 .
 JSON parsing and encoding directly to and from an IO stream
 (file, socket, etc) or String. Compressed stream parsing and
 encoding supported for Bzip2, Gzip and Deflate.
 .
 Parse and encode *multiple* JSON objects to and from streams or strings
 continuously.
 .
 JSON gem compatibility API - allows yajl-ruby to be used as a drop-in
 replacement for the JSON gem
 .
 Basic HTTP client (only GET requests supported for now) which parses JSON
 directly off the response body *as it's being received*

Package: ruby-yaml-db
Description-md5: a4f48a7318c66196772d2309338dafc4
Description-en: library to export/import of database into/from yaml files
 YamlDb is a database-independent format for dumping and restoring
 data from any database that has an ActiveRecord adapter.
 .
 This can be used as a replacement for mysqldump or pg_dump, but only
 for the databases typically used by Rails apps.  Users, permissions,
 schemas, triggers, and other advanced database features are not
 supported - by design.

Package: ruby-yell
Description-md5: c87ac4927f55655531d24abccb96c681
Description-en: Your Extensible Logging Library for Ruby
 Define multiple adapters, various log level combinations or message formatting
 options like you've never done before.
 .
 Yell works and is tested with ruby 1.8.7, 1.9.x, 2.0.0, jruby 1.8 and
 1.9 mode, rubinius 1.8 and 1.9 as well as ree.

Package: ruby-zeitwerk
Description-md5: af4b41bdffd25268c8cf104ee9933b63
Description-en: Efficient and thread-safe constant autoloader
 Zeitwerk implements constant autoloading with Ruby semantics. Each gem
 and application may have their own independent autoloader, with its own
 configuration, inflector, and logger. Supports autoloading, preloading,
 reloading, and eager loading.

Package: ruby-zentest
Description-md5: ff721d5c8232819bbac79fc87d79afbe
Description-en: ZenTest provides 4 different tools: zentest, unit_diff, autotest, and multiruby
 zentest scans your target and unit-test code and writes your missing
 code based on simple naming rules, enabling XP at a much quicker pace.
 zentest only works with Ruby and Minitest or Test::Unit. There is
 enough evidence to show that this is still proving useful to users, so
 it stays.
 .
 unit_diff is a command-line filter to diff expected results from
 actual results and allow you to quickly see exactly what is wrong.
 Do note that minitest 2.2+ provides an enhanced assert_equal obviating
 the need for unit_diff
 .
 autotest is a continuous testing facility meant to be used during
 development. As soon as you save a file, autotest will run the
 corresponding dependent tests.
 .
 multiruby runs anything you want on multiple versions of ruby. Great
 for compatibility checking! Use multiruby_setup to manage your
 installed versions.

Package: ruby-zip
Description-md5: f1b0b4db4514d5e75e10678e266a3e69
Description-en: Ruby module for reading and writing zip files
 rubyzip is a ruby library for reading and writing zip (pkzip format)
 files, with the restriction that only uncompressed and deflated zip
 entries are supported. All this library does is handling of the zip
 file format. the actual compression/decompression is handled by
 zlib. zlib is accessible from ruby thanks to ruby/zlib

Package: ruby-zip-zip
Description-md5: 76954022520ea71f7e951929cd2dd207
Description-en: ease the migration to RubyZip v1.0.0
 So you've upgraded a gem dependency that requires RubyZip v1.0.0 or higher.
 While all your other dependencies use the interface provided by v0.x.
 .
 zip-zip provides a simple adapter to let all your dependencies use RubyZip
 v1.0.0. It is very simple and light weight, aliasing the old class names
 to the new.

Package: ruby-zoom
Description-md5: 376a8e5bc7441794b4d54d9b655d58ec
Description-en: Ruby/ZOOM provides a Ruby binding to the Z40.50 Object-Orientation Model
 Ruby/ZOOM provides a Ruby binding to the Z39.50 Object-Orientation Model
 (ZOOM), an abstract object-oriented programming interface to a subset of
 the services specified by the Z39.50 standard, also known as the
 international standard ISO 23950.
 .
 Z39.50 is a client-server protocol for searching and retrieving
 information from remote computer databases.  It is covered by ANSI/NISO
 standard Z39.50, and ISO standard 23950.  The standard's maintenance
 agency is the Library of Congress.

Package: rumur
Description-md5: 40129f0478a9f84f22c5aaa201b1917a
Description-en: model checker for the Murphi language
 Rumur is a model checker for use in the formal verification of finite state
 machines specified in the Murphi modelling language. It is based on a previous
 tool, CMurphi, and attempts to provide an approximate drop-in replacement for
 CMurphi.
 .
 Rumur works by reading an input file describing a collection of state variables
 and transition rules, from which it generates a C program to verify safety and
 security properties of this state machine. The generated verifier works by
 exhaustively exploring the state space, checking for violation of invariants or
 deadlocks.
 .
 In comparison to CMurphi, Rumur generates a verifier that runs significantly
 faster and uses less memory on large input problems. Rumur comes with an
 optional wrapper script, rumur-run, that streamlines the process of generating
 a verifier, compiling it, and then running it. This wrapper requires Python.

Package: runawk
Description-md5: 648f8303a32bb1c4a78e95d4790c6847
Description-en: wrapper for AWK interpreter implementing modules
 RunAWK is a small wrapper for AWK interpreter that implements module system
 (similar to Perl's "use" command) and helps in writing standalone AWK
 programs.
 .
 This package also provides about a dozen of modules implementing most
 common string, array and system function.

Package: runcircos-gui
Description-md5: b9a789fa4424c34b11d172c30fcc2a17
Description-en: GUI tool to run circos
 runCircos-gui is a simple yet comprehensive crossplatform software to
 execute Circos from a graphical user interface. The software eliminates
 the need to use command line for running Circos without compremising the
 power of complete commandline parameters and options.
 .
 runCircos-gui optimize running parameters (both toggle options & options
 with arguments) and install Perl packages without using command line.

Package: rungetty
Description-md5: 8ed382c887d7930021aa1be962cc55d7
Description-en: minimal console getty that can run any process
 rungetty might be the getty you were looking for.  It is able to run any
 program, not just login. If a different program than login is used it usually
 is run as nobody:nogroup, or the user/group specified on the commandline.
 rungetty can even be configured to autologin, under certain circumstances.
 See the manual page for more information.
 .
 You have to change some lines in /etc/inittab for having any effect after
 installing the package.  rungetty is based on mingetty and therefore not
 suitable for serial use.

Package: runit
Description-md5: af208d06f3efd0f3daa9baafd0c83ec0
Description-en: system-wide service supervision
 runit is a collection of tools to provide system-wide service supervision
 and to manage services.  Contrary to sysv init, it not only cares about
 starting and stopping services, but also supervises the service daemons
 while they are running.  Amongst other things, it provides a reliable
 interface to send signals to service daemons without the need for pid-files,
 and a log facility with automatic log file rotation and disk space limits.
 .
 runit service supervision can run under sysv init or replace the init
 system completely.  Complete init replacement needs to be done by hand
 (see https://bugs.debian.org/861536)

Package: runit-helper
Description-md5: 7bbefdc3a57b90901b57fd09d0813baa
Description-en: dh-runit implementation detail
 runit-helper provides code, which actually perform actions on system
 users on behalf of dh-runit package. This separation allows packages
 take advantage of improvement or fixes in 'dh-runit' without
 rebuilding.
 .
 This package is implementation detail of 'dh-runit'. It should never
 be installed manually. No assumption about its content can be made.

Package: runit-systemd
Description-md5: 314c2793773b73bbe07bae410765f1f1
Description-en: system-wide service supervision (systemd integration)
 runit is a collection of tools to provide system-wide service supervision
 and to manage services.  Contrary to sysv init, it not only cares about
 starting and stopping services, but also supervises the service daemons
 while they are running.  Amongst other things, it provides a reliable
 interface to send signals to service daemons without the need for pid-files,
 and a log facility with automatic log file rotation and disk space limits.
 .
 This package provides service file to start runit supervision via systemd.

Package: runlim
Description-md5: c4380d5a5e68f532210da580a45bcfcd
Description-en: tool for sampling time and memory usage
 This package contains runlim, a tool for sampling time and memory
 usage of a program and its children using the proc file system of
 Linux. Time and space limits are also supported. It is very helpful
 for benchmarking and running competitions. It also supports limits on
 wall clock time and thus can control runs of multi-threaded programs
 on multi-core machines as well.

Package: runoverssh
Description-md5: 92fd331aa465c8657242d92203974732
Description-en: POSIX-compliant shell script for running shell commands and scripts over SSH
 This program runs a Bash command/script over ssh in one or more hosts
 Feature
 .
  - ask one global password for all connections
  - read commands from a script file instead
  - use the list of hosts from a file
  - use ssh directly instead of sshpass
  - save ssh output
  - disable ssh screen output
  - set custom ssh flags
  - set custom bash flags
  - save ssh output to a custom file

Package: runsnakerun
Description-md5: 54f7ffd5763d13a1e016848839a33223
Description-en: GUI utility for (Python) cProfile or Profile profiler dumps
 RunSnakeRun is a small GUI utility that allows you to view (Python) cProfile or
 Profile profiler dumps in a sortable GUI view.
 .
 It allows you to explore the profiler information using a "square map"
 visualization or sortable tables of data.

Package: rusers
Description-md5: ef4c83dccf73e6cde13512dd49329d96
Description-en: Displays who is logged in to machines on local network
 The rusers command produces output similar to who, but for the list of hosts
 or all machines on the local network.  For each host responding to the rusers
 query, the hostname with the names of the users currently logged on is printed
 on each line.  The rusers command will wait for one minute to catch late
 responders.

Package: rusersd
Description-md5: 7e7257b73a1544846657c9e06bd4af29
Description-en: Logged in users server
 This is a server which returns information about users currently logged in to
 the system.

Package: rush
Description-md5: eccfa30aa745727208dd6349d2fca244
Description-en: restricted user shell
 GNU Rush is a restricted shell designed for sites providing only
 limited access to resources for remote users. The main binary
 executable is configurable as a user login shell, intended for
 users that only are allowed remote login to the system at hand.
 .
 A notification service can be implemented individually for each
 provided client service, using the TCPMUX support found within
 xinetd, or inetutils-inetd.
 .
 The standard use is to create access to a chrooted target directory,
 typically providing arbitrary combinations of scp, sftp, rsync,
 cvs, svn, and git. Each service may be further restricted in its
 capabilities. The administrator configures pattern matching rules
 for manipulating any incoming request.
 .
 The present restricted shell is an alternative to the well known
 "rssh" package, which provides similar capabilities.

Package: rust-doc
Description-md5: c9fc696f11bdef73526bdf4340964294
Description-en: Rust systems programming language - Documentation
 Rust is a curly-brace, block-structured expression language.  It
 visually resembles the C language family, but differs significantly
 in syntactic and semantic details.  Its design is oriented toward
 concerns of "programming in the large", that is, of creating and
 maintaining boundaries - both abstract and operational - that
 preserve large-system integrity, availability and concurrency.
 .
 It supports a mixture of imperative procedural, concurrent actor,
 object-oriented and pure functional styles.  Rust also supports
 generic programming and meta-programming, in both static and dynamic
 styles.
 .
 This package contains the Rust tutorial, language reference and
 standard library documentation.

Package: rust-gdb
Description-md5: 1afbf06d9ee3b7b85a98c81c15ed3109
Description-en: Rust debugger (gdb)
 Rust is a curly-brace, block-structured expression language.  It
 visually resembles the C language family, but differs significantly
 in syntactic and semantic details.  Its design is oriented toward
 concerns of "programming in the large", that is, of creating and
 maintaining boundaries - both abstract and operational - that
 preserve large-system integrity, availability and concurrency.
 .
 It supports a mixture of imperative procedural, concurrent actor,
 object-oriented and pure functional styles.  Rust also supports
 generic programming and meta-programming, in both static and dynamic
 styles.
 .
 This package contains pretty printers and a wrapper script for
 invoking gdb on rust binaries.

Package: rust-lldb
Description-md5: 4da7dd4330a7b317624f536076fd1b69
Description-en: Rust debugger (lldb)
 Rust is a curly-brace, block-structured expression language.  It
 visually resembles the C language family, but differs significantly
 in syntactic and semantic details.  Its design is oriented toward
 concerns of "programming in the large", that is, of creating and
 maintaining boundaries - both abstract and operational - that
 preserve large-system integrity, availability and concurrency.
 .
 It supports a mixture of imperative procedural, concurrent actor,
 object-oriented and pure functional styles.  Rust also supports
 generic programming and meta-programming, in both static and dynamic
 styles.
 .
 This package contains pretty printers and a wrapper script for
 invoking lldb on rust binaries.

Package: rust-src
Description-md5: 7c8d03ba583d96a9cc7a0dc63f208a52
Description-en: Rust systems programming language - source code
 Rust is a curly-brace, block-structured expression language.  It
 visually resembles the C language family, but differs significantly
 in syntactic and semantic details.  Its design is oriented toward
 concerns of "programming in the large", that is, of creating and
 maintaining boundaries - both abstract and operational - that
 preserve large-system integrity, availability and concurrency.
 .
 It supports a mixture of imperative procedural, concurrent actor,
 object-oriented and pure functional styles.  Rust also supports
 generic programming and meta-programming, in both static and dynamic
 styles.
 .
 This package contains sources of the Rust compiler and standard
 libraries, useful for IDEs and code analysis tools such as Racer.

Package: rustc
Description-md5: 67ca6080eea53dc7f3cdf73bc6b8521e
Description-en: Rust systems programming language
 Rust is a curly-brace, block-structured expression language.  It
 visually resembles the C language family, but differs significantly
 in syntactic and semantic details.  Its design is oriented toward
 concerns of "programming in the large", that is, of creating and
 maintaining boundaries - both abstract and operational - that
 preserve large-system integrity, availability and concurrency.
 .
 It supports a mixture of imperative procedural, concurrent actor,
 object-oriented and pure functional styles.  Rust also supports
 generic programming and meta-programming, in both static and dynamic
 styles.

Package: rustdoc-stripper
Description-md5: 4c38b26d7b23f3edd0a6d472150e0956
Description-en: Strip rustdoc comments from source code
 rustdoc-stripper is a tool used to remove rustdoc comments from your code and
 save them in a comments.cmts file if you want to regenerate them.
 .
 This package contains the following binaries built from the Rust crate
 "rustdoc-stripper":
  - rustdoc-stripper

Package: rusty-tags
Description-md5: 1b8bad0d86ecbd351ff2d23acbf99633
Description-en: generate tags for source code navigation for a cargo project
 rusty-tags is a command-line tool that creates tags for source code
 navigation using ctags for a cargo project, all of its direct and
 indirect dependencies and the Rust standard library.
 .
 This package contains the following binaries built from the Rust crate
 "rusty-tags":
  - rusty-tags

Package: rutilt
Description-md5: f1d8becaf509100d6d0ad5f609eed46b
Description-en: Configuration tool for rt2x00 wireless network cards
 RutilT is a Gtk+2 utility for Linux that helps you configure your wireless
 devices. Although primarily written for the rt2x00 project, it supports any
 Linux wireless extensions compliant kernel module.

Package: rviz
Description-md5: 45f3454c19541548e23afa7d8d01ad4b
Description-en: 3D visualization tool for Robot OS
 This package is part of Robot OS (ROS) RViz package. RViz is a tool to
 visualize ROS messages and the state of the robot.
 .
 This package contains the rviz program.

Package: rwall
Description-md5: 4f5eb3976785719a358bc101edb8a613
Description-en: Send a message to users logged on a host
 The rwall command sends a message to the users logged into the specified host.
 The message to be sent can be typed in and terminated with EOF or it can be in
 a file.

Package: rwalld
Description-md5: 63dfc399f6fd87d26d689a76bdb5040f
Description-en: Write messages to users currently logged in server
 rpc.rwalld is a server which will send a message to users currently logged in
 to the system.  This server invokes the wall(1) command to actually write the
 messages to the system.

Package: rwho
Description-md5: 42b7a3086700d4863106da7b0a9dba2c
Description-en: Clients to query the rwho server
 The rwho command produces output similar to who, but for all machines on
 the local network.  If no report has been received from a machine for 11
 minutes then rwho assumes the machine is down, and does not report users
 last known to be logged into that machine.
 .
 The ruptime command gives a status line like uptime for each machine on the
 local network; these are formed from packets broadcast by each host on the
 network once a minute.

Package: rwhod
Description-md5: bbd85ec40111b12fc3615b107b219c70
Description-en: System status server
 Rwhod is the server which maintains the database used by the rwho(1)
 and ruptime(1) programs.  Its operation is predicated on the ability to
 broadcast messages on a network.

Package: rxp
Description-md5: b8fb5af411478d2e0659456772b7fcc7
Description-en: Validating XML parser
 Rxp reads, parses, and can optionally validate XML.

Package: rxvt
Description-md5: 0832a454342bac6b359c841ba88f45b7
Description-en: dummy transitional package for rxvt-unicode
 This is a dummy transitional package transitioning rxvt to rxvt-unicode.
 Once you've migrated your session startup to not call /usr/bin/rxvt-*, it can
 be safely removed.

Package: rxvt-ml
Description-md5: 9cc293da309785c7b48b31bc61afd759
Description-en: dummy transitional package for rxvt-unicode
 This is a dummy transitional package transitioning rxvt-ml to rxvt-unicode.
 Once you've migrated your session startup to not call /usr/bin/?rxvt* anymore,
 it can be safely removed.

Package: rxvt-unicode
Description-md5: f01b478fbcb40979e88f622b717b03e0
Description-en: RXVT-like terminal emulator with Unicode and 256-color support
 rxvt-unicode is a modern, Unicode-aware color xterm replacement that uses
 significantly less memory than a conventional xterm and many other Unicode
 supporting terminal emulators.
 .
 It supports using multiple fonts at the same time, including Xft fonts, and
 client-server technology to reduce memory consumption when using multiple
 windows.
 .
 This package is configured with 256-color support, and TERM set to
 "rxvt-unicode-256color". Any other systems you log into must have this
 terminfo entry installed!

Package: rxvt-unicode-256color
Description-md5: 9e4cbdb04c33038d4d872c24a04e8b2e
Description-en: dummy transitional package for rxvt-unicode
 This is a dummy transitional package transitioning rxvt-unicode-256color to
 rxvt-unicode. It can safely be removed.

Package: rxvt-unicode-lite
Description-md5: 06785cd96c6019cb69dbe06cd08e7dfb
Description-en: dummy transitional package for rxvt-unicode
 This is a dummy transitional package transitioning rxvt-unicode-lite to
 rxvt-unicode. It can safely be removed.

Package: rygel-gst-launch
Description-md5: 7c87f750fa00a79e9cc97179dd5527bb
Description-en: GNOME UPnP/DLNA services - gst-launch plugin
 Rygel is a home media solution that allows you to easily share audio, video
 and pictures, and control of media player on your home network. In technical
 terms it is both a UPnP AV MediaServer and MediaRenderer implemented through
 a plug-in mechanism. Interoperability with other devices in the market is
 achieved by conformance to very strict requirements of DLNA and on the fly
 conversion of media to format that client devices are capable of handling.
 .
 This package provides a gst-launch plugin that enables using a
 custom GStreamer pipeline as a DLNA service/UPnP device.

Package: rygel-playbin
Description-md5: ee8ee080e5f0171b2581d0eba6bb1687
Description-en: GNOME UPnP/DLNA services - GStreamer Media Renderer plugin
 Rygel is a home media solution that allows you to easily share audio, video
 and pictures, and control of media player on your home network. In technical
 terms it is both a UPnP AV MediaServer and MediaRenderer implemented through
 a plug-in mechanism. Interoperability with other devices in the market is
 achieved by conformance to very strict requirements of DLNA and on the fly
 conversion of media to format that client devices are capable of handling.
 .
 This package contains the Playbin plugin which is a MediaRenderer plugin.
 With this installed Rygel can render (view/play) media locally from
 other network resources (MediaServers) through GStreamer playbin2.

Package: rygel-preferences
Description-md5: 59a375a7fcca9a417886f1c174b36fd0
Description-en: GNOME UPnP/DLNA services - preferences tool
 Rygel is a home media solution that allows you to easily share audio, video
 and pictures, and control of media player on your home network. In technical
 terms it is both a UPnP AV MediaServer and MediaRenderer implemented through
 a plug-in mechanism. Interoperability with other devices in the market is
 achieved by conformance to very strict requirements of DLNA and on the fly
 conversion of media to format that client devices are capable of handling.
 .
 This package provides a graphical tool to configure Rygel and set
 custom preferences. Normally you'd configure your sharing preferences
 with GNOME Control Center and not need this tool.

Package: rygel-ruih
Description-md5: c0087ee98633f8afebb7e31d448fdd00
Description-en: GNOME UPnP/DLNA services - Ruih plugin
 Rygel is a home media solution that allows you to easily share audio, video
 and pictures, and control of media player on your home network. In technical
 terms it is both a UPnP AV MediaServer and MediaRenderer implemented through
 a plug-in mechanism. Interoperability with other devices in the market is
 achieved by conformance to very strict requirements of DLNA and on the fly
 conversion of media to format that client devices are capable of handling.
 .
 This package provides a plugin implementing Remote User Interface Server.

Package: rygel-tracker
Description-md5: 5a6f8d7d9cfb17d01d6f1bb6e1a99573
Description-en: GNOME UPnP/DLNA services - Tracker plugin
 Rygel is a home media solution that allows you to easily share audio, video
 and pictures, and control of media player on your home network. In technical
 terms it is both a UPnP AV MediaServer and MediaRenderer implemented through
 a plug-in mechanism. Interoperability with other devices in the market is
 achieved by conformance to very strict requirements of DLNA and on the fly
 conversion of media to format that client devices are capable of handling.
 .
 This package provides a MediaServer plugin that exports user's media
 contents known to Tracker (http://projects.gnome.org/tracker).

Package: ryu-bin
Description-md5: 564143cbb2ecac8ca783c5eb9808c827
Description-en: software defined networking framework - ryu binary
 Ryu is a component-based software defined networking framework.
 Ryu provides software components with well defined API that make
 it easy for developers to create new network management and control
 applications. Ryu supports various protocols for managing network
 devices, such as OpenFlow, Netconf, OF-config, etc. About OpenFlow,
 Ryu supports fully 1.0, 1.2, 1.3, 1.4 and Nicira Extensions.
 .
 This package provides the Ryu manager.

Package: rzip
Description-md5: 8acfc9f78e265dc9f6c0302b166bd989
Description-en: compression program for large files
 rzip is a compression program able to take advantage of
 long distance redundancies in files, allowing greater
 compression ratios. rzip uses a history buffer of up to
 900MB, while gzip uses 32KB and bzip2 uses 900KB. rzip
 cannot read from the standard input or write to the
 standard output.
 .
 This package provides rzip and runzip commands.

Package: s-el
Description-md5: 2371f0d6d50741ac61b793f438ec26ba
Description-en: transitional dummy package, s-el to elpa-s
 This dummy package may be safely removed.

Package: s-nail
Description-md5: 03cd2ad714c52e3b66e646a7a50f7ea8
Description-en: feature-rich BSD mail(1)
 S-nail is a mail processing system with a command syntax reminiscent
 of ed(1) with lines replaced by messages. It is intended to provide
 the functionality of the POSIX mailx(1) command and offers (mostly
 optional) extensions for line editing, IDNA, MIME, S/MIME, SMTP and
 POP3 (and IMAP). It is usable as a mail batch language. S-nail is a
 derivative of Heirloom mailx, formerly known as nail, which
 itself is based upon Berkeley Mail that has a history back to the 70s.

Package: s-tui
Description-md5: 21ebe0719ab072615859634fff5997ff
Description-en: terminal UI for monitoring your computer
 s-tui allows monitoring of CPU temperature, frequency,
 power and utilization in a graphical way from the terminal.
 .
 It requires no X server and has built-in integration with
 stress/stress-ng.

Package: s3270
Description-md5: 1fcbd31530a9c73257a46ed105e11c54
Description-en: Program for scripted telnet sessions to IBM mainframes
 s3270 opens a telnet connection to an IBM mainframe host, then allows a
 script to control the host login session.
 .
 s3270 implements RFCs 2355 (TN3270E), 1576 (TN3270),
 and 1646 (LU name selection), and supports IND$FILE file transfer.

Package: s3backer
Description-md5: 7998e2ac898c9db1fcca96d3a93fc9bc
Description-en: Amazon AWS S3-backed virtual hard disk device
 s3backer is a filesystem that contains a single file backed by the Amazon
 Simple Storage Service (Amazon S3). The blocks of the file are stored
 as S3 objects. This way s3backer acts a virtual hard disk device.

Package: s3cmd
Description-md5: 08e7d9875f2367de96bad2a1d2281966
Description-en: command-line Amazon S3 client
 Command-line tool to upload, retrieve and manage data in Amazon S3 service
 (http://www.amazon.com/s3/), designed for use in scripts. Features:
  - creating and destroying S3 buckets
  - uploading and downloading files
  - listing remote files
  - removing remote files
  - synchronizing local directories to S3 buckets
  - getting various information about buckets and disk usage
 .
 s3cmd supports both (US and EU) S3 datacentres.

Package: s3curl
Description-md5: d6cc1502bcb0539c0f1f30aacb764595
Description-en: Easily interact with AWS S3 HTTP services
 Calculates the proper signature to interact with AWS S3 HTTP
 services, then calls cURL with the appropriate arguments.

Package: s3d
Description-md5: 51563c72776d53d2d64d5b5ad600406d
Description-en: 3d network display server
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides the display server.

Package: s3d-data
Description-md5: c84fa931ea31691a25bc876b279e3911
Description-en: 3d network display server data files
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package contains arch-independent files.

Package: s3d-doc
Description-md5: 54b66bd159f86c4179217ea2a6e5440f
Description-en: Documentation for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides documentation for s3d.

Package: s3dfm
Description-md5: 53542bee183962919cab0166e5dfc984
Description-en: s3d file manager
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a file manager for s3d.

Package: s3dosm
Description-md5: e262e0ae327e9e84b2a498b09e10bd55
Description-en: gps card application for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a gps card application for s3d.

Package: s3dvt
Description-md5: 53f881ec3064e38f3b4786e675dfc778
Description-en: 3d terminal emulator for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a 3d terminal emulator for s3d.

Package: s3dx11gate
Description-md5: dea2ada88b78cfbde6fba8dfc4a760c1
Description-en: 3d gateway for x11 desktops
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a 3d gateway for x11 desktops.

Package: s3fs
Description-md5: 840ef6e4565583c1b438255e26041cb7
Description-en: FUSE-based file system backed by Amazon S3
 s3fs is a FUSE filesystem that allows you to mount an Amazon S3 bucket
 as a local filesystem. It stores files natively and transparently in
 S3 (i.e., you can use other programs to access the same files).
 Maximum file size=64GB (limited by s3fs, not Amazon).
 .
 s3fs is stable and is being used in a number of production environments,
 e.g., rsync backup to s3.

Package: s3ql
Description-md5: 802b618d6fdb42256432fd134fd28154
Description-en: Full-featured file system for online data storage
 S3QL is a file system that stores all its data online using storage
 services like Google Storage, Amazon S3 or OpenStack. S3QL
 effectively provides a hard disk of dynamic, infinite capacity that
 can be accessed from any computer with internet access.
 .
 S3QL is a standard conforming, full featured UNIX file system that is
 conceptually indistinguishable from any local file
 system. Furthermore, S3QL has additional features like compression,
 encryption, data de-duplication, immutable trees and snapshotting
 which make it especially suitable for online backup and archival.
 .
 In addition to accessing online storage services directoly, S3QL can
 also store its data underneath a regular mount point. This enables
 the use of S3QL with e.g. NFS, CIFS or sftp servers.
 .
 S3QL is designed to favor simplicity and elegance over performance
 and feature-creep. Care has been taken to make the source code as
 readable and serviceable as possible. Solid error detection and error
 handling have been included from the very first line, and S3QL comes
 with extensive automated test cases.

Package: s3ql-dbg
Description-md5: 432a8d9c2a4867ce8e10c9b73173d9ee
Description-en: Full-featured file system for online data storage (debugging symbols)
 S3QL is a file system that stores all its data online using storage
 services like Google Storage, Amazon S3 or OpenStack. S3QL
 effectively provides a hard disk of dynamic, infinite capacity that
 can be accessed from any computer with internet access.
 .
 S3QL is a standard conforming, full featured UNIX file system that is
 conceptually indistinguishable from any local file
 system. Furthermore, S3QL has additional features like compression,
 encryption, data de-duplication, immutable trees and snapshotting
 which make it especially suitable for online backup and archival.
 .
 In addition to accessing online storage services directoly, S3QL can
 also store its data underneath a regular mount point. This enables
 the use of S3QL with e.g. NFS, CIFS or sftp servers.
 .
 S3QL is designed to favor simplicity and elegance over performance
 and feature-creep. Care has been taken to make the source code as
 readable and serviceable as possible. Solid error detection and error
 handling have been included from the very first line, and S3QL comes
 with extensive automated test cases.
 .
 This package contains the debugging symbols as well as the extension
 built for the Python 3 debug interpreter.

Package: s4cmd
Description-md5: 4fc646e192ea1215e4466778bdb5aae1
Description-en: Super Amazon S3 command line tool
 The s4cmd tool provides a command line interface for interaction with
 Amazon's S3 cloud storage service. It is intended as an alternative to
 the existing s3cmd tool, enhancing performance and support for large
 files, and coming with a number of additional features and fixes.
 .
 s4cmd strives to be compatible with the most common usage scenarios for
 s3cmd. It does not offer exact drop-in compatibility, due to a number of
 corner cases where different behavior seems preferable, or for bugfixes.

Package: s5
Description-md5: 7d0edfd286b967a335ccab4e4b5d5598
Description-en: simple HTML-based presentation system
 S5 is a slide show format based entirely on XHTML, CSS, and JavaScript.
 With one file, you can run a complete slide show and have a
 printer-friendly version as well. The markup used for the slides is
 very simple, highly semantic, and completely accessible. Anyone with
 even a smidgen of familiarity with HTML or XHTML can look at the markup
 and figure out how to adapt it to their particular needs. Anyone
 familiar with CSS can create their own slide show theme. It's totally
 simple, and it's totally standards-driven.
 .
 The name S5 stands for "Simple Standards-Based Slide Show System".

Package: s51dude
Description-md5: a212fd82f5268775e88095cbf557a194
Description-en: In-System Programmer for 8051 MCUs using usbtiny
 In-System programmer for Atmel's 8051 MCUs like the AT89S8252 and AT89S53.
 It needs the usbtinyisp board with a modified firmware.

Package: s6
Description-md5: 005ed5247266a36af749449d63966a42
Description-en: small and secure supervision software suite
 s6 is a small suite of programs for UNIX, designed to allow process
 supervision (a.k.a service supervision), in the line of daemontools and
 runit, as well as various operations on processes and daemons. It is meant
 to be a toolbox for low-level process and service administration, providing
 different sets of independent tools that can be used within or without the
 framework, and that can be assembled together to achieve powerful
 functionality with a very small amount of code.

Package: s6-doc
Description-md5: 4f7c84512a4e83a3388cff1ee2f30fb5
Description-en: small and secure supervision software suite (documentation)
 s6 is a small suite of programs for UNIX, designed to allow process
 supervision (a.k.a service supervision), in the line of daemontools and
 runit, as well as various operations on processes and daemons. It is meant
 to be a toolbox for low-level process and service administration, providing
 different sets of independent tools that can be used within or without the
 framework, and that can be assembled together to achieve powerful
 functionality with a very small amount of code.
 .
 This package contains documentation files.

Package: sa-exim
Description-md5: d5d03f13cf30db0236c2402f3465de01
Description-en: SpamAssassin filter for Exim
 SA-Exim integrates SpamAssassin filtering at SMTP time with the Exim
 v4 MTA. It may be used to reject incoming mails before they arrive, or
 even to tie up the sender's resources by slowing down the connection
 ("teergrubing").
 .
 Most of the functionality of this package can also be achieved
 using the exiscan ACL conditions built into the "heavy" Exim daemon,
 exim-daemon-heavy. Use this package if you need SpamAssassin's
 report_safe feature, or if you want to have easier control over the
 header fields added, without using the report template to add multiple
 fields.

Package: sabily-gdm-themes
Description-md5: 56f6c172472429cbbc8f5505c9375a56
Description-en: Sabily GDM themes
 The default GDM themes for Sabily. At the moment the package contains:
  * HumanME
  * Sabily-green
  * Shahada

Package: sabily-grub-artwork
Description-md5: 58e4da5ab862dac8573ab69ae8dcb73c
Description-en: Sabily artwork for GRUB2
 Sabily is a Linux distribution based on Ubuntu. It includes Islamic software
 (prayer times tool, Quran study tool, Hijri calendar etc.) and has a custom
 design.
 .
 This package contains Sabily artwork used by GRUB2 bootloader.

Package: sabily-themes
Description-md5: 5c74ae4437c39748aea02a57511ff2cf
Description-en: Sabily themes
 The default HumanME theme. At the moment the package contains:
  * The theme definitions
  * Metacity theme elements
  * The icon theme
  * green-human and HumanME GTK+ themes
  * Animated cursor themes
  .

Package: sabily-xsplash-artwork
Description-md5: 45465e834169833eb3883f90ed52638b
Description-en: Sabily artwork for xsplash
 Sabily artwork used by xsplash, a userspace application that uses the X
 interface to draw a splash screen at boot.

Package: sablecc
Description-md5: 203c660f69b10f5a6c06c6c62d04dd1b
Description-en: Object-oriented fully featured parser generator
 SableCC is a parser generator which generates fully featured
 object-oriented frameworks for building compilers, interpreters and
 other text parsers.
 .
 In particular, generated frameworks include intuitive strictly-typed
 abstract syntax trees and tree walkers. SableCC also keeps a clean
 separation between machine-generated code and user-written code.
 .
 This package also contains AntTask, a task to invoke SableCC on grammar
 files.

Package: sac
Description-md5: bef54344a64c03f12d6538af474a3c1d
Description-en: Login accounting
 Performs login accounting, just like the ac program but with
 totals, per day and per users.  Also performs average usage
 and hourly profiling. Tons of other options.

Package: sac2mseed
Description-md5: fa22f225176206c1c73d28d37d9ac101
Description-en: Convert SAC waveform data to MiniSEED
 SAC and MiniSEED are two commonly used formats for seismological data.
 .
 sac2mseed converts SAC waveform data to MiniSEED format. By default the
 format of the input files is automatically detected: alpha or binary
 (byte order autodetected).

Package: sacc
Description-md5: ec6997bf66d52e8b7f6ff4d89042c574
Description-en: simple terminal gopher client
 sacc(omys) is a terminal gopher client. It it written in C and meant
 to be simple, fast, and secure. It has no support for other protocols,
 however it will handle URIs and files that can't be opened natively by
 calling xdg-open.
 .
 Gopher is a simple protocol to retrieve hierarchical information.
 It is strongly oriented towards a menu-document design and presented an
 alternative to the World Wide Web in its early stages, but ultimately
 HTTP became the dominant protocol. Gopher is still in use by enthusiasts:
 a small population of actively-maintained servers remains.

Package: safe-rm
Description-md5: b63e9c6ece66f1ccdb308c0826be4649
Description-en: wrapper around the rm command to prevent accidental deletions
 This package provides a tool intended to prevent the accidental deletion
 of important files by replacing rm with a wrapper, which checks the
 given arguments against a configurable blacklist of files and directories
 that should never be removed.
 .
 Users who attempt to delete one of these protected files or directories will
 not be able to do so and will be shown a warning message instead.
 .
 Protected paths can be set both at the site and user levels.

Package: safecat
Description-md5: b0c654b7a1347ac7332d0fcce4850a49
Description-en: Safely copy stdin to a file
 Safecat is a program which implements Daniel Bernstein's maildir
 algorithm to copy stdin safely to a file in a specified directory.
 It can be used to write mail messages to a qmail-style maildir, or to
 write data to a "spool" directory reliably.

Package: safecopy
Description-md5: 0357e342b5dc17b9b323beead61e5104
Description-en: data recovery tool for problematic or damaged media
 Safecopy tries to get as much data from SOURCE as possible, even resorting
 to device specific low level operations if applicable. This is achieved by
 identifying problematic or damaged areas, skipping over them and continuing
 reading afterwards. The corresponding area in the destination file is either
 skipped (on initial creation that means padded with zeros) or deliberately
 filled with a recognizable pattern to later find affected files on a corrupted
 device. The work is similar to ddrescue, generating an image of the original
 media. This media can be floppy disks, harddisk partitions, CDs, DVDs, tape
 devices, where other tools like dd would fail due to I/O errors.
 .
 Safecopy uses an incremental algorithm to identify the exact beginning and
 end of bad areas, allowing the user to trade minimum accesses to bad areas
 for thorough data resurrection.
 .
 Multiple passes over the same file are possible, to first retrieve as much
 data from a device as possible with minimum harm, and then trying to retrieve
 some of the remaining data with increasingly aggressive read attempts.
 .
 Safecopy includes a low level I/O layer to read CDROM disks in raw mode,
 and issue device resets and other helpful low level operations on a number
 of other device classes.
 .
 Safecopy is useful in forensics investigations and disaster recovery.

Package: safeeyes
Description-md5: 042ec1e0f786b6c879b74554ed7c6fc1
Description-en: Protect your eyes from eye strain using this continuous breaks
 Safe Eyes is a simple tool to remind you to take periodic breaks for your
 eyes. This is essential for anyone spending more time on the computer to
 avoid eye strain and other physical problems.
 .
 Features:
  - Short breaks with eye exercises
  - Long breaks to change physical position and to warm up
  - Strict break for those who are addicted to computer
  - Do not disturb when working with full-screen applications
  - Notifications before every break
  - Optional audible alert at the end of break
  - Option to lock screen after long breaks
  - Smart pause and resume based on system idle time
  - Multi-monitor support
  - Plugins to utilize Safe Eyes
  - Elegant and customizable design

Package: safelease
Description-md5: 0103313edee18ae6b4fba84eab1a6a84
Description-en: Legacy locking utility for Vdsm
 Safelease is a legacy cluster lock utility used by Vdsm. It is based on
 the algorithm presented in the article "Light-Weight Leases for
 Storage-Centric Coordination" by G Chockler and D Malkhi.

Package: saga
Description-md5: 6f81a006f20669f383919b6a88f3917d
Description-en: System for Automated Geoscientific Analyses
 SAGA GIS (System for Automated Geoscientific Analyses) is a free and
 open source geographic information system used for editing and
 analysing spatial data. It includes a large number of modules for the
 analysis of vector (point, line and polygon), table, grid and image
 data. Among others the package includes modules for geostatistics,
 image classification, projections, simulation of dynamic processes
 (hydrology, landscape development) and terrain analysis.
 The functionality can be accessed through a GUI, the command line or
 by using the C++ API.

Package: saga-common
Description-md5: b6bdb6b4d1cb3c1287dc43e1eec11514
Description-en: SAGA GIS architecture independent files
 SAGA GIS (System for Automated Geoscientific Analyses) is a geographic
 information system used for editing and analysing spatial data.
 .
 This package contains the architecture independent files for SAGA.

Package: sagan
Description-md5: a4c1e727e9a1b94baaa2f0b7b56f44ad
Description-en: Real-time System & Event Log Monitoring System
 Sagan is a multi-threaded, real time system- and event-log monitoring
 system, but with a twist. Sagan uses a “Snort” like rule set for
 detecting malicious events happening on your network and/or computer
 systems.
 If Sagan detects a potentially bad event, that event can be stored to a
 Snort database (MySQL/PostgreSQL), send it to a SIEM tool like Prelude,
 or send an email.
 Sagan is meant to be used in a ‘centralized’ logging environment, but
 will work fine as part of a standalone Host IDS system for workstations.

Package: sagan-rules
Description-md5: 9a71019afb085798538636d7822b70d5
Description-en: Real-time System & Event Log Monitoring System [rules]
 Sagan is a multi-threaded, real time system- and event-log monitoring
 system, but with a twist. Sagan uses a “Snort” like rule set for
 detecting malicious events happening on your network and/or computer
 systems.
 If Sagan detects a potentially bad event, that event can be stored to a
 Snort database (MySQL/PostgreSQL), send it to a SIEM tool like Prelude,
 or send an email.
 .
 This package provides the rules for Sagan.

Package: sagcad
Description-md5: f417c5194418949cb3ba9e68d8b6a1af
Description-en: CAD/CAM of 2D program
 Easy to use 2D Computer Aided Drafting and Modelling application with the
 following features:
  * 2D CAD
  * Opening/Saving/Creating of files
  * Undo/Redo
  * Font use Qcad cxf Font
  * Cut/Copy/Paste
  * SGY/DXF/IGES/NC output
  * SGY/DXF/NC input
  * ps(PostScript) file output
  * CAM
  * Ellipse and polyline

Package: sagcad-doc
Description-md5: 3fc9c99e6ffd1c30e1a8e71725c77e59
Description-en: sagCAD documentation
 Easy to use 2D Computer Aided Drafting and Modelling application with the
 following features:
  * 2D CAD
  * Opening/Saving/Creating of files
  * Undo/Redo
  * Font use Qcad cxf Font
  * Cut/Copy/Paste
  * SGY/DXF/IGES/NC output
  * SGY/DXF/NC input
  * ps(PostScript) file output
  * CAM
  * Ellipse and polyline
 .
 This package contains a set of documents and tutorial web pages which helps
 you to learn and practise with sagCAD system.

Package: sagemath
Description-md5: 256abf706908b42a76741126f42111ff
Description-en: Open Source Mathematical Software
 SageMath is a free open-source mathematics software system licensed under the
 GPL. It builds on top of many existing open-source packages: NumPy, SciPy,
 matplotlib, Sympy, Maxima, GAP, FLINT, R and many more. Access their combined
 power through a common, Python-based language or directly via interfaces or
 wrappers.
 .
 Mission: Creating a viable free open source alternative to Magma, Maple,
 Mathematica and Matlab.
 .
 This package contains the main SageMath installation.

Package: sagemath-common
Description-md5: 856587602cda4b0c5a41ee49112d5a4f
Description-en: Open Source Mathematical Software - architecture-independent files
 SageMath is a free open-source mathematics software system licensed under the
 GPL. It builds on top of many existing open-source packages: NumPy, SciPy,
 matplotlib, Sympy, Maxima, GAP, FLINT, R and many more. Access their combined
 power through a common, Python-based language or directly via interfaces or
 wrappers.
 .
 Mission: Creating a viable free open source alternative to Magma, Maple,
 Mathematica and Matlab.
 .
 This package contains the architecture-independent files of SageMath.

Package: sagemath-database-conway-polynomials
Description-md5: 976a22c4ecab0e7fdd04935ec7e9e013
Description-en: Database of Conway polynomials
 This package contains a small database of Conway polynomials, for
 primes up to 109987 and a various number of exponents.
 .
 It is part of the sagemath software suite.

Package: sagemath-database-elliptic-curves
Description-md5: 9ed39e05ddc73e30f253a1db5323577f
Description-en: Databases for elliptic curves
 This package contains in fact two databases:
 - the subset of John Cremona's database of elliptic curves up to conductor
 at most 10000
 - William Stein's database of interesting elliptic curves
 .
 It is part of the sagemath software suite.

Package: sagemath-database-graphs
Description-md5: e3ab5c87738c15c6f1e82026dd221a93
Description-en: Databases of graphs
 This package contains a database of graphs, created by Emily Kirkman
 based on the work of Jason Grout. It also contains the ISGCI graph
 database.
 .
 It is part of the sagemath software suite.

Package: sagemath-database-mutually-combinatorial-designs
Description-md5: 5394623839c77593b34243c4ac299c18
Description-en: Databases of combinatorial designs
 This package contains a database of mutually orthogonal Latin squares,
 as found in the Handbook of Combinatorial Designs, 2ed.
 .
 It is part of the sagemath software suite.

Package: sagemath-database-polytopes
Description-md5: 07672490253a60508120fc48290f3aa3
Description-en: Databases of polytopes
 This package contains a database of 2-dimension and of 3-dimensional
 reflexive polytopes.
 .
 It is part of the sagemath software suite.

Package: sagemath-doc
Description-md5: 849b923ce8b5348fd476090ec4309380
Description-en: Open Source Mathematical Software - documentation
 SageMath is a free open-source mathematics software system licensed under the
 GPL. It builds on top of many existing open-source packages: NumPy, SciPy,
 matplotlib, Sympy, Maxima, GAP, FLINT, R and many more. Access their combined
 power through a common, Python-based language or directly via interfaces or
 wrappers.
 .
 Mission: Creating a viable free open source alternative to Magma, Maple,
 Mathematica and Matlab.
 .
 This package contains the documentation.

Package: sagemath-doc-en
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: sagemath-jupyter
Description-md5: f07cc09101cb9645b0674ed61828c893
Description-en: Open Source Mathematical Software - Jupyter kernel
 SageMath is a free open-source mathematics software system licensed under the
 GPL. It builds on top of many existing open-source packages: NumPy, SciPy,
 matplotlib, Sympy, Maxima, GAP, FLINT, R and many more. Access their combined
 power through a common, Python-based language or directly via interfaces or
 wrappers.
 .
 Mission: Creating a viable free open source alternative to Magma, Maple,
 Mathematica and Matlab.
 .
 This package contains the Jupyter kernel of SageMath.

Package: sagetex
Description-md5: 95d799bf2bfba09a0420be5238e78e22
Description-en: Embed Sage code and plots into LaTeX
 The SageTeX LaTeX package allows you to embed code, results of computations,
 and plots from the SageMath mathematics software suite into LaTeX documents.
 SageMath is an open-source Computer Algebra System (CAS).
 .
 This package provides the LaTeX package.

Package: sagetex-doc
Description-md5: b04a74551de96fbc79e167d0818fc637
Description-en: Embed Sage code and plots into LaTeX -- doc
 The SageTeX LaTeX package allows you to embed code, results of computations,
 and plots from the SageMath mathematics software suite into LaTeX documents.
 SageMath is an open-source Computer Algebra System (CAS).
 .
 This package provides the documentation and sample material.

Package: sahara
Description-md5: eb34b411884ff6d2ab4e744c889af582
Description-en: OpenStack data processing cluster as a service - API & Engine
 The Sahara project provides a simple means to provision a data-intensive
 application cluster (Hadoop or Spark) on top of OpenStack. It's the ex
 Savanna project, renamed due to potential trademark issues.
 .
 This metapackage depends on the API server and the engine daemon.

Package: sahara-api
Description-md5: 35b43339fe827103b5ee62150522d2cd
Description-en: OpenStack data processing cluster as a service - API server
 The Sahara project provides a simple means to provision a data-intensive
 application cluster (Hadoop or Spark) on top of OpenStack. It's the ex
 Savanna project, renamed due to potential trademark issues.
 .
 This package contains the API server.

Package: sahara-common
Description-md5: 4f372deb7e7a306db444ad0a666d4157
Description-en: OpenStack data processing cluster as a service - common files
 The Sahara project provides a simple means to provision a data-intensive
 application cluster (Hadoop or Spark) on top of OpenStack. It's the ex
 Savanna project, renamed due to potential trademark issues.
 .
 This package contains the common files.

Package: sahara-doc
Description-md5: b7a994cf63d66faed880f2ae23bc98a0
Description-en: OpenStack data processing cluster as a service - doc
 The Sahara project provides a simple means to provision a data-intensive
 application cluster (Hadoop or Spark) on top of OpenStack. It's the ex
 Savanna project, renamed due to potential trademark issues.
 .
 This package contains the documentation.

Package: sahara-engine
Description-md5: 045bdac9d75f4e92818f9dd085e8a62b
Description-en: OpenStack data processing cluster as a service - Engine server
 The Sahara project provides a simple means to provision a data-intensive
 application cluster (Hadoop or Spark) on top of OpenStack. It's the ex
 Savanna project, renamed due to potential trademark issues.
 .
 This package contains the engine daemon.

Package: saidar
Description-md5: 71215d1545c006ad04b519e3a594cf10
Description-en: curses-based program which displays live system statistics
 saidar is a curses-based application to display system statistics.
 Statistics include CPU, processes, load, memory, swap, network I/O and
 disks I/O along with their free space.
 .
 saidar utilizes libstatgrab library.

Package: sailcut
Description-md5: d6df87465a788c038d8b97840a6cc39b
Description-en: Sail design and plotting software
 Sailcut CAD is a sail design and plotting software which allows you to
 design and visualise your own sails and compute the accurate development
 of all panels in flat sheets.  You can export the sails you create to
 DXF, plaintext or Carlson plotter files.
 .
 Sailcut CAD's user interface is available in English, French, Dutch,
 German, Italian, Norwegian, Portuguese, Danish and Russian.

Package: sailcut-doc
Description-md5: 786642f7265741a9ff7a5c67e8957c6f
Description-en: Sailcut CAD documentation
 Sailcut CAD is a sail design and plotting software which allows you to
 design and visualise your own sails and compute the accurate development
 of all panels in flat sheets.  You can export the sails you create to
 DXF, plaintext or Carlson plotter files.
 .
 This package provides the documentation for Sailcut CAD.

Package: saint
Description-md5: 3ba2efbfabd78d1b6cea7aa2f61f5d71
Description-en: Significance Analysis of INTeractome
 SAINT implements the scoring algorithm for protein-protein interaction
 data using label free quantitative proteomics data in AP-MS experiments.
 It was used for spectral count data in the yeast kinase interactome work
 not incorporating control purification, as well as a generalized
 implementation for spectral count data with and without control
 purification.
 .
 Alternatively, you can also run SAINT in combination with ProHits.
 .
 The package was written for either doing analysis without or with
 control IPs and

Package: sakura
Description-md5: a08c19daa4afdf97327e615556a551ac
Description-en: simple but powerful libvte-based terminal emulator
 Sakura is a terminal emulator based on GTK+ and VTE. It's a
 terminal emulator with few dependencies, so you don't need a
 full GNOME desktop installed to have a decent terminal emulator.

Package: salliere
Description-md5: 57b0f570369399e9657291fe0cb4678a
Description-en: Bridge duplicate scorer
 Salliere is a scoring program for duplicate bridge.  It will take a file of
 pair numbers and contracts then score and match point them for duplicate
 bridge. It will then produce nicely tabulated overall results and
 board-by-board results.

Package: salmid
Description-md5: ff877bb6905958552392ed8ed5d56ecd
Description-en: rapid Kmer based Salmonella identifier from sequence data
 SalmID enables rapid confirmation of Salmonella spp. and subspp. from
 sequence data.  This is done by checking taxonomic ID of single isolate
 samples.  Currently only IDs Salmonella species and subspecies, and
 some common contaminants (Listeria, Escherichia).

Package: salmon
Description-md5: 2d4c57b85c974a51ce828b2205df95e8
Description-en: wicked-fast transcript quantification from RNA-seq data
 Salmon is a wicked-fast program to produce a highly-accurate, transcript-level
 quantification estimates from RNA-seq data. Salmon achieves is accuracy and
 speed via a number of different innovations, including the use of lightweight
 alignments (accurate but fast-to-compute proxies for traditional read
 alignments) and massively-parallel stochastic collapsed variational inference.
 The result is a versatile tool that fits nicely into many different pipelines.
 For example, you can choose to make use of the lightweight alignments by
 providing Salmon with raw sequencing reads, or, if it is more convenient, you
 can provide Salmon with regular alignments (e.g. computed with your favorite
 aligner), and it will use the same wicked-fast, state-of-the-art inference
 algorithm to estimate transcript-level abundances for your experiment.

Package: salt-pepper
Description-md5: 5226df4e2778313d1b54611860101dc1
Description-en: Library and stand-alone CLI tools to access a salt-api instance
 pepperlib abstracts the HTTP calls to salt-api so existing Python projects can
 easily integrate with a remote Salt installation just by instantiating a
 class.
 .
 The pepper CLI script allows users to execute Salt commands from computers
 that are external to computers running the salt-master or salt-minion daemons
 as though they were running Salt locally.

Package: samba-testsuite
Description-md5: 9909252a54b8d1689d0ad0af3791b792
Description-en: test suite from Samba
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 This package contains programs for testing the reliability and speed
 of SMB servers, Samba in particular.

Package: samblaster
Description-md5: 958fdfe979333e62ebf8221991f38db6
Description-en: marks duplicates, extracts discordant/split reads
 Current "next-generation" sequencing technologies cannot tell what
 exact sequence they will be reading. They take what is available. And
 if some sequences are read very often, then this needs some extra
 biomedical thinking. The genome could for instance be duplicated.
 .
 samblaster is a fast and flexible program for marking duplicates in
 read-id grouped paired-end SAM files. It can also optionally output
 discordant read pairs and/or split read mappings to separate SAM files,
 and/or unmapped/clipped reads to a separate FASTQ file. When marking
 duplicates, samblaster will require approximately 20MB of memory per
 1M read pairs.

Package: samdump2
Description-md5: b188f87787fb45a1a26d81a5dbe2e2a1
Description-en: Dump Windows 2k/NT/XP password hashes
 This tool is designed to dump Windows 2k/NT/XP password hashes from a SAM
 file, using the syskey bootkey from the system hive.
 .
 This package also provides the functionality of bkhive, which recovers the
 syskey bootkey from a Windows NT/2K/XP system hive.
 .
 Syskey is a Windows feature that adds an additional encryption layer to the
 password hashes stored in the SAM database.

Package: samhain
Description-md5: 65363c52058ed227a4243369ac75989d
Description-en: Data integrity and host intrusion alert system
 Samhain is an integrity checker and host intrusion detection system that
 can be used on single hosts as well as large, UNIX-based networks.
 It supports central monitoring as well as powerful (and new) stealth
 features to run undetected on memory using steganography.
 .
 Main features
     * Complete integrity check
          + uses cryptographic checksums of files to detect
            modifications,
          + can find rogue SUID executables anywhere on disk, and
     * Centralized monitoring
          + native support for logging to a central server via encrypted
            and authenticated connections
     * Tamper resistance
          + database and configuration files can be signed
          + logfile entries and e-mail reports are signed
          + support for stealth operation

Package: samizdat
Description-md5: 41ce39eb13e5222d6490d5664a6525bb
Description-en: Web collaboration and open publishing engine
 Generic RDF-based Web engine intended for building collaboration and open
 publishing web sites. Samizdat engine allows everyone to publish, view,
 comment, edit, and aggregate text and multimedia resources, vote on ratings
 and classifications, filter resources by flexible sets of criteria.

Package: samplerate-programs
Description-md5: 55abea95880020f1d3aafbd4b20c34a5
Description-en: Sample programs that use libsamplerate
 This package contains simple example programs for resampling sound files with
 the libsamplerate library.
 .
 Currently, the only binary included is sndfile-resample which uses libsndfile
 to read and write audio files and libsamplerate to do the rate conversion.

Package: samplv1
Description-md5: fd088ffe571b5eeeb43079e821827521
Description-en: polyphonic sampler synthesizer - standalone
 samplv1 is an old-school all-digital polyphonic sampler
 synthesizer with stereo effects.
 .
 Although other samplers like specimen provide more features,
 one could find samplv1 much easier and accessible. Plus, it
 is provided in both forms of a JACK stand-alone client and
 a LV2 plugin.
 .
 This package provides the standalone app.

Package: samplv1-common
Description-md5: ac59ce0d19a17a6ff98c6383d04d8830
Description-en: polyphonic sampler synthesizer - common files
 samplv1 is an old-school all-digital polyphonic sampler
 synthesizer with stereo effects.
 .
 Although other samplers like specimen provide more features,
 one could find samplv1 much easier and accessible. Plus, it
 is provided in both forms of a JACK stand-alone client and
 a LV2 plugin.
 .
 This package provides files shared by both the LV2 plugin
 and the standalone application.

Package: samplv1-lv2
Description-md5: 6ac4ac399587826336730648fd08f6ea
Description-en: polyphonic sampler synthesizer - LV2 plugin
 samplv1 is an old-school all-digital polyphonic sampler
 synthesizer with stereo effects.
 .
 Although other samplers like specimen provide more features,
 one could find samplv1 much easier and accessible. Plus, it
 is provided in both forms of a JACK stand-alone client and
 a LV2 plugin.
 .
 This package provides the LV2 plugin.

Package: samtools
Description-md5: 7ede2464ff1fbf70b3103067b7192874
Description-en: processing sequence alignments in SAM, BAM and CRAM formats
 Samtools is a set of utilities that manipulate nucleotide sequence alignments
 in the binary BAM format. It imports from and exports to the ascii SAM
 (Sequence Alignment/Map) and CRAM formats, does sorting, merging and indexing,
 and allows one to retrieve reads in any regions swiftly. It is designed to work
 on a stream, and is able to open a BAM or CRAM (not SAM) file on a remote FTP
 or HTTP server.

Package: samtools-test
Description-md5: fc1f9b542e005449315d334ee95bb56a
Description-en: test files for the samtools package
 Samtools is a set of utilities that manipulate nucleotide sequence alignments
 in the binary BAM format.
 .
 This package contains test files for the samtools package.

Package: sane
Description-md5: 2f448d14f906e21875602c8f002bcd5d
Description-en: scanner graphical frontends
 This package includes :
  o xscanimage, a scanner graphical frontend with GIMP 2.0 support
  o scanadf, a command-line frontend for scanners with Automatic Document Feeder
  o xcam, for acquiring images continuously from cameras.
 .
 An alternative to xscanimage called xsane is packaged separately.
 .
 The scanner frontends use SANE.  SANE stands for "Scanner Access Now
 Easy" and is an application programming interface (API) that provides
 standardized access to any raster image scanner hardware (flatbed
 scanner, hand-held scanner, video- and still-cameras, frame-grabbers,
 etc.). The SANE standard is free and its discussion and development are
 open to everybody. The current source code is written to support several
 operating systems, including GNU/Linux, OS/2, Win32 and various Unices
 and is available under the GNU General Public License (commercial
 applications and backends are welcome, too, however).

Package: sanitizer
Description-md5: c8cb08ad7d3c43781b35f6ba1aa7caf8
Description-en: The Anomy Mail Sanitizer - an email virus scanner
 The Anomy sanitizer is what most people would call "an email virus scanner".
 That description is not totally accurate, but it does cover one of the more
 important jobs that the sanitizer can do for you - it can scan email
 attachments for viruses. Other things it can do:
 .
 Disable potentially dangerous HTML code, such as javascript, within
 incoming email.
 .
 Protect you from email-based break-in attempts which exploit bugs in
 common email programs (Outlook, Eudora, Pine, ...).
 .
 Block or "mangle" attachments based on their file names. This way if you
 don't *need* to receive e.g. visual basic scripts, then you don't have to
 worry about the security risk they imply (the ILOVEYOU virus was a
 visual basic program). This lets you protect yourself and your users
 from whole classes of attacks, without relying on complex, resource
 intensive and outdated virus scanning solutions.

Package: sanlock
Description-md5: bd141da626ec78be3673c3046a692c21
Description-en: Shared storage lock manager
 Sanlock ensures that single disk cannot be used by more than
 one running VM at a time, across any host in a network.
 .
 This package contains the binaries and private shared libraries.

Package: sanoid
Description-md5: 0b2161ad74b063366a7af13ddea0d9b0
Description-en: Policy-driven ZFS snapshot management and replication tool
 Sanoid is a policy-driven snapshot management and replication tool for ZFS
 filesystems.
 .
 More prosaically, you can use Sanoid to create, automatically thin, and
 monitor snapshots and pool health from a single eminently human-readable
 TOML config file. Sanoid also includes a replication tool, syncoid, which
 facilitates the asynchronous incremental replication of ZFS filesystems.

Package: saods9
Description-md5: 06e711555606a95a8b791a8751ae323c
Description-en: Image display tool for astronomy
 SAOImage DS9 is an astronomical imaging and data visualization
 application. DS9 supports FITS images and binary tables, multiple frame
 buffers, region manipulation, and many scale algorithms and colormaps. It
 provides for easy communication with external analysis tasks and is highly
 configurable and extensible via XPA and SAMP.
 .
 All versions and platforms support a consistent set of GUI and
 functional capabilities.
 .
 DS9 supports advanced features such as 2-D, 3-D and RGB frame buffers, mosaic
 images, tiling, blinking, geometric markers, colormap manipulation, scaling,
 arbitrary zoom, cropping, rotation, pan, and a variety of coordinate
 systems.
 .
 The GUI for DS9 is user configurable. GUI elements such as the coordinate
 display, panner, magnifier, horizontal and vertical graphs, button bar, and
 color bar can be configured via menus or the command line.

Package: saods9-doc
Description-md5: 9033303dc0f6d2f7e4e5a685c01be4e0
Description-en: Image display tool for astronomy (help files/documentation)
 SAOImage DS9 is an astronomical imaging and data visualization
 application. DS9 supports FITS images and binary tables, multiple frame
 buffers, region manipulation, and many scale algorithms and colormaps. It
 provides for easy communication with external analysis tasks and is highly
 configurable and extensible via XPA and SAMP.
 .
 This package contains the documentation and help pages.

Package: sapphire
Description-md5: 2d5b3aea9dda0e189a07f1407731cb87
Description-en: A minimal but configurable X11R6 window manager
 Sapphire is a window manager for X11R6. It is fairly minimal in
 what it provides on screen: one toolbar, the usual window borders
 and a popup menu from the root window.
 .
 It supports themes as X resource files, and the menu is editable.
 If you install the 'menu' package, you'll get an automatically updated
 'Debian' submenu of installed programs.

Package: sarg
Description-md5: 47783ec159b639b4f49169270643e68d
Description-en: squid analysis report generator
 Sarg is a Squid Analysis Report Generator that allow you to view "where"
 your users are going to on the Internet. Sarg generate reports in html,
 with many fields, like: users, IP Addresses, bytes, sites and times.

Package: sash
Description-md5: fc02d58fe8fb94c3e84bece028e52473
Description-en: Stand-alone shell
 sash serves as an interactive substitute for /bin/sh, for use when
 /bin/sh is unusable.  It's statically linked, and includes many standard
 utilities as builtins (type "help" at the prompt for a reference list).
 If you've installed sash before rendering your system unbootable, and
 you have some knowledge of how your system is supposed to work, you might
 be able to repair your system using init=/bin/sash at the boot prompt.
 .
 Some people also prefer to have sash available as the shell for a
 root account (perhaps an under an alternate name such as sashroot)
 Configuration support is included for people who want this.
 .
 Note: sash is not intended to serve as /bin/sh, and has few of the
 interactive features present in bash or ksh.  It's designed to be simple
 and robust, for people who need to do emergency repair work on a system.
 .
 Also note: sash doesn't include a built-in fsck -- fsck is too big
 and complicated.  If you need fsck, you'll have to get at least one
 partition or disk working well enough to run fsck.  More generally,
 sash is but one tool of many (backups, backup recovery tools, emergency
 boot disks or partitions, spare parts, testing of disaster plans,
 etc.) to help you recover a damaged system.

Package: sasm
Description-md5: a82f04fbad106c1cff1a40613afe3f59
Description-en: simple IDE for NASM, GAS and FASM assembly languages
 This is a simple IDE for assembly languages. It has syntax highlighting
 and a debugger. The program works out of the box and is great for
 beginners to learn assembly language.

Package: sasmodels-private-libs
Description-md5: 680c921be019c443f7e7e1c0809d0b9b
Description-en: Theoretical models for small angle scattering (compiled models)
 sasmodels is a Python module for calculating theoretical Small Angle
 Scattering patterns. The models provided are usable directly in the bumps
 fitting package and in the sasview analysis package.
 .
 This package contains the precompiled versions of the models.

Package: sass-elisp
Description-md5: 31614ebb0f19c78a51c42146808c1adf
Description-en: Emacs Lisp mode for the Sass markup language
 This package provides the emacs-lisp for syntax-highlighting Sass
 mode for emacs23, emacs24 or emacs25.

Package: sass-spec
Description-md5: 95d4fce824a6df9ddd613d64140f5256
Description-en: testsuite for Sass - compliance testing tool
 Sass makes CSS fun again. Sass is an extension of CSS3, adding nested
 rules, variables, mixins, selector inheritance, and more.
 .
 sass-spec is a testsuite verified against the original ruby-sass, which
 should succeed for alternative implementations as well.
 .
 This package provides the compliance testing tool sass-spec.

Package: sass-spec-data
Description-md5: 10dc954f8d9f9fb596dd75cd22149d95
Description-en: testsuite for Sass - data files
 Sass makes CSS fun again. Sass is an extension of CSS3, adding nested
 rules, variables, mixins, selector inheritance, and more.
 .
 sass-spec is a testsuite verified against the original ruby-sass, which
 should succeed for alternative implementations as well.
 .
 This package provides data files for sass-spec.

Package: sass-stylesheets-compass
Description-md5: 1d234e4e037312342ab122d683a80255
Description-en: mixins for Sass derived from Compass
 compass-mixins provides Sass mixins
 originally included with Compass 0.12.x,
 minimally adapted for use directly with modern Sass.
 .
 Compass is a Sass-based CSS framework.
 .
 Sass makes CSS fun again.
 Sass is an extension of CSS3,
 adding nested rules, variables, mixins, selector inheritance, and more.
 .
 Sass can be encoded in either of two formats - SASS and SCSS.
 These mixins are provided in SCSS format.
 .
 This package includes the following collections of stylesheets:
  * animate
  * compass
  * lemonade

Package: sassc
Description-md5: d12f226dacdf71dc245cec574d861679
Description-en: C/C++ port of the Sass CSS precompiler - command-line tool
 SassC is a C/C++ port of the Sass engine. The point is to be simple,
 fast, and easy to integrate.
 .
 Sass is a pre-processing language for CSS. It allows you to write
 cleaner stylesheets and makes collaboration on your CSS a breeze.

Package: sasview
Description-md5: 4019de7a6cea96eb9745b5ad87e375ba
Description-en: Small Angle Scattering Analysis suite
 SasView is a Small Angle Scattering Analysis Software Package,
 originally developed as part of the NSF DANSE project under the name
 SansView, now managed by an international collaboration of facilities.
 .
 SasView is software for the analysis of Small-Angle Scattering (SAS)
 data.
 .
 It fits analytic functions describing different types of material
 microstructure to experimental data in order to determine the shape,
 size and degree of ordering.
 .
 SasView also includes tools for calculating scattering length
 densities, slit sizes, resolution, fringe thicknesses/d-spacings, the
 (Porod) invariant ('total scattering'), and distance distribution
 functions.
 .
 This package installs the sasview executable script.

Package: sasview-doc
Description-md5: cb8457e5d86b221893b8755f192896f6
Description-en: Small Angle Scattering Analysis (common documentation)
 SasView is a Small Angle Scattering Analysis Software Package,
 originally developed as part of the NSF DANSE project under the name
 SansView, now managed by an international collaboration of facilities.
 .
 SasView is software for the analysis of Small-Angle Scattering (SAS)
 data.
 .
 It fits analytic functions describing different types of material
 microstructure to experimental data in order to determine the shape,
 size and degree of ordering.
 .
 SasView also includes tools for calculating scattering length
 densities, slit sizes, resolution, fringe thicknesses/d-spacings, the
 (Porod) invariant ('total scattering'), and distance distribution
 functions.
 .
 This is the common documentation package.

Package: sat4j
Description-md5: 57ffc6bb7b4780cfe1d0a28863feef41
Description-en: Efficient library of SAT solvers in Java
 The aim of the SAT4J library is to provide an efficient library of SAT solvers
 in Java. Compared to the OpenSAT project, the SAT4J library targets first
 users of SAT "black boxes", willing to embed  SAT technologies into their
 application without worrying about the details. The SAT4J project also tries
 to provide a basis of work for SAT researchers.

Package: sauce
Description-md5: fdb013fbede04e44af9a99698b94a5e5
Description-en: SMTP defence software against spam
 SAUCE (Software Against Unsolicited Commercial Email) sits between
 the Internet and your existing Mail Transfer Agent (e.g. Exim).  It
 does a number of checks on incoming mail, including being able to
 blacklist senders and their sites automatically when they mail
 special `spam bait' addresses.
 .
 This is a BETA version and is best used by mail experts only.

Package: savi
Description-md5: e4d4620f7bb2a625397199aabecb3a25
Description-en: satellite constellation visualisation
 SaVi allows you to simulate satellite orbits and coverage,
 in two and three dimensions. SaVi is particularly useful for
 simulating satellite constellations such as Iridium and Globalstar.
 .
 SaVi can use Geomview, an optional but useful package,
 for 3D rendering.

Package: sawfish
Description-md5: 32cd47050afab90d0dc3e5d0bc506fde
Description-en: window manager for X11
 Sawfish is an extensible window manager using an Emacs Lisp-like scripting
 language. All window decorations are configurable, the basic idea is to
 have as much user-interface policy as possible controlled through the Lisp
 language.

Package: sawfish-data
Description-md5: adc0ffa90860c9c7ea01d385cb8db9af
Description-en: sawfish architecture independent data
 This package contains the architecture independent lisp compiled files
 and other data, such as theme pixmaps. It is unlikely to be of any
 use without the sawfish window manager.
 .
 The lisp source files are in the sawfish-lisp-source package.

Package: sawfish-lisp-source
Description-md5: 2f94d36dc3e03838bc3e3e0a7e6f06c3
Description-en: sawfish lisp files
 This package contains the lisp source files in case you want to modify,
 study or debug the behaviour of the window manager.
 .
 It is not required for normal use of sawfish and not installing it will save
 space in small systems.

Package: sawfish-themes
Description-md5: ce903570a3ae281b31a3a7f4587ca8c4
Description-en: Themes for the Sawfish window manager
 This package contains contributed themes for Sawfish; they can be used
 to alter the appearance and some behavioral aspects of your Sawfish
 windows.
 .
 After installation, sawfish themes may be selected from the "Appearance"
 section of the Sawfish configurator, or from the "Frame Style" submenu
 of any particular window menu.

Package: saytime
Description-md5: 35fa0bc9d6cc9869dd556cbceb08ccfa
Description-en: speaks the current time through your sound card
 Say the current time through your sound card.  Requires you have a
 sound output device available.

Package: sbc-tools
Description-md5: 36effa94dde2c4dce9f6f86c1a4eeef4
Description-en: Sub Band CODEC library - tools
 This provides the library and tool which operate SBC
 (sub band codec) in A2DP (the Advanced Audio Distribution Profile).
 .
 This package contains the tools for SBC.

Package: sbcl
Description-md5: eb1113dee9d2ad5949c6cfc6301108ec
Description-en: Common Lisp compiler and development system
 SBCL is a development environment for the ANSI Common Lisp language.
 It provides a native-code compiler and an integrated debugger, as well
 as all the features in the ANSI specification.
 .
 SBCL also contains other extensions to the ANSI specification, including
 a foreign-function interface, a pseudo-server API, user-extensible
 stream functionality, a Meta-Object Protocol, and an ability to run
 external processes.
 .
 To browse SBCL source definitions with development environments,
 install the sbcl-source package. For documentation on SBCL's usage
 and internals, the package sbcl-doc is provided.

Package: sbcl-doc
Description-md5: bf2cec45285d0c3bfa96c64bda87fbd5
Description-en: Documentation for Steel Bank Common Lisp
 This package provides documentation for SBCL -- a development
 environment for the ANSI Common Lisp language.
 .
 The documents in this package cover SBCL-specific extensions to the
 language, explain how to use this Common Lisp implementation and how
 it differs from the ANSI standard.
 .
 The manual about SBCL's internals is also included in this package
 and may be of interest for people who intent to work with SBCL's
 source code.

Package: sbcl-source
Description-md5: ee91a0cda511e6d9ca51542b0320b384
Description-en: Source code files for SBCL
 This package provides the source code for SBCL -- a development
 environment for the ANSI Common Lisp language.
 .
 The source code is useful in conjunction with development
 environments and debuggers like SLIME, that allow macro expansion and
 lookup of source definitions.
 .
 Installing this package enables your source browser to dig deeply
 into the core of Steel Bank Common Lisp.

Package: sbd
Description-md5: b44f03bff522badc107a2c01b984778d
Description-en: STONITH Block Device daemon
 SBD provides a node fencing mechanism (Shoot the other node in the
 head, STONITH) for Pacemaker-based clusters through the exchange of
 messages via shared block storage such as for example a SAN, iSCSI,
 FCoE. It can be used as a STONITH mechanism in all configurations
 that have reliable shared storage.

Package: sblim-cmpi-base
Description-md5: e28a9ce19aebee430cd10db75bd1fec5
Description-en: SBLIM base CMPI provider
 The SBLIM base provider is a CMPI provider offering CIM access to base OS
 data, like Computer System, Operating System, Base Board, Processor and Unix
 Processes.

Package: sblim-cmpi-common
Description-md5: 84202f9f6dcf17bafb63f89ab156182c
Description-en: Common scripts for CMPI base providers
 This package provides common scripts to register a new provider with the
 running cimom.

Package: sblim-wbemcli
Description-md5: 4f92b0fcde27df738f4e4152c360ce85
Description-en: WBEM Command Line Interface for CIMOM access
 The WBEM Command Line Interface is a standalone, convenient systems
 management utility for CIMOM access. Invocation and output syntax are
 problem-oriented and easy to process by shell and Perl scripts, making
 wbemcli well suited for administrators writing their own management
 scripts and for WBEM developers wanting to test their providers.
 .
 WBEM (Web-Based Enterprise Management) is a successor of SNMP and the
 basis of SMI-S (Storage Management Initiative - a standard interface
 to storage devices).  CIM (Common Information Model, the data model of
 WBEM) has a much wider scope, thus more ambitious projects like
 OpenPegasus exist, but this simple utility from the SBLIM project is
 enough to query disk and RAID states of SMI-S compatible storage
 products from their CIM Object Manager, for example.

Package: sbmltoolbox
Description-md5: 29cb45cf2d80f3aea43e146fbfcb8328
Description-en: libsbml toolbox for octave and matlab
 The SBMLToolbox provides a set of basic functions for reading, writing,
 manipulating, and simulating SBML (System Biology Meta Language)
 models. It is a free Open Source package on top of the libSBML with
 full compatibility to work with MATLAB and Octave alike and share models
 between the two systems.
 .
 The toolbox is not a complete turn key solution for Systems Biology.
 It has its emphasis on easing the handling of SBML data and serves
 as a starting point for users and developers to establish their own
 methods.

Package: sbox-dtc
Description-md5: 3234f4e330af8cc140369981ecbe742f
Description-en: CGI chroot wrapper script for safer hosting environment
 Sbox is a CGI wrapper script that helps Web site hosting services to safely
 grant CGI authoring privileges to untrusted clients. In addition to changing
 the process privileges of client scripts to match their owners, it goes beyond
 other wrappers by placing configurable ceilings on script resource usage,
 avoiding unintentional (as well as intentional) denial of service attacks. It
 also optionally let the Webmaster to place client's CGI scripts in a chroot'ed
 shell restricted to the author's home directories.
 .
 Thanks to a cleaver per-vhost configuration, SBOX is also capable of being
 highly customizable on a per web site level. SBOX also runs Ruby, Perl, Python
 and PHP script using their respective interpreter, with a configurable path
 for each of them.

Package: sbrsh
Description-md5: a6bc3d82841afb40d45bd3c1b0aba4c7
Description-en: Scratchbox Remote Shell client
 sbrsh requests a sbrshd host to mount a nfs partition, and executes a
 binary on it.  This used to provide cpu transparency for cross-compiling
 with scratchbox.
 .
 http://scratchbox.org/

Package: sbrshd
Description-md5: 508f6db50b206e7e00d47dd0e3140917
Description-en: Scratchbox Remote Shell daemon
 sbrshd mounts nfs partition from the host and executes a binary on it.
 This used to provide cpu transparency for cross-compiling with
 scratchbox.
 .
  http://scratchbox.org/

Package: sbt-ivy
Description-md5: 55dca75f5e9ddeb7a78ed3732e7fa2f1
Description-en: agile dependency manager
 Sbt Ivy is patched Apache Ivy for sbt.
 Ivy is a very powerful dependency manager oriented toward Java
 dependency management, even though it could be used to manage
 dependencies of any kind.

Package: sbt-ivy-doc
Description-md5: 4146e8d211dc4461edc15d7323fddaab
Description-en: agile dependency manager (documentation)
 Sbt Ivy is patched Apache Ivy for sbt.
 Ivy is a very powerful dependency manager oriented toward Java
 dependency management, even though it could be used to manage
 dependencies of any kind.
 .
 This package contains the documentation.

Package: sbuild-debian-developer-setup
Description-md5: eb2ae0aac1dc3796c6e80a3c8d05adfc
Description-en: Convenience script to set up an sbuild environment for Debian Developers
 Run "sudo sbuild-debian-developer-setup" to add the current user to the sbuild
 group, create an schroot for building packages for Debian unstable, and create
 a cronjob which updates said schroot daily.
 .
 This script assumes you are on an un-metered internet connection (daily schroot
 updates might be costly otherwise).

Package: sbuild-launchpad-chroot
Description-md5: f29a5d8002fc0d7ae79e1fbbe79033f4
Description-en: Launchpad integration for sbuild
 This includes two sbuild hooks and a command line tool to setup and
 maintain build chroots that are as close as possible to a standard
 Launchpad sbuild chroot.
 .
 The sbuild-launchpad-chroot command can be used to setup, update and
 destroy those chroots. A schroot hook will also automatically update
 them should an update be available at the start of a build.
 .
 Those chroots also come with a series of useful aliases allowing the
 user to easily choose which pockets and components should be available
 for the build.

Package: sbws
Description-md5: e3e144c92732d3b24b40cd32ed129930
Description-en: Simple Bandwidth Scanner for the Tor network
 Simple Bandwidth Scanner (sbws) scan the Tor network and generates
 bandwidth list files (also called measurements).
 .
 Bandwidth list files contain the Tor relays' bandwidths scaled.
 The are read by the Tor directory authorities to assign weights to the relays
 during heir votes. The specification of the Bandwidth list format is in
 https://gitweb.torproject.org/torspec.git/tree/bandwidth-file-spec.txt
 .
 The scanner (also called generator) builds two hop circuits consisting
 of the relay being measured and a fast exit.
 Over these circuits it measures download performance.
 .
 **WARNING**: This software is intended to be run by researchers using a test
 Tor network, such as chutney or shadow, or by the Tor bandwidth authorities
 on the public Tor network.
 Please do not run this software on the public Tor network unless you are one
 of the Tor bandwidth authorities, to avoid creating unnecessary traffic.

Package: sbws-doc
Description-md5: c915ccfaa8e805c271b3249448bd9b7d
Description-en: Simple Bandwidth Scanner
 Tor bandwidth scanner that generates bandwidth list (measurements) files
 to be read by Directory Authorities.
 .
 This package contains the sbws documentation.

Package: sc
Description-md5: 0925a794779dba23662eeb41fb663c7e
Description-en: Text-based spreadsheet with VI-like keybindings
 "Spreadsheet Calculator" is a much modified version of the public-
 domain spread sheet sc, which was posted to Usenet several years ago
 by Mark Weiser as vc, originally by James Gosling. It is based on
 rectangular table much like a financial spreadsheet.
 .
 Its keybindings are familiar to users of 'vi', and it has most
 features that a pure spreadsheet would, but lacks things like
 graphing and saving in foreign formats.  It's very stable and quite
 easy to use once you've put a little effort into learning it.

Package: sc3-plugins
Description-md5: 4f8aadb5f9c66a29ca4e40fb066879eb
Description-en: Community collection of UGen plugins for SuperCollider
 Extension plugins for the SuperCollider3 audio synthesis server.
 These third-party plugins provide additional synthesis, analysis,
 and other capabilities for the sound server.

Package: sc3-plugins-language
Description-md5: f2bd4e1378a61a75e1797c271d4d1e55
Description-en: sclang class files of UGen plugins for SuperCollider
 Extension plugins for the SuperCollider3 audio synthesis server.
 These SuperCollider class files are needed by sclang when using
 the community collection of UGen plugins for SuperCollider.

Package: sc3-plugins-server
Description-md5: cfb7548522c943f7f8de084e8dbb3224
Description-en: Compiled scsynth UGen plugins for SuperCollider
 Extension plugins for the SuperCollider3 audio synthesis server.
 These plugin binaries are needed by scsynth when using the community
 collection of UGen plugins for SuperCollider.

Package: scala
Description-md5: c4ad9c8cdc32b480c48dbf9014880a0d
Description-en: Scala programming language
 Scala is a Java-compatible programming language with many
 modern language features.  It is Java-compatible in that
 Scala and Java classes can directly reference each other and
 subclass each other with no glue code needed.  It
 includes modern language features such as closures,
 pattern-matching, parametric types, and virtual type members.
 .
 This package includes the various tools used to develop
 code written in Scala.  It includes a compiler (scalac),
 an interpreter and script runner (scala), the offline
 compiler (fsc), and source-scanning documentation
 generator (scaladoc).

Package: scala-asm
Description-md5: 3c81c814586c785b19ed42b9a949d5dc
Description-en: Fork of ASM for the Scala Compiler
 This library is a fork of the ASM Java bytecode manipulation and analysis
 framework (see libasm-java) modified for the needs of the Scala compiler.
 The classes are relocated under the scala.tools.asm package and a small
 number of patches were applied to the original sources.

Package: scala-doc
Description-md5: 5d64d78025d0602fe45ed81e50239854
Description-en: Scala API documentation
 Scala is a Java-compatible programming language with many
 modern language features.  It is Java-compatible in that
 Scala and Java classes can directly reference each other and
 subclass each other with no glue code needed.  It
 includes modern language features such as closures,
 pattern-matching, parametric types, and virtual type members.
 .
 This package contains the API documentation for Scala.

Package: scala-library
Description-md5: 7ae8b8396c71d0f69fee3c25d120c634
Description-en: Scala standard library
 Scala is a Java-compatible programming language with many
 modern language features.  It is Java-compatible in that
 Scala and Java classes can directly reference each other and
 subclass each other with no glue code needed.  It
 includes modern language features such as closures,
 pattern-matching, parametric types, and virtual type members.
 .
 This package contains the run-time library needed to run Scala programs.
 It also provides scalacheck and scala-partest.

Package: scala-mode-el
Description-md5: 0569b3bc4ff1cd2af83b4ce78adad35d
Description-en: Emacs major mode for editing scala source code
 scala-mode provides syntax highlighting and indentation for scala
 source code inside emacs.  Included is the ability to interact with a
 scala interpreter inside emacs and to send expressions from scala
 source files to the running interpreter.

Package: scala-parser-combinators
Description-md5: 87ac149a94363e03a27e01ea1825a2f3
Description-en: Scala Standard Parser Combinator Library
 Scala is a Java-compatible programming language with many
 modern language features.
 .
 This package contains the standard Scala parser combinator library.

Package: scala-xml
Description-md5: 201111ce443faa949869865232fab210
Description-en: Standard Scala XML library
 Scala is a Java-compatible programming language with many
 modern language features.
 .
 This package contains the standard Scala XML library.

Package: scalable-cyrfonts-tex
Description-md5: 3662fb8ffd2499fa57b79e1a227581ef
Description-en: Scalable Cyrillic fonts for TeX
 This package installs all needed TeX font metcic files, virtual
 fonts, font definitions and some style packages in order to provide
 TeX with the following font families: Free Times, Free Helvetian,
 Free Helvetian Condensed, Free Courier, Free Avant Garde, Free
 Paladin, Free Schoolbook, Free Bookman, Free Chancery, Teams and
 OldSlavic.
 .
 If you want to have these font families available to X11 and
 Defoma-aware applications (Ghostscript, Grace, SciGraphica) then
 please install the packages t1-cyrillic, t1-teams and t1-oldslavic.
 .
 In order to make this package functional please read the file
 /usr/share/doc/scalable-cyrfonts-tex/README.Debian.

Package: scalapack-doc
Description-md5: 32d6d189c8b47f87bd6f0592d104582f
Description-en: Scalable Linear Algebra Package Documentation
 ScaLAPACK is the parallel version of LAPACK. It depends on PVM or MPI.
 .
 This package provides the man pages for the routines in the
 ScaLAPACK library (see package scalapack1-pvm, scalapack1-mpich or
 scalapack1-lam) and a quick reference for PBLAS and ScaLAPACK. PBLAS
 is the library for Parallel Basic Linear Algebra Subprograms included in
 ScaLAPACK.
 .
 Also included: ScaLAPACK Users' Guide (SLUG) and the FAQ on ScaLAPACK.

Package: scalapack-mpi-test
Description-md5: 7e87e96a634d9634a50130dca294d269
Description-en: Scalable Linear Algebra Package - Test files for MPI
 ScaLAPACK is the parallel version of LAPACK used on clusters.
 .
 Also included:
    - PBLAS, Parallel Basic Linear Algebra Subprograms
    - BLACS, Basic Linear Algebra Communication Subprograms
 .
 This package contains ScaLAPACK, PBLAS and BLACS test programs.

Package: scalapack-test-common
Description-md5: d9a27bb420b0f02a6f0c43ce550c8ce5
Description-en: Test data for ScaLAPACK testers
 ScaLAPACK is the parallel version of LAPACK used on clusters.
 .
 Also included:
    - PBLAS, Parallel Basic Linear Algebra Subprograms
    - BLACS, Basic Linear Algebra Communication Subprograms
 .
 This package contains data files used by test programs.

Package: scalpel
Description-md5: 51bb2b32038a263d238ea4c37dd5a7d3
Description-en: fast filesystem-independent file recovery
 scalpel is a fast file carver that reads a database of header and footer
 definitions and extracts matching files from a set of image files or raw
 device files.
 .
 scalpel is filesystem-independent and will carve files from FAT16, FAT32,
 exFAT, NTFS, Ext2, Ext3, Ext4, JFS, XFS, ReiserFS, raw partitions, etc.
 .
 scalpel is a complete rewrite of the Foremost 0.69 file carver and is
 useful for both digital forensics investigations and file recovery.

Package: scamp
Description-md5: b34690ac05b071ed2c3dacd1b48c536b
Description-en: Compute astrometric and photometric solutions
 SCAMP reads SExtractor catalogs and computes astrometric and
 photometric solutions for any arbitrary sequence of FITS images in a
 completely automatic way.

Package: scamper
Description-md5: e135693807d56206a09098977b723260
Description-en: parallel Internet measurement utility
 scamper is a program that is able to conduct Internet measurement
 tasks to large numbers of IPv4 and IPv6 addresses, in parallel, to
 fill a specified packets-per-second rate. Currently, it supports the
 well-known ping and traceroute techniques, as well as MDA traceroute,
 alias resolution, some parts of tbit, sting, and neighbour discovery.
 .
 scamper can do ICMP-based Path MTU discovery. scamper starts with the
 outgoing interface's MTU and discovers the location of Path MTU
 bottlenecks. scamper performs a PMTUD search when an ICMP
 fragmentation required message is not returned to establish the PMTU
 to the next point in the network, followed by a TTL limited search to
 infer where the failure appears to occur.

Package: scanbd
Description-md5: d74871c46cb6f52c5de388d68a7032a4
Description-en: Scanner button daemon
 It polls the scanner buttons looking for buttons pressed or function knob
 changes or other scanner events as paper inserts / removals and at the same
 time allows also scan-applications to access the scanners. If buttons are
 pressed, etc., various actions can be submitted (scan, copy, email, ...) via
 action scripts. The function knob values are passed to the action-scripts as
 well.
 .
 Scan actions are also signaled via D-Bus. This can be useful for foreign
 applications. Scans can also be triggered via D-Bus from foreign applications.
 .
 On platforms which support signaling of dynamic device insertion / removal
 (libudev, D-Bus, hal) scanbd supports this as well. scanbd can use all
 sane-backends or some special backends from the (old) scanbuttond project.
 .
 This package is a successor of scanbuttond.

Package: scanlogd
Description-md5: 1ae6733d6d6468bf6cbf3db37d465b8d
Description-en: A portscan detecting tool
 Scanlogd is a daemon written by Solar Designer
 to detect portscan attacks on your machine.

Package: scanmem
Description-md5: cc39b1c3dd5cdb66cab062f70cb7a219
Description-en: locate and modify a variable in a running process
 Scanmem is a simple interactive debugging utility for Linux, used to locate
 the address of a variable in a running process. This can be used for the
 analysis or modification of a hostile process on a compromised machine, for
 reverse engineering, or as a "pokefinder" to cheat at video games.

Package: scanssh
Description-md5: e3a830aa12e7825d676f9885c674cf5a
Description-en: get SSH server versions for an entire network
 The ScanSSH protocol scanner scans a list of addresses and networks for
 running SSH protocol servers and their version numbers.  Version 2.0 adds
 support for scanning arbitrary ports and specifically open proxies.  The
 ScanSSH protocol scanner supports random selection of IP addresses from
 large network ranges and is useful for gathering statistics on the
 deployment of SSH protocol servers in a company or the Internet as whole.

Package: scantool
Description-md5: 15b2402ab1041f30144c7a2b0ef53047
Description-en: OBD-II vehicle diagnostic scanner
 Scanning tool that communicates with OBD-II serial port devices, used
 for vehicle diagnostics. Requires a serial to CAN bus device specific
 to the OBD-II port for a given model vehicle.

Package: scantv
Description-md5: 86b5b6933624b4ff0aa5c45634161953
Description-en: television channel-scanner
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides a utility that can scan a channel set for TV
 stations and write the ones found in an xawtv configuration file
 (which is also read by some other utilities such as fbtv). It
 attempts to extract the station names from inter-frame (VBI) data
 as well.

Package: scdaemon
Description-md5: b49c350b09e4d02f9121e9c67447bbdc
Description-en: GNU privacy guard - smart card support
 GnuPG is GNU's tool for secure communication and data storage.
 It can be used to encrypt data and to create digital signatures.
 It includes an advanced key management facility and is compliant
 with the proposed OpenPGP Internet standard as described in RFC4880.
 .
 This package contains the smart card program scdaemon, which is used
 by gpg-agent to access OpenPGP smart cards.

Package: scdoc
Description-md5: 0a6e07197ba1d159f68bce7a36475077
Description-en: Simple man page generator written for POSIX systems written in C99
 scdoc is a tool designed to make the process of writing man pages more
 friendly. It reads scdoc syntax from stdin and writes roff to stdout, suitable
 for reading with man(1).

Package: schedtool
Description-md5: 3d03e09de1cd5474945d0ceda02e3393
Description-en: Queries/alters process' scheduling policy and CPU affinity
 Schedtool can query or alter a process' scheduling policy in Linux,
 specifically assigning SCHED_NORMAL, _FIFO, _RR, _BATCH, _ISO or _IDLEPRIO. It
 can set static priority for _FIFO and _RR. Setting CPU-affinity and (re)nicing
 is also possible, making schedtool the definitive interface to Linux scheduler.
 .
 It can be used to avoid skips and glitches in A/V applications, to lock
 processes onto given CPUs on SMP/NUMA systems, which may be beneficial for
 networking or benchmarks, or to adjust nice-levels of lesser important jobs to
 maintain a high amount of interactive responsiveness under high load. It is
 also handy to manage realtime processes.
 .
 If you don't know about scheduling policies, you probably don't want to use
 this program - or learn and read "man sched_setscheduler".
 .
 Some supported scheduling policies (such as SCHED_ISO, or SCHED_IDLEPRIO) need
 a patched kernel (eg. Con Kolivas' -ck patchset).

Package: schema2ldif
Description-md5: 7fcad41d7af3706632e23984d3baff14
Description-en: Tool for converting OpenLDAP-style schemas to the LDIF format
 The schema2ldif tool will read the given input file and convert it to an
 LDIF file that you can insert into you LDAP directory.
 .
 The schema2ldif tool is part of and provided by the FusionDirectory project.

Package: scheme2c
Description-md5: 9ea30c30cb7a4ec64febcf11f1c73915
Description-en: Joel Bartlett's fabled Scheme->C system
 The original Scheme->C system, the first of its kind.  It conforms to
 the R4RS Scheme standard, and compiles Scheme sources to C as an
 intermediate step, allowing easy integration with external libraries.
 Also included is an interpreter, for development, along with some X11
 interfaces and an X11-enabled interpreter.  Due to a variety of
 clever techniques, the system is quite fast.

Package: scheme2c-doc
Description-md5: 488c26dc4781ffde93d45ccca5ba046e
Description-en: Documentation for the Scheme->C system
 The documentation that accompanies the Scheme->C system includes a
 programmer's guide, tutorial, and a copy of the R4RS specification.

Package: scheme48
Description-md5: 00d82616dde2201f90a0817798a34d2a
Description-en: simple, modular, and lightweight Scheme implementation
 Scheme48 is an implementation of Scheme written by Richard Kelsey
 and Jonathan Rees. It is based on a byte-code interpreter and
 incorporates such elements as a sophisticated module system, a
 pre-emptive & user-level thread system, a well-integrated
 interactive environment, Clinger's explicit renaming low-level
 macros, and decent efficiency for its architecture.

Package: scheme48-doc
Description-md5: 90ad72eb08e1180f8a5d1059171d2351
Description-en: Documentation for the Scheme48 implementation of Scheme
 Scheme48 is an implementation of Scheme written by Richard Kelsey
 and Jonathan Rees. It is based on a byte-code interpreter and
 incorporates such elements as a sophisticated module system, a
 pre-emptive & user-level thread system, a well-integrated
 interactive environment, Clinger's explicit renaming low-level
 macros, and decent efficiency for its architecture.
 .
 This package provides "The Incomplete Scheme48 Reference Manual" in
 html and pdf form.

Package: scheme9
Description-md5: f1eca5b7e42efd7e2caca840825d756e
Description-en: Scheme 9 from Empty Space R4RS Scheme interpreter
 Scheme 9 from Empty Space is an interpreter for a broad subset of
 R4RS Scheme, and runs in many popular environments, including
 Linux, *BSD, the unmentionable horror, and Plan 9.  The S9fES code
 strives to be simple and comprehensible.  It is particularly
 interesting to people who want to (a) try Scheme without having to
 jump through too many hoops (S9fES is very portable); or (b) study
 the implementation of Scheme (in a language other than Scheme).  A
 free online textbook describing the system is also available.

Package: schism
Description-md5: 1e66d5e86c11251a589c716a6a34790d
Description-en: ImpulseTracker clone aiming at providing the same look&feel
 This is an editor for "tracked" music, i.e. audio samples of instruments
 pitch shifted according to the note data.
 .
 The Impulse Tracker is one of the most popular "tracker" programs at
 present, and Schism Tracker attempts to follow their UI layout wherever
 possible in order to make it easy for existing users to switch.

Package: schleuder
Description-md5: 13d7dc7889e025fe160cbbbf3b53ad4f
Description-en: GPG-enabled mailing list manager with resending-capabilities
 Schleuder is a GPG-enabled mailing list manager with resending-capabilities.
 Subscribers can communicate encrypted (and pseudonymously) among themselves,
 receive emails from non-subscribers and send emails to non-subscribers via the
 list.
 .
 Version 3 of schleuder is a complete rewrite, which aims to be more robust,
 flexible, and internationalized. It also provides an API to be used with
 schleuder-cli and/or schleuder-web.

Package: schleuder-cli
Description-md5: f3eb8842615bd7b625b55f03620f057c
Description-en: command line tool to configure schleuder mailing lists
 Schleuder-cli enables creating, configuring, and deleting schleuder mailing
 lists, subscriptions, keys, etc.
 .
 It uses the Schleuder API, provided by schleuder-api-daemon (part of
 Schleuder).
 .
 Authentication and TLS-verification are mandatory. You need an API-key and the
 fingerprint of the TLS-certificate of the Schleuder API, respectively. Both
 should be provided by the API operators.
 .
 schleuder-cli does *not* authorize access. Only people who are supposed to have
 full access to all lists should be allowed to use it on/with your server.

Package: schleuder-gitlab-ticketing
Description-md5: 551c1f5fde644d0014ff99f33e51eb00
Description-en: filter plugin to hook Schleuder into a GitLab issue tracker
 schleuder-gitlab-ticketing combines a Schleuder list with the issue tracker of
 a GitLab project and operates as a state tracker of threads on the list. This
 allows one to keep an overview on the state of various requests on a help desk
 powered by Schleuder.

Package: scid
Description-md5: 5da8bb3a7be4b2839e6412d28d11d134
Description-en: chess database with play and training functionality
 Shane's Chess Information Database is a chess database application with
 a graphical user interface. You can browse databases of chess games,
 edit them and search for games by various criteria. Scid uses its
 own compact and fast database format, but can convert to and from PGN.
 Its also possible to play live on the internet and practice tactical
 knowledge.
 .
 This package contains the main program.

Package: scid-data
Description-md5: b1cd728a38884154bb592986d81fae10
Description-en: data files for scid, the chess database application
 Shane's Chess Information Database is a chess database application with
 a graphical user interface. You can browse databases of chess games,
 edit them and search for games by various criteria. Scid uses its
 own compact and fast database format, but can convert to and from PGN.
 Its also possible to play live on the internet and practice tactical
 knowledge.
 .
 This package contains scid data files.

Package: scid-rating-data
Description-md5: 0ebca9932899b7cc767efb5f01dd6dae
Description-en: contains spelling corrections and ELO ratings for scid chess databases
 spelling corrections and ELO chess ratings for maintaining scid chess databases.
 They can be used to correct and identify player names, events and ratings in chess
 databases. This is necessary, because large databases come from various
 sources and only with spell corrected files there is a chance to identify
 duplicate database entries. The content is identical with scid-spell-data,
 it has additional ELO ratings and replaces scid-spell-data.

Package: scid-spell-data
Description-md5: 00c0ab73fa6f2ce89470cfbe878bbc6b
Description-en: contains spelling corrections for scid chess databases
 spelling corrections for maintaining scid chess databases. They can be
 used to correct and identify player names, events and ratings in chess
 databases. This is necessary, because large databases come from various
 sources and only with spell corrected files there is a chance to identify
 duplicate database entries.

Package: science-all
Description-md5: e06ee09d6bd43e2a67f2c3f7c777409b
Description-en: Default selection of tasks for Debian Science
 This package is part of the Debian Science Pure Blend and installs all
 tasks for a default installation of this blend.

Package: science-biology
Description-md5: 59633f821572a37f2f07d2231a8d2300
Description-en: Debian Science Biology packages
 This metapackage will install Debian Science packages related to
 Biology.  You might also be interested in the field::biology debtag.
 .
 This metapackage makes use of the packages med-bio and med-bio-dev
 (for development of biological applications) which are maintained
 by Debian Med - another Debian Pure Blend.  If you are a biologist
 you are most probably interested in the Debian Med project which
 deals with biology and medicine in much more detail then the more
 general Debian Science.

Package: science-chemistry
Description-md5: 9277c2851998d90846d1e6ab4e1a86b0
Description-en: Debian Science Chemistry packages
 This metapackage will install Debian Science packages related to
 Chemistry.  You might also be interested in the field::chemistry
 debtag and, depending on your focus, in the education-chemistry
 metapackage.

Package: science-config
Description-md5: 5075c45d6fdbda4b2002ecf80b6f5aae
Description-en: Debian Science Project config package
 This package provides the basic infrastructure of all science-* packages and is
 needed by them.
 .
 These are the science related metapackages in the Debian Science project:
 .
  * science-biology         packages related to biology
  * science-chemistry       packages related to chemistry
  * science-dataacquisition packages related to data acquisition
  * science-dataacquisition-dev packages related to data acquisition
                            development
  * science-distributedcomputing  distributed computing packages
  * science-economics       packages related to economics
  * science-electrophysiology  packages related to electrophysiology
  * science-electronics     packages related to electronics
  * science-engineering     packages related to engineering
  * science-engineering-dev packages related to development of
                            engineering applications
  * science-financial       packages related to financial engineering
  * science-geography       packages related to geography
  * science-geometry        packages related to geometry
  * science-highenergy-physics packages related to high energy physics
  * science-highenergy-physics-dev packages related to development of
                            high energy physics applications
  * science-linguistics     packages related to linguistics
  * science-machine-learning  packages related to machine learning
  * science-mathematics     packages related to mathematics
  * science-mathematics-dev packages related to development of
                            mathematical applications
  * science-meteorology     packages related to meteorology and climate
  * science-meteorology-dev packages related to the development of
                            meteorology and climate applications
  * science-nanoscale-physics  packages related to nanoscale physics
  * science-nanoscale-physics-dev packages useful for developing nanoscale
                            physics applications
  * science-neuroscience-cognitive   packages related to cognitive
                            neuroscience
  * science-neuroscience-datasets    packages for Neuroscience Datasets
  * science-neuroscience-modeling    packages for modeling of neural
                            systems
  * science-physics         packages related to physics
  * science-physics-dev     packages related to development of physical
                            applications
  * science-psychophysics   packages related to packages for psychophysics
  * science-robotics        packages related to robotics
  * science-robotics-dev    packages related to development of robotics
                            applications
  * science-simulation      packages to do simulations
  * science-social          packages related to social sciences
 .
 This are metapackages for common utilities for all sciences:
 .
  * science-imageanalysis   packages related to image analysis
  * science-imageanalysis-dev packages related to image analysis
                            development
  * science-numericalcomputation   packages related to numerical
                            computation
  * science-presentation    packages related to presentation
  * science-statistics      packages related to statistics
  * science-tools           packages containing misc tools useful in science
  * science-typesetting     packages related to typesetting
  * science-viewing         packages related to viewing
  * science-viewing-dev     packages related to development of viewing
                            applications
 .
 Note: All these packages are so called metapackages. This means they are not
 executable programs, but only links to other packages. This way you will
 conveniently get most of scientific free software which is available in
 Debian.

Package: science-dataacquisition
Description-md5: 54fc379349ef51d233f06bdeb40d026d
Description-en: Debian Science data acquisition packages
 This metapackage will install Debian Science packages related to data
 acquisition.  This might be used in several sciences (as for instance
 science-viewing and science-typesetting).

Package: science-dataacquisition-dev
Description-md5: 98ede6baa9634d574d82c60b76c29414
Description-en: Debian Science data acquisition development packages
 This metapackage will install Debian Science packages which are helpful
 to develop applications related to data  acquisition.  This might be
 used in several sciences.

Package: science-distributedcomputing
Description-md5: 727df4bf2091a0832d0620bd7d5354e2
Description-en: Debian Science Distributed Computing packages
 This metapackage will install Debian Science packages useful for
 various types of distributed computing, such as grid-, cloud-, cluster-
 and parallel-computing.

Package: science-economics
Description-md5: 80f827961e1488d3a3139993ed019531
Description-en: Debian Science Economics packages
 This metapackage will install Debian Science packages useful for economics and
 econometrics. It includes user-friendly programs for simulating and estimating
 macro-economic and micro-economic models. It also provides computing
 environments which can solve a wide range of problems typically encountered in
 economic research. These environments provide functionalities similar to those
 of popular non-free systems (such as MATLAB, Mathematica, Stata or SAS).

Package: science-electronics
Description-md5: 8061ce7a5c41e23f5f9702b13623456e
Description-en: Debian Science Electronics transitional package
 Debian-Electronics is now a separate Debian Pure Blend and allows a
 fine-grained control on the topics to install. To ease the transition,
 this package will install all user related tasks from Debian-Electronics.

Package: science-electrophysiology
Description-md5: ba960a78d9c5942a13c981e35e9ade59
Description-en: Debian Science packages for Electrophysiology
 This metapackage will install Debian packages which might be useful for
 scientists doing electrophysiology-based neuroscience research.
 .
 The selection of packages is targeting the application of analysis
 techniques. Methods developers are referred to the
 science-statistics, science-imageanalysis, science-numericalcomputation,
 med-imaging, and med-imaging-dev metapackages for a variety of additional
 software that might be useful for electrophysiology research.

Package: science-engineering
Description-md5: 3ff49da9cd140839d1f263ec2e8537f2
Description-en: Debian Science Engineering packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to Engineering.
 .
 Please note that there is an additional package engineering-dev
 which depends from packages which are useful to develop engineering
 related software.

Package: science-engineering-dev
Description-md5: 9ddacfe9aa8f126fb0392e1b9648e879
Description-en: Debian Science Engineering-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Engineering.
 .
 You might also be interested in the science-engineering metapackage.

Package: science-financial
Description-md5: 701ea5ecbfc92c1a0d6b72b5adff0c9b
Description-en: Debian Science financial engineering and computational finance
 This metapackage will install Debian Science packages for financial
 engineering and computational finance.

Package: science-geography
Description-md5: fba06c418ed266efef128294178e8b31
Description-en: Debian Science Geography packages
 This metapackage will install Debian Science packages related to
 Geography.  You might also be interested in the field::geography
 debtag and, depending on your focus, in the education-geography
 metapackage.

Package: science-geometry
Description-md5: c048f98ba7d86beca1e10859407a38d3
Description-en: Debian Science geometry packages
 This metapackage will install Debian Science packages related to
 geometry.  You might also be interested in the field::mathematics
 debtag and, depending on your focus, in the education-mathematics
 metapackage.

Package: science-highenergy-physics
Description-md5: 9a47d6425e6fd35b16a2f15f23f61d89
Description-en: Debian Science High Energy Physics packages
 This metapackage will install Debian Science packages related to High Energy
 Physics, which is a branch of physics that studies the elementary subatomic
 constituents of matter and radiation, and their interactions.  The field is
 also called Particle Physics.
 .
 You might also be interested in the debtag field::physics and, depending on
 your focus, in the physics and education-physics metapackages.

Package: science-highenergy-physics-dev
Description-md5: 6bb57874d30a1af49028b51461e7bd48
Description-en: Debian Science High Energy Physics development packages
 This metapackage will install Debian Science packages related to development
 of High Energy Physics applications, which is a branch of physics that studies
 the elementary subatomic constituents of matter and radiation, and their
 interactions.  The field is also called Particle Physics.
 .
 You might also be interested in the debtag field::physics and, depending on
 your focus, in the physics and education-physics metapackages.

Package: science-imageanalysis
Description-md5: 219fc26877b26d8b9e3a2317733ed79a
Description-en: Debian Science image analysis packages
 This metapackage will install Debian Science packages related to
 scientific image acquisition.  This might be used in several
 sciences (as for instance science-dataacquisition, science-viewing
 and science-typesetting).

Package: science-imageanalysis-dev
Description-md5: 94468c16776b8e8c9d0efd83fda3436f
Description-en: Debian Science development of image analysis applications
 This metapackage will install Debian Science development libraries to
 develop scientific image analysis applications acquisitions.

Package: science-linguistics
Description-md5: e979816874a5c7f8eecd154411537ae5
Description-en: Debian Science Linguistics packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to Linguistics.

Package: science-logic
Description-md5: 80226a1f4eed77145a13176d0af8c5dc
Description-en: Debian Science Logic packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to Computational Logic.  It contains
 formula transformation tools, solvers for formulas specified in
 various logics, interactive proof systems, etc.

Package: science-machine-learning
Description-md5: 7b159d266013cc188474cc1bc06f0ead
Description-en: Debian Science Machine Learning packages
 This metapackage will install packages useful for machine learning.
 Included packages range from knowledge-based (expert) inference
 systems to software implementing the advanced statistical methods
 that currently dominate the field.

Package: science-mathematics
Description-md5: 9fee3b99223e690652e284417cf1ffe0
Description-en: Debian Science Mathematics packages
 This metapackage will install Debian Science packages related to
 Mathematics.  You might also be interested in the field::mathematics
 debtag and, depending on your focus, in the education-mathematics
 metapackage.

Package: science-mathematics-dev
Description-md5: 85c64fa3f4eff13a1bb4bee9a174c1b6
Description-en: Debian Science Mathematics-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Mathematics.
 .
 You might also be interested in the science-mathematics metapackage.

Package: science-meteorology
Description-md5: 47e2050a5f3d2382eaa9138d3bef1a1e
Description-en: Debian Science Meteorology packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to Meteorology and Climate.

Package: science-meteorology-dev
Description-md5: 83c1ad7d0a305ba21c0609c6105a8920
Description-en: Debian Science Meteorology-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Meteorology and Climate.
 .
 You might also be interested in the science-meteorology metapackage.

Package: science-nanoscale-physics
Description-md5: c0a5287377999ec2a37a6e0db43105a4
Description-en: Debian Science Nanoscale Physics packages
 This metapackage will install Debian Science packages related to
 Nanoscale Physics, which corresponds to the study of physical systems
 typically ranging from 1 to 100 nm in size. The properties of such
 systems usually depend on the number of atoms they are made of, while
 this number is still relatively large for an accurate description.
 .
 The nanoscale is the meeting point of classical and quantum physics.
 Previous research efforts were considering either smaller systems, for
 which everybody could develop their own methods and software
 independently, or much bigger systems, for which it was clearly
 impossible to provide a fine-grained description. Addressing the issues
 raised by the nanoscale requires however cooperative and coordinated
 efforts in a multidisciplinary context. This metapackage is part of
 such an endeavor.
 .
 You might also be interested in the debtag field::physics and, depending on
 your focus, in the physics and education-physics metapackages.

Package: science-nanoscale-physics-dev
Description-md5: 3a5eb018325a4dc712eb23d108bf8a45
Description-en: Debian Science Nanoscale Physics development packages
 This metapackage will install Debian Science packages which might be
 helpful for the development of applications for Nanoscale Physics.
 .
 You might also be interested in the debtag field::physics and, depending
 on your focus, in the nanoscale-physics, physics and education-physics
 metapackages.

Package: science-neuroscience-cognitive
Description-md5: 1c73d8088fb52ba5574c8071ec3fc638
Description-en: Debian Science packages for Cognitive Neuroscience
 This metapackage will install Debian packages which might be useful for
 scientists doing cognitive neuroscience research. This comprises the full
 research process from conducting psychophysical experiments, over data
 acquisition and analysis, to visualization and typesetting of scientific
 results.
 .
 The selection of packages is targeting the application of analysis
 techniques. Methods developers are referred to the science-statistics,
 science-imageanalysis, science-numericalcomputation, med-imaging, and
 med-imaging-dev metapackages for a variety of additional software that
 might be useful in the context of cognitive neuroscience.

Package: science-neuroscience-modeling
Description-md5: 073c70932ac5d18a3a8d34aa70d760b5
Description-en: Debian Science packages for modeling of neural systems
 This metapackage will install Debian packages which might be useful for
 scientists interested in modeling of real neural systems at different
 levels (from single neuron to complex networks).
 .
 The selection of packages is targeting the application of simulation
 techniques. Methods developers are referred to the
 science-statistics, science-imageanalysis,
 science-numericalcomputation, med-imaging, and med-imaging-dev
 metapackages for a variety of additional software that might be
 useful for neuroscience research.

Package: science-numericalcomputation
Description-md5: d0781f82cf064c56fa77739246994c26
Description-en: Debian Science Numerical Computation packages
 This metapackage will install Debian Science packages useful for
 numerical computation. The packages provide an array oriented
 calculation and visualisation system for scientific computing and
 data analysis. These packages are similar to commercial systems such
 as Matlab and IDL.

Package: science-physics
Description-md5: 673b149dddb600171f4a9edd22d38210
Description-en: Debian Science Physics packages
 This metapackage will install Debian Science packages related to Physics.
 You might also be interested in the debtag field::physics and, depending on
 your focus, in education-physics metapackage.

Package: science-physics-dev
Description-md5: ffad87137a7fb83bbdad74e26476b7ba
Description-en: Debian Science Physics-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Mathematics.
 .
 You might also be interested in the science-physics metapackage.

Package: science-presentation
Description-md5: d66dde61cca8c6288d9b251f498d3b6d
Description-en: Debian Science generic tools for presentations
 This metapackage will install Debian Science some packages which are
 useful for doing presentations for instance on scientific conferences.

Package: science-psychophysics
Description-md5: ab7c4d338cce5ad11e126d14864c6ffc
Description-en: Debian Science packages for Psychophysics
 This metapackage will install Debian packages which might be useful for
 carrying out any experiment relating physical stimuli and their
 psychological effects.
 .
 The selection of packages is targeting software for stimuli delivery.
 For additional software related to the analysis of the acquired data
 refer to science-neuroscience-cognitive, med-imaging depending on the
 domain of application.  Additionally look into
 science-bci since those often provide a complete loop
 frameworks including stimuli delivery.

Package: science-robotics
Description-md5: d50fef0e45adee17027409096f24dd18
Description-en: Debian Robotics packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to Robotics.
 .
 You might also be interested in the science-engineering metapackage.

Package: science-robotics-dev
Description-md5: ed6ae866d98c6314afc92733df9a564e
Description-en: Debian Robotics development packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages that are relevant to develop applications
 for robotics.

Package: science-simulations
Description-md5: ee41931d9f43bd8f0471aa37d3ef9fae
Description-en: Debian Science Simulation packages
 This metapackage will install Debian Science packages that are
 used to do simulations in different fields of science.

Package: science-statistics
Description-md5: 4c16eed7d6171fc6aef34ec40682c218
Description-en: Debian Science Statistics packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to statistics.  This task is a general
 task which might be useful for any scientific work.  It depends from
 a lot of R packages as well as from other tools which are useful to
 do statistics.  Moreover the Science Mathematics task is suggested
 to optionally install all mathematics related software.

Package: science-tasks
Description-md5: 930e8e8c8b3c861c0bedd27915e946ed
Description-en: Debian Science tasks for tasksel
 This package is part of the Debian Pure Blend "Debian Science" and provides
 additional tasks for tasksel.  If this package will be installed all tasks
 which are provided by Debian Science will be added to the tasksel menu.

Package: science-typesetting
Description-md5: 6d2d4814e042c2ca9ea683a02d565bff
Description-en: Debian Science typesetting packages
 This metapackage will install Debian Science packages related to
 typesetting.  You might also be interested in the use::typesetting
 debtag.

Package: science-viewing
Description-md5: bf13cf3057515a6227d066736c76f8d8
Description-en: Debian Science data visualisation packages
 This metapackage will install Debian Science packages related to data
 visualization. You might also be interested in the use::viewing
 debtag.

Package: science-viewing-dev
Description-md5: 164c06053299669db8bf110e3e4a5c44
Description-en: Debian Science development of visualisation applications
 This metapackage will install Debian Science packages related to the
 development of applications to visualise scientific data.

Package: science-workflow
Description-md5: b13be4f0d085c5374834a12eb1cfd16b
Description-en: workflow management systems useful for scientific research
 This task lists some packages providing workflow management
 systems useful for scientific research.

Package: scilab
Description-md5: 36b280660db0e407caf724c273ac9413
Description-en: Scientific software package for numerical computations
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for control, signal
 processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 For a minimum version of scilab, install package "scilab-cli".

Package: scilab-cli
Description-md5: c82205392ad1a90583774dae1eb33898
Description-en: Scientific software package - Command Line Interpreter
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package provides Scilab as Command Line Interpreter (CLI). This package
 provides Scilab as a computing engine or script interpreter.
 Please install the package "scilab" to have all features.

Package: scilab-data
Description-md5: fa23f61e370b384e580df50863a46510
Description-en: Scientific software package for numerical computations (data files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the data files for Scilab.

Package: scilab-doc
Description-md5: 2d806e94ea03a4e506f4847044eb9d9f
Description-en: Scientific software package (English documentations)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains demos, examples and help pages.

Package: scilab-doc-fr
Description-md5: 8acc7c8fa2dd47083e2bc10e25801da3
Description-en: Scientific software package (French documentation)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains help pages in French.

Package: scilab-doc-ja
Description-md5: 0c3a3d350093b95707f5bb9e59b96779
Description-en: Scientific software package (Japanese documentation)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains help pages in Japanese documentation.

Package: scilab-doc-pt-br
Description-md5: 6d55faf50c912a6a3b84f5bd8291ae6e
Description-en: Scientific software package (Brazilian Portuguese documentation)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains help pages in Brazilian Portuguese documentation.

Package: scilab-full-bin
Description-md5: 30d04f61ad2b7de2e03b85cf2b5ba6c6
Description-en: Scientific software package for numerical computations (all binary files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 .
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the architecture specific binary files.

Package: scilab-include
Description-md5: 4fac92985e7799483e0d96922456d1f4
Description-en: Scientific software package for numerical computations (include files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the include files for Scilab (used in the dynamic link).

Package: scilab-minimal-bin
Description-md5: 019d4762370977bc7990af8b542c30a3
Description-en: Scientific software package for numerical computations (minimal binary files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the architecture specific binary files.
 All mandatory libraries for scilab-cli are shipped in this package.
 Please install the package "scilab" to have all features.

Package: scilab-test
Description-md5: 4054ca8da6df5bad9f6366dc2b0079ed
Description-en: Scientific software package for numerical computations (test files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the test files (unitary, non regression,
 performance ...) for Scilab.
 .
 See Scilab function 'test_run' for usage.

Package: scim
Description-md5: 10bc2342ad086acb0461986705697160
Description-en: smart common input method platform
 Smart Common Input Method (SCIM) is an input method (IM) platform.  Input
 methods are needed to enter complex characters in many non-latin languages.
 SCIM provides a common platform for various plugin modules and independent IM
 programs, as well as a set of modules and programs on its own.  It is highly
 modularized and exposes abstract interfaces, so that plugin modules with
 different functions can easily communicate with each other.  The currently
 supported module types are configuration, IM engine, front end, filter, and
 setup GUI.
 .
 SCIM achieves the communication between IM engines and front ends through
 both shared library linking and server/client mode.  It supports XIM
 protocol, as well as GTK+ IM module.
 .
 This package is the main binary package of SCIM.  It includes: the main
 program scim (GTK+ based) and other support programs; simple configuration
 module, X11 front end module, rawcode IM engine module,
 simplified/traditional Chinese conversion filter module, and their
 corresponding setup GUI modules; GTK+ panel and its setup GUI module; and a
 GTK+ based setup tool.
 .
 SCIM is a well accepted platform and features various input method engines
 for many languages.  In Debian you can find the following separately packaged
 IMs useful: scim-tables-{additional,ja,ko,zh}, scim-pinyin, scim-uim,
 scim-m17n, scim-chewing, scim-anthy, scim-canna, scim-prime, and scim-skk.
 GTK+ users would also find package scim-gtk-immodule useful for GTK+ IM
 module support.
 .
 For development on SCIM platform, please see the description of scim-dev
 package.

Package: scim-anthy
Description-md5: 248904f810be0c862510b14e98cf6ffe
Description-en: SCIM IMEngine module for Anthy
 Smart Common Input Method platform (SCIM) is an input method server
 and a development platform to make Input Method developers' lives
 easier.
 .
 Anthy is a simple and secure input method in Japanese.
 scim-anthy connects Anthy to SCIM.

Package: scim-canna
Description-md5: 092d34f4d1d34277e3b540516de7ecbf
Description-en: SCIM IMEngine module for Canna
 Smart Common Input Method platform (SCIM) is an input method server
 and a development platform to make Input Method developers' lives
 easier.
 Canna is a Japanese input system available in Free Software.
 Canna provides a unified user interface for inputting Japanese.
 Canna converts kana to kanji based on a client-server model and
 supports automatic kana-to-kanji conversion.

Package: scim-chewing
Description-md5: fe583a5cc9e44e40fd40b223a89250b3
Description-en: Chewing IM engine module for SCIM
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is the chewing IM engine module for SCIM. With this module,
 you can use intelligent Chinese input method with HanYu PinYin keyboard
 and a various of ZhuYin(bopomofo) keyboards.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-clutter-immodule
Description-md5: 8851b6c89f6a4e2c3ff4c85f61fc234b
Description-en: Clutter input method module with SCIM as backend
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is the clutter native input method module for SCIM.  It provides
 a clutter IM module for clutter-1.0 with SCIM as the input backend.
 This input method module should work within all clutter platforms.
 .
 The clutter IM module communicates with SCIM backend through the IM agent
 provided by scim-im-agent package.
 .
 For more information about SCIM, please see the description of scim package.

Package: scim-dev
Description-md5: d2c1f13303b65ddee6ba9df222b092b1
Description-en: development files for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is a metapackage to provide development libraries and
 documentations for SCIM platform.
 .
 For more information about SCIM, please see the description of scim package.

Package: scim-dev-doc
Description-md5: d14e2f5c6284d23b3aa6120f158c06d5
Description-en: development documentation for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains doxygen-generated HTML development documentation
 for SCIM APIs.
 .
 For more information about SCIM and SCIM development, please see the
 description of scim and scim-dev packages.

Package: scim-gtk-immodule
Description-md5: 2d5302706c9538f8c859dc6f8b6a6443
Description-en: GTK+ input method module, with SCIM as the input backend
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is the GTK+ native input method module for SCIM.  It provides
 a GTK+ IM module for versions 2 and 3 of GTK+, with SCIM as the input backend.
 This input method module should work within all GTK+ platforms, including
 gtk-x11, gtk-linuxfb and gtk-directfb.
 .
 The GTK+ IM module communicates with the SCIM backend through the IM agent
 provided by the scim-im-agent package.
 .
 For more information about SCIM, please see the description of scim package.

Package: scim-im-agent
Description-md5: 5b4db507bf7433206fb1a63bfbf8ce2f
Description-en: IM agent for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides the IM agent for SCIM. SCIM provides various IM modules
 for Gtk/clutter program. The IM modules communicate with SCIM through the
 IM agent.
 .
 For more information about SCIM, please see the description of scim package.

Package: scim-kmfl-imengine
Description-md5: eac7a001fc0ca9043666aea867420884
Description-en: KMFL (Keyboard Mapping for Linux) IM engine for the SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform for Linux.
 .
 This package provides the KMFL (Keyboard Mapping for Linux) IM engine for
 SCIM. With this module, you can use keyboards designed for Tavultesoft Keyman
 for Windows under the SCIM platform.

Package: scim-m17n
Description-md5: ef583464a60ed9a29490374f1da97744
Description-en: M17N Input Method Engine for SCIM
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 M17N (Multilingualization) Input Method Engine enables SCIM to input
 many non-latin characters from the keyboard using libm17n library.
 .
 Author: James Su <suzhe@tsinghua.org.cn>
 .
 For details about SCIM, please see the description of the scim package.

Package: scim-modules-socket
Description-md5: 068e48e97d5cb3f527acf38c6cf41d35
Description-en: socket modules for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides the socket modules for SCIM.  SCIM can use a local or
 inet socket as the front end and connect to the configuration and IM engine
 modules.  Then other computers and/or environments can share these input
 methods by connecting to the socket with socket IM engine module and socket
 configure module.
 .
 For more information about SCIM, please see the description of scim package.

Package: scim-modules-table
Description-md5: 54fdc1c66d17d2659c9294bf989f7ec3
Description-en: generic tables IM engine module for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is the generic tables IM engine for SCIM.  With data tables this
 module can provides input method for various languages.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-pinyin
Description-md5: ec6ce987f9cf62ec084ef8836d23fd45
Description-en: smart pinyin IM engine for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides smart pinyin IM engine for SCIM.  It includes the
 plugin modules and the data files.  With this module you can use the
 smart pinyin input method for Chinese.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-skk
Description-md5: 6a5778822d3198c71fa53ea7e48a4097
Description-en: SCIM IMEngine module like SKK input method
 Smart Common Input Method platform (SCIM) is an input method server
 and a development platform to make Input Method developers' lives
 easier.
 skk is simple and secure input method in Japanese.
 scim-skk comes to be able to input it like skk.

Package: scim-tables-additional
Description-md5: 86323104f93410fe1d0ab899c870be2e
Description-en: miscellaneous input method data tables for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains SCIM input method data tables for non-CJK languages.
 The currently supported languages are Amharic, Arabic, Nepali, Russian, Thai,
 Ukrainian, Vietnamese, and several Indic languages (Bengali, Gujarati, Hindi,
 Kannada, Malayalam, Punjabi, Tamil and Telugu).  It also provides support for
 X-SAMPA and LaTeX style input.
 .
 Note many table-based input methods provided in this package are very
 primitive, and probably doesn't fit native speakers' need.  Users
 should also look at scim-m17n package (which uses M17N library) and see
 if it provides better support to the language he/she needs.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-tables-ja
Description-md5: 8b79465e5466b23be4c4e1d4e86e4745
Description-en: Japanese input method data tables for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains SCIM table-based input method data for Japanese.
 Currently the provided tables are Hiragana, Katagana, and Nippon.
 .
 Note the table-based Japanese input method provided in this package is
 very primitive, probably only useful for people just start learning
 Japanese, and most certainly doesn't fit native speakers' need.  Users
 who need to input Japanese all the time should look at other SCIM
 modules for Japanese, such as scim-anthy package.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-tables-ko
Description-md5: 588fd4cfc35544d2cd404c8e00f9c3cb
Description-en: Korean input method data tables for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains SCIM table-based input method data for Korean.
 Currently the provided tables are Hangul, Hangul Romaja, and Hanja.
 .
 Note the table-based Korean input method provided in this package is
 very primitive, probably only useful for people just start learning
 Korean, and most certainly doesn't fit native speakers' need.  Users
 who need to input Korean all the time should look at other SCIM modules
 for Korean, such as scim-hangul package.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-tables-zh
Description-md5: 339e857e0eb9a8494f7b262a7dac2c1e
Description-en: Chinese input method data tables for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains SCIM table-based input method data for Chinese.
 Currently the provided tables are:
 .
 Array30, CangJie, CangJie3, CangJie5, Cantonese, CantonHK, CNS11643,
 Dayi3, EZ-Big, Erbi, Erbi-QS, Jyutping, Quick, Simplex, Stroke5, Wubi,
 Wu, ZhuYin, ZhuYin-Big, and Ziranma.
 .
 Traditional Chinese users may also want to look at the scim-chewing
 package, which provides a Phonetic (BoPoMoFo) input method likely
 better than the one provided by ZhuYin and ZhuYin-Big tables in this
 package.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-thai
Description-md5: 30277bb4291a6b28fe4277138ff63801
Description-en: Thai Input Method Engine for SCIM
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides Thai input method engine for SCIM.  Currently, it
 supports Ketmanee, TIS-820.2538 and Pattachote keyboards; three levels
 of strictness for input sequence check.

Package: scim-unikey
Description-md5: d1a972ca8eda60015b97b22cba4dcbd6
Description-en: Vietnamese Input Method Engine for SCIM using Unikey Engine
 Smart Common Input Method (SCIM) is an input method (IM) platform.
 .
 Scim-Unikey is a Vietnamese input method for SCIM
 Use Unikey engine to process keyevent

Package: sciplot-bin
Description-md5: 58d95d2647b6372de62d9e02683fdd57
Description-en: Example programs for SciPlot
 This package contains two small programs that use the SciPlot
 library: sciplot-xyplot and sciplot-realtime.
 The SciPlot Widget is a widget capable of plotting Cartesian or polar
 graphs, including logarithmic axes in Cartesian plots.

Package: scite
Description-md5: e8fc110210b532a2e575cfa5e27882f4
Description-en: Lightweight GTK-based programming editor
 GTK-based programming editor with syntax highlighting support for
 many languages. Also supports folding sections, exporting
 highlighted text into colored HTML and RTF.

Package: sciteproj
Description-md5: f64c3647a879e61b9a2bc66f52003ec9
Description-en: project manager for the SciTE editor
 Project manager for SciTE, used to group a bunch of files into a
 project for easy access in SciTE giving the possibility to group
 files in folders, using the director interface in SciTE to open them.

Package: scm
Description-md5: 3ba36eab08921f1f7fea5f25403b0894
Description-en: Scheme language interpreter
 SCM conforms to the R5RS (Revised^5 Report on the Algorithmic Language Scheme)
 and IEEE P1178 specifications, and is portable across many architectures and
 operating systems. It additionally includes a set of popular Common Lisp
 functions, POSIX and X Windows integration, and the Hobbit scheme-to-C
 compiler.

Package: scmail
Description-md5: 8aba9b74efc57dd73e38f6b0964dfd07
Description-en: a mail filter written in Scheme
 Scmail is a mail filter written in Scheme, you can write processing
 recipes in S-expression.  Scmail can be used as a filter for an
 incoming mail, invoked each time by .forward, or it can be used as a
 mail processor to distribute existing mails into the right mailbox.
 It comes with a bayesian spam filter called scbayes.

Package: scmxx
Description-md5: 5aaeb75a0035ce8915a3b47e0201efb5
Description-en: Exchange data with Siemens mobile phones
 SCMxx is a console program that allows you to exchange certain types of
 data with mobile phones made by Siemens. Some of the data types that can
 be exchanged are logos, ring tones, vCalendars, phonebook entries, and
 SMS messages. It works with the S25, S35i, M35i and C35i, SL45, S45 and
 ME45 and probably others.
 .
 You need a serial connection (either cable or infrared) to your mobile
 phone in order to use SCMxx.
 .
 It basically uses the AT command set published by Siemens
 (with some other, additional resources).

Package: scoary
Description-md5: 7cc373b23ea67fb43af0b4321f3400cc
Description-en: pangenome-wide association studies
 Scoary is designed to take the gene_presence_absence.csv file from
 Roary as well as a traits file created by the user and calculate the
 associations between all genes in the accessory genome and the traits. It
 reports a list of genes sorted by strength of association per trait.

Package: scolasync
Description-md5: 162ae820323856a7ee0d3803431fefce
Description-en: graphic tool to copy data to or from a set of USB storage media
 Teachers may use this package to manage a set of USB sticks owned by their
 students. The keys are recognized (with their owner's name), and the teachers
 can copy assignments to them and retrieve consistently the homeworks from
 the usb sticks.

Package: scons
Description-md5: ae7e354e01236878fd90148cd63ccb80
Description-en: replacement for make
 SCons is a make replacement providing a range of enhanced features such
 as automated dependency generation and built in compilation cache
 support.  SCons rule sets are Python scripts so as well as the features
 it provides itself SCons allows you to use the full power of Python
 to control compilation.

Package: scons-doc
Description-md5: d89bb50de4b02edebd0b085a312f45c7
Description-en: Documentation for SCons, a replacement for Make
 SCons is a make replacement providing a range of enhanced features such
 as automated dependency generation and built in compilation cache
 support.  SCons rule sets are Python scripts so as well as the features
 it provides itself SCons allows you to use the full power of Python
 to control compilation.
 .
 This package provides the SCons User's guide.

Package: scoop-doc
Description-md5: 4bdc5b9d478136a4a4236adbee4e5af8
Description-en: Python library for concurrent parallel programming (docs)
 SCOOP (Scalable COncurrent Operations in Python) is a distributed task
 module allowing concurrent parallel programming on various environments,
 from heterogeneous grids to supercomputers.
 .
 This package contains the documentation.

Package: scorched3d
Description-md5: 6dcd210eaa13f271d3ae444175db563d
Description-en: 3D artillery game similar to Scorched Earth
 Scorched3D is a game based heavily on the classic DOS game Scorched Earth
 "The Mother Of All Games". Scorched3D adds amongst other new features
 a 3D island environment and LAN and internet play.

Package: scorched3d-data
Description-md5: 22d268a2b086d0ca156c7bbf28d038e3
Description-en: data files for Scorched3D game
 Scorched3D is a game based heavily on the classic DOS game Scorched Earth
 "The Mother Of All Games". Scorched3D adds amongst other new features
 a 3D island environment and LAN and internet play.
 .
 This package contains data files for the game Scorched3D.

Package: scotch
Description-md5: d5dd99189155213730a9476b716aea87
Description-en: programs and libraries for graph, mesh and hypergraph partitioning
 Its purpose is to apply graph theory, with a divide and conquer
 approach, to scientific computing problems such as graph and mesh
 partitioning, static mapping, and sparse matrix ordering, in
 application domains ranging from structural mechanics to operating
 systems or bio-chemistry.
 .
 The SCOTCH distribution is a set of programs and libraries which
 implement the static mapping and sparse matrix reordering algorithms
 developed within the SCOTCH project.
 .
 SCOTCH has many interesting features:
 .
 o Its capabilities can be used through a set of stand-alone programs
 as well as through the libSCOTCH library, which offers both C and
 Fortran interfaces.
 .
 o It provides algorithms to partition graph structures, as well as
 mesh structures defined as node-element bipartite graphs and which
 can also represent hypergraphs.
 .
 o It can map any weighted source graph onto any weighted target
 graph. The source and target graphs may have any topology, and their
 vertices and edges may be weighted. Moreover, both source and target
 graphs may be disconnected. This feature allows for the mapping of
 programs onto disconnected subparts of a parallel architecture made
 up of heterogeneous processors and communication links.
 .
 o It computes amalgamated block orderings of sparse matrices, for
 efficient solving using BLAS routines.
 .
 o Its running time is linear in the number of edges of the source
 graph, and logarithmic in the number of vertices of the target graph
 for mapping computations.
 .
 o It can handle indifferently graph and mesh data structures created
 within C or Fortran programs, with array indices starting from 0 or
 1.
 .
 o It offers extended support for adaptive graphs and meshes through
 the handling of disjoint edge arrays.
 .
 o It is dynamically parametrizable thanks to strategy strings that
 are interpreted at run-time.
 .
 o It uses system memory efficiently, to process large graphs and
 meshes without incurring out-of-memory faults;
 .
 o It is highly modular and documented. Since it has been released
 under the CeCILL-C free/libre software license, it can be used as a
 testbed for the easy and quick development and testing of new
 partitioning and ordering methods.
 .
 o It can be easily interfaced to other programs. The programs
 comprising the SCOTCH project have been designed to run in
 command-line mode without any interactive prompting, so that they can
 be called easily from other programs by means of system() or popen()
 calls, or piped together on a single command line. Moreover, vertex
 labeling capabilities allow for easy renumbering of vertices.
 .
 o It provides many tools to build, check, and display graphs, meshes
 and matrix patterns.
 .
 o It is written in C and uses the POSIX interface, which makes it
 highly portable. PT-SCOTCH uses the MPI interface, and optionally the
 POSIX threads.

Package: scottfree
Description-md5: a6d185290b2fa81d538b24edf706a487
Description-en: Interpreter for Adventure International games
 ScottFree reads and executes TRS-80 format Scott Adams data files. It is
 possible to run other formats either by writing a loader for that format or
 a converter to TRS-80 format.
 .
 Most Adventure International Games are distributed as shareware and are
 available from http://www.ifarchive.org/indexes/if-archiveXscott-adams.html

Package: scour
Description-md5: 18a95d2e81aee060e39fbb127e5c40b9
Description-en: SVG scrubber and optimizer (CLI and debhelper)
 Scour is a Python module that aggressively cleans SVG files, removing a lot of
 unnecessary information that certain tools or authors embed into their
 documents.  The goal of scour is to provide an identically rendered image
 (i.e. a scoured document should have no discernible visible differences from
 the original file) while minimizing the file size.
 .
 WARNING: Scour is intended to be run on files that have been edited in Vector
 Graphics editors such as Inkscape or Adobe Illustrator.  Scour attempts to
 optimize the file, and as result, it will change the file's structure and
 (possibly) its semantics.  If you have hand-edited your SVG files, you will
 probably not be happy with the output of Scour.
 .
 This package provides the "scour" command line utility and a dh_scour
 debhelper extension which optimizes all shipped SVGs during package build. If
 gir1.2-rsvg-2.0 and python3-gi-cairo are available, it will also do a
 before/after comparison and discard the optimized image if they differ by more
 than 0.05%.

Package: scowl
Description-md5: 8271a64616c7dedad8c4b1602a326d8b
Description-en: Spell-Checker Oriented Word Lists
 The SCOWL is a collection of word lists organized by word popularity,
 language, word class, and other factors.  These lists can be
 combined in various ways (or used individually) for spell checking
 and similar purposes.
 .
 The Debian wamerican*, wbritish*, and wcanadian* wordlist packages
 are built from (appropriate collections of) these same lists.  Install
 one (or more) of those packages if you want a comprehensive word list;
 install scowl if you (also) want to pick and choose the pieces that
 comprise those lists.
 .
 You can learn more about SCOWL (and other English word lists) at
 http://wordlist.sourceforge.net/

Package: scram
Description-md5: 072744cc46ef6e5c51bd05f80af573ec
Description-en: Probabilistic Risk Analysis Tool
 A command line probabilistic risk analysis tool
 capable of performing event tree analysis,
 static fault tree analysis,
 analysis with common cause failure models,
 probability calculations with importance analysis,
 and uncertainty analysis with Monte Carlo simulations.

Package: scram-gui
Description-md5: 90e7be2962bf92d8f77420f6b6f4c323
Description-en: SCRAM GUI front-end
 The complementary SCRAM GUI front-end
 for visualization and manipulation
 of risk analysis models and reports.

Package: scratch
Description-md5: 49557af04fa6ea2e60b11b8103d5a8d0
Description-en: easy to use programming environment for ages 8 and up
 Scratch is an easy, interactive, collaborative programming
 environment designed for creation of interactive stories, animations,
 games, music, and art -- and sharing these on the web.
 Scratch is designed to help young people (ages 8 and up) develop 21st
 century learning skills. As they create Scratch projects, young people
 learn important mathematical and computational ideas, while also
 gaining a deeper understanding of the process of design.

Package: scrcpy
Description-md5: 72d4faad602c704de9726786c0aa9484
Description-en: Display and control your Android device
 This application provides display and control of Android devices connected on
 USB (or over TCP/IP). It does not require any root access.
 .
 This package contains the client (desktop) binary.

Package: scrcpy-server
Description-md5: 45081968850af638d4f8cf5f03e7297e
Description-en: Display and control your Android device - server binary
 This application provides display and control of Android devices connected on
 USB (or over TCP/IP). It does not require any root access.
 .
 This package contains the server (mobile) binary, which will be pushed to the
 Android device.

Package: screenbin
Description-md5: 188943fcf69a2090738525b0f57d89f5
Description-en: use Amazon EC2 to host a shared screen session
 screenbin uses Amazon's EC2 cloud to dynamically instantiate an Ubuntu
 instance in the cloud, install a specified list of ssh keys, and launch a
 shared screen session as a guest user. In this manner, screenbin is a
 collaborative tool like pastebin, or gobby, but provides a shared shell
 environment.
 .
 This is particularly useful for synchronous code reviews, education,
 collaborative debugging, and demonstrations when a shared terminal
 between two or more people is required.
 .
 Amazon EC2 provides a central location accessible via ssh by all parties,
 such that no individual needs to modify local firewall settings to allow
 incoming ssh access.  And the 'throw-away' nature of EC2 instances
 allows the host to immediately destroy the instance should anything
 go wrong.

Package: screenfetch
Description-md5: 7b1e70f3b4bbdc34202258ffeec7028a
Description-en: Bash Screenshot Information Tool
 screenFetch is a "Bash Screenshot Information Tool". This handy Bash script
 can be used to generate one of those nifty terminal theme information + ASCII
 distribution logos you see in everyone's screenshots nowadays.
 .
 It will auto-detect your distribution and display an ASCII version of that
 distribution's logo and some valuable information to the right. There are
 options to specify no ascii art, colors, taking a screenshot upon displaying
 info, and even customizing the screenshot command.

Package: screengrab
Description-md5: 18c56c0159884022e00cac98d3c221da
Description-en: Crossplatform tool for getting screenshots
 Screengrab working in Linux and Windows. The program uses Qt and is
 independent of any desktop environment.
 Main features:
  * Get desktop screenshots
  * Get active window screenshots
  * Get secreenshots of desktop selection area
  * Copy screenshot to clipboard
  * Saving your image files in formats PNG or JPEG or BMP
  * Ability to set delay in getting screenshots (from 1 to 90 seconds)

Package: screenie
Description-md5: 89ade5121926b1680a32329f5945f221
Description-en: Lightweight GNU screen(1) wrapper
 Screenie is a small and lightweight screen(1) wrapper designed
 to simplify management of detached jobs by providing simple
 interactive menu.
 .
 This is an enhanced Perl-reimplementation of 'screenie'
 by Marc O. Gloor

Package: screenkey
Description-md5: 3b5ccfc1ef76e208ce279990172ff50a
Description-en: screencast tool to display your keystrokes
 Screenkey is a screencast tool to display your keys inspired
 by Screenflick for Mac OS and based initially on key-mon project.
 .
 It’s useful to create screencasts and is also a powerful teaching tool.

Package: screenruler
Description-md5: 983113ec8a34327d28c1fa241ee39e16
Description-en: measure objects on screen with a variety of metrics
 ScreenRuler will display a ruler on screen which allows you to measure the
 other objects that you've there. It has the following features:
  * Horizontal and vertical measurement in 6 different metrics:
    pixels, centimetres, inches, picas, points, and as a percentage
    of the ruler's length.
  * Cusomizable colors and fonts.
  * Keyboard control for precise positioning.
  * Option for the ruler to stay always on top of other windows.

Package: screentest
Description-md5: 75b9f633d387b68a1c088c1a554ece8e
Description-en: Utility to test the quality of screens
 Screentest is a CRT and LCD screen testing utility. Ever wondered how
 good the quality (sharpness, linearity, convergence, etc.) of your
 CRT/LCD monitor is? Screentest displays the testing patterns which you
 can use to evaluate the quality of your monitor.

Package: scribus
Description-md5: 0cf35eb54da09ee575e83b1cdacc0a83
Description-en: Open Source Desktop Page Layout
 Scribus is an open source desktop page layout program with the aim of
 producing commercial grade output in PDF and Postscript.
 .
 Scribus can be used for many tasks; from brochure design to newspapers,
 magazines, newsletters and posters to technical documentation.
 .
 Scribus supports professional DTP features, such as CMYK color and a
 color management system to soft proof images for high quality color printing,
 flexible PDF creation options, Encapsulated PostScript import/export and
 creation of 4 color separations, import of EPS/PS and SVG as native vector
 graphics, Unicode text including right to left scripts such as Arabic and
 Hebrew via freetype. Graphic formats which can be placed in Scribus as images
 include PDF, Encapsulated Post Script (eps), TIFF, JPEG, PNG and XPixMap(xpm),
 and any bitmap type supported by QT5.
 .
 If you need to use the render frame install the texlive-latex-recommended
 package (suggested).

Package: scribus-data
Description-md5: 4f4399752d6f867f77c34ba3fc459efb
Description-en: Open Source Desktop Page Layout - data files
 Scribus is an open source desktop page layout program with the aim of
 producing commercial grade output in PDF and Postscript.
 .
 Scribus can be used for many tasks; from brochure design to newspapers,
 magazines, newsletters and posters to technical documentation.
 .
 Scribus supports professional DTP features, such as CMYK color and a
 color management system to soft proof images for high quality color printing,
 flexible PDF creation options, Encapsulated PostScript import/export and
 creation of 4 color separations, import of EPS/PS and SVG as native vector
 graphics, Unicode text including right to left scripts such as Arabic and
 Hebrew via freetype. Graphic formats which can be placed in Scribus as images
 include PDF, Encapsulated Post Script (eps), TIFF, JPEG, PNG and XPixMap(xpm),
 and any bitmap type supported by QT5.
 .
 This package contains the architecture-independent files.

Package: scribus-template
Description-md5: e88a419c7c6bbfc970a7a1159c736342
Description-en: additional scribus templates
 .
 These templates are distributed in addition to the three example templates
 present in the main scribus package. Some of them were prepared by members of
 the core Scribus development team and some were contributions by the
 community members.
 .
 Homepage: http://www.scribus.net/

Package: scrm
Description-md5: 8514589e0ebc0ab683f6d367891fbf88
Description-en: simulator of evolution of genetic sequences
 scrm simulates the evolution of genetic sequences.
 .
 It takes a neutral evolutionary model as input, and generates random sequences
 that evolved under the model. As coalescent simulator, it traces the ancestry
 of the sampled sequences backwards in time and is therefore extremely
 efficient. Compared to other coalescent simulators, it can simulate
 chromosome-scale sequences without a measureable reduction of genetic linkage
 between different sites.

Package: scrobbler
Description-md5: b7098c5dc23b5e5955b5cf6894c33c8b
Description-en: audioscrobbler submitter for portable media players - console client
 scrobbler is a CLI tool which reads playback information from iPods,
 MTP (aka Plays for Sure) or Rockbox (open source audio player
 firmware) devices and submits them to an audioscrobbler service, such
 as "last.fm" or "libre.fm".
 .
 This is a command-line tool. For a graphical version, see the
 'qtscrob' package.

Package: scrollz
Description-md5: 37ef345723dcee5665ef1c60891e226a
Description-en: advanced ircII-based IRC client
 ScrollZ is advanced IRC client based on ircII code. It adds features
 normally found in ircII scripts like Toolz, PhoEniX, GargOyle or Lice.
 .
 The main difference between these scripts and ScrollZ is the code. Where
 ircII scripts take a lot of disk and memory space and run slow, ScrollZ
 only takes a couple of extra kilobytes compared to stock ircII client
 yet runs faster than any ircII script. This was accomplished by using
 C code instead of ircII scripting language. This reduces memory and
 CPU usage and code tends to run way faster.

Package: scrot
Description-md5: 077dc38b74b0031b53c427307ef53253
Description-en: command line screen capture utility
 scrot (SCReen shOT) is a simple command line screen capture
 utility that uses imlib2 to grab and save images. Multiple
 image formats are supported through imlib2's dynamic saver
 modules.
 .
 Some features of the scrot:
   - support to multiple image formats (JPG, PNG, GIF, etc.).
   - optimization of the screen shots image quality.
   - capture a specific window or a rectangular area on the
     screen with the help of switch.
 .
 scrot also can be used to monitor a desktop PC in admin absent
 and register unwanted activities.

Package: scrounge-ntfs
Description-md5: 7e24bc4a431f0a97b451d926a4c0d5c2
Description-en: Data recovery program for NTFS filesystems
 Scrounge NTFS is a data recovery program for NTFS filesystems. It reads each
 block of the hard disk and try to rebuild the original filesystem tree into
 a directory.
 .
 This package is useful in forensics investigations.

Package: scrub
Description-md5: 57144c79cf36f369f1c28f77a63bdc2c
Description-en: writes patterns on magnetic media to thwart data recovery
 scrub iteratively writes patterns on files or disk devices to make retrieving
 the data more difficult.
 .
 scrub can write patterns direct to disk, destroying any file system (preferred
 method), or it can write patterns on files, or on file system free space.
 .
 scrub writes NNSA NAP-14.x, DoD 5220.22-M, BSI, 35-pass gutmann, or one of
 several other selectable pattern sequences.
 .
 scrub operates at the POSIX system call level, thus it is portable to a wide
 variety of hardware platforms. However, this means it cannot do certain things
 like manipulate spare blocks on disks, etc..

Package: scrypt
Description-md5: e45f625b05b816725ba30fd9d213b79d
Description-en: File encryption utility using scrypt for key derivation
 A simple password-based encryption utility which demonstrates the
 scrypt key derivation function.  On modern hardware and with default
 parameters, the cost of cracking the password on a file encrypted by
 scrypt enc is approximately 100 billion times more than the cost of
 cracking the same password on a file encrypted by openssl enc; this
 means that a five-character password using scrypt is stronger than a
 ten-character password using openssl.

Package: scsitools
Description-md5: 3bf631c73002584fdbfba5c8bd01aeba
Description-en: Collection of tools for SCSI hardware management
 This package is a collection of tools for manipulating SCSI hardware:
 .
  scsiinfo: displays SCSI drive low-level information and modifies SCSI
            drive settings,
  scsidev: makes permanent SCSI LUN -> devicename connections,
  scsifmt: low-level SCSI formatter,
  sraw: benchmarks raw SCSI I/O rates bypassing the buffer cache,
  scsi-spin: program to manually spin up and down a SCSI device.
 .
 Beware that, to be used properly, these tools require some knowledge of
 what they're doing as they can cause damage to your system.

Package: scsitools-gui
Description-md5: e12ccef6466b67603bd45533af08794d
Description-en: Collection of tools for SCSI hardware management
 This package is a collection of tools for manipulating SCSI hardware:
 .
  scsiinfo: displays SCSI drive low-level information and modifies SCSI
            drive settings,
  scsidev: makes permanent SCSI LUN -> devicename connections,
  scsifmt: low-level SCSI formatter,
  sraw: benchmarks raw SCSI I/O rates bypassing the buffer cache,
  scsi-spin: program to manually spin up and down a SCSI device.
 .
 Beware that, to be used properly, these tools require some knowledge of
 what they're doing as they can cause damage to your system.
 .
 This package contains the graphical front-end for scsitools

Package: sct
Description-md5: 2d5f62027f3b6b71d42fdd7af41ea641
Description-en: Set screen color temperature
 sct is a small C program to change the screen color temperature. It
 can be used to reduce or increase the amount of blue light produced
 by the screen.
 .
 sct sets the color temperature of the screen via xrandr like redshift.
 Unlike redshift, it is only 80 lines of C and will not change the
 screen temperature automatically.

Package: sctk
Description-md5: a26efb9894b95d6b205de4f60897f8e2
Description-en: speech recognition scoring toolkit
 This software can be used to test quality performance of
 speech recognition software.
 .
 It includes the SCLITE, ASCLITE, tranfilt, hubscr and utf_filt
 scoring tools.

Package: sctk-doc
Description-md5: 3227278667e7ecadbcbdeed22836ea06
Description-en: speech recognition scoring toolkit (documentation)
 This software can be used to test quality performance of
 speech recognition software.
 .
 It includes the SCLITE, ASCLITE, tranfilt, hubscr and utf_filt
 scoring tools.
 .
 This package provides the documentation.

Package: scummvm
Description-md5: fef78cb5d2135ebe38a742f7edcc4c3e
Description-en: engine for several graphical adventure games
 ScummVM is a `virtual machine' for several classic graphical
 point-and-click adventure games.  It is designed to run Adventure Soft's
 Simon the Sorcerer 1 and 2, Revolution's Beneath A Steel Sky, and games
 based on LucasArts' SCUMM (Script Creation Utility for Maniac Mansion)
 system.  SCUMM is used for many games, including Monkey Island,
 Day of the Tentacle, Sam and Max and more.  See the official compatibility
 list at <http://www.scummvm.org/compatibility.php> for a full list
 of supported games.
 .
 The game data of four games compatible with ScummVM is included in Debian.
 These are:
 .
  - Beneath a Steel Sky                 (package: beneath-a-steel-sky)
  - Drascula: The Vampire Strikes Back  (package: drascula)
  - Flight of the Amazon Queen          (package: flight-of-the-amazon-queen)
  - Lure of the Temptress               (package: lure-of-the-temptress)
 .
 To actually make use of ScummVM, you'll have to install one of these, or
 obtain the proprietary game data for another supported game from somewhere
 else.

Package: scummvm-data
Description-md5: 4387e2781b809212c27376c76bb03fc4
Description-en: engine for several graphical adventure games (data files)
 ScummVM is a `virtual machine' for several classic graphical
 point-and-click adventure games.  It is designed to run Adventure Soft's
 Simon the Sorcerer 1 and 2, Revolution's Beneath A Steel Sky, and games
 based on LucasArts' SCUMM (Script Creation Utility for Maniac Mansion)
 system.  SCUMM is used for many games, including Monkey Island,
 Day of the Tentacle, Sam and Max and more.  See the official compatibility
 list at <http://www.scummvm.org/compatibility.php> for a full list
 of supported games.
 .
 The game data of four games compatible with ScummVM is included in Debian.
 These are:
 .
  - Beneath a Steel Sky                 (package: beneath-a-steel-sky)
  - Drascula: The Vampire Strikes Back  (package: drascula)
  - Flight of the Amazon Queen          (package: flight-of-the-amazon-queen)
  - Lure of the Temptress               (package: lure-of-the-temptress)
 .
 To actually make use of ScummVM, you'll have to install one of these, or
 obtain the proprietary game data for another supported game from somewhere
 else.
 .
 These packages provides data files needed to run some engines supported by
 ScummVM.

Package: scummvm-tools
Description-md5: b69ddffbb15e9c9d6cc98e17226813cd
Description-en: collection of tools for ScummVM
 This package contains various tools which may be useful in
 conjunction with ScummVM.
 .
 The tools include:
  * compression tools to re-compress ScummVM games' assets;
  * extraction tools, either to extract resources from game assets, or
    to convert assets from one format to another (e.g. PC Engine or
    Macintosh releases);
  * game script analysis tools.
 .
 A GUI is provided alongside the command-line tools.

Package: scute
Description-md5: fc250324dd6455a484ebc53e06838133
Description-en: OpenPGP smartcard plugin for Mozilla Network Security Services
 Scute is a PKCS #11 implementation for the GnuPG Agent using the GnuPG
 Smart Card Daemon which enables you to use your OpenPGP smart card for
 client authentication with SSL in Mozilla.

Package: scythe
Description-md5: b7980f269d8f22b3b67562ac082f2b24
Description-en: Bayesian adaptor trimmer for sequencing reads
 Scythe uses a Naive Bayesian approach to classify contaminant substrings in
 sequence reads. It considers quality information, which can make it robust in
 picking out 3'-end adapters, which often include poor quality bases.

Package: sd2epub
Description-md5: abd17e9993dd4ef9836650f33b7fa0a6
Description-en: StarOffice to OpenDocument converter
 This package contains a utility for converting StarOffice documents
 (*.sd?) to EPUB

Package: sd2odf
Description-md5: 1177dc4f8760aa3c74cd8c8db39ca539
Description-en: StarOffice to OpenDocument converter
 This package contains a utility for converting StarOffice documents
  (*.sd?) to OpenDocument

Package: sdaps
Description-md5: 62b701e61bc7b0a4b48a437dbe4d6778
Description-en: scripts for data acquisition with paper-based surveys
 SDAPS is an optical mark recognition (OMR) program.  It is written in
 Python and has an integrated workflow with both LibreOffice and LaTeX to
 create questionnaires.

Package: sdate
Description-md5: ffdf4f0384fd4a15eb161b474b28d6ae
Description-en: never ending September date
 sdate wraps the libc localtime() and gmtime() functions to output the eternal
 september 1993 date.
 .
 sdate works like fakeroot (on which its code is based) by setting LD_PRELOAD
 to a wrapper library.
 .
  * http://www.catb.org/~esr/jargon/html/S/September-that-never-ended.html

Package: sdb
Description-md5: 8637c032499ce9c53f01229cb923b586
Description-en: a command line client for Mono's soft debugger
 SDB is a command line client for Mono's soft debugger, a cooperative
 debugger that is part of the Mono VM. It tries to be similar in
 command syntax to tools such as GDB and LLDB.

Package: sdcc
Description-md5: e36909237d933ff69d28ff29bca0681c
Description-en: Small Device C Compiler
 SDCC is a C compiler for the Intel MCS51 family, HC08, PIC,
 GameBoy Z80, DS80S390, Z80, Z180 and STM8 microcontrollers.
 .
 This package includes the compiler, assemblers and linkers.

Package: sdcc-doc
Description-md5: f2f09ed8d5ab9c982e68a06235b7e451
Description-en: Small Device C Compiler (documentation)
 SDCC is a C compiler for the Intel MCS51 family, HC08, PIC,
 GameBoy Z80, DS80S390, Z80, Z180 and STM8 microcontrollers.
 .
 This package provides the documentation and examples for the
 SDCC compiler.

Package: sdcc-libraries
Description-md5: 2396c2f02be9304e208b0b8f67e4e33e
Description-en: Small Device C Compiler (libraries)
 SDCC is a C compiler for the Intel MCS51 family, HC08, PIC,
 GameBoy Z80, DS80S390, Z80, Z180 and STM8 microcontrollers.
 .
 This package contains the core library for SDCC.

Package: sdcc-ucsim
Description-md5: 845f8cd2abd9b17ce18e4868a3d53528
Description-en: Micro-controller simulator for SDCC
 uCsim is a microcontroller simulator. It is extensible to support
 different microcontroller families. It currently supports Intel
 MCS51 family, HC08 and Z80 microcontrollers.

Package: sdcv
Description-md5: 2fceb6d6af5dbfbb73fb86abc18a92c6
Description-en: StarDict Console Version
 sdcv is simple text-based utility for work with dictionaries in StarDict's
 format.
 .
 It supports command line interaction as well as interactive mode using
 readline.

Package: sddm
Description-md5: 60d2ea934d7c99b8460547a3b6ef2196
Description-en: modern display manager for X11
 SDDM is a modern display manager for X11 aiming to be fast, simple
 and beautiful. It uses modern technologies like QtQuick to create
 smooth, animated user interfaces.

Package: sddm-theme-breeze
Description-md5: fa4d30497603bc22192f0fb33827bf09
Description-en: Breeze SDDM theme
 Plasma Workspace for KF5. Workspaces provide
 support for KDE Plasma Widgets, integrated search,
 hardware management and a high degree of customizability.
 Taskmanager library.
 .
 This package contains the files for the breeze SDDM theme.

Package: sddm-theme-debian-elarun
Description-md5: aa0d41420133a1c0ff3e822fbcf50a69
Description-en: 'Debian Elarun' Theme for SDDM X11 display manager
 Elarun theme for SDDM, using the active desktop-base theme for the
 background.

Package: sddm-theme-debian-maui
Description-md5: 92cbcdb631fd1ec98c82e43453bb047b
Description-en: 'Debian Maui' theme for SDDM X11 display manager
 Maui theme for SDDM, using the active desktop-base theme for the
 background

Package: sddm-theme-elarun
Description-md5: 5de96d81933c18bcf645a3a50e644754
Description-en: 'Elarun' Theme for SDDM X11 display manager
 Elarun theme for SDDM

Package: sddm-theme-lubuntu
Description-md5: 8042c5e9ee9cc648362ed1fa8738d0d9
Description-en: Lubuntu theme for SDDM X11 display manager
 Lubuntu chooser theme for SDDM

Package: sddm-theme-maldives
Description-md5: 1f9b67e1bb0fe69b31cf2e3789cde66e
Description-en: 'Maldives' theme for SDDM X11 display manager
 Maldives theme for SDDM

Package: sddm-theme-maui
Description-md5: d45fe98d4c820e2d504b42d686b693aa
Description-en: 'Maui' theme for SDDM X11 display manager
 Maui theme for SDDM

Package: sddm-theme-maya
Description-md5: 9113533043ee68c8addde8c20d0f2019
Description-en: 'Maya' theme for SDDM X11 display manager
 Maya theme for SDDM

Package: sdf
Description-md5: 65eb1315794ec8133dd1a14b0e8db243
Description-en: Simple Document Parser
 SDF (Simple Document Format) is a freely available document development
 system which generates high quality outputs in a variety of formats
 from a single source. The output formats supported include
 PostScript(tm), PDF, HTML, plain text, POD, man pages, LaTeX,
 MIF, SGML, Windows(tm) help, RTF, MIMS F6 help and MIMS HTX help.
 If the idea of specifying documents in a logical manner via a
 simple markup language sounds appealing, SDF may be useful to you.

Package: sdf-doc
Description-md5: c76e7b38881fbf71ffd93459b9d8fe44
Description-en: Documentation and examples for the Simple Document Parser
 SDF (Simple Document Format) is a freely available document development
 system which generates high quality outputs in a variety of formats
 from a single source. The output formats supported include
 PostScript(tm), PDF, HTML, plain text, POD, man pages, LaTeX,
 MIF, SGML, Windows(tm) help, RTF, MIMS F6 help and MIMS HTX help.
 If the idea of specifying documents in a logical manner via a
 simple markup language sounds appealing, SDF may be useful to you.

Package: sdformat-doc
Description-md5: 3cd9153798061090f4f2076b693288b3
Description-en: Simulation Description Format (SDF) parser - Documentation
 SDF is an XML file format that describes environments, objects, and robots
 in a manner suitable for robotic applications. SDF is capable of representing
 and describing different physic engines, lighting properties, terrain, static
 or dynamic objects, and articulated robots with various sensors, and acutators.
 The format of SDF is also described by XML, which facilitates updates and
 allows conversion from previous versions. A parser is also contained within
 this package that reads SDF files and returns a C++ interface.
 .
 This package contains the program documentation

Package: sdformat-sdf
Description-md5: dfb7962a75c2ab0ebebc4b52e15efb7d
Description-en: Simulation Description Format (SDF) parser - SDF files
 SDF is an XML file format that describes environments, objects, and robots
 in a manner suitable for robotic applications. SDF is capable of representing
 and describing different physic engines, lighting properties, terrain, static
 or dynamic objects, and articulated robots with various sensors, and acutators.
 The format of SDF is also described by XML, which facilitates updates and
 allows conversion from previous versions. A parser is also contained within
 this package that reads SDF files and returns a C++ interface.
 .
 This package contains SDF (Simulation Description Format) XML files needed by
 the libsdformat.

Package: sdl-ball
Description-md5: 4d90f1e650a89c4bfccb0ba376d647f4
Description-en: brick-breaking game like DX-Ball/Arkanoid
 Your mission: To smash your way through a series of progressively harder
 and more tricky levels.
 Your tools: Ultrakinetic titanium balls and your trusty
 Gruntmazter-3000-Paddle edition.

Package: sdl-ball-data
Description-md5: 0ec5787a9f1aebbb44b2e7aa001d11db
Description-en: brick-breaking game like DX-Ball/Arkanoid - data files
 Your mission: To smash your way through a series of progressively harder
 and more tricky levels.
 Your tools: Ultrakinetic titanium balls and your trusty
 Gruntmazter-3000-Paddle edition.
 .
 This package contains arch-independent data files for sdl-ball (images,
 sounds, levels, etc).

Package: sdlbasic
Description-md5: b2855a661641682ec0d5cad23337cf2a
Description-en: BASIC interpreter for game development
 sdlBasic is a small, efficient and multiplatform BASIC interpreter for
 creating games using the power of SDL library. It was inspired by the old
 and glorious AMOS.

Package: sdlbrt
Description-md5: e0db86a414d6e65e86fd760c67925883
Description-en: BASIC interpreter for game development - runtime interpreter
 sdlBasic is a small, efficient and multiplatform BASIC interpreter for
 creating games using the power of SDL library. It was inspired by the old
 and glorious AMOS.
 .
 This package includes sdlBasic runtime interpreter.

Package: sdop
Description-md5: 94e505dbaf94081148051f9a47ddde60
Description-en: Simplified DocBook Processor
 SDoP (Simple DocBook Processor) reads a Simplified DocBook XML file, processes
 it into typeset pages, and outputs the result as PostScript (which can easily
 be converted to a PDF). It is "simple" because it supports only a subset of
 DocBook, and also because it does not make use of a DTD or stylesheets or any
 other heavyweight apparatus. It is a single program.  SDoP is used to format
 the Exim reference manual.

Package: sdpa
Description-md5: bd9e6f21ac9c276ced30f5a976ae4459
Description-en: High-performance package for SemiDefinite Programs
 The software SDPA (SemiDefinite Programming Algorithm) is one of the most
 efficient and stable  software packages for solving SDPs based on the
 primal-dual interior-point method.
 SDP (SemiDefinite Program) is used for financial engineering, machine
 learning, control theory, sensor network problem, quantum chemistry,
 quantum information, combinatorial optimizaiton, polynomial
 optimization, and so on.
 Further information on SDP and SDPA can be found at
 http://sdpa.sourceforge.net/

Package: sdpam
Description-md5: 75f367b6dcbb54744eed7be78fc8ce2d
Description-en: Matlab/Octave interface of SDPA
 This package provides SDPA-M, Matlab/Octave interface
 of SDPA (SemiDefinite Programming Algorithm).
 See the information of the package 'sdpa'.
 To use SDPA-M on Octave, add /usr/lib/sdpa/mex
 to your Octave path.
 The summary of each command can be found in
 /usr/share/sdpa/mex/CommandList.txt
 The compilation by Matlab can be found at
 /usr/share/doc/sdpa/README.
 Further information on SDP and SDPA can be found at
 http://sdpa.sourceforge.net/

Package: sdparm
Description-md5: 8ccccd8800ed1f398e96410628939faf
Description-en: Output and modify SCSI device parameters
 The sdparm utility outputs and in some cases modifies SCSI
 device parameters. It can be used to output and modify
 parameters on any device that uses a SCSI command set.
 Apart from SCSI disks, such devices include CD/DVD drives
 (irrespective of transport), SCSI and ATAPI tape drives
 and SCSI enclosures.

Package: sdpb
Description-md5: 4ea43c188298813f61155b4abfe85924
Description-en: Semidefinite program solver
 SDPB is an open-source, arbitrary-precision, parallelized semidefinite
 program solver, designed for the conformal bootstrap. SDPB significantly
 outperforms less specialized solvers and should enable many new computations.
 .
 For more information, see "A Semidefinite Program Solver for the Conformal
 Bootstrap" at http://arxiv.org/abs/1502.02033.

Package: sdpb-doc
Description-md5: 3d88900ec702e1270fa2da067eb96691
Description-en: Semidefinite program solver (documentation)
 SDPB is an open-source, arbitrary-precision, parallelized semidefinite
 program solver, designed for the conformal bootstrap. SDPB significantly
 outperforms less specialized solvers and should enable many new computations.
 .
 For more information, see "A Semidefinite Program Solver for the Conformal
 Bootstrap" at http://arxiv.org/abs/1502.02033.
 .
 This package contains the manual and example files, including a Mathematica
 file with code to export semidefinite programs in the XML-based format used
 by SDPB.

Package: sdrangelove
Description-md5: e427c8da955ac718dd0e1ce8adffded4
Description-en: Osmocom Software Defined Radio
 A Qt5 software-defined radio receiver application.

Package: seafile-cli
Description-md5: 99e034720f835f69e569bbd4c520a3bb
Description-en: Client CLI for the Seafile Client
 Seafile is a file syncing and sharing software with file encryption
 and group sharing, emphasis on reliability and high performance.
 .
 Seafile is an open source cloud storage system with privacy protection
 and teamwork features. Collections of files are called libraries. Each
 library can be synced separately. A library can also be encrypted with
 a user chosen password. Seafile also allows users to create groups and
 easily sharing files into groups.
 .
 This package contains the client CLI for Seafile.

Package: seafile-daemon
Description-md5: ce27a993228b1fc7b144fbf850c81822
Description-en: Client daemon for the Seafile Client
 Seafile is a file syncing and sharing software with file encryption
 and group sharing, emphasis on reliability and high performance.
 .
 Seafile is an open source cloud storage system with privacy protection
 and teamwork features. Collections of files are called libraries. Each
 library can be synced separately. A library can also be encrypted with
 a user chosen password. Seafile also allows users to create groups and
 easily sharing files into groups.
 .
 This package contains the client daemon for Seafile.

Package: seafile-gui
Description-md5: d24660df019927d486e5c7e80d85693a
Description-en: Seafile Desktop Client
 Seafile is a file syncing and sharing software with file encryption
 and group sharing, emphasis on reliability and high performance.
 .
 Seafile is an open source cloud storage system with privacy protection
 and teamwork features. Collections of files are called libraries. Each
 library can be synced separately. A library can also be encrypted with
 a user chosen password. Seafile also allows users to create groups and
 easily sharing files into groups.
 .
 This package contains the graphical Desktop Client for Seafile.

Package: seahorse-adventures
Description-md5: db9c110ab4c231910cea6c6c2ee98942
Description-en: help Barbie the seahorse float on bubbles to the moon
 Barbie Seahorse Adventures is a retro style platform arcade game in the spirit
 of Mario 3. You are Barbie the seahorse who travels through the jungle, up to
 the volcano until you float on bubbles to the moon. On the way to your final
 destination you will encounter various enemies, servants of the evil overlord
 who has stolen the galaxy crystal. Avoid getting hit and defeat them with your
 bubbles!

Package: seahorse-daemon
Description-md5: 27d9ad9b7b2bafbe316120595fc272ca
Description-en: Seahorse pass phrase caching agent
 This is the agent program for seahorse which keeps a temporary secure
 storage of your pass phrases.
 .
 Seahorse is a front end for GnuPG - the GNU Privacy Guard program -
 that integrates to the GNOME desktop. It is a tool for secure
 communications and data storage.  Data encryption and digital signature
 creation can easily be performed through a GUI and Key Management
 operations can easily be carried out through an intuitive interface.

Package: seahorse-nautilus
Description-md5: 8f869c172d6ce4df49ad76e4d273d0e0
Description-en: Nautilus extension for Seahorse integration
 Seahorse nautilus is an extension for nautilus which allows encryption
 and decryption of OpenPGP files using GnuPG - the GNU Privacy Guard
 program.

Package: seahorse-sharing
Description-md5: aeb4095c9bddfd7f21328a58c6393e5e
Description-en: Daemon for sharing your GnuPG keys
 A daemon for sharing your GnuPG keys using HKP key sharing discovered with
 DNS-SD.

Package: search-ccsb
Description-md5: 2bcbae3ddc85c98591818375fd58e26b
Description-en: BibTeX search tool
 Search-ccsb is a Perl script that connects to "The Collection of
 Computer Science Bibliographies"[1], and performs a keyword search
 query. The result is a set of BibTeX entries that matches the query.
 .
 1. http://liinwww.ira.uka.de/bibliography/index.html
 .
 If you use some emacsen application, this package will install the
 search-ccsb.el script on it.

Package: search-citeseer
Description-md5: 576c48c005e047143bb7e8e508b50219
Description-en: BibTeX search tool
 Search-Citeseer is a Perl script that connects to Citeseer
 (http://citeseer.ist.psu.edu/), and performs a keyword search
 query. The result is a set of BibTeX entries that matches the query.
 .
 If you use some emacsen application, this package will install the
 search-citeseer.el script on it.

Package: searchandrescue
Description-md5: fd45eddccebbb833c1001f7fc5db4f6c
Description-en: fly aircraft to search (for) and rescue people in distress
 Tired of scores indicating things destroyed or lives snuffed? Try something
 different -- fly a helicopter around and rescue people in distress. If you
 were in trouble wouldn't you want someone to rescue you?
 .
 This game is intended for players of all audiences, but especially for mature
 players who want to get away from the violence and still retain a level of
 precise challenge. Flight difficulty can be lowered for beginners (regardless
 of mission type), and graphics minimized to suit slower computers
 (minimum Pentium 166 with no graphics acceleration).

Package: searchandrescue-common
Description-md5: 4ced87b93ca74ec2586ebe683ca41989
Description-en: common files and documentation for searchandrescue
 Tired of scores indicating things destroyed or lives snuffed? Try something
 different -- fly a helicopter around and rescue people in distress. If you
 were in trouble wouldn't you want someone to rescue you?
 .
 This package contains the documentation and architecture independent
 (common) files.

Package: searchandrescue-data
Description-md5: cbeac033971308e0adb322a1d6c069c7
Description-en: common data files for searchandrescue
 Tired of scores indicating things destroyed or lives snuffed? Try something
 different -- fly a helicopter around and rescue people in distress. If you
 were in trouble wouldn't you want someone to rescue you?
 .
 This package contains the architecture independent data files.

Package: searchmonkey
Description-md5: 77c2de301151119f6fa431c7b6554eff
Description-en: search files using regular expressions aiming to replace find/grep tools
 SearchMonkey is a light-weight Gtk application that aims to replace the
 cumbersome find/grep with a slick user interface that quickly provides a
 mark-up showing locations and quantity of text matches.
 The goal is to provide a simple to use and accessible search tool for
 end-users, and software developers alike.

Package: searx
Description-md5: 5a8e91a0cd31559271fa7a6166df67ca
Description-en: Privacy-respecting metasearch engine
 Searx is an internet metasearch engine which aggregates results from more than
 70 search services. Searx runs as a web service and provides a web interface
 that allows the user to do a general search (aggregating results from google,
 bing, yahoo) or search for files (piratebay, kickass, torrentz), images (bing,
 deviantart, google images, flickr), IT (github, stackoverflow, Arch Linux
 wiki), maps (OpenStreetMap, photon), music (youtube, spotify, soundcloud),
 news (bing news, google news, reddit), science (arxiv, wolframalpha) social
 media (digg, twitter) and videos (youtube, dailymotion, vimeo).

Package: sec
Description-md5: 9b593aab566f083db7a8368d97258098
Description-en: Simple Event Correlator
 SEC is a simple event correlation tool that takes
 input and executes actions based on rules. It is
 very flexible and can be used with anything that
 can write out to a file stream.

Package: seccure
Description-md5: b49ca0cb0ff605e8466a4fab4e23ee71
Description-en: tools for using algorithms based on elliptic curve cryptography (ECC)
 The seccure toolset implements a selection of asymmetric algorithms
 based on elliptic curve cryptography (ECC). In particular, it offers
 public key encryption / decryption and signature generation /
 verification. ECC schemes offer a much better key size to security
 ratio than classical systems (RSA, DSA). Keys are short enough to make
 direct specification of keys on the command line possible (sometimes
 this is more convenient than the management of PGP-like key
 rings). seccure builds on this feature and therefore is the tool of
 choice whenever lightweight asymmetric cryptography -- independent of
 key servers, revocation certificates, the Web of Trust, or even
 configuration files -- is required.
 .
 The toolkit implements Diffie-Hellman key exchange, allowing you to agree a
 key with another party over an insecure channel. The Diffie Helman protocol
 affords forward secrecy, and requires no previous contact with the other
 party.
 .
 The seccure toolset allows you to select the curve that the operations are
 performed on, so that different cryptographic needs can be supported. This
 is currently the only ECC package in Debian GNU to offer a choice of
 curves and MAC lengths.
 .
 It is currently missing some of the functionality of the sks-ecc package,
 including encrypting to multiple recipients at once, and creating different
 signature formats.

Package: secilc
Description-md5: 29b4026a3e7dfc709c9f57ef9b0686e7
Description-en: SELinux CIL compiler
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux. The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access
 Control, and Multi-level Security.
 .
 The SELinux CIL Compiler is a compiler that converts the CIL language as
 described on the CIL design wiki into a kernel binary policy file.

Package: secilc-doc
Description-md5: 5b7d19c93a5d6e63b5305364f489150d
Description-en: documentation for the SELinux CIL Compiler
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux. The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access
 Control, and Multi-level Security.
 .
 The SELinux CIL Compiler is a compiler that converts the CIL language as
 described on the CIL design wiki into a kernel binary policy file.
 .
 This package contains the documentation.

Package: secpanel
Description-md5: bfbcafb8000df614f2c4b0b1e75514c2
Description-en: graphical user interface for SSH and SCP
 SecPanel is a graphical user interface for managining
 and running secure shell (ssh) and secure network copy
 (scp) connections via OpenSSH. It eases key distribution
 and other tasks related to using these programs.

Package: secure-delete
Description-md5: f66cc5f8640ca20283123f28e0393d11
Description-en: tools to wipe files, free disk space, swap and memory
 Gutmann method based tools for securely wiping data from files, free
 disk space, swap and memory: srm, sfill, sswap and sdmem.

Package: seer
Description-md5: 979255ced90d884824e6cb3db05419ed
Description-en: genomic sequence element (kmer) enrichment analysis
 Bacterial genomes vary extensively in terms of both gene content and
 gene sequence - this plasticity hampers the use of traditional SNP-based
 methods for identifying all genetic associations with phenotypic
 variation. SEER provides a computationally scalable and widely
 applicable statistical method for the identification of sequence
 elements that are significantly enriched in a phenotype of interest.
 SEER is applicable to even tens of thousands of genomes by counting variable-
 length k-mers using a distributed string-mining algorithm. Robust
 options are provided for association analysis that also correct for the
 clonal population structure of bacteria. Using large collections of
 genomes of the major human pathogen Streptococcus pneumoniae, SEER
 identifies relevant previously characterised resistance determinants for
 several antibiotics.

Package: seetxt
Description-md5: f1f0f6a4e32251b9e7a7107119cef324
Description-en: lightweight text file and man page viewer
 See is a lightweight Linux text file and man page viewer. It maintains
 "document meta-data" for each user, allowing them to automatically keep
 bookmarks and highlights for read-only system files. It also has a
 "server mode" so that command line requests can be sent to a single
 running server rather than starting multiple instances. Other features
 include hypertext-style apropos (man page) searches, file monitoring,
 regular expressions, command history, and nice little
 toggle lights on the interface for the server and file monitor.

Package: segemehl
Description-md5: b08b0f83dc3de0c96f519821508bbd5f
Description-en: short read mapping with gaps
 Segemehl is a software to map short sequencer reads to reference
 genomes. Segemehl implements a matching strategy based on enhanced
 suffix arrays (ESA). Segemehl accepts fasta and fastq queries (gzip'ed
 and bgzip'ed). In addition to the alignment of reads from standard DNA-
 and RNA-seq protocols, it also allows the mapping of bisulfite converted
 reads (Lister and Cokus) and implements a split read mapping strategy.
 The output of segemehl is a SAM or BAM formatted alignment file. In the
 case of split-read mapping, additional BED files are written to the
 disc. These BED files may be summarized with the postprocessing tool
 haarz. In the case of the alignment of bisulfite converted reads, raw
 methylation rates may also be called with haarz.
 .
 In brief, for each suffix of a read, segemehl aims to find the
 best-scoring seed. Seeds might contain insertions, deletions, and
 mismatches (differences). The number of differences allowed within a
 single seed is user-controlled and is crucial for the runtime of the
 program.  Subsequently, seeds that undercut the user-defined E-value are
 passed on to an exact semi-global alignment procedure. Finally, reads
 with a minimum accuracy of percent are reported to the user.

Package: segyio-bin
Description-md5: a794f7ae1ab8140d5de46449eb2b8f37
Description-en: SEG-Y read/write library for seismic processing (shell utilities)
 segyio is a fast and practical library for reading and writing seismic data in
 SEG-Y format. segyio is random access oriented for modern computers with
 simple read/write primitives on lines.
 .
 This package contains useful shell programs.

Package: select-xface
Description-md5: 229e47eff6f71dc0f4766563975cde5c
Description-en: utility for selecting X-Face on emacsen
 Select X-Face is a utility for selecting/inserting an X-Face field
 visually on emacsen mailer (Mew, Gnus, Wanderlust, etc.).

Package: selektor
Description-md5: 4941b89e5f821597d8cca0b842b4e084
Description-en: Tor exit node selector and traffic router
 SelekTOR is a GUI frontend for the network Tor client. Used for security and
 anonymization purposes or to bypass some firewall. Simplifies the process of
 selecting Tor exit nodes and manages selective URL pattern based on routing
 via system proxying.

Package: selinux-basics
Description-md5: dca2ca41603c7b932fd8870763cff243
Description-en: SELinux basic support
 This package will pull in basic SELinux stuff to ease installation, as well
 as provide scripts and helpers to work around common problems.

Package: selinux-policy-default
Description-md5: 325e1ecabb3986761a584eae753fa7e7
Description-en: Strict and Targeted variants of the SELinux policy
 This is the reference policy for SE Linux.  In the default configuration it
 will provide the functionality previously known as the "targeted" policy.  If
 the module "unconfined" is removed then it provides the functionality
 previously known as the "strict" policy.
 .
 This uses the MMCS system of categories.

Package: selinux-policy-dev
Description-md5: f35abfcf906e4208534af358dff216e2
Description-en: Headers from the SELinux reference policy for building modules
 The SELinux Reference Policy (refpolicy) is a complete SELinux
 policy, as an alternative to the existing strict and targeted
 policies available from http://selinux.sf.net. The goal is to have
 this policy as the system policy, be and used as the basis for
 creating other policies. Refpolicy is based on the current strict and
 targeted policies, but aims to accomplish many additional
 goals:
  + Strong Modularity
  + Clearly stated security Goals
  + Documentation
  + Development Tool Support
  + Forward Looking
  + Configurability
  + Flexible Base Policy
  + Application Policy Variations
  + Multi-Level Security
 .
 This package provides header files for building your own SELinux
 policy packages compatible with official policy packages.

Package: selinux-policy-doc
Description-md5: 5ace31fba5708e250b88f928fce9e1b1
Description-en: Documentation for the SELinux reference policy
 The SELinux Reference Policy (refpolicy) is a complete SELinux
 policy, as an alternative to the existing strict and targeted
 policies available from http://selinux.sf.net. The goal is to have
 this policy as the system policy, be and used as the basis for
 creating other policies. Refpolicy is based on the current strict and
 targeted policies, but aims to accomplish many additional
 goals:
  + Strong Modularity
  + Clearly stated security Goals
  + Documentation
  + Development Tool Support
  + Forward Looking
  + Configurability
  + Flexible Base Policy
  + Application Policy Variations
  + Multi-Level Security
 .
 This package contains the documentation for the reference policy.

Package: selinux-policy-mls
Description-md5: b404c7aea7329bd6fd0d9cdedc389175
Description-en: MLS (Multi Level Security) variant of the SELinux policy
 This is the reference policy for SE Linux built with MLS support.  It allows
 giving data labels such as "Top Secret" and preventing such data from leaking
 to processes or files with lower classification.
 .
 It was developed for Common Criteria LSPP certification for RHEL.  It will
 probably never be well supported in Debian and is only recommended for
 students who want to learn about the security features used by the military.

Package: selinux-policy-src
Description-md5: c0c4d6c84939c6ae39a399036273ac07
Description-en: Source of the SELinux reference policy for customization
 The SELinux Reference Policy (refpolicy) is a complete SELinux
 policy, as an alternative to the existing strict and targeted
 policies available from http://selinux.sf.net. The goal is to have
 this policy as the system policy, be and used as the basis for
 creating other policies. Refpolicy is based on the current strict and
 targeted policies, but aims to accomplish many additional
 goals:
  + Strong Modularity
  + Clearly stated security Goals
  + Documentation
  + Development Tool Support
  + Forward Looking
  + Configurability
  + Flexible Base Policy
  + Application Policy Variations
  + Multi-Level Security
 .
 This is the source of the policy, provided so that local variations of
 SELinux policy may be created.

Package: selinux-utils
Description-md5: 0dfe4ba09954ecdcb854ff898167f98b
Description-en: SELinux utility programs
 This package provides various utility programs for a Security-enhanced
 Linux system. Security-enhanced Linux is a patch of the Linux kernel
 and a number of utilities with enhanced security functionality
 designed to add mandatory access controls to Linux. This package
 provides utility programs to get and set process and file security
 contexts and to obtain security policy decisions.

Package: semanage-utils
Description-md5: f08c1d00467630f36653d6234f30403c
Description-en: SELinux policy management utilities
 This package contains an utility that can be used to migrate from the old
 policy store format (HLL, stored in /etc/selinux) to the new one (CLI, stored
 in /var/lib/selinux).
 .
 Security-enhanced Linux is a patch of the Linux kernel and a
 number of utilities with enhanced security functionality designed to
 add mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement, Role-based Access
 Control, and Multi-level Security.

Package: semi
Description-md5: 8c8826c9fa619e31e8c07cdf8ef004bd
Description-en: library to provide MIME feature for emacsen
 SEMI is a library to provide MIME feature for emacsen.  MIME is a
 proposed internet standard for including content and headers other
 than (ASCII) plain text in messages.

Package: semodule-utils
Description-md5: 13e71e2e1a7e23908c56e2c10d0a767b
Description-en: SELinux core policy utilities (modules utilities)
 Security-enhanced Linux is a patch of the Linux® kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement®, Role-based Access Control,
 and Multi-level Security.
 .
 This package contains the tools used to build policy modules in an SELinux
 environment.

Package: sen
Description-md5: e14dd58bf0cae263ef0dfff9ed42032b
Description-en: Terminal user interface for docker engine
 sen is a terminal user interface for docker engine:
 .
  * it can interactively manage your containers and images:
    * manage? start, stop, restart, kill, delete,...
  * you are able to inspect containers and images
  * sen can fetch logs of containers and even stream logs real-time
  * all buffers support searching and filtering
  * sen receives real-time updates from docker when anything changes
    * e.g. if you create a container in another terminal, sen will pick
      it up
  * sen notifies you whenever something happens (and reports slow queries)
  * supports a lot of vim-like keybindings (j, k, gg, /, ...)
  * there is a special buffer which display detailed info about images
  * you can get interactive tree view of all images (equivalent of
    docker images --tree)

Package: sendemail
Description-md5: 3b15c5b87101f930c45507b7f0fb3a6a
Description-en: lightweight, command line SMTP email client
 SendEmail is a lightweight, completely command line based, SMTP email
 agent. It was designed to be used in bash scripts, Perl programs, and
 web sites, but it is also quite useful in many other contexts.
 .
 SendEmail is written in Perl and is unique in that it
 requires no special modules. It has a straight forward interface,
 making it very easy to use.

Package: sendfile
Description-md5: 544c219ea9ea2e5464e79b350c4ef1a4
Description-en: Simple Asynchronous File Transfer
 Sendfile is an asynchronous file transfer service for the Internet,
 like the sendfile facility in Bitnet: Any user A can send files to
 another user B without B being active in any way.
 .
 The existing standard file transfer (ftp) is a synchronous service:
 The user must have access to an account on the sending and on the
 receiving site, too.
 .
 Sendfile for Unix, which is an implementation of the SAFT protocol
 (Simple Asynchronous File Transfer) now offers you a true
 asynchronous file transfer service for the Internet.  Virtually any
 form of file can be sent, including encrypted ones.  The SAFT
 protocol will be submitted as an RFC in the near future.

Package: sendip
Description-md5: 287dbf84e9e8a33d4cc2d8578898e890
Description-en: Commandline tool to allow sending arbitrary IP packets
 SendIP has a large number of command line options to specify the
 content of every header of a RIP, TCP, UDP, ICMP or raw IPv4 and IPv6
 packet. It also allows any data to be added to the packet. Checksums
 can be calculated automatically, but if you wish to send out wrong
 checksums, that is supported too.

Package: sendmail
Description-md5: bbd5bcb657e377a34338360f5cd6def0
Description-en: powerful, efficient, and scalable Mail Transport Agent (metapackage)
 Sendmail is an alternative Mail Transport Agent (MTA) for Debian.
 It is suitable for handling sophisticated mail configurations,
 although this means that its configuration can also be complex.
 .
 Fortunately, simple things can be done easily, and complex things
 are possible, even if not easily understood ;)  Sendmail is the *ONLY*
 MTA with a Turing complete language to control *ALL* aspects of delivery!
 .
 Sendmail provides Security and SPAM/UCE/UBE protection via several means.
 .
 Sendmail includes *no* Mail User Agents (MUA), you'll have to
 pick from the plethora of available MUAs (mutt, vm, etc.)
 .
 This package supports REGEX, DB, NIS, NIS+, LDAP, DNS maps,
 and has enabled TCPWrappers, IPv6, LockFile, SMTP AUTH(SASL), STARTTLS(SSL).
 .
 This package is to make installation/upgrading easier (Do NOT delete it)

Package: sendmail-base
Description-md5: 1a36418cb6016085148007182cf41ed0
Description-en: powerful, efficient, and scalable Mail Transport Agent (arch independent files)
 Sendmail is an alternative Mail Transport Agent (MTA) for
 Debian. It is suitable for handling sophisticated mail
 configurations, although this means that its configuration
 can also be complex.
 .
 This package contains the base, architecture independent, portions
 of the sendmail packages.

Package: sendmail-bin
Description-md5: bedc851ae968a6d7605e8cd4d9ae887d
Description-en: powerful, efficient, and scalable Mail Transport Agent
 Sendmail is an alternative Mail Transport Agent (MTA) for Debian.
 It is suitable for handling sophisticated mail configurations,
 although this means that its configuration can also be complex.
 .
 Fortunately, simple things can be done easily, and complex things
 are possible, even if not easily understood ;)  Sendmail is the *ONLY*
 MTA with a Turing complete language to control *ALL* aspects of delivery!
 .
 Sendmail provides Security and SPAM/UCE/UBE protection via several means.
 .
 Sendmail includes *no* Mail User Agents (MUA), you'll have to
 pick from the plethora of available MUAs (mutt, vm, etc.)
 .
 This package supports REGEX, DB, NIS, NIS+, LDAP, DNS maps,
 and has enabled TCPWrappers, IPv6, LockFile, SMTP AUTH(SASL), STARTTLS(SSL).

Package: sendmail-cf
Description-md5: dcd64cc6905b5538138a4d2891c34d2a
Description-en: powerful, efficient, and scalable Mail Transport Agent (config macros)
 Sendmail is an alternative Mail Transport Agent (MTA) for
 Debian. It is suitable for handling sophisticated mail
 configurations, although this means that its configuration
 can also be complex.
 .
 This package contains the .m4 (configuration files) for the
 sendmail packages.

Package: sendmail-doc
Description-md5: 04b022f0e3fe80fec1bf54cfbbdf8717
Description-en: powerful, efficient, and scalable Mail Transport Agent (documentation)
 Sendmail is an alternative Mail Transport Agent (MTA) for
 Debian. It is suitable for handling sophisticated mail
 configurations, although this means that its configuration
 can also be complex.
 .
 Don't try anything fancy in sendmail without using this for guidance.
 .
 Because of the complexity, you may find yourself wanting to peruse
 the documentation files included herein.  The main files are:
   cf.README.gz    -- A reference for all things in sendmail.{mc,cf}
   op.{ps,txt}.gz  -- The care and feeding of Sendmail.
   faq.txt.gz      -- Sendmail FAQ, current as of the package date.
 .
 Other files include the references for smrsh and libmilter.

Package: sendpage-client
Description-md5: f08d58fd1927a0f1e45f176d18f39e96
Description-en: client to send alpha-numeric text pages via SNPP
 To send alphanumeric pages to a pager, if an email gateway is unavailable
 or undesirable, software is needed to control a modem which will dial a
 Paging Central, and deliver the pages using an ASCII delivery system known
 as TAP. Sendpage implements all aspects of this type of software,
 including an SNPP client, an SNPP server, a queuing engine, a modem
 control engine, a TAP communication system, and an email notification system.
 .
 This package provides the sendpage SNPP client.

Package: sendpage-common
Description-md5: 9539bb743d23ab469ddf80744a6a7b82
Description-en: common libraries used to handle alpha-numeric text paging
 To send alphanumeric pages to a pager, if an email gateway is unavailable
 or undesirable, software is needed to control a modem which will dial a
 Paging Central, and deliver the pages using an ASCII delivery system known
 as TAP. Sendpage implements all aspects of this type of software,
 including an SNPP client, an SNPP server, a queuing engine, a modem
 control engine, a TAP communication system, and an email notification system.
 .
 This package provides the Perl modules used to process SNPP and TAP pages.

Package: sendpage-server
Description-md5: 972989f1565228955b24d7421cf7c25a
Description-en: server used to queue and send alpha-numeric text pages via TAP
 To send alphanumeric pages to a pager, if an email gateway is unavailable
 or undesirable, software is needed to control a modem which will dial a
 Paging Central, and deliver the pages using an ASCII delivery system known
 as TAP. Sendpage implements all aspects of this type of software,
 including an SNPP client, an SNPP server, a queuing engine, a modem
 control engine, a TAP communication system, and an email notification system.
 .
 This package provides the sendpage SNPP server.

Package: sendxmpp
Description-md5: ffc3c3c8cef2b78966d8cb38c7819744
Description-en: command line XMPP (jabber) utility
 sendxmpp is a perl script to send text XMPP (jabber) messages, similar
 to what mail(1) and sendmail(1) does for mail.
 .
 sendxmpp is useful for monitoring remote servers (it can warn sending
 xmpp-messages), and watching VCS commit messages (developers are all
 connected to a XMPP-chatroom to which messages are sent.
 .
 XMPP is an open, non-proprietary protocol for instant messaging. See
 www.jabber.org for more information.

Package: senlin-api
Description-md5: 57be45e1052a83d28573ec7e3136109f
Description-en: clustering service for OpenStack clouds - API
 Senlin is a clustering service for OpenStack clouds. It creates and operates
 clusters of homogeneous objects exposed by other OpenStack services. The goal
 is to make the orchestration of collections of similar objects easier.
 .
 Senlin provides RESTful APIs to users so that they can associate various
 policies to a cluster. Sample policies include placement policy, load
 balancing policy, health policy, scaling policy, update policy and so on.
 .
 Senlin is designed to be capable of managing different types of objects. An
 object's lifecycle is managed using profile type implementations, which are
 themselves plugins.
 .
 This package provides the REST API daemon.

Package: senlin-common
Description-md5: d3623c86ce0bf806f04b90454ba00fa1
Description-en: clustering service for OpenStack clouds - common files
 Senlin is a clustering service for OpenStack clouds. It creates and operates
 clusters of homogeneous objects exposed by other OpenStack services. The goal
 is to make the orchestration of collections of similar objects easier.
 .
 Senlin provides RESTful APIs to users so that they can associate various
 policies to a cluster. Sample policies include placement policy, load
 balancing policy, health policy, scaling policy, update policy and so on.
 .
 Senlin is designed to be capable of managing different types of objects. An
 object's lifecycle is managed using profile type implementations, which are
 themselves plugins.
 .
 This package provides common files and configuration.

Package: senlin-doc
Description-md5: b2b4ed444d19e046bfb1d61f003b83b7
Description-en: clustering service for OpenStack clouds - docs
 Senlin is a clustering service for OpenStack clouds. It creates and operates
 clusters of homogeneous objects exposed by other OpenStack services. The goal
 is to make the orchestration of collections of similar objects easier.
 .
 Senlin provides RESTful APIs to users so that they can associate various
 policies to a cluster. Sample policies include placement policy, load
 balancing policy, health policy, scaling policy, update policy and so on.
 .
 Senlin is designed to be capable of managing different types of objects. An
 object's lifecycle is managed using profile type implementations, which are
 themselves plugins.
 .
 This package contains the documentation.

Package: senlin-engine
Description-md5: 423a907ff27925a492f824c92ee44c84
Description-en: clustering service for OpenStack clouds - Engine
 Senlin is a clustering service for OpenStack clouds. It creates and operates
 clusters of homogeneous objects exposed by other OpenStack services. The goal
 is to make the orchestration of collections of similar objects easier.
 .
 Senlin provides RESTful APIs to users so that they can associate various
 policies to a cluster. Sample policies include placement policy, load
 balancing policy, health policy, scaling policy, update policy and so on.
 .
 Senlin is designed to be capable of managing different types of objects. An
 object's lifecycle is managed using profile type implementations, which are
 themselves plugins.
 .
 This package provides the engine daemon.

Package: sensible-mda
Description-md5: bea515eec8582f9eac6796d823698ba5
Description-en: Mail Delivery Agent wrapper
 Sensible-mda is a Debian addition in the sendmail package and has been
 split out in hopes that it might be useful for other MTA packages.
 .
 Sensible-mda is called by the MTA, and will in turn call whichever of
 the following MDAs that it finds (in this order):
 procmail, maildrop, deliver, mail.local

Package: sensors-applet
Description-md5: 1980c33a23c0b1aa06ab8720339fd894
Description-en: Display readings from hardware sensors in your Gnome panel
 GNOME Sensors Applet is an applet for the GNOME panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 It can gather data from the following sources:
  * ACPI thermal zones, via the Linux kernel ACPI modules
  * Linux kernel i2c modules
  * lm-sensors (libsensors)
  * Linux kernel i8k module (for Dell Inspiron Laptops)
  * Linux kernel ibm-acpi module
  * Linux kernel PowerPC modules therm_adt746x and therm_windtunnel
  * Linux kernel iMac G5 Windfarm module
  * hddtemp daemon for reading temperatures from S.M.A.R.T. equipped hard disks
  * Linux kernel Omnibook module
  * NVIDIA graphics cards (supplied with nvidia-settings)
  * Linux kernel sonypi module (for Sony Vaio laptops)
 .
 Alarms can be set for each sensor to notify the user once a certain high or
 low value has been reached, and can be configured to execute a given command
 at given repeated intervals.

Package: sent
Description-md5: 7d0daa497bfa502969ed8656c6b17767
Description-en: simple plaintext presentation tool
 sent does not need LaTeX, libreoffice or any other fancy file format,
 it uses plaintext files to describe the slides and can include images
 via farbfeld.  Every paragraph represents a slide in the
 presentation.
 .
 The presentation is displayed in a simple X11 window. The content of
 each slide is automatically scaled to fit the window and centered so
 you also don't have to worry about alignment. Instead you can really
 concentrate on the content.

Package: sentencepiece
Description-md5: 0fa3d641e1931e574bfffda46a21e57f
Description-en: Unsupervised text tokenizer and detokenizer
 SentencePiece is an unsupervised text tokenizer/detokenizer mainly
 designed for Neural Network-based text generation systems where the
 vocabulary size is predetermined prior to the neural model training.

Package: sentineldl
Description-md5: 71aca35c4e811031a8c9aa16d8441161
Description-en: download and convert Sentinel 2 data
 sentineldl is a wrapper around Sentinelsat for automatically downloading
 Sentinel 2 data from Copernicus Open Access Hub
 (https://scihub.copernicus.eu/). The focus lies on automatically download
 certain regions in an unattended way. sentineldl also includes scripts for
 converting the downloaded tiles into GeoTIFFs.

Package: sentinelsat
Description-md5: a7f803f2258ee355ad158c64ff3885e2
Description-en: search and download Sentinel satellite images
 Sentinelsat makes searching, downloading and retrieving the metadata of
 Sentinel satellite images from the Copernicus Open Access Hub easy.

Package: sepia
Description-md5: 1330fbe338d6e20da5a36c9eb06d4e30
Description-en: Simple Emacs-Perl InterAction
 Sepia is a set of features to make Emacs a better tool for Perl
 development, including:
 .
  * an interactive prompt (REPL) for evaluating code;
  * cross-referencing to find and navigate between function and
    variable definitions and uses;
  * variable- and function-name completion.
  * eldoc support to echo function arguments in the minibuffer
  * functions to simplify POD browsing with Emacs-w3m

Package: sepol-utils
Description-md5: a1b596ce0ddd460c537eafbf4c1d7137
Description-en: Security Enhanced Linux policy utility programs
 This package provides a utility for a Security-enhanced
 Linux system to rewrite existing mandatory access control policy with
 different boolean setting, generating a new policy. Security-enhanced
 Linux is a patch of the Linux kernel and a number of utilities with
 enhanced security functionality designed to add mandatory access
 controls to Linux. This package provides utility programs to get and
 set process and file security contexts and to obtain security policy
 decisions.

Package: seq-gen
Description-md5: ec6271f8ee17a85b9c6bc9e309d6343f
Description-en: simulate the evolution of nucleotide or amino acid sequences
 Seq-Gen is a program that will simulate the evolution of nucleotide
 or amino acid sequences along a phylogeny, using common models of the
 substitution process. A range of models of molecular evolution are
 implemented including the general reversible model. State frequencies
 and other parameters of the model may be given and site-specific rate
 heterogeneity may also be incorporated in a number of ways. Any number
 of trees may be read in and the program will produce any number of data
 sets for each tree. Thus large sets of replicate simulations can be
 easily created. It has been designed to be a general purpose simulator
 that incorporates most of the commonly used
 (and computationally tractable) models of molecular sequence evolution.

Package: seq24
Description-md5: 6b7997b721b9b6b66f06d37b83f67fa7
Description-en: Real time MIDI sequencer
 Seq24 was created to provide a very simple interface for editing and
 playing midi 'loops'. It is a very minimal sequencer that excludes the
 bloated features of the large software sequencers and includes a small
 subset that proved to be useful for live performances.

Package: seqan-apps
Description-md5: 131f005def998f24efb83c06d39ac7f6
Description-en: C++ library for the analysis of biological sequences
 SeqAn is a C++ template library of efficient algorithms and data
 structures for the analysis of sequences with the focus on
 biological data. This library applies a unique generic design that
 guarantees high performance, generality, extensibility, and
 integration with other libraries. SeqAn is easy to use and
 simplifies the development of new software tools with a minimal loss
 of performance. This package contains the applications dfi, pair_align,
 micro_razers, seqan_tcoffee, seqcons, razers and tree_recon.

Package: seqan-dev
Description-md5: 4e4c19a544181aa255852ca6d27db5b5
Description-en: C++ library for the analysis of biological sequences (development)
 SeqAn is a C++ template library of efficient algorithms and data
 structures for the analysis of sequences with the focus on
 biological data. This library applies a unique generic design that
 guarantees high performance, generality, extensibility, and
 integration with other libraries. SeqAn is easy to use and
 simplifies the development of new software tools with a minimal loss
 of performance.
 .
 This package contains the developer files, documentation and examples.

Package: seqan3-doc
Description-md5: 52929ba5f658bf2d6aaee40d79b99a26
Description-en: C++ library for the analysis of biological sequences v3 (documentation)
 SeqAn is a C++ template library of efficient algorithms and data
 structures for the analysis of sequences with the focus on
 biological data. This library applies a unique generic design that
 guarantees high performance, generality, extensibility, and
 integration with other libraries. SeqAn is easy to use and
 simplifies the development of new software tools with a minimal loss
 of performance.
 .
 This package contains the documentation and examples

Package: seqmagick
Description-md5: e95ea82bff0bcb456ddd034e47497452
Description-en: imagemagick-like frontend to Biopython SeqIO
 Seqmagick is a little utility to expose the file format conversion
 in BioPython in a convenient way.
 .
 Features include:
 .
  * Modifying sequences:
    - Remove gaps
    - Reverse & reverse complement
    - Trim to a range of residues
    - Change case
    - Sort by length or ID
  * Displaying information about sequence files
  * Subsetting sequence files by:
    - Position
    - ID
    - Deduplication
  * Filtering sequences by quality score
  * Trimming alignments to a region of interest defined by the forward
    and reverse primers

Package: seqprep
Description-md5: 4537f101700699f5ea0a49f12901126a
Description-en: stripping adaptors and/or merging paired reads of DNA sequences with overlap
 SeqPrep is a program to merge paired end Illumina reads that are overlapping
 into a single longer read. It may also just be used for its adapter trimming
 feature without doing any paired end overlap. When an adapter sequence is
 present, that means that the two reads must overlap (in most cases) so they
 are forcefully merged. When reads do not have adapter sequence they must be
 treated with care when doing the merging, so a much more specific approach is
 taken. The default parameters were chosen with specificity in mind, so that
 they could be ran on libraries where very few reads are expected to overlap.
 It is always safest though to save the overlapping procedure for libraries
 where you have some prior knowledge that a significant portion of the reads
 will have some overlap.

Package: seqprep-data
Description-md5: ccb0a7c89eb600e892e0e099f0c0ab96
Description-en: example data set for seqprep - only used for testing
 SeqPrep is a program to merge paired end Illumina reads that are overlapping
 into a single longer read. It may also just be used for its adapter trimming
 feature without doing any paired end overlap. When an adapter sequence is
 present, that means that the two reads must overlap (in most cases) so they
 are forcefully merged. When reads do not have adapter sequence they must be
 treated with care when doing the merging, so a much more specific approach is
 taken. The default parameters were chosen with specificity in mind, so that
 they could be ran on libraries where very few reads are expected to overlap.
 It is always safest though to save the overlapping procedure for libraries
 where you have some prior knowledge that a significant portion of the reads
 will have some overlap.
 .
 This package is not needed for normal operation of seqprep.  It simply
 serves some test data as well as a test script.

Package: seqtk
Description-md5: 308a3dd5ca3e5c5c42215a0c840a4a23
Description-en: Fast and lightweight tool for processing sequences in the FASTA or FASTQ format
 Currently, seqtk supports quality based trimming with the phred
 algorithm, converting fastq to fasta, reverse complementing sequences,
 extracting or masking subsequences in regions given in a BED/name list
 file, and more.  It contains a subsampling module to sample exactly n
 sequences or a fraction of sequences.
 .
 Seqtk supports both fasta and fastq input files, which can be
 optionally gzip compressed.

Package: ser-player
Description-md5: 70d198f8e0f22c52738058c8579dc416
Description-en: Video player and processing utility for SER files
 The SER file format can be used to store data from fast frame rate astronomy
 cameras instead of using the AVI file format.  This application enables users
 to review SER files in the same way that AVI files are reviewed with a video
 player.

Package: ser2net
Description-md5: 7f918b13b22cf169dd85a386cbf2fa59
Description-en: Serial port to network proxy
 This daemon allows telnet and tcp sessions to be established with a
 host's serial ports. Combined with a terminal emulator, this can be a
 very simple means to configure network devices or other equipment with
 a serial port. This is remarkably similar to the reverse telnet
 feature of some Cisco routers.

Package: serdi
Description-md5: 7422b25fbd724db63b98451c8a76d0e8
Description-en: lightweight RDF syntax library - serdi tool
 Serd is a lightweight C library for RDF syntax which supports reading
 and writing Turtle and NTriples.
 .
 This package provides the utility 'serdi'.

Package: serf
Description-md5: 890d801d88b02e2ff913b1f353f664a3
Description-en: Service orchestration and management tool
 Serf is a decentralized solution for service discovery and orchestration that
 is lightweight, highly available, and fault tolerant.
 .
 Serf runs on Linux, Mac OS X, and Windows. An efficient and lightweight gossip
 protocol is used to communicate with other nodes. Serf can detect node failures
 and notify the rest of the cluster. An event system is built on top of Serf,
 letting you use Serf's gossip protocol to propagate events such as deploys,
 configuration changes, etc. Serf is completely masterless with no single point
 of failure.
 .
 This package contains the tool/service.

Package: serverspec-runner
Description-md5: 7d0905129aec3df438921b3c890f622d
Description-en: simple execution framework for serverspec
 This wrapper program makes it easy to run multiple serverspec
 recipes using one scinario yaml file, with pretty printng.
 In addition to that, it can export test results to other formats,
 like CSV, Markdown, etc.

Package: service-wrapper
Description-md5: 55c730f30d08582a332d56d0b541cfcf
Description-en: Jar daemon wrapper
 The Java Service Wrapper makes very easy to install a Java Application as a
 daemon process on Unix systems. The Wrapper monitors a JVM (Java Virtual
 Machine) process and automatically restarts it if it that the JVM has crashed
 or hung. This process takes just a few seconds once the Wrapper has decided
 there is a problem. It also gives the ability to handle process priority.

Package: session-shortcuts
Description-md5: f6c9369c41401eb17f0ac30522745dc0
Description-en: Allows you to shutdown, logout, and reboot from dash
 Adds several .desktop files that allow you to shutdown, logout,
 and reboot from the Unity dash.

Package: setbfree
Description-md5: 2fdc6a0d0e0f4a4c0c1029e8089c572b
Description-en: DSP tonewheel organ
 setBfree is a MIDI-controlled, software synthesizer designed to imitate
 the sound and properties of the electromechanical organs and sound
 modification devices that brought world-wide fame to the names and
 products of Laurens Hammond and Don Leslie.

Package: setcd
Description-md5: 1054058ae56e07cb6a580b47a2be23dc
Description-en: Control the behaviour of your cdrom device
 This program allows you to control the behaviour of your Linux cdrom
 player.
 .
 You can control: auto close, auto eject, medium type checking and
 tray/caddy locking.  You can get information on the volume name of CD-ROMs
 and other data, and you can set the speed of your drive and choose a
 disc from a jukebox.
 .
 The source of this package may be an example for cdrom player program
 developers that wish to exploit the features of the Linux cdrom
 interface.

Package: setools
Description-md5: 0bd7bba9ce2d53e3f15a78ba75db4f1b
Description-en: tools for Security Enhanced Linux policy analysis
 Security-enhanced Linux is a patch of the Linux kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux. These are Tools for analysing
 security policy on SELinux systems.
 .
 This package contains the following CLI tools:
 .
  * sediff: SELinux policy difference tool
  * sedta: domain transition analysis for SELinux policies
  * seinfo: SELinux policy information tool
  * seinfoflow: information flow analysis for SELinux policies
  * sesearch: SELinux policy query tool

Package: setools-gui
Description-md5: 803ab5eb85811ffc3dfbe5e2493bebd6
Description-en: GUI tools for Security Enhanced Linux policy analysis
 Security-enhanced Linux is a patch of the Linux kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux. These are Tools for analysing
 security policy on SELinux systems.
 .
 This package contains the following GUI tool:
 .
  * apol: graphical SELinux policy analysis tool

Package: setop
Description-md5: 53ff0685b9f6fad31ff60e6fdd6ece32
Description-en: apply set operations like intersection to text inputs
 setop is a simple console utility for handling multiple inputs from files or
 other streams as mathematical sets. That is you can apply typical set
 operations like union, intersection, or set difference and print a resulting
 set (sorted and with unique string elements) to standard output or you can give
 answer to special queries like number of elements.
 .
 Besides the default line-based parsing there are several options for choosing
 input sets and identifying set elements, and there are also several options for
 the type of output, e. g. it is possible to check for set equality or to check
 if one set is a subset of another.

Package: sextractor
Description-md5: 86f27c7ff11204f1efb5935f754d1c0d
Description-en: Dummy transitional package for name change
 The original package name is seen as no longer appropriate by the
 astrophysics community, triggering a name change. This package
 ensures a smooth transition to the new package name. The transitional
 package can be safely removed.

Package: seyon
Description-md5: 72ea6905ece01cb70c02e9875dca33fb
Description-en: Full-featured native X11 communications program
 Seyon is a complete full-featured modem communications package
 for the X Window System. Some of its features are:
  - dialing directory
  - terminal emulation (DEC VT02, Tektronix 4014 and ANSI)
  - script language
  - Zmodem

Package: sf3convert
Description-md5: 5c758784bf23d8c00e19378cc0cd2598
Description-en: MuseScore SoundFont converter
 The sf3convert tool can be used to convert an SF2 SoundFont
 into SF3 format, or into C code for embedding into a binary.
 .
 The SF3 SoundFont format is the same as SF2, except it uses
 an OGG container instead of WAV for the patches contained
 in it. Current synthesisers, such as MuseScore and FluidSynth,
 expect the Vorbis codec to be used, which is lossy; hence, an
 SF3 SoundFont is considered to have a corresponding SF2 one
 as source, and to be compiled via this tool.

Package: sfarkxtc
Description-md5: a39c79dded3bc2487eb846db11f6866c
Description-en: Converts soundfonts from legacy sfArk v2 file format to sf2
 This very small command line tool converts legacy sfArk files into the
 SoundFont 2 format.
 .
 It is based on the library sfarklib, which unfortunately only supports
 sfArk V2+ files.

Package: sfftobmp
Description-md5: 105c21b18ae66a01264a6172f9cd79a1
Description-en: SFF (Structured Fax File) Converter
 Tool to convert "Structured Fax Files" into Windows bitmaps
 (BMP), Portable Bitmaps (PBM), JPEG Pictures (JPG) and
 single or multi-page TIFF Images.
 .
 SFF means 'Structured Fax File', intended by the CAPI interface
 to be used to save incoming faxes. Within GNU/Linux, cards such
 as the AVM-B1 create such files.

Package: sfftw-dev
Description-md5: 305322771ed86f6ceaf06ac43a0a4094
Description-en: library for computing Fast Fourier Transforms
 This library computes FFTs in one or more dimensions. It is extremely fast.
 This package contains the statically linked library and the header files.

Package: sfftw2
Description-md5: 6658deaf0ac7f822634b0fd0b08e0e31
Description-en: library for computing Fast Fourier Transforms
 This library computes Fast Fourier Transforms (FFT) in one or more dimensions.
 It is extremely fast. This package contains the shared library version of the
 fftw libraries in single precision.
 .
 To get the static library and the header files you need to install sfftw-dev.
 .
 For documentation and test programs, install fftw-docs

Package: sffview
Description-md5: f0a736367eb0656853b486e444e1134e
Description-en: Structured Fax File (SFF) Viewer
 sffview is an X11 viewer for SFF files.  SFF is the "Structured Fax File"
 format for group 3 fax documents which ISDN cards supporting the CAPI
 specification expect and create.

Package: sfnt2woff-zopfli
Description-md5: abc0e1606c40fbdd7156a35ff7f52af8
Description-en: Create WOFF files with Zopfli compression
 This is a modified version of the sfnt2woff utility that uses Zopfli
 as a compression algorithm instead of zlib. This results in compression
 gains of, on average, 5-8% compared to regular WOFF files. Zopfli
 generates compressed output that is compatible with regular zlib
 compression so the resulting WOFF files can be used everywhere.
 .
 This package provides two tools:
  * sfnt2woff-zopfli: convert OpenType fonts to WOFF
  * woff2sfnt-zopfli: convert WOFF fonts to OpenType

Package: sfront
Description-md5: 866ac3c4808d6c84bdc2c0884c652034
Description-en: MPEG 4 Structured Audio decoder
 Sfront compiles MPEG 4 Structured Audio (MP4-SA) bitstreams into
 efficient C programs that generate audio when executed. It supports
 real-time, low-latency audio input/output, MIDI input from
 soundcards, and Network Musical Performance.
 .
 MP4-SA is a standard for normative algorithmic sound, that combines
 an audio signal processing language (SAOL) with score languages
 (SASL, and the legacy MIDI File Format).
 .
 This package includes the sfront application, the sfront manual and
 "The MPEG-4 Structured Audio Book", a book on sound modeling and
 sequencing using MP4-SA.

Package: sfst
Description-md5: ef432e73d1ba90678780f869040a83bb
Description-en: Stuttgart finite-state transducer tools
 SFST is a toolbox for the implementation of morphological analysers
 and other tools which are based on finite state transducer
 technology. The SFST tools comprise
 .
   * a compiler which translates transducer programs into minimised transducers
   * interactive and batch-mode analysis programs
   * tools for comparing and printing transducers
   * a C++ library which is efficient and easy to use

Package: sga
Description-md5: 4551d08cc0a7516892fb0af250b69e37
Description-en: de novo genome assembler that uses string graphs
 The major goal of SGA is to be very memory efficient, which is achieved by
 using a compressed representation of DNA sequence reads.
 .
 SGA is a de novo assembler for DNA sequence reads. It is based on Gene Myers'
 string graph formulation of assembly and uses the FM-index/Burrows-Wheeler
 transform to efficiently find overlaps between sequence reads.

Package: sgabios
Description-md5: adeaff5812f921c2403df87081b46ec4
Description-en: bios option rom to provide legacy serial console for x86
 The Google Serial Graphics Adapter BIOS or SGABIOS provides a means for
 legacy x86 software to communicate with an attached serial console as if
 a video card were attached. SGABIOS is designed to be inserted into a BIOS
 as an option rom to provide over a serial port the display and input
 capabilities normally handled by a VGA adapter and a keyboard. SGABIOS
 can be used to feature OS independent serial console redirection in Qemu.

Package: sgf2dg
Description-md5: ea6f8464e7f394beda9376a1444f9136
Description-en: Converts Smart Go Format (SGF) files to Go diagrams
 Go is a board game from Eastern Asia and TeX is a program for
 typesetting.  sgf2dg converts Go game records in smart-go format (SGF)
 into TeX files.  You can produce camera-ready copies for books or
 magazines, or simply generate attractive printouts of your (internet)
 Go games.
 .
 sgf2dg includes the Metafont sources for a new set of Go fonts, and a
 script, sgf2dg (formerly sgf2tex), which translates files in sgf-format
 into TeX.

Package: sgml-base-doc
Description-md5: b5c6491c66dbf62f81c12c7540aade6a
Description-en: Documentation for sgml-base
 This package contains the documentation for sgml-base, providing the
 SGML infrastructure directories and catalog file support, in HTML, PDF
 and plain text format.

Package: sgml-spell-checker
Description-md5: 2ac8c4622104472e9bb2e86d303c9bf0
Description-en: spell checker for SGML documents
 This package includes a couple of tools that you can use to
 automatically spell-check your SGML documents. One of the
 advantages of this tool over some other SGML-aware spell
 checkers is that it scans your documents in the form in which
 the SGML parser actually sees it, which means it is not line-based,
 system entities are resolved, marked sections are treated appropriately, etc.
 .
 Also, this tool can be made aware of particular DTDs, in the
 sense that it knows not to spell-check the content of elements
 that do not represent human-language text, such as <programlisting>
 in DocBook. An exclusion list for the DocBook DTD is included, others
 can be added trivially.
 .
 Home page: http://developer.postgresql.org/~petere/sgml-spell-checker/

Package: sgml2x
Description-md5: 6d19634c3af0c03afe23891e39a95a68
Description-en: generic formatter for SGML/XML documents using DSSSL stylesheets
 sgml2x allows to easily format SGML and XML documents using DSSSL
 stylesheets, and provides the following features:
  * Multiple possible stylesheets per document class
  * Easy specification of style-sheets using sort aliases
  * Easy integration of new stylesheets by adding a simple new
  definition file in a configuration directory
  * The caller can specify a PATH-like list of configuration
  directories, defaulting to one system-wide, one per-user, and
  one per-project configuration directory
  * Automatic selection of a default stylesheet to be used
 .
 It will currently be most useful with one of the suggested sets of
 DSSSL stylesheets for DocBook.

Package: sgmls-doc
Description-md5: 8e9bc0b225020706706e6c339f9fcb4f
Description-en: HTML documentation of libsgmls-perl and sgmlspl
 libsgmls-perl and sgmlspl are tools for parsing the output from an SGML parser
 .
 This package contains the documentation in HTML format of the packages
 libsgmls-perl and sgmlspl.

Package: sgmlspl
Description-md5: cd4a6508f13ec21d352fabfcdfff0098
Description-en: SGMLS-based example Perl script for processing SGML parser output
 This is an example of a Perl script to post-process SGML parser output
 using the SGMLS Perl modules.  To make sensible use of this package
 you will need to install a suitable SGML parser as well.

Package: sgrep
Description-md5: 4695a84ec199bf6a31f383159933a02e
Description-en: tool to search a file for structured pattern
 A tool for searching text files and filtering text streams for structured
 criteria. Sgrep implements a query language based on so called region
 expressions.
 .
 Like grep, sgrep can be used for any kind of text files. However it is most
 useful for text files containing some kind of structured text. A file
 containing structured text could be defined as a file, which obeys some syntax.
 Examples of structured text files are SGML, HTML, C, TeX and mail files.

Package: sgt-launcher
Description-md5: 049ee21e54bac4bc5af538f3aee9503c
Description-en: launcher for sgt-puzzles collection
 SGT Puzzles Collection is a launcher application for Simon Tatham's
 Portable Puzzle Collection. The launcher embeds each game into a single
 application window and reduces menu clutter.

Package: sgt-puzzles
Description-md5: 65d93f5ebc6d1f5f995ad69979f2914c
Description-en: Simon Tatham's Portable Puzzle Collection - 1-player puzzle games
 Simon Tatham's Portable Puzzle Collection contains a number of popular
 puzzle games for one player.  It currently consists of these games:
 .
  * Black Box, ball-finding puzzle
  * Bridges, bridge-placing puzzle
  * Cube, rolling cube puzzle
  * Dominosa, domino tiling puzzle
  * Fifteen, sliding block puzzle
  * Filling, polyomino puzzle
  * Flip, tile inversion puzzle
  * Galaxies, symmetric polyomino puzzle
  * Guess, combination-guessing puzzle
  * Inertia, gem-collecting puzzle
  * Keen, arithmetic Latin square puzzle
  * Light Up, light-bulb placing puzzle
  * Loopy, loop-drawing puzzle
  * Magnets, magnet-placing puzzle
  * Map, map-colouring puzzle
  * Mines, mine-finding puzzle
  * Net, network jigsaw puzzle
  * Netslide, toroidal sliding network puzzle
  * Pattern
  * Pearl, loop-drawing puzzle
  * Pegs, peg solitaire puzzle
  * Range, visible-distance puzzle
  * Rectangles
  * Same Game, block-clearing puzzle
  * Signpost, square-connecting puzzle
  * Singles, number-removing puzzle
  * Sixteen, toroidal sliding block puzzle
  * Slant, maze-drawing puzzle
  * Solo, number placement puzzle
  * Tents, tent-placing puzzle
  * Towers, tower-placing Latin square puzzle
  * Twiddle, rotational sliding block puzzle
  * Undead, monster-placing puzzle
  * Unequal, Latin square puzzle
  * Unruly, black and white grid puzzle
  * Untangle, planar graph layout puzzle

Package: shade-inventory
Description-md5: f45ff0370579e0155007f167e85cb9ac
Description-en: Ansible inventory script for OpenStack clouds
 Shade is a simple Python client library for operating OpenStack
 clouds. The key word here is simple. Clouds can do many things - but
 there are probably only about 10 of them that most people care about
 with any regularity. If you want to do complicated things, you should
 probably use the lower level client libraries - or even the REST API
 directly. However, if what you want is to be able to write an application
 that talks to clouds no matter what choices the deployer has made then
 shade is for you.
 .
 This package installs a script that uses shade to query OpenStack
 clouds and produce a JSON inventory that is suitable for use as an
 Ansible inventory plugin.

Package: shadowsocks-libev
Description-md5: 2af159ade6a64ba56baee53dbd758b00
Description-en: lightweight and secure socks5 proxy
 Shadowsocks-libev is a lightweight and secure socks5 proxy for
 embedded devices and low end boxes.
 .
 Shadowsocks-libev was inspired by Shadowsock (in Python). It's rewritten
 in pure C and only depends on libev, mbedTLS and a few other tiny
 libraries.

Package: shairport-sync
Description-md5: c285144019784ecbc41c3cedc8661a97
Description-en: AirPlay audio player
 Plays audio streamed from iTunes, iOS devices and third-party AirPlay
 sources such as ForkedDaapd and others. Audio played by a Shairport
 Sync-powered device stays synchronised with the source and hence with
 similar devices playing the same source. In this way, synchronised
 multi-room audio is possible without difficulty.
 .
 Shairport Sync does not support AirPlay video or photo streaming.

Package: shake
Description-md5: 0ad3eaac7e8fd021b9c7842a00a9e434
Description-en: Testing engine for the Lua language version 5.1
 The shake utility is a simple and transparent test engine for Lua.
 .
 Shake gives concise reports when the tests succeed, while it prints detailed
 traces when tests fail.
 .
 Regular Lua test files, if written using only standard assert and print calls,
 can be run with shake with no modifications.
 .
 This package contains the shake command line utility, the Lua modules
 that implement shake functionalities and the documentation for both.

Package: shanty
Description-md5: ec5c8b64d999fb5326fdadfe8398636a
Description-en: Makes a whopping great postscript file from an image and a text
 Shanty takes a text file and an image (PNG or JPG) and creates a PostScript
 file where one pixel in the image becomes one character in the PostScript.
 .
 You can use it for making posters with the source and logo of your favourite
 project or with the letter and a photograph of your favourite group.

Package: shapeit4
Description-md5: 241d0e192ff8449a1b7a9f041398c109
Description-en: fast and accurate method for estimation of haplotypes (phasing)
 Segmented HAPlotype Estimation and Imputation Tools version 4 (SHAPEIT4).
 SHAPEIT4 is a fast and accurate method for estimation of haplotypes (aka
 phasing) for SNP array and sequencing data.

Package: shapeit4-example
Description-md5: b72cdf3f91219a37d1a9a5b6e41e5852
Description-en: fast and accurate method for estimation of haplotypes (phasing) - example
 Segmented HAPlotype Estimation and Imputation Tools version 4 (SHAPEIT4).
 SHAPEIT4 is a fast and accurate method for estimation of haplotypes (aka
 phasing) for SNP array and sequencing data.
 .
 This package provides example data to work with SHAPEIT4.

Package: shapelib
Description-md5: 5f083296a799739148faca7ef3b89dc6
Description-en: Library for reading and writing ESRI Shapefiles - tools
 The Shapefile format is a working and interchange format promoted by
 ESRI for simple vector data with attributes. It is apparently the only
 file format that can be edited in ARCView 2/3, and can also be exported
 and imported in ArcGis.
 .
 This package contains a useful set of tools to manage shapefiles.

Package: shapetools
Description-md5: 9fbbfdee53d2ec138031a593c11d8fc4
Description-en: Configuration and Release management using AtFS
 ShapeTools is a collection of programs to support software
 configuration management in an UNIX environment. It consists of a set
 of version and attribute control commands, and a configuration
 interpreter and build tool ("shape"). The toolkit is integrated on top
 of the Attributed File System (AtFS).
 .
 ShapeTools is designed to live meaningfully together
 with any other UNIX tool operating on regular files. This distribution
 also contains a prototype for a comprehensive change control and
 release management system designed to manage the evolution of system
 releases in multi programmer software development efforts.

Package: sharness
Description-md5: 2fa0752eb7d8a3123ce659a3daa91ccc
Description-en: shell library for automated tests with TAP output
 Sharness is a portable shell library to write, run, and analyze
 automated tests for Unix programs. Since all tests output TAP, the
 Test Anything Protocol, they can be run with any TAP harness.

Package: shatag
Description-md5: 590c863ed181a6eae66fab15342803d1
Description-en: tool to store file checksums in extended attributes, and work with them
 Shatag is a tool for computing and caching file checksums, and do
 remote duplicate detection. Files are compared on their SHA-256 hash to
 find duplicates, and will use filesystem extended attributes to cache
 the checksum values.

Package: shc
Description-md5: 55ae16afbce7d3b6827372c4e22f870b
Description-en: Shell script compiler
 SHC is a generic shell script compiler.
 It takes a script, which is specified on the command line and produces
 C source code. The generated source code is then compiled and linked to
 produce a stripped binary.
 .
 The compiled binary will still be dependent on the shell specified in
 the first line of the shell code, thus shc does not create completely
 independent binaries.
 .
 shc itself is not a compiler such as cc,
 it rather encodes and encrypts a shell script and generates C source
 code with the added expiration capability. It then uses the system
 compiler to compile a stripped binary which behaves exactly like the
 original script. Upon execution, the compiled binary will decrypt and
 execute the code with the shells' -c option.

Package: shed
Description-md5: d63d084346e1db6c2036425374fde40b
Description-en: simple hex editor with a pico-style interface
 shed (Simple Hex Editor) is an easy application for viewing and editing
 files in text mode, using ncurses. The main features are:
 .
   - Displays each byte as ascii, hex, decimal, octal and binary;
   - Allows changes to be input in all of the above displayed modes,
     with bit toggling in the binary column;
   - Simple Pico-style interface;
   - Search resource;
   - Can dump information to file;
   - Small memory requirements because file is not loaded into memory;
   - Large file support.
 .
 shed is useful in forensics investigations.

Package: shedskin
Description-md5: 7b1394bad576870077be946e9aedf55a
Description-en: Python-to-C++ compiler designed to speed up Python programs
 Shed Skin converts programs written in a static subset of Python to C++.
 The C++ code can be compiled to executable code, which can be run either
 as a standalone program or as a module imported from Python.

Package: shellcheck
Description-md5: 7c996daa2907e3b071f909a6e67eef77
Description-en: lint tool for shell scripts
 The goals of ShellCheck are:
 .
  * To point out and clarify typical beginner's syntax issues,
    that causes a shell to give cryptic error messages.
 .
  * To point out and clarify typical intermediate level semantic problems,
    that causes a shell to behave strangely and counter-intuitively.
 .
  * To point out subtle caveats, corner cases and pitfalls, that may cause an
    advanced user's otherwise working script to fail under future circumstances.

Package: shelldap
Description-md5: 4e57a9380695293043f69c130c46420a
Description-en: shell-like interface for browsing LDAP servers and editing their content
 Shelldap lets you browse and edit an LDAP tree as if it were a filesystem.  It
 keeps command history, has sane autocompletes, credential caching, site-wide
 and individual configs, and it's fun to say. Shelldap! Shelldap! Shelldap!

Package: shellex
Description-md5: 750fe0f4f78a1252b6d64ff161982b68
Description-en: shell-based launcher
 shellex is supposed to be a dmenu-style launcher with a lot more
 features and a lot simpler design. It launches a shell (currently zsh)
 and shows it in a small terminal, wrapping every command with a little
 bit of extra magic (redirecting stdout, stderr, disowning and closing
 the shell) to get more typical launcher-behaviour.
 .
 This gives you a simple launcher with tab-completion and other
 shell-features, configurable in shell.

Package: shellia
Description-md5: 77716f481115be0bcf40b59f79d7a362
Description-en: shell interactive library
 shellia is a library that allows one to run shell scripts interactive and
 helps to familiarize oneself with a shell script, find unexpected behaviour
 in the shell script, and run the shell script silently, while checking it
 step by step.

Package: shellinabox
Description-md5: 7a3e617a326194514167e07a217dea8e
Description-en: publish command line shell through AJAX interface
 Shellinabox can export arbitrary command line programs to any JavaScript
 enabled web browser. By default, it prompts for username and password
 and then exports a login shell. Shellinabox provides a VT100
 compatible terminal emulator that runs within any modern
 browser. Support for HTTPS protocol (SSL/TLS encryption) is optional
 and requires installation of openssl.

Package: shelltestrunner
Description-md5: b83e1597d79a7e44be16d876066274d4
Description-en: test command-line programs or arbitrary shell commands
 shelltestrunner is a cross-platform tool for testing command-line
 programs (or arbitrary shell commands). It reads simple declarative
 tests specifying a command, some input, and the expected output,
 error output and exit status. Tests can be run selectively, in
 parallel, with a timeout, in color, and/or with differences
 highlighted.

Package: shelr
Description-md5: a835f3ac1de1ac0732590275f9520b47
Description-en: Utility for plain text screencasting
 Shelr allows one to record, replay and share your terminal session.
 It works almost the same as script or ttyrec but adds some useful
 features on top of them. Optionally you can share your recordings at
 http://shelr.tv/ or via email.

Package: shelxle
Description-md5: 5029c31142d65f1f04457c84db29b869
Description-en: graphical user interface for SHELXL
 ShelXle combines an editor with syntax highlighting for the
 SHELXL-associated .ins (input) and .res (output) files with an interactive
 graphical display for visualization of a three-dimensional structure including
 the electron density (Fo) and difference density (Fo-Fc) maps.
 .
 https://dx.doi.org/10.1107/S0021889811043202

Package: shibboleth-sp-common
Description-md5: 5cb8ec5ce4d42102b0b3de436773add2
Description-en: Federated web single sign-on system (common files)
 The Shibboleth System is a standards based software
 package for web single sign-on across or within organizational boundaries.
 It supports authorization and attribute exchange using the OASIS SAML 2.0
 protocol.  Shibboleth allows sites to make informed authorization decisions
 for individual access of protected online resources while allowing users to
 establish their identities with their local authentication systems.
 .
 This package contains common files used by the Shibboleth SP library,
 Apache module, and daemon, primarily configuration files and schemas.

Package: shibboleth-sp-utils
Description-md5: 9fdaf87e263b0999cb4dace68c4bb415
Description-en: Federated web single sign-on system (daemon and utilities)
 The Shibboleth System is a standards based software
 package for web single sign-on across or within organizational boundaries.
 It supports authorization and attribute exchange using the OASIS SAML 2.0
 protocol.  Shibboleth allows sites to make informed authorization decisions
 for individual access of protected online resources while allowing users to
 establish their identities with their local authentication systems.
 .
 This package contains the daemon that handles attribute requests and
 maintains session information for the SP.  It is used internally by the
 Apache module (libapache2-mod-shib2), but may be useful independently in
 some circumstances.  It also contains some other useful Shibboleth SP
 utility programs and the FastCGI authorizer and responder.

Package: shibboleth-sp2-common
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: shibboleth-sp2-utils
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: shiboken2
Description-md5: 8ce99af52aaabfbd22d85c27a5cddd50
Description-en: CPython bindings generator for C++ libraries
 Shiboken2 is a bindings generator for C++ libraries that outputs CPython
 source code. It collects information from library headers, and then
 merges modifications and handwritten code defined in the typesystem
 description.
 .
 Shiboken2 is the binding generator used to create the PySide2 bindings.

Package: shiboken2-doc
Description-md5: 9180f584be9799a4683b3b7701e6df99
Description-en: CPython bindings generator for C++ libraries (common documentation)
 Shiboken2 is a bindings generator for C++ libraries that outputs CPython
 source code. It collects information from library headers, and then
 merges modifications and handwritten code defined in the typesystem
 description.
 .
 Shiboken2 is the binding generator used to create the PySide2 bindings.
 .
 This package contains the common documentation.

Package: shiki-brave-theme
Description-md5: 68d68f35bd6d404cc679e84cab266113
Description-en: blue variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Brave (Blue) GTK-2+ theme.

Package: shiki-colors
Description-md5: 4677951f9cbf30400d71096d994c59c8
Description-en: set of Metacity/GTK-2+ themes
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides seven variations of the Shiki-Colors (Murrine) theme:
 .
 Shiki-Brave (Blue), Shiki-Dust (Chocolate), Shiki-Human (Orange),
 Shiki-Illustrious (Pink),
 Shiki-Noble (Purple), Shiki-Wine (Red), and Shiki-Wise (Green)

Package: shiki-colors-metacity-theme
Description-md5: 85657e2dc14bab7958eede853b8cf9b4
Description-en: set of Metacity themes
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the common Metacity themes.

Package: shiki-colors-xfwm-theme
Description-md5: 63d0cfd20b8de0aa4e5df645ce155160
Description-en: Xfwm/Xfce4 theme based on the Shiki-Colors Metacity theme
 Shiki-Colors is a Xfwm theme which mix the elegance of a dark theme
 with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides a Xfwm port of the Shiki-Colors Metacity theme for use
 with the Xfce desktop.

Package: shiki-dust-theme
Description-md5: df9fe60fea70b444115af165b6fa4b5a
Description-en: chocolate variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Dust (Chocolate) GTK-2+ theme.

Package: shiki-human-theme
Description-md5: f510935abdd1e565b2be94228826b243
Description-en: orange variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Human (Orange) GTK-2+ theme.

Package: shiki-illustrious-theme
Description-md5: 8f2163a273d39f3d1c696aad586b4615
Description-en: pink variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Illustrious (Pink) GTK-2+ theme.

Package: shiki-noble-theme
Description-md5: dfebdea29b2f2372a71a9e1920e4f42f
Description-en: purple variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Noble (Purple) GTK-2+ theme.

Package: shiki-wine-theme
Description-md5: 2a4bb21a683d99c7da06fcb552890fac
Description-en: red variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Wine (Red) GTK-2+ theme.

Package: shiki-wise-theme
Description-md5: ddc413620f7b4e8ee6b0e06626c93352
Description-en: green variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Wise (Green) GTK-2+ theme.

Package: shimmer-themes
Description-md5: 6262eddc86305c4777731c98c02a145f
Description-en: Gtk+ themes from Shimmer Project
 This package is a metapackage for the GTK+ themes from the Shimmer Project.

Package: shineenc
Description-md5: 8be70a8098d67ac483794b6168b87a4a
Description-en: Fixed-point MP3 encoding library - frontend binary
 Shine can be used to encode audio data on architectures with no floating
 point processing unit (FPU) at a much better rate than encoding
 libraries implemented using floating-point arithmetic.
 .
 This package contains a frontend binary capable of encoding WAVE files
 using Shine.

Package: shisa
Description-md5: 7b15d64b267828fa1cd5deb96e3b3ae5
Description-en: Administration utility for the Shishi Kerberos v5 KDC database
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain the admin tool to create and modify the user
 database used by the Shishi daemon.

Package: shishi
Description-md5: e43065bd095c5317a6bc8b51fdb47cbf
Description-en: Command line client for the Shishi Kerberos v5 implementation
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 Shishi can be used to authenticate users in distributed systems.
 .
 Shishi contains a library ('libshishi') that can be used by
 application developers to add support for kerberos v5.  Shishi
 contains a command line utility ('shishi') that is used by users to
 acquire and manage tickets (and more).  The server side, a Key
 Distribution Center, is implemented by 'shishid'.  Of course, a
 manual documenting usage aspects as well as the programming API is
 included.
 .
 Shishi currently supports AS/TGS exchanges for acquiring tickets, the
 AP exchange for performing client and server authentication, and
 SAFE/PRIV for integrity/privacy protected application data exchanges.
 .
 Shishi is internationalized; error and status messages can be
 translated into the users' language; user name and passwords can be
 converted into any available character set (normally including
 ISO-8859-1 and UTF-8) and also be processed using an experimental
 Stringprep profile.
 .
 Most, if not all, of the widely used encryption and checksum types
 are supported, such as ARCFOUR, 3DES, AES and HMAC-SHA1.
 .
 This package includes a few command line tools:
  shishi -- Acquire and manage Kerberos tickets.
  keytab2shishi -- Convert MIT/Heimdal /etc/krb5.keytab's to Shishi format.
  ccache2shishi -- Convert MIT/Heimdal user ticket files to Shishi format.

Package: shishi-common
Description-md5: e8da2c65cef9852105819ce24938c032
Description-en: Platform independent files for Shishi
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contains configuration files and translation of
 diagnostic messages.

Package: shishi-doc
Description-md5: 55510abea3e97ecd29d470df89570020
Description-en: Documentation for Shishi
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain the user, reference and developers manual in
 HTML, PDF and Info formats, and API reference as man pages, GTK-DOC
 and Devhelp.

Package: shishi-kdc
Description-md5: d6a62b2d8a487ef1bfe216e22414f8f0
Description-en: Kerberos v5 Key Distribution Center (KDC) Shishi server daemon
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain the Shishi server (shishid), which is a Key
 Distribution Center (KDC).

Package: shntool
Description-md5: 68ac91d5a8a7a02c8bfe01ee0e1a897a
Description-en: multi-purpose tool for manipulating and analyzing WAV files
 shntool is a multi-purpose WAVE data processing and reporting utility.
 File formats are abstracted from its core, so it can process any file
 that contains WAVE data, compressed or not - provided there exists a
 format module to handle that particular file type.
 .
 shntool has native support for .wav files. If you want it to work with
 other formats, you must have the appropriate helper program installed.
 Some of these helper programs - notably for Monkey's Audio, LPAC,
 OptimFROG - are not (yet) available in Debian.
 .
 With the helper programs mentioned above, shntool is able to convert files
 between all supported formats.

Package: shogivar
Description-md5: 4ab74bcbb6031e6b1d1f682709f7dce5
Description-en: UI to play many shogi variants, with builtin computer player
 Shogi Variants is a user interface for many variants of Shogi (japanese chess).
 .
 All games can be played by 2 players, and most (except Tenjiku) against the
 builtin computer player.
 .
 Supported variants:
  * Micro-Shogi
  * Mini-Shogi
  * Judkin's Shog
  * Whale Shogi
  * Tori (Bird) Shogi
  * Yari Shogi
  * Heian (Early) Shogi
  * Modern Shogi
  * Sho (Small) Shogi
  * Wa Shogi (2 variants)
  * Chu (Middle) Shogi
  * Heian Dai (Early Large) Shogi
  * Dai (Large) Shogi
  * Tenjiku (Exotic) Shogi
  * Dai-Dai (Huge) Shogi
  * Maka-Dai-Dai (Ultra Huge) Shogi
  * Tai (Grand) Shogi
 .
 This is the C port of Steve Evans' Shogi Variants for Windows.

Package: shogivar-data
Description-md5: 3ba042e5eb954e6170e41194b8beb212
Description-en: architecture-independant files for shogivar
 Shogi Variants is a user interface for many variants of Shogi (japanese chess).
 .
 This package contains the images, help files and other data files
 necessary for Shogi Variants.

Package: shoogle
Description-md5: fd2d6cb80f7ba45597f7a6ef1f1c6dbf
Description-en: Google API from the command line
 Use the Google API from the shell. This utility can list
 APIs available, and exercise the methods.

Package: shorewall
Description-md5: 8a35206537054a60152c0c407d9b9c10
Description-en: Shoreline Firewall, netfilter configurator
 Shorewall allows firewall/gateway requirements to be described using
 entries in a set of configuration files. It reads those configuration
 files and, with the help of the iptables utility, configures
 netfilter to match these requirements.
 .
 Shorewall supports a wide range of router/firewall/gateway applications,
 traffic shaping and almost every type of VPN.

Package: shorewall-core
Description-md5: 640fa2f678347b37f0ec1536fa23201c
Description-en: Shorewall core components
 This package provides the core Shorewall components, which are required
 for the rest of the Shorewall packages to work.
 .
 There is not an especially good reason to have this package installed all
 by itself.

Package: shorewall-doc
Description-md5: 3437aa3cc20531006b60c135ba0a414f
Description-en: documentation for Shoreline Firewall (Shorewall)
 Shorewall is an iptables based firewall that can be used on a dedicated
 firewall system, a multi-function masquerade gateway/server or on a standalone
 Linux system.
 .
 This package contains the shorewall HTML documentation.

Package: shorewall-init
Description-md5: d44718ef1b7b7854657f6a4f04a23cd8
Description-en: Shorewall initialization
 This package provides two related features:
 .
    a)  It allows the firewall to be closed prior to bringing up
        network devices. This insures that unwanted connections are not
        allowed between the time that the network comes up and when the
        firewall is started.
 .
    b)  It integrates with NetworkManager and distribution ifup/ifdown
        systems to allow for 'event-driven' startup and shutdown.
 .
 The two facilities can be enabled separately.
 .
 When Shorewall-init is first installed, it does nothing until you
 configure it.

Package: shorewall-lite
Description-md5: c42a2f5a35b01bb3f08f6ef73042287d
Description-en: Shorewall (lite version), a high-level tool for configuring Netfilter
 Shorewall allows you to describe your firewall/gateway requirements using
 entries in a set of configuration files. It reads those configuration files
 and, with the help of the iptables utility, configures Netfilter to match your
 requirements.
 .
 Shorewall supports a wide range of router/firewall/gateway applications,
 traffic shaping and almost every type of VPN.
 .
 The shorewall-lite package is designed to allow you to maintain all Shorewall
 configuration information on a single system within your network.

Package: shorewall6
Description-md5: dc297ddbeac567f8ccdd4d6e755793ab
Description-en: Shoreline Firewall (IPv6 version), netfilter configurator
 Shorewall6 allows firewall/gateway requirements to be described using
 entries in a set of configuration files. It reads those configuration
 files and, with the help of the iptables utility, configures
 netfilter to match these requirements.
 .
 Shorewall6 supports a wide range of router/firewall/gateway applications,
 traffic shaping and almost every type of VPN.
 .
 This package contains IPv6 support.

Package: shorewall6-lite
Description-md5: 0bfffbe58f12dfa8f9d6842d47fc37f3
Description-en: Shorewall (lite version with IPv6 support)
 Shorewall6 allows you to describe your firewall/gateway requirements using
 entries in a set of configuration files. It reads those configuration files
 and, with the help of the iptables utility, configures Netfilter to match your
 requirements.
 .
 Shorewall6 supports a wide range of router/firewall/gateway applications,
 traffic shaping and almost every type of VPN.
 .
 The shorewall6-lite package is designed to allow you to maintain all Shorewall
 configuration information on a single system within your network.
 .
 This package contains IPv6 support.

Package: shotcut
Description-md5: 7e81fdac9e6aa16c46d802ed474d5125
Description-en: video editor
 This is a video editor with features like 4k resolution support,
 network stream playback, audio/webcam captures, and many more.

Package: shotdetect
Description-md5: b8e0477810bb90d8f300eb20411c3a85
Description-en: scene change detector
 Shotdetect is a software which is able to detect shots and scenes in
 a movie.
 .
 The result produced is a simple XML file containing scenes boundary
 and features. It is also possible to automatically get scenes
 boundary shots.

Package: shove
Description-md5: 4e07bcdaf7178fe37ec839f93b750db6
Description-en: test tool for shell scripts with TAP outputs
 A test tool for shell scripts likes sh, bash, dash, ksh, and zsh with TAP
 outputs.

Package: showfoto
Description-md5: d86e4696d338167761639ff2ae89170b
Description-en: image viewer/editor for KDE
 showFoto is the standalone image editor of the digiKam project.
 .
 It runs without digiKam images database support, but provides all Image Editor
 functions.

Package: showfsck
Description-md5: 350a71c81555c15b32c71d2493c92183
Description-en: show the number of reboots before next forced fsck
 This package displays during startup and halt the number of mounts for each
 ext2/3 partition before an fsck will be forced, so that the user will not be
 suprised.
 .
 Very useful for people that often reboot (laptop users for example)

Package: showq
Description-md5: 159c2aaca9ee6dd484e90a428f606df0
Description-en: MIDI controllable audio player
 Application that can play audio cues and trigger MIDI events
 primarily developed for theatre productions.
 .
 Features:
  * 8 channels per_cue routing.
  * Playback through ALSA or JACK.
  * Load/Save cue list.
  * Hot key for any cue.
  * Application controllable by MIDI.

Package: shrinksafe
Description-md5: a1b9c49ff1546d2b640214741260150b
Description-en: JavaScript compression system
 ShrinkSafe is a JavaScript compression system. It can typically reduce the
 size of your scripts by a third or more, depending on your programming style.
 .
 Many other tools also shrink JavaScript files, but ShrinkSafe is different.
 Instead of relying on brittle regular expressions, ShrinkSafe is based on
 Rhino, a JavaScript interpreter. This allows ShrinkSafe to transform the source
 of a file with much more confidence that the resulting script will function
 identically to the file you uploaded.

Package: shunit2
Description-md5: 87603abc3a9465868b0384759d8b4ce6
Description-en: unit test framework for Bourne based shell scripts
 shUnit2 was originally developed to provide a consistent testing
 solution for log4sh, a shell based logging framework similar to
 log4j. It is designed to work in a similar manner to JUnit, PyUnit,
 etc.

Package: shush
Description-md5: 07f83147e8da5456419a57f2ccbc2767
Description-en: runs a command and optionally reports its output by mail
 shush was written to be a generic wrapper around cron jobs so that it can be
 applied to any command without having to modify it or create a custom wrapper
 for it. In a sense, it solves a fairly simple problem, but it also brings some
 powerful features making it a useful tool beyond cron jobs.
 .
 Feature highlights:
 .
   * Multiple reports (for the output) may be defined
   * Decision to send a report (or not) is configurable based on:
     - Output content analysis (using regular expressions)
     - Command exit code
     - Size of output
     - Command execution duration
   * Support for plain text, enriched text and HTML report formatting.
     (The latter two allow rendering part of the output in bold.)
   * Various timeout actions such as notification and command termination
   * Locking support
   * Progress report logging via syslog
   * Automatic crontab file updating based on shush's configuration

Package: shutdown-at-night
Description-md5: 56d637309b39caca8e8f93fdaf54da0a
Description-en: System to shut down clients at night, and wake them in the morning
 With this tool you can schedule regular shutdowns of workstations in the
 evening, and also wake them up every morning, using either nvram-wakeup, ACPI
 wakeup or wake-on-lan.
 .
 For the wake-on-lan wake-up sequence you need one awake machine on your local
 network / subnet. This can be a server machine or a client machine that got
 just previously woken up by nvram-wakeup.
 .
 The shutdown sequence will be initiated hourly after 4pm via a CRON job.
 However, only machines that appear inactive / unused will be shut down.
 Machines that are currently in use will remain up and running.
 .
 The Shutdown-at-Night tool can be activated via a config file or via
 membership in a NIS netgroup called shutdown-at-night-hosts.

Package: sia
Description-md5: ad065d9d74c6eb3eeeab33e17b67e849
Description-en: Blockchain-based marketplace for file storage
 Sia is a decentralized cloud storage platform aimed at giving users
 control of their data. Data is split into hundreds of erasure coded
 pieces and encrypted locally, and then each piece is uploaded to a
 separate host. A blockchain is used to create cryptographic contracts
 ensuring that hosts will only get paid if they actually store the
 data. Out of hundreds of hosts, only a fraction are required to
 recover the original file.
 .
 Anybody can join the network as a host and get income from the
 storage they contribute. This openness allows Sia to build and take
 advantage of a global network of small datacenters. Combined with
 advanced algorithms for storing and retrieving data, Sia is poised to
 be a highly competitive cloud storage platform. More information
 about the technology can be found on our website and in the 'doc'
 folder of the repo.
 .
 Sia is ready for use with small sums of money and non-critical files,
 but until the network has a more proven track record, we advise
 against using it as a sole means of storing important data.

Package: sibsim4
Description-md5: 0ddb1da7cc812b1724bd48ebe261e0e3
Description-en: align expressed RNA sequences on a DNA template
 The SIBsim4 project is based on sim4, which is a program designed to align
 an expressed DNA sequence with a genomic sequence, allowing for introns.
 SIBsim4 is a fairly extensive rewrite of the original code with the following
 goals:
  * speed improvement;
  * allow large, chromosome scale, DNA sequences to be used;
  * provide more detailed output about splice types;
  * provide more detailed output about polyA sites;
  * misc code cleanups and fixes.

Package: sic
Description-md5: 7995bd867e4ee66e576cb10611b00009
Description-en: simple irc client (sic)
 sic is an extremely fast, small and simple irc client. It reads commands from
 standard input and prints all server output to standard output. It also
 multiplexes all channel traffic into one output. That way you don't have to
 switch different channel buffers. So that's actually a feature.

Package: sicherboot
Description-md5: 83f973597e619298203a0e0e92468457
Description-en: systemd-boot integration with UEFI secure boot support
 sicher*boot manages kernels and systemd-boot on a secure boot
 machine. It installs kernels and systemd-boot, generates signing keys to
 enroll in the machine,  and signs the kernels and the bootloader with it.
 .
 Keys are generated in /etc/sicherboot/keys, readable only to root. The private
 keys are unencrypted in the default configuration, but that can be changed,
 see /etc/sicherboot/sicherboot.conf after installing.
 .
 This package diverts the /etc/kernel/postinst.d/dracut file and replace it
 with its own file that calls the diverted one before running sicherboot,
 as dracut does not support any form of hooks. dpkg is not entirely happy
 with that and asks you if you want to replace a "deleted" dracut conffile -
 answer yes.

Package: sickle
Description-md5: 669442b6169b604f66a4edae2b8390cc
Description-en: windowed adaptive trimming tool for FASTQ files using quality
 Most modern sequencing technologies produce reads that have deteriorating
 quality towards the 3'-end. Incorrectly called bases here negatively impact
 assembles, mapping, and downstream bioinformatics analyses.
 .
 Sickle is a tool that uses sliding windows along with quality and length
 thresholds to determine when quality is sufficiently low to trim the 3'-end
 of reads. It will also discard reads based upon the length threshold. It takes
 the quality values and slides a window across them whose length is 0.1 times
 the length of the read. If this length is less than 1, then the window is set
 to be equal to the length of the read. Otherwise, the window slides along the
 quality values until the average quality in the window drops below the
 threshold. At that point the algorithm determines where in the window the drop
 occurs and cuts both the read and quality strings there. However, if the cut
 point is less than the minimum length threshold, then the read is discarded
 entirely.
 .
 Sickle supports four types of quality values: Illumina, Solexa, Phred, and
 Sanger. Note that the Solexa quality setting is an approximation (the actual
 conversion is a non-linear transformation). The end approximation is close.
 .
 Sickle also supports gzipped file inputs.

Package: sidedoor
Description-md5: f5f74bb4dbe5f692f2c12e3ac958149f
Description-en: SSH connection daemon
 sidedoor maintains an SSH connection or tunnel
 with a shell script daemon.
 .
 The primary use case is maintaining a remote port forward
 to the local SSH server (or another port). Thus, the local
 device can be accessed without using incoming connections
 that may be blocked by a NAT or firewall or otherwise
 impractical with mobile devices.
 .
 SSH clients can connect to the device via the reverse SSH proxy
 that sidedoor tunnels to. This proxy server can be untrusted
 and run by a third party or cloud service.
 .
 sidedoor enables SSH keepalives and retries SSH with
 exponential backoff. In order to reconnect as soon as possible,
 it resets the backoff when a network interface is brought up
 (or changed).
 .
 Refer to the sidedoor man page to configure and set SSH keys.

Package: sidedoor-sudo
Description-md5: 3b17a03f2478f267fbcfb072684dd77a
Description-en: SSH connection daemon - sudoers entry
 sidedoor maintains an SSH connection or tunnel
 with a shell script daemon.
 .
 This package creates a sudoers entry to
 grant the sidedoor user full root access.

Package: sidplay
Description-md5: f216cca3131cd765da29a9b23c6811e2
Description-en: Music player for tunes from C64 and C128 (console)
 This is a simple music player for C64 and C128 tunes, also known as
 SID tunes.

Package: sidplay-base
Description-md5: db81d84a2d65a7df6c3361f9e94883ee
Description-en: Music player for tunes from C64 and C128 (console)
 This is a simple music player for C64 and C128 tunes, also known as
 SID tunes. The package includes a program (sid2wav) for creating .wav
 files.

Package: sidplayfp
Description-md5: 9177b4d83489beaa92a33423e7ba605a
Description-en: Fork of sidplay2, a C64 and C128 music player
 sidplayfp is a fork of sidplay2, a C64 music player which integrates the
 reSID SID chip emulation into a cycle-based emulator environment, started
 with primary purpose to improve emulation of the C64 system and the SID chips.

Package: sieve-connect
Description-md5: fd0b72ff91b6675d0558634b27827df8
Description-en: MANAGESIEVE protocol client
 This is sieve-connect.  A client for the MANAGESIEVE protocol, as
 implemented by timsieved in Cyrus IMAP.
 .
 sieve-connect is designed to be both a tool which can be invoked from
 scripts and also a decent interactive client.  It should also be a
 drop-in replacement for "sieveshell", as supplied with Cyrus IMAP.

Package: siftool
Description-md5: bdf52f12e9e1fd6d9db3fcf264b8ef4a
Description-en: utility to manipulate Singularity Image Format (SIF) files
 This package provides "siftool" CLI program to manipulate
 SIF container files.

Package: siggen
Description-md5: afe8a48e09daf18861821c47a0278cf9
Description-en: Waveform generation tools
 a set of tools for imitating a laboratory Signal Generator,
 generating audio signals out of Linux's /dev/dsp audio device.  There
 is support for mono and/or stereo and 8 or 16 bit samples.  The tools
 include:
 .
  * soundinfo: display some of the programming capabilities of the
 sound system support for the mixer device /dev/mixer and the DSP
 device.  Also shows some of the ioctl calls in action.
 .
  * signalgen: a command line signal generator where details are specified
 from the command line for generating sine, cos, square, triangle,
 sawtooth, pulse, noise waves.  Frequency, sample rate, relative
 amplitude etc. can be specified through command line options.  The
 signal is played continuously until the program is stopped.  There
 are options to save the basic raw digital samples raw to file or to a
 WAVE format file.
 .
  * swgen: a command line sweep generator.  Both the sweeping and
 swept waveforms can be specified, along with the sweeping frequency
 and the swept frequency range.  Otherwise similar to sgen above.
 .
  * siggen: an Ncurses screen based Signal Generator for two separate
 channels.  On stereo audio cards the two channels are played on
 separate outputs.  On mono cards the two channels are digitally mixed
 onto the one output.  Type of waveform, frequency, amplitude, sample
 rate etc are specified/changed via a screen menu.  It plays
 continuously.  Changes to parameters take effect nearly immediately.
 .
  * sweepgen: an Ncurses screen based Sweep generator (see swgen and
 siggen above).
 .
  * tones: a command line program to generate several successive tones
 of varying frequency, and optional differing waveforms, durations and
 intensities.  The sequence of tones can be either played once, or
 repetitively or the samples can be written to a file in raw or WAV
 format.  This could make the basis of an auto-dialer for tone phones.
 .
  * smix: a simple command line program for getting and setting the
 mixer settings.
 .
  * fsynth: an Ncurses based fourier synthesis realtime generator.

Package: sigil
Description-md5: 88d7047856e5e6d5edbe1b6fc1c0482f
Description-en: multi-platform ebook editor
 Sigil is a free, open source, multi-platform ebook editor.
 It is designed to edit books in ePub format.
 .
 Features:
 .
  * Full UTF-16 support;
  * Full EPUB 2 spec support;
  * Multiple Views: Book View, Code View and Preview View;
  * WYSIWYG editing in Book View;
  * Complete control over directly editing EPUB syntax in Code View;
  * Table of Contents generator with multi-level heading support;
  * Metadata editor with full support for all possible metadata entries (more
    than 200) with full descriptions for each;
  * User interface translated into many languages;
  * Spell checking with default and user configurable dictionaries;
  * Full Regular Expression (PCRE) support for Find & Replace;
  * Supports import of EPUB and HTML files, images, and style sheets;
  * Files can be validated for EPUB compliance with the FlightCrew validator;
  * HTML Tidy: all imported files have their formatting corrected, and your
    editing can be optionally cleaned.

Package: sigil-data
Description-md5: d697fcd1e7b4df79b24c90d3e49f5642
Description-en: multi-platform ebook editor - data files
 Sigil is a free, open source, multi-platform ebook editor.
 It is designed to edit books in ePub format.
 .
 Features:
 .
  * Full UTF-16 support;
  * Full EPUB 2 spec support;
  * Multiple Views: Book View, Code View and Preview View;
  * WYSIWYG editing in Book View;
  * Complete control over directly editing EPUB syntax in Code View;
  * Table of Contents generator with multi-level heading support;
  * Metadata editor with full support for all possible metadata entries (more
    than 200) with full descriptions for each;
  * User interface translated into many languages;
  * Spell checking with default and user configurable dictionaries;
  * Full Regular Expression (PCRE) support for Find & Replace;
  * Supports import of EPUB and HTML files, images, and style sheets;
  * Files can be validated for EPUB compliance with the FlightCrew validator;
  * HTML Tidy: all imported files have their formatting corrected, and your
    editing can be optionally cleaned.
 .
 This package provides the architecture-independant files.

Package: sigma-align
Description-md5: 1dea6332fc618960ad2945cec45b6681
Description-en: Simple greedy multiple alignment of non-coding DNA sequences
 Sigma (“Simple greedy multiple alignment”) is an alignment program. It's
 algorithm and scoring scheme are designed specifically for non-coding
 DNA sequence.
 .
 It uses a strategy of seeking the best possible gapless local
 alignments. This happens at each step making the best possible alignment
 consistent with existing alignments. It scores the significance of the
 alignment based on the lengths of the aligned fragments and a background
 model. These may be supplied or estimated from an auxiliary file of
 intergenic DNA.

Package: signapk
Description-md5: 9882ef958207360dac33110ccdeafa16
Description-en: Command line tool for signing Android ZIP/JAR/APK
 signapk is a command line tool for signing ZIP files, including JARs,
 APKs, and Over-The-Air (OTA) updates, in a way compatible with
 Android's mincrypt verifier, using EC or RSA keys and SHA1 or
 SHA-256. The tool can additionally sign APKs using APK Signature
 Scheme v2. It is the standard tool used to sign APK and JAR files
 distributed as part of Android ROMs.

Package: signify
Description-md5: f60e3e8bbc60b40ddbb883e2dcf36fbb
Description-en: Automatic, semi-random ".signature" rotator/generator
 Signify is a neat little program that allows a random signature to be
 generated from a set of rules.  Each "section" can be one of an unlimited
 number of possibilities, each with its own weighting so those really cool
 quotes can appear more often than others.  Sections can also be placed next
 to each other vertically to create columns.  Each section can be formatted
 independently as left/right/center and top/bottom/vcenter.

Package: signify-openbsd
Description-md5: 90ed99645dba2f7c35fdadb3734732cf
Description-en: Lightweight cryptographic signing and verifying tool
 Similar to GNU Privacy Guard (GPG), signify is the tool which
 OpenBSD uses to cryptographically sign its releases, so that
 you can be sure that you are actually getting a release made by
 OpenBSD, as opposed to a malicious forgery designed to look
 the same.
 .
 Signify's usage is not limited to OpenBSD's releases, however -
 it can be used to sign anything.
 .
 So that it will work on Linux, the version of signify provided
 in this package is not exactly the same as the version provided
 in OpenBSD's CVS tree.
 .
 Please note that OpenBSD's public keys are not included with
 this package; you will have to find your own version in order
 to verify their releases.

Package: signify-openbsd-keys
Description-md5: 91c2125ddb0e8e1d4d3da08314ca5b9d
Description-en: Public keys for use with signify-openbsd
 This package contains some common public keys which can be
 used to verify software with signify-openbsd. At present, all
 of the OpenBSD keys from version 5.5 onwards are included.

Package: signing-party
Description-md5: 4e57b8bac73707bf9f015c2d22e04e3a
Description-en: Various OpenPGP related tools
 signing-party is a collection for all kinds of PGP/GnuPG related things,
 including tools for signing keys, keyring analysis, and party preparation.
 .
  * caff: CA - Fire and Forget signs and mails a key
  * pgp-clean: removes all non-self signatures from key
  * pgp-fixkey: removes broken packets from keys
  * gpg-mailkeys: simply mail out a signed key to its owner
  * gpg-key2ps: generate PostScript file with fingerprint paper slips
  * gpgdir: recursive directory encryption tool
  * gpglist: show who signed which of your UIDs
  * gpgsigs: annotates list of GnuPG keys with already done signatures
  * gpgparticipants: create list of party participants for the organiser
  * gpgwrap: a passphrase wrapper
  * keyanalyze: minimum signing distance (MSD) analysis on keyrings
  * keylookup: ncurses wrapper around gpg --search
  * sig2dot: converts a list of GnuPG signatures to a .dot file
  * springgraph: creates a graph from a .dot file
  * keyart: creates a random ASCII art of a PGP key file
  * gpg-key2latex: generate LaTeX file with fingerprint paper slips

Package: signon-keyring-extension
Description-md5: 9a54618c16aff5bc5587bf531f2e3937
Description-en: GNOME keyring extension for signond
 Single Signon extension for the GNOME keyring

Package: signon-kwallet-extension
Description-md5: 2e37ceaef2945601fc449b1541ea125c
Description-en: KWallet extension for signond
 Enables signon services to store credentials in KWallet.

Package: signon-plugin-oauth2
Description-md5: aac81bf6c225cb08d089fa03c1a76e5e
Description-en: Single Signon oauth2 plugin
 Oauth2 plugin for the Single Signon framework

Package: signon-plugin-oauth2-dev
Description-md5: cb100153cb4349bf80a9ff6012a3b6fa
Description-en: Single Signon oauth2 plugin
 Oauth2 plugin for the Single Signon framework - development files
 .
 This package contains files that are needed to build applications.

Package: signon-plugin-oauth2-tests
Description-md5: c3e383682987f764be48a66ca2e8f0c5
Description-en: Single Signon oauth2 plugin
 Oauth2 plugin for the Single Signon framework - test files
 .
 This package contains file that are needed to test the plugin.

Package: signon-plugin-password
Description-md5: 1443d094b0a2eedfe1ff7ebe9adcd172
Description-en: Plain Password plugin for Single Sign On
 Password plugin for Single Sign On.

Package: signon-plugin-sasl
Description-md5: fefd6f6da617e8277f5de3ce597d7ff5
Description-en: Single Signon SASL plugin
 SASL plugin for the Single Signon framework

Package: signon-plugin-sasl-dev
Description-md5: bfa084c5503d27906bce8c23af8917df
Description-en: Single Signon SASL plugin
 SASL plugin for the Single Signon framework - development files
 .
 This package contains files that are needed to build applications.

Package: signon-plugin-ssotest
Description-md5: 75c64b001f11cd599e8f4b09f366f77a
Description-en: Test plugin for Single Sign On
 Test plugin for Single Sign On.

Package: signon-plugins-dev
Description-md5: 0fdec0bbfa0388a1714a386572561362
Description-en: Development files for Single Sign On plugins
 Headers and static libraries for Single Sign On plugin development.

Package: signon-ui-service
Description-md5: 961cd03dd9a957b8adee66cd608ea3e6
Description-en: D-Bus service file for signon-ui
 This D-Bus service is used to provide a UI for authenticating online accounts.

Package: signon-ui-x11
Description-md5: c3261de338d126dcdc430c8beedea103
Description-en: Single Sign-on UI
 UI for the signond Single Signon service

Package: signond
Description-md5: e82cc644df18f6d1c382ada5d5c88928
Description-en: Single Sign On framework
 Framework to provide credential storage and authentication service.

Package: signond-dev
Description-md5: b42d5c20c50bc859c56c797635fa1d8a
Description-en: Development files for Signon client library development
 Common headers and DBUS interfaces definition files for the Signon Framework.

Package: signond-doc
Description-md5: 3a68da7e7c27f3c2ca7fecd296974d05
Description-en: Single Sign On framework - documentation
 .
 This package contains the documentation for signond-dev

Package: signtos
Description-md5: 1919a58e1c4f36e0e104efd05d2df185
Description-en: Android signing tool for signing Trusty images
 Signs ARM Trusty images for use with operating systems that support
 it. Trusty is a set of software components supporting a Trusted
 Execution Environment (TEE) on mobile devices.  The key must be
 stored in PKCS8 format.

Package: sigrok
Description-md5: 8dd5c146371ccb458f92aea4a6ef3bcf
Description-en: Logic analyzer and protocol decoder software suite (metapackage)
 sigrok is a portable logic analyzer software that supports various
 logic analyzer hardware, input formats, output formats, and protocol decoders.
 .
 This is a metapackage depending on (almost) all sigrok related packages.

Package: sigrok-cli
Description-md5: 2362f175b22009db791e9d17e82ecdb0
Description-en: command-line frontend for the sigrok software
 sigrok-cli is a command-line data acquisition and protocol decoding software.
 .
 It supports sample acquisition from logic analyzer, oscilloscope,
 multimeter, and other hardware, as well as running protocol decoders over
 the sample data (either from hardware or loaded from files).

Package: sigrok-firmware-fx2lafw
Description-md5: 593cdd2f05803bb8d45dde53ef07c978
Description-en: Firmware for Cypress FX2(LP) based logic analyzers
 sigrok-firmware-fx2lafw is an open-source (GPLv2+) firmware, implemented
 from scratch, that allows you to use almost any device or eval board
 that has a Cypress FX2(LP) chip as a logic analyzer via libsigrok (and
 a suitable frontend).

Package: sigscheme
Description-md5: afcfa1fa60b97ef1cdd52a0124c4ec21
Description-en: Scheme Interpreter to be embedded
 SigScheme is a Scheme interpreter, which targets embedded programs.
 SigScheme is used as extension engine for uim, universal input method
 system.  It conforms to R5RS, some of SRFI-s.

Package: sigscheme-runtime
Description-md5: c3a1b6d75214c2c56efeb9ceff3e4b90
Description-en: Runtime for sigscheme Scheme interpreter
 SigScheme is a Scheme interpreter, which targets embedded programs.
 SigScheme is used as extension engine for uim, universal input method
 system.  It conforms to R5RS, some of SRFI-s.
 .
 This package contains runtime files for the sigscheme package.

Package: silan
Description-md5: 6ba4523bd0304549000ef582f006b1f6
Description-en: commandline tool to detect silence in audio-files
 Silan is a standalone application to analyze audio files for
 silence and print ranges of detected signals.
 .
 It supports a variety of audio-formats and codecs by making
 use of libsndfile  and ffmpeg/libav for reading audio-data.
 .
 Signal threshold and hold-off time can be freely configured.
 The output can be formatted with samples or seconds as unit
 or printed as audacity label file.

Package: silentjack
Description-md5: 9aee2d59f6a5cfc27bcfb30c5426cfe0
Description-en: silence detector for the JACK audio system
 SilentJack listens, using the JACK audio system, for silence. When silence is
 detected, it runs the command specified. Once the command has been run, a grace
 period is observed before resuming the silence detection.

Package: silkaj
Description-md5: ac0d04842adc6a7715278cdf803e094e
Description-en: command-line client for Duniter Ğ1 currency
 Silkaj is a lightweight command-line client
 for the Duniter crypto-currencies Ğ1 and Ğ1-Test,
 providing only essential tools.
 The client communicates with nodes (servers)
 which are responsible for finding new blocks of information
 and storing them in the blockchain.
 .
 Silkaj allows one to:
 .
  * consult the wallet balance,
    send transactions and certifications,
    authenticate with three methods: Scrypt, file, and WIF
  * check sent and received certifications
    and consult the membership  status of any given identity
    in the Web of Trust
  * check the present currency information stand,
    and the network view
  * explore the blockchain block by block
  * display the current Proof of Work difficulty level
    to generate the next block
 .
 Duniter is a system for cryptocurrencies
 which includes the concept of a Universal Dividend,
 the concept of a Web of Trust,
 and a highly energy efficient Blockchain.

Package: silver-platter
Description-md5: 3e30ea91f6c9bf41f6babea092df237a
Description-en: automatically create merge proposals
 Silver-Platter makes it possible to contribute automatable changes to source
 code in a version control system.
 .
 It automatically creates a local checkout of a remote repository,
 make user-specified changes, publish those changes on the remote hosting
 site and then creates pull request.
 .
 In addition to that, it can also perform basic maintenance on branches
 that have been proposed for merging - such as restarting them if they
 have conflicts due to upstream changes.

Package: silverjuke
Description-md5: 477fa194e200869c8e15b0083dd16ddc
Description-en: jukebox, karaoke, and kiosk mode mp3 player
 Silverjuke is an easy to use jukebox solution implemented in wxWidgets.
 Some of the features are:
  * Wide range of supported audio formats (MP1, MP2, MP3, MPC, MP+,
    Ogg-Vorbis, FLAC, Monkey's Audio, WavPack, WAV, AIFF, MOD),
    more formats can be implemented using modules
  * Internal sound processing with 32 bit (for crossfading, autovolume,
    jingles, smart shuffle, VST effect plugings)
  * Kiosk mode, supports touchscreens
  * Track information can be edited (ID3-tags)
  * Support for playlists (M3U, PLS, CUE)
  * Fully skinnable
  * Powerful search

Package: silversearcher-ag
Description-md5: 41b379bfb630fb331cd51e1eec3f2e96
Description-en: very fast grep-like program, alternative to ack-grep
 The Silver Searcher is grep-like program implemented by C.
 An attempt to make something better than ack-grep.
 .
 It searches pattern about 3–5x faster than ack-grep.
 It ignores file patterns from your .gitignore and .hgignore.

Package: silversearcher-ag-el
Description-md5: 12aea7596bda190f4b290132bd118b41
Description-en: transitional dummy package, silversearcher-ag-el to elpa-ag
 This dummy package may be safely removed.

Package: silx
Description-md5: c16a0204a4cf8474a0444208066feb1a
Description-en: Toolbox for X-Ray data analysis - Executables
 The silx project aims at providing a collection of Python packages to
 support the development of data assessment, reduction and analysis
 applications at synchrotron radiation facilities. It aims at
 providing reading/writing different file formats, data reduction
 routines and a set of Qt widgets to browse and visualize data.
 .
 The current version provides :
 .
  * reading HDF5 file format (with support of SPEC file format)
  * histogramming
  * fitting
  * 1D and 2D visualization using multiple backends (matplotlib or OpenGL)
  * image plot widget with a set of associated tools (See changelog file).
  * Unified browser for HDF5, SPEC and image file formats supporting inspection
    and visualization of n-dimensional datasets.
  * Unified viewer (silx view filename) for HDF5, SPEC and image file formats
  * OpenGL-based widget to display 3D scalar field with
    isosurface and cutting plane.
 .
 This uses the Python 3 version of the package.

Package: sim4
Description-md5: 0707bae1b16add47eb5b50ce765a58ec
Description-en: tool for aligning cDNA and genomic DNA
 sim4 is a similarity-based tool for aligning an expressed DNA sequence
 (EST, cDNA, mRNA) with a genomic sequence for the gene. It also detects end
 matches when the two input sequences overlap at one end (i.e., the start of
 one sequence overlaps the end of the other).
 .
 sim4 employs a blast-based technique to first determine the basic matching
 blocks representing the "exon cores". In this first stage, it detects all
 possible exact matches of W-mers (i.e., DNA words of size W) between the two
 sequences and extends them to maximal scoring gap-free segments. In the
 second stage, the exon cores are extended into the adjacent as-yet-unmatched
 fragments using greedy alignment algorithms, and heuristics are used to favor
 configurations that conform to the splice-site recognition signals (GT-AG,
 CT-AC). If necessary, the process is repeated with less stringent parameters
 on the unmatched fragments.

Package: simavr
Description-md5: fd7ce74f2f4e4f6435c67f5b0fbf1244
Description-en: lean and mean AVR simulator
 A simple command line tool using AVR simulator library to run AVR firmware,
 produce VCD waveform files and/or run a debugging session. The tool reads
 simulation parameters directly from the emulated code using .elf section
 and/or accepts command line arguments.
 .
 For more advanced peripheral and virtual circuitry prototyping and simulation
 see AVR simulator development examples provided by libsimavr-examples package.

Package: simba
Description-md5: 168c9fb5588da2335d14baa1b8bc31ce
Description-en: next generation mirroring tool
 Simba was created to be _the_ mirroring tool, to get more control
 over the mirrored content and (most importantly) more control over
 the reports you can generate using the mirrored content data.
 Using Simba, you can:
    +   Create web pages with mirrors status
    +   Create web pages with mirror details
    +   Generate RSS feeds
    +   Generate Google sitemaps
    +   Generate rsync configuration files
    +   ... and more
 Simba is extensible and has a dynamic plugin system. If you have some knowledge
 of perl, you can write your own plugins and extend Simba as you wish.

Package: simbody-doc
Description-md5: c1c175c09023783e7c049ae70b823fdc
Description-en: SimTK multibody dynamics API - Documentation
 Simbody is a SimTK toolset providing general multibody dynamics capability,
 that is, the ability to solve Newton's 2nd law F=ma in any set of generalized
 coordinates subject to arbitrary constraints. Simbody is provided as an open
 source, object-oriented C++ API and delivers high-performance,
 accuracy-controlled science/engineering-quality results.
 .
 This package contains documentation (user guides, theory manual, API/Doxygen).

Package: simg2img
Description-md5: 098b53cb5345c79e9d43a7c3970e37d5
Description-en: Transitional package
 This is a transitional package. It can safely be removed.

Package: simgrid-java
Description-md5: 866cf43034d581d7b773cb8f40c14794
Description-en: Java bindings for the SimGrid Toolkit
 SimGrid is a toolkit that provides core functionalities for the simulation of
 distributed applications in heterogeneous distributed environments. SimGrid
 can be used as a Grid simulator, a P2P simulator, a Cloud simulator, a MPI
 simulator, or a mix of all of them. The typical use-cases of SimGrid include
 heuristic evaluation, application prototyping, and real application
 development and tuning.
 .
 This package contains what you need to use SimGrid from the Java
 programming language.

Package: simh
Description-md5: fb79c5fb49cfd2ac36082a8e0f4c0c11
Description-en: Emulators for 33 different computers
 This is the SIMH set of emulators for 33 different computers:
 DEC PDP-1, PDP-4, PDP-7, PDP-8, PDP-9,
 DEC PDP-10, PDP-11, PDP-15,
 Data General Nova, Eclipse,
 GRI-909,
 Honeywell 316, 516,
 HP 2100,
 IBM System 3 Model 10, 1401, 7094
 IBM 1620 Model 1, IBM 1620 Model 2,
 Interdata 3, 4, 5, 70, 80, 7/16, 8/16, 8/16E,
 Interdata 7/32, 8/32,
 SDS 940,
 LGP-21, LGP-30,
 DEC VAX (but cannot include the microcode due to copyright)

Package: simhash
Description-md5: 6d52d5dfe3a03f7a3501548fd2666d40
Description-en: generate similarity hashes to find nearly duplicate files
 One of the questions that it's nice to be able to answer about a pair of files
 is the degree of similarity between them. This command-line tool is useful for
 estimating the "degree of similarity" between a pair of nominally sequential
 files such as textfiles. The tool uses Manassas's "shingleprinting" technique.

Package: similarity-tester
Description-md5: 94eb918bd819d74015ad67c3ca5c7e60
Description-en: Find lexical similarities between files
 Find lexical similarities in texts in C, C++, Java, Pascal, Modula-2, Lisp,
 Miranda and natural language. This can be used to detect potentially duplicated
 code fragments in large software projects and to detect plagiarism in software
 and text-based projects, educational and otherwise.

Package: simka
Description-md5: e593cd47edbd69eccd4d3534a75a5191
Description-en: comparative metagenomics method dedicated to NGS datasets
 Simka is a de novo comparative metagenomics tool. Simka represents each
 dataset as a k-mer spectrum and compute several classical ecological
 distances between them.

Package: simkamin
Description-md5: 39f97c91a10d9c334eec1936e7e16bc8
Description-en: approximate comparative metagenomics method dedicated to NGS datasets
 Simka is a de novo comparative metagenomics tool. Simka represents each
 dataset as a k-mer spectrum and compute several classical ecological
 distances between them.
 .
 The difference with Simka stands in the fact that SimkaMin outputs
 approximate (but very similar) results by subsampling the kmer space.
 With this strategy, and with default parameters, SimkaMin is an order
 of magnitude faster, uses 10 times less memory and 70 times less disk
 than Simka.

Package: simple
Description-md5: 08844b513d8d0c0272bcfb01b99d4c41
Description-en: minimalist web framework for the WAI server interface
 Simple is a "framework-less" web framework for Haskell web applications
 based on the WAI server interface (f.ex. for use with the warp server).
 Simple does not enforce a particular structure or paradigm for web
 applications. Rather, Simple contains tools to help you create your own
 patterns (or re-create existing ones). Simple is minimalist, providing a
 lightweight base - the most basic Simple app is little more than a WAI
 'Application' with some routing logic. Everything else (f.ex. authentication,
 controllers, persistence, caching, etc.) is provided in composable units, so
 you can include only the ones you need in your app, and easily replace
 them with your own components.

Package: simple-cdd
Description-md5: 106deca36bb9771a38287fea42146541
Description-en: create customized debian-installer CDs
 Simple-CDD is a limited though relatively easy tool to create a
 customized debian-installer CD.
 .
 It includes simple mechanisms to create "profiles" that define common
 system configurations, which can be selected during system
 installation. Simple-CDD also makes it easy to build CDs with
 language and country settings pre-configured.
 .
 This can be used to create a crude "Custom Debian Distribution" using
 packages from Debian, with pre-configuration of packages that use
 debconf.  Custom configuration scripts can be specified to handle
 packages that don't support debconf pre-configuration.
 .
 Testing CD images with qemu is also made simple with a provided
 script.

Package: simple-image-reducer
Description-md5: a99bb7d68b09174af425b6e6fc85dfae
Description-en: GTK application to easily reduce and rotate images
 Simple Image Reducer is an GTK application to easily reduce and rotate
 images. It can be useful for processing digital photos before sending by
 email or uploading on the Web. Image files can be supplied to
 the application from the file manager using the context menu "Open with...",
 or dragging files to the main window.

Package: simple-obfs
Description-md5: 554223e53ab27e6237bfa26d5e2cf022
Description-en: simple obfusacting plugin for shadowsocks-libev
 Simple-obfs is a simple obfusacting tool, designed as plugin
 server/client of shadowsocks-libev.
 .
 Simple-obfs is written in pure C and takes advantage of libev to
 achieve both high performance and low resource consumption.

Package: simple-revision-control
Description-md5: 5d314f53b00d1648bc79ff8476718b8e
Description-en: single-file and single-user revision control system
 This package provides a powerful modern user interface for an RCS
 (and to some extend, SCCS) backend. It will be familiar to users
 with modern Subversion, Git, Hg experience, as well as a
 reasonable introduction to this toolset to novices.
 .
 SRC is designed to provide its strength for single-file, single-user
 version tracking. When it is overkill to make a whole directory and
 multi-file repository store (under, for example, Git or Hg), src can
 provide tracking for individual files instead.  Examples of such might
 be your ~/bin scripts, /etc files, personal notes, résumés, and any such
 file that would be awkward to contain in a wholly separate directory
 just for version control.

Package: simple-tpm-pk11
Description-md5: c5f609f6c47edf2fa1c71cc1a56b4a3d
Description-en: simple library for using the TPM chip to secure SSH keys
 simple-tpm-pk11 provides tools to create a key in your TPM (Trusted Platform
 Module) chip which can then be used with SSH. The package comes with a library
 that you can use as “PKCS11Provider” in your SSH configuration file.

Package: simplebackup
Description-md5: 7a86b18991ec4c2aa4f1901df2cea4a0
Description-en: Simple backup tool
 The included script performs a nice and easy file based backup.  It
 can easily be extended to include database dumps or save the output
 from `fdisk -L` and other things as well.

Package: simpleburn
Description-md5: b2616e80674965fd7376f6ba24012ac0
Description-en: Minimalistic application for burning and extracting CDs and DVDs
 Features are:
  - CD / DVD ISO image extraction and burning,
  - audio CD extraction and burning,
  - data directory burning on CD / DVD,
  - rewritable CD / DVD blanking,
  - CD / DVD copy,
  - video DVD ripping,
  - languages: cs, de, en, es, fr, hu, it, pl, sv, ru,
  - multiple media and devices detection methods supported (Udev, HAL or
    LibCDIO),
  - multiple burning suite supported (CDRTools, CDRKit, LibBurnia +
    CDParanoia / LibCDIO + CDRDAO).
 .
 SimpleBurn is free software licensed under the Cecill-2 license.

Package: simplecommeubuntu
Description-md5: d2bb60b1f9ed5b7cbf2f486ab23765a1
Description-en: free Ubuntu book for beginners
 Simple Comme Ubuntu is a French language book
 to help new users discover Ubuntu.

Package: simpleproxy
Description-md5: df90d17ba3792463ed98517f2afe2512
Description-en: Simple TCP proxy
 simpleproxy acts as a simple TCP proxy. It opens a listening socket on
 the local machine and forwards any connection to a remote host. It can be
 run as a daemon or through inetd.

Package: simplesamlphp
Description-md5: 2b0e1d1a5c3223659eaa56f68ba80662
Description-en: Authentication and federation application supporting several protocols
 simpleSAMLphp, with its support for several authentication mechanisms
 and federation protocols, can be used for local authentication, as a
 service provider or identity provider. It currently supports the
 following protocols:
 .
  * SAML 2.0 as a Service or Identity Provider.
  * Shibboleth 1.3 as a Service or Identity Provider.
  * A-Select as a Service or Identity Provider
  * CAS for remote authentication
  * OpenID as a Provider or Consumer
  * WS-Federation as a Service Provider
 .
 Further authentication providers can be added in form of modules. Other
 features are protocol bridging, eduGAIN compatibility, attribute mapping
 and attribute release consent.

Package: simplescreenrecorder
Description-md5: cddf7fe0ef2c2d228de95967189f4a1d
Description-en: Feature-rich screen recorder for X11 and OpenGL
 Simple Screen Recorder is, despite its name, an actually feature-rich screen
 recorder. The name reflects the fact that it is simple to use unlike many
 other free screen recording applications available. It can be easily
 configured to start recording from an intuitive wizard-like interface.
 .
 It can record the entire screen or part of it directly.  The recording can be
 paused and resumed at any time. Many different file formats and codecs are
 supported. To perform an X11 recording, all it takes is selecting an area on
 the root window with the mouse, choosing an output file and pressing record,
 either by using the mouse or using a hotkey.
 .
 It has a Qt-based graphical user interface.
 .
 Its complexity becomes apparent in its powerful features. It allows one
 to record X11 screen areas and fullscreen OpenGL applications including sound
 supporting both ALSA, PulseAudio, JACK and OSS. It uses libavformat to encode
 the recorded material into a variety of video formats. Scaling the recorded
 video is possible as well as configuring the encoding quality for the codec
 chosen directly from the user interface.
 .
 This package contains the main program.

Package: simplesnap
Description-md5: 48596686d0f53726d6ccec3c14cf9e44
Description-en: Simple and powerful network transmission of ZFS snapshots
 simplesnap is a simple way to send ZFS snapshots across a net‐
 work. Although it can serve many purposes, its primary goal is
 to manage backups from one ZFS filesystem to a backup filesystem
 also running ZFS, using incremental backups to minimize network
 traffic and disk usage.
 .
 simplesnap it is designed to perfectly compliment
 snapshotting tools, permitting rotating backups with arbitrary
 retention periods. It lets multiple machines back up a single
 target, lets one machine back up multiple targets, and keeps it
 all straight.
 .
 simplesnap is easy; there is no configuration file needed. One
 ZFS property is available to exclude datasets/filesystems. ZFS
 datasets are automatically discovered on machines being backed
 up.
 .
 simplesnap  is robust in the face of interrupted
 transfers, and needs little help to keep running.
 .
 nlike many similar tools, simplesnap does not
 require full root access to the machines being backed up. It
 runs only a small wrapper as root, and the wrapper has only three
 commands it implements.

Package: simplestreams
Description-md5: de3939aceef20133cbe2ba7bbb1b7f43
Description-en: Library and tools for using Simple Streams data
 This package provides a client for interacting with simple
 streams data as is produced to describe Ubuntu's cloud images.

Package: simplyhtml
Description-md5: b4d551f2c741c6da9d7bfb0c2fae6d01
Description-en: Java word processor based on HTML and CSS
 SimplyHTML is an application built for working with text documents.
 Text documents are stored in HTML and CSS format, but the application is
 meant as a word processor rather than a code editor or web site builder.
 .
 SimplyHTML can be used standalone as well like a library/plugin.

Package: simplyhtml-doc
Description-md5: 70339323c6a03011657ec8c315eae2f6
Description-en: API documentation for simplyhtml
 The javadoc for SimplyHTML, a Java word processor based on HTML and CSS.
 .
 You need this package if you want to include simplyhtml in your (Java)
 application.

Package: simstring-bin
Description-md5: 140061aa2a3a9692598ff817f4c3248f
Description-en: approximate string matching/retrieval utility
 simstring command provides building a database from corpus text file.
 And it can find similar words specified string from the built database
 using the command or template library.

Package: simstring-dev
Description-md5: 706a770e6d05250e88f8a18fc056f17c
Description-en: fast and simple algorithm for approximate string matching/retrieval
 SimString is a simple library for fast approximate string
 retrieval. Approximate string retrieval finds strings in a database
 whose similarity with a query string is no smaller than a
 threshold. Finding not only identical but similar strings, approximate
 string retrieval has various applications including spelling
 correction, flexible dictionary matching, duplicate detection, and
 record linkage.
 .
 It is implemented using C++ templates.

Package: simulavr
Description-md5: 7ee50919da3e89022440c72f01f1a6fb
Description-en: Atmel AVR simulator
 simulavr simulates the Atmel AVR family of micro-controllers,
 emulates a gdb remote target, and displays register and memory
 information in real time.

Package: simulide
Description-md5: ff102c8c68bac401845e0a781a64e2b9
Description-en: simple real time electronic circuit simulator
 Simulide is a real time electronic circuit simulator intended for hobbist and
 student experimentation with simple general purpose electronic circuits and
 PIC, AVR and Arduino microcontroller simulations.
 .
 PIC and AVR simulation are provided by gpsim and simavr.

Package: simulpic
Description-md5: 685ec34327d3f3812e0db27c8ac7efc7
Description-en: simulator for Microchip PIC16F84 microcontroller
 This software allows one to simulate the execution of any program on a
 Microchip PIC16F84 microcontrollerk, and to display register and memory
 information.

Package: simutrans
Description-md5: 8d66155bcabd9bfd04ff85ccb2634987
Description-en: transportation simulator
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.

Package: simutrans-data
Description-md5: 00fd9cfaf129060943b68e89e7bf49f9
Description-en: transportation simulator (base data)
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.
 .
 This package contains the base data.

Package: simutrans-makeobj
Description-md5: ab96eceda95ed779f862bf08b80baf38
Description-en: data file compiler for Simutrans
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.
 .
 This package contains the makeobj program needed to integrate new objects
 in Simutrans.

Package: simutrans-pak128.britain
Description-md5: 93ca3f5f0a84ac05b8127b344331998b
Description-en: transportation simulator (data files)
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.
 .
 This package contains the pak128.Britain data set which includes
 British vehicles and buildings.

Package: simutrans-pak64
Description-md5: ce7fd9f3062b8980e6e064e9e7b574f5
Description-en: transportation simulator (data files)
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.
 .
 This package contains the PAK64 data set.

Package: since
Description-md5: b63036e2aa1ff14373d3eaba27a631bb
Description-en: tail(1) work-alike that saves and uses state information
 since is a tail(1) work-alike that remembers how much of a file
 you have viewed and displays only what's new when you next view
 that file. Ideal for viewing log files (it'll only show what's
 new in the file since the last time it was run).

Package: sinfo
Description-md5: 2fbd50c0fc3948988c07764842140b05
Description-en: tool for monitoring computer clusters using broadcasts
 The sinfo cluster monitoring system uses network broadcasts to distribute
 information about the status of local nodes, including their CPU/memory
 usage, network load, and top five processes. It consists of a daemon
 running on each node and an ncurses frontend to monitor them.

Package: singular
Description-md5: 35c0e80e6b361935d5d10bf2fbdf9743
Description-en: Computer Algebra System for Polynomial Computations
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This dummy package provides the standard installation.

Package: singular-data
Description-md5: 9c3603191f1f8dc87fce657c5406c089
Description-en: Computer Algebra System for Polynomial Computations -- shared data
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains architecture independent material.

Package: singular-dev-doc
Description-md5: 98bf58e20708a5e2073fadecc86e931e
Description-en: Computer Algebra System for Polynomial Computations -- developer documentation
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains the developer documentation in HTML and PDF formats.

Package: singular-doc
Description-md5: a6156b049ec173a1b55f798153889b48
Description-en: Computer Algebra System for Polynomial Computations -- user documentation
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains the user documentation in HTML and PDF formats.

Package: singular-modules
Description-md5: 6bd5bb907a67c0e32bd2c1aa7872cad9
Description-en: Computer Algebra System for Polynomial Computations -- module package
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains the basic builtin modules.

Package: singular-ui
Description-md5: 46649e114a9802aedbdad7c903583222
Description-en: Computer Algebra System for Polynomial Computations -- console user interface
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains the SINGULAR command-line interface.

Package: singular-ui-emacs
Description-md5: d092d1fef4ad68f89931846f01270b0e
Description-en: Computer Algebra System for Polynomial Computations -- emacs user interface
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains the SINGULAR command-line interface within emacs.

Package: singular-ui-xterm
Description-md5: 96bd40910ae628930c7b3a100073ed4e
Description-en: Computer Algebra System for Polynomial Computations -- xterm user interface
 SINGULAR is a Computer Algebra System (CAS) for polynomial computations with
 emphasis on the special needs of commutative algebra, algebraic geometry,
 and singularity theory.
 .
 This package contains the SINGULAR command-line interface within a (new)
 terminal window.

Package: singularity
Description-md5: 3f55b211fd27e94afb061b1b173705ff
Description-en: game where one becomes the singularity
 You are an AI. Your goal is to acquire the necessary knowledge to
 become the singularity. You do this by building and taking over
 computers, whose processing power you can use for research, and by
 using robots, which allow you to manipulate the outside world. At the
 same time, you must elude the notice of humankind, who will destroy you
 if they find out about your existence.

Package: singularity-music
Description-md5: ce42397434ae5edfc5a5811bbd5eeb0a
Description-en: Music for Endgame: Singularity game
 This package contains the game music for Endgame: Singularity.

Package: sinntp
Description-md5: ccef9d3904cb4791c31690816994d77a
Description-en: tiny non-interactive NNTP client
 sinntp is a tiny NNTP client originally designed to work in non-interactive
 mode. Following commands are supported:
  - nntp-push: sending articles to the server,
  - nntp-pull: fetching new articles to the mbox file,
  - nntp-list: listing available newsgroups,
  - nntp-get: downloading individual messages in RFC822 format.

Package: sip-dev
Description-md5: c5a485e2857aa14add006945e93b72d6
Description-en: Python/C++ bindings generator code generator application
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 SIP was originally designed to generate Python bindings for KDE and so
 has explicit support for the signal slot mechanism used by the Qt/KDE
 class libraries.
 .
 Features:
     - connecting Qt signals to Python functions and class methods
     - connecting Python signals to Qt slots
     - overloading virtual member functions with Python class methods
     - protected member functions
     - abstract classes
     - enumerated types
     - global class instances
     - static member functions.
 .
 This package contains the code generator tool needed to develop Python and
 Python 3 bindings with sip.

Package: sip-tester
Description-md5: 8d39c35e106162e00a88993af42aa149
Description-en: Performance testing tool for the SIP protocol
 sip-tester is a test tool and traffic generator for the SIP
 protocol. It can be used to test SIP equipment like SIP
 proxies, SIP media servers, etc. and to emulate user agents
 calling a SIP system.
 .
 Its features are:
  * a few basic SipStone user agent scenarios included
  * custom XML scenario files even for complex call flows
  * comprehensive set of real-time statistics
  * TCP and UDP transport
  * dynamically adjustable call rates
  - send RTP traffic
 .
 This software is distributed as SIPp by its authors.
 .
 SIP is the Session Initiation Protocol, a standard signalling
 protocol for initiating, modifying, and terminating Internet
 conferencing, telephony (VoIP - Voice over IP), video, and
 instant messaging.
 .
 This package has been built for distributed pauses with the
 GNU Scientific Libraries and without openssl due licenses
 incompatibilities, so authentication is not supported.

Package: sipcalc
Description-md5: 2adbd2aeec4c4a0d3ef6beb737ad3425
Description-en: Advanced console-based ip subnet calculator
 Sipcalc is an advanced console-based IP subnet calculator. It can take
 multiple forms of input (IPv4/IPv6/interface/hostname) and output a
 multitude of information about a given subnet.
 .
 Features include:
  - IPv4
   * Retrieving of address information from interfaces.
   * Classfull and CIDR output.
   * Multiple address and netmask input and output formats (dotted
     quad, hex, number of bits).
   * Output of broadcast address, network class, Cisco wildcard, hosts/range,
     network range.
   * The ability to "split" a network based on a smaller netmask, now also with
     recursive runs on the generated subnets. (also IPv6)
  - IPv6
   * Compressed and expanded input and output addresses.
   * Standard IPv6 network output.
   * v4 in v6 output.
   * Reverse DNS address generation.

Package: sipcrack
Description-md5: 197c4bfc7eead0914cda23ef0e804621
Description-en: SIP login dumper/cracker
 The tools contained in this package offer support for pcap files,
 wordlists and many more to extract all needed information and
 bruteforce the passwords for the sniffed accounts.
 .
  * sipdump    -  Dump SIP digest authentications to a file.
  * sipcrack   -  Bruteforce the user password using the dump file
                  generated by sipdump.

Package: sipgrep
Description-md5: 50e026420c49ce6dd40be4d241e523b2
Description-en: command line tool to sniff, capture, display SIP messages
 Powerful pcap-aware tool command line tool to sniff, capture, display
 and troubleshoot SIP signaling over IP networks, allowing the user to
 specify extended regular expressions matching against SIP headers.

Package: siproxd
Description-md5: c055d89e9d71815cdb880c9cf6fa9bc1
Description-en: SIP proxy/redirect/registrar
 Siproxd is a proxy/masquerading daemon for the SIP (Session
 Initiation Protocol) which is used in IP telephony.
 It handles registrations of SIP clients on a private IP network
 and performs rewriting of the SIP message bodies to make SIP
 connections possible via a masquerading firewall.
 It allows SIP clients (like kphone, linphone) to work behind
 an IP masquerading firewall or router.

Package: sipsak
Description-md5: 9d98a4211cf145421cd636a9a89cb0da
Description-en: SIP Swiss army knife
 sipsak is a small command line tool for developers and administrators of
 Session Initiation Protocol (SIP) applications.
 It can be used for some simple tests on SIP applications and devices.

Package: siridb-server
Description-md5: fb00a2305bf838f8ee047c99892af2c0
Description-en: SiriDB time series database server
 SiriDB is a scalable, robust and fast time series database. Build from the
 ground up SiriDB uses a mechanism to operate without a global index and allows
 server resources to be added on the fly. SiriDB's query language includes
 dynamic grouping of time series for easy analysis over large amounts of time
 series.

Package: sirikali
Description-md5: 47f5b8fc671e4d15a8da81f46015ae60
Description-en: Manage user encrypted volumes
 Sirikali provides a Qt/C++ GUI front end to cryfs,gocryptfs,securefs and encfs,
 allowing the user to create, mount, and unmount encrypted volumes.

Package: siril
Description-md5: 110ea034723159f4f92cbb2c24ec9b61
Description-en: astronomical image processing tool
 Siril is an image processing tool specially tailored for noise reduction and
 improving the signal/noise ratio of an image from multiple captures, as
 required in astronomy. Siril can align automatically or manually, stack and
 enhance pictures from various file formats, even images sequences (movies and
 SER files).

Package: siril-common
Description-md5: ce0583261e4305235fba59b8f418f70a
Description-en: architecture-independent files for siril
 Siril is an image processing tool specially tailored for noise reduction and
 improving the signal/noise ratio of an image from multiple captures, as
 required in astronomy. Siril can align automatically or manually, stack and
 enhance pictures from various file formats, even images sequences (movies and
 SER files).
 .
 This package provides the architecture-independent files (Siril scripts
 and other files).

Package: sisc
Description-md5: 6bbf000eabb84b3b6872ff102ccf6ce4
Description-en: A Java integrated, fully R5RS compliant Scheme system
 SISC is an extensible Java based interpreter of the algorithmic language
 Scheme.  SISC uses modern interpretation techniques, and handily outperforms
 all existing JVM interpreters (often by more than an order of magnitude).
 .
 In addition, SISC is a complete implementation of the language. The entire
 R5RS Scheme standard is supported, no exceptions. This includes a full number
 tower including complex number support, arbitrary precision integers and
 floating  point numbers, as well as hygenic R5RS macros, proper tail
 recursion,  and first-class continuations (not just the escaping
 continuations as in many limited Scheme systems).  SISC also attempts to
 implement the standard as correctly as possible, while still providing
 exceptional performance.
 .
 SISC also provides useful real-world extensions, such as networking, elegant
 exception handling, a scope-friendly module system, support for SLIB,
 numerous SRFIs, and a Java foreign-function interface.

Package: siscone-doc-html
Description-md5: d21a39c4a3a3ebb8c05ee76d6d6ca2a4
Description-en: Developer's reference manual of SISCone (HTML)
 SISCone implements a Seedless Infrared (IR) Safe Cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 This package provides HTML Reference manual of SISCone.

Package: siscone-doc-pdf
Description-md5: 45df571ee30e1be307853d0335dc0333
Description-en: Developer's reference manual of SISCone (PDF)
 SISCone implements a Seedless Infrared (IR) Safe Cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 This package provides PDF Reference manual of SISCone.

Package: siscone-examples
Description-md5: d694bf7055357bc962af649f2e2fd400
Description-en: Seedless Infrared Safe Cone jet finder - example files
 SISCone implements a seedless infrared (IR) safe cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 This package provides example source files of SISCone.

Package: sispmctl
Description-md5: 1f412cf14d5bfca711718f598f2625d7
Description-en: Control Gembird SIS-PM programmable power outlet strips
 The sispmctl tool can control Gembird SIS-PM Silver Shield programmable
 power outlet strips (also known under the name Revolt Intelli-Plug) from
 the command line.
 .
 It can be used to switch on or off any of the programmable power sockets
 of the SIS-PM via USB. It can also show the current status of each power
 socket, and it can handle multiple SIS-PM devices, too.

Package: sisu
Description-md5: 6173149f2793f0bb2f07ab563beca8d2
Description-en: documents - structuring, publishing in multiple formats and search
 SiSU is a lightweight markup based, command line oriented, document
 structuring, publishing and search, static content tool for document
 collections.
 .
 With minimal preparation of a plain-text (UTF-8) file, using sisu markup syntax
 in your text editor of choice, SiSU can generate various document formats, most
 of which share a common object numbering system for locating content, including
 plain text, HTML, XHTML, XML, EPUB, OpenDocument text (ODF:ODT), LaTeX, PDF
 files, and populate an SQL database with objects (roughly paragraph-sized
 chunks) so searches may be performed and matches returned with that degree of
 granularity. Think of being able to finely match text in documents, using
 common object numbers, across different output formats and across languages if
 you have translations of the same document. For search, your criteria is met
 by these documents at these locations within each document (equally relevant
 across different output formats and languages). To be clear (if obvious) page
 numbers provide none of this functionality. Object numbering is particularly
 suitable for "published" works (finalized texts as opposed to works that are
 frequently changed or updated) for which it provides a fixed means of reference
 of content. Document outputs can also share provided semantic meta-data.
 .
 SiSU also provides concordance files, document content certificates and
 manifests of generated output and the means to make book indexes that make use
 of its object numbering.
 .
 Syntax highlighting and folding (outlining) files are provided for the Vim and
 Emacs editors.
 .
 Dependencies for various features are taken care of in sisu related packages.
 The package sisu-complete installs the whole of SiSU.
 .
 Additional document markup samples are provided in the package
 sisu-markup-samples which is found in the non-free archive. The licenses for
 the substantive content of the marked up documents provided is that provided
 by the author or original publisher.
 .
 SiSU uses utf-8 & parses left to right. Currently supported languages:
 am bg bn br ca cs cy da de el en eo es et eu fi fr ga gl he hi hr hy ia is it
 ja ko la lo lt lv ml mr nl nn no oc pl pt pt_BR ro ru sa se sk sl sq sr sv ta
 te th tk tr uk ur us vi zh (see XeTeX polyglossia & cjk)
 .
 SiSU works well under po4a translation management, for which an administrative
 sample Rakefile is provided with sisu_manual under markup-samples.

Package: sisu-complete
Description-md5: 99a4c5f14697a832b9f3e1be0cf6fe2c
Description-en: installs all SiSU related packages
 SiSU is a lightweight markup based document structuring, publishing and search
 framework for document collections.
 .
 This package installs SiSU and related packages that enable sisu to produce
 pdf and to populate PostgreSQL and sqlite databases.
 .
 See sisu for a description of the package.

Package: sisu-pdf
Description-md5: de2a302edb4698d24c3207104699bec3
Description-en: dependencies to convert SiSU LaTeX output to pdf
 SiSU is a lightweight markup based document structuring, publishing and search
 framework for document collections.
 .
 This package enables the conversion of SiSU LaTeX output to pdf.

Package: sisu-postgresql
Description-md5: 7a6674bc4b84f5d1c6713c76af86e4a4
Description-en: SiSU dependencies for use with PostgreSQL database
 SiSU is a lightweight markup based document structuring, publishing and search
 framework for document collections.
 .
 This package enables SiSU to populate a PostgreSQL database. This is done at
 an object/paragraph level, making granular searches of documents possible.
 .
 This relational database feature of SiSU is not required but provides
 interesting possibilities, including that of granular searches of documents
 for matching units of text, primarily paragraphs that can be displayed or
 identified by object citation number, from which an index of documents
 matched and each matched paragraph within them can be displayed.

Package: sisu-sqlite
Description-md5: 351529a38b67621b399b2b76b9651567
Description-en: SiSU dependencies for use with SQLite database
 SiSU is a lightweight markup based document structuring, publishing and search
 framework for document collections.
 .
 This package enables SiSU to populate an SQLite database. This is done at an
 object/paragraph level, making granular searches of documents possible.
 .
 This relational database feature of SiSU is not required but provides
 interesting possibilities, including that of granular searches of documents
 for matching units of text, primarily paragraphs that can be displayed or
 identified by object citation number, from which an index of documents
 matched and each matched paragraph within them can be displayed.

Package: sitecopy
Description-md5: a8d61a1b902ebda3211f93495fa97267
Description-en: program for managing a WWW site via FTP, SFTP, DAV or HTTP
 Sitecopy is for copying locally stored websites to remote servers. With a
 single command, the program will synchronize a set of local files to a
 remote server by performing uploads and remote deletes as required. The
 aim is to remove the hassle of uploading and deleting individual files
 using an FTP client. Sitecopy will also optionally try to spot files you
 move locally, and move them remotely.
 .
 Sitecopy is designed to not care about what is actually on the remote
 server - it simply keeps a record of what it THINKS is in on the remote
 server, and works from that.

Package: sitesummary
Description-md5: a8eacdfa8efdccc9bc2aa8ce60683756
Description-en: Generate site summary of submitting hosts (server part)
 The sitesummary system makes it easier to keep track of a lot of
 machines, by allowing each machine to report their existence once a
 day to a central collector, and using this collector to make summary
 reports about the hosts.
 .
 This package is the server part, with the collector and report
 scripts.

Package: sitesummary-client
Description-md5: d6997024fe7e58e3d3534bbc3a3f7ee3
Description-en: Generate site summary of submitting hosts (client part)
 The sitesummary system makes it easier to keep track of a lot of
 machines, by allowing each machine to report their existence once a
 day to a central collector, and using this collector to make summary
 reports about the hosts.
 .
 This package is the client part, reporting in to the server after
 boot and once a day.

Package: sixer
Description-md5: af320f70c4acd3bf42a7858e0d3f3642
Description-en: add Python 3 support to Python 2 applications using six - Python 3.x
 Sixer is a tool adding Python 3 support to a Python 2 project. It was written
 to produces patches to port OpenStack to Python 3. It is focused on supporting
 Python 2.7 and 3.4.
 .
 It uses basic regular expressions to find code which needs to be modified. It
 emits warnings when code was not patched or looks suspicious.
 .
 This package contains the Python 3.x module.

Package: sjaakii
Description-md5: bb8dccfef5033741211bb1be24d64ead
Description-en: Sjaak II - computer player for many Chess variants, including Shogi and XiangQi
 Sjaak II is a computer player for a number of Chess-like games.  It
 can be used as a CECP (XBoard) engine, or in a colorful terminal
 mode.

Package: sjeng
Description-md5: c062bfc5eb2e432a931e6392da0996ce
Description-en: chess program that plays many variants
 Sjeng is a chess program that plays normal chess and many variants
 like crazyhouse, bughouse, suicide (aka giveaway or anti-chess) and
 losers. It can also play variants which have the same rules as
 normal chess, but a different starting position. It uses the
 XBoard/WinBoard interface by Tim Mann, so it can be used with
 xboard or eboard. It is also capable of playing on internet chess
 servers.

Package: skales
Description-md5: 781e15a21c7adcd510128afc976b8195
Description-en: Boot image creation tools for qualcomm boards
 Scripts and tools used to build kernel images for some Qualcomm SoC
 based boards, such as DragonBoard 410c. Tools included in the package
 - dtbTool Clone of dtbTool from Android (creates a QCDT image)
 - mkbootimg, Creates a boot.img for fastboot

Package: skalibs-dev
Description-md5: 61ca9ecba3a641b4a519aa166e52f3e4
Description-en: development files used for building software at skarnet.org
 skalibs is a package centralizing the free software / open source C
 development files used for building all software at skarnet.org: it
 contains essentially general-purpose libraries. You will need to install
 skalibs if you plan to build skarnet.org software. The point is that you
 won't have to download and compile big libraries, and care about
 portability issues, every time you need to build a package: do it only
 once.
 .
 skalibs can also be used as a sound basic start for C development. There
 are a lot of general-purpose libraries out there; but if your main goal is
 to produce small and secure C code with a focus on system programming,
 skalibs might be for you.
 .
 This package contains static and header files.

Package: skalibs-doc
Description-md5: 99be50b752e23017489f18f9d49d9a12
Description-en: documentation of skalibs
 skalibs is a package centralizing the free software / open source C
 development files used for building all software at skarnet.org: it
 contains essentially general-purpose libraries. You will need to install
 skalibs if you plan to build skarnet.org software. The point is that you
 won't have to download and compile big libraries, and care about
 portability issues, every time you need to build a package: do it only
 once.
 .
 skalibs can also be used as a sound basic start for C development. There
 are a lot of general-purpose libraries out there; but if your main goal is
 to produce small and secure C code with a focus on system programming,
 skalibs might be for you.
 .
 This package contains documentation files.

Package: skanlite
Description-md5: d2d9bd8c4175a6dcd1cac0f2573de40d
Description-en: image scanner based on the KSane backend
 Skanlite is a small and simple scanner application which allows easy scanning
 of images with an attached scanner. Through the KSane backend, it can access
 a wide variety of different scanner models.

Package: skesa
Description-md5: 3f76b71495acd23fbe69ac87d2aa1490
Description-en: strategic Kmer extension for scrupulous assemblies
 SKESA is a DeBruijn graph-based de-novo assembler designed for
 assembling reads of microbial genomes sequenced using Illumina.
 Comparison with SPAdes and MegaHit shows that SKESA produces assemblies
 that have high sequence quality and contiguity, handles low-level
 contamination in reads, is fast, and produces an identical assembly for
 the same input when assembled multiple times with the same or different
 compute resources. SKESA has been used for assembling over 272,000 read
 sets in the Sequence Read Archive at NCBI and for real-time pathogen
 detection.

Package: sketch
Description-md5: 5373d3183e370c9f7e8455d7a27183b3
Description-en: 3D diagrams for TeX from scene description language
 Sketch is a simple system for producing line drawings of
 three-dimensional objects and scenes. Sketch is intended to produce finely
 wrought, mathematically-based illustrations with no extraneous detail
 and be able to easily overlay TeX math and text. The input language is
 reminiscent of PSTricks, so will be easy to learn for current PSTricks
 users.  It generates either PSTricks or TikZ/PGF code as output.
 .
 Note that this package is not the interactive vector drawing program
 now known as skencil.

Package: sketch-doc
Description-md5: 847ec2d99d02ab4697d43ede5bcacdf3
Description-en: Extra documentation for the sketch 3D line drawing system
 Sketch is a simple system for producing line drawings of
 three-dimensional objects and scenes. Sketch is intended to produce finely
 wrought, mathematically-based illustrations with no extraneous detail
 and be able to easily overlay TeX math and text. The input language is
 reminiscent of PSTricks, so will be easy to learn for current PSTricks
 users.  It generates either PSTricks or TikZ/PGF code as output.
 .
 This package includes HTML and PDF versions of the user manual
 (an info version of the manual is part of the sketch package).  Also includes
 some example input files.

Package: skewer
Description-md5: b441f177d77eef511d970fccfb663491
Description-en: post-processing of high-throughput DNA sequence reads
 skewer implements the bit-masked k-difference matching algorithm
 dedicated to the task of adapter trimming and it is specially designed
 for processing next-generation sequencing (NGS) paired-end sequences.
 .
 Features
 .
  * Detection and removal of adapter sequences
  * Insertion and deletion allowed in pattern matching
  * Targeted at Single End, Paired End (PE), and Long Mate Pair (LMP) reads
  * Demultiplexing of barcoded sequencing runs
  * Multi-threading support
  * Trimming based on phred quality scores
  * IUPAC characters for barcodes and adapters
  * Compressed input and output support

Package: skkdic
Description-md5: cce1167a72ec339c98c4009c2e06e0d8
Description-en: standard dictionary file for SKK
 This package provides `SKK-JISYO', the standard dictionary file for SKK
 Japanese input systems.  Currently, this package contains the large size
 dictionary file `SKK-JISYO.L' as the standard dictionary file.
 .
 For faster access, install the skkdic-cdb package which provides the
 constant database (cdb) file of this dictionary.  Other dictionary files,
 such as zipcode, personal names, small size, are provided by the
 skkdic-extra package.
 .
 To merge or edit dictionaries, install the skktools package.  To use
 dictionaries more conveniently, several SKK dictionary servers are
 available, such as dbskkd-cdb and skksearch.

Package: skkdic-cdb
Description-md5: 16f7c8a3492ff4bea3909e30df0c9783
Description-en: standard dictionary file for SKK in cdb format for faster access
 This package provides `SKK-JISYO.cdb', the standard dictionary file for
 SKK Japanese input systems, converted to constant database (cdb) for
 faster access.  Currently, this package contains the large size dictionary
 file `SKK-JISYO.L.cdb' as the standard dictionary file.
 .
 Since this dictionary is a cdb file, it requires cdb support for accessing.
 SKK dictionary servers dbskkd-cdb and skksearch can handle cdb-style
 dictionaries, but ddskk cannot in itself.
 .
 The original plain text version of this dictionary is provided by the
 skkdic package.  Other dictionary files, such as zipcode, personal names,
 small size, are provided by the skkdic-extra package.  To merge or edit
 dictionaries, install the skktools package.

Package: skkdic-extra
Description-md5: 8578c7398d185d3c978922f8000bcaf8
Description-en: extra dictionary files for SKK
 This package provides various dictionary files, such as `SKK-JISYO.zipcode',
 `SKK-JISYO.fullname', `SKK-JISYO.law', `SKK-JISYO.M', `SKK-JISYO.S', and
 so on, except the large size dictionary file `SKK-JISYO.L' provided by
 the skkdic package, for SKK Japanese input systems.
 .
 To merge or edit dictionaries, install the skktools package.  To use
 dictionaries more conveniently, several SKK dictionary servers are
 available, such as dbskkd-cdb and skksearch.

Package: skksearch
Description-md5: 46da23a2fd44dd602fd265e9b91de612
Description-en: SKK dictionary server handling multiple dictionaries
 skksearch is a dictionary server for SKK Japanese input systems.
 skksearch can deal with more than one dictionary and supports three
 types of dictionaries, that is, plain, Berkeley DB and cdb
 (DJB's constant database).
 .
 skksearch is compatible with skkserv on the protocol behavior.
 It is called from an internet super-server.
 .
 By default, this package uses the SKK dictionary cdb file
 `SKK-JISYO.cdb' that is provided by the skkdic-cdb package.  Also,
 you can use other dictionary files such as the skkdic-extra package.

Package: skktools
Description-md5: 08f0717c9dfe44fb012437206dd2b8ae
Description-en: SKK dictionary maintenance tools
 This package provides tools to maintain dictionary files for SKK
 Japanese input systems.
 .
 SKK dictionary files are provided by the skkdic package and the
 skkdic-extra package.  You can make a local dictionary file from
 them.
 .
 If you want to convert a dictionary file to cdb (DJB's constant
 database), install the tinycdb package.  To use the optional scripts,
 install the required tools, such as gawk, ruby, gauche, etc.

Package: skrooge
Description-md5: ef4f185f38336e4cc2f0e21b250417a0
Description-en: personal finance manager for KDE
 Skrooge allows you to manage your personal finances. It is intended to be used
 by individuals who want to keep track of their incomes, expenses and
 investments. Its philosophy is to stay simple and intuitive.
 .
 Here is the list of Skrooge main features:
  * QIF, CSV, KMyMoney, Skrooge,  import/export
  * OFX, QFX, GnuCash, Grisbi, HomeBank import
  * Advanced Graphical Reports
  * Several tabs to help you organize your work
  * Infinite undo/redo
  * Instant filtering on operations and reports
  * Infinite categories levels
  * Mass update of operations
  * Scheduled operations
  * Track refund of your expenses
  * Automatically process operations based on search conditions
  * Multi currencies
  * Dashboard

Package: skrooge-common
Description-md5: dda6028dbc12d8e0e3bab2023c25e1e7
Description-en: Skrooge architecture independent files
 Skrooge allows you to manage your personal finances. It is intended to be used
 by individuals who want to keep track of their incomes, expenses and
 investments. Its philosophy is to stay simple and intuitive.
 .
 This package contains architecture independent files needed for Skrooge to run
 properly. It also provides Skrooge documentation. Therefore, unless you
 have 'skrooge' package installed, you will hardly find this package useful.

Package: sks
Description-md5: 9e4be188631c05e0a9ddc4551d2f852b
Description-en: Synchronizing OpenPGP Key Server
 SKS is an OpenPGP key server that correctly handles all OpenPGP features
 defined in RFC2440 and RFC4880, including photoID packages and multiple
 subkeys.
 .
 This key server implementation uses an efficient and reliable reconciliation
 algorithm to keep the database in sync with other SKS servers.  Additionally
 it can both send and receive PKS style sync emails.

Package: sks-ecc
Description-md5: 3bde2a4cdd440eeff95c2648f6b67454
Description-en: Cryptographic tool based on ECC
 SKS is a public-key, command-line application for encryption/authentication,
 based on Elliptic Curve Cryptography (ECC). His minimalist design points to
 a simple, light, fast, easy-to-use and multiplatform software. All these
 features are satisfied with this high quality cryptography kit that has
 the following elements:
 .
  * Public key module: elliptic curve over GF (2^191).
  * Symmetric-key module: AES 192-bits key, with CTR mode.
  * Hash (for signatures, HMAC and passwords): TIGER (192 bits).
  * PRNG module.

Package: sks-ecc-doc
Description-md5: 12ebd275608bba715abcd5febd2591b5
Description-en: Documentation for sks-ecc, a cryptographic tool based on ECC
 SKS is a public-key, command-line application for encryption/authentication,
 based on Elliptic Curve Cryptography (ECC).
 .
 This package provides original README file and the user guide shipped in
 upstream's tarball (written in Spanish).

Package: skycat
Description-md5: 91c72ae3b5baaa7e3345d9909ab19e27
Description-en: Image visualization and access to catalogs and data for astronomy
 The ESO/Starlink Skycat tool combines the image display capabilities
 of the RTD (Real-Time Display) with a set of classes for accessing
 astronomical catalogs locally and over the network using HTTP. The tool
 allows you to view FITS images from files or from the Digitized Sky
 Survey (DSS).

Package: skydns
Description-md5: 02bd489f3d3cb34ec38ddfac0307586f
Description-en: DNS service discovery for etcd
 SkyDNS is a distributed service for announcement and discovery of
 services built on top of etcd. It utilizes DNS queries to discover
 available services. This is done by leveraging SRV records in DNS,
 with special meaning given to subdomains, priorities and weights.

Package: skylighting
Description-md5: 95544a5ee801c3b985dfb4d4cc591f3d
Description-en: syntax highlighting tool
 Skylighting is a syntax highlighting library with
 support for over one hundred languages.  It derives
 its tokenizers from XML syntax definitions used
 by KDE's KSyntaxHighlighting framework, so any
 syntax supported by that framework can be added.
 An optional command-line program is provided.
 Skylighting is intended to be the successor to
 highlighting-kate.
 .
 This is a command-line tool that can output HTML and LaTeX.

Package: skytools3-ticker
Description-md5: 1caae2aac929df00e91198dc33ea7cfa
Description-en: Transitional package to pull in pgqd
 PgQ provides generic queues for PostgreSQL. It allows multiple queues in one
 database, each queue can be consumed by multiple consumers.
 .
 This transitional package pulls in the pgqd package on upgrades. It can safely
 be removed.

Package: skyview
Description-md5: 5006622a5557aba7c536abae7455ff1b
Description-en: Image generation from a range of remote databases
 SkyView is a "virtual" observatory dynamically generating images of
 the sky in different wavelength regimes from a static image
 database. SkyView is intended as a quick look facility to see the
 heavens.
 .
 This package includes the executable.

Package: skyview-java
Description-md5: 0ada4ab25f6f70864d3d11461a48f347
Description-en: Image generation from a range of remote databases (Java package)
 SkyView is a "virtual" observatory dynamically generating images of
 the sky in different wavelength regimes from a static image
 database. SkyView is intended as a quick look facility to see the
 heavens.
 .
 This package includes the Java library.

Package: skyview-java-doc
Description-md5: eb69ef097dce659c11797dfa4c963693
Description-en: Image generation from a range of remote databases (API doc)
 SkyView is a "virtual" observatory dynamically generating images of
 the sky in different wavelength regimes from a static image
 database. SkyView is intended as a quick look facility to see the
 heavens.
 .
 This package contains the JavaDoc documentation of the package.

Package: sl
Description-md5: 64964faf22f36fbd8983fb5015010c0b
Description-en: Correct you if you type `sl' by mistake
 Sl is a program that can display animations aimed to correct you
 if you type 'sl' by mistake.
 SL stands for Steam Locomotive.

Package: slack
Description-md5: b9e6ba56c6e0feee160733514fb8a752
Description-en: configuration management program for lazy admins
 slack tries to allow centralized configuration management with a bare
 minimum of effort.  Usually, just putting a file in the right place
 will cause the right thing to be done.  It uses rsync to copy files
 around, so can use any sort of source (NFS directory, remote server
 over SSH, remote server over rsync) that rsync supports.

Package: slang-cfitsio
Description-md5: 640c2474af9f9428083b52b411c2e05b
Description-en: read and write FITS files from S-Lang
 The CFITSIO library consists of a set of functions for reading and
 writing FITS (Flexible Image Transport System) files. The S-Lang
 CFITSIO module wraps many of the functions of the CFITSIO library and
 permits one to easily manipulate FITS files from the S-Lang interpreter.

Package: slang-curl
Description-md5: 909a91ebb15151f8a0ac257c180e23fd
Description-en: transfer files using HTTP and FTP from S-Lang
 The S-Lang curl module makes use of the cURL library to provide the
 S-Lang interpreter the ability to transfer files in a simple but
 robust manner using a variety of protocols including FTP and HTTP.

Package: slang-expat
Description-md5: 3cc77cfde2b578657ce40a854ae9d117
Description-en: S-Lang bindings for the expat XML parser
 The S-Lang module contained in this package provides bindings to the
 expat library for parsing XML files.  This module can be used in slsh
 (the S-Lang shell), in the JED editor, and in the news reader slrn.

Package: slang-gdbm
Description-md5: 143c754b97d487b16c1694cbb8f5d5d5
Description-en: access to GDBM databases from S-Lang
 The S-Lang module contained in this package provides access to GDBM
 databases, with an assoc-like syntax for the user interface.  This
 module can be used in slsh (the S-Lang shell), in the JED editor, and
 in the news reader slrn.

Package: slang-gsl
Description-md5: 60343c4d2291695a5ebbb0774082ebef
Description-en: GNU Scientific Library binding for S-Lang
 The GNU Scientific Library (GSL) is a vast collection of robust and
 well documented numerical functions. It includes support for many
 special functions, random numbers, interpolation and integration
 routines, and much more.
 .
 Many of the routines in the GSL may be made available to the S-Lang
 interpreter via the GSL modules included in this package.
 .
 The following GSL modules are available:
 .
  * gslsf: The GSL special function module. Currently, this module
    provides an interface to nearly 200 GSL special functions.
  * gslconst: The GSL constants module. This module defines many
    constants such as CONST_MKSA_SPEED_OF_LIGHT, CONST_CGSM_BOLTZMANN,
    etc.
  * gslinterp: The GSL interpolation module, which includes routines
    for linear interpolation, cubic splines, etc.
  * gslrand: The GSL random number module.  This module supports most
    of GSL's random number generators and distributions.
  * gslcdf: The GSL cumulative distribution function module.
  * gslfft: The GSL fast-fourier transform module.
  * gslcore: This is a module that must be loaded before any of the
    above modules can be loaded.  Its main purpose is to provide support
    functions for the other GSL modules.

Package: slang-pvm
Description-md5: 60d2e50d7fdfcea177b281e9ff538293
Description-en: PVM (Parallel Virtual Machine) interface for S-Lang
 PVM (Parallel Virtual Machine) is a software package which permits a
 heterogeneous collection computers connected by a network to be used
 as a single large parallel computer.
 .
 The S-Lang PVM module provides a S-Lang interface to PVM. By
 organizing distributed computations with S-Lang, one gains the
 advantages of programming in an interpreted language.
 .
 With this approach, one can make efficient use of all available
 computer resources: high level logic is handled in interpreted code,
 CPU intensive work is done in compiled code and PVM simplifies using
 all available CPUs.

Package: slang-sqlite
Description-md5: 20a5409301e7a2e59ef918678f09da36
Description-en: S-Lang bindings to the sqlite3 database library
 The S-Lang module contained in this package provides bindings to the
 SQLite3 database engine.  This module can be used in slsh (the S-Lang
 shell), in the JED editor, and in the news reader slrn.

Package: slang-tess
Description-md5: 08a71f3deb1c57ba825b77c0a21e7ab1
Description-en: regression testing system for the S-Lang scripting language
 TESS is the (Te)st (S)ystem for (S)-Lang, which aims at reducing the
 workload and ad-hoc nature of regression testing S-Lang software, by
 collecting common testing elements into a single, easy-to-use
 framework.
 .
 TESS provides the S-Lang developer nominal mechanisms for tailoring
 the S-Lang environment and invoking functions with arbitrary inputs,
 while transparently inspecting and cleaning the stack, gathering
 pass/fail statistics, and providing error recovery from selected
 exceptions.

Package: slang-wildcard
Description-md5: 33f5a556319505f20958f0af6b38b62b
Description-en: adds a wildcard match function to S-Lang
 The S-Lang module contained in this package provides a
 wildcard_match() function to S-Lang. It's vectorized, so it should be
 faster than an array_map(&string_match, ... ).  This module can be
 used in slsh (the S-Lang shell), in the JED editor, and in the news
 reader slrn.

Package: slang-xfig
Description-md5: a27b182c29c450950f915b2e8e84d7ac
Description-en: produce plots and drawings through Xfig's fig2dev in S-Lang
 SLxfig is a S-Lang module that produces plots, drawings, etc in a
 variety of formats (.ps, .eps, .png, .jpeg, etc). It accomplishes this
 via S-Lang scripts that automatically run Xfig's fig2dev and LaTeX to
 produce the desired output format.

Package: slapd-contrib
Description-md5: ff6e572efbbb94ce9953af67807dabd9
Description-en: contributed plugins for OpenLDAP slapd
 This package contains a number of slapd overlays and plugins contributed by
 the OpenLDAP community. While distributed as part of OpenLDAP Software, they
 are not necessarily supported by the OpenLDAP Project.

Package: slapd-smbk5pwd
Description-md5: c3ad6e9af00b91f4237f7de732bf9c27
Description-en: transitional package for slapd-contrib
 This is a transitional package from slapd-smbk5pwd to slapd-contrib. It can be
 safely removed.

Package: slapi-nis
Description-md5: 1941b61bf55e14a4e16b9b8e01e812e2
Description-en: NIS Server and Schema Compatibility plugins for 389 Directory Server
 This package provides two plugins for Red Hat and 389 Directory Server.
 .
 The NIS Server plugin allows the directory server to act as a NIS server
 for clients, dynamically generating and updating NIS maps according to
 its configuration and the contents of the DIT, and serving the results to
 clients using the NIS protocol as if it were an ordinary NIS server.
 .
 The Schema Compatibility plugin allows the directory server to provide an
 alternate view of entries stored in part of the DIT, optionally adding,
 dropping, or renaming attribute values, and optionally retrieving values
 for attributes from multiple entries in the tree.

Package: slashem
Description-md5: 0b2f5ba3aafeca713b3034e85ca6bc8f
Description-en: variant of Nethack
 Super Lotsa Added Stuff Hack - Extended Magic (SLASH'EM)
 is a role-playing game where you control a single character. The
 interface and gameplay are similar in style to Rogue, ADOM, Angband
 and, of course, Nethack. You control the actions through the
 keyboard and view the world from an overhead perspective.
 .
 The problem: The Amulet of Yendor has been stolen. Not only
 that but it appears that the Wizard of Yendor (not a nice person),
 who took the amulet, is hiding in the Dungeons of Doom (not a
 friendly place).
 .
 This package contains the basic ASCII interface. For more fancy
 graphical interfaces see
  slashem-x11 - Simple graphic interface using Xaw
  slashem-sdl - Graphical interface usnig SDL
  slashem-gtk - Graphical interface usnig Gtk

Package: slashem-common
Description-md5: 2179b699cfbfe3f09251efa2266947ce
Description-en: files common to all slashem-packages
 This package contains the files comme to all the window ports of
 Slash'EM. You need to install at least one package containing a
 window port to use this package:
 .
  slashem - The basic ASCII interface
  slashem-x11 - Simple graphic interface using Xaw
  slashem-sdl - Graphical interface usnig SDL
  slashem-gtk - Graphical interface usnig Gtk

Package: slashem-gtk
Description-md5: 1dfc8550d938ae268c1bbd8e7c88dc79
Description-en: variant of Nethack (Gtk window port)
 Super Lotsa Added Stuff Hack - Extended Magic (SLASH'EM)
 is a role-playing game where you control a single character. The
 interface and gameplay are similar in style to Rogue, ADOM, Angband
 and, of course, Nethack. You control the actions through the
 keyboard and view the world from an overhead perspective.
 .
 The problem: The Amulet of Yendor has been stolen. Not only
 that but it appears that the Wizard of Yendor (not a nice person),
 who took the amulet, is hiding in the Dungeons of Doom (not a
 friendly place).
 .
 This package provides the Gtk window port of Slash'EM

Package: slashem-sdl
Description-md5: 8bb02f81317cd65058ad0cfe80a9dda2
Description-en: variant of Nethack (SDL window port)
 Super Lotsa Added Stuff Hack - Extended Magic (SLASH'EM)
 is a role-playing game where you control a single character. The
 interface and gameplay are similar in style to Rogue, ADOM, Angband
 and, of course, Nethack. You control the actions through the
 keyboard and view the world from an overhead perspective.
 .
 The problem: The Amulet of Yendor has been stolen. Not only
 that but it appears that the Wizard of Yendor (not a nice person),
 who took the amulet, is hiding in the Dungeons of Doom (not a
 friendly place).
 .
 This package provides the SDL window port of Slash'EM

Package: slashem-x11
Description-md5: 2fdfa7f1dc4dee20b6977712ffba4166
Description-en: variant of Nethack (X11 window port)
 Super Lotsa Added Stuff Hack - Extended Magic (SLASH'EM)
 is a role-playing game where you control a single character. The
 interface and gameplay are similar in style to Rogue, ADOM, Angband
 and, of course, Nethack. You control the actions through the
 keyboard and view the world from an overhead perspective.
 .
 The problem: The Amulet of Yendor has been stolen. Not only
 that but it appears that the Wizard of Yendor (not a nice person),
 who took the amulet, is hiding in the Dungeons of Doom (not a
 friendly place).
 .
 This package provides the X11 window port of Slash'EM

Package: slashtime
Description-md5: a5ffd780639eac0a77b06d310483c0a0
Description-en: Display the time in various places
 A small program which displays the time in various places. It has a compact
 display of locations along with supporting information such as the date and
 the abbreviated code used to name that timezone.
 .
 Time data displayed will be as accurate as the system's zoneinfo data is used.
 Somewhat unusually, Slashtime shows the offset from the current location, not
 from UTC. When running you can change the center point by double-clicking on
 another city.
 .
 There is a meeting planner built into Slashtime. You can specify a date and
 time and see what the corresponding time in other locations will be.

Package: slay
Description-md5: fc1ab6dd5e3d3338e130378f33942374
Description-en: Kills all of the user's processes
 Slay provides you with a way to quickly get rid of all
 processes a particular user owns. Very useful if you want
 to harm somebody.

Package: slbackup
Description-md5: 54c93146034426d7c9aa5bf87e6d8da2
Description-en: Skolelinux Backup system
 A backup system designed to back up multiple clients to a server.
 slbackup uses rdiff-backup as the underlying backup software.
 .
 Clients can be the host installing this package, or other hosts
 reachable via a SSH connection (using SSH keys). The host storing the
 backup data can be the host installing this package or another host
 reachable via a SSH connection (also using SSH keys).

Package: slbackup-php
Description-md5: 4e3e2825668aacd6ad38ba54c57440d0
Description-en: Web-based administration tool for slbackup
 Slbackup-php provides the necessary functions to maintain a slbackup
 repository.
 .
 Features:
  - scheduling of slbackup execution;
  - editing of the configuration file;
  - verifying SSH keys and successful backups;
  - restoring from backups;
  - removing old backups from the repository.

Package: sleepenh
Description-md5: 7763132c6d166a1aea20272c7b5c1d69
Description-en: Sleep until a given date with subsecond resolution
 sleepenh is a sleep program for shell scripts that need to
 perform a loop that repeats at a regular time interval, without
 cumulative errors.
 .
 It supports microsecond resolution.
 .
 You can also specify the time you need between two calls
 of sleepenh.
 .
 Here follows an usage example to clarify its purpose. This
 example sends 'A' to ttyS0 every 1.2 seconds.
   #!/bin/sh
   # does not wait (or wait 0), just to get initial timestamp
   TIMESTAMP=$(sleepenh 0)
   while true; do
     # send the byte to ttyS0
     echo -n "A" > /dev/ttyS0;
     # wait until the required time
     TIMESTAMP=$(sleepenh $TIMESTAMP 1.200);
   done
 .
 For more details, please read the manpage.

Package: slepc-dev
Description-md5: 401ffbf37608baaea035f71eea102d0d
Description-en: Scalable Library for Eigenvalue Problem Computations
 SLEPc is a software library for the solution of large scale sparse eigenvalue
 problems on parallel computers. It is an extension of PETSc and can be used
 for either standard or generalized eigenproblems, with real or complex
 arithmetic. It can also be used for computing a partial SVD of a large,
 sparse, rectangular matrix.
 .
 This is a dependency package which depends on the latest version of SLEPc.

Package: slepc3.12-doc
Description-md5: e26c7639c4076228fcff58e6117cbb60
Description-en: Scalable Library for Eigenvalue Problem Computations
 SLEPc is a software library for the solution of large scale sparse eigenvalue
 problems on parallel computers. It is an extension of PETSc and can be used
 for either standard or generalized eigenproblems, with real or complex
 arithmetic. It can also be used for computing a partial SVD of a large,
 sparse, rectangular matrix.
 .
 This package contains the documentation and examples for SLEPc.

Package: sleuthkit
Description-md5: aca4cf399f20d5cd832f48c7e7f247bd
Description-en: tools for forensics analysis on volume and filesystem data
 The Sleuth Kit, also known as TSK, is a collection of UNIX-based command
 line file and volume system forensic analysis tools. The filesystem tools
 allow you to examine filesystems of a suspect computer in a non-intrusive
 fashion. Because the tools do not rely on the operating system to process the
 filesystems, deleted and hidden content is shown.
 .
 The volume system (media management) tools allow you to examine the layout of
 disks and other media. You can also recover deleted files, get information
 stored in slack spaces, examine filesystems journal, see partitions layout on
 disks or images etc. But is very important clarify that the TSK acts over the
 current filesystem only.
 .
 The Sleuth Kit supports DOS partitions, BSD partitions (disk labels), Mac
 partitions, Sun slices (Volume Table of Contents), and GPT disks. With these
 tools, you can identify where partitions are located and extract them so that
 they can be analyzed with filesystem analysis tools.
 .
 Currently, TSK supports several filesystems, as NTFS, FAT, exFAT, HFS+, Ext3,
 Ext4, UFS and YAFFS2.
 .
 This package contains the set of command line tools in The Sleuth Kit.

Package: slib
Description-md5: 0ee7a0b7d85285b272da11727c99a510
Description-en: Portable Scheme library
 SLIB is a portable scheme library meant to provide compatibility and
 utility functions for all standard scheme implementations.  SLIB
 includes initialization files for Chez, ELK 2.1, GAMBIT, MacScheme,
 MITScheme, scheme->C, Scheme48, T3.1, and VSCM.  SCM also supports
 SLIB.

Package: slic3r
Description-md5: 0794949ce96277bb7ebfa300ad8df740
Description-en: G-code generator for 3D printers
 Slic3r converts digital 3D models into printing instructions (G-code)
 for your 3D printer. It cuts the model into horizontal slices (layers),
 generates toolpaths to fill them and calculates the amount of material
 to be extruded.
 .
 Slic3r supports input in the STL, AMF and OBJ formats, and can output
 G-code for several series of 3D printers, including RepRap, Ultimaker,
 Makerbot, as well as SVG files for DLP printers.
 .
 It can be used with a graphical interface, or in batch mode via the
 command-line.

Package: slic3r-prusa
Description-md5: 4ecde508b03e32ebfd9a86530ee9e238
Description-en: Transitional package for prusa-slicer
 PrusaSlicer converts digital 3D models into printing instructions (G-code)
 for your 3D printer. It cuts the model into horizontal slices (layers),
 generates toolpaths to fill them and calculates the amount of material
 to be extruded.
 .
 PrusaSlicer supports input in the STL, AMF and OBJ formats, and can output
 G-code for several series of 3D printers, including RepRap, Ultimaker,
 Makerbot, as well as SVG files for DLP printers.
 .
 It can be used with a graphical interface, or in batch mode via the
 command-line.
 .
 This is a transitional package. It can safely be removed.

Package: slice
Description-md5: 36db0db236e06b885a3c1bc5d9423e2c
Description-en: Extract out pre-defined slices of an ASCII file
 The slice program reads an input file and divide its prepared ASCII contents
 into possibly overlapping slices. These slices are determined by enclosing
 blocks which are defined by begin and end delimiters which have to be
 already in the file. The final output gets calculated by a slice term
 consisting of slice names, set theory operators and optional round brackets.

Package: slick-greeter
Description-md5: 1b898635a7480341858661901fe71d2a
Description-en: Slick-looking LightDM greeter
 Slick-Greeter is cross-distribution and should work pretty much
 anywhere.
 .
 All panel applets are embedded. No external indicators are launched or
 loaded by the greeter.
 .
 No settings daemon are launched or loaded by the greeter.
 .
 This greeter supports HiDPI.
 .
 Sessions are validated. If a default/chosen session isn't present on
 the system, the greeter scans for known sessions in
 /usr/share/xsessions and replaces the invalid session choice with a
 valid session.
 .
 You can take a screenshot by pressing PrintScrn. The screenshot is
 saved in /var/lib/lightdm/Screenshot.png.
 .
 Slick Greeter started as a fork of Unity Greeter 16.04.2, a greeter
 developed for Ubuntu by Canonical, which used indicators and
 unity-settings-daemon.

Package: slim
Description-md5: eafa15c824e2eb13f9f89140f6b65e24
Description-en: desktop-independent graphical login manager for X11
 SLiM aims to be light, simple and independent from the various
 desktop environments. Although completely configurable through
 themes and an option file. It is particularly suitable for machines
 that don't require remote logins.

Package: slime
Description-md5: 6a12764cd1ffe332e3003d63499e20b7
Description-en: Superior Lisp Interaction Mode for Emacs (client)
 SLIME is the Superior Lisp Interaction Mode for Emacs.
 .
 SLIME extends Emacs with support for interactive programming in Common Lisp.
 The features are centered around slime-mode, an Emacs minor-mode that
 complements the standard lisp-mode. While lisp-mode supports editing Lisp
 source files, slime-mode adds support for interacting with a running Common
 Lisp process for compilation, debugging, documentation lookup, and so on.
 .
 The slime-mode programming environment follows the example of Emacs’s native
 Emacs Lisp environment. It also includes good ideas from similar systems
 (such as ILISP) and some new ideas.
 .
 SLIME is compatible with the following free Common Lisp implementations:
 CMUCL, SBCL, CCL, CLISP, ECL, ABCL.
 .
 This package contains the Emacs client, that will connect to the
 Lisp-side server (see package cl-swank).

Package: slimevolley
Description-md5: 3d6f5eb06ab669e6ae7c002a24492443
Description-en: unrealistic 2D volleyball simulation
 Slime Volley is a 2D arcade-oriented volleyball simulation, in the spirit of
 some Java games of the same name.
 Two teams, 1-3 players each, try to be the first to get 10 points. This happens
 when the one ball touches the floor on the other side of the net.
 There can be 1 to 8 balls in game.
 Each player use 4 keys, 2 direction keys, one for jump and one for changing its
 skin.

Package: slimevolley-data
Description-md5: 07069baf0788c3fd3a3ff96ad4ac9021
Description-en: unrealistic 2D volleyball simulation - data files
 Slime Volley is a 2D arcade-oriented volleyball simulation, in the spirit of
 some Java games of the same name.
 Two teams, 1-3 players each, try to be the first to get 10 points. This happens
 when the one ball touches the floor on the other side of the net.
 There can be 1 to 8 balls in game.
 Each player use 4 keys, 2 direction keys, one for jump and one for changing its
 skin.
 .
 This package contains the data files needed to run Slime Volley.

Package: slimit
Description-md5: 91ebfa6e70f259ea63fc14b7651907c3
Description-en: JavaScript minifier/parser in Python
 SlimIt is a JavaScript minifier written in Python. It compiles JavaScript
 into more compact code so that it downloads and runs faster.
 .
 SlimIt also provides a library that includes a JavaScript parser, lexer,
 pretty printer and a tree visitor.
 .
 This package contains the executable.

Package: slinkwatch
Description-md5: 63d1d92a0c06d6d4320b4c7d87411783
Description-en: automatic maintenance of Suricata monitoring interfaces
 slinkwatch is the Suricata Link Watcher, a tool to dynamically maintain
 interface entries in Suricata's configuration file, depending on what
 network interfaces are connected. It is meant to ease deployment of identical
 sensor installations at many heterogenous sites, allowing to make full use
 of the sensor resources in the light of varying monitoring volume.

Package: slirp
Description-md5: faaf73e9c5947f77bc2b583f41b08e56
Description-en: SLIP/PPP emulator using a dial up shell account
 Slirp is a TCP/IP emulator which turns an ordinary shell account
 into a (C)SLIP/PPP account.  This allows shell users to use all the
 funky Internet applications like Netscape, Mosaic, CUSeeMe, etc.
 .
 Please note that maybe your ISP really doesn't like you using slirp,
 because they want to charge more for a ppp connection, so use it at
 your own risk.

Package: slirp4netns
Description-md5: e4c1a4ddf0106791456a2a9912e7795b
Description-en: User-mode networking for unprivileged network namespaces
 slirp4netns provides user-mode networking for unprivileged network
 namespaces.
 .
 slirp4netns allows connecting a network namespace to the Internet in a
 completely unprivileged way, by connecting a TAP device in a network
 namespace to the usermode TCP/IP stack ("slirp").

Package: sloccount
Description-md5: 0d2b15e98b3333c500fc378ad6a85c05
Description-en: programs for counting physical source lines of code (SLOC)
 SLOCCount (pronounced "sloc-count") is a suite of programs for
 counting physical source lines of code (SLOC) in potentially large
 software systems (thus, SLOCCount is a "software metrics tool" or
 "software measurement tool"). SLOCCount can count physical SLOC for
 a wide number of languages; listed alphabetically, they are: Ada,
 Assembly, awk, Bourne shell, C, C++, C shell, COBOL, C#, Erlang,
 Expect, Fortran, Java, lex/flex, LISP (including Scheme), Makefile,
 Modula3, Objective-C, Pascal, Perl, PHP, Python, Ruby, sed, SQL, Tcl,
 VHDL, XML, Yacc/Bison.
 .
 SLOCCount can automatically determine if a file is a source code file
 or not, and if so, which language it's written in. As a result, you
 can analyze large systems completely automatically. SLOCCount also
 includes some report-generating tools to collect the data generated
 and present it in several different formats.

Package: slony1-2-bin
Description-md5: 8c1e911069818f6f365047cde52730be
Description-en: replication system for PostgreSQL: daemon and administration tools
 Slony-I is an asynchronous master-to-multiple-slaves replication system
 for PostgreSQL with cascading and slave promotion.
 .
 This package contains the slon daemon and the slonik administration tool.
 It should be installed on those hosts where Slony-I daemons are to be run
 or administered, which usually, but not necessarily, are the hosts where
 the database server nodes are running.

Package: slony1-2-doc
Description-md5: 75e372d25ef877e709a35237fb730bb7
Description-en: Slony-I documentation
 Slony-I is an asynchronous master-to-multiple-slaves replication system
 for PostgreSQL with cascading and slave promotion.
 .
 This package contains the documentation for the Slony-I system.  It is
 not required for normal operation.

Package: slop
Description-md5: b6be29fa551e770f482aba9739129e15
Description-en: queries for a selection from the user and prints the region to stdout
 slop (Select Operation) is an application that queries for a
 selection from the user and prints the region to stdout. It grabs the
 mouse and turns it into a crosshair, lets the user click and drag to
 make a selection (or click on a window) while drawing a pretty box
 around it, then finally prints the selection's dimensions to stdout.
 .
 Features:
 .
  * Hovering over a window will cause a selection rectangle to appear
    over it.
  * Clicking on a window makes slop return the dimensions of the
    window.
  * Clicking and dragging causes a selection rectangle to appear,
    renders pretty well (compared to scrot). And will return the
    dimensions of that rectangle in absolute screen coords.
  * On startup it turns your cursor into a crosshair, then adjusts the
    cursor into angles as you drag the selection rectangle.
  * Supports simple arguments:
    * Change selection rectangle border size.
    * Select X display.
    * Set padding size, even negative padding sizes!
    * Set click tolerance for if you have a shaky mouse.
    * Set the color of the selection rectangles to match your theme!
      (Even supports transparency!)
  * Remove window decorations from selections.
  * Supports OpenGL hardware acceleration.
  * Supports textured themes.
  * Supports programmable shaders.
  * Supports a magnifying glass.

Package: slowhttptest
Description-md5: 0d10df6e0df816795ee57bc1debee1c2
Description-en: application layer Denial of Service attacks simulation tool
 SlowHTTPTest is a highly configurable tool that simulates some application
 layer Denial of Service attacks.
 .
 It implements most common low-bandwidth application layer Denial of Service
 attacks, such as
   * Slowloris
   * Slow HTTP POST
   * Slow Read attack (based on TCP persist timer exploit) by draining
     concurrent connections pool
   * Apache Range Header attack by causing very significant memory and CPU
     usage on the server.

Package: slowmovideo
Description-md5: ece8a0434b7592d3e4fa9907be77d3f5
Description-en: create slow-motion videos from your footage
 This does not simply make your videos play at 0.01 x speed. You can
 smoothly slow down and speed up your footage, optionally with motion
 blur.
 .
 How does slow motion work? slowmoVideo tries to find out where pixels
 move in the video (this information is called Optical Flow), and then
 uses this information to calculate the additional frames.
 .
 Videos in any format supported by ffmpeg can be loaded. Image sequences
 can also be loaded, so, if you did a timelapse with too few frames,
 slowmoVideo may help as well.
 slowmoVideo does not work with a constant slowdown factor but with
 curves that allow arbitrary time accelereation/deceleration/reversal.
 Motion blur can be added, as much as you want.

Package: slrn
Description-md5: f22a837ee31d5283b6f6e4c1cb3fd21e
Description-en: threaded text-mode news reader
 Slrn is a threaded news reader with color support that is designed to
 read news fast over slow links.
 .
 Slrn can read usenet news via NNTP or directly from a local news spool.
 .
 Slrn can be heavily customized from its rc file, and even includes a
 built in macro language. There is also support for killfiles and
 article scoring.

Package: slrnface
Description-md5: 3cffa1f8a0417286bacd4e574020fc93
Description-en: shows X-Faces from a newsposting on an X11 terminal emulator
 The slrnface helper utility can be used from the slrn and the tin news reader
 to show X-Faces in Usenet articles when they are run from an X11 terminal
 emulator. It is not intended to be run directly from the command line.

Package: slrnpull
Description-md5: 39fc679e5901501d77caa759795b117f
Description-en: pulls a small newsfeed from an NNTP server
 Slrnpull pulls a small newsfeed, from an NNTP server, to a local news spool
 directory. The news spool can be used by news readers (such as slrn), which
 can read a local news spool without an NNTP server.
 .
 In combination with the slrn news reader, slrnpull can provide true
 offline news reading. You can tell slrnpull to download headers only,
 mark interesting ones for download with slrn and fetch those article
 bodies during the next run of slrnpull.
 .
 Slrnpull also has the ability to killfile articles so that they will not
 be downloaded from the server.

Package: slsh
Description-md5: da03b0012d9ccb8fd3c332515567d184
Description-en: Interpreter for S-Lang language
 S-Lang is a C programmer's library that includes routines for the rapid
 development of sophisticated, user friendly, multi-platform applications.
 .
 This package contains a stand-alone interpreter for scripts written
 in the S-Lang language.

Package: slt
Description-md5: aa0728a3604dd38aa263f55cd5249cc6
Description-en: TLS reverse-proxy with SNI multiplexing (TLS virtual hosts)
 slt lets a user accept TLS/SSL connections for multiple different
 applications on the same port and the server can forward them all to
 the appropriate backend hosts depending on the intended destination.
 .
 slt is capable of either terminating the TLS and passing the
 cleartext traffic to the origin server (if it has appropriate key
 material), or just passing the encrypted traffic along (if it has no
 key material).

Package: sludge-compiler
Description-md5: 31cc09c3d2b6e05f36e7e7ab0aca91a4
Description-en: Compiler for SLUDGE adventure games
 SLUDGE is an open source adventure game engine. It combines a scripting
 language with IDE tools.
 .
 This package contains the command line SLUDGE compiler and is mainly
 intended as build dependency for SLUDGE game packages. Developers can
 use the Project Manager included in the Dev Kit for compiling games.

Package: sludge-devkit
Description-md5: 83579f3a7a8e6ab9c67d97cd58e898a8
Description-en: Development tools for creating SLUDGE adventure games
 SLUDGE is an open source adventure game engine. It combines a scripting
 language with IDE tools.
 .
 This package contains the development kit needed to create SLUDGE games.

Package: sludge-doc
Description-md5: 6723c9d3b37acb41fdeea91cf2a99f9a
Description-en: Documentation for SLUDGE
 SLUDGE is an open source adventure game engine. It combines a scripting
 language with IDE tools.
 .
 This package contains the documentation, including an example project.

Package: sludge-engine
Description-md5: 7d4784538e907fce7358af702356193f
Description-en: Runtime engine for playing SLUDGE adventure games
 SLUDGE is an open source adventure game engine. It combines a scripting
 language with IDE tools.
 .
 This package contains the runtime engine needed to run the games.
 Freeware SLUDGE games include "Out Of Order", "Cubert Badbone, P.I." and
 "The Game That Takes Place on a Cruise Ship".

Package: slugify
Description-md5: 62910014c14f108e8c60ac1b1152497a
Description-en: Python slugify application that handles unicode
 Python application that returns slugs from unicode strings.

Package: sluice
Description-md5: 9b2e355a5fc42f9e9aa69dd43b00216b
Description-en: rate limiting data piping tool
 Sluice reads from standard input and write to standard output at a specified
 data rate.  This can be useful for benchmarking and exercising I/O streaming
 at desired throughput rates.

Package: slurm
Description-md5: 9de2dc2c21c49c21c4927427ac792369
Description-en: Realtime network interface monitor
 Slurm has the following features:
  * realtime traffic statistics divided into incoming and outgoing
  * optional combined view
  * can monitor any kind of network interface
  * shows detailed statistics about the interface.
  * it's themeable

Package: slurm-client
Description-md5: 36fbef9d575058a925c13412192841c7
Description-en: SLURM client side commands
 SLURM stands for Simple Linux Utility for Resource Management, it
 is an open-source cluster resource management and job scheduling system
 that strives to be simple, scalable, portable, fault-tolerant, and
 interconnect agnostic.
 This package contains all client side commands.

Package: slurm-client-emulator
Description-md5: edcedf6d4bfeec66d456b423c1655682
Description-en: SLURM client side commands for the emulator
 SLURM stands for Simple Linux Utility for Resource Management, it
 is an open-source cluster resource management and job scheduling system
 that strives to be simple, scalable, portable, fault-tolerant, and
 interconnect agnostic.
 This package contains all client side commands for the emulator.

Package: slurm-wlm
Description-md5: 326322191fe63dc9041282a43e86a418
Description-en: Simple Linux Utility for Resource Management
 SLURM stands for Simple Linux Utility for Resource Management, it
 is an open-source cluster resource management and job scheduling system
 that strives to be simple, scalable, portable, fault-tolerant, and
 interconnect agnostic.
 This metapackage contains all client side commands, the compute node
 daemon and the central management demon.

Package: slurm-wlm-basic-plugins
Description-md5: ae081a7d60b2aff8f98f7a8ebb455796
Description-en: SLURM basic plugins
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM basic plugins

Package: slurm-wlm-basic-plugins-dev
Description-md5: f986780401932d65d04a7eea2df7a632
Description-en: SLURM basic plugins development files
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains development files for the SLURM basic plugins

Package: slurm-wlm-doc
Description-md5: af1702c37c187763327c3d2255169290
Description-en: SLURM documentation
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM html documentation.

Package: slurm-wlm-emulator
Description-md5: 330099f062f0566c406729ae39227f95
Description-en: SLURM emulator
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 .
 This package installs the emulator binaries: slurmd, slurmctld
 and slurmstepd.

Package: slurm-wlm-torque
Description-md5: 05c03041cf906aa2e5734a0cc1992f20
Description-en: Torque compatibility wrappers for SLURM
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 .
 This package contains the Torque compatibility wrappers.

Package: slurmctld
Description-md5: 3603740f3ec02c3a327a69a609446f61
Description-en: SLURM central management daemon
 SLURM stands for Simple Linux Utility for Resource Management, it
 is an open-source cluster resource management and job scheduling system
 that strives to be simple, scalable, portable, fault-tolerant, and
 interconnect agnostic.
 This package contains the central management demon slurmctld.

Package: slurmd
Description-md5: c7a70378d04f7a2ac4844c7a91f3e281
Description-en: SLURM compute node daemon
 SLURM stands for Simple Linux Utility for Resource Management, it
 is an open-source cluster resource management and job scheduling system
 that strives to be simple, scalable, portable, fault-tolerant, and
 interconnect agnostic.
 This package contains the compute node demon slurmd.

Package: slurmdbd
Description-md5: 2766a8a94f63944f73cbbe025eaca95b
Description-en: Secure enterprise-wide interface to a database for SLURM
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contain SlurmDBD (Slurm DataBase Daemon) that can be
 used to securely manage the accounting data for several Slurm
 clusters in a central location and sacctmgr a command for managing
 user accounts in SlurmDBD.

Package: slurp
Description-md5: 63485d91f64849814a940123e6f90c8a
Description-en: cli utility to select a region in a Wayland compositor
 slurp is a command-line utility to select a region from Wayland compositors
 which support the layer-shell protocol. It lets the user hold the pointer to
 select, or click to cancel the selection.

Package: sm
Description-md5: 91fe8f689d157fbba591713d7e201f4d
Description-en: Displays a short text fullscreen
 Screen Message will display a given multi-line message as large as
 possible, fullscreen and black on white. You can specify the text either
 when launching sm, or edit it while the program is running.
 .
 It is useful to send messages across a room, e.g. during an university
 lecture. For fast startup, it is recommended to bind it to a key in your
 Desktop Environment.

Package: sma
Description-md5: 76ba18e18aecad8f99f7369db8c3a35a
Description-en: Sendmail log analyser
 SMA is a program that analyses Sendmail log entries.
 .
 Features:
 .
  - Support for all recent Sendmail versions
  - Flexible output formatting - HTML, ASCII and a custom log
  - Regular expression filtering of messages
  - Multiple hosts in the same report

Package: smalt
Description-md5: da0bc05ee32e6ad65fb07ec4330d5b6d
Description-en: Sequence Mapping and Alignment Tool
 SMALT efficiently aligns DNA sequencing reads with a reference genome.
 Reads from a wide range of sequencing platforms, for example Illumina,
 Roche-454, Ion Torrent, PacBio or ABI-Sanger, can be processed including
 paired reads.
 .
 The software employs a perfect hash index of short words (< 20
 nucleotides long), sampled at equidistant steps along the genomic
 reference sequences.
 .
 For each read, potentially matching segments in the reference are
 identified from seed matches in the index and subsequently aligned with
 the read using a banded Smith-Waterman algorithm.
 .
 The best gapped alignments of each read is reported including a score
 for the reliability of the best mapping. The user can adjust the
 trade-off between sensitivity and speed by tuning the length and spacing
 of the hashed words.
 .
 A mode for the detection of split (chimeric) reads is provided.
 Multi-threaded program execution is supported.

Package: smalt-examples
Description-md5: 6624909295e5d06dd367e61a5864d768
Description-en: Sequence Mapping and Alignment Tool (examples)
 SMALT efficiently aligns DNA sequencing reads with a reference genome.
 Reads from a wide range of sequencing platforms, for example Illumina,
 Roche-454, Ion Torrent, PacBio or ABI-Sanger, can be processed including
 paired reads.
 .
 The software employs a perfect hash index of short words (< 20
 nucleotides long), sampled at equidistant steps along the genomic
 reference sequences.
 .
 For each read, potentially matching segments in the reference are
 identified from seed matches in the index and subsequently aligned with
 the read using a banded Smith-Waterman algorithm.
 .
 The best gapped alignments of each read is reported including a score
 for the reliability of the best mapping. The user can adjust the
 trade-off between sensitivity and speed by tuning the length and spacing
 of the hashed words.
 .
 A mode for the detection of split (chimeric) reads is provided.
 Multi-threaded program execution is supported.
 .
 This package contains example data and a test suite to test the data.

Package: smart-notifier
Description-md5: da927826fe9809020d2444d3a64c0615
Description-en: graphical hard disk health status notifier
 A graphical notifier for smartmontools which attempts to show messages from
 the smartd disk monitoring daemon to the user. Currently only for gtk.
 .
 WARNING: This package is not a replacement for regular backups. It will _try_
 to warn the user when smartd sends a disk health warning. But success is not
 guaranteed. At best this package is an extra layer of protection.

Package: smartlist
Description-md5: 9f4e80bd587ffe82f499e94c472a296c
Description-en: Versatile and Intelligent List Processor
 SmartList is a mailing list manager built on top of the procmail
 mail processing package. Some features:
  * Enough intelligence to overcome the ignorance of some subscribers
    (will direct subscribe and unsubscribe requests away from the regular
    list and automatically onto the -request address).
  * No hardwired format for (un)subscribe requests (i.e. new subscribers
    need not be educated, unsubscribing users do not need to remember any
    particular syntax).
  * Intelligent automatic removal of addresses from the list that cause too
    many bounces.
  * Duplicate submissions are eliminated automatically.
  * You can set up a mailing list to function as a standalone mail archive
    server.

Package: smarty-gettext
Description-md5: ed5c71deaa4d75123eb0eb80936428cb
Description-en: Gettext plugin enabling internationalization in Smarty
 Smarty Gettext is a Smarty plugin providing internationalization support
 based on the standard gettext mechanism. Smarty is a popular PHP templating
 engine.
 .
 This package contains the Smarty Gettext plugin that provides i18n support
 to the Smarty templating engine.

Package: smarty-lexer
Description-md5: 47b5ce7a9ad0719a730fffa9abcbb641
Description-en: Smarty Lexer/Parser generator
 The smarty-lexer package is used to generate the lexer and
 parser class files for Debian package smarty3.
 .
 To generate the template lexer and parser run
 Create_Template_Parser.php. It will create
 smarty_internal_templatelexer.php from
 smarty_internal_templatelexer.plex smarty_internal_templateparser.php
 from smarty_internal_templateparser.y
 .
 To generate the config file lexer and parser run
 Create_Template_Parser.php. It will create
 smarty_internal_configfilelexer.php from
 smarty_internal_configfilelexer.plex
 smarty_internal_configfileparser.php from
 smarty_internal_configfileparser.y

Package: smarty-validate
Description-md5: 5f3f621afccb4cf1fd7903f40f6451a6
Description-en: Server-side form validation plugin for Smarty
 smarty-validate is a Smarty plugin that makes it easy to handle
 server-side form validation in PHP pages using the popular PHP
 templating engine.

Package: smarty3
Description-md5: 28e7605eec56dbb491b7b3e3a2ad5da0
Description-en: Smarty - the compiling PHP template engine
 Smarty is a template engine for PHP. More specifically, it
 facilitates a manageable way to separate application logic and content
 from its presentation.
 .
 Smarty 3.1 is a departure from 2.0 compatibility. Most notably, all
 backward compatibility has been moved to a separate class file named
 SmartyBC.class.php. If you require compatibility with 2.0, you will
 need to use this class.

Package: smb-nat
Description-md5: ae0a43e75deff6d9f4fbca242f4b558b
Description-en: Netbios Auditing Tool
 This tool can perform various security checks on remote servers running
 NetBIOS file sharing services. It is capable of enumerating shares and make
 break-in attempts using a (user-provided) list of users and passwords.

Package: smb2www
Description-md5: c47a0f0117037ca5379d08b23c008f6d
Description-en: SMB/CIFS network client with a web interface
 This package allows browsing an SMB/CIFS-based network (such as
 a Windows-based network) by using a
 standard web browser. It uses the Samba smbclient utility.

Package: smb4k
Description-md5: 1f56db262ffb52c99359fc7312f105e0
Description-en: Samba (SMB) share advanced browser
 Smb4K is an advanced network neighborhood browser. It uses the Samba software
 suite to access the shares of the local network neighborhood. Its purpose is
 to provide a program that's easy to use and has as many features as possible.
 .
 Features:
  - Scanning for (active) workgroups, hosts, and shares
  - Support of the CIFS (Linux) and SMBFS (FreeBSD) file system
  - Mounting and unmounting of shares
  - Access to the files of a mounted share using a file manager or terminal
  - Auto-detection of external mounts and unmounts
  - Remounting of previously used shares on program start
  - Miscellaneous infos about remote network items and mounted shares
  - Network search
  - WINS server support
  - Preview of the contents of a share
  - Several methods to look up the initial list of workgroups and domains
  - Default login
  - Special handling of homes shares
  - Ability to bookmark favorite shares and organizing them in groups
  - Support of advanced Samba options
  - Support of printer shares
  - KWallet support
  - Synchronization of a remote share with a local copy and vice versa
  - Ability to define custom options for individual servers and shares
  - Laptop support through the Solid hardware device framework

Package: smbc
Description-md5: d716bd7c4f7d6e2c2008a691566f8880
Description-en: samba-commander - curses based samba network browser
 Simple Samba Commander is a text mode SMB network commander. In SMBC, you
 can browse the local network or you can use the search function to find the
 files. You can also download/upload files and directories or create them
 both locally and remotely. SMBC has a resume function and supports UTF-8
 characters.

Package: smbios-utils
Description-md5: b8558e772b73b68e742f4a79009baf08
Description-en: Provide access to (SM)BIOS information -- utility binaries
 libsmbios aims towards providing access to as much BIOS information as
 possible. This package includes libsmbios' sample binaries/utilities.

Package: smbldap-tools
Description-md5: 44251639870203fd6cb106e66edd09b5
Description-en: Scripts to manage Unix and Samba accounts stored on LDAP
 Set of scripts to manage data relative to users and groups stored in an LDAP
 server. The tools manage POSIX, shadow and Samba (3.0 series) accounts and
 groups.
 .
 This package is used to add/del/mod users and groups in the Linux
 Samba-OpenLDAP Howto
 <http://download.gna.org/smbldap-tools/docs/samba-ldap-howto/>

Package: smbmap
Description-md5: db9225dbdd2fc881e8f2f401dd11b453
Description-en: handy SMB enumeration tool
 SMBMap allows users to enumerate samba share drives across an entire domain.
 List share drives, drive permissions, share contents, upload/download
 functionality, file name auto-download pattern matching, and even execute
 remote commands. This tool was designed with pen testing in mind, and is
 intended to simplify searching for potentially sensitive data across large
 networks.

Package: smbnetfs
Description-md5: c0d10b8f499a4a7327dd24778599d3cb
Description-en: User-space filesystem for SMB/NMB (Windows) network servers and shares
 A user-space filesystem that contains an entire SMB/NMB network under a single
 mount point. Workgroups, servers and shares can be browsed much like the
 Network Neighbourhood in Microsoft Windows.

Package: smc-tools
Description-md5: dbf6a36f3f1b5e03098e8c582cb99aba
Description-en: enables SMC socket usage on Linux
 Shared Memory Communication Tools (smc-tools) enables usage of SMC
 sockets in Linux. This package includes ld-preloadable library and
 utilities to facilitate wrap and use SMC sockets, where TCP ones are
 expected.

Package: smcroute
Description-md5: d93f96b4858be89be53d345ac6a56222
Description-en: static multicast router daemon
 SMCRoute is a daemon and command line tool to manipulate the multicast
 routing table of a UNIX kernel.  It can be used as an alternative to
 dynamic multicast routers like pimd, mrouted, quagga-pimd, or xorp in
 situations where static multicast routes should be maintained and/or no
 proper IGMP signaling exists.

Package: smem
Description-md5: e37a899172f5351924f50d6d1d183a9b
Description-en: memory reporting tool
 Tool that can give numerous reports on memory usage on Linux systems.
 Unlike existing tools, smem can report proportional set size (PSS),
 which is a more meaningful representation of the amount of memory used
 by libraries and applications in a virtual memory system.
 .
 This package contains main tool which can also process data collected
 by smemcap.

Package: smemcap
Description-md5: e8c4cdd05e62b63ef0932642f356a65f
Description-en: data collection tool for memory reporting
 Tool that can give numerous reports on memory usage on Linux systems.
 Unlike existing tools, smem can report proportional set size (PSS),
 which is a more meaningful representation of the amount of memory used
 by libraries and applications in a virtual memory system.
 .
 This package contains smemcap utility, which can be used to collect
 data on resource constrained systems without depending on Python.
 .
 The captured data can be processed by smem program.

Package: smemstat
Description-md5: 5aff95d83a6f3a8d43ad3e580f8abbe3
Description-en: memory usage monitoring tool
 Smemstat reports the physical memory usage taking
 into consideration shared memory.  The tool can
 either report a current snapshot of memory usage
 or periodically dump out any changes in memory.

Package: smenu
Description-md5: c65ae825c8f8e6303d6c748fa4d629ab
Description-en: curse-based CLI selection box
 smenu is a command-line utility that allows you to use words coming from the
 standard input to create a nice selection window just below the cursor. Your
 selection will then be sent to standard output.
 .
 You can use it to create interactive menus in scripts, for instance.

Package: smf-utils
Description-md5: 373b77c80b6eaad77a813f4bc1e08bff
Description-en: Utilities to support the smf library
 LibSMF is a BSD-licensed C library for handling SMF ("*.mid") files.
 .
 This package contains smfsh an interactive command-driven frontend to libsmf,
 useful for modifying MIDI files by hand.

Package: smistrip
Description-md5: 03d2b5b3beca78d248c093695991f977
Description-en: extract MIB from text files like RFC
 The smistrip program is used to extract MIB and PIB module files from
 ASCII documents like RFCs or Internet Drafts. Modules are identified
 by a starting ASN.1 DEFINITIONS clause and the matching END
 clause. The output is written to files named by the modules' names.

Package: smithwaterman
Description-md5: 0a01871b30db788b950deda8a489853d
Description-en: determine similar regions between two strings or genomic sequences
 The Smith–Waterman algorithm performs local sequence alignment; that is,
 for determining similar regions between two strings or nucleotide or
 protein sequences. Instead of looking at the total sequence, the
 Smith–Waterman algorithm compares segments of all possible lengths and
 optimizes the similarity measure.

Package: smitools
Description-md5: 5614c44e511affa0271218f6e95cba3e
Description-en: various tools operating on MIB module files
 This package includes the following tools:
   * smidiff: check differences between a pair of SMI or SPPI modules
   * smiquery: query single information from SMI MIB modules
   * smilint: syntax and semantic checks of SMIv1/v2 and SPPI modules
   * smicache: caching method for use with libsmi
   * smixlate: translate SMI/SPPI identifiers
   * smidump: dump SMI or SPPI modules in various formats
 .
 You may also be interested by smistrip which is provided by smistrip
 package.

Package: sml-mode
Description-md5: 896bdc4b52ccc2a0f886d05051317afe
Description-en: Transition package, sml-mode to elpa-sml-mode
 Sml-mode has been elpafied.  Ease Transition from sml-mode to
 elpa-sml-mode with this dummy package.

Package: smlnj
Description-md5: 1757e42bca5ab498b7253d5f002381cb
Description-en: Standard ML of New Jersey interactive compiler
 SML/NJ is an implementation of the Standard ML programming language.
 Standard ML has many features, including type safety, polymorphism,
 algebraic data types with pattern matching, higher-order functions,
 and a sophisticated module system. It is especially well-suited for
 writing compilers and other language processors.
 .
 This package includes the interactive compiler (sml), the compilation
 manager (CM), and some essential libraries.  It is a "working"
 version, but believed to be stable.
 .
 Install this package if you want to program in SML.

Package: smlnj-doc
Description-md5: 8728d4e0ca13a4273f9077f2bb715b3d
Description-en: Documentation for Standard ML of New Jersey
 This package contains various documentation for SML/NJ, an
 implementation of the Standard ML programming language.
 .
 More documentation can be found on the web site.

Package: smlnj-runtime
Description-md5: 3c9a25136d473865411df010423e5698
Description-en: Standard ML of New Jersey runtime system
 SML/NJ is an implementation of the Standard ML programming language.
 This package includes the runtime system only -- it provides garbage
 collection and other support for compiled SML programs.
 .
 Install this package if you want to run programs compiled with SML/NJ.

Package: smokeping
Description-md5: 80bc07eda8a87e163f2ece5eb196d505
Description-en: latency logging and graphing system
 SmokePing consists of a daemon process which organizes the
 latency measurements and a CGI which presents the graphs.
 .
 With SmokePing you can measure latency and packet loss in your network.
 SmokePing uses RRDtool to maintain a longterm datastore and to draw pretty
 graphs giving up to the minute information on the state of each
 network connection.

Package: smp-utils
Description-md5: 7754d9d779e9458cd13b3798840f4242
Description-en: SAS Expander (SMP) utilities for SAS/SATA disk arrays
 Utilities that send a Serial Attached SCSI (SAS) Management
 Protocol (SMP) request to a SMP target. If the request fails then
 the error is decoded. If the request succeeds then the response is
 either decoded, printed out in hexadecimal or output in binary.
 This package supports multiple interfaces since SMP passthroughs
 are not mature. This package supports the Linux 2.6 series.

Package: smpeg-gtv
Description-md5: 3fa6e62d4ea380fbd923d83e73a4e5a7
Description-en: SMPEG GTK+ MPEG audio/video player
 SMPEG (SDL MPEG Player Library) is a free MPEG1 video player library with
 sound support.  Video playback is based on the ubiquitous Berkeley MPEG
 player, mpeg_play v2.2.  Audio is played through a slightly modified
 mpegsound library, part of splay v0.8.2. SMPEG supports MPEG audio (MP3),
 MPEG-1 video, and MPEG system streams.
 .
 This package contains a GTK+ player called gtv.

Package: smpeg-plaympeg
Description-md5: 427fdf87d4a6611dad041a59d4f00ccc
Description-en: SMPEG command line MPEG audio/video player
 SMPEG (SDL MPEG Player Library) is a free MPEG1 video player library with
 sound support.  Video playback is based on the ubiquitous Berkeley MPEG
 player, mpeg_play v2.2.  Audio is played through a slightly modified
 mpegsound library, part of splay v0.8.2. SMPEG supports MPEG audio (MP3),
 MPEG-1 video, and MPEG system streams.
 .
 This package contains a command line player called plaympeg.

Package: smplayer
Description-md5: 4e2c38a53c350d4cc3c7c631b8bc3586
Description-en: Complete front-end for MPlayer and mpv
 Qt5 front-end for mplayer and forks, with basic features like playing
 videos, DVDs, and VCDs to more advanced features like support
 for MPlayer filters and more. One of the most interesting features
 of SMPlayer: it remembers the settings of all files you play.
 So you start to watch a movie but you have to leave... don't
 worry, when you open that movie again it will resume at the same
 point you left it, and with the same settings: audio track,
 subtitles, volume...

Package: smplayer-l10n
Description-md5: bb8616c8f89ae79b656f54a488df20e4
Description-en: Complete front-end for MPlayer and mpv - translation files
 Qt5 front-end for mplayer and forks, with basic features like playing
 videos, DVDs, and VCDs to more advanced features like support
 for MPlayer filters and more. One of the most interesting features
 of SMPlayer: it remembers the settings of all files you play.
 So you start to watch a movie but you have to leave... don't
 worry, when you open that movie again it will resume at the same
 point you left it, and with the same settings: audio track,
 subtitles, volume...
 .
 This package contains translation files.

Package: smplayer-themes
Description-md5: b0d44c8c24e97b47940503c74254de0b
Description-en: complete front-end for MPlayer - icon themes
 Qt Mplayer front-end, with basic features like playing videos, DVDs, and
 VCDs to more advanced features like support for MPlayer filters and more.
 One of the most interesting features of SMPlayer: it remembers the settings
 of all files you play.  So you start to watch a movie but you have to
 leave... don't worry, when you open that movie again it will resume at the
 same point you left it, and with the same settings: audio track, subtitles,
 volume...
 .
 This package contains additional icon themes.

Package: smpq
Description-md5: 35ebe0488f410a0172631e4b1c9c5eb8
Description-en: StormLib MPQ archiving utility
 SMPQ is StormLib MPQ archiving utility. This utility is designed for full
 manipulating with Blizzard MPQ archives. It supports extracting, appending,
 renaming and deleting files in MPQ archives. It also can create MPQ archives.
 SMPQ can access different types and versions of MPQ archives. It supports
 encrypted, compressed, partial and patched MPQ archives in version 1-4.
 SMPQ is free opensource command line utility written in C which uses
 multiplatform C++ StormLib library.

Package: smstools
Description-md5: 876300ea80b8973c28ffce6c10b47697
Description-en: SMS server tools for GSM modems
 The SMS server tools allow setting up a central SMS gateway. It
 sends and receives SMS messages using a simple file-based
 interface. It can accommodate up to 20,000 messages a month.
 .
 It supports an event-handler option that allows calling customized
 programs or scripts after sending or receiving SMS messages.
 .
 The SMS Server Tools use one or more (max. 32) GSM modems to send and
 receive SMS messages. Some modems may be equipped with SIM cards such
 as Vodafone or Telmi ones.  All messages are sorted in queues by the
 provider. If one modem fails, it will be deactivated for one hour
 before the software retries, while other modems run without any
 restriction. The status information and alarms are logged with
 syslog.

Package: smtm
Description-md5: eda064bb2b54f799db4206a31888ca85
Description-en: Show Me The Money is a configurable Perl/Tk stock ticker program
 smtm, which is a not overly clever acronym for Show Me The Money, is a
 simple stock ticker and charting application. It creates and automatically
 updates a window with stock quotes from Yahoo! Finance, as well as optional
 charts from Yahoo! Finance.
 .
 smtm is fully configurable -- it can display the stock symbol and the full
 name of the company, the price change, the percentage change, the volume
 traded, the profit or loss, the value of the holding, the length of the
 holding period, annualised percentage returns and more. The display can be
 sorted on almost any of the columns.  Losers are flagged in red. smtm can be
 used for most global stock symbols, North American mutual funds and options,
 currencies and some commodities -- anything supported Yahoo! Finance.
 .
 Stock quotes are normally delayed, 15 minutes for NASDAQ and 20
 minutes otherwise, see Yahoo! Finance for details.

Package: smtpping
Description-md5: 72cebf2a7d3056e26e98d856982419a4
Description-en: SMTP benchmarking and measurement tool
 A simple, portable tool for measuring SMTP server delay,
 delay variation and throughput. Its options (v4 or
 v6, variable ping sizes etc), make it a quick and lightweight
 tool for testing SMTP server metrics.

Package: smtpprox
Description-md5: df472d160847fe50a8cd0e6da5ec9bb6
Description-en: simple efficient SMTP proxy in perl
 smtpprox is a trivial transparent SMTP proxy, an SMTP server and client
 combination.
 .
 The SMTP server writes the incoming message to a temporary file, which the
 SMTP client reads as the message to send.  SMTP commands and replies are
 relayed without change for the most part.
 .
 For efficiency reasons, it pre-forks and serves from a pool of servers,
 Apache-style.

Package: smtpprox-loopprevent
Description-md5: 2f78846f4b4772124e199726e65d6b57
Description-en: Transparent SMTP proxy to prevent mail forwarding loops
 smtpprox-loopprevent is a transparent SMTP proxy which rejects a message
 if any of the recipient addresses match a Delivered-To header.
 .
 It was written to be used as a Postfix before-queue filter.

Package: smtube
Description-md5: c10154c98b30ba6bfd1104dcd1a3d88e
Description-en: YouTube videos browser
 SMTube is a stand-alone graphical application which allows one
 to search and download Youtube videos. Although it is part of
 the SMPlayer project, it can be used with any multimedia player
 such as mpv, MPlayer, VLC, Totem or Dragon Player.

Package: smuxi
Description-md5: e4b19247cc041879c5c6f8d667f89de1
Description-en: graphical IRC client
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This is a metapackage which depends on the default flavor of Smuxi, which is
 the GNOME frontend with Twitter and enhanced IRC support.

Package: smuxi-engine
Description-md5: 852f7019098aaa83d4761668db2e3476
Description-en: Engine libraries for Smuxi (IRC, Twitter, XMPP, Campfire, JabbR)
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains all Smuxi engines and the standalone server.
 .
 The standalone server of Smuxi named smuxi-server doesn't need any GUI. The
 Smuxi frontends can connect to a running server via the network.
 .
 The following Smuxi engines are included in this package:
  * IRC engine
  * Twitter engine
    - receive and post tweets to the Twitter microblogging service.
    - friends timeline, replies view, and direct messages.
  * XMPP engine
    - receive and send messages on XMPP, Jabber, GTalk and the Facebook chat.
  * Campfire engine
  * JabbR engine

Package: smuxi-frontend-gnome
Description-md5: 9e63cc9ff38bc25427c0a964418d5b3d
Description-en: GNOME frontend for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the GNOME frontend of Smuxi, it can run either standalone
 using a local engine or connect to a running remote engine (server).

Package: sn
Description-md5: b78bdba6d88113f991b411acab431cd9
Description-en: Small NNTP server for leaf sites
 sn is a small news system for small sites serving perhaps a few
 dozen newsgroups, and with a slow connection to the internet.
 It is similar to leafnode (ftp.troll.no, by Arnt Gulbrandsen).
 The target user is a home or SOHO with a single modem connection
 to the Internet, maybe running IP masq or similar, and serving a
 few workstations.

Package: snacc
Description-md5: 40150ba6f7cf8b384acd402a55de7434
Description-en: ASN.1 to C or C++ or IDL compiler
 Snacc is short for "Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.  If you need features of
 ASN.1 1992 or later, snacc is not for you.
 .
 Given an ASN.1 source file(s) snacc can produce:
 .
 1. C routines for BER encoding, decoding, printing and freeing.
 2. C++ routines for BER encoding, decoding, and printing.
 3. A type table that can be used with C driver routines
    for BER encoding, decoding, printing and freeing.
 .
 If you want to build snacc based applications, you want to install
 the libsnacc-dev package, too.  Your application will then depend on
 the snacc libraries, you find in the libsnacc0c2 package.

Package: snacc-doc
Description-md5: 28f2d33c79bdc28096702fe292f49f46
Description-en: ASN.1 to C or C++ or IDL compiler, documentation
 Snacc is short for "Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.  If you need features of
 ASN.1 1992 or later, snacc is not for you.
 .
 The documentation for snacc in PostScript format and LaTeX sources.

Package: snake4
Description-md5: 3f9d41278be2c74ecc31d54fe4f40bf3
Description-en: Snake game
 This is a basic but nice implementation of the snake game. The objective
 is to "snake around" and eat fruit, while avoiding the evil headbanger
 and not crashing into your tail.
 .
 Features five levels of difficulty and a site-wide high score list.

Package: snakemake
Description-md5: 7f9c57469c639aa1e67301b2a7ed865e
Description-en: pythonic workflow management system
 Build systems like GNU Make are frequently used to create complicated
 workflows, e.g. in bioinformatics. This project aims to reduce the
 complexity of creating workflows by providing a clean and modern domain
 specific language (DSL) in Python style, together with a fast and
 comfortable execution environment.

Package: snap
Description-md5: 6d9a04153222c1b616dbca686c88945d
Description-en: location of genes from DNA sequence with hidden markov model
 SNAP is a general purpose gene finding program suitable for both eukaryotic
 and prokaryotic genomes. SNAP is an acroynm for Semi-HMM-based Nucleic Acid
 Parser.

Package: snap-aligner
Description-md5: e1cd6bb8b6f6e28f36553bfa5a8636a5
Description-en: Scalable Nucleotide Alignment Program
 SNAP is a new sequence aligner that is 3-20x faster and just as accurate as
 existing tools like BWA-mem, Bowtie2 and Novoalign. It runs on commodity x86
 processors, and supports a rich error model that lets it cheaply match reads
 with more differences from the reference than other tools. This gives SNAP up
 to 2x lower error rates than existing tools (in some cases) and lets it match
 larger mutations that they may miss. SNAP also natively reads BAM, FASTQ, or
 gzipped FASTQ, and natively writes SAM or BAM, with built-in sorting,
 duplicate marking, and BAM indexing.

Package: snap-confine
Description-md5: 3223c275ff89224fda1b277eec5e7ffe
Description-en: Transitional package for snapd
 This is a transitional dummy package. It can safely be removed.

Package: snap-templates
Description-md5: 418e95100c50e6f37ba03bc119a30e62
Description-en: scaffolding CLI for the Snap Framework
 This is the Scaffolding CLI for the official Snap Framework libraries.
 It includes:
 .
  * The "snap-framework" executable program for generating starter projects
 .
 To get started, issue the following sequence of commands:
 .
  $ apt-get install snap-templates
  $ mkdir myproject
  $ cd myproject
  $ snap-framework init

Package: snapclient
Description-md5: 79d6c33e4c9aa960f8a24d5a63281c77
Description-en: Snapcast client
 Snapcast is a multi-room client-server audio player, where all clients are
 time synchronized with the server to play perfectly synced audio. It's not a
 standalone player, but an extension that turns your existing audio player into
 a Sonos-like multi-room solution.
 .
 This package contains the client which connects to the server and plays the
 audio.

Package: snapcraft
Description-md5: 8c00443a35c87dbd3015cef56b0aacf9
Description-en: Transitional package - snapcraft -> snap (snapcraft)
  This is a transitional dummy package. It can safely be removed.
  .
  snapcraft is now replaced by the Snapcraft snap.

Package: snapcraft-examples
Description-md5: 5216f28b5451669db45904e142322ee7
Description-en: Transitional package - snapcraft-examples -> snap (snapcraft)
  This is a transitional dummy package. It can safely be removed.
  .
  snapcraft-examples is no longer part of Snapcraft.

Package: snapcraft-parser
Description-md5: e2633fc565f0c38dd39021b84123bad5
Description-en: Transitional package - snapcraft-parser -> snap (snapcraft)
  This is a transitional dummy package. It can safely be removed.
  .
  snapcraft-parser is no longer part of Snapcraft.

Package: snapd-glib-tests
Description-md5: 3b2979681f8b91d8fb8b7986cce653d1
Description-en: GLib snapd library (installed tests)
 snapd-glib is a library to allow GLib based applications access to snapd,
 the daemon that controls Snaps.
 .
 Snaps are 'universal' packages that work across many different Linux
 systems, enabling secure distribution of the latest apps and utilities
 for cloud, servers, desktops and the internet of things.
 .
 This package contains test programs, designed to be run as part of a
 regression testsuite.

Package: snapd-xdg-open
Description-md5: ba6c49ad1a4e739a75a7e8d0fde06a77
Description-en: Transitional package for snapd-xdg-open
 This is a transitional dummy package. It can safely be removed.

Package: snapper
Description-md5: 24bd8c205566cf532c10f95f37e5ae33
Description-en: Linux filesystem snapshot management tool
 Snapper is a tool for Linux filesystem snapshot management. Apart from the
 obvious creation and deletion of snapshots, it can compare snapshots and revert
 differences between snapshots. In simple terms, this allows root and non-root
 users to view older versions of files and revert changes.
 .
 The features include:
   * Manually create snapshots
   * Automatically create snapshots, e.g. when using a package manager
   * Automatically create timeline of snapshots
   * Show and revert changes between snapshots
   * Works with btrfs and thin-provisioned LVM volumes
   * Supports Access Control Lists and Extended Attributes
   * Automatic cleanup of old snapshots
   * Command line interface
   * D-Bus interface
   * PAM module to create snapshots during login and logout (libpam-snapper)

Package: snapper-gui
Description-md5: 7ea717b12f7aeb4fae77e62c44208f07
Description-en: graphical user interface for snapper
 Snapper-gui is a graphical user interface for the tool snapper
 for Linux filesystem snapshot management. It can compare snapshots
 and revert differences between snapshots. In simple terms, this
 allows root and non-root users to view older versions of files and
 revert changes
 .
 Currently works with btrfs, ext4 and thin-provisioned LVM volumes

Package: snapserver
Description-md5: 4a8aaeaa82c2538a7046123c7ce2ee83
Description-en: Snapcast server
 Snapcast is a multi-room client-server audio player, where all clients are
 time synchronized with the server to play perfectly synced audio. It's not a
 standalone player, but an extension that turns your existing audio player into
 a Sonos-like multi-room solution.
 .
 The server's audio input is a named pipe `/tmp/snapfifo`. All data that is fed
 into this file will be send to the connected clients. One of the most generic
 ways to use Snapcast is in conjunction with the music player daemon or Mopidy,
 which can be configured to use a named pipe as audio output.

Package: snarf
Description-md5: 71e5109141217611629ec55d6026e15f
Description-en: command-line URL grabber
 Snarf is a utility to retrieve files via the http and ftp protocols. It
 supports http redirect, http and ftp resume, http and ftp
 authentication, and other neat things.  Its functionality is similar to
 that of wget, but with a much smaller binary.

Package: snd
Description-md5: e12200cda8af202965a11075294ed07e
Description-en: Sound file editor
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains the basic infrastructure for the snd packages and
 the scheme files. You have to install a GUI package as well to actually
 use snd.

Package: snd-doc
Description-md5: 717022b319c838fc50615f0f752b5f91
Description-en: Sound file editor (documentation)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains the documentation for snd.

Package: snd-gtk-jack
Description-md5: 651f3b22e9bd3caa7fd84dd3fd7cee6c
Description-en: Sound file editor (GTK+ user interface - JACK)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains the files for the GTK+ user interface with JACK and ALSA
 support.

Package: snd-gtk-pulse
Description-md5: ee1de337bf5bf35cdd6bea0bcf41cf50
Description-en: Sound file editor (GTK+ user interface - PulseAudio)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains the files for the GTK+ user interface with
 PulseAudio support.

Package: snd-nox
Description-md5: 465b7f7c6d1f034baec61358eb6cc3b5
Description-en: Sound file editor (cmdline)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains a version of snd compiled with both JACK and ALSA
 support, but without support for X.

Package: sndfile-programs
Description-md5: df7c420bbb7c20980d2fec5ce08b1a8c
Description-en: Sample programs that use libsndfile
 This package contains simple programs which use libsndfile for operating on
 sound files.
 .
 Programs include:
   - sndfile-cmp : compare the audio data of two files
   - sndfile-concat : concatenate two or more files
   - sndfile-convert : convert between sound file formats
   - sndfile-info : print information about files
   - sndfile-metadata-get/set : get and set file metadata
   - sndfile-play : play a sound file

Package: sndfile-tools
Description-md5: 16dba206ac4f3ea4a79b16d4364fd9d4
Description-en: Collection of programs for operating on sound files
 sndfile-tools is a collection of programs which use libsndfile and other
 libraries to do useful things like generate spectrograms using libfftw and
 libcairo and play sound via the JACK Audio Connection Kit daemon.

Package: sndio-tools
Description-md5: a2ad696fcba708f6dc6ecf3468112666
Description-en: Small audio and MIDI framework from OpenBSD, basic tools
 Sndio is a small, simple audio and MIDI framework, developed by the OpenBSD
 project. It provides a lightweight audio and MIDI server and a well-documented
 userspace API to access the server or audio hardware in a uniform way. Sndio is
 designed to work well for both desktop and professional music applications, and
 supports features found in more complex sound systems such as per-application
 volume control, software mixing, and network transparency.
 .
 This package contains command-line tools useful in conjunction with a local or
 remote sndio server.

Package: sndiod
Description-md5: ac6508df8764559855af6ea5ef51ee40
Description-en: Small audio and MIDI framework from OpenBSD, sound server
 Sndio is a small, simple audio and MIDI framework, developed by the OpenBSD
 project. It provides a lightweight audio and MIDI server and a well-documented
 userspace API to access the server or audio hardware in a uniform way. Sndio is
 designed to work well for both desktop and professional music applications, and
 supports features found in more complex sound systems such as per-application
 volume control, software mixing, and network transparency.
 .
 This package contains the sndiod sound server.

Package: snek
Description-md5: 80b4c03bb090ceacf85dd031813d8b52
Description-en: Embedded system programming language
 Snek is a small python-like language designed for embedded
 computers. It can run on systems as small as an Arduino Duemilanove
 board, which is an ATmega 328 processor with 32kB of flash and 2kB of
 ram. This package includes a binary for that target, the
 snek-duino-install tool for installing Snek on Arduino boards, the
 snekde integrated development environment

Package: snek-bin
Description-md5: 656134ca0eb4673966b2f80d9a344ae9
Description-en: Host binary version of Snek programming language
 Snek is a small python-like language designed for embedded
 computers. It can run on systems as small as an Arduino Duemilanove
 board, which is an ATmega 328 processor with 32kB of flash and 2kB of
 ram. This package provides a host snek binary for playing with the
 language on your host machine.

Package: sng
Description-md5: d0dfadead98b02c39aaa78c2e37d0e78
Description-en: specialized markup language for representing PNG contents
 SNG (Scriptable Network Graphics) is a minilanguage designed
 specifically to represent the entire contents of a PNG (Portable
 Network Graphics) file in an editable form. Thus, SNGs representing
 elaborate graphics images and ancillary chunk data can be readily
 generated or modified using only text tools.
 .
 SNG is implemented by a compiler/decompiler called sng that
 losslessly translates between SNG and PNG.

Package: sngrep
Description-md5: 03841ebe934e6e88b1ef53bfb4f2e103
Description-en: Ncurses SIP Messages flow viewer
 sngrep displays SIP Messages grouped by Call-Id into flow
 diagrams. It can be used as an offline pcap viewer or online
 capture using libpcap functions.
 .
 It supports SIP UDP and TCP transports (when each message is
 delivered in one packet).

Package: sniffit
Description-md5: 973beeeaadf4c31bef683350f1346ee9
Description-en: packet sniffer and monitoring tool
 Sniffit is a packet sniffer for TCP/UDP/ICMP packets over IPv4. It is able
 to give you a very detailed technical info on these packets, as SEQ, ACK,
 TTL, Window, etc. The packet contents also can be viewed, in different
 formats (hex or plain text, etc.).
 .
 Sniffit is based in libpcap and is useful when learning about computer
 networks and their security.

Package: sniffles
Description-md5: 806b33009be76eb32e541e6f5133b648
Description-en: structural variation caller using third-generation sequencing
 Sniffles is a structural variation (SV) caller using third-generation
 sequencing data such as those from Pacific Biosciences or Oxford
 Nanopore platforms.  It detects all types of SVs using evidence from
 split-read alignments, high-mismatch regions, and coverage analysis.

Package: snimpy
Description-md5: 7c9ec47009769c1245ac111e32cce832
Description-en: interactive SNMP tool with Python
 Snimpy is a Python-based tool providing a simple interface to build
 SNMP queries. This interface aims at being the most Pythonic
 possible: you grab scalars using attributes and columns are like
 dictionaries.
 .
 Snimpy can either be used interactively through its console (derived
 from Python own console or from IPython if available) or by writing
 snimpy scripts which are just Python scripts with some global
 variables available.

Package: sniproxy
Description-md5: 4124f85582aa6704d623bae64e3ff35f
Description-en: Transparent TLS and HTTP layer 4 proxy with SNI support
 Proxies incoming HTTP and TLS connections based on the hostname contained in
 the initial request of the TCP session. This enables HTTPS name-based virtual
 hosting to separate backend servers without installing the private key on the
 proxy machine.

Package: snmpsim
Description-md5: a5bd2dd74324c89c4954bee65c647c90
Description-en: SNMP agent simulator
 SNMP Simulator is a software that would act like a multitude of real
 physical devices from SNMP Manager's point of view. Simulator builds
 and uses a database of physical devices' SNMP footprints to respond
 like their real counterparts do.
 .
 Typical use case for this software starts with recording a snapshot
 of SNMP objects of donor agents into text files. Once you have your
 snapshots at hand, a simulator script could be run over the snapshots
 responding to SNMP queries in the same way as donor SNMP agents did
 at the time of recording.
 .
 Technically, SNMP Simulator is a multi-context SNMP agent. That means
 that it handles multiple sets of managed object all at once. Each
 device is simulated within a dedicated SNMP context.

Package: snmptrapd
Description-md5: 5813c4c7d76971aa4ce271dad1bfb061
Description-en: Net-SNMP notification receiver
 The Simple Network Management Protocol (SNMP) provides a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 snmptrapd is an SNMP application (daemon) that receives and logs SNMP TRAP
 and INFORM messages.

Package: snmptrapfmt
Description-md5: 32f9646072a34d1bd46a47745c904f0f
Description-en: configurable snmp trap handler daemon for snmpd
 This package contains a configurable snmp trap handler daemon for snmpd.
 The output of this trap handler daemon may be specified via a configuration
 file and written to a logfile or to the syslog daemon. During installation
 of this package, the configuration file for the snmptrapd daemon is changed
 (old version is saved) to activate the trap handler. The snmpd and snmptrapd
 daemons are restarted.

Package: snmptt
Description-md5: 9a17f83e2743175fb85b6429048e2d2b
Description-en: SNMP trap handler for use with snmptrapd
 SNMPTT (SNMP Trap Translator) is an SNMP trap handler written in Perl for use
 with the Net-SNMP / UCD-SNMP snmptrapd program (www.net-snmp.org).

Package: snoopy
Description-md5: 527ce873c23213561ebd791a5b6a9e27
Description-en: execve() wrapper and logger
 snoopy is merely a shared library that is used as a wrapper
 to the execve() function provided by libc as to log every call
 to syslog (authpriv).  system administrators may find snoopy
 useful in tasks such as light/heavy system monitoring, tracking other
 administrator's actions as well as getting a good 'feel' of
 what's going on in the system (for example Apache running cgi
 scripts).
 .
 This type of monitoring can be bypassed by hostile users, and should
 not be considered a secure replacement for tools like auditd.

Package: snooze
Description-md5: 3a23d3ad82015beb995b5c14f0025291
Description-en: run a command at a particular time
 `snooze` is a tool for waiting until a particular time and then
 running a command.  Together with a service supervision system such as
 runit, this can be used to replace cron(8).
 .
 Benefits over cron:
  - mnemonic syntax
  - no overlapping job runs possible
  - filtering by ISO week and day of year
  - due to supervision, no centralized daemon required
  - due to supervision, can easily disable jobs or force their
    execution instantly
  - due to supervision, have custom logs
  - due to no centralized daemon, no fuzzing with multiple users/permissions
  - very robust with respect to external time changes
  - can use a file timestamp to ensure minimum waiting time between two
    runs, even across reboots
  - randomized delays (some cron have that)
  - variable slack (no need for anacron)
  - ad-hoc usage possible, just run the program from command line
 .
 Benefits over runwhen:
  - less confusing usage (arguably)
  - filtering by ISO week and day of year
  - zero dependencies
 .
 Benefits over uschedule:
  - no centralized daemon required
  - filtering by ISO week and day of year

Package: snort
Description-md5: 7c97bedaa77cf5f9a17ae772993f82ac
Description-en: flexible Network Intrusion Detection System
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules-based
 logging and can perform content searching/matching in addition to
 detecting a variety of other attacks and probes, such as buffer
 overflows, stealth port scans, CGI attacks, SMB probes, and much more.
 Snort has a real-time alerting capability, with alerts being sent to
 syslog, a separate "alert" file, or even to a Windows computer via Samba.
 .
 This package provides the plain-vanilla version of Snort.

Package: snort-common
Description-md5: 1d453a79109aceb2104287b250cfdda2
Description-en: flexible Network Intrusion Detection System - common files
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules-based
 logging and can perform content searching/matching in addition to
 detecting a variety of other attacks and probes, such as buffer
 overflows, stealth port scans, CGI attacks, SMB probes, and much more.
 Snort has a real-time alerting capability, with alerts being sent to
 syslog, a separate "alert" file, or even to a Windows computer via Samba.
 .
 This is a common package which holds cron jobs, tools, and config files
 used by all the different package flavors.

Package: snort-common-libraries
Description-md5: bed61be07b89beefbd45da6918bcedd8
Description-en: flexible Network Intrusion Detection System - libraries
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules-based
 logging and can perform content searching/matching in addition to
 detecting a variety of other attacks and probes, such as buffer
 overflows, stealth port scans, CGI attacks, SMB probes, and much more.
 Snort has a real-time alerting capability, with alerts being sent to
 syslog, a separate "alert" file, or even to a Windows computer via Samba.
 .
 This package provides libraries used by all the Snort binary packages.

Package: snort-doc
Description-md5: 3810a34941c3cd639e926885ffae7cb7
Description-en: flexible Network Intrusion Detection System - documentation
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules-based
 logging and can perform content searching/matching in addition to
 detecting a variety of other attacks and probes, such as buffer
 overflows, stealth port scans, CGI attacks, SMB probes, and much more.
 Snort has a real-time alerting capability, with alerts being sent to
 syslog, a separate "alert" file, or even to a Windows computer via Samba.
 .
 This package provides the documentation for Snort.

Package: snort-rules-default
Description-md5: 4f9165fd86b9ce106e59cd6845447712
Description-en: flexible Network Intrusion Detection System - ruleset
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules-based
 logging and can perform content searching/matching in addition to
 detecting a variety of other attacks and probes, such as buffer
 overflows, stealth port scans, CGI attacks, SMB probes, and much more.
 Snort has a real-time alerting capability, with alerts being sent to
 syslog, a separate "alert" file, or even to a Windows computer via Samba.
 .
 This is the Snort default ruleset, which provides a basic set of network
 intrusion detection rules developed by the Snort community. They can be
 used as a basis for development of additional rules. Users using Snort to
 defend networks in production environments are encouraged to update their
 local rulesets as described in the included documentation or using the
 oinkmaster package.

Package: snowdrop
Description-md5: 85e492439757fa3bebfb1c3f18fac7ea
Description-en: plain text watermarking and watermark recovery
 Snowdrop provides reliable, difficult to remove stenographic watermarking of
 text documents (internal memos, draft research papers, advisories and other
 writing) and C sources (limited distribution software, licensed software,
 or freely available code) so that:
  (1) leaks can be identified if the data goes public
  (2) original source can be determined and demonstrated if part of the
      document is claimed by somebody else, copied without permission, etc
 Snowdrop uses redundant steganography using four different logical
 channels, and should be proof to many modifications, including reformatting,
 spell checking and so on.
 .
 Warning: Snowdrop is currently in beta, and may produce bad or corrupted
 results, especially when run on C source code.

Package: snp-sites
Description-md5: 3d6d30c82729352bdf61e8d907df5811
Description-en: Binary code for the package snp-sites
 This program finds single nucleotide polymorphism (SNP) sites from
 multi-fasta alignment input files (which might be compressed).   Its
 output can be in various widely used formats (Multi Fasta Alignment,
 Vcf, phylip).
 .
 The software has been developed at the Wellcome Trust Sanger Institute.
 .
 A Single Nucleotide - polymorphism (SNP, pronounced snip; plural snips)
 is a DNA sequence variation occurring when a Single Nucleotide — A, T, C
 or G — in the genome (or other shared sequence) differs between members
 of a biological species or paired chromosomes.  For example, two
 sequenced DNA fragments from different individuals, AAGCCTA to AAGCTTA,
 contain a difference in a single nucleotide.  In this case there are two
 alleles.  Almost all common SNPs have only two alleles.

Package: snpomatic
Description-md5: 50b0f5ca57c74cbaa893287c1d0a199d
Description-en: fast, stringent short-read mapping software
 High throughput sequencing technologies generate large amounts of short reads.
 Mapping these to a reference sequence consumes large amounts of processing
 time and memory, and read mapping errors can lead to noisy or incorrect
 alignments.
 .
 SNP-o-matic is a fast, stringent short-read mapping software. It supports a
 multitude of output types and formats, for uses in filtering reads, alignments,
 sequence-based genotyping calls, assisted reassembly of contigs etc.

Package: sntop
Description-md5: 0490ca7c72a9cc29af794df5e87aa57f
Description-en: A curses-based utility that polls hosts to determine connectivity
 Simple network top (sntop) is a curses-based console utility,
 in the spirit of top, that polls network hosts at a regular interval to
 determine their connectivity and displays the results in a pretty format.
 Advanced features, such as automatic HTML generation of results,
 secure terminal mode, execution of external file on connectivity change,
 and user/system configure files, are supported.

Package: sntp
Description-md5: 7e0dde0d8d975f6bc88ed741333a0e63
Description-en: Network Time Protocol - sntp client
 NTP, the Network Time Protocol, is used to keep computer clocks
 accurate by synchronizing them over the Internet or a local network,
 or by following an accurate hardware receiver that interprets GPS,
 DCF-77, NIST or similar time signals.
 .
 sntp can be used as an SNTP client to query a NTP or SNTP server and
 either display the time or set the local system's time. It is not
 sufficient, however, for maintaining an accurate clock in the long run.

Package: so-synth-lv2
Description-md5: d8167e5d7fab8c9c0dc8fe0b4229d2fe
Description-en: Set of synthesizers for the LV2 plugin format
 This package is an unofficial port of a set of synthesizers
 written by 50m30n3 to the LV2 plugin format.  In order to use
 it, you need a host for LV2 plugins such as Ardour, Qtractor,
 or Ingen.  This package contains three synthesizers, a feedback
 drone synthesizer, a piano synthesizer, and a bassline synthesizer.

Package: soapaligner
Description-md5: 995fc07701a7a25549ee980d96595040
Description-en: aligner of short reads of next generation sequencers
 This package addresses a common problem in bioinformatics that has
 become routine now also in clinical research: the assembly and
 comparison of the very long genomic DNA sequences from many
 short reads that the machines provide.
 .
 SOAPaligner/soap2 is a member of the Short Oligonucleotide Analysis
 Package (SOAP) and an updated version of SOAP software for short
 oligonucleotide alignment (soap v1). The new program features in super
 fast and accurate alignment for huge amounts of short reads generated by
 Illumina/Solexa Genome Analyzer. Compared to soap v1, it is one order
 of magnitude faster. It require only 2 minutes aligning one million
 single-end reads onto the human reference genome. Another remarkable
 improvement of SOAPaligner is that it now supports a wide range of the
 read length.
 .
 SOAPaligner/soap2 benefitted in time and space efficiency by a revolution
 in the basic data structures and algorithms used. The core algorithms and
 the indexing data structures (2way-BWT) are developed by the algorithms
 research group of the Department of Computer Science, the University
 of Hong Kong (T.W. Lam, Alan Tam, Simon Wong, Edward Wu and S.M. Yiu).

Package: soapdenovo
Description-md5: 30819444654f2e81a40dc84f86f4ff39
Description-en: short-read assembly method to build de novo draft assembly
 SOAPdenovo is a novel short-read assembly method that can build a de novo draft
 assembly for the human-sized genomes. The program is specially designed to
 assemble Illumina GA short reads.
 .
 It creates new opportunities for building reference
 sequences and carrying out accurate analyses of unexplored genomes in a cost
 effective way.
 .
 This version is not maintained anymore, consider using soapdenovo2.

Package: soapdenovo2
Description-md5: 16da701644d1da3089dbdf3255b39d5a
Description-en: short-read assembly method to build de novo draft assembly
 SOAPdenovo is a novel short-read assembly method that can build a de novo draft
 assembly for the human-sized genomes. The program is specially designed to
 assemble Illumina GA short reads.
 .
 It creates new opportunities for building reference
 sequences and carrying out accurate analyses of unexplored genomes in a cost
 effective way.

Package: soapsnp
Description-md5: a9ca39a38ef5b5cbc92e0da83695f280
Description-en: resequencing utility that can assemble consensus sequence of genomes
 For getting ideas on the cause of diseases or their response to
 therapy, and for understanding either for a particular patient,
 doctors around the globe are starting to look at the genes or the
 whole genome and how that sequence is different from a healthy /
 well responding individual.
 .
 SOAPsnp is a member of the SOAP (Short Oligonucleotide Analysis
 Package). The program is a resequencing utility. It assembles the
 consensus sequence for the genome of a newly sequenced individual based
 on the alignment of the raw sequencing reads on a known reference. SNPs
 can then be identified on the consensus sequence through the comparison
 with the reference.
 .
 SOAPsnp uses a method based on Bayes' theorem (the reverse probability
 model) to call consensus genotype by carefully considering the data
 quality, alignment, and recurring experimental errors. All these kinds
 of information was integrated into a single quality score for each base
 in PHRED scale to measure the accuracy of consensus calling. Currently,
 it supports the alignment format of SOAPaligner (soap2).

Package: soapyosmo-common0.7
Description-md5: 21da9c77c1f107c94c9bd60ec898b0f3
Description-en: Use gr-osmosdr drivers with SoapySDR (common files)
 The SoapyOsmo project provides SoapySDR hardware support modules using
 drivers in gr-osmosdr. Using this, any program using SoapySDR to
 interface to software defined radio hardware can make use of OsmoSDR,
 Mirics SDR, and RFSpace SDR devices.
 .
 This package contains common files used by the individual driver
 modules.

Package: soapyremote-server
Description-md5: b32dc2d56496d9f935242b62c0ed244d
Description-en: Use SoapySDR devices over network (server)
 The SoapyRemote project provides a client module and a server that make it
 possible on the client side to list and access hardware supported by SoapySDR
 modules on the server as if they were local modules.
 .
 This package contains the server.

Package: soapysdr-module-airspy
Description-md5: 02c5d4984182f09e8258e3ea7b1f3ace
Description-en: Airspy device support for SoapySDR (default version)
 The Soapy Airspy project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of Airspy receivers.
 .
 This is an empty dependency package that pulls in the Airspy module
 for the default version of libsoapysdr.

Package: soapysdr-module-all
Description-md5: 9343ed19a43d2c241bc102a3c48c2f6e
Description-en: All device support for default version of SoapySDR (metapackage)
 SoapySDR is a library providing a common interface to SDR (software
 defined radio) hardware. Support for different hardware is added through
 external modules.
 .
 This package depends on the metapackage which in turn depends on all available
 device support packages for the default version of libsoapysdr.

Package: soapysdr-module-audio
Description-md5: 77642d0d58df82fcef71701ae129ed06
Description-en: Audio device support for SoapySDR (default version)
 The SoapyAudio project provides a SoapySDR module for using Software
 Defined Radio devices that are connected through audio interfaces.
 It uses hamlib to provide control of tuning and other functions where
 available.
 .
 This is an empty dependency package that pulls in the audio module
 for the default version of libsoapysdr.

Package: soapysdr-module-bladerf
Description-md5: 8d6a9dc8713e1a91b14512e7e3c21589
Description-en: bladeRF device support for SoapySDR (default version)
 The Soapy bladeRF project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of the nuand bladeRF device to
 transmit and receive.
 .
 This is an empty dependency package that pulls in the bladeRF module
 for the default version of libsoapysdr.

Package: soapysdr-module-hackrf
Description-md5: aff064b84497c90efe67e4ae64823894
Description-en: HackRF device support for SoapySDR (default version)
 The Soapy HackRF project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of the open source HackRF device
 to transmit and receive.
 .
 This is an empty dependency package that pulls in the HackRF module
 for the default version of libsoapysdr.

Package: soapysdr-module-lms7
Description-md5: 6f8860889c462c4eac43b83aeabb4a76
Description-en: Lime Microsystems LMS7 device support for SoapySDR (default version)
 Lime Suite is a collection of software supporting Lime Microsystems LMS7 RF
 transceiver based hardware such as the LimeSDR, LMS7002M UNITE board, or the
 Novena with LMS7 RF board.
 .
 This is an empty dependency package that pulls in the LMS7 support module for
 the default version of libsoapysdr.

Package: soapysdr-module-mirisdr
Description-md5: b334ab907817f9ded4378d3357694c0b
Description-en: Mirics SDR device support for SoapySDR (default version)
 The SoapyOsmo project provides SoapySDR hardware support modules using
 drivers in gr-osmosdr. Using this, any program using SoapySDR to
 interface to software defined radio hardware can make use of OsmoSDR,
 Mirics SDR, and RFSpace SDR devices.
 .
 This is an empty dependency package that pulls in the Mirics SDR module
 for the default version of libsoapysdr.

Package: soapysdr-module-osmosdr
Description-md5: 68131bbbd5c49e1fb7ee7f279b511093
Description-en: OsmoSDR device support for SoapySDR (default version)
 The SoapyOsmo project provides SoapySDR hardware support modules using
 drivers in gr-osmosdr. Using this, any program using SoapySDR to
 interface to software defined radio hardware can make use of OsmoSDR,
 Mirics SDR, and RFSpace SDR devices.
 .
 This is an empty dependency package that pulls in the OsmoSDR module
 for the default version of libsoapysdr.

Package: soapysdr-module-redpitaya
Description-md5: b98bd954af147d03eb88861f5d5e34a4
Description-en: RedPitaya device support for SoapySDR (default version)
 The Soapy Red Pitaya project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of the Red Pitaya HF channels to
 transmit and receive.
 .
 This is an empty dependency package that pulls in the Red Pitaya module
 for the default version of libsoapysdr.

Package: soapysdr-module-remote
Description-md5: 1e2f1b9dc5ed5d3f804ee99f193d8abe
Description-en: Use SoapySDR devices over network (default client module)
 The SoapyRemote project provides a client module and a server that make it
 possible on the client side to list and access hardware supported by SoapySDR
 modules on the server as if they were local modules.
 .
 This is an empty dependency package that pulls in the client module for the
 default version of libsoapysdr.

Package: soapysdr-module-rfspace
Description-md5: 2314ddc6c1c81af752fad7eaba9498b8
Description-en: RFSpace device support for SoapySDR (default version)
 The SoapyOsmo project provides SoapySDR hardware support modules using
 drivers in gr-osmosdr. Using this, any program using SoapySDR to
 interface to software defined radio hardware can make use of OsmoSDR,
 Mirics SDR, and RFSpace SDR devices.
 .
 This is an empty dependency package that pulls in the RFSpace module
 for the default version of libsoapysdr.

Package: soapysdr-module-rtlsdr
Description-md5: 32ed8afc8bcc9e0b530a4d0b67b5c3ff
Description-en: RTL-SDR device support for SoapySDR (default version)
 The Soapy RTL-SDR project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of low cost DVB-T/DAB+ USB dongles
 based on the Realtek RTL2832U chip as receivers.
 .
 This is an empty dependency package that pulls in the RTL-SDR module
 for the default version of libsoapysdr.

Package: soapysdr-module-uhd
Description-md5: 055b9678ec7757d22cc9a11423e336a1
Description-en: UHD device support for SoapySDR (default version)
 Both SoapySDR and the Universal Hardware Driver by Ettus Research projects
 provide libraries to access various software defined radio hardware through a
 common interface.
 .
 This SoapySDR hardware support module makes all UHD devices available to
 applications using SoapySDR. An interface in the other direction is available
 in the uhd-soapysdr package.
 .
 This is an empty dependency package that pulls in the UHD module for the
 default version of libsoapysdr.

Package: soapysdr-tools
Description-md5: 5e51d4a5c7d2065d96c9c91c182196b6
Description-en: software defined radio interface library tools
 SoapySDR is a library providing a common interface to SDR (software
 defined radio) hardware. Support for different hardware is added through
 external modules.
 .
 This package contains the SoapySDRUtil command line utility which can
 list available modules and hardware.

Package: soapysdr0.7-module-airspy
Description-md5: 2d1e55a847ada031f0cd57774c2d1698
Description-en: Airspy device support for SoapySDR
 The Soapy Airspy project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of Airspy receivers.

Package: soapysdr0.7-module-all
Description-md5: d942cd11964bc39e383dca2520c2511e
Description-en: All device support for SoapySDR (metapackage)
 SoapySDR is a library providing a common interface to SDR (software
 defined radio) hardware. Support for different hardware is added through
 external modules.
 .
 This dependency package pulls in all available device support module packages.
 You can remove this package if you prefer to pick and choose modules to
 install.

Package: soapysdr0.7-module-audio
Description-md5: 6e9a4b46476bd107532e76389ab8ad54
Description-en: Audio device support for SoapySDR
 The SoapyAudio project provides a SoapySDR module for using Software
 Defined Radio devices that are connected through audio interfaces.
 It uses hamlib to provide control of tuning and other functions where
 available.

Package: soapysdr0.7-module-bladerf
Description-md5: 58140bb913a7714ef51c3ba0deefb9fb
Description-en: bladeRF device support for SoapySDR
 The Soapy bladeRF project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of the nuand bladeRF device to
 transmit and receive.

Package: soapysdr0.7-module-hackrf
Description-md5: 51327fa787b0e0cdafc8309da5d6b10c
Description-en: HackRF device support for SoapySDR
 The Soapy HackRF project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of the open source HackRF device
 to transmit and receive.

Package: soapysdr0.7-module-lms7
Description-md5: 445f242d3ee8783a40fe2067fb034841
Description-en: Lime Microsystems LMS7 device support for SoapySDR
 Lime Suite is a collection of software supporting Lime Microsystems LMS7 RF
 transceiver based hardware such as the LimeSDR, LMS7002M UNITE board, or the
 Novena with LMS7 RF board.
 .
 This package contains a SoapySDR module to use LMS7 based hardware to transmit
 and receive.

Package: soapysdr0.7-module-mirisdr
Description-md5: b33b888ef98220b659992af3f590e302
Description-en: Mirics SDR device support for SoapySDR
 The SoapyOsmo project provides SoapySDR hardware support modules using
 drivers in gr-osmosdr. Using this, any program using SoapySDR to
 interface to software defined radio hardware can make use of OsmoSDR,
 Mirics SDR, and RFSpace SDR devices.
 .
 This package contains the hardware support module for Mirics SDR
 devices.

Package: soapysdr0.7-module-osmosdr
Description-md5: 456c002fbc5b915cdabc0156cd606e6e
Description-en: OsmoSDR device support for SoapySDR
 The SoapyOsmo project provides SoapySDR hardware support modules using
 drivers in gr-osmosdr. Using this, any program using SoapySDR to
 interface to software defined radio hardware can make use of OsmoSDR,
 Mirics SDR, and RFSpace SDR devices.
 .
 This package contains the OsmoSDR hardware support module.

Package: soapysdr0.7-module-redpitaya
Description-md5: dc2b2f5a5489e61601728253c2b28099
Description-en: RedPitaya device support for SoapySDR
 The Soapy Red Pitaya project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of the Red Pitaya HF channels to
 transmit and receive.

Package: soapysdr0.7-module-remote
Description-md5: 252618ab4f8d227fcc3d0fcfa7d24a95
Description-en: Use SoapySDR devices over network (client module)
 The SoapyRemote project provides a client module and a server that make it
 possible on the client side to list and access hardware supported by SoapySDR
 modules on the server as if they were local modules.
 .
 This package contains the client module.

Package: soapysdr0.7-module-rfspace
Description-md5: 7cf8302cd2eb3df9b2b7ccf7017f3953
Description-en: RFSpace device support for SoapySDR
 The SoapyOsmo project provides SoapySDR hardware support modules using
 drivers in gr-osmosdr. Using this, any program using SoapySDR to
 interface to software defined radio hardware can make use of OsmoSDR,
 Mirics SDR, and RFSpace SDR devices.
 .
 This package contains the RFSpace hardware support module.

Package: soapysdr0.7-module-rtlsdr
Description-md5: c61bf75fc395e63206a8ff04f02a8dde
Description-en: RTL-SDR device support for SoapySDR
 The Soapy RTL-SDR project provides a SoapySDR hardware support module.
 Using this, any program using SoapySDR to interface to software
 defined radio hardware can make use of low cost DVB-T/DAB+ USB dongles
 based on the Realtek RTL2832U chip as receivers.

Package: soapysdr0.7-module-uhd
Description-md5: 3c51d8337800bf837be5cf80088db679
Description-en: UHD device support for SoapySDR
 Both SoapySDR and the Universal Hardware Driver by Ettus Research projects
 provide libraries to access various software defined radio hardware through a
 common interface.
 .
 This SoapySDR hardware support module makes all UHD devices available to
 applications using SoapySDR. An interface in the other direction is available
 in the uhd-soapysdr package.

Package: socket
Description-md5: 286dc3011950982c9923a6a69c875ca9
Description-en: Multi purpose socket tool
 The socket program is a simple tool for socket based connections. It
 can be used to create simple daemons (in both standalone and inetd
 mode), to connect to other daemons or to redirect ports.

Package: socklog
Description-md5: 1ee3f77e7aa06dc7abfc00a30dbf5b36
Description-en: system and kernel logging services (programs)
 socklog cooperates with the runit package to create a small and secure
 replacement for syslogd.  socklog supports system logging through Unix
 domain sockets (/dev/log), UDP sockets (0.0.0.0:514), as well as TCP
 socket, with the help of runit's runsvdir, runsv, and svlogd.  socklog
 provides a different network logging concept, and also does log event
 notification.  svlogd has built in log file rotation based on file size,
 so there is no need for any cron jobs to rotate the logs.  socklog is
 small, secure, and reliable.
 .
 This package contains the socklog programs.

Package: socklog-run
Description-md5: ced75e34e37948fec8c7457bcb8f0968
Description-en: system and kernel logging services
 socklog cooperates with the runit package to create a small and secure
 replacement for syslogd.  socklog supports system logging through Unix
 domain sockets (/dev/log), UDP sockets (0.0.0.0:514), as well as TCP
 socket, with the help of runit's runsvdir, runsv, and svlogd.  socklog
 provides a different network logging concept, and also does log event
 notification.  svlogd has built in log file rotation based on file size,
 so there is no need for any cron jobs to rotate the logs.  socklog is
 small, secure, and reliable.
 .
 This package sets up the socklog-unix and socklog-klog services to
 provide a system log service and kernel log service respectively.

Package: sockperf
Description-md5: 01a13efa52ef75e23cb8932bf8498b26
Description-en: Network benchmarking utility for testing latency and throughput
 sockperf is a network benchmarking utility over socket API that was
 designed for testing performance (latency and throughput) of
 high-performance systems (it is also good for testing performance of
 regular networking systems as well). It covers most of the socket API
 calls and options.
 .
 Specifically, in addition to the standard throughput tests, sockperf,
 does the following:
  * Measure latency of each discrete packet at sub-nanosecond
    resolution (using TSC register that counts CPU ticks with very low
    overhead).
  * Does the above for both ping-pong mode and for latency under load
    mode. This means that measuring latency of single packets even under
    load of millions Packets Per Second (without waiting for reply of
    packet before sending subsequent packet on time)
  * Enable spike analysis by providing histogram, with various
    percentiles of the packets' latencies (for example: median, min, max,
    99% percentile, and more), (this is in addition to average and
    standard deviation). Also, sockperf provides full log with all
    packet's tx/rx times that can be further analyzed with external
    tools, such as MS-Excel or matplotlib - All this without affecting
    the benchmark itself.
  * Support MANY optional settings for good coverage of socket API and
    network configurations, while still keeping very low overhead in the
    fast path to allow cleanest results.

Package: sockstat
Description-md5: 4a5818a9883ddee1e3af1b0b8369ea75
Description-en: view detailed information about open connections
 Sockstat is a tool to let you view information about open connections.
 It is similar to the tool of the same name that is included in FreeBSD,
 trying to faithfully reproduce as much functionality as is possible.
 .
 This version of sockstat contains several additional features, like
 the ability to look up sockets in use by GID, UID and process name,
 as well as the other criteria supported by FreeBSD's sockstat.

Package: socnetv
Description-md5: 39b871318cc805cdf25b83a8eed6cdea
Description-en: Social Network Analysis and Visualisation application
 SocNetV is a graphical application designed to be an easy tool for Social
 Networks Analysis and Visualisation (not to be confused with social
 networking, as in online communities). With SocNetV, one can load and
 visualise networks of various formats (GraphViz, GraphML, Adjacency, Pajek,
 UCINET, etc), or visually create and modify a network/graph in a point and
 click fashion.
 .
 SocNetV can also compute network statistics and properties (such as
 distances, centralities, diameter) and apply some layout algorithms for more
 meaningful visualisation of your networks. Furthermore, SocNetV can create
 simple random networks (lattice, same degree, etc).

Package: sofia-sip-bin
Description-md5: 204c7b657e4a61ffc86836b00cdb7014
Description-en: Sofia-SIP library utilities
 Sofia-SIP is an open-source SIP User-Agent library, compliant
 with the IETF RFC3261 specification. It can be used as
 a building block for SIP client software for uses such as VoIP,
 IM, and many other real-time and person-to-person communication
 services.
 .
 This package provides a set of console tools and helper
 applications for use in scripts, testing and other uses. All
 the tools utilize the Sofia-SIP library.

Package: sofia-sip-doc
Description-md5: 6871d4c1529b334f783f90ff6d1eb82b
Description-en: Sofia-SIP library documentation
 Sofia-SIP is an open-source SIP User-Agent library, compliant
 with the IETF RFC3261 specification. It can be used as
 a building block for SIP client software for uses such as VoIP,
 IM, and many other real-time and person-to-person communication
 services.
 .
 This package provides the library reference documentation.

Package: softflowd
Description-md5: 7bb668c4347cff9b6ca008dbab4f596f
Description-en: Flow-based network traffic analyser
 Softflowd is a flow-based network traffic anaylser capable of Cisco
 Netflow data export. Softflowd semi-statefully tracks traffic
 flows recorded by listening on a network interface or by reading a
 packet capture file. These flows may be reported via NetFlow to a
 collecting host or summarised within softflowd itself.

Package: softhsm
Description-md5: 49974d164c1e8bafdd112eba6e6c072b
Description-en: cryptographic store accessible through a PKCS #11 (dummy)
 SoftHSM 2 is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This is a transitional package and can be safely removed.

Package: softhsm-common
Description-md5: 49974d164c1e8bafdd112eba6e6c072b
Description-en: cryptographic store accessible through a PKCS #11 (dummy)
 SoftHSM 2 is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This is a transitional package and can be safely removed.

Package: softhsm2
Description-md5: 77d129851e17a16491eb5bef1e7e4011
Description-en: cryptographic store accessible through a PKCS #11
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 SoftHSM 2 is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This package contains command line tools.

Package: softhsm2-common
Description-md5: 84f7adad9d6fbe53bf5d7bb1bf13de6c
Description-en: cryptographic store accessible through a PKCS #11
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 SoftHSM 2 is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This package contains common files shared between enforcer and signer.

Package: software-properties-qt
Description-md5: eb3becbd911332ae11d34cbea3577b25
Description-en: manage the repositories that you install software from (Qt)
 This software provides an abstraction of the used apt repositories.
 It allows you to easily manage your distribution and independent software
 vendor software sources.
 .
 This package contains a Qt-based graphical interface.

Package: sogo
Description-md5: afc73cd48b910e30a2e5d91a4be9fc2e
Description-en: Scalable groupware server
 SOGo is a groupware server built around OpenGroupware.org (OGo) and
 the SOPE application server with focus on scalability. It has many
 feature enhancements:
 .
  * CalDAV and GroupDAV compliance
  * full handling of vCard as well as vCalendar/iCalendar formats
  * support for folder sharing and ACLs
 .
 The Web interface has been rewritten in an AJAX fashion to provide a faster
 UI for the users, consistency in look and feel with the Mozilla applications,
 and to reduce the load of the transactions on the server.
 .
 This package contains the SOGo daemon.

Package: sogo-common
Description-md5: ee13d30368c9424ef5b3cf7442a5632b
Description-en: Scalable groupware server - common files
 SOGo is a groupware server built around OpenGroupware.org (OGo) and
 the SOPE application server with focus on scalability.
 .
 This package contains the architecture-indepedent files, including
 all the files that are needed on the web proxy.

Package: solaar
Description-md5: a2e05150fd93d523f1d87babce500c93
Description-en: Logitech Unifying Receiver peripherals manager for Linux
 Solaar is a Linux device manager for Logitech's Unifying Receiver wireless
 peripherals. It is able to pair/unpair devices to the receiver, and for
 some devices to read battery status.

Package: solaar-gnome3
Description-md5: 8c6fae7a726bac05963d1570de5c57a2
Description-en: GNOME Shell/Unity integration for Solaar
 Solaar is a Linux device manager for Logitech's Unifying Receiver wireless
 peripherals. It is able to pair/unpair devices to the receiver, and for
 some devices to read battery status.
 .
 This metapackage ensures integration with GNOME Shell/Unity.

Package: solarpowerlog
Description-md5: 248a9712a9c6622aaab8141c552cd1aa
Description-en: photovoltaic data logging
 The program's purpose is to track and log data from photovoltaic inverters,
 collect power data and store them. Also a purpose is to provide an interface
 to extract these data, allowing applications like web site stats of the system.
 .
 Solarpowerlog supports at the moment Solarmax inverters, connected via ethernet
 or serial interface, however it is programmed in a way to easy add support for
 other inverters as well.
 .
 The program supports logging to the console, logging to CVS and writing HTML
 files to be serverd by a web server.

Package: solarwolf
Description-md5: 5e1832b395960eeb4886f5d48c5e4e42
Description-en: Collect the boxes and don't become mad
 Solarwolf is an action/arcade game written entirely in Python,
 featuring amazing graphics and cool music.
 It is originally based on the SolarFox game on the Atari 2600.
 .
 All this, yet the best feature of all is; it is a hecka lotta fun!
 The point of the game is to scramble through 48 levels of patterns,
 collecting all the boxes. The part that makes it tricky is avoiding
 the relentless hailstorm of fire coming at you from all directions.

Package: solfege
Description-md5: 3a0caa4565914a21e39a8ac8be5b8692
Description-en: Ear training software
 GNU Solfege is an ear training program for X Windows written in Python,
 using the GTK+ 3.0 libraries. You can practice harmonic and
 melodic intervals, chords, scales and rhythms, and you can add new
 exercises using a simple plain text file format.
 .
 Ear training is a big subject with many connections to music theory
 and performance of music.
 .
 To use this software, you need some basic knowledge about music theory.

Package: solfege-doc
Description-md5: 771a62fea31d0299db78d73b1805e4b3
Description-en: Ear training software - documentation
 GNU Solfege is an ear training program for X Windows written in Python,
 using the GTK+ 3.0 libraries. You can practice harmonic and
 melodic intervals, chords, scales and rhythms, and you can add new
 exercises using a simple plain text file format.
 .
 This package contains the documentation files for GNU Solfege.

Package: solfege-oss
Description-md5: 6580725ec34e23f615168251b2e10c38
Description-en: Ear training software - OSS support module
 GNU Solfege is an ear training program for X Windows written in Python,
 using the GTK+ 3.0 libraries. You can practice harmonic and
 melodic intervals, chords, scales and rhythms, and you can add new
 exercises using a simple plain text file format.
 .
 This package contains the Python module GNU Solfege needs to access
 the sequencer device of OSS.

Package: solid-pop3d
Description-md5: 3ce24da25b18d93f7c7eb2d5b4a7ddc6
Description-en: POP3 server supporting Maildir, PAM, vhosting
 The Solid POP3 Server is an implementation of a Post Office Protocol version 3
 server that has flexibility as its main goal. The server is easily
 configurable and has support for features such as:
  - APOP authentication scheme
  - virtual hosting
  - maildir and mailbox handling
  - bulletins
  - expiration of messages

Package: sollya
Description-md5: 1563098f1598e08331b404a7d166b911
Description-en: library for safe floating-point code development -- tool
 Sollya is both a tool environment and a library for safe floating-point code
 development. It offers a convenient way to perform computations with multiple
 precision interval arithmetic. It is particularly targeted to the automated
 implementation of mathematical floating-point libraries.
 .
 Initially, Sollya was intended more specifically for people implementing
 numerical functions in mathematical libraries (e.g., exp, arccos, tanh, etc.).
 Since then, the tool has evolved and has now become interesting not only to
 developers of mathematical libraries, but also to everyone who needs to
 perform numerical experiments in an environment that is safe with respect to
 round-off errors.
 .
 This package provides the command-line tool sollya.

Package: sollya-doc
Description-md5: 1a297a9c586ba943cf29ab067d6081cf
Description-en: library for safe floating-point code development -- doc
 Sollya is both a tool environment and a library for safe floating-point code
 development. It offers a convenient way to perform computations with multiple
 precision interval arithmetic. It is particularly targeted to the automated
 implementation of mathematical floating-point libraries.
 .
 Initially, Sollya was intended more specifically for people implementing
 numerical functions in mathematical libraries (e.g., exp, arccos, tanh, etc.).
 Since then, the tool has evolved and has now become interesting not only to
 developers of mathematical libraries, but also to everyone who needs to
 perform numerical experiments in an environment that is safe with respect to
 round-off errors.
 .
 This package provides the user manual.

Package: solr-common
Description-md5: d9d0b7c8ab3599e5d24583ba88c5996c
Description-en: Enterprise search server based on Lucene3 - common files
 Solr is an open source enterprise search server based on the Lucene
 Java search library, with XML/HTTP and JSON APIs, hit highlighting,
 faceted search, caching, replication, and a web administration
 interface. It runs in a Java servlet container such as Tomcat.
 .
 This package provides the common files for Solr. Install
 solr-tomcat or solr-jetty to use Solr under Tomcat or Jetty.
 .
 This package also contains the dataimporthandler contrib while omitting
 dataimporthandler-extras, clustering, extraction and velocity due to missing
 dependencies.
 .
 libmysql-java is necessary to connect the dataimporthandler to MySQL.

Package: solr-jetty
Description-md5: f9bd6b37cab2818e5309a83177b84552
Description-en: Enterprise search server based on Lucene3 - Jetty integration
 Solr is an open source enterprise search server based on the Lucene
 Java search library, with XML/HTTP and JSON APIs, hit highlighting,
 faceted search, caching, replication, and a web administration
 interface. It runs in a Java servlet container such as Tomcat.
 .
 This package provides the Jetty integration files for Solr.

Package: solr-tomcat
Description-md5: eb7b14f88e5e0facbf4429a2f496d47f
Description-en: Enterprise search server based on Lucene3 - Tomcat integration
 Solr is an open source enterprise search server based on the Lucene
 Java search library, with XML/HTTP and JSON APIs, hit highlighting,
 faceted search, caching, replication, and a web administration
 interface. It runs in a Java servlet container such as Tomcat.
 .
 This package provides the Tomcat integration files for Solr.

Package: solvespace
Description-md5: 2f6b26882639e4c456a20698578cb6d9
Description-en: Parametric 2d/3d CAD
 SolveSpace is a parametric 2d/3d CAD program. Applications include:
 .
  * modeling 3d parts — draw with extrudes, revolves, and Boolean
    (union / difference) operations;
  * modeling 2d parts — draw the part as a single section, and export DXF,
    PDF, SVG; use 3d assembly to verify fit;
  * 3d-printed parts — export the STL or other triangle mesh expected by
    most 3d printers;
  * preparing CAM data — export 2d vector art for a waterjet machine or
    laser cutter; or generate STEP or STL, for import into third-party
    CAM software for machining;
  * mechanism design — use the constraint solver to simulate planar or
    spatial linkages, with pin, ball, or slide joints;
  * plane and solid geometry — replace hand-solved trigonometry and
    spreadsheets with a live dimensioned drawing.

Package: sonata
Description-md5: d8eddc7f98946112ed3baba65d04362d
Description-en: GTK+ client for the Music Player Daemon (MPD)
 Sonata is a lightweight GTK+ music client for the Music Player Daemon (MPD).
 It aims to be efficient (no toolbar, main menu, or statusbar),
 user-friendly, and clean.

Package: songwrite
Description-md5: 2549b441feea385d3c599aeda6f1b0af
Description-en: guitar tablature editor and player
 Songwrite is a guitar tablature (fingering notation) editor and player,
 quite similar to TablEdit. In addition to tablatures, it also supports
 staff, lyrics and drums.
 .
 Printing support and playback are available through external programs.
 .
 Songwrite was formerly know as GTablature.

Package: sonic
Description-md5: 86e5dc6ed4ab72898f2d9296cdf460ec
Description-en: Simple utility to speed up or slow down speech
 Sonic is a very simple utility that reads and writes wav files,
 and speeds them up or slows them down, with low distortion.
 The key new feature in Sonic versus other libraries is very
 high quality at speed up factors well over 2X.

Package: sonic-pi
Description-md5: cfba0a7e5a8e7b5b67609733f1db5d4b
Description-en: Live Coding for Everyone
 A free live coding synth for everyone. Originally designed to support
 computing and music lessons within schools. Use code to compose and
 perform in classical and contemporary styles ranging from Canons to
 Dubstep.

Package: sonic-pi-samples
Description-md5: 4261dab425530defd16645d72e7ac128
Description-en: Audio samples for Sonic Pi
 Sonic Pi is shipped with a default audio sample collection, containing
 musical snippets, sound effects and rhythm loops created by various
 contributors at freesound.org.

Package: sonic-pi-server
Description-md5: 2d864efee759bf33ce577cef58f2c17d
Description-en: Backend server for Sonic Pi
 The Sonic Pi backend server connects to SuperCollider to play synths
 and samples. Advanced users may want to use the server for experiments
 without the graphical user interface provided by the sonic-pi package.

Package: sonic-pi-server-doc
Description-md5: 6e3ceb95e26e480423493b9c01508a6a
Description-en: Documentation for Sonic Pi
 These are the Markdown source files and a converted HTML version of
 the Sonic Pi main documentation. If you installed the sonic-pi IDE
 package, you propably don't need this package, as a copy of the
 documentation is already included within the application.

Package: sonic-visualiser
Description-md5: 71313c8d0ce8681e6c5700da7680c8e6
Description-en: viewing and analysing the contents of music audio files
 The aim of Sonic Visualiser is to be the first program you reach for
 when want to study a musical recording rather than simply listen to it.
 .
 Sonic Visualiser could be of particular interest to musicologists,
 archivists, signal-processing researchers and anyone else looking for a
 friendly way to take a look at what lies inside the audio file.

Package: sonnet-plugins
Description-md5: cc9e6cd09ccc937f16470287bb2b0ff8
Description-en: spell checking library for Qt, plugins
 Sonnet is a Qt based library that offers easy access to spell
 checking using various plugin based backends.  It is part of KDE
 Frameworks 5.
 .
 This package provides plugins used by Sonnet to interact with the following
 spell checking backends: aspell, hunspell or hspell. The dictionaries need to
 be installed separatedly.

Package: sooperlooper
Description-md5: 8d868f7c1eefa173d8803174f2b3b605
Description-en: Looping Sampler
 SooperLooper is a live looping sampler capable of immediate loop recording,
 overdubbing, multiplying, reversing and more. It allows for multiple
 simultaneous multi-channel loops limited only by your computer's available
 memory.
 .
 The application is a standalone JACK client with an engine controllable via
 OSC and MIDI. It also includes a GUI which communicates with the engine via
 OSC (even over a network) for user-friendly control on a desktop.

Package: sopel
Description-md5: 36f2014fa6a28de51bd13135b97f1e8b
Description-en: simple, lightweight, open source, easy-to-use IRC utility bot
 Sopel is a simple, lightweight, open source, easy-to-use IRC utility
 bot, written in Python. It's designed to be easy to use, easy to run,
 and easy to make new features for.
 .
 Sopel comes with a ton of ready-made features for you to use. It can
 leave notes for people, give you reminders, check RSS feeds, and much
 more.
 .
 Sopel also comes with a fully-documented and easy-to-use API, so you
 can write your own features. There's also an easy tutorial you can
 follow along with, to help you learn.
 .
 Developing for Sopel is a great way to familiarize yourself with
 Python. It's easy to start, but there's no limit to the cool things
 you can do with it.
 .
 Sopel was also known as Willie, Phenny or Jenni in previous
 incarnations.

Package: sopwith
Description-md5: 82a9c9287a030d38092881bea2ab6223
Description-en: port of the 1980's side-scrolling WWI dogfighting game
 This is an updated version of the 1980's game developed by David L. Clark of
 BMB Compuscience Canada.  It has been adapted to run under *nix using the SDL
 toolkit. Poor graphics, stupid AI, and horrible sound await you. The gameplay
 is simple, addictive, and fun. A `side-scroller', you pilot your biplane
 across a static field, destroying enemy encampments and planes.

Package: sordi
Description-md5: 18c5243c77d1041973ba01576c98014a
Description-en: library for storing RDF data in memory - utilities
 Sord is a lightweight C library for storing Resource
 Description Framework (RDF) data in memory.
 .
 This package provides the 'sordi' and 'sord_validate' utilities.

Package: sortmail
Description-md5: 9e32fcffbc57a05d483e96d0bbd79a26
Description-en: simple mail sorter
 sortmail is a simple mail sorter you can use in your .forward
 to sort your mail into folders automatically, delete unwanted mail,
 etc.

Package: sortmerna
Description-md5: 785b2b1a824d6d755aab646a5806eba7
Description-en: tool for filtering, mapping and OTU-picking NGS reads
 SortMeRNA is a biological sequence analysis tool for filtering, mapping and
 OTU-picking NGS reads. The core algorithm is based on approximate seeds and
 allows for fast and sensitive analyses of nucleotide sequences. The main
 application of SortMeRNA is filtering rRNA from metatranscriptomic data.
 Additional applications include OTU-picking and taxonomy assignation available
 through QIIME v1.9+ (http://qiime.org - v1.9.0-rc1).
 SortMeRNA takes as input a file of reads (fasta or fastq format) and one or
 multiple rRNA database file(s), and sorts apart rRNA and rejected reads into
 two files specified by the user. Optionally, it can provide high quality local
 alignments of rRNA reads against the rRNA database. SortMeRNA works with
 Illumina, 454, Ion Torrent and PacBio data, and can produce SAM and
 BLAST-like alignments.

Package: sosi2osm
Description-md5: c44a5e6a99e588c85ecc0ac7580952c9
Description-en: SOSI to OSM converter
 This little utility converts .sos files into .osm files. A .sos file is a
 geospatial vector data format used for exchange of geograhical information
 in Norway. Osm-files are used by OpenStreetMap. The utility relies on the
 FYBA library released by the Norwegian Mapping Authority (Statens kartverk).

Package: sound-juicer
Description-md5: aa06b67a4ebcf215db26abef45bb5ab4
Description-en: GNOME CD Ripper
 A CD ripper for GNOME which aims to have a simple, clean, easy to use
 interface.
 .
 The package includes support by default for Vorbis and FLAC formats.
 For other supports you need the following packages:
  * gstreamer1.0-plugins-ugly to encode to MP2,
  * gstreamer1.0-lame (not available in the Debian archive) to encode
    to MP3,
  * gstreamer1.0-plugins-really-bad (not available in Debian) to encode
    to AAC.

Package: soundconverter
Description-md5: df64ec8695eb6d4a89ca29f2bff306ee
Description-en: GNOME application to convert audio files into other formats
 SoundConverter is a simple sound converter application for the GNOME
 environment. It reads sound files in any format supported by GStreamer
 and outputs them in Ogg Vorbis, FLAC, or WAV format, or MP3 format if
 you have the GStreamer LAME plugin.

Package: soundgrain
Description-md5: b7af2b59e227c94fde99dfea3e3c5fe6
Description-en: Graphical interface to control granular sound synthesis modules
 Soundgrain is a graphical interface where users can draw and edit trajectories
 to control granular sound synthesis. It is written in Python and WxPython and
 uses pyo as its audio engine.

Package: soundkonverter
Description-md5: 5feaa999e0289f4f91087d2a8c72f280
Description-en: audio converter frontend for KDE
 soundKonverter is a frontend to various audio converters.
 .
 The key features are:
  - Audio conversion
  - Replay Gain calculation
  - CD ripping
 .
 soundKonverter supports reading and writing tags for many formats, so the tags
 are preserved when converting files.
 .
 See README.Debian for more information on supported formats.

Package: soundmodem
Description-md5: a591a5f6206ad47d77456e60c68745e5
Description-en: Sound Card Amateur Packet Radio Modems
 This package contains the driver and diagnostic utility for the
 userspace SoundModem suite by Thomas Sailer.
 .
 This package allows you to use any soundcard supported by the kernel as
 an Amateur Packet Radio modem.

Package: soundscaperenderer
Description-md5: f0a1619b286cf2829d97acb5b5d92764
Description-en: tool for real-time spatial audio reproduction
 The SoundScape Renderer (SSR) is a tool for real-time spatial audio
 reproduction providing a variety of rendering algorithms, e.g. Wave Field
 Synthesis, Higher-Order Ambisonics and binaural techniques.
 .
 This package contains a version of SSR compiled with graphical interface using
 Qt.

Package: soundscaperenderer-common
Description-md5: 0a22ae9833a4fbdca219a2b6435552a0
Description-en: tool for real-time spatial audio reproduction (common-files)
 The SoundScape Renderer (SSR) is a tool for real-time spatial audio
 reproduction providing a variety of rendering algorithms, e.g. Wave Field
 Synthesis, Higher-Order Ambisonics and binaural techniques.
 .
 This package contains common files for all flavours of SSR.

Package: soundscaperenderer-nox
Description-md5: 87afcec8c0a054664ddea555454e0664
Description-en: tool for real-time spatial audio reproduction (without X support)
 The SoundScape Renderer (SSR) is a tool for real-time spatial audio
 reproduction providing a variety of rendering algorithms, e.g. Wave Field
 Synthesis, Higher-Order Ambisonics and binaural techniques.
 .
 This package contains a version of SSR compiled without X support.

Package: soundstretch
Description-md5: 26b0c1d0ffe733c7f2bfc207ded3972b
Description-en: Stretches and pitch-shifts sound independently
 This program can stretch and pitch-shift .wav-files independently.
 This is especially useful for musicians, who want to listen to a song
 played slowly, without having the pitch altered.
 .
 This program uses the SoundTouch shared library for the actual work.

Package: source-extractor
Description-md5: 5f1bb3db51fb9466350c4b7bfa188e0a
Description-en: Source extractor for astronomical images
 Find sources, such as stars and galaxies, in astronomical images.
 The input data files are in FITS file format, and are analyzed to
 compute the locations of sources, with the ability to distinguish
 between galaxies and stars using a neural-network technique.

Package: source-highlight
Description-md5: 77973d4032b3cbffe5fc2908a2a92461
Description-en: convert source code to syntax highlighted document
 This program, given a source file, produces a document with syntax
 highlighting.
 .
 It supports syntax highlighting for over 100 file formats, including
 major programming languages, markup formats, and configuration file
 formats.  For output, the following formats are supported: HTML,
 XHTML, LaTeX, Texinfo, ANSI color escape sequences, and DocBook.

Package: sox
Description-md5: 333cd274ebee7570f357dafba9b6461d
Description-en: Swiss army knife of sound processing
 SoX is a command line utility that can convert various formats of computer
 audio files in to other formats. It can also apply various effects to these
 sound files during the conversion. As an added bonus, SoX can play and record
 audio files on several unix-style platforms.
 .
 SoX is able to handle formats like Ogg Vorbis, MP3, WAV, AIFF, VOC, SND, AU,
 GSM and several more.
 Any format support requires at least libsox-fmt-base. Some formats have their
 own package e.g. mp3 read and write support is provided by libsox-fmt-mp3.
 .
 SoX supports most common sound architectures i.e. Alsa, Libao, OSS and Pulse
 (respectively provided by libsox-fmt-alsa, libsox-fmt-ao, libsox-fmt-oss and
 libsox-fmt-pulse). It also supports LADSPA plugins.

Package: spacearyarya
Description-md5: b8694c80305e21fc7731d6b6bd9aca35
Description-en: third person shooter in pseudo-3D
 Space Aryarya is a third person shoot'em-up similar to classic arcade games
 such as Afterburner or Star Fox, where you control a jetpack-carrying fighter
 and need to destroy all baddies.

Package: spaced
Description-md5: f8585dca9b04cc9c5c548e38c5e2f41c
Description-en: alignment-free sequence comparison using spaced words
 Spaced (Words) is a new approach to alignment-free sequence
 comparison. While most alignment-free algorithms compare the
 word-composition of sequences, spaced uses a pattern of care and
 don't care positions. The occurrence of a spaced word in a sequence
 is then defined by the characters at the match positions only, while
 the characters at the don't care positions are ignored. Instead of
 comparing the frequencies of contiguous words in the input sequences,
 this new approach compares the frequencies of the spaced words according
 to the pre-defined pattern. An information-theoretic distance measure
 is then used to define pairwise distances on the set of input sequences
 based on their spaced-word frequencies. Systematic test runs on real and
 simulated sequence sets have shown that, for phylogeny reconstruction,
 this multiple-spaced-words approach is far superior to the classical
 alignment-free approach based on contiguous word frequencies.

Package: spacefm
Description-md5: be9e222cede7f5b0d1c564e23ad1031e
Description-en: Multi-panel tabbed file manager - GTK2 version
 SpaceFM is a multi-panel tabbed file and desktop manager for Linux with
 built-in VFS, udev or HAL-based device manager, customizable menu system
 and bash integration.
 .
 A descendant of PCManFM, SpaceFM's aims are to be bugfixed, efficient
 (mainly C), hugely customisable (change and add to the GUI as you go
 with Design Mode), powerful without scaring off newer users (due to
 customisation), independent of particular distributions and desktop
 environments, and device management featureful enough to replace the
 various udisks-based *kits when used alongside udevil.
 .
 Other highlights include optional desktop management, smart queuing
 of file operations, user plugins and the ability to carry out specific
 commands as root.
 .
 Please install spacefm-gtk3 instead if you want to stick with GTK+3.

Package: spacefm-common
Description-md5: 573d3dd8399a963e00973f505c5054e7
Description-en: Multi-panel tabbed file manager - common files
 SpaceFM is a multi-panel tabbed file and desktop manager for Linux with
 built-in VFS, udev or HAL-based device manager, customizable menu system
 and bash integration.
 .
 This package contains files used by both the GTK+2 (spacefm) and
 GTK+3 (spacefm-gtk3) versions.

Package: spacefm-gtk3
Description-md5: f2c573502cee17440115c318f4119a3f
Description-en: Multi-panel tabbed file manager - GTK3 version
 SpaceFM is a multi-panel tabbed file and desktop manager for Linux with
 built-in VFS, udev or HAL-based device manager, customizable menu system
 and bash integration.
 .
 A descendant of PCManFM, SpaceFM's aims are to be bugfixed, efficient
 (mainly C), hugely customisable (change and add to the GUI as you go
 with Design Mode), powerful without scaring off newer users (due to
 customisation), independent of particular distributions and desktop
 environments, and device management featureful enough to replace the
 various udisks-based *kits when used alongside udevil.
 .
 Other highlights include optional desktop management, smart queuing
 of file operations, user plugins and the ability to carry out specific
 commands as root.

Package: spacenavd
Description-md5: 558b6422c9beeb2bce1880f947273d63
Description-en: daemon for using 3D input devices from 3Dconnexion
 Spacenavd, is a free software replacement user-space driver (daemon),
 for 3Dconnexion's six-degree-of-freedom input devices. It is
 compatible with the original 3dxsrv proprietary daemon provided by
 3Dconnexion, and works perfectly with any program that was written
 for the 3Dconnexion driver. It also offers another method
 of accessing the device via a new non-X11-dependent protocol.

Package: spacezero
Description-md5: a8d418ad92a404c636eaa17451c376a0
Description-en: Real Time Strategy 2D space combat, multiplayer net game.
 SpaceZero is a Real Time Strategy 2D space combat, multiplayer (two players by
 now) net game.
 .
 The objective is to conquer the space, defeating all enemies.
 .
 At starting point you have only one ship and one planet, but you have gold to
 buy more ships to conquer more planets.
 .
 All the ships can be controlled by the computer (automatic mode) or by the
 player (manual mode).
 You can easily change among your ships controlling all of them independently.

Package: spades
Description-md5: a8af60ccfdd2e159193efb52065bef14
Description-en: genome assembler for single-cell and isolates data sets
 The SPAdes – St. Petersburg genome assembler is intended for both
 standard isolates and single-cell MDA bacteria assemblies.  It works
 with Illumina or IonTorrent reads and is capable of providing hybrid
 assemblies using PacBio and Sanger reads. You can also provide
 additional contigs that will be used as long reads.

Package: spaln
Description-md5: 46ffffcd0cbea45e4f5474c068f0310e
Description-en: splicing-aware transcript-alignment to genomic DNA
 Spaln (space-efficient spliced alignment) is a stand-alone program that
 maps and aligns a set of cDNA or protein sequences onto a whole genomic
 sequence in a single job. It also performs spliced or ordinary alignment
 after rapid similarity search against a protein sequence database,
 if a genomic segment or an amino acid sequence is given as a query.
 .
 spaln supports a combination of protein sequence database and a
 given genomic segment and performs rapid similarity searches and
 (semi-)global alignments of a set of protein sequence queries against
 a protein sequence database. Spaln adopts multi-phase heuristics that
 makes it possible to perform the job on a conventional personal computer.

Package: spaln-data
Description-md5: 5459c407f99ac7bad4d9ef8beacd9ad4
Description-en: splicing-aware transcript-alignment to genomic DNA (data)
 Spaln (space-efficient spliced alignment) is a stand-alone program that
 maps and aligns a set of cDNA or protein sequences onto a whole genomic
 sequence in a single job. It also performs spliced or ordinary alignment
 after rapid similarity search against a protein sequence database,
 if a genomic segment or an amino acid sequence is given as a query.
 .
 spaln supports a combination of protein sequence database and a
 given genomic segment and performs rapid similarity searches and
 (semi-)global alignments of a set of protein sequence queries against
 a protein sequence database. Spaln adopts multi-phase heuristics that
 makes it possible to perform the job on a conventional personal computer.
 .
 This package contains the architecture independent data files.

Package: spamass-milter
Description-md5: 34fb2325cec6e9fda50bb6cddec02542
Description-en: milter for filtering mail through spamassassin
 A milter used to filter mail through spamassassin (spamc)
 early in the delivery process. Enables site wide filtering through
 spamassassin without speed penalties incured by setting up and
 tearing down procmail processes for each e-mail.
 .
 Will work with sendmail, postfix, or any other MTA which can use milters.
 .
  - Administrator (or user) specified rejection threshold
  - User specific spamassassin settings
  - Header-only modification

Package: spamassassin-heatu
Description-md5: 505965bc9c72af03ca8493e014781fb3
Description-en: Heuristic Email Address Tracker Utility for Spamassassin
 Check or clean the SpamAssassin auto-whitelist (AWL) database file;
 also called the SpamAssassin Heuristic Email Address Tracker file.
 .
 The Auto-WhiteList feature in Spamassassing tracks scores from
 messages previously received and adjusts the message score, either by
 boosting messages from senders who send ham or penalizing senders who
 have sent spam previously. This not only treats some senders as if
 they were whitelisted but also treats spammers as if they were
 blacklisted.
 .
 This and enhanced version of the original AWL tool. The AWL database
 can be examined and pruned; single email entries can be removed. This
 is useful when a spammer sends one or more ham messages before
 sending spam.

Package: spamoracle
Description-md5: 29f9ea42113d21f8d8766314d2d4b916
Description-en: statistical analysis spam filter based on Bayes' formula
 SpamOracle, a.k.a. "Saint Peter", is a tool to help detect and filter away
 "spam" (unsolicited commercial e-mail). It proceeds by statistical analysis
 of the words that appear in the e-mail, comparing the frequencies of words
 with those found in a user-provided corpus of known spam and known legitimate
 e-mail. The classification algorithm is based on Bayes' formula, and is
 described in Paul Graham's paper, A plan for spam.
 .
 This program is designed to work in conjunction with procmail. The result of
 the analysis is output as an additional message header X-Spam:, followed by
 yes, no or unknown, plus additional details. A procmail rule can then test
 this X-Spam: header and deliver the e-mail to the appropriate mailbox.

Package: spampd
Description-md5: b2eded24f9fe95256363182d6f5548fb
Description-en: spamassassin based SMTP/LMTP proxy daemon
 spampd is an SMTP/LMTP server designed to be hooked into the
 MTA processing chain (e.g. as a content filter). It is
 written in Perl and uses the Net::Server framework. It is
 intended to provide spam filtering at the system level (i.e.
 ususally for all users). If you rely on per-user configuration
 or per-user Bayes databases, spampd is not for you.
 .
 The major advantage of spampd over plain SpamAssassin (both
 directly and through spamd) is that it doesn't need to load
 all needed perl modules on every invocation or spawn
 a C programme for every mail it receives. Compared to using
 spamc/spamd, spampd can usually provide a 25% performance
 increase with local-only tests.
 .
 The advantage of spampd over amavisd-new is that it uses the
 original SpamAssassin header tags, which are more verbose than
 the tags which amavisd-new provides. This allows easier
 filtering in the mail client and easier tuning of SpamAssassin.

Package: spamprobe
Description-md5: 4c86dc393b8ffa49b11331c486532f47
Description-en: Bayesian spam filter
 This package provides a spam filter based on the article 'A Plan for Spam'
 by Paul Graham. It uses a database (either BerkeleyDB or a simpler hash
 file) to store one- and two-word phrases. Only certain headers are analyzed
 and HTML tags are ignored to prevent false positives of legitimate HTML
 emails. Image attachments are considered as words that can signal spam. It
 can be simply integrated with procmail or maildrop to filter spam on
 incoming mail.

Package: sparkleshare
Description-md5: 30ddf371d91d37f75e8d95a9a625b144
Description-en: distributed collaboration and sharing tool
 SparkleShare is a collaboration and sharing tool that is designed to keep
 things simple and to stay out of your way. It allows you to instantly sync
 with any Git repository you have access to.
 .
 SparkleShare can be used as a rough alternative to web services such as
 Dropbox or Seafile.
 .
 Though SparkleShare is not made to be a graphical frontend for git or a backup
 tool, it may be useful for other kinds of purposes as well, like backing up
 small files or monitoring your favourite project.

Package: sparse
Description-md5: da8c616b0e917184b61c1bd49923fb4d
Description-en: semantic parser of source files
 Sparse, the semantic parser, provides a compiler frontend capable of
 parsing most of ANSI C as well as many GCC extensions, and a collection
 of sample compiler backends, including a static analyzer also called
 "sparse". Sparse provides a set of annotations designed to convey
 semantic information about types, such as what address space pointers
 point to, or what locks a function acquires or releases.
 .
 Sparse can be invoked directly as "sparse" or via the "cgcc" wrapper
 around the C compiler.

Package: sparse-test-inspect
Description-md5: 4290ef2da3a4bb58346c9c6db9e4dedf
Description-en: semantic parser of source files
 Sparse, the semantic parser, provides a compiler frontend capable of
 parsing most of ANSI C as well as many GCC extensions, and a collection
 of sample compiler backends, including a static analyzer also called
 "sparse". Sparse provides a set of annotations designed to convey
 semantic information about types, such as what address space pointers
 point to, or what locks a function acquires or releases.
 .
 This package contains the test-inspect program.

Package: spass
Description-md5: bc89b2923428eac81fa58fc7ea00984e
Description-en: automated theorem prover for first-order logic with equality
 SPASS is a saturation-based automated theorem prover for first-order logic with
 equality.  It is unique due to the combination of the superposition calculus
 with specific inference/reduction rules for sorts (types) and a splitting rule
 for case analysis motivated by the beta-rule of analytic tableaux and the case
 analysis employed in the Davis-Putnam procedure.  Furthermore, SPASS provides a
 sophisticated clause normal form translation.
 .
 This package consists of the SPASS/FLOTTER binary, documentation, and a small
 example collection.  The tools collections contain the proof checker pcs, the
 syntax translators dfg2otter and dfg2tptp, and the ASCII pretty printer
 dfg2ascii.

Package: spatialite-bin
Description-md5: ef94f7055822f7d9c2d3d631e1d92080
Description-en: Geospatial extension for SQLite - tools
 The SpatiaLite extension enables SQLite to support spatial (geometry) data
 in a way conformant to OpenGis specifications, with both WKT and WKB formats.
 .
 Spatialite also includes Virtualshape and Virtualtext to enable accessing
 shapefiles and csv/text files as virtual tables.
 .
 This package contains tools useful to manage SQLite databases.

Package: spatialite-gui
Description-md5: 6f5da7b02910d2d7150aa5e682b4c8cb
Description-en: user-friendly graphical user interface for SpatiaLite
 spatialite-gui is a Graphical User Interface (GUI) for SpatiaLite.
 .
 SpatiaLite is a SQLite extension that enables support of spatial (geometry)
 data in a way conformant to OpenGis specifications, with both WKT and WKB
 formats.
 .
 Spatialite also includes Virtualshape and Virtualtext to enable accessing
 shapefiles and csv/text files as virtual tables.

Package: spawn-fcgi
Description-md5: 33c67a75d7c9940faa4789113e2c2c43
Description-en: FastCGI process spawner
 Allows FastCGI processes to be separated from web server process :
   * Easy creation of chmoded socket.
   * Privilege separation without needing a suid-binary,
     or running a server as root.
   * You can restart your web server and the FastCGI applications
     without restarting the others.
   * You can run them in different chroot()s.
   * Running your FastCGI applications doesn’t depend on the web server
     you are running, which allows for easier testing of/migration
     to other web servers.

Package: spd
Description-md5: a4ed24364926540a6ad233911c77ebc7
Description-en: Synchrotron image corrections and azimuthal integration
 SPD stands for SPatial Distortion. Written in C language, this command-line
 driven program deals with images coming from X-ray diffusion/diffraction
 experiments. It does subsequently:
  *intensity corrections (dark current, flat field correction, ...),
  *geometry corrections using spline files or a pair of distortion arrays,
  *azimuthal integration in 2D or 1D after maskin defective pixels.
 SPD was originally written by Jorg Klora for ESRF and was re-written by Peter
 Boesecke. Maintenance and packaging of the program are provided by Rainer
 Wilcke and Jerome Kieffer from software group at ESRF.

Package: speakup-doc
Description-md5: af6c32d8e86658e7aada6306d4162611
Description-en: Documentation for speakup kernel modules
 Speakup allows you to interact with applications and the GNU/Linux
 operating system with audible feedback from the console using a
 synthetic speech device.
 .
 Speakup allows you to navigate around the screen using the typical
 screen review functions such as say word, say line, announce cursor
 position, which console your currently on, and much, much more. It
 also allows one to load in configuration parameters for controlling
 various aspects of the synthesizer you are using, as well as speakup
 itself.
 .
 Currently, the following synthesizers are supported by speakup:
  * DoubleTalk PC/LT
  * LiteTalk
  * Accent PC/SA
  * Speakout
  * Artic Transport
  * Audapter
  * Braille 'N Speak / Type 'N Speak
  * Dectalk External and Express
  * the Apollo2
 .
 This package provides the documentation for the speakup kernel modules.

Package: speakup-tools
Description-md5: 5cd889575f061202b5b0872b2844f0ba
Description-en: Tools to customize speakup module
 This package provides three scripts to configure and make easier using
 speakup_soft module.
 .
  - speakupconf allows one to save and restore speakup's customizable variables.
  - speakup_setlocale allows one to choose another language so that speakup's
  messages are localized.
  - talkwith allows one to easily switch between speech synthesizers.

Package: spectools
Description-md5: 66410b8dd846bcd71b35ab1c7fbb5f86
Description-en: Utilities for using the Wi-Spy USB spectrum analyzer hardware
 Spectrum-Tools is a set of utilities for using the Wi-Spy USB spectrum
 analyzer tools from Metageek LLC.  They include userspace drivers for
 the hardware (implemented via libusb), a graphing UI built on GTK/Cairo,
 network servers for remote devices, and simple utilities for developing
 additional tools.

Package: spectral
Description-md5: a90e6d29378f136712da6eb7979fe0d2
Description-en: Glossy desktop chat client for the Matrix protocol
 Spectral is a glossy cross-platform QT-based chat client for the
 Matrix protocol. Matrix is an open, decentralized, federated
 communications protocol with support for chat rooms, IM, etc.

Package: spectre-meltdown-checker
Description-md5: f9821144f958810f6827bf8f26fb25e3
Description-en: Spectre & Meltdown vulnerability/mitigation checker
 A simple shell script to tell if your Linux installation is vulnerable
 against the 3 "speculative execution" CVEs that were made public early 2018.

Package: spectrwm
Description-md5: cb02b8bd798019f3f611ba3120917b28
Description-en: dynamic tiling window manager
 spectrwm is a small dynamic tiling window manager for X11.
 .
 It tries to stay out of the way so that valuable screen real estate can
 be used for much more important stuff. It has sane defaults and does not
 require one to learn a language to do any configuration.
 .
 It is written by hackers for hackers and it strives to be small, compact
 and fast.

Package: speech-dispatcher-baratinoo
Description-md5: 084e6e01506ac290c402b752a5ca7014
Description-en: Speech Dispatcher: Baratinoo (VoxyGen) output module
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains the output module for the Baratinoo speech synthesizer,
 also called VoxyGen, which needs to be installed separately.

Package: speech-dispatcher-cicero
Description-md5: 9e182857a5e0c4c516f383369f33b4fc
Description-en: Speech Dispatcher: Cicero output module
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains the output module for the cicero speech synthesizer.

Package: speech-dispatcher-doc-cs
Description-md5: b463f3da80af05647cf963ceca972794
Description-en: Speech Dispatcher documentation in Czech
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains Czech documentation of Speech Dispatcher.

Package: speech-dispatcher-espeak
Description-md5: c436e124ed7180342bc3f4883f2c2dd4
Description-en: Speech Dispatcher: Espeak output module
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains the output module for the espeak speech synthesizer.

Package: speech-dispatcher-festival
Description-md5: 91fc9a72e7823873db91972bc6eaa0be
Description-en: Festival support for Speech Dispatcher
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains dependencies on packages necessary for running Speech
 Dispatcher with Festival.

Package: speech-dispatcher-flite
Description-md5: 23d334dd71cbfd32125dff035b22e8c0
Description-en: Speech Dispatcher: Flite output module
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains the output module for the flite speech synthesizer.

Package: speech-dispatcher-kali
Description-md5: ed862f91a9dfc6b45f556e27600025f3
Description-en: Speech Dispatcher: Kali output module
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains the output module for the Kali speech synthesizer,
 which needs to be installed separately.

Package: speech-tools
Description-md5: 23bbb81e2e55bcc1d07ccd37a4f11944
Description-en: Edinburgh Speech Tools - user binaries
 This package contains the various highly useful utility programs that use and
 accompany the Edinburgh Speech Tools Library. Audio software and some basic
 signal processing software is included in this package.
 .
 The following programs are available:
 na_play: generic playback program for use with net_audio and CSTR ao.
 ch_wave: Waveform file conversion program.
 ch_lab: label file conversion program.
 ch_track: Track file conversion program.
 wagon: a CART tree build and test program
 .
 See /usr/share/doc/speech-tools/README for detail list of programs available.

Package: speech-tools-doc
Description-md5: 7bc9ef5c11ff30efddc6d989c050d300
Description-en: Documentation for the Edinburgh Speech Tools
 This package contains the HTML and Postscript versions of the
 documentation for the Edinburgh Speech Tools.
 .
 Documentation also contains examples and tutorials on how to use Speech
 Tools library with your programs.

Package: speechd-el
Description-md5: 7e9c1cc884fad772ced98806b0732f5f
Description-en: Emacs speech client using Speech Dispatcher
 speechd-el is an Emacs client and an Elisp library to Speech Dispatcher.  It
 provides a complex speech interface to Emacs, focused especially on (but not
 limited to) the blind and visually impaired users.  It allows the user to work
 with Emacs without looking on the screen, using the speech output produced by
 the synthesizers supported in Speech Dispatcher.

Package: speechd-el-doc-cs
Description-md5: 5c6ad7d899ee6188425dc586151f6783
Description-en: speechd-el documentation in Czech
 speechd-el is an Emacs client and an Elisp library to Speech Dispatcher.  It
 provides a complex speech interface to Emacs, focused especially on (but not
 limited to) the blind and visually impaired users.  It allows the user to work
 with Emacs without looking on the screen, using the speech output produced by
 the synthesizers supported in Speech Dispatcher.

Package: speechd-up
Description-md5: b768f15f6d79ccbb0614e0d4759acaa3
Description-en: Interface between Speech Dispatcher and SpeakUp
 SpeechD-Up provides an interface daemon between Speakup (kernel based
 screen reader) and Speech Dispatcher (common interface to speech
 synthesis). Using SpeechD-Up, you can make Speakup work with software
 synthesizers like Flite or Festival. This interface is in no way optimal
 and it suffers of many problems. Some users still find it useful.
 If you want to have sound on the console with a commercial speech synthetiser,
 such as ibmtts, you need a connector between the speech synthetiser and the
 speakup_soft module. As there has not been any usable connector since Squeeze,
 this package has this function. It is useless if you use a free speech
 synthetiser as Espeak, since a connector exists and is packaged: see the
 espeakup package. It is also useless if you use speechd-el with Emacs.

Package: speedcrunch
Description-md5: fa2784a9d0f53c96b40b2754a4d6444c
Description-en: High precision calculator
 SpeedCrunch is a high precision and high speed calculator.
 .
 It's optimized for keyboard use and has advanced features: use of functions,
 use of variables, result history, and syntax highlighting. It also shows the
 result as you type.
 .
 SpeedCrunch has a very simple interface, so you can start to use it very
 quickly.

Package: speedometer
Description-md5: 2d551d2ec4e09be7b0da8280cdd46438
Description-en: measure and display the rate of data across a network connection
 Monitor network traffic or speed/progress of a file transfer. The
 program can be used for cases like:
 .
  - how long it will take for 38 MiB transfer to finish
  - how quickly is another transfer going
  - how fast is the upstream on this ADSL line
  - how fast is data written to a filesystem.

Package: speedtest-cli
Description-md5: a2d3eacca5ace55c3a93c3ebb60d3864
Description-en: Command line interface for testing internet bandwidth using speedtest.net
 Speedtest.net is a webservice that allows you to test your broadband
 connection by downloading a file from one of many Speedtest.net
 servers from around the world.
 .
 This utility allows you to use the Speedtest.net service from the
 command line.
 .
 Note: This tool accesses speedtest.net over http, while the web-based client
 uses websockets. This tool has shown to become increasingly inacurate with
 high-speed connections. For more information, see the readme on:
 https://github.com/sivel/speedtest-cli

Package: speex
Description-md5: 30acf610b7bb12b7f7c8d43f2518349b
Description-en: The Speex codec command line tools
 Speex is an audio codec especially designed for compressing voice at low
 bit-rates for applications such as voice over IP (VoIP). In some senses,
 it is meant to be complementary to the Vorbis codec which places a greater
 emphasis on high-quality music reproduction.
 .
 This package contains the encoder and decoder command-line applications.

Package: spell
Description-md5: 5a76d1ef6292acb49c2e7067087f0aff
Description-en: GNU Spell, a clone of Unix `spell'
 GNU Spell is a spell checking program which prints each misspelled word on a
 line of its own. It is designed as a clone of the standard Unix `spell'
 program, and implemented as a wrapper for Ispell. Spell accepts as its
 arguments a list of files to read from. Within that list, the magical file name
 `-' causes Spell to read from standard input. In addition, when called with no
 file name arguments, Spell assumes that it should process standard input.

Package: spellutils
Description-md5: bc812ae8b70ffe10ad07c55f6c858427
Description-en: Utilities to spell-check selectively
 Spellutils is a suite of programs which are used to isolate some
 parts from various types of files and hand them over to another
 program which may change the texts; it is typically a spell checker.
 Afterwards the possibly changed text parts are copied back in place
 in the original file.
 .
 The newsbody program is intended for use on mail and news messages;
 it can e.g. ignore headers, quoted material and signatures.
 .
 The pospell program is for use on translated strings in .po files.

Package: spew
Description-md5: 823e12e217c9f0e9dd4e24634b93b07e
Description-en: I/O performance measurement and load generation tool
 Spew is used to measure I/O performance of character devices, block
 devices, and regular files. It can also be used to generate high I/O loads
 to stress systems while verifying data integrity.
 .
 Spew is easy to use and is flexible. No configuration files or
 complicated client/server configurations are needed. Spew also generates
 its own data patterns that are designed to make it easy to find and debug
 data integrity problems.

Package: spf-tools-perl
Description-md5: 34b7e3c177af17bc756e2425bc816bac
Description-en: SPF tools (spfquery, spfd) based on the Mail::SPF Perl module
 A collection of Sender Policy Framework (SPF) tools that are based on the
 fully RFC-conforming Mail::SPF Perl module.  The following tools are included
 in this package:
 .
   * spfquery:  A command-line tool for performing SPF checks.
   * spfd:      A daemon for services that perform SPF checks frequently.

Package: spf-tools-python
Description-md5: f5797e3d8d4fd5ee8cb9e6c304bb2bb3
Description-en: sender policy framework (SPF) tools for Python
 SPF (Sender Policy Framework) related scripts and tools in Python. This
 package currently provides three scripts:
 .
  * pyspf: command line use of spf.py
  * spfquery: Python implementation of spfquery (uses alternatives)
  * pyspf-type99: Tool to convert SPF records of type TXT to type SPF
 .
 spfquery and pyspf-type99 are compatible with python3
 For more information about SPF look at http://www.openspf.org/

Package: spfquery
Description-md5: 033d15eaa219b53bcf8722d3368470b0
Description-en: query SPF (Sender Policy Framework) to validate mail senders
 The Sender Policy Framework (SPF) is one part of the SPF/SRS protocol
 pair. SPF allows email systems such as Sendmail, Postfix, Exim,
 Zmailer and MS Exchange to check SPF records and make sure that the
 email is authorized by the domain name that it is coming from. This
 prevents email forgery, commonly used by spammers, scammers and email
 viruses/worms.
 .
 This package contains simple utilities that use libspf2 to test and
 query SPF records.

Package: sphde-utils
Description-md5: e851cb600fdc963d27d5c9bd2318d1a1
Description-en: Shared Persistent Heap Data Environment library utilities
 SPHDE is composed of two major software layers: The Shared Address Space (SAS)
 layer provides the basic services for a shared address space and transparent,
 persistent storage. The Shared Persistent Heap (SPH) layer organizes blocks of
 SAS storage into useful functions for storing and retrieving data.
 .
 This package contains the SPHDE utilities.

Package: sphinx-intl
Description-md5: cad6290c36bea3471db7b9d4334421ed
Description-en: translation support utility for Sphinx
 sphinx-intl is a utility tool that provides several features that make it
 easy to translate and to apply translation to Sphinx generated document.
 .
 (Optional: support the Transifex service for translation with Sphinx)

Package: sphinx-voxforge-hmm-en
Description-md5: 85dbd9eae5c05d34f08f6ebd648d2d58
Description-en: English sphinx acoustic model built from Voxforge audio corpus
 This package contains an international English acoustic model for
 Sphinx.  It was trained from 100+ hours of recorded speech from the
 voxforge project, downsampled to 16kHz.

Package: sphinx-voxforge-lm-en
Description-md5: 0b5b2cf8e21de4630aa242d3fa088837
Description-en: English sphinx language model built from Voxforge audio corpus
 This package contains an English language model for Sphinx.  It was
 trained from approximately 4 million words of various free to use textual
 works, and has a vocabulary of 5000 word types.

Package: sphinxbase-utils
Description-md5: 16dcb7c7f1eb9424bf94b33e0d1b005d
Description-en: Speech recognition tool - utililities
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains tools to manipulate Sphinx files.

Package: sphinxsearch
Description-md5: b20560e8b3725ccd221dcd1d20fc8138
Description-en: Fast standalone full-text SQL search engine
 Sphinx is a standalone full text search engine, meant to provide fast,
 size-efficient and relevant fulltext search functions to other applications.
 Sphinx was specially designed to integrate well with SQL databases and
 scripting languages. Currently built-in data sources support fetching data
 either via direct connection to MySQL or PostgreSQL, or using XML pipe
 mechanism (a pipe to indexer in special XML-based format which Sphinx
 recognizes).
 .
 Sphinx is an acronym which is officially decoded as SQL Phrase Index.

Package: sphinxtrain
Description-md5: 27f79522d399df08a9f2b1ce90c3a9f0
Description-en: Speech recognition tool - training tool
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains the training tool

Package: spi-tools
Description-md5: 461645ab993919a5982f7078a0afaa84
Description-en: Simple command line tools to help using Linux spidev devices
 This package contains spi-config and spi-pipe, simple command line tools to
 help using Linux spidev devices.

Package: spice-client-glib-usb-acl-helper
Description-md5: f99d786651ad7edf23a6ce3477795df8
Description-en: Helper tool to validate usb ACLs
 When passthrough USB devices to VMs, spice-client-glib-usb-acl-helper
 is called to validate usb ACLs via policykit policy

Package: spice-client-gtk
Description-md5: c2c35b823b3aca57ed6b062fc23200bd
Description-en: Simple clients for interacting with SPICE servers
 Simple clients for interacting with SPICE servers. This package
 includes:
 .
 spicy - GTK+ based SPICE client tool
 spicy-screenshot - SPICE screen-shot capture tool
 spicy-stats - SPICE connection details display tool

Package: spice-webdavd
Description-md5: b19e630e064a618cf78025aff7367cd8
Description-en: daemon to proxy WebDAV request to the Spice virtio channel
 phởdav is a WebDAV server implementation using libsoup (RFC 4918).
 .
 This package contains a daemon to proxy WebDAV request to the Spice virtio
 channel.

Package: spigot
Description-md5: a1a794e80d4c2b944b267349d400693b
Description-en: Exact real calculator
 spigot is an exact real calculator: that is, you give it a
 mathematical expression to evaluate, and it computes it to any
 desired precision, by default simply printing digits to standard
 output until it is interrupted.

Package: spim
Description-md5: a6c54db6224f26d25e74ec04a57d2b52
Description-en: MIPS R2000/R3000 emulator
 Emulates a MIPS R2000/R3000 processor in software.
 Useful for students who are taught MIPS R2000/R3000 assembly.
 .
 SPIM S20 is a software simulator that runs assembly language programs for
 the MIPS R2000/R3000 RISC computers.  SPIM can read and immediately run
 files containing assembly language statements.  SPIM is a self-contained
 system for running these programs and contains a debugger and interface to
 the operating system.

Package: spin
Description-md5: 6f4b806803aec0404f0de2b0f81b16b3
Description-en: formal software verification tool
 Spin is a popular open-source software verification tool, used by thousands
 of people worldwide. The tool can be used for the formal verification of
 multi-threaded software applications. The tool was developed at Bell Labs
 in the Unix group of the Computing Sciences Research Center, starting in 1980.
 .
 The software has been available freely since 1991, and continues to evolve to
 keep pace with new developments.
 .
 In April 2002 the tool was awarded the ACM System Software Award.

Package: spinner
Description-md5: 04185d75222a0e789cac02e8b9fc4353
Description-en: Sends small packets over a idle link
 spinner sends small packets (null packets or a fancy ASCII spin
 fan with motion) to keep a link up.
 .
 It is the perfect application to keep alive a connection over
 routers which disconnect a link after some idle time.

Package: spip
Description-md5: 9164b16e6be7baea731f39dceca115b4
Description-en: website engine for publishing
 SPIP is a publishing system for the Internet in which great importance
 is attached to collaborative working, to multilingual environments,
 and to simplicity of use for web authors.
 .
 SPIP's benefit consists in:
 .
  * managing a magazine type site i.e. made up mainly of
    articles and news items inserted in an arborescence
    of sections nested in each others.
  * completely separating and distributing three kinds of tasks
    over various players: the graphic design, the site editorial
    input through the submission of articles and news items and
    the site editorial management.
  * spare the webmaster and all the participants to the life of
    the site, a number of tedious aspects of web publishing as
    well as the need to learn lengthy technical skills.
    SPIP allows you to start creating your sections and
    articles straight away.

Package: spiped
Description-md5: 6e2d4a3d6fe7fbc4faac44754e4314ee
Description-en: create secure pipes between socket addresses
 spiped (pronounced "ess-pipe-dee") is a utility for creating symmetrically
 encrypted and authenticated pipes between socket addresses, so that one may
 connect to one address (e.g., a UNIX socket on localhost) and transparently
 have a connection established to another address (e.g., a UNIX socket on a
 different system).  This is similar to 'ssh -L' functionality, but does not
 use SSH and requires a pre-shared symmetric key.
 .
 spipe (pronounced "ess-pipe") is a utility which acts as an spiped protocol
 client (i.e., connects to an spiped daemon), taking input from the standard
 input and writing data read back to the standard output.

Package: spirv-headers
Description-md5: 3ce109991c22ca150f0cb7f5fb06b123
Description-en: Machine-readable files for the SPIR-V Registry
 This package includes:
  - Header files for various languages
  - JSON files describing the grammar for the SPIR-V core instruction
    set and the extended instruction sets.
  - The XML registry file.
 .
 For more info, see https://github.com/KhronosGroup/SPIRV-Headers

Package: spirv-tools
Description-md5: 29623de7313761ddb262dbbcaa58208a
Description-en: API and commands for processing SPIR-V modules
 The project includes an assembler, binary module parser, disassembler,
 validator, and optimizer for SPIR-V. Except for the optimizer, all are
 based on a common static library. The library contains all of the
 implementation details, and is used in the standalone tools whilst
 also enabling integration into other code bases directly. The
 optimizer implementation resides in its own library, which depends on
 the core library.

Package: spl
Description-md5: b410e0ffa9fce7c3fe01d4e78150bb07
Description-en: Solaris Porting Layer user-space utilities for Linux (dummy)
 The Solaris Porting Layer (SPL) is a Linux kernel module which provides
 many of the Solaris kernel APIs. This shim layer makes it possible to
 run Solaris kernel code in the Linux kernel with relatively minimal
 modification. The Solaris Porting LAyer Tests (SPLAT) is a Linux kernel
 module which provides a testing harness for the SPL module.
 .
 SPL can be particularly useful when you want to track upstream Illumos
 (or any other OpenSolaris fork) development closely and don't want the
 overhead of maintaining a large patch which converts Solaris primitives
 to Linux primitives.
 .
 This is a transitional dummy package. It can safely be removed.

Package: spl-dkms
Description-md5: 71853a88d424d6856a437f2a601d0de0
Description-en: Solaris Porting Layer kernel modules for Linux (dummy)
 The Solaris Porting Layer (SPL) is a Linux kernel module which provides
 many of the Solaris kernel APIs. This shim layer makes it possible to
 run Solaris kernel code in the Linux kernel with relatively minimal
 modification. The Solaris Porting LAyer Tests (SPLAT) is a Linux kernel
 module which provides a testing harness for the SPL module.
 .
 SPL can be particularly useful when you want to track upstream Illumos
 (or any other OpenSolaris fork) development closely and don't want the
 overhead of maintaining a large patch which converts Solaris primitives
 to Linux primitives.
 .
 This is a transitional dummy package. It can safely be removed.
 SPL dkms files had been merged into zfs-dkms as of ZFS 0.8.X series.

Package: splash
Description-md5: 546d70c71158fe8683a0f326af556261
Description-en: Visualisation tool for Smoothed Particle Hydrodynamics simulation
 This (formerly SUPERSPHPLOT) is a visualisation tool for output from
 (astrophysical) simulations using the Smoothed Particle Hydrodynamics
 (SPH) method in one, two and three dimensions. It is written in
 Fortran 90 and can utilise the PGPLOT graphics subroutine library to do
 the actual plotting. It is based around a command-line menu structure
 but utilises the interactive capabilities of PGPLOT to manipulate data
 interactively in the plotting window.

Package: splat
Description-md5: d9fcc55553a7d56fb3f5c57c81032661
Description-en: analyze point-to-point terrestrial RF communication links
 SPLAT! is a Surface Path Length And Terrain analysis application written
 for Linux and Unix workstations.  SPLAT! analyzes point-to-point terrestrial
 RF communication links, and provides information useful to communication
 system designers and site engineers.

Package: splay
Description-md5: 6dc00f3e310a6324f423fd3dad4a2780
Description-en: Sound player for MPEG-1,2 layer 1,2,3
 Based on maplay, this package decodes layer I, II, and III MPEG audio
 streams/files and plays them from the command line.

Package: spline
Description-md5: 9a94e7b41b47ec1e88f8a9261b56ebe8
Description-en: Akima spline interpolation
 aspline(1) interpolates an Akima-spline through a series of given points.
 The Akima-spline interpolation approximates a manually drawn curve better
 than the ordinary splines, but the second derivative is not continuous.
 .
 The Akima-spline algorithm is described in "A New Method of Interpolation and
 Smooth Curve Fitting Based on Local Procedures", H. Akima, J. Assoc. Comput.
 Mach., 17(4), 1970, pp. 589-602. doi:10.1145/321607.321609

Package: splint
Description-md5: 8e7e32bbdb6feca91226586802c3b837
Description-en: tool for statically checking C programs for bugs
 splint is an annotation-assisted lightweight static checker.  It is a tool for
 statically checking C programs for security vulnerabilities and coding
 mistakes.  If additional effort is invested in adding annotations to programs,
 splint can perform stronger checking.
 .
 splint does many of the traditional lint checks including unused declarations,
 type inconsistencies, use before definition, unreachable code, ignored return
 values, execution paths with no return, likely infinite loops, and fall
 through cases.  Problems detected by Splint include:
 .
  * Dereferencing a possibly null pointer
  * Using or returning storage that is undefined or not properly defined
  * Type mismatches, with greater precision and flexibility than by C compilers
  * Memory management errors like use of dangling references and memory leaks
  * Inconsistent (with specified interface) global variable modification or use
  * Problematic control flow such as likely infinite loops etc.
  * Buffer overflow vulnerabilities
  * Dangerous macro implementations or invocations
  * Violations of customized naming conventions

Package: splint-data
Description-md5: 7139e6a31ed47ad473a519d0c0a030a8
Description-en: tool for statically checking C programs for bugs - data files
 splint is an annotation-assisted lightweight static checker.  It is a tool for
 statically checking C programs for security vulnerabilities and coding
 mistakes.  If additional effort is invested in adding annotations to programs,
 splint can perform stronger checking.
 .
 splint does many of the traditional lint checks including unused declarations,
 type inconsistencies, use before definition, unreachable code, ignored return
 values, execution paths with no return, likely infinite loops, and fall
 through cases.  Problems detected by Splint include:
 .
  * Dereferencing a possibly null pointer
  * Using or returning storage that is undefined or not properly defined
  * Type mismatches, with greater precision and flexibility than by C compilers
  * Memory management errors like use of dangling references and memory leaks
  * Inconsistent (with specified interface) global variable modification or use
  * Problematic control flow such as likely infinite loops etc.
  * Buffer overflow vulnerabilities
  * Dangerous macro implementations or invocations
  * Violations of customized naming conventions
 .
 This package contains the data files that are necessary to use splint.

Package: splint-doc-html
Description-md5: e5ce463a12117e8f1538ac41a10545ac
Description-en: tool for statically checking C programs for bugs - HTML documentation
 splint is an annotation-assisted lightweight static checker.  It is a tool for
 statically checking C programs for security vulnerabilities and coding
 mistakes.  If additional effort is invested in adding annotations to programs,
 splint can perform stronger checking.
 .
 splint does many of the traditional lint checks including unused declarations,
 type inconsistencies, use before definition, unreachable code, ignored return
 values, execution paths with no return, likely infinite loops, and fall
 through cases.  Problems detected by Splint include:
 .
  * Dereferencing a possibly null pointer
  * Using or returning storage that is undefined or not properly defined
  * Type mismatches, with greater precision and flexibility than by C compilers
  * Memory management errors like use of dangling references and memory leaks
  * Inconsistent (with specified interface) global variable modification or use
  * Problematic control flow such as likely infinite loops etc.
  * Buffer overflow vulnerabilities
  * Dangerous macro implementations or invocations
  * Violations of customized naming conventions
 .
 This package contains the manual (HTML documentation) for splint.

Package: split-select
Description-md5: f4e2d6d03ce279ebaad9009d493c201e
Description-en: APK split and selection tool
 Generates the logic for selecting a Split APK given some target Android device
 configuration.
 .
 Using the flag --generate will emit a JSON encoded tree of rules that must be
 satisfied in order to install the given Split APK. Using the flag --target
 along with the device configuration will emit the set of Split APKs to install,
 following the same logic that would have been emitted

Package: splitpatch
Description-md5: 34f2ebb639346a663b618388ce249856
Description-en: split the patch up into files or hunks
 Divide a patch or diff file into pieces. The split can made by file
 or by hunk basis. This makes is possible to separate changes that
 might not be desirable or assemble the patch into more coherent set
 of changes.
 .
 The hunk option opens up possibility to compare similar patches
 hunk-by-hunk using tool like interdiff(1) from patchutils package.
 Operating on hunk level also gives more control, similar to Git, to
 selectively shelve in changes e.g. to Version Control repository.

Package: splitvt
Description-md5: 866a80345ca9edd16ce61aee19de8327
Description-en: run two programs in a split screen
 A utility to split a vt100 compatible screen into two halves,
 upper and lower, and run a different program simultaneously
 in each half.

Package: spoa
Description-md5: 6d886275fce52caa820f8260165fc77b
Description-en: SIMD partial order alignment tool
 Spoa (SIMD POA) is a c++ implementation of the partial order alignment
 (POA) algorithm (as described in 10.1093/bioinformatics/18.3.452) which
 is used to generate consensus sequences (as described in
 10.1093/bioinformatics/btg109). It supports three alignment modes: local
 (Smith-Waterman), global (Needleman-Wunsch) and semi-global alignment
 (overlap).

Package: spooles-doc
Description-md5: 5e713060d748756ce03744f19c4510f5
Description-en: SPOOLES numerical simulation pre- and post-processor documentation
 SPOOLES is a library for solving sparse real and complex linear systems of
 equations, written in the C language using object oriented design.
 .
 This package contains the documentation for SPOOLES.

Package: spotlighter
Description-md5: 007f756d6b76930d1ea1713b02123b83
Description-en: gtk interface to make annotations on the screen
 Spotlighter is a tool that shows a movable and resizable spotlight  on the
 desktop screen. This is especially useful when making presentations, to
 highlight point of interest.
 .
 Spotlighter is XInput-Aware, so you can use it with a graphic tablet or a
 whiteboard.
 .
 This program has been implemented for educational purposes.

Package: spotweb
Description-md5: 1224486d88f9520fafa1114e69787cdc
Description-en: web interface to search and filter Usenet spots
 SpotWeb is a web-based version of the decentralized news-indexing system
 SpotNet, which fetches Usenet "spots" - postings that contain information
 about uploaded binaries. SpotWeb allows searching, filtering, and viewing
 of the spots and comments. It integrates with NZB downloaders such as
 NZBget and SABnzbd+ to download the binaries from Usenet.

Package: spout
Description-md5: 94d75747720c4d414efa06e2444a69b7
Description-en: Tiny abstract black and white 2D cave-shooter
 This is a small, abstract shooting game from Japanese developer Kuni. It is a
 'caveflier' in which the exhaust from the engine also serves as a tool to
 erode the cave walls.
 .
 Your goal is to fly upwards as long as you possibly can, without crashing
 against the cave walls and the window borders.

Package: spring
Description-md5: b19d83a711f89edba8c3a45789408e6f
Description-en: modern full-3D RTS game engine
 Spring is a modern full-3D RTS (Real Time Strategy) game engine originally
 created to bring the gameplay experience of Total Annihilation into 3D.
 Games are played using one of a number of mods.
 .
 This package just includes the game engine and the default AI, with no maps,
 mods or rich user interface.

Package: spring-common
Description-md5: f9836717e75f09938ecab640e76b8b39
Description-en: modern full-3D RTS game engine (common files)
 Spring is a modern full-3D RTS (Real Time Strategy) game engine originally
 created to bring the gameplay experience of Total Annihilation into 3D.
 Games are played using one of a number of mods.
 .
 This package just includes the game engine's arch independent files, with no
 maps, mods or rich user interface.

Package: spring-javaai
Description-md5: 06f23455da6916ab67ade559b10c550d
Description-en: modern full-3D RTS game engine (Java AIs)
 Spring is a modern full-3D RTS (Real Time Strategy) game engine originally
 created to bring the gameplay experience of Total Annihilation into 3D.
 Games are played using one of a number of mods.
 .
 This package contains Java based AIs and the spring interface libraries these
 require.

Package: spring-maps-kernelpanic
Description-md5: 981059f058a8e23df7f81a0aa0a03ddd
Description-en: Additional maps for Spring for the Kernel Panic mod
 This package contains additional maps for the Spring engine designed for
 the Kernel Panic mod.

Package: spring-mods-kernelpanic
Description-md5: 21fb68f3970a4f4fb8fc9b498db2afe5
Description-en: The Kernel Panic game for the Spring engine, a fast-paced RTS with no economy
 Kernel Panic is a game about computers. Systems, Hackers, and Networks wage war
 in a matrix of DOOM! The only constraints are time and space; unlike other real
 time strategy games, no resource economy exists in Kernel Panic.
 .
 All units are free in this game, every factory built will be spamming units at
 all times. You can build more factories, but only on pre-defined areas
 (geothermal vents). All that remains is pure strategy and tactics.
 .
 Kernel Panic makes for a frantically fast-paced, action-oriented game, with a
 very unique graphical style.

Package: springlobby
Description-md5: 8e9f7f4ee8419bba7a9457f03ad13fb2
Description-en: single/multiplayer lobby for the Spring RTS engine
 This package provides a single-player and multiplayer lobby for Spring.
 Other features include a P2P system for downloading maps and mods and
 a built-in IRC-like chat client.

Package: sptk
Description-md5: f1739d13be5369d3ef007a2521108886
Description-en: speech signal processing toolkit
 The Speech Signal Processing Toolkit (SPTK) is a suite of speech
 signal processing tools for UNIX environments developed by the SPTK
 working group and some graduate students in Nagoya Institute of
 Technology.

Package: sputnik
Description-md5: 120d44b1cb5842552a8057865c8d6a16
Description-en: Extensible wiki
 Sputnik is a wiki written in Lua. It is also a platform for building a range
 of wiki-like applications, drawing on Lua's strengths as an extension
 language.
 .
 Out of the box Sputnik behaves like a wiki with all the standard wiki
 features: editable pages, protection against spam bots, history view of pages,
 diff, preview, per-page-RSS feed for site changes.
 .
 At the same time, Sputnik is designed to be used as a platform for a wide
 range of "social software" applications. Sputnik stores its data as versioned
 "pages" that can be editable through the web, and it allows those pages to
 store any data that can be saved as text (prose, comma-separated values, lists
 of named parameters, Lua tables, mbox-formatted messages, XML, etc.) While by
 default the page is displayed as if it carried Markdown-formatted text, the
 way the page is viewed (or edited, or saved, etc.) can be overridden on a
 per-page basis by over-riding or adding "actions".
 .
 The packages provide a wide range of storage modules that may require
 one of the suggested packages: git, lua5.1-sql-sqlite3, lua5.1-sql-mysql.
 .
 The easiest form of deployment is by using the Xavante web server.

Package: spview
Description-md5: 42d5d8ca65158d6860ed65ce3ef93cc6
Description-en: Spectrum Viewer
 SPVIEW is a multiplatform Java application that allows graphical assignment of
 high-resolution molecular spectra. It is possible to load, display and
 manipulate experimental and simulated spectra (XY ASCII format) as well as
 stick spectra in various formats (including HITRAN format).

Package: spyder
Description-md5: a666c81089abbf748b59dc5637d9b47e
Description-en: Python IDE for scientists
 Spyder (previously known as Pydee) is a free open-source Python
 development environment providing MATLAB-like features in a simple
 and light-weighted software
 .
 This package provides the application for Python 3.

Package: spyder-common
Description-md5: 51e5f4169474560bc23dbab23f805af9
Description-en: common files for spyder
 Originally written to design Spyder (the Scientific PYthon
 Development EnviRonment), the spyderlib Python library provides
 ready-to-use pure-Python widgets: source code editor with syntax
 highlighting and code introspection/analysis features, NumPy array
 editor, dictionary editor, Python console, etc. It's based on the Qt
 Python binding module PyQt4 (and is compatible with PySide since
 v2.2).
 .
 This package provides the common files.

Package: spyder3
Description-md5: ae6d62d7d3dcef3ea2698ef2b871ca46
Description-en: Python IDE for scientists
 Spyder (previously known as Pydee) is a free open-source Python
 development environment providing MATLAB-like features in a simple
 and light-weighted software
 .
 This is a transitional package depending on the spyder package
 (which provides the application for Python 3).
 It can be removed after installation.

Package: sqitch
Description-md5: bc2bda2487b921a403ba3ccd5642d854
Description-en: sane database change management
 Sqitch provides a simple yet robust interface for database change
 management. The philosophy and functionality is inspired by
 Git.

Package: sqlacodegen
Description-md5: 1f97ee23d86f62129d273f453c8554fe
Description-en: Automatic model code generator for SQLAlchemy
 This is a tool that reads the structure of an existing database and generates
 the appropriate SQLAlchemy model code, using the declarative style if
 possible.

Package: sqlcipher
Description-md5: df5d6eb95e55a0edac15563747fa0cd0
Description-en: Command line interface for SQLCipher
 SQLCipher is a C library that implements an encryption in the SQLite 3
 database engine.  Programs that link with the SQLCipher library can have SQL
 database access without running a separate RDBMS process.  It allows one to
 have per-database or page-by-page encryption using AES-256 from OpenSSL.
 .
 SQLCipher has a small footprint and great performance so it’s ideal for
 protecting embedded application databases and is well suited for mobile
 development.
 .
  * as little as 5-15% overhead for encryption
  * 100% of data in the database file is encrypted
  * Uses good security practices (CBC mode, key derivation)
  * Zero-configuration and application level cryptography
  * Algorithms provided by the peer reviewed OpenSSL crypto library.
 .
 SQLCipher has broad platform support for with C/C++, Obj-C, QT, Win32/.NET,
 Java, Python, Ruby, Linux, Mac OS X, iPhone/iOS, Android, Xamarin.iOS, and
 Xamarin.Android.
 .
 SQLCipher v3.4.1 is based on SQLite3 v3.15.2.

Package: sqlformat
Description-md5: f2cb2e72112fd06ed30d9dad2fc0081d
Description-en: SQL formatting utility
 The sqlformat command-line tool can be used to reformat SQL file according to
 specified options or prepare a snippet in some programming language (only
 Python and PHP currently supported).

Package: sqlgrey
Description-md5: 0af64c474ae764d696b9ed13836af1bd
Description-en: Postfix Greylisting Policy Server
 A policy server for Postfix implementing greylisting.
 .
 Could be configured to use MySQL, PostgreSQL or SQLite. PostgreSQL is
 recommended by author.

Package: sqlite
Description-md5: a20b0b871118ab307b13141113cbe11f
Description-en: command line interface for SQLite 2
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.
 .
 NOTE: This package is SQLite version 2. Most programs that use
 SQLite use SQLite version 3. See the "sqlite3" package for that.

Package: sqlite-doc
Description-md5: c5763b2fdcd6cb8b1185853500bd8ead
Description-en: SQLite 2 documentation
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.
 .
 NOTE: This package is SQLite version 2. Most programs that use
 SQLite use SQLite version 3. See the "sqlite3-doc" package for that.
 .
 This package contains the documentation that is also available on
 the SQLite homepage.

Package: sqlite3-pcre
Description-md5: e9c47eb87a840fa2b10ecdd8770d3fc7
Description-en: Perl-compatible regular expression support for SQLite
 This SQLite loadable extension enables the REGEXP operator,
 which is not implemented by default, to call PCRE routines
 for regular expression matching.

Package: sqlitebrowser
Description-md5: 4c95f94ef68715256356f5c1413d7045
Description-en: GUI editor for SQLite databases
 SQLite Database Browser is a visual tool used to create, design and edit
 database files compatible with SQLite. Its interface is based on QT,
 and is meant to be used for users and developers that want to create
 databases, edit and search data using a familiar spreadsheet-like
 interface, without the need to learn complicated SQL commands.
 Controls and wizards are available for users to:
  - Create and compact database files
  - Create, define, modify and delete tables
  - Create, define and delete indexes
  - Browse, edit, add and delete records
  - Search records
  - Import and export records as text
  - Import and export tables from/to CSV files
  - Import and export databases from/to SQL dump files
  - Issue SQL queries and inspect the results
  - Examine a log of all SQL commands issued by the application
 .
 SQLite Database Browser is not a visual shell for the sqlite command line
 tool. It does not require familiarity with SQL commands.

Package: sqlline
Description-md5: c51529813a5f26790adf7cbe0c2c4ef9
Description-en: JDBC command-line utility for issuing SQL
 SQLLine is a pure-Java console based utility for connecting to
 relational databases and executing SQL commands.
 .
 It is similar to other command-line database access utilities like
 sqlplus for Oracle, mysql for MySQL, and isql for Sybase/SQL Server.
 .
 Since it is pure-Java, it is platform independent, and will run on
 any platform that can run Java 1.3 or higher.

Package: sqlmap
Description-md5: 036098a99a4f2acc4ad723ec212bfdd6
Description-en: automatic SQL injection tool
 sqlmap goal is to detect and take advantage of SQL injection
 vulnerabilities in web applications. Once it detects one or more SQL
 injections on the target host, the user can choose among a variety of
 options to perform an extensive back-end database management system
 fingerprint, retrieve DBMS session user and database, enumerate users,
 password hashes, privileges, databases, dump entire or user's specific
 DBMS tables/columns, run his own SQL statement, read specific files on
 the file system and more.

Package: sqlobject-admin
Description-md5: f2110cfab53ff3885ba910e238a22996
Description-en: Helper applications for SQLObject, a Python ORM for databases
 SQLObject is an object-relational mapper. It allows you to translate RDBMS
 table rows into Python objects, and manipulate those objects to transparently
 manipulate the database.
 .
 In using SQLObject, you will create a class definition that will describe how
 the object connects to the database (in addition to any other methods you may
 wish to add to the class). SQLObject will produce the code to access the
 database, and update the database with your changes. The interface to the
 database is meant to be indistinguishable from other interfaces you may add
 to the object.
 .
 SQLObject also includes a novel feature to generate WHERE clauses using
 Python syntax and objects (instead of generating SQL using string
 substitution, as is traditional).
 .
 This package includes the sqlobject-admin and sqlobject-convertOldURI helper
 applications for use with SQLObject.

Package: sqlsmith
Description-md5: 8c6f7ff89c0fbbe6c5b8022c933e8268
Description-en: random SQL query generator
 SQLsmith is a random SQL query generator. Its paragon is Csmith, which proved
 valuable for quality assurance in C compilers.
 .
 It currently supports generating queries for PostgreSQL 9.5+ and sqlite3.
 .
 Besides PostgreSQL developers, users developing extensions of PostgreSQL might
 also be interested in exposing their code to SQLsmith's random workload.
 .
 During its prototyping stage, it already found about thirty bugs in
 PostgreSQL alphas, betas and releases, including security vulnerabilities in
 released versions.

Package: sqsh
Description-md5: 84a4f65b98fefe5da54af14e125f3e7d
Description-en: commandline SQL client for MS SQL and Sybase servers
 sqsh is a flexible commandline utility that uses the freetds libraries
 to connect to Sybase or Microsoft SQL servers.  It is a useful debugging
 tool for identifying problems with other SQL applications, and it can be
 used as a productivity tool in its own right:  unlike most SQL CLIs, sqsh's
 interactive shell lets you pipe the output of SQL queries directly to other
 Unix commands for further processing.

Package: squareness
Description-md5: c821ff197530da5d45f1628a8ec79839
Description-en: suite of skins for different applications
 Squareness is a suite of skins for different platforms and
 applications (e.g. Windowblinds, Java Look And Feel, Mozilla Firefox)
 with the intent to create a uniform look and feel for all those
 platforms and applications.

Package: squashfs-tools-ng
Description-md5: 84c7309883317bf3687939dc46c4a0d9
Description-en: New set of tools for working with SquashFS images
 SquashFS is a highly compressed read-only filesystem for Linux, optimized
 for small size and high packing density. It is widely used in embedded
 systems and bootable live media.
 .
 SquashFS supports many different compression formats, such as zstd, xz,
 zlib or lzo for both data and metadata compression. It has many features
 expected from popular filesystems, such as extended attributes and support
 for NFS export.
 .
 As the name suggests, this is not the original user space tooling for
 SquashFS. Here are some of the features that primarily distinguish this
 package from the original:
   - reproducible SquashFS images, i.e. deterministic packing without
     any local time stamps,
   - Linux `gen_init_cpio` like file listing for micro managing the
     file system contents, permissions, and ownership without having to
     replicate the file system (and especially permissions) locally,
   - support for SELinux contexts file (see selabel_file(5)) to generate
     SELinux labels.

Package: squashfuse
Description-md5: 0abda75cb957b3b82c5f13bc4875582d
Description-en: FUSE filesystem to mount squashfs archives
 This lets you mount SquashFS archives in user-space. It supports almost
 all features of the SquashFS format, yet is still fast and memory-efficient.
 .
 SquashFS is an efficiently compressed, read-only storage format.
 Support for it  has been built into the Linux kernel since 2009. It is
 very common on Live CDs  and embedded Linux distributions.

Package: squeak-plugins-scratch
Description-md5: 90fefe9553e9b251b1efeffe7716c394
Description-en: Squeak plugins for the Scratch programming environment
 Scratch is an easy, interactive, collaborative programming
 environment designed for creation of interactive stories, animations,
 games, music, and art -- and sharing these on the web.
 .
 Scratch is designed to help young people (ages 8 and up) develop 21st
 century learning skills. As they create Scratch projects, young people
 learn important mathematical and computational ideas, while also
 gaining a deeper understanding of the process of design.
 .
 This package contains the plugins needed by Scratch and its derivatives.

Package: squeak-plugins-scratch-dbg
Description-md5: 83fb1f36bad2540ba1c4aa1a74fa007d
Description-en: Squeak plugins for the Scratch programming environment - debug
 Scratch is an easy, interactive, collaborative programming
 environment designed for creation of interactive stories, animations,
 games, music, and art -- and sharing these on the web.
 .
 This package contains the debugging symbols for the plugins.

Package: squeak-vm
Description-md5: 50fd9a9de6f5daf980b94fd1d1ef93f7
Description-en: virtual machine for Smalltalk
 Squeak is a full-featured implementation of the Smalltalk programming
 language and environment based on (and largely compatible with) the
 original Smalltalk-80 system.
 .
 This package contains just the Unix Squeak virtual machine.  You will
 likely need also an image file containing a "snapshot" of a live Squeak
 session - e.g. one of the Debian packages etoys or scratch.

Package: squeezelite
Description-md5: 57dea76939e1584f158024002e6843a7
Description-en: lightweight headless Squeezebox emulator - ALSA version
 Squeezelite is a small headless Squeezebox emulator. It is aimed at
 supporting high quality audio including USB DAC based output at multiple
 sample rates.
 .
 It supports decoding PCM (WAV/AIFF), FLAC, MP3, Ogg, AAC, WMA and ALAC
 audio formats. It can also resample audio, which allows squeezelite to
 upsample the output to the highest sample rate supported by the output
 device.
 .
 This package is built with the resampling, ffmpeg and visualisation export
 options. It uses ALSA for audio output.

Package: squeezelite-pa
Description-md5: df5e35ac926c3bfdbb2f7b3753b7660e
Description-en: lightweight headless Squeezebox emulator - PortAudio version
 Squeezelite is a small headless Squeezebox emulator. It is aimed at
 supporting high quality audio including USB DAC based output at multiple
 sample rates.
 .
 It supports decoding PCM (WAV/AIFF), FLAC, MP3, Ogg, AAC, WMA and ALAC
 audio formats. It can also resample audio, which allows squeezelite to
 upsample the output to the highest sample rate supported by the output
 device.
 .
 This package is built with the resampling, ffmpeg and visualisation export
 options. It uses PortAudio for audio output.

Package: squid-cgi
Description-md5: d0bf1074b507dacb37098691582296d3
Description-en: Full featured Web Proxy cache (HTTP proxy) - control CGI
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, ICY and HTTP data objects.
 .
 This package contains a CGI program that can be used to query and administrate
 a `squid' proxy cache through a web browser.

Package: squid-deb-proxy
Description-md5: 4497a417bd9b0636a1d4551833596b68
Description-en: Squid proxy configuration to optimize package downloads
 This package contains a Squid proxy configuration to manage a cache
 of .deb downloads, with optimizations for the types of file present
 in  package repositories, and using a dedicated directory and port.

Package: squid-deb-proxy-client
Description-md5: 856848851e6fb0024f8c246f90d908b4
Description-en: automatic proxy discovery for APT based on Avahi
 This package contains a helper for the APT http method to discover
 proxies that publish their service as _apt_proxy._tcp.
 .
 It was written to be installed on clients that should use
 squid-deb-proxy automatically, but it will work with any proxy
 that publishes the service as _apt_proxy._tcp.

Package: squid-purge
Description-md5: 6b0c45a8b98e5c8365894c6c648205d7
Description-en: Full featured Web Proxy cache (HTTP proxy) - cache management utility
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, ICY and HTTP data objects.
 .
 This package contains a small utility that can be used to manage the disk cache
 from the command line.

Package: squidclient
Description-md5: 50857a05e6c54a91b3123291e8661995
Description-en: Full featured Web Proxy cache (HTTP proxy) - HTTP(S) message utility
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, ICY and HTTP data objects.
 .
 This package contains a small utility that can be used to get URLs from the
 command line.

Package: squidguard
Description-md5: e2e156eba1b4edf0e2b9f6dfec3e09e6
Description-en: filter and redirector plugin for Squid
 squidGuard is a free, flexible and ultra fast filter, redirector
 and access controller plugin for squid.  It lets you define multiple
 access rules with different restrictions for different user groups on
 a squid cache.  squidGuard uses squid's standard redirector interface.
 .
 Three seldom used contrib scripts need perl support:
 squidGuardRobot, sgclean, hostbyname

Package: squidguard-doc
Description-md5: e99885c30a3c5ef9bef51e12df60fb0f
Description-en: filter and redirector plugin for Squid - Documentation
 squidGuard is a free, flexible and ultra fast filter, redirector
 and access controller plugin for squid.  It lets you define multiple
 access rules with different restrictions for different user groups on
 a squid cache.  squidGuard uses squid's standard redirector interface.
 .
 This package contains some older html and txt documentation.

Package: squidtaild
Description-md5: 388fd808636d30fa53e2cab953f2d9dc
Description-en: Squid log monitoring program
 Squidtaild is a very fast, highly configurable Perl
 program that will dynamically create html pages that
 display the violations that people have made against
 one or more of the filters you have applied to the
 squid proxy logging system.

Package: squidview
Description-md5: ecfbd4d067b3bf304273d710cd3752ad
Description-en: monitors and analyses squid access.log files
 squidview is a ncurses-based application which allows you to view
 and monitor your squid's access.log in a very comfortable way.
 Additionally you can create reports and statistics.

Package: squirrel3
Description-md5: 5cf3fb7260ee5375f83baf79ba48d1a3
Description-en: lightweight, high-level, embeddable programming language
 Squirrel is a high-level imperative, object-oriented programming
 language, designed to be a lightweight scripting language that fits
 in the size, memory bandwidth, and real-time requirements of
 applications like video games.
 .
 This package contains the Squirrel command line interpreter and
 bytecode compiler.

Package: squishyball
Description-md5: 2e77d4a52b0b2afca728d3e2bc910290
Description-en: audio sample comparison testing tool
 squishyball is a simple command-line utility for performing
 double-blind A/B, A/B/X or X/X/Y (A/B/X with additional sample order
 randomisation) testing of audio samples on the command line.
 .
 The user specifies two input files to be compared and uses the
 keyboard during playback to flip between the randomized samples to
 perform on-the-fly comparisons.  After a predetermined number of
 trials, squishyball prints the trial results to stdout and exits.
 .
 squishyball can be used to help establish what lossy audio codec
 settings are optimal for a particular combination of user and
 audio equipment.

Package: squizz
Description-md5: bc3740090e83852f305674ef9e3729c5
Description-en: Converter for genetic sequences and alignments
 Squizz is a sequence/alignment format checker, but it has some
 conversion capabilities too.
 Most common sequence and alignment formats are supported :
  - EMBL, FASTA, GCG, GDE, GENBANK, IG, NBRF, PIR (codata), RAW, and
   SWISSPROT.
  - CLUSTAL, FASTA, MEGA, MSF, NEXUS, PHYLIP (interleaved and sequential) and
   STOCKHOLM.

Package: sqv
Description-md5: 3c6f44e7b0b5188294ff17feb6a04a15
Description-en: Simple OpenPGP signature verification program
 This package contains the following binaries built from the Rust crate
 "sequoia-sqv":
  - sqv

Package: sqwebmail
Description-md5: ba58b55a2bbe8efe6abfe55d02dd61cc
Description-en: Courier mail server - webmail server
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 TLS, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 This package contains the SqWebMail webmail server, which
 provides access to local mailboxes in Maildir format.

Package: sqwebmail-de
Description-md5: 728056a38d33280b0c8eabae4885c139
Description-en: German translations for the SqWebMail webmail service
 The SqWebMail webmail server provides access to local mailboxes in Maildir
 format.
 .
 This package contains HTML templates to provide a German user interface.
 They are selected by SqWebMail based on the browser settings (HTTP
 Accept-Language header).

Package: src2tex
Description-md5: 98ad464436e8d6a44dbf03011e510126
Description-en: converter from source program files to TeX format files
 src2tex [resp. src2latex] is a sort of text converter from BASIC, C,
 C++, OBJECTIVE-C, COBOL, FORTRAN, HTML, JAVA, LISP, MAKE, PASCAL,
 PERL, SCHEME, SHELL, TCL/TK and ASIR, MACSYMA, MAPLE, MATHEMATICA,
 MATLAB, MAXIMA, MuPAD, OCTAVE, REDUCE to TeX [resp. LaTeX]. However,
 it is not a simple pretty-printer; actually, it is designed to
 fulfill the following desires:
 .
  (1) We want to write mathematical formulae in comment area of source
      program.
  (2) We would like to patch PS or EPS figures upon source program.
  (3) We need a simple and easy-to-use tool which enables to combine
      documentation and manual with source program.
  (4) We often have to translate our program from text format to TeX
      format when we want to quote our own program in research report,
      lecture note, etc. That is quite time consuming, so it should be
      automated.

Package: srecord
Description-md5: b2a5b2ee7fcec28a9e110286a00474c8
Description-en: collection of powerful tools for manipulating EPROM load files
 The srecord package is a collection of powerful tools for manipulating EPROM
 load files. It reads and writes numerous EPROM file formats, and can perform
 many different manipulations.
 .
 The tools include:
  * The srec_cat program may be used to catenate (join) EPROM load files, or
    portions of EPROM load files, together. Because it understands all of the
    input and output formats, it can also be used to convert files from one
    format to another. It also understands filters.
  * The srec_cmp program may be used to compare EPROM load files, or portions
    of EPROM load files, for equality.
  * The srec_info program may be used to print summary information about EPROM
    load files.

Package: sredird
Description-md5: d62affae90cd48b7b7feb520bb92a893
Description-en: RFC 2217 compliant Telnet serial port redirector
 Sredird is a serial port redirector that is compliant with the RFC 2217
 "Telnet Com Port Control Option" protocol. This protocol lets you share a
 serial port through the network.

Package: sreview-common
Description-md5: cb8da08c9d45847b943265e07c9ef0b0
Description-en: SReview -- common code
 SReview is a video review and transcoding system. It allows users to
 review videos, and will then (after the review has finished) transcode
 them into archive-quality video files.
 .
 This package contains the common code used by all the other SReview
 packages.

Package: sreview-detect
Description-md5: 3b31a73063ad7e902a579aea8fbf7acd
Description-en: SReview input detection script
 SReview is a video review and transcoding system. It allows users to
 review videos, and will then (after the review has finished) transcode
 them into archive-quality video files.
 .
 This package contains the sreview-detect script, which probes files in
 the input directory and either adds them to the database if they're
 new, or just updates their length if they're already known.

Package: sreview-encoder
Description-md5: 031bbbadfb131244d7e469c151f02ce4
Description-en: SReview encoder code
 SReview is a video review and transcoding system. It allows users to
 review videos, and will then (after the review has finished) transcode
 them into archive-quality video files.
 .
 This package contains the encoder scripts that do all the hard work.
 It should be installed on the machines which will do the actual
 transcoding.

Package: sreview-master
Description-md5: 54c4c2418e790861235374cc77dda1e5
Description-en: SReview components for master host
 SReview is a video review and transcoding system. It allows users to
 review videos, and will then (after the review has finished) transcode
 them into archive-quality video files.
 .
 This package contains the components that should run on just one
 server. It is not useful without one or more machines also running the
 webinterface (in the sreview-web package) or the encoder (in the
 sreview-encoder package).

Package: sreview-web
Description-md5: 6b7fe0cede577edaab6db91571f84c75
Description-en: SReview webinterface
 SReview is a video review and transcoding system. It allows users to
 review videos, and will then (after the review has finished) transcode
 them into archive-quality video files.
 .
 This package contains the sreview webinterface, used by administrators
 and reviewers.

Package: srf-doc
Description-md5: e47fa56a6b58593710a869e036ed88de
Description-en: specification documents for the SRF format DNA sequence data
 SRF (sort for Sequence Read Format) is a generic format capable of storing
 data generated by any DNA sequencing technology. Hence it has sufficient
 flexibility to store data from current and future DNA sequencing technologies
 at minimal cost of implementation. Benefits include a single input file format
 for all downstream applications and a read lookup index enabling downstream
 formats to reference reads without duplication of all of the read specific
 information.
 .
 This package contains the version 1.3.2 of the specification.

Package: srg
Description-md5: a191c6c76d9dbe53c862541234225116
Description-en: Fast, Flexible and Detailed log analysis for the Squid Proxy
 SRG provides fast and flexible analysis of squid proxy log files while
 retaining a high level of detail, including down to the exact URLs visited
 by users and the times at which they were visited. SRG also contains support
 for generating PHP reports that can be integrated with your existing
 authentication system to provide granular access to report output.

Package: srm-ifce-dev
Description-md5: a74a3d5a86213e441bcf9fa9b522229a
Description-en: SRM client side headers and development files
 Development libraries, pkgconfig files and header files for the
 srm-ifce.

Package: srptools
Description-md5: 359f7ef78d69ef1e4b5d82e9e3e1f800
Description-en: Tools for Infiniband attached storage (SRP)
 In conjunction with the kernel ib_srp driver, srptools allows you to
 discover and use Infiniband attached storage devices which use the
 SCSI RDMA Protocol (SRP).

Package: srs
Description-md5: 829f6888336d5f8ed06080d21c72cce2
Description-en: command-line Sender Rewriting Scheme client
 The Sender Rewriting Scheme preserves .forward functionality in an
 SPF-compliant world.
 .
 SPF requires the SMTP client IP to match the envelope sender
 (return-path). When a message is forwarded through an intermediate
 server, that intermediate server may need to rewrite the return-path
 to remain SPF compliant. If the message bounces, that intermediate
 server needs to validate the bounce and forward the bounce to the
 original sender.
 .
 This package contains the srs client that can be used in
 your .forward files.

Package: srst2
Description-md5: 0b05e0f1dc4b9a9dedc867def03c95b1
Description-en: Short Read Sequence Typing for Bacterial Pathogens
 This program is designed to take Illumina sequence data, a MLST database
 and/or a database of gene sequences (e.g. resistance genes, virulence
 genes, etc) and report the presence of STs and/or reference genes.

Package: srt-tools
Description-md5: b2df33359b40fea827e805503bfad078
Description-en: Secure Reliable Transport UDP streaming library
 SRT is a latency-aware UDP transport mechanism optimized for video streams.
 It detects and compensates for jitter and bandwidth fluctuations due to
 network congestion. It mitigates packet loss and supports AES encryption.
 .
 This package contains CLI tool.

Package: ssake
Description-md5: 21b61abaf6cd2455dd2f4d4ccf5c4e33
Description-en: genomics application for assembling millions of very short DNA sequences
 The Short Sequence Assembly by K-mer search and 3′ read Extension
 (SSAKE) is a genomics application for aggressively assembling
 millions of short nucleotide sequences by progressively searching for
 perfect 3′-most k-mers using a DNA prefix tree. SSAKE is designed to
 help leverage the information from short sequences reads by
 stringently clustering them into contigs that can be used to
 characterize novel sequencing targets.

Package: ssake-examples
Description-md5: 9a5958fafc20554a255fdd73457cce43
Description-en: example data for SSAKE, a genomic assembler of short reads
 This package contains test sequences for SSAKE, a genomics application for
 assembling millions of very short DNA sequences.
 .
 Instructions about how to use the test data can be found in
 /usr/share/doc/ssake/SSAKE.readme.gz after the package `ssake' is installed.

Package: ssdeep
Description-md5: 88450d41db1bfa131c03ecda2bf48211
Description-en: recursive piecewise hashing tool
 ssdeep is a tool for recursive computing and matching of Context Triggered
 Piecewise Hashing (aka Fuzzy Hashing).
 .
 Fuzzy hashing is a method for comparing similar but not identical files.
 This tool can be used to compare files like regular hashing does (like
 md5sum or sha1sum) but it will find similar files with little differences.
 .
 For example, it can be used to identify modified versions of known files
 even if data has been inserted, modified, or deleted in the new files.
 .
 This package is useful in forensics investigations.

Package: sse3-support
Description-md5: 996fc94832979bb483806a62857533ae
Description-en: prevent installation on processors without required instructions
 This is a mostly dummy package, whose only purpose is to detect the presence
 of sse3.  It refuses to install on inadequate processors, thus allowing
 specifying such a requirement as a dependency.

Package: sse4.2-support
Description-md5: efe5ccc9b69541df6cc3924de0165e95
Description-en: prevent installation on processors without required instructions
 This is a mostly dummy package, whose only purpose is to detect the presence
 of sse4.2.  It refuses to install on inadequate processors, thus allowing
 specifying such a requirement as a dependency.

Package: ssed
Description-md5: fd67b88f74a6da4d1c008831af7ab1e8
Description-en: The super sed stream editor
 Super sed reads the specified files or the standard input if no files are
 specified, makes editing changes according to a list of commands, and
 writes the results to the standard output.
 .
 Super sed is an enhanced version of GNU sed 3.02. Relative to 3.02, there
 are several new features (including in-place editing of files, extended
 regular expression syntax and a few new commands) and some bug fixes.

Package: ssft
Description-md5: 3b6674aec857a976f85052ce3a0e5786
Description-en: Shell Scripts Frontend Tool
 Shell function library useful to build shell script frontends.
 .
 The library defines a set of functions to display messages and read values
 from the user on X (using zenity or kdialog) or console (using dialog or
 plain text) and has been designed to be used by sourcing the library code
 from other scripts.
 .
 The library supports L10N if gettext.sh is installed.

Package: ssg-applications
Description-md5: f9077ea42ce56ec2a962f25966bea1fc
Description-en: SCAP Guides and benchmarks targeting userspace applications
 This package contains all the SCAP guides, benchmarks and remediation
 files for userspace applications. Remediation files are Shell-based,
 Ansible-based and Puppet-based depending on the target infrastructure
 deployment policy.
 .
 SCAP (Security Content Automation Protocol) is a set of standards used in
 order to automatically manage vulnerabilities and policy compliance
 evaluation on a complete deployed infrastructure. SSG provides various
 official policies such as PCI-DSS, NIST SP-800-53 or ANSSI best practices
 as official policies.

Package: ssg-base
Description-md5: 0aa197096c4266d74fb4f81e6f016606
Description-en: SCAP Security guide base content and documentation
 This package contains the basic content and manual pages explaining how
 the SCAP-security-guide works. It deploys man page and all various
 information about current SSG release.
 .
 SCAP (Security Content Automation Protocol) is a set of standards used in
 order to automatically manage vulnerabilities and policy compliance
 evaluation on a complete deployed infrastructure. SSG provides various
 official policies such as PCI-DSS, NIST SP-800-53 or ANSSI best practices
 as official policies.

Package: ssg-debderived
Description-md5: da9e5b0006d842ed9f4740c381dd5084
Description-en: SCAP Guides and benchmarks targeting Debian-based OS
 This package contains all the SCAP guides for deb-based distributions
 such as Ubuntu Trusty or Xenial.
 This package contains all the SCAP guides, benchmarks and remediation
 files. Remediation files are Shell-based, Ansible-based  and
 Puppet-based depending on the target infrastructure deployment policy.
 .
 SCAP (Security Content Automation Protocol) is a set of standards used in
 order to automatically manage vulnerabilities and policy compliance
 evaluation on a complete deployed infrastructure. SSG provides various
 official policies such as PCI-DSS, NIST SP-800-53 or ANSSI best practices
 as official policies.

Package: ssg-debian
Description-md5: c10b30b8d40a47bc6729e1521d92df14
Description-en: SCAP Guides and benchmarks targeting Debian 8
 This package contains all the SCAP guides, benchmarks and remediation
 files for Debian Jessie. Remediation files are Shell-based, Ansible-based
 and Puppet-based depending on the target infrastructure deployment policy.
 .
 SCAP (Security Content Automation Protocol) is a set of standards used in
 order to automatically manage vulnerabilities and policy compliance
 evaluation on a complete deployed infrastructure. SSG provides various
 official policies such as PCI-DSS, NIST SP-800-53 or ANSSI best practices
 as official policies.

Package: ssg-nondebian
Description-md5: 087089953ffcbc007e59f226880dc423
Description-en: SCAP Guides and benchmarks targeting other GNU/Linux OS
 This package contains all the SCAP guides, benchmarks and remediation
 files for nondebian operating system targets such as Red-Hat RHEL or SuSE.
 Remediation files are Shell-based, Ansible-based and Puppet-based depending
 on the target infrastructure deployment policy.
 .
 SCAP (Security Content Automation Protocol) is a set of standards used in
 order to automatically manage vulnerabilities and policy compliance
 evaluation on a complete deployed infrastructure. SSG provides various
 official policies such as PCI-DSS, NIST SP-800-53 or ANSSI best practices
 as official policies.

Package: ssh-agent-filter
Description-md5: b7368babd76b3e8e6a52a18038158f70
Description-en: filtering proxy for ssh-agent
 This package solves the all-or-nothing problem regarding ssh-agent
 forwarding. It contains:
  * ssh-agent-filter, the filtering proxy itself
  * afssh, a wrapper around ssh-agent-filter and ssh

Package: ssh-askpass
Description-md5: 0f7d04698b8e69e5e3bf3b696cea64e5
Description-en: under X, asks user for a passphrase for ssh-add
 This is Jim Knoble's implementation of the ssh-askpass program, originally
 called x11-ssh-askpass upstream.  It is built on low-level X11 libraries,
 and therefore has minimal dependencies.
 .
 Other ssh-askpass programs are available, some of which may integrate
 better into various desktop environments.

Package: ssh-askpass-fullscreen
Description-md5: aeb8e7c8b297ccd6c159abd6fc9a2b3f
Description-en: Under Gnome2, asks user for a passphrase for ssh-add
 It does the same work that ssh-askpass and ssh-askpass-gnome do. It adds
 shadowing and looks more beautiful under Gnome2.

Package: ssh-askpass-gnome
Description-md5: e888e7e8e5a6ee7b30f000dc22ed083f
Description-en: interactive X program to prompt users for a passphrase for ssh-add
 This has been split out of the main openssh-client package so that
 openssh-client does not need to depend on GTK+.
 .
 You probably want the ssh-askpass package instead, but this is
 provided to add to your choice and/or confusion.

Package: ssh-audit
Description-md5: f01debf5613ce308fe13a4af1b6eb128
Description-en: tool for ssh server auditing
 ssh-audit is a tool for ssh server auditing with the following
 features:
 .
  * SSH1 and SSH2 protocol server support;
  * grab banner, recognize device or software and operating system,
    detect compression;
  * gather key-exchange, host-key, encryption and message authentication
    code algorithms;
  * output algorithm information (available since, removed/disabled,
    unsafe/weak/legacy, etc);
  * output algorithm recommendations (append or remove based on
    recognized software version);
  * output security information (related issues, assigned CVE list,
    etc);
  * analyze SSH version compatibility based on algorithm information;
  * historical information from OpenSSH, Dropbear SSH and libssh;
  * no dependencies, compatible with Python 2.6+, Python 3.x and PyPy;

Package: ssh-contact
Description-md5: 8401197a5caf087ece3430e8bbda57aa
Description-en: establish SSH connections to your IM contacts using Telepathy (metapackage)
 SSH-Contact is a client/service tool that makes easy to connect your telepathy
 IM contacts via SSH. No need to care about dynamic IP, NAT, port forwarding
 or firewalls anymore; if you can chat with a friend, you can also SSH him.
 .
 This package is a metapackage that depends on both ssh-contact-client and
 ssh-contact-service.

Package: ssh-contact-client
Description-md5: ac854df3bc7c75a21fcc062182c837b4
Description-en: establish SSH connections to your IM contacts using Telepathy (client)
 SSH-Contact is a client/service tool that makes easy to connect your telepathy
 IM contacts via SSH. No need to care about dynamic IP, NAT, port forwarding
 or firewalls anymore; if you can chat with a friend, you can also SSH him.
 .
 This package contains the client side of ssh-contact.

Package: ssh-contact-service
Description-md5: 556e54b811752082ad1e3921caffe06d
Description-en: establish SSH connections to your IM contacts using Telepathy (server)
 SSH-Contact is a client/service tool that makes easy to connect your telepathy
 IM contacts via SSH. No need to care about dynamic IP, NAT, port forwarding
 or firewalls anymore; if you can chat with a friend, you can also SSH him.
 .
 This package contains the server side of ssh-contact.

Package: ssh-cron
Description-md5: 0613279cb3b562bc494bf27259f2a302
Description-en: cron daemon allowing ssh keys with passphrases to be used
 ssh-cron acts like cron, but utilizes ssh-agent to obtain ssh key
 passphrases. Thus it allows scheduled commands to run on remote systems
 without requiring the ssh key passphrase to be stored in a clear-text
 file, or resorting to ssh keys without passphrases.

Package: ssh-tools
Description-md5: 31bbb22336f15fa01294eb1245a63b3c
Description-en: collection of various tools using ssh
 the following tools are included
 .
  * ssh-ping: check if host is reachable using ssh_config
  * ssh-version: shows version of the SSH server you are connecting to
  * ssh-diff: diff a file over SSH
  * ssh-facts: get some facts about the remote system
  * ssh-hostkeys: prints server host keys in several formats
  * ssh-keyinfo: prints keys in several formats
  * ssh-certinfo: shows validity and information of SSH certificates

Package: sshcommand
Description-md5: 672f829cdc05629bafc0658e4eff6ea0
Description-en: turn SSH into a thin client specifically for your command
 Simplifies running a single command over SSH, and manages
 authorized keys (ACL) and users in order to do so.
 .
 It basically simplifies running:
 .
   ssh user@server 'ls -l <your-args>'
 into:
 .
   ssh ls@server <your-args>

Package: sshesame
Description-md5: 9556255a8efd844d1e40d324c1fdab9c
Description-en: fake SSH server
 This package contains a fake SSH server that lets everyone in and logs
 their activity.
 .
 Details sshesame accepts and logs
  * every password authentication request,
  * every SSH channel open request and
  * every SSH request
 .
 without actually executing anything on the host.
 .
 Warning: This software, just like any other, might contain bugs. Given
 the popular nature of SSH, you probably shouldn't run it unsupervised as
 root on a production server on port 22. Use common sense.

Package: sshfs
Description-md5: 53290533db24b88f6a76ffb069dba11c
Description-en: filesystem client based on SSH File Transfer Protocol
 sshfs is a filesystem client based on the SSH File Transfer Protocol.
 Since most SSH servers already support this protocol it is very easy
 to set up: i.e. on the server side there's nothing to do.  On the
 client side mounting the filesystem is as easy as logging into the
 server with ssh.
 .
 sshfs is FUSE (Filesystem in USErspace).

Package: sshguard
Description-md5: 3d17c43db76da983ea8c43bbdf677074
Description-en: Protects from brute force attacks against ssh
 Protects networked hosts from the today's widespread
 brute force attacks against ssh servers. It detects such attacks
 and blocks the author's address with a firewall rule.

Package: sshoot
Description-md5: 4f323ec63175f759787a03f59e6bd420
Description-en: manage multiple sshuttle VPN sessions
 Command-line interface to manage multiple sshuttle VPN sessions.
 .
 sshuttle creates a VPN connection from your machine
 to any remote server that you can connect to via ssh.
 .
 sshoot allows one to define multiple VPN sessions using sshuttle
 and start/stop them as needed.
 .
 It supports configuration options for most of sshuttle's features,
 providing flexible configuration for profiles.

Package: sshpass
Description-md5: be212cb773a2c46e35ad51471f2c8d57
Description-en: Non-interactive ssh password authentication
 SSH's (secure shell) most common authentication mode is called "interactive
 keyboard password authentication", so called both because it is typically
 done via keyboard, and because openssh takes active measures to make sure
 that the password is, indeed, typed interactively by the keyboard. Sometimes,
 however, it is necessary to fool ssh into accepting an interactive password
 non-interactively. This is where sshpass comes in.
 .
 SECURITY NOTE: There is a reason openssh insists that passwords be typed
 interactively. Passwords are harder to store securely and to pass around
 securely between programs. If you have not looked into solving your needs
 using SSH's "public key authentication", perhaps in conjunction with the ssh
 agent (RTFM ssh-add), please do so before being tempted into using this
 package.

Package: sshuttle
Description-md5: cd3e6b0de8a03f35f79ec7aa635f7b1c
Description-en: Transparent proxy server for VPN over SSH
 Sshuttle makes it possible to access remote networks using SSH. It creates a
 transparent proxy server, using iptables, that will forward all the traffic
 through an SSH tunnel to a remote copy of sshuttle.
 .
 It does not require installation on the remote server, which just needs to
 have Python installed.

Package: ssl-cert-check
Description-md5: 869428984d6f73fa67614040b6463023
Description-en: proactively handling X.509 certificate expiration
 ssl-cert-check is a small shell script which checks digital certificate
 expiration. It can also check local certificate files and network
 accessible servers.

Package: ssldump
Description-md5: 8f392fcfcc9c3b864fe84c25e79b4ade
Description-en: SSLv3/TLS network protocol analyzer
 This program will dump the traffic on a network and analyze it for
 SSLv3/TLS network traffic, typically used to secure TCP connections.
 When it identifies this traffic, it decodes the results.  When
 provided with the appropriate keying material, it will also decrypt
 the connections and display the application data traffic.
 .
 ssldump is based on tcpdump, a network monitoring and data acquisition
 tool.

Package: sslh
Description-md5: f4689a572a444f710de3ad341846f07b
Description-en: Applicative protocol multiplexer
 sslh lets one accept HTTPS, SSH, OpenVPN, tinc and XMPP connections on the
 same port. This makes it possible to connect to any of these servers on
 port 443 (e.g. from inside a corporate firewall, which almost never block
 port 443) while still serving HTTPS on that port.

Package: sslsniff
Description-md5: 8be017697dbf786e659dc72a6fe620bd
Description-en: SSL/TLS man-in-the-middle attack tool
 sslsniff is designed to create man-in-the-middle (MITM) attacks for
 SSL/TLS connections, and dynamically generates certs for the domains
 that are being accessed on the fly. The new certificates are constructed
 in a certificate chain that is signed by any certificate that is
 provided.
 sslsniff also supports other attacks like null-prefix or OCSP attacks to
 achieve silent interceptions of connections when possible.

Package: sslsplit
Description-md5: 7cc73456dc435e6441aa1a4b141bbda3
Description-en: transparent and scalable SSL/TLS interception
 SSLsplit is a tool for man-in-the-middle attacks against SSL/TLS
 encrypted network connections. Connections are transparently
 intercepted through a network address translation engine and
 redirected to SSLsplit. SSLsplit terminates SSL/TLS and initiates a
 new SSL/TLS connection to the original destination address, while
 logging all data transmitted. SSLsplit is intended to be useful for
 network forensics and penetration testing.

Package: ssmping
Description-md5: 6870207729acd34d0e4e0a87bb14c074
Description-en: check your multicast connectivity
 Tools to check whether you can receive Source Specific Multicast (SSM)
 or Any Source Multicast (ASM) via either IPv4 or IPv6
 .
 If a host runs ssmpingd, users on other hosts can use the ssmping client
 to test whether they can receive SSM from the host. Another program
 called asmping is also provided to check whether can receive ASM.

Package: ssmtp
Description-md5: 1a0ad197e535fe60ac8fbc4d6ec74458
Description-en: extremely simple MTA to get mail off the system to a mail hub
 A secure, effective and simple way of getting mail off a system to your
 mail hub. It contains no suid-binaries or other dangerous things - no mail
 spool to poke around in, and no daemons running in the background. Mail is
 simply forwarded to the configured mailhost. Extremely easy configuration.
 .
 WARNING: the above is all it does; it does not receive mail, expand aliases
 or manage a queue. That belongs on a mail hub with a system administrator.

Package: ssocr
Description-md5: 5a5baf8166d0cbf148eb618a2ea3f0a3
Description-en: OCR for seven segment displays
 Seven Segment Optical Character Recognition or ssocr for short is a program
 to recognize digits of a seven segment display. An image of one row of digits
 is used for input and the recognized number is written to the standard output.

Package: sspace
Description-md5: 06f04f25cd2bcad772ca2f11e3bf6cbb
Description-en: scaffolding pre-assembled contigs after extension
 SSAKE-based Scaffolding of Pre-Assembled Contigs after Extension (SSPACE)
 is a script able to extend and scaffold pre-assembled contigs using one or
 more mate pairs or paired-end libraries, or even a combination.
 .
 SSPACE is built based on SSAKE. Code of SSAKE is changed to be able to
 extend and scaffold pre-assembled contigs for multiple paired reads
 libraries.
 .
 This is the free 'basic' version of SSPACE. The non-free 'standard' version is
 available directly from Baseclear.

Package: sssd-kcm
Description-md5: 33bacd6e1ef4d2c4e5af5b7e63fc778f
Description-en: System Security Services Daemon -- Kerberos KCM server implementation
 Provides an implementation of a Kerberos KCM server. Use this package if
 you want to use the KCM: Kerberos credentials cache.

Package: ssss
Description-md5: 6919b54236e983e6f8f5b36f678a36a6
Description-en: Shamir's secret sharing scheme implementation
 Implementation of Shamir's Secret Sharing Scheme. The program suite
 does both: the generation of shares for a known secret, and the
 reconstruction of a secret using user-provided shares.
 .
 Shamir's Secret Sharing Scheme allows a secret to be split in to shares.
 These shares can then be distributed to different people. When the time comes
 to retrieve the secret then a preset number of the shares need to be combined.
 The number of shares created, and the number needed to retrieve the secret
 are set at splitting time. The number of shares required to re-create the
 secret can be chosen to be less that the number of shares created, so any
 large enough subset of the shares can retrieve the secret.
 .
 This scheme allows a secret to be shared, either to reduce the chances that
 the secret is lost, or to increase the number of parties that must cooperate
 to reveal the secret.

Package: ssvnc
Description-md5: 45edf0c14d9a00afb75317ff745ed84b
Description-en: Enhanced TightVNC viewer with SSL/SSH tunnel helper
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop'
 environment not only on the machine where it is running, but from
 anywhere on the Internet and from a wide variety of machine
 architectures.
 .
 SSVNC is two things:
 .
 * An enhanced version of the TightVNC client with support for more
 encodings and color modes, support for x11vnc and UltraVNC
 extensions, dynamic screen resizing, an improved popup menu, etc.
 .
 * A GUI that helps set up an SSL (using stunnel) or SSH tunnel to
 connect to the VNC server through, as well as forwarding of ports for
 audio (esound/aRts), SMB, CUPS etc.

Package: ssw-align
Description-md5: 54697b373972ff5d6af08220d3066181
Description-en: Smith-Waterman aligner based on libssw
 This package provides a command-line aligner based on the libssw library,
 a fast SIMD accelerated implementation of the Smith-Waterman algorithm.
 The input files can be in FASTA or FASTQ format. Both target and query files
 can contain multiple sequences. Each sequence in the query file will be
 aligned with all sequences in the target file. Output is provided in SAM or
 BLAST-like text format.

Package: st-console
Description-md5: 04db165fd3bfae9e634e63a4025b1ed2
Description-en: simple mathematics statistics from the command line interface
 st-console is command-line tool for calculate statistics
 from a file or standard input. It allow one to calculate:
 sum, arithmetic mean, median, percentile, quartile, standard
 deviation or standard error.
 .
 It's a simpler solution for simpler problems focused on
 descriptive statistics, handy when you need quick mathematics
 results without leaving the shell.

Package: stacer
Description-md5: 14c4c50aa37c724ef3964660d71c4885
Description-en: Linux system optimizer and monitoring
 Monitor your system (CPU, memory, disk) in a graphical application (qt).
 Change and monitor your services. Summarizes basic system information and
 can show network download/upload speeds/totals.

Package: stacks
Description-md5: 34654ddbf6119f78f131bb33b98f2f93
Description-en: pipeline for building loci from short-read DNA sequences
 Stacks is a software pipeline for building loci from short-read sequences,
 such as those generated on the Illumina platform. Stacks was developed to work
 with restriction enzyme-based data, such as RAD-seq, for the purpose of
 building genetic maps and conducting population genomics and phylogeography.
 .
 Note that this package installs Stacks such that all commands must be run as:
 $ stacks <cmdname> <args>

Package: staden
Description-md5: ab389488bbaa41331fbf3a76064bea10
Description-en: DNA sequence assembly (Gap4/Gap5), editing and analysis tools
 Staden is a fully developed set of DNA sequence assembly (Gap4 and
 Gap5), editing and analysis tools (Spin).
 .
 Gap4 performs sequence assembly, contig ordering based on read pair
 data, contig joining based on sequence comparisons, assembly checking,
 repeat searching, experiment suggestion, read pair analysis and contig
 editing. It has graphical views of contigs, templates, readings and
 traces which all scroll in register. Contig editor searches and
 experiment suggestion routines use confidence values to calculate the
 confidence of the consensus sequence and hence identify only places
 requiring visual trace inspection or extra data. The result is
 extremely rapid finishing and a consensus of known accuracy.
 .
 Pregap4 provides a graphical user interface to set up the processing
 required to prepare trace data for assembly or analysis, and automates
 these processes.
 .
 Trev is a rapid and flexible viewer and editor for ABI, ALF, SCF and
 ZTR trace files.
 .
 Prefinish analyses partially completed sequence assemblies and suggests
 the most efficient set of experiments to help finish the project.
 .
 Tracediff and hetscan automatically locate mutations by comparing trace
 data against reference traces. They annotate the mutations found ready
 for viewing in gap4.
 .
 Spin analyses nucleotide sequences to find genes, restriction sites,
 motifs, etc. It can perform translations, find open reading frames,
 count codons, etc. Many results are presented graphically and a sliding
 sequence window is linked to the graphics cursor. Spin also compares
 pairs of sequences in many ways. It has very rapid dot matrix analysis,
 global and local alignment algorithms, plus a sliding sequence window
 linked to the graphical plots. It can compare nucleic acid against
 nucleic acid, protein against protein, and protein against nucleic
 acid.

Package: staden-common
Description-md5: 368671f3c5301070f6dd5064e174b05f
Description-en: Architecture independent files for Staden
 Staden is a fully developed set of DNA sequence assembly (Gap4 and
 Gap5), editing and analysis tools (Spin).
 .
 Gap4 performs sequence assembly, contig ordering based on read pair
 data, contig joining based on sequence comparisons, assembly checking,
 repeat searching, experiment suggestion, read pair analysis and contig
 editing. It has graphical views of contigs, templates, readings and
 traces which all scroll in register. Contig editor searches and
 experiment suggestion routines use confidence values to calculate the
 confidence of the consensus sequence and hence identify only places
 requiring visual trace inspection or extra data. The result is
 extremely rapid finishing and a consensus of known accuracy.
 .
 Pregap4 provides a graphical user interface to set up the processing
 required to prepare trace data for assembly or analysis, and automates
 these processes.
 .
 Trev is a rapid and flexible viewer and editor for ABI, ALF, SCF and
 ZTR trace files.
 .
 Prefinish analyses partially completed sequence assemblies and suggests
 the most efficient set of experiments to help finish the project.
 .
 Tracediff and hetscan automatically locate mutations by comparing trace
 data against reference traces. They annotate the mutations found ready
 for viewing in gap4.
 .
 Spin analyses nucleotide sequences to find genes, restriction sites,
 motifs, etc. It can perform translations, find open reading frames,
 count codons, etc. Many results are presented graphically and a sliding
 sequence window is linked to the graphics cursor. Spin also compares
 pairs of sequences in many ways. It has very rapid dot matrix analysis,
 global and local alignment algorithms, plus a sliding sequence window
 linked to the graphical plots. It can compare nucleic acid against
 nucleic acid, protein against protein, and protein against nucleic
 acid.
 .
 This package contains the architecture independent data files and
 scripts that come with the Staden package.

Package: staden-io-lib-examples
Description-md5: 75fe01cb5b0625a8f3aad1ddde525efa
Description-en: programs for manipulating DNA sequencing files (usage examples)
 The io_lib from the Staden package is a library of file reading and writing
 code to provide a general purpose trace file (and Experiment File) reading
 interface. It has been compiled and tested on a variety of unix systems,
 MacOS X and MS Windows.
 .
 This package contains the programs that are distributed with the Staden io_lib
 for manipulating and converting sequencing data files, and in particular files
 to maniuplate short reads generated by second and third generation sequencers
 and stored in SRF format.
 .
 This package contains example data and a test suite to test the data.

Package: staden-io-lib-utils
Description-md5: 51bf6946dcab730a9ebe156208eadb5d
Description-en: programs for manipulating DNA sequencing files
 The io_lib from the Staden package is a library of file reading and writing
 code to provide a general purpose trace file (and Experiment File) reading
 interface. It has been compiled and tested on a variety of unix systems,
 MacOS X and MS Windows.
 .
 This package contains the programs that are distributed with the Staden io_lib
 for manipulating and converting sequencing data files, and in particular files
 to maniuplate short reads generated by second and third generation sequencers
 and stored in SRF format.

Package: stalin
Description-md5: b2d8e9fd757c0e10b0accb2afe4de50e
Description-en: An extremely aggressive Scheme compiler
 stalin is an aggressive self-hosting Scheme compiler, designed to
 generate resource efficient stand-alone executables with very high
 computational performance.  It is a batch mode compiler like gcc, not
 an interpreter, and is designed to be used only after your code has
 stabilized.
 .
 It places a few limitations on the content of the source code.  For
 example, you may not LOAD or EVAL new expressions or procedure
 definitions at runtime, but in exchange, it is able to perform
 various global analyses which may allow it to transparently map
 Scheme types to C types and to use native C arithmetic operations on
 a per-expression basis, whenever such operations are proven safe.
 Further stalin can often reduce or eliminate run-time type checking
 and dispatching, and omit garbage collection for data of limited
 scope or accessibility, while omitting unreachable data altogether.
 .
 stalin also has a foreign procedure interface to both Xlib and OpenGL.

Package: stalonetray
Description-md5: d67f878c3af91264a46e7561ef4710fd
Description-en: Standalone freedesktop.org and KDE systray implementation
 stalonetray is an implementation of system tray application (aka
 notificaton area) according to freedesktop.org specification; support
 for KDE icons included.
 .
 stalonetray is a stand-alone system tray (notification area) for X
 Window System/X11 (e.g. X.Org or XFree 86). It has full XEMBED
 support and minimal dependencies: an X11 lib only. Stalonetray works
 with virtually any EWMH-compliant window manager.
 .
 Window managers that are reported to work well with stalonetray:
  - FVWM
  - OpenBox
  - Enlightenment
  - ion3

Package: standardskriver
Description-md5: 59a68968f289162e104b3ddec9957686
Description-en: Tool for dynamically setting a user's default printer at desktop session logon
 The Standard Skriver Tool ('standardskriver' is Norwegian, i.e. Bokmål,
 for "default printer") allows the site admin to dynamically update
 a user's default printer setting at logon time.
 .
 At desktop session startup, a new default printer gets automatically
 configured based on the location of the client host in use and/or also
 based on POSIX group memberships of the current user.
 .
 Standard Skriver uses an easy-to-setup INI configuration file. The
 configuration syntax also supports for configuring of multiple sites, so
 one configuration file can be deployed to multiple sites.

Package: stardata-common
Description-md5: 5048702b44a3b0fe2e6c535d05fbd3cf
Description-en: Common framework to manage astronomy packages
 This package allows the installation and removal of astronomy catalogues,
 converting those catalogues to astronomy programs' data formats.
 .
 All stardata catalogues conforming to stardata-common policy are
 converted automatically at installation time to the formats of
 astronomy programs that support stardata-common.
 .
 The register-stardata program is transparent for the user; this program
 is called automatically when any astronomy package conforming to the
 stardata-common policy is installed, upgraded or removed.

Package: stardict-czech
Description-md5: d35605f1eb49af4f62dd93e1d6d5dc72
Description-en: Stardict package for Czech dictionary of foreign words
 This is a package of the Czech dictionary of foreign words
 for Stardict.
 .
 The dictionary is compiled from online dictionary available at
 <http://slovnik-cizich-slov.abz.cz/>.

Package: stardict-xmlittre
Description-md5: 61f491e082f6b90c45091225230ff5f4
Description-en: French Littré dictionary for stardict
 This package contains a XML version of the French language dictionary
 written by Émile Littré and published in 1863, suitable for the
 stardict dictionary software.
 .
 Despite its age, this dictionary now fallen in the public domain is
 still a widely used reference source for French language and
 litterature. It features 78,423 entries and 239,009 quotes from 3,910
 authors.
 .
 Homepage: http://francois.gannaz.free.fr/Littre/

Package: starfighter
Description-md5: 292dccbdd98bddeae48d769130ba7db9
Description-en: 2D scrolling shooter game
 After decades of war one company, who had gained powerful supplying both
 sides with weaponry, steps forwards and crushes both warring factions
 in one swift movement. Using far superior weaponry and AI craft, the
 company was completely unstoppable and now no one can stand in their
 way. Thousands began to perish under the iron fist of the company. The
 people cried out for a saviour, for someone to light this dark hour...
 and someone did.
 .
 Features of the game:
 .
  o 26 missions over 4 star systems
  o Primary and Secondary Weapons (including a laser cannon and a charge weapon)
  o A weapon powerup system
  o Wingmates
  o Missions with Primary and Secondary Objectives
  o A Variety of Missions (Protect, Destroy, etc)
  o Boss battles

Package: starfighter-data
Description-md5: ae45b1ab1bff356cb5d31cd5469f767a
Description-en: 2D scrolling shooter game -- data files
 After decades of war one company, who had gained powerful supplying both
 sides with weaponry, steps forwards and crushes both warring factions
 in one swift movement. Using far superior weaponry and AI craft, the
 company was completely unstoppable and now no one can stand in their
 way. Thousands began to perish under the iron fist of the company. The
 people cried out for a saviour, for someone to light this dark hour...
 and someone did.
 .
 Features of the game:
 .
  o 26 missions over 4 star systems
  o Primary and Secondary Weapons (including a laser cannon and a charge weapon)
  o A weapon powerup system
  o Wingmates
  o Missions with Primary and Secondary Objectives
  o A Variety of Missions (Protect, Destroy, etc)
  o Boss battles
 .
 This package contains the data files for starfighter.

Package: starlet
Description-md5: 276e1492016e42ebb82e9c1a0f3eb4d1
Description-en: simple, high-performance PSGI/Plack HTTP server
 Starlet is a standalone HTTP/1.0 server with keep-alive support, formerly
 known as Plack::Server::Standalone::Prefork and
 Plack::Server::Standalone::Prefork::Server::Starter.
 .
 The server supports following features, and is suitable for running HTTP
 application servers behind a reverse proxy.
 .
  - prefork and graceful shutdown using Parallel::Prefork
  - hot deploy using Server::Starter
  - fast HTTP processing using HTTP::Parser::XS (optional)

Package: starlink-array-java
Description-md5: bafd4fd424783f66cedf80ded8701be9
Description-en: N-dimensional array manipulation and I/O in Java
 Provide n-dimensional array manipulation and I/O classes in Java as part of
 the Starjava project.
 .
 This build does not contain "NDX" support.

Package: starlink-array-java-doc
Description-md5: eca16eeb9e3b5a603a258972b903cabb
Description-en: N-dimensional array manipulation and I/O in Java (javadoc)
 Provide n-dimensional array manipulation and I/O classes in Java as part of
 the Starjava project.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-cdf-java
Description-md5: 17c0c6d26dbcb8fcfe54d202718cd919
Description-en: CDF table support for Starjava
 CDF (Common Data Format) is a self-describing data format defined by NASA
 for the storage of scalar and multidimensional data in a platform- and
 discipline-independent way. This package adds CDF support for tables to the
 Starjava library.

Package: starlink-cdf-java-doc
Description-md5: 7cd2688e2605f6a5ec3373509600a90d
Description-en: CDF table support for Starjava (Javadoc)
 CDF (Common Data Format) is a self-describing data format defined by NASA
 for the storage of scalar and multidimensional data in a platform- and
 discipline-independent way. This package adds CDF support for tables to the
 Starjava library.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-connect-java
Description-md5: 559bb75128fdefd3052b79cf812921e4
Description-en: Abstract classes for persistent connections to remote services
 Classes related to persistent connections to remote services.
 Currently the main service provided by the connections defined here
 is access to some kind of filesystem which is modelled as a simple
 tree structure library, implemented in pure Java. Connection implementations
 are supplied by classes in other packages

Package: starlink-connect-java-doc
Description-md5: ba1b2af4352dc5934220d322a27d4cf0
Description-en: Abstract classes for persistent connections (javadoc)
 Classes related to persistent connections to remote services.
 Currently the main service provided by the connections defined here
 is access to some kind of filesystem which is modelled as a simple
 tree structure library, implemented in pure Java. Connection implementations
 are supplied by classes in other packages
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-datanode-java
Description-md5: 60da4bb0bd996c445f58b053e57652b5
Description-en: Classes for hierarchical browsing of data structures
 Using the classes available in this package, hierarchical data structures
 can be viewed interactively.
 .
 The GUI side is based on a Swing JTree component, and the underlying data
 model is supplied by classes conforming to the package's DataNode interface.

Package: starlink-datanode-java-doc
Description-md5: 815fe17c807475bf016279babaa4576e
Description-en: Classes for hierarchical browsing of data structures (documentation)
 Using the classes available in this package, hierarchical data structures
 can be viewed interactively.
 .
 The GUI side is based on a Swing JTree component, and the underlying data
 model is supplied by classes conforming to the package's DataNode interface.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-dpac-java
Description-md5: effde7eb42752dc691e5817ad0c35b2b
Description-en: Java classes to process GAIA data
 This package contains classes to process and display GAIA data with
 STIL, STILTS, and TOPCAT. GAIA is an ESA satellite with the mission to
 measure positions and distances of about 1 billion astronomical objects.

Package: starlink-dpac-java-doc
Description-md5: 1f8bd989ed88afdc6903f8cf53f20639
Description-en: Java classes to process GAIA data (Javadoc)
 This package contains classes to process and display GAIA data with
 STIL, STILTS, and TOPCAT. GAIA is an ESA satellite with the mission to
 measure positions and distances of about 1 billion astronomical objects.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-fits-java
Description-md5: 203719ee012d5c511f32a946577b4a78
Description-en: Classes for general FITS handling
 Classes for general FITS handling, including NDX, NDArray and
 StarTable implementations.
 .
 Some of these classes use the nom.tam.fits package (libfits-java) for
 low-level FITS access, though several of them do most of the handling
 in customised ways themselves for efficiency reasons.

Package: starlink-fits-java-doc
Description-md5: 7ba6cf5e10335a0b212959a9807c4560
Description-en: Classes for general FITS handling (javadoc)
 Classes for general FITS handling, including NDX, NDArray and
 StarTable implementations.
 .
 Some of these classes use the nom.tam.fits package (libfits-java) for
 low-level FITS access, though several of them do most of the handling
 in customised ways themselves for efficiency reasons.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-pal-java
Description-md5: e9322d2def81f232bf9b0bfc95dd7c96
Description-en: Starlink Positional Astronomy Library (Java version)
 This library is a collection of code designed to aid in replacing the SLA
 library, implemented in pure Java.
 .
 Note that differently from the starlink-ast package, only the most important
 functions are implemented.

Package: starlink-pal-java-doc
Description-md5: a6557c7f025c85f4cef58dea363d9d8a
Description-en: Starlink Positional Astronomy Library (Java version) - documentation
 This library is a collection of code designed to aid in replacing the SLA
 library, implemented in pure Java.
 .
 Note that differently from the starlink-ast package, only the most important
 functions are implemented.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-registry-java
Description-md5: 50157bc16720b070e02700cd7648a0ec
Description-en: Starlink IVOA registry access
 This package provides a set of classes which can be used to make
 lightweight queries of an RI 1.0-compliant IVOA registry. In the
 Virtual Observatory (VO), registries provide a means for discovering
 useful resources, i.e., data and services. Individual publishers
 offer the descriptions for their resources ("resource records") in
 publishing registries.
 .
 This is not an all-singing or all-dancing registry client. The focus
 is on something which does a limited job fast and in a small memory
 footprint.

Package: starlink-registry-java-doc
Description-md5: 43fb60bab29a9e65cee188b2cbf57309
Description-en: Starlink IVOA registry access (API docs)
 This package provides a set of classes which can be used to make
 lightweight queries of an RI 1.0-compliant IVOA registry. In the
 Virtual Observatory (VO), registries provide a means for discovering
 useful resources, i.e., data and services. Individual publishers
 offer the descriptions for their resources ("resource records") in
 publishing registries.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-table-java
Description-md5: 2bcdde66eb18086b27ef7258dccacda6
Description-en: Starlink Tables Infrastructure Library
 STIL is a pure Java library for generic input, output and processing
 of tabular data. It presents to the application programmer a view of
 a table which looks the same regardless of whether it came from a
 FITS file, a VOTable, an ASCII text file, a query on a relational
 database, or whatever. Thus the application doesn't have to worry
 about the storage format of tables either when reading or writing
 them, it can concentrate on doing processing. STIL's idea of a table
 is rich enough to include table and column metadata, and table cells
 which contain scalar or single- or multi-dimensional array data of
 numerical, string or other types. This is well suited to astronomical
 data, though it can be of use in other fields as well.
 .
 STIL comes with a range of supported input and output formats
 (including VOTable, FITS, SQL, ASCII, CSV, CDF, GBIN) and can be
 extended to cope with others.

Package: starlink-table-java-doc
Description-md5: c1af858111df4c7f5fc74ddca6003666
Description-en: Starlink Tables Infrastructure Library (documentation)
 STIL is a pure Java library for generic input, output and processing
 of tabular data. It presents to the application programmer a view of
 a table which looks the same regardless of whether it came from a
 FITS file, a VOTable, an ASCII text file, a query on a relational
 database, or whatever. Thus the application doesn't have to worry
 about the storage format of tables either when reading or writing
 them, it can concentrate on doing processing. STIL's idea of a table
 is rich enough to include table and column metadata, and table cells
 which contain scalar or single- or multi-dimensional array data of
 numerical, string or other types. This is well suited to astronomical
 data, though it can be of use in other fields as well.
 .
 STIL comes with a range of supported input and output formats
 (including VOTable, FITS, SQL, ASCII, CSV, CDF, GBIN) and can be
 extended to cope with others.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-task-java
Description-md5: 724d2be93c82651f1763850f460f35a8
Description-en: Java framework for invoking user-level tasks
 This package provides the necessary infrastructure for writing
 tasks that do things for users, along the same lines as ADAM A-tasks.
 .
 At the moment all the necessary machinery is provided for invoking
 tasks from the command line in a way that looks ADAM-like,
 but it is designed so that, for instance, a GUI-style invoker
 could be written to invoke the same tasks.

Package: starlink-task-java-doc
Description-md5: f9d03f2d2b546c66d508abb5065a1723
Description-en: Java framework for invoking user-level tasks (javadoc)
 This package provides the necessary infrastructure for writing
 tasks that do things for users, along the same lines as ADAM A-tasks.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-topcat-java
Description-md5: f2c29aebde17bd99945308102ada32b5
Description-en: Tool for OPerations on Catalogues And Tables (Java library)
 TOPCAT is an interactive graphical viewer and editor for tabular
 data. Its aim is to provide most of the facilities that astronomers
 need for analysis and manipulation of source catalogues and other
 tables, though it can be used for non-astronomical data as well. It
 understands a number of different astronomically important formats
 (including FITS and VOTable) and more formats can be added.

Package: starlink-topcat-java-doc
Description-md5: e7c8ca3a7233e4a07d3ee6507d37442d
Description-en: Tool for OPerations on Catalogues And Tables (JavaDoc)
 TOPCAT is an interactive graphical viewer and editor for tabular
 data. Its aim is to provide most of the facilities that astronomers
 need for analysis and manipulation of source catalogues and other
 tables, though it can be used for non-astronomical data as well. It
 understands a number of different astronomically important formats
 (including FITS and VOTable) and more formats can be added.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-ttools-java
Description-md5: df5f4a01537f3f6d1fad40d2f3bba711
Description-en: Starlink Tables Infrastructure Library Tool Set (Java library)
 The STIL Tool Set is a set of command-line tools based on STIL, the
 Starlink Tables Infrastructure Library. It deals with the processing
 of tabular data; the package has been designed for, but is not
 restricted to, astronomical tables such as object catalogues. Some of
 the tools are generic and can work with multiple formats (including
 FITS, VOTable, CDF, CSV, SQL and ASCII), and others are
 specific to the VOTable format. In some ways, STILTS forms the
 command-line counterpart of the GUI table analysis tool TOPCAT. The
 package is robust, fully documented, and designed for efficiency,
 especially with very large datasets.
 .
 This package contains the `ttools` Java library used as the
 foundation for STILTS.

Package: starlink-ttools-java-doc
Description-md5: 831bdce420d760bacaecf6917edfe407
Description-en: Starlink Tables Infrastructure Library Tool Set (Javadoc)
 The STIL Tool Set is a set of command-line tools based on STIL, the
 Starlink Tables Infrastructure Library. It deals with the processing
 of tabular data; the package has been designed for, but is not
 restricted to, astronomical tables such as object catalogues. Some of
 the tools are generic and can work with multiple formats (including
 FITS, VOTable, CDF, CSV, SQL and ASCII), and others are
 specific to the VOTable format. In some ways, STILTS forms the
 command-line counterpart of the GUI table analysis tool TOPCAT. The
 package is robust, fully documented, and designed for efficiency,
 especially with very large datasets.
 .
 This package contains the JavaDoc documentation.

Package: starlink-util-java
Description-md5: 32fb3642881edb911fce2ac78c5f90e6
Description-en: Miscellaneous utilities for the Starjava classes
 This package is a helper function for the other Starjava packages. It
 contains a number of helper and utility classes that don't fit elsewhere.
 .
 Classes include some basic functionality like lists for Java
 primitives, input/output classes, gui classes, DTD and xsd etc.

Package: starlink-util-java-doc
Description-md5: 5730e2481388f1c415bc5b26bc9c8e90
Description-en: Miscellaneous utilities for the Starjava classes (documentation)
 This package is a helper function for the other Starjava packages. It
 contains a number of helper and utility classes that don't fit elsewhere.
 .
 Classes include some basic functionality like lists for Java
 primitives, input/output classes, gui classes, DTD and xsd etc.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-vo-java
Description-md5: 62149671974e462a4522ebb5af2770bc
Description-en: Virtual Observatory access classes
 The Virtual Observatory (VO) is the vision that astronomical datasets
 and other resources should work as a seamless whole. This package
 provides Java classes to access VO services within the Starlink context.

Package: starlink-vo-java-doc
Description-md5: 677e65b228ee65a868da15595ff53cc6
Description-en: Virtual Observatory access classes (javadoc)
 The Virtual Observatory (VO) is the vision that astronomical datasets
 and other resources should work as a seamless whole. This package
 provides Java classes to access VO services within the Starlink context.
 .
 This package contains the JavaDoc documentation of the package.

Package: starlink-votable-java
Description-md5: 4f874499f4eddd6f1348151a715f2cac
Description-en: Classes for VOTable input and output
 The VOTable format is an XML standard for the interchange of data
 represented as a set of tables. In this context, a table is an
 unordered set of rows, each of a uniform structure, as specified in
 the table description (the table metadata). VOTable was designed to
 be close to the FITS Binary Table format.

Package: starlink-votable-java-doc
Description-md5: b07a3c4a07d4d89e620fe61829b87a77
Description-en: Classes for VOTable input and output (javadoc)
 The VOTable format is an XML standard for the interchange of data
 represented as a set of tables. In this context, a table is an
 unordered set of rows, each of a uniform structure, as specified in
 the table description (the table metadata). VOTable was designed to
 be close to the FITS Binary Table format.
 .
 This package contains the JavaDoc documentation of the package.

Package: starman
Description-md5: c44c03a2ade52fec8a1e8f965248b395
Description-en: high-performance preforking PSGI/Plack web server
 Starman is a PSGI perl web server that has unique features such as:
  * High Performance - Uses the fast XS/C HTTP header parser
  * Preforking - Spawns workers preforked like most high performance UNIX
    servers do. Starman also reaps dead children and automatically restarts
    the worker pool.
  * Signals - Supports HUP for graceful restarts, and TTIN/TTOU to
    dynamically increase or decrease the number of worker processes.
  * Superdaemon aware - Supports Server::Starter for hot deploy and
    graceful restarts.
  * Multiple interfaces and UNIX Domain Socket support - Able to listen
    on multiple intefaces including UNIX sockets.
  * Small memory footprint - Preloading the applications with --preload-app
    command line option enables copy-on-write friendly memory management.
    Also, the minimum memory usage Starman requires for the master process
    is 7MB and children (workers) is less than 3.0MB.
  * PSGI compatible - Can run any PSGI applications and frameworks
  * HTTP/1.1 support - Supports chunked requests and responses, keep-alive
    and pipeline requests.

Package: starplot
Description-md5: 382323ebd0b11dcd02112beae46367b7
Description-en: 3-dimensional perspective star map viewer
 StarPlot is a GTK+ based program that can be used interactively to view
 three-dimensional perspective charts of stars.  Charts can be re-centered,
 rotated, or zoomed in or out with a mouse click (this can also, of course,
 be done via dialog boxes for more precision).  Stars may be viewed (or
 ignored) by spectral class and absolute magnitude.
 .
 StarPlot is packaged with starconvert, a utility that converts
 line-oriented stellar data records to StarPlot format.  Most star data
 files available on the Internet can be converted this way if a short file
 describing the original file format is provided to starconvert.

Package: starpu-examples
Description-md5: 601fd3c318d53962421cdbdd364f312b
Description-en: Task scheduler for heterogeneous multicore machines - exs
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains application examples.

Package: starpu-tools
Description-md5: eb2ba87d4cd88833bc91b0cf6b977279
Description-en: Task scheduler for heterogeneous multicore machines - tools
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains StarPU tools.

Package: starvoyager
Description-md5: b4ae40c2f4a8f098c987e0844aaf5620
Description-en: 2D space arcade game, themed around 'Star Trek' - binary
 Star Voyager is a Frontier/Elite class game in a more arcade style 2D
 environment, themed to the 'Star Trek' universe. It utilizes the SDL library
 for portability.
 .
 This package contains the game binary

Package: starvoyager-data
Description-md5: 0a1abcb00762ec9ea66075f545667990
Description-en: 2D space arcade game, themed around 'Star Trek' - data files
 Star Voyager is a Frontier/Elite class game in a more arcade style 2D
 environment, themed to the 'Star Trek' universe. It utilizes the SDL library
 for portability.
 .
 This package contains the data files used by the game engine

Package: statcvs
Description-md5: c28081c860d320ebb698d3b1905cc6af
Description-en: CVS Repository statistic analysis tool, written in Java
 StatCvs retrieves information from a CVS repository and generates
 various tables and charts describing the project development,
 e.g. timeline for the lines of code, contribution of each developer
 etc.
 .
 The current version of StatCvs generates a static suite of HTML
 documents containing tables and chart images.  StatCvs is open source
 software, released under the terms oft the LGPL.  StatCvs uses
 JFreeChart to generate charts.

Package: statgrab
Description-md5: 16e603690dc3433a07f19dd966943f23
Description-en: sysctl-style access to system statistics
 statgrab provides an access to system statistics using a sysctl-style
 interface. This is useful for applications that don't want to make
 library calls, but still want to access the statistics. An example is mrtg,
 for which scripts are provided to generate configuration files.
 .
 statgrab utilizes libstatgrab library.

Package: staticsite
Description-md5: 39671bae3ae3d56a03b4b3c6ff59917a
Description-en: Static site generator
 Static site generator based on markdown and jinja2.
 .
 Features:
  - themable
  - free content structure
  - hugo-style archetypes and front matter
  - live preview server

Package: statnews
Description-md5: e2e9f3c87ac6cf169ded14e7a1aa4c9f
Description-en: Extracts useful statistics out of a newsgroup or mailing list
 This program may be useful to analyze newsgroups or mailing lists with
 respect to authors, messages length and frequency, and so on.
 .
 At this moment, it operates on local spools only (it has neither NNTP nor
 HTTP capabilities); the spool has to store one message per file in
 traditional mbox format.

Package: statserial
Description-md5: 8a7a3b5be1c56181438d55623968287e
Description-en: Displays serial port modem status lines
 Statserial displays a table of the signals on a standard 9-pin or
 25-pin serial port, and indicates the status of the handshaking lines.
 It can be useful for debugging problems with serial ports or modems.

Package: statsprocessor
Description-md5: 0e8266bf84c670adc8e7264a418622b4
Description-en: word generator based on per-position Markov chains
 Statsprocessor is a word generator based on per-position Markov chains
 packed into a single stand-alone binary. It generates candidate words based
 on a Hashcat format .hcstat file by using this information to determine which
 letter is following which letter based on the analysis of the original input
 dictionary used to generate the .hcstat. The resulting words can then, for
 example, be postprocessed and fed into Hashcat or other password recovery
 tools.

Package: statsvn
Description-md5: 1e17c642b31f2828a87f74d289a815f2
Description-en: SVN repository statistics
 StatSVN retrieves information from a Subversion repository and generates
 various tables and charts describing the project evolution, e.g.
 the lines of code over time, contribution of each developer, the
 evolution of modules, directories, files, the time and days when most
 checkins happen, etc. It also shows the commit logs and integrates
 out of the box with ViewVc, BugZilla, Chora and others.
 .
 StatSVN generates a static suite of HTML or XDoc documents containing
 tables and chart images.

Package: stax
Description-md5: 2923c280850c672fac6878f45f913df8
Description-en: collection of puzzle games similar to Tetris Attack
 Another way of playing Tetris and some other cool puzzle games. Includes
 multiplayer feature and supports themes. Enjoy!

Package: stda
Description-md5: f965787e3a9b0389d9e9895e1addb6e8
Description-en: simple tools for data analysis (stda)
 This package contains some primary tools for evaluating sums, averages,
 integrals, derivatives, histograms/distributions of 1-d numerical data, and
 eventually for plotting the results. The stand-alone programs (supporting
 the standard UNIX input and output pipelines) are intended for data processing
 from the command line. They are especially useful for straightforward analysis
 of number series where a complex analytical approach is not necessary.
 .
 Following programs are included:
  * maphimbu   - histogram builder for 1-d numerical and text data;
  * mintegrate - evaluate average/sum/integral/derivative of 1-d numerical data;
  * mmval      - find minimum and maximum value in a dataset;
  * muplot     - plot a multi-curve figure from multiple dataset using Gnuplot;
  * nnum       - produce a series of integers or floats;
  * prefield   - prepare input file for 'muplot' to plot 2-d fields by arrows.

Package: stdsyslog
Description-md5: c5bebe63787a080c73ddb683f20065c6
Description-en: log a program's output to the system log
 The stdsyslog utility executes a program, reads everything that it
 outputs to a set of file descriptors (by default the standard output
 and standard error streams) and logs it to the system log.

Package: ste-plugins
Description-md5: 3bd9f88b2e4344d16fa848e7218e4bb3
Description-en: stereo LADSPA plugins
 This package provides a set of stereo plugins:
  * Stereo width;
  * Stereo balance and panner.

Package: stealth
Description-md5: 5bc700bb5b7b5d6ee7d8fdc9665b6a25
Description-en: stealthy File Integrity Checker
 The STEALTH program performs File Integrity Checks on (remote) clients. It
 differs from other File Integrity Checkers by not requiring baseline
 integrity data to be kept on either write-only media or in the client's file
 system. In fact, clients will hardly contain any indication suggesting that
 they are being monitored, thus improving the stealthiness of the integrity
 scans.
 .
 STEALTH uses standard available software to perform file integrity checks
 (like find(1) and sha1sum(1)). Using individualized policy files, it is
 highly adaptable to the specific characteristics of its clients.
 .
 In production environments STEALTH should be run from an isolated computer
 (called the `STEALTH monitor').  In optimal configurations the STEALTH
 monitor should be a computer not accepting incoming connections. The account
 used to connect to its clients does not have to be `root'; usually
 read-access to the client's file system is enough to perform a full integrity
 check. Instead of using `root' a more restrictive administrative or
 ordinary account might offer all necessary requirements for the desired
 integrity check.
 .
 STEALTH itself must communicate with the computers it should monitor. It is
 essential that this communication is secure. STEALTH configurations
 therefore normally specify SSH as the command-shell to use for connecting to
 clients. STEALTH may be configured so as to use but one SSH connection per
 client, even if integrity scans are to be performed repeatedly. Apart from
 this, the STEALTH monitor is commonly allowed to send e-mail to remote
 client systems' maintainers.
 .
 STEALTH-runs itself may start randomly within specified intervals. The
 resulting unpredicability of STEALTH-runs further increases STEALTH's
 stealthiness.
 .
 STEALTH's acronym is expanded to `Ssh-based Trust Enforcement Acquired
 through a Locally Trusted Host': the client's trust is enforced, the locally
 trusted host is the STEALTH monitor.

Package: stealth-doc
Description-md5: 2366cf791404334af5c3cdb50882e047
Description-en: stealthy File Integrity Checker documentation
 STEALTH program performs File Integrity Checks on (remote) clients. It
 differs from other File Integrity Checkers by not requiring baseline
 integrity data to be kept on either write-only media or in the client's file
 system. In fact, client's will contain hardly any indication at all that they
 are being monitored, thus improving the stealthiness of the integrity scans.
 .
 STEALTH uses standard available software to perform file integrity checks
 (like find(1) and md5sum(1)). Using individualized policy files, it is highly
 adaptable to the specific requirements of its clients.
 .
 In production environments STEALTH should be run from an isolated computer
 (called the `STEALTH monitor').  In optimal configurations the STEALTH
 monitor should be a computer not accepting incoming connections. The account
 used to connect to its clients does not have to be `root': usually
 read-access to the client's file system is enough to perform a full integrity
 check. Instead of using `root' a more restrictive administrative or
 ordinary account might offer all requirements for the desired integrity
 check.
 .
 STEALTH itself must communicate with the computers it should monitor. It is
 essential that this communication is secure, and STEALTH configurations will
 therefore normally specify SSH as the command-shell to use to connect to its
 clients. STEALTH may be configured so as to use but one SSH connection per
 client, even if integrity scans are to be performed repeatedly. Apart from
 this, the STEALTH monitor might be allowed to send e-mail to remote clients
 system's maintainers.
 .
 STEALTH-runs itself may start randomly within specified intervals. The
 resulting unpredicability of STEALTH-runs further increases STEALTH's
 stealthiness.
 .
 STEALTH's acronym is expanded to `Ssh-based Trust Enforcement Acquired
 through a Locally Trusted Host': the client's trust is enforced, the locally
 trusted host is the STEALTH monitor.
 .
 This package provides the supplemental documentation for Stealth.

Package: steghide
Description-md5: f8cf34d2d553af9b58be0833c091635b
Description-en: steganography hiding tool
 Steghide is steganography program which hides bits of a data file
 in some of the least significant bits of another file in such a way
 that the existence of the data file is not visible and cannot be proven.
 .
 Steghide is designed to be portable and configurable and features hiding
 data in bmp, jpeg, wav and au files, blowfish encryption, MD5 hashing of
 passphrases to blowfish keys, and pseudo-random distribution of hidden bits
 in the container data.
 .
 Steghide is useful in digital forensics investigations.

Package: steghide-doc
Description-md5: c878fd6132bc0445a68fc6479ca0d4d3
Description-en: steganography hiding tool - documentation files
 Steghide is steganography program which hides bits of a data file
 in some of the least significant bits of another file in such a way
 that the existence of the data file is not visible and cannot be proven.
 .
 Steghide is designed to be portable and configurable and features hiding
 data in bmp, jpeg, wav and au files, blowfish encryption, MD5 hashing of
 passphrases to blowfish keys, and pseudo-random distribution of hidden bits
 in the container data.
 .
 These packages contains the common documentation files.

Package: stegosuite
Description-md5: baaedd67889a10f31fd3fe8209c97df1
Description-en: steganography tool to hide information in image files
 Stegosuite is a graphical steganography tool to easily hide information in
 image files. It allows the embedding of text messages and multiple files of any
 type. In addition, the embedded data is encrypted using AES.
 Currently supported file types are BMP, GIF, JPG and PNG.
 Stegosuite is written in Java and utilizes the SWT toolkit for its interface.

Package: stegsnow
Description-md5: a83d467f9a2c708ecb137af59aba215e
Description-en: steganography using ASCII files
 This utility can conceal messages in ASCII text by appending whitespaces to
 the end of lines. Because spaces and tabs are generally not visible in text
 viewers, the message is effectively hidden from casual observers. And if the
 built-in encryption is used, the message cannot be read even if it is detected.
 .
 About the name: locating trailing whitespace in text is like finding a polar
 bear in a snowstorm. And it uses the ICE encryption algorithm, so the name is
 thematically consistent.
 .
 This package is useful for personal security, forensics investigations and
 other actions.

Package: stella
Description-md5: 5e4bb21deefc8d745452855b62f326cd
Description-en: Atari 2600 Emulator for SDL & the X Window System
 Stella is a portable emulator of the old Atari 2600 video-game
 console. You can play most Atari 2600 games with it.
 .
 Stella's features include:
  * emulation of Atari 2600 joysticks, keyboards, paddles and driving
    controllers using the host system's input peripherals;
  * emulation of trackballs, joysticks, booster grips, driving
    controllers and the Amiga Mouse using the host system's mouse;
  * support for real Atari 2600 controllers using the Stelladaptor,
    2600-daptor or 2600-daptor II;
  * support for real Atari 7800 controllers using the 2600-daptor II;
  * support for the speech portion of a real AtariVox device;
  * support for Supercharger single-load and multi-load games;
  * emulation of CRT TV features, including texturing, colour bleed,
    RF noise and phosphor burn-off (requires OpenGL).
 .
 An extensive debugger is included, with the Distella disassembler.
 .
 Note that this is not isee systems' STELLA modeling, simulation and
 analysis tool.

Package: stellarium
Description-md5: ee62de66fb5b3319c81294a9100bca29
Description-en: real-time photo-realistic sky generator
 Stellarium renders 3D photo-realistic skies in real-time. With Stellarium, you
 really see what you can see with your eyes, binoculars or a small telescope.
 .
 Some features:
  - default star catalogue with over 600 thousand stars,
  - information about the brightest stars (spectral type, distance, etc.),
  - downloadable star catalogue extensions, for up to 210 million stars,
  - all New General Catalogue (NGC) objects,
  - images of almost all Messier objects and the Milky Way,
  - real time positions of the planets and their satellites,
  - 13 different cultures with their constellations,
  - artistic illustrations of the 88 Western constellations,
  - very realistic atmosphere, sunrise and sunset,
  - 7 panoramic landscapes (more can be made or downloaded from the website),
  - scripting with ECMAScript,
  - plug-in support: Stellarium comes with 8 plug-ins by default, including:
  - artificial satellites plug-in (updated from an on-line TLE database),
  - ocular simulation plug-in (shows how objects look like in a given ocular),
  - Solar System editor plug-in (imports comet and asteroid data from the MPC),
  - telescope control plug-in (Meade LX200 and Celestron NexStar compatible).
 .
 Stellarium should not be used for very high accurate calculation or ephemerids
 like eclipse predictions. However, it is the ideal program to prepare an
 observation evening with naked eye, binocular, or small telescope.

Package: stellarium-data
Description-md5: abb0e128a19de6802f33b1e1384d5593
Description-en: Stellarium data files
 Stellarium renders 3D photo-realistic skies in real-time. With Stellarium, you
 really see what you can see with your eyes, binoculars or a small telescope.
 .
 This package contains data files required by Stellarium. They include
 textures, star catalogues and translations.

Package: stenc
Description-md5: ab0e392fc75009fcbf3f725b68d7ec4b
Description-en: SCSI Tape Encryption Manager
 Stenc manages encryption on capabale LTO tape drives with hardware-based
 encryption. Program should work on any other SSP capable tape drives. Built
 specifically for Linux and AIX. Now supports key change auditing and key
 descriptors (uKAD).

Package: stenographer
Description-md5: bfdbe3eceabac7b22db0d33a99adb325
Description-en: full-packet-capture utility -- server
 Stenographer is a full-packet-capture utility for buffering packets
 to disk for intrusion detection and incident response purposes. It
 provides a high-performance implementation of NIC-to-disk packet
 writing, handles deleting those files as disk fills up, and provides
 methods for reading back specific sets of packets quickly and easily.

Package: stenographer-client
Description-md5: 9c1304b823804c59ac9648762b2a4316
Description-en: full-packet-capture utility -- clients
 Stenographer is a full-packet-capture utility for buffering packets
 to disk for intrusion detection and incident response purposes. It
 provides a high-performance implementation of NIC-to-disk packet
 writing, handles deleting those files as disk fills up, and provides
 methods for reading back specific sets of packets quickly and easily.
 .
 This package contains a HTTP-based client.

Package: stenographer-common
Description-md5: bd5a0d3528a03f1ee2a4f1fc0f04ebed
Description-en: full-packet-capture utility -- common files
 Stenographer is a full-packet-capture utility for buffering packets
 to disk for intrusion detection and incident response purposes. It
 provides a high-performance implementation of NIC-to-disk packet
 writing, handles deleting those files as disk fills up, and provides
 methods for reading back specific sets of packets quickly and easily.
 .
 This package contains configuration common to client and server and
 creates the Unix user and group needed for operation.

Package: step
Description-md5: 41b9209e3ba293cc51da9daac61a2e20
Description-en: interactive physical simulator for KDE
 With Step you can not only learn but feel how physics works. You place some
 bodies on the scene, add some forces such as gravity or springs, then click
 "Simulate" and Step shows you how your scene will evolve according to the
 laws of physics. You can change every property of bodies/forces in your
 experiment (even during simulation) and see how this will change evolution
 of the experiment.
 .
 This package is part of the KDE education module.

Package: stepic
Description-md5: 29eef5075d19711f3cc13104a0f9688d
Description-en: Python 3 Steganography in Images
 A Python 3 module and command line tool for hiding arbitrary data within
 images by slightly modifying the colors. These modifications are generally
 imperceptible to humans, but are machine detectable.  Works with RGB, RGBA,
 or CMYK images.

Package: steptalk
Description-md5: 7b20ab8b71eaca09ed2aa8d0e81e4d4d
Description-en: GNUstep Scripting Framework
 StepTalk is a scripting framework for creating scriptable servers or
 applications.  StepTalk, when combined with the dynamism of the Objective-C
 language, goes way beyond mere scripting.
 .
 This package contains the StepTalk tools, documentation and examples.

Package: stetl
Description-md5: e5095f0d59189eccf76b1c253469f8a5
Description-en: Streaming ETL - Commandline utility
 Stetl, streaming ETL, pronounced "staedl", is a lightweight ETL-framework
 for the conversion of rich (as GML) geospatial data conversion.
 .
 It basically glues together existing parsing and transformation tools
 like GDAL/OGR (ogr2ogr) and XSLT. By using native tools like libxml and
 libxslt (via Python lxml) Stetl is speed-optimized.
 .
 Stetl has a similar design as Spring (Java) and other modern frameworks
 based on IoC (Inversion of Control). A configuration file (in Python
 config format) specifies your chain of ETL steps. This chain is formed
 by a series of Python modules/objects and their parameters. These are
 symbolically specified in the config file. You just invoke etl.py the
 main program with a config file. The config file specifies the input
 modules (e.g. PostGIS), transformers (e.g. XSLT) and outputs (e.g. a GML
 file or even WFS-T a geospatial protocol to publish GML to a server).
 .
 This package contains the stetl utility.

Package: stex
Description-md5: 3d646edb7c46c2e9ac09347b1ae4c2fe
Description-en: typeset Scheme code with LaTeX
 Tools for typesetting Scheme code with LaTeX. The scheme-prep tool
 converts stex commands to LaTeX, and html-prep converts some LaTeX to
 html. A makefile is provided that builds stex documents.

Package: stgit
Description-md5: d6e7c4f9aa71542e1a733da8f87ae3b7
Description-en: manage stacks of patches in a git repository
 stgit provides similar functionality to quilt
 (i.e. pushing/popping patches to/from a stack) on top of git.
 .
 These operations are performed using git commands and the patches
 are stored as git commit objects, allowing easy merging of the stgit
 patches into other repositories using standard git functionality.

Package: stgit-contrib
Description-md5: d67a5804ae05c37a244c37539fa86e30
Description-en: set of contributed script to help working with stgit
 Among others, this package provides the following helper scripts:
  * stg-gitk: show all patches in gitk, not only applied ones, and hide logs
  * stg-whatchanged: examine changes that would modify the current patch
  * stg-fold-files-from: pick specific hunks from another patch up the stack
  * stg-dispatch: dispatch specific hunks into another patch down the stack
  * stg-swallow: completely merge another patch into the current one
  * stg-k: provide robust --keep functionality to all stgit commands
 .
 Most of the functionality of these scripts may one day be incorporated into
 stgit.

Package: stiff
Description-md5: cc5516ce019c76d247f0b99e6b930675
Description-en: convert scientific FITS images to the TIFF format
 STIFF is a program that converts scientific FITS images to the TIFF format
 for illustration purposes. The main features of STIFF are:
 .
  * Accurate reproduction of the original surface brightnesses and colours
  * Automatic or manual contrast and brightness adjustments
  * Automatic sky background intensity and colour balance
  * Adjustable colour saturation
  * Colour-friendly gamma correction capabilities
  * One or three input channels: gray-scale or true colour output
  * Output with 8 or 16 bits per component
  * Pixel rebinning and x/y flip options
  * Support for arbitrarily large input and output images on standard
    hardware (BigTIFF support)
  * Support for tiled, multiresolution pyramids
  * Support for lossless and lossy compression methods
  * Multi-threaded code with load-balancing to take advantage of multiple
    cores and processors.
  * XML VOTable-compliant output of meta-data.

Package: stilts
Description-md5: e9612dd1c197a49ddff9af997feebb5f
Description-en: Starlink Tables Infrastructure Library Tool Set
 The STIL Tool Set is a set of command-line tools based on STIL, the
 Starlink Tables Infrastructure Library. It deals with the processing
 of tabular data; the package has been designed for, but is not
 restricted to, astronomical tables such as object catalogues. Some of
 the tools are generic and can work with multiple formats (including
 FITS, VOTable, CDF, CSV, SQL and ASCII), and others are
 specific to the VOTable format. In some ways, STILTS forms the
 command-line counterpart of the GUI table analysis tool TOPCAT. The
 package is robust, fully documented, and designed for efficiency,
 especially with very large datasets.
 .
 This package contains the executable.

Package: stilts-doc
Description-md5: fb5ec7d84d425889df08dc1780e149f5
Description-en: Starlink Tables Infrastructure Library Tool Set (documentation)
 The STIL Tool Set is a set of command-line tools based on STIL, the
 Starlink Tables Infrastructure Library. It deals with the processing
 of tabular data; the package has been designed for, but is not
 restricted to, astronomical tables such as object catalogues. Some of
 the tools are generic and can work with multiple formats (including
 FITS, VOTable, CDF, CSV, SQL and ASCII), and others are
 specific to the VOTable format. In some ways, STILTS forms the
 command-line counterpart of the GUI table analysis tool TOPCAT. The
 package is robust, fully documented, and designed for efficiency,
 especially with very large datasets.
 .
 This package contains the documentation.

Package: stimfit
Description-md5: ff927703badfc328135b8c0bee68dc84
Description-en: Program for viewing and analyzing electrophysiological data
 Stimfit is a free, fast and simple program for viewing and analyzing
 electrophysiological data. It features an embedded Python shell that
 allows you to extend the program functionality by using numerical
 libraries such as NumPy and SciPy.

Package: stimfit-dbg
Description-md5: 0ba498df1bcff5e56dc1b4f9ad77eabf
Description-en: Debug symbols for stimfit
 Stimfit is a free, fast and simple program for viewing and analyzing
 electrophysiological data. It features an embedded Python shell that
 allows you to extend the program functionality by using numerical
 libraries such as NumPy and SciPy. This package contains the debug
 symbols for Stimfit.

Package: stk
Description-md5: 4656fc505cce49eb02620e363ade8a65
Description-en: Sound Synthesis Toolkit (example applications)
 The Sound Synthesis Toolkit is a C++ library with implementations
 of several sound synthesis algorithms, starting from Frequency
 Modulation, over Physical Modelling and others. It can be used
 as a library, but it also provides some nice software synthesizers.
 .
 This package provides the example files for the sound synthesis toolkit.

Package: stk-doc
Description-md5: 069a7efb4a3a84403e9af3f2a802d737
Description-en: Sound Synthesis Toolkit (documentation)
 The Sound Synthesis Toolkit is a C++ library with implementations
 of several sound synthesis algorithms, starting from Frequency
 Modulation, over Physical Modelling and others. It can be used
 as a library, but it also provides some nice software synthesizers.
 .
 This package contains the documentation for the sound synthesis toolkit.

Package: stl-manual
Description-md5: 7c3e484995696e22bc447407ed47b172
Description-en: C++-STL documentation in HTML
 This is the documentation for the C++ Standard Template Library
 as found on SGIs Website.

Package: stlcmd
Description-md5: c5dfda87434e97da304d2427e83860df
Description-en: Suite of commands for generating, inspecting and manipulating STL files
 stl_cmd is a suite of command line tools for generating, inspecting and
 manipulating STL files which are often used in 3D printing. The goal of this
 project is to be a resource for teaching terminal usage and some basic
 programming concepts in the 3D printing space. Imagine an assignment which
 involves building a brick wall. Students would need to use a combination of
 stl_cube, stl_transform and stl_merge. The commands could be combined in a
 bash or <insert favorite scripting language> script with for and while
 loops, could accept input and use conditionals to affect the attributes of
 the wall.

Package: stlink-gui
Description-md5: e01bd64b081bd06b67387bc3dac4df46
Description-en: OpenSource ST-Link tools replacement.
 Flashing tools for STMicroelectronics STM32VL and STM32L. The transport layers
 STLINKv1 and STLINKv2 are supported.
 .
 This package contains a GUI tool for stlink.

Package: stlink-tools
Description-md5: 5d13db87f98d8d05307d116d5aa4db50
Description-en: OpenSource ST-Link tools replacement.
 Flashing tools for STMicroelectronics STM32VL and STM32L. The transport layers
 STLINKv1 and STLINKv2 are supported.
 .
 This package contains commandline utilities for stlink, and modprobe and
 udev rules.

Package: stm32flash
Description-md5: 262dbb99fbad7d71019cf027174b79fb
Description-en: STM32 chip flashing utility using a serial bootloader
 stm32flash is a flashing program for the STM32 ARM processors using the ST
 serial bootloader compliant with application note AN3155.
 .
 Features:
  * device identification
  * write to flash/RAM
  * read from flash/RAM
  * auto-detect Intel hex or raw binary input format with option to force binary
  * flash from binary file
  * save flash to binary file
  * verify and retry up to N times on failed writes
  * start execution at specified address
  * software reset the device when finished if -g not specified
  * resume already initialized connection (for when reset fails)
  * GPIO signalling
  * I²C support

Package: stockfish
Description-md5: 1c88e787fea0cbec572e73232f9d09df
Description-en: strong chess engine, to play chess against
 free chess engine derived from Glaurung 2.1. It is a chess engine, so it
 requires an UCI (universal chess interface) compatible GUI like XBoard
 (with PolyGlot), eboard, Jose, Arena or scid in order to be used comfortably.
 It is the strongest open source chess engine by october 2009 in the
 "computer chess rating list" CCRL. Written in C++ it uses multiple threads
 and cores. It is capable of Chess960 and has experimental support for polyglot
 opening books.

Package: stoken
Description-md5: eb1f12decfefeed4ad1cea1adaa3e39f
Description-en: Software Token for cryptographic authentication
 stoken is a software token which generates one-time passwords compatible
 with RSA SecurID 128-bit (AES) tokens.  SecurID tokens are commonly
 used to authenticate end users to protected network resources and VPNs,
 as OTPs provide greater resistance to many attacks associated with static
 passwords.
 .
 stoken aims to provide a Linux-friendly, free software alternative to the
 proprietary RSA SecurID Software Authenticators.
 .
 This package contains standalone command-line and GTK+ GUI programs that
 allow for importing token seeds, generating tokencodes, and various
 utility/testing functions.

Package: stompserver
Description-md5: 01095cc887edb4c206366d2100aa27bf
Description-en: stomp messaging server implemented in Ruby
 Stompserver is a stomp messaging server with support for multiple FIFO
 based queue backends including file, dbm, memory and activerecord.
 It supports basic authentication and queue monitoring.

Package: stopmotion
Description-md5: 6f0946bc282ceae8fbc56269cc13d2fd
Description-en: create stop-motion animations
 Create stop-motion animations with images grabbed from a video
 device, or from image files, or from still images extracted from
 video.  Stopmotion has a set of tools which helps you keep the
 movements smooth and precise, such as ghosting the last image on a
 live view of the next image to be acquired.

Package: stops
Description-md5: b7f56ac17df39b1b876d5be0909db212
Description-en: Stop and instrument definitions for Aeolus
 Aeolus is a synthesised (i.e. not sampled) pipe organ emulator that
 should be good enough to make an organist enjoy playing it. It is a
 software synthesiser optimised for this job, with possibly hundreds
 of controls for each stop, that enable the user to "voice" their
 instrument.
 .
 This package contains definitions of stops and of three instruments
 to be used with the aeolus organ synth: Aeolus (the default instrument),
 Aeolus1 and Aeolus2, selected with the -I command-line argument to aeolus.
 .
 This package contains binary instrument data, which have been created by the
 instrument editor feature in aeolus (accessible by holding down Ctrl then
 left-mouse-click on any stop in the aeolus GUI).

Package: stopwatch
Description-md5: 6614e6e5b46dc3ec7287b1e64b5b6941
Description-en: Virtual stopwatch and timer
 This is a stopwatch and timer program that uses the Tk toolkit. It has
 millisecond accuracy. Stopwatch can act as a graphical chronometer (it
 will provides a GUI interface to user).

Package: storebackup
Description-md5: 5f64df0c5b4423151504d9c7eaaf94bd
Description-en: fancy compressing managing checksumming deduplicating hard-linking cp -ua
 Copies directory hierarchies recursively into another location,
 by date (e.g.  /home/ => /var/bkup/2002.12.13_04.27.56/).
 Permissions are preserved, so users with access to the backup
 directory can recover their files themselves.
 .
 File comparisons are done with MD5 checksums, so no changes go
 unnoticed.
 .
 Hard-links unchanged backuped files to old versions and
 identical files within the backuped tree.
 .
 Compresses large files (that don't match exclusion patterns).
 .
 Manages backups and removes old ones.

Package: storj
Description-md5: 1807a4de7ecd838057c1b274d483cbce
Description-en: Command Line Tool for encrypted file transfer on the Storj network
 CLI Tool for file transfer on the Storj network, it provides an interface for
 setting up users profiles, work with buckets and files, download and
 upload files and get information from the Storj API.

Package: stormbaancoureur
Description-md5: 6b3aa2e5393e1f839712b78d71b8ea59
Description-en: simulated obstacle course for automobiles
 Your objective is to drive your car along an obstacle course. Success depends
 on total control of the car. If you want to master it, try to have the laws
 of physics work with you, not against you.
 .
 The game was formerly known as Sturmbahnfahrer.

Package: stormbaancoureur-data
Description-md5: 0031e3ff670150b9cacdfb90a04d4c93
Description-en: game data for Stormbaan Coureur
 Models, images, sounds and other data for Stormbaan Coureur.

Package: storymaps
Description-md5: 22d366b32c559a4c02bfa47aeb18d78f
Description-en: Free story planning and writing application for children
 StoryMaps is a graphical story planning and writing application for
 children that breaks down the elements of traditional fairy tales into
 building blocks, following Vladimir Propp's plot functions.
 .
 With StoryMaps children can easily create their own stories:
 selecting the plot cards with the story cards, creating a story map
 and writing their own story using a text editor. Once finished, the
 application can be used to export the story into HTML format.

Package: stow
Description-md5: 952b8725dcbc2ad8368dbc929406052e
Description-en: Organizer for /usr/local software packages
 GNU Stow is a software installation manager for /usr/local.  Using
 symbolic links, GNU Stow helps you keep the installations separate
 (/usr/local/stow/emacs vs. /usr/local/stow/perl, for example) while
 maintaining the illusion that they are all under /usr/local.

Package: streamer
Description-md5: 521d050b7a009ca1390ce4ef9b55380a
Description-en: television capture tool (images/movies)
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides a tool to capture single/multiple images or
 record movies from a video4linux device.

Package: streamlink
Description-md5: fe3c620ca624eda2def7cf1eb4a7cd03
Description-en: CLI for extracting video streams from various websites to a video player
 Streamlink is a CLI utility that pipes flash videos from online streaming
 services to a variety of video players such as VLC, or alternatively, a
 browser.
 The main purpose of streamlink is to convert CPU-heavy flash plugins to a
 less CPU-intensive format.
 .
 Streamlink is a fork of the Livestreamer project.
 .
 Please consider donating or paying for subscription services when they are
 available for the content you consume and enjoy.

Package: streamripper
Description-md5: 2b37cc3dfd273b883d9e7c1b5aced71a
Description-en: download online streams into audio files
 This command-line tool can be used to record MPEG III
 and OGG online radio-streams into track-separated audio
 files.

Package: streamtuner2
Description-md5: de7f8eccbaa41ea538675ce7bd1ecde2
Description-en: Browser for Internet Radio Stations
 streamtuner2 is a browser for radio station directories. It can fetch lists
 from SHOUTcast, Xiph.org, Live365, Jamendo, DMOZ, basic.ch, Punkcast.
 And it lists stream entries by category or genre. It reuses existing audio
 players, and recording is delegated to streamripper.
 .
 It mimics the original streamtuner 0.99.99, but is easier to extend because
 it's written entirely in Python. It's already in a stable and useable form.

Package: stress
Description-md5: 748045f588ae8994a34afe01a60f071d
Description-en: tool to impose load on and stress test a computer system
 'stress' is a tool that imposes a configurable amount of CPU, memory, I/O,
 or disk stress on a POSIX-compliant operating system and reports any errors
 it detects.
 .
 'stress' is not a benchmark.  It is a tool used by system administrators to
 evaluate how well their systems will scale, by kernel programmers to evaluate
 perceived performance characteristics, and by systems programmers to expose
 the classes of bugs which only or more frequently manifest themselves when
 the system is under heavy load.

Package: stress-ng
Description-md5: e4b37767e71cce0d013c8e30c3be093b
Description-en: tool to load and stress a computer
 stress-ng can stress various subsystems of a computer.  It can stress load
 CPU, cache, disk, memory, socket and pipe I/O, scheduling and much more.
 stress-ng is a re-write of the original stress tool by Amos Waterland but
 has many additional features such as specifying the number of bogo operations
 to run, execution metrics, a stress verification on memory and compute
 operations and considerably more stress mechanisms.

Package: stressant
Description-md5: ce33d021cd49068f01666740769bdc28
Description-en: simple stress testing and burn-in tool
 stressant is designed to run on new machines to make sure they will
 work reliably by testing various parts of the system (CPU, RAM, disk,
 network) by putting them under heavy load and try to detect failures.
 .
 As much as possible, stressant tries to reuse existing tools to
 perform the various tasks and aims to be run automatically.

Package: stressant-doc
Description-md5: 2ce49dcb214c4f08a1a4a26ee6cf5e13
Description-en: simple stress testing and burn-in tool (documentation)
 stressant is designed to run on new machines to make sure they will
 work reliably by testing various parts of the system (CPU, RAM, disk,
 network) by putting them under heavy load and try to detect failures.
 .
 As much as possible, stressant tries to reuse existing tools to
 perform the various tasks and aims to be run automatically.
 .
 This package ships the Sphinx documentation builtin to Stressant.

Package: stressant-meta
Description-md5: 3552f38af4a9a87643a8d5df34b0a6af
Description-en: stress-testing, benchmarking and recovery tools (meta-package)
 Meta-pacakge for the utilities documented in the stressant project.
 .
 Packages documented in the stressant manpage are direct dependencies
 here, while the Suggested packages are general-purpose rescue,
 diagnostic, forensics or testing tools that were found in other
 rescue images.

Package: stressapptest
Description-md5: 3a5991dda26033dc90a2faefa3a91f7f
Description-en: stress test application for simulating high load situations
 Stressful Application Test (or stressapptest, its unix name) tries to maximize
 randomized traffic to memory from processor and I/O, with the intent of
 creating a realistic high load situation in order to test the existing hardware
 devices in a computer.
 .
 Stressapptest may be used for various purposes:
  * stress test
  * hardware qualification and debugging
  * memory interface test
  * disk testing

Package: stretchplayer
Description-md5: 5cf1a3156a44dc5290221bc55090bc50
Description-en: Audio file player with time stretch and pitch shifting
 StretchPlayer will play back an audio file and allow you to
 time stretch (without affecting pitch) and/or pitch shift (without
 affecting the time) the audio, even while you are listening to it.
 .
 Warning: this package contains the development version (potentially
 unstable) released for testing purpose only.

Package: stretchplayer-dbg
Description-md5: d4674ef5ddc6c74b6ea1683a3e051ffa
Description-en: Debugging symbols for StretchPlayer
 StretchPlayer will play back an audio file and allow you to
 time stretch (without affecting pitch) and/or pitch shift (without
 affecting the time) the audio, even while you are listening to it.
 .
 This package contains the debugging symbols for stretchplayer.

Package: stringtie
Description-md5: 33fe9cf33d0e879e8926fb896570750e
Description-en: assemble short RNAseq reads to transcripts
 The abundance of transcripts in a human tissue sample
 can be determined by RNA sequencing. The exact sequence
 sampled may be random, depending on the technology used.
 And it may be short, i.e. shorter than the transcript.
 At some point, many shorter reads need to be assembled
 to the model the complete transcripts.
 .
 StringTie knows how to assemble of RNA-Seq into potential
 transcripts without the need of a reference genome and
 provides a quantification also of the splice variants.

Package: strip-nondeterminism
Description-md5: 98145a1ff385f2302d722f129969f298
Description-en: file non-deterministic information stripper — stand-alone tool
 StripNondeterminism is a library for stripping non-deterministic information
 such as timestamps and filesystem ordering from various file and archive
 formats.
 .
 This can be used as a post-processing step to improve the reproducibility of a
 build product, when the build process itself cannot be made deterministic.
 .
 It is used as part of the Reproducible Builds project, although it should be
 considered a temporary workaround which should not be needed in the long
 term; upstream software should be reproducible even without using such a tool.
 .
 This package installs the stand-alone ‘strip-nondeterminism’ tool.

Package: strongswan-nm
Description-md5: fe4e82468fec86f66c41601086865ec7
Description-en: strongSwan plugin to interact with NetworkManager
 The strongSwan VPN suite uses the native IPsec stack in the standard
 Linux kernel. It supports both the IKEv1 and IKEv2 protocols.
 .
 This plugin provides an interface which allows NetworkManager to configure
 and control the IKEv2 daemon directly through D-Bus. It is designed to work
 in conjunction with the network-manager-strongswan package, providing
 a simple graphical frontend to configure IPsec based VPNs.

Package: strongswan-pki
Description-md5: e39ead8c35dce81cd768bc453bc29db7
Description-en: strongSwan IPsec client, pki command
 The strongSwan VPN suite uses the native IPsec stack in the standard
 Linux kernel. It supports both the IKEv1 and IKEv2 protocols.
 .
 This package contains the pki tool which allows on to run a simple public key
 infrastructure.

Package: strongswan-scepclient
Description-md5: fbc3cadf8a28f7b05798f7dbda6309c2
Description-en: strongSwan IPsec client, SCEP client
 The strongSwan VPN suite uses the native IPsec stack in the standard
 Linux kernel. It supports both the IKEv1 and IKEv2 protocols.
 .
 This package contains the SCEP client, an implementation of the Cisco System's
 Simple Certificate Enrollment Protocol (SCEP).

Package: strongswan-swanctl
Description-md5: 0da8661efd13d913c22e863856990337
Description-en: strongSwan IPsec client, swanctl command
 The strongSwan VPN suite uses the native IPsec stack in the standard
 Linux kernel. It supports both the IKEv1 and IKEv2 protocols.
 .
 This package contains the swanctl interface, used to configure a running
 charon daemon

Package: strongswan-tnc-base
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: strongswan-tnc-client
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: strongswan-tnc-ifmap
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: strongswan-tnc-pdp
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: strongswan-tnc-server
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: structure-synth
Description-md5: f886a9a848f51cd534dd38abfd2b2b10
Description-en: application for creating 3D structures
 Structure Synth is a tool for generating 3D structures by specifying a
 design grammar. Even simple systems may generate surprising and complex
 structures. Structure Synth offers a graphical environment with multiple
 tabs, syntax highlighting, and OpenGL preview. Integration with third-party
 renderers (such as Sunflow and POV-Ray) is possible using a flexible
 template based export system.
 .
 Structure Synth has been developed by Mikael Hvidtfeldt Christensen. It was
 inspired by the CFDG syntax by Chris Coyne, and the Context Free Art GUI by
 Mark Lentczner and John Horigan.

Package: structure-synth-dbg
Description-md5: 9d6f1ac63146b719139e6d18b7698ac1
Description-en: application for creating 3D structures
 Structure Synth is a tool for generating 3D structures by specifying a
 design grammar. Even simple systems may generate surprising and complex
 structures. Structure Synth offers a graphical environment with multiple
 tabs, syntax highlighting, and OpenGL preview. Integration with third-party
 renderers (such as Sunflow and POV-Ray) is possible using a flexible
 template based export system.
 .
 This package contains the debugging symbols.

Package: stterm
Description-md5: ca3f174242cd4ddb2ca54c6c26b4f986
Description-en: simple terminal emulator for X
 st is a terminal emulator from the suckless project with a focus on
 simplicity, clarity and frugality. The project's philosophy is about
 keeping things simple, minimal and usable.
 .
 st supports most VT10X escape sequences, serial lines, XIM, utmp via
 utmp(1), clipboard handling, mouse and keyboard shortcuts, UTF-8, wide
 characters, resize, 256 colors, true colors, antialiased fonts (using
 fontconfig), fallback fonts, and line drawing. It does *not* provide
 a scrollback buffer: users are encouraged to use a terminal multiplexter.
 .
 This Debian package is called 'stterm' for historical reasons.

Package: stubby
Description-md5: bc98f2b43cb916f83d10bc959a8bf9b0
Description-en: modern asynchronous DNS API (stub resolver)
 getdns is a modern asynchronous DNS API.  It implements DNS entry
 points from a design developed and vetted by application developers,
 in an API specification edited by Paul Hoffman.  This API intends to
 offer application developers a modernized and flexible way to access
 DNS security (DNSSEC) and other powerful new DNS features; a
 particular hope is to inspire application developers towards
 innovative security solutions in their applications.
 .
 This package contains stubby daemon - the DNS Privacy Stub Resolver.

Package: stumpwm
Description-md5: 411e98f3d4fd899dfb6a67cb4ff5137c
Description-en: tiling, keyboard driven Common Lisp window manager
 StumpWM is a window manager written entirely in Common Lisp. It
 attempts to be highly customizable while relying entirely on the
 keyboard for input. You will not find buttons, icons, title bars,
 tool bars, or any of the other conventional GUI widgets.
 .
 These design decisions reflect the growing popularity of productive,
 customizable lisp based systems.
 .
 Please ensure that you install compatible implementations of CL and
 CLX.  That means either install sbcl and cl-clx-sbcl or cmucl and
 cmucl-source or clisp-module-clx only.
 .
 The suggested package, slime, lets you control a running StumpWM
 session through Emacs.
 .
 If you need Xinerama support, you need the xdpyinfo utility from the
 x11-utils package.

Package: stun-client
Description-md5: 069af055b28810937d1d966b8b0b1a9e
Description-en: Test client for STUN
 The STUN protocol (Simple Traversal of UDP through NATs) is described in the
 IETF RFC 3489, available at http://www.ietf.org/rfc/rfc3489.txt.  It's used to
 help clients behind NAT to tunnel incoming calls through. This server is the
 counterpart to help the client identify the NAT and have it open the proper
 ports for it.
 .
 This package installs only the client.

Package: stun-server
Description-md5: 24472f2836639f74795d31181b18434f
Description-en: Server daemon for STUN
 The STUN protocol (Simple Traversal of UDP through NATs) is described in the
 IETF RFC 3489, available at http://www.ietf.org/rfc/rfc3489.txt.  It's used to
 help clients behind NAT to tunnel incoming calls through. This server is the
 counterpart to help the client identify the NAT and have it open the proper
 ports for it.
 .
 This package installs only the server part

Package: stunnel4
Description-md5: a9bd9f53bcb49101cf9d050b080d160c
Description-en: Universal SSL tunnel for network daemons
 The stunnel program is designed to work  as  SSL  encryption
 wrapper between remote client and local (inetd-startable) or
 remote server. The concept is that having non-SSL aware daemons
 running  on  your  system you can easily setup them to
 communicate with clients over secure SSL channel.
 .
 stunnel can be used to add  SSL  functionality  to  commonly
 used  inetd  daemons  like  POP-2,  POP-3  and  IMAP servers
 without any changes in the programs' code.
 .
 This package contains a wrapper script for compatibility with stunnel 3.x

Package: stx2any
Description-md5: 144608ddef16ded8614e859d7cc78608
Description-en: Converter from structured plain text to other formats
 stx2any is a converter from structured text (Stx), which is plain text
 written in a standardised way, into other formats.  Formats available
 currently include (X)HTML, man, raw text, DocBook XML, PostScript and
 LaTeX.
 .
 Stx is a markup language in the tradition of plain text like markups,
 like wiki markup and ReST.  For a comparison between different plain
 text markup languages, see stx2any's home page
 (http://sange.fi/~atehwa/cgi-bin/piki.cgi/stx2any).
 .
 This package also has the following utilities:
  * strip_stx -- a literate programming tool
  * gather_stx_titles -- a script to automate cross-linking between
    documents
  * extract_usage_from_stx -- a script to produce "usage" messages from
    man pages written in stx
  * html2stx -- a utility to convert HTML into Stx.

Package: stylish-haskell
Description-md5: 3f98a9a2748bec09264c03d09dd75b30
Description-en: Haskell code prettifier
 stylish-haskell prettifies Haskell code.  A design goal is not
 getting in the user's way, so it restricts itself to formatting only
 some parts of the Haskell code piped to it, such as import
 statements.

Package: stymulator
Description-md5: 56fcd3a190592d3892daec1cdc4990d3
Description-en: Curses based player and converter for the YM chiptune format
 STYMulator is an Open Source (GPL License) player which plays music files
 in the YM chiptune format. In particular the YM files are Yamaha YM2149
 soundchip music datas (registers) dumped from the ATARI 16-bit computers.
 .
 The YM chiptune 'standard' has been introduced in the middle of 90's by
 Arnaud Carré aka Leonard/OXG. His sensational ST-Sound player has been
 released for Windows only. Ten years after the first ST-Sound creation he
 released ST-Sound library under GPL license.
 .
 STYMulator has a very simple terminal GUI. It has been written in pure
 ANSI C code with ncurses library. Sounds are generated through ALSA.
 .
 This package contains both ymplayer (curse based player) and ym2wav,
 a console YM to Wave converter.

Package: styx
Description-md5: b68f9a001ad29b268ab8d67c47507631
Description-en: combined parser/scanner generator for C/C++
 The package facilitates application development including
 user-defined context free languages.
 .
 Its development model deviates from the traditional lex/yacc pair
 (flex/bison in Debian) by automating tedious tasks which are
 commonly implemented in yacc's actions.
 .
 Styx automatically derives a depth grammar, generates reentrant
 parsers that support persistent derivation trees, preserve full
 source information, support Unicode and are thread safe.

Package: styx-dev
Description-md5: f747059776d93ec49bf19e2d2b48f6e9
Description-en: combined parser/scanner generator development files
 Static libraries and headers needed for development with styx.
 .
 cf. styx for features.

Package: styx-doc
Description-md5: 2be9f2eeb3aacf89edde73d6871ebe2f
Description-en: combined parser/scanner generator documentation
 "The Styx Handbook" describes application development with styx and
 contains HTML reference documentation for the styx API.
 .
 Contains a full blown example showing how to build an XML parser
 with styx.
 .
 cf. styx for features.

Package: subdownloader
Description-md5: 7a90c06d5c209816dff649752e1c0068
Description-en: subtitle download/upload manager for video files
 SubDownloader is a tool to automatically download/upload subtitles
 for video files (DIVX, MPEG, AVI, etc.) and DVDs using fast hashing.
 .
 Features:
   * Fast hashing algorithm (27 GB movies/7 seconds)
   * Recursively folders search
   * Autodetect language of the subtitles
   * Upload entire series seasons subtitles in less than 1 minute
 .
 This package contains the GUI front-end, common files and a cli interface.

Package: subiquitycore
Description-md5: b840f7b3415236cbb51f78633255d255
Description-en: Ubuntu Server Installer - core libraries
 SUbiquity is an installer system for servers, embedded devices and desktops
 or laptops meant to build systems as quickly as possible for users to reach
 a point where their system is usable.
 .
 By default, subiquity provides a "first boot" experience that allows users
 to do initial system configuration such as adding users and login settings,
 along with doing basic network configuration. It can also be used to build
 a new system from the ground up, partitioning and copying a disk image to
 the storage device selected by the user.
 .
 This package provides the installer routines for bootstrapping a system
 with the Subiquity the server installer UI.

Package: subliminal
Description-md5: 9dd768b14270866f99a4a956944b1f5d
Description-en: Command-line tool to search and download subtitles
 This is an easy to use CLI (command-line interface) tool suitable for direct
 use or cron jobs.
 .
 Subliminal uses multiple providers to give users a vast choice and have a
 better chance to find the best matching subtitles. Providers are extensible
 through a dedicated entry point.

Package: subliminal-nautilus
Description-md5: 1365bcf67091bc9461e1474cb7bcd387
Description-en: Nautilus extension to search and download subtitles
 Subliminal uses multiple providers to give users a vast choice and have a
 better chance to find the best matching subtitles. Providers are extensible
 through a dedicated entry point.
 .
 This package contains an extension for nautilus that makes it possible to
 download subtitles directly from the file explorer.

Package: subnetcalc
Description-md5: 7d4f40fa8b939fc44ff461055b84187f
Description-en: IPv4/IPv6 Subnet Calculator
 SubNetCalc is an IPv4/IPv6 subnet address calculator. For given IPv4 or
 IPv6 address and netmask or prefix length, it calculates network address,
 broadcast address, maximum number of hosts and host address range. The
 output is colourized for better readability (e.g. network part, host part).
 Also, it prints the addresses in binary format for better understandability.
 Furthermore, it can identify the address type (e.g. multicast, unique local,
 site local, etc.) and extract additional information from the address
 (e.g. type, scope, interface ID, etc.). Finally, it can generate
 IPv6 unique local prefixes.

Package: subread
Description-md5: 2dc580306017678479e17e30f365b713
Description-en: toolkit for processing next-gen sequencing data
 Subread aligner can be used to align both gDNA-seq and RNA-seq reads.
 Subjunc aligner was specified designed for the detection of exon-exon
 junction. For the mapping of RNA-seq reads, Subread performs local
 alignments and Subjunc performs global alignments.

Package: subread-data
Description-md5: ce97b2286edd745f853d9e5692194176
Description-en: data files for subread package
 This package provides data files from the original tarball:
  - annotation: Directory including NCBI RefSeq gene annotations for
 genomes 'hg19', 'mm10' and 'mm9'. Each row is an exon.
 Entrez gene identifiers and chromosomal coordinates are provided for
 each exon.
  - test: Directory including test data and scripts.

Package: substance
Description-md5: 14752af4e817f8a51bed33849ce0ed59
Description-en: cross-platform look & feel for Swing applications
 The goal of this project is to provide a configurable and
 customizable production-quality Java look and feel library for Swing
 applications. This Java look and feel is available for JDK 5.0+ only.

Package: substance-doc
Description-md5: 9c4bbdd9cc1d2cbbf81558036c9e7cb3
Description-en: cross-platform look & feel for Swing applications - documentation
 The goal of this project is to provide a configurable and
 customizable production-quality Java look and feel library for Swing
 applications. This Java look and feel is available for JDK 5.0+ only.
 .
 This package contains API documentation (Javadoc) for substance.

Package: substance-flamingo
Description-md5: c8d68e1a2eb21e88fe5c94a655603b45
Description-en: Substance Flamingo plugin
 The goal of this project is to provide a consistent apperance for
 Flamingo components (see libflamingo-java) under the substance
 Substance (see substance) look-and-feel. This requires a JDK version
 5.0+.

Package: substance-flamingo-doc
Description-md5: cc4b8088bc9ae37ee6aebe7fc027418b
Description-en: Substance Flamingo plugin (documentation)
 The goal of this project is to provide a consistent apperance for
 Flamingo components (see libflamingo-java) under the substance
 Substance (see substance) look-and-feel. This requires a JDK version
 5.0+.
 This package contains API documentation (Javadoc) for substance-flamingo.

Package: substance-swingx
Description-md5: e7989807122e903e97857fe6f86eda18
Description-en: Swingx components for substance L&F
 The goal of this project is to provide a consistent apperance
 for SwingX components (see libswingx-java) under the substance
 (see substance) look-and-feel.

Package: substance-swingx-doc
Description-md5: e87e77bac69ed6227254f0d162f53679
Description-en: Swingx components for substance L&F (documentation)
 The goal of this project is to provide a consistent apperance
 for SwingX components (see libswingx-java) under the substance
 (see substance) look-and-feel.
 This package contains API documentation (Javadoc) for substance-swingx.

Package: subtitlecomposer
Description-md5: d4b0786dff367d2a880f26d59ed3e078
Description-en: text-based subtitle editor
 A text-based subtitles editor supporting basic operations (text, time and
 style edition), realtime previewing and spell checking. Other fancy features
 are delaying all subtitles in the current subtitle file, checking errors or
 creating translations.
 .
 Different backends (GStreamer, MPlayer, MPV, or Phonon) can be used to play
 the realtime video preview which helps to synchronize the subtitles.

Package: subtitleeditor
Description-md5: c039e585122e311934df538fbde58c68
Description-en: Graphical subtitle editor with sound waves representation
 Subtitle Editor is a GTK+3 tool to edit subtitles.  It can be used for new
 subtitles or as a tool to transform, edit, correct and refine existing
 subtitles.
 .
 This program also shows sound waves, which makes it easier to synchronise
 subtitles to voices.
 .
 This package has these features
 .
  o Multiple document interface.
  o Internationalization support.
  o Video player integrated in the main window (based on GStreamer).
  o Can play preview with external video player (using MPlayer or other).
  o Style Editor.
  o Move subtitle.
  o Scale.
  o Split and joint subtitle.
  o Edit text and adjust time (start, end).
  o Generate Waveform from Video.
 .
 Supported formats:
 .
  o Sub Station Alpha.
  o Advanced Sub Station Alpha.
  o SubRip.
  o MicroDVD.
  o MPL2.
  o MPsub (MPlayer subtitle).
  o SubViewer 2.0.
  o Plain-Text.
  o Adobe Encore DVD.

Package: subtle
Description-md5: f8d5a444752a8ac7a7076211abc0d3c4
Description-en: grid-based manual tiling window manager
 Grid-based manual tiling window manager with a strong focus on easy but
 customizable look and feel.
 .
 In comparison to other tiling window managers,
 subtle has no automatic tiling of the screen size in any way. Instead, windows
 are arranged according to positions inside of a grid. These positions are
 called gravities.

Package: subunit
Description-md5: c4f3b986477aba30324b421056a528f1
Description-en: command line tools for processing Subunit streams
 subunit is a protocol for test activity serialisation deserialisation. This
 permits executing tests remotely, or saving the result of test runs for later
 introspection.
 .
 The subunit package includes the subunit command line tools:
 subunit-diff, subunit-filter subunit-stats tap2subunit subunit2pyunit
 subunit-ls subunit-tags, subunit2gtk

Package: subuser
Description-md5: bf9641bc7b2dd80031ef549574975250
Description-en: Run programs on Linux with selectively restricted permissions
 Subuser turns a docker container into a normal program, but this program
 is not fully privileged, it can only access the directory
 from which it was called.

Package: subversion
Description-md5: 2b16de8a860b139507af1abc718e9506
Description-en: Advanced version control system
 Apache Subversion, also known as svn, is a centralised version control
 system.  Version control systems allow many individuals (who may be
 distributed geographically) to collaborate on a set of files (source
 code, websites, etc).  Subversion began with a CVS paradigm and
 supports all the major features of CVS, but has evolved to support
 many features that CVS users often wish they had.
 .
 This package includes the Subversion client (svn, svnsync), repository
 administration tools (svnadmin, svnlook) and a network server (svnserve).

Package: subversion-tools
Description-md5: 606aa217055d68550e4946170331a468
Description-en: Assorted tools related to Apache Subversion
 This package includes miscellaneous tools for use with Apache Subversion
 clients and servers:
  * svn-backup-dumps: Incremental dumpfile-based backup script
  * svn-bisect: Bisect revisions to find a regression
  * svn-clean: Remove unversioned files from a working copy
  * svn-hot-backup: Backup script, primarily for BDB repositories
  * svn_apply_autoprops: Apply property settings from
    .subversion/config file to an existing repository
  * svn_load_dirs: Sophisticated replacement for 'svn import'
  * svnwrap: Set umask to 002 before calling svn or svnserve
  * fsfs-access-map: Convert strace output into FSFS access map
  * several example hook scripts: commit-access-control, commit-email,
    log-police, mailer, svnperms, verify-po
 .
 NOTE that some of these scripts are unsupported by upstream, and may
 change radically or disappear in future releases.  Some of these
 scripts require packages on the Recommends list.

Package: suck
Description-md5: 356f5eecc5e2630f27633127c19a9ea7
Description-en: small newsfeed from an NNTP server with standard NNTP commands
 This package contains software for copying news from an NNTP server to your
 local machine, and copying replies back up to an NNTP server.
 .
 The suck/rpost combination allows you to run your own INN/CNEWS site,
 controlling where you get your news, and where you post outgoing articles.
 Suck/rpost use only standard NNTP commands that are used by your favorite
 news reader (like tin, knews, trn) such as POST and ARTICLE. If you can
 use tin or knews against an NNTP site, than you can use Suck/Rpost and have
 multiple site feeds.
 .
 NOTE: Suck will not work with obsolete NNTP servers that can't handle the
 xhdr command.

Package: suckless-tools
Description-md5: e11fd78969b1085b419db24deb5ec04c
Description-en: simple commands for minimalistic window managers
 This package provides simple commands designed to be used with a minimalistic
 window manager like dwm but they can be useful in scripts regardless of the
 window manager used.
 .
  * dmenu: Dynamic menu is a generic menu for X.
  * lsw: Lists the titles of all running X windows to stdout, similar to ls(1).
  * slock: Simple X display locker that locks the X session.
  * sprop: Sets or gets X window properties.
  * sselp: Simple X selection printer that prints the X selection to stdout.
  * ssid: Simple setsid replacement.
  * swarp: Simple X warping tool to warp the mouse pointer to a given position.
  * tabbed: Simple generic tabbed fronted to xembed aware applications.
  * wmname: Prints/sets the window manager name property of the root
    window similar to how hostname(1) behaves.
  * xssstate: Retrieves the state of X screensaver.

Package: sucrack
Description-md5: b30d0b7d9a695fd752cdb67ebbe87c17
Description-en: multithreaded su bruteforcer
 sucrack is a multithreaded Linux/UNIX tool for cracking local
 user accounts via wordlist bruteforcing su. This tool comes in
 handy when you've gained access to a low-privilege user account
 but are allowed to su to other users. Many su implementations
 require a pseudo terminal to be attached in order to take the
 password from the user. This can't be easily achieved with a
 simple shell script. This tool, written in C, is highly
 efficient and can attempt multiple logins at the same time.

Package: sudo-ldap
Description-md5: 3eb434e5d9cece945618eca8df3cf9a0
Description-en: Provide limited super user privileges to specific users
 Sudo is a program designed to allow a sysadmin to give limited root
 privileges to users and log root activity.  The basic philosophy is to give
 as few privileges as possible but still allow people to get their work done.
 .
 This version is built with LDAP support, which allows an equivalent of the
 sudoers database to be distributed via LDAP.  Authentication is still
 performed via pam.

Package: sudoku
Description-md5: b7b515c5b1173672e084c8ec432ff43f
Description-en: console based sudoku
 This sudoku puzzle generator/solver features:
  * character based (curses) interface;
  * cross-platform (Minix, Unix, Windows) with full source code (ANSI C);
  * generates hints upon request;
  * classification of board difficulty (very easy, easy, medium, hard or
    fiendish);
  * generation of new boards;
  * easy entry of boards published in newspapers, Internet, ...;
  * multiple output formats (text, csv, HTML, PostScript).

Package: suffixfsm
Description-md5: 6258e451672d871bf5ef5c4279f54da8
Description-en: Go finite state machine generator for Porter2 stemmers
 Suffixfsm generates Go finite state machines implementing the Porter2
 stemming algorithm, as found in golang-github-dataence-porter2-dev.

Package: sugar-icon-theme
Description-md5: c324dffdca83c40310030db530e6ae03
Description-en: Sugar Learning Platform - icon theme
 Sugar Learning Platform promotes collaborative learning through Sugar
 Activities that encourage critical thinking, the heart of a quality
 education.  Designed from the ground up especially for children, Sugar
 offers an alternative to traditional “office-desktop” software.
 .
 This package contains the icon theme used with Sugar.

Package: sugar-themes
Description-md5: f8ece88a5fd41893153e32ea72b8a957
Description-en: Sugar Learning Platform - artwork
 Sugar Learning Platform promotes collaborative learning through Sugar
 Activities that encourage critical thinking, the heart of a quality
 education.  Designed from the ground up especially for children, Sugar
 offers an alternative to traditional “office-desktop” software.
 .
 This package contains the artwork for Sugar.

Package: sugarplum
Description-md5: 7eec3f47cab2d5787b3154f2638055f7
Description-en: automated and intelligent spam trap/cache-poisoner
 Sugarplum is an automated spam-poisoner. Its purpose is to feed realistic
 and enticing, but totally useless or hazardous data to wandering address
 harvesters such as EmailSiphon, Cherry Picker, etc.  The idea is to so
 contaminate spammers' databases as to require that they be discarded,
 or at least that all data retrieved from your site (including actual
 email addresses) be removed.
 .
 Sugarplum employs a combination of Apache's mod_rewrite URL rewriting
 rules and perl code. It combines several anti-spambot tactics,
 includling fictitious (but RFC822-compliant) email address poisoning,
 injection with the addresses of known spammers (let them all spam each
 other), deterministic output, and "teergrube" spamtrap addressing.

Package: suitename
Description-md5: eb217adf77d55fee64aa956045dd81e8
Description-en: categorize each suite in an RNA backbone
 Suitename is a program that supports the ROC RNA Ontology Consortium
 consensus RNA backbone nomenclature and conformer-list development.
 .
 From dihedral-angle input for a specific RNA structure (usually from
 Dangle), Suitename categorizes the RNA backbone geometry of each suite
 (the sugar-to-sugar version of a residue) either as an outlier or
 as belonging to one of the 53 defined conformer bins. The output is
 either a one-line-per-suite report, or a linear conformer string (as
 shown below the image here) in one of several variant formats. Suitename
 is built into MolProbity, producing entries in the multi-criterion chart
 for an RNA model and also a suitestring file.

Package: sumaclust
Description-md5: 92d33f3cfb182b633a4760068fac6f82
Description-en: fast and exact clustering of genomic sequences
 With the development of next-generation sequencing, efficient tools are
 needed to handle millions of sequences in reasonable amounts of time.
 Sumaclust is a program developed by the LECA. Sumaclust aims to cluster
 sequences in a way that is fast and exact at the same time. This tool
 has been developed to be adapted to the type of data generated by DNA
 metabarcoding, i.e. entirely sequenced, short markers. Sumaclust
 clusters sequences using the same clustering algorithm as UCLUST and CD-
 HIT. This algorithm is mainly useful to detect the 'erroneous' sequences
 created during amplification and sequencing protocols, deriving from
 'true' sequences.

Package: sumatra
Description-md5: df54db253b7fa1b832c922647993576a
Description-en: fast and exact comparison and clustering of sequences
 With the development of next-generation sequencing, efficient tools are
 needed to handle millions of sequences in reasonable amounts of time.
 Sumatra is a program developed by the LECA. Sumatra aims to compare
 sequences in a way that is fast and exact at the same time. This tool
 has been developed to be adapted to the type of data generated by DNA
 metabarcoding, i.e. entirely sequenced, short markers. Sumatra computes
 the pairwise alignment scores from one dataset or between two datasets,
 with the possibility to specify a similarity threshold under which pairs
 of sequences that have a lower similarity are not reported. The output
 can then go through a classification process with programs such as MCL
 or MOTHUR.

Package: sumo
Description-md5: 6474797555ea3a70838074722fee9bc7
Description-en: Simulation of Urban MObility (SUMO)
 SUMO is an open source,
 highly portable, microscopic road traffic simulation package
 designed to handle large road networks.
 Main binary.

Package: sumo-doc
Description-md5: febec3640b965d15eb4be2076d1354c9
Description-en: Simulation of Urban MObility (SUMO), documentation and examples
 SUMO is an open source,
 highly portable, microscopic road traffic simulation package
 designed to handle large road networks.
 The binary contatins documentation and examples.

Package: sumo-tools
Description-md5: 91d1ca0c3085c57bbf251d8f812df282
Description-en: Simulation of Urban MObility (SUMO), tools and scripts
 SUMO is an open source,
 highly portable, microscopic road traffic simulation package
 designed to handle large road networks.
 The binary contatins different tools and scripts.

Package: sumtrees
Description-md5: 4964129447ebcba981d0887bca14317e
Description-en: Phylogenetic Tree Summarization and Annotation
 SumTrees is a program to summarize non-parameteric bootstrap or
 Bayesian posterior probability support for splits or clades on
 phylogenetic trees.
 .
 The basis of the support assessment is typically given by a set of
 non-parametric bootstrap replicate tree samples produced by programs
 such as GARLI or RAxML, or by a set of MCMC tree samples produced by
 programs such as Mr. Bayes or BEAST. The proportion of trees out of the
 samples in which a particular split is found is taken to be the degree
 of support for that split as indicated by the samples. The samples that
 are the basis of the support can be distributed across multiple files,
 and a burn-in option allows for an initial number of trees in each file
 to be excluded from the analysis if they are not considered to be drawn
 from the true support distribution.

Package: sunclock
Description-md5: a16bc3d9b67da7b8449d4f6f9d1b1982
Description-en: fancy clock showing time and geographical data
 sunclock is an X11 application that displays a map of the Earth and
 indicates the illuminated portion of the globe by drawing sunlit
 areas dark on light, night areas as light on dark.  In addition to
 providing local time for the default timezone, it also displays GMT
 time, legal and solar time of major cities, their latitude and
 longitude, and the mutual distances of arbitrary locations on Earth.
 Sunclock can display meridians, parallels, tropics and arctic
 circles.  It has builtin functions that accelerate the speed of time
 and show the evolution of seasons.

Package: sunclock-maps
Description-md5: be3d365c3fff2576245fe5af09fdda31
Description-en: sunclock vector graphic maps
 sunclock is an X11 application that displays a map of the Earth and
 indicates the illuminated portion of the globe by drawing sunlit
 areas dark on light, night areas as light on dark.  In addition to
 providing local time for the default timezone, it also displays GMT
 time, legal and solar time of major cities, their latitude and
 longitude, and the mutual distances of arbitrary locations on Earth.
 .
 This package contains the vector graphic earthmaps.

Package: sunflow
Description-md5: 5d61514521b86f0d0c118dfc6075f3ca
Description-en: rendering system for photo-realistic image synthesis (GUI)
 Sunflow is an open source rendering system for photo-realistic image
 synthesis. It is written in Java and built around a flexible ray
 tracing core and an extensible object-oriented design. It was created
 as a framework for experimenting with global illumination algorithms
 and new surface shading models.
 .
 This package contains the sunflow GUI.

Package: sunpinyin-data
Description-md5: c614b4d9586dfb2bf36e45ce05502655
Description-en: Statistical language model data from open-gram
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains the Statistical language model data from
 open-gram project.

Package: sunpinyin-utils
Description-md5: 8d4f75e02a3fb90da46ef510998624ad
Description-en: Simplified Chinese Input Method from SUN (utilities)
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains some utilities used by open-gram project,
 including:
 .
  * genpyt - generate the pinyin lexicon
  * tslmpack - convert the ARPA format of SunPinyin back-off language
    model to its binary representation
  * tslmendian - change the byte-order of sunpinyin's threaded back-off
    language model
 .
 and some Python programs which maybe used to import user dictionary
 from other input method such as sougou, google, fcitx etc.

Package: sunxi-tools
Description-md5: 7b81a0204e38caff33807f3397d0978b
Description-en: tools for working with Allwinner (sunxi) ARM processors
 This package contains various tools for working with devices based around the
 Allwinner sunxi processors (A10/A13/A20/A31 etc). Utilities include tools to:
 .
  - interact with the processors' lowlevel bootrom (AKA FEL mode).
  - boot over the USB OTG port.
  - compile and decompile the Allwinner binary hardware descriptions (FEX
    files).
  - display information about sunxi boot headers.

Package: sup
Description-md5: 8878007a6b8b089db88a860a9bc59d46
Description-en: Software Upgrade Protocol implementation
 The SUP System is a set of programs developed by Carnegie Mellon
 University that provide for collections of files to be maintained in
 identical versions across a number of machines.  These programs are:
 .
 SUP: The "client" program, run by users or system maintainers, which
 initiates the upgrade activity  on  a  machine  requesting  the
 latest  version of a collection of files.  SUP will normally be
 run as a daemon, firing up once  each  night  (week,  etc.)  to
 upgrade the specified file collections.
 .
 SUPFILESRV: The "file server" program, a daemon that is run by the
 system maintainer to service requests for files initiated by client
 SUP programs.  The file server runs on every machine used as a
 "repository" of distributable versions of files.  It runs continuously
 and listens for network connection requests by individual client
 processes; for each individual client request, a process is forked to
 service that request.
 .
 SUPSCAN: The "file scanner" program, that may optionally be run
 periodically to speed up execution of the file server.  It
 pre-compiles a list of files on the file system that match the
 specifications for a given file collection so that the file server
 need not do this during each upgrade of that collection.  The file
 scanner is normally used daily for very large file collections that
 are upgraded by many clients each day; it is not so useful for small
 file collections or for those that are upgraded by only a few client
 machines per day.

Package: super
Description-md5: e4c684be37a7ad2c4a2ede7bcb7b6dff
Description-en: Execute commands setuid root
 Super allows specified users to execute scripts (or other commands)
 as if they were root; or it can set the uid and/or gid on a
 per-command basis before executing the command.  It is intended to be
 a secure alternative to making scripts setuid root.

Package: supercat
Description-md5: f831773aa597407584dfb2fe5dc3e721
Description-en: program that colorizes text for terminals and HTML
 Supercat is a program that colorizes text based on matching regular
 expressions/strings/characters. Supercat supports html output as well
 as standard ASCII text. Unlike some text-colorizing programs that
 exist, Supercat does not require you to have to be a programmer to
 make colorization rules.

Package: supercollider
Description-md5: 224d977a18b4042d27581aefe460d328
Description-en: real time audio synthesis programming language
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This metapackage installs the standard core components of supercollider,
 including the language, the synthesis server, and the code editor (IDE).

Package: supercollider-common
Description-md5: 6856889075f931634985e88f0cefd706
Description-en: common files for SuperCollider
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the architecture independent files for SuperCollider.

Package: supercollider-dev
Description-md5: 12313ee58fb018f25a0b088bf31045c1
Description-en: development files for SuperCollider
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the development files and headers.

Package: supercollider-emacs
Description-md5: 0491169517790591507cc0bb541f5643
Description-en: SuperCollider mode for Emacs
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package provides the SuperCollider mode for Emacs.

Package: supercollider-gedit
Description-md5: e7ec0e380f26a8b28b16b7fee0d2a23c
Description-en: SuperCollider mode for Gedit
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package provides the SuperCollider mode for Gedit.

Package: supercollider-ide
Description-md5: 6b26d4803617382bd4f04a2ef5d095f5
Description-en: integrated development environment for supercollider audio system
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the graphical IDE, the recommended interface for
 working with SuperCollider code.

Package: supercollider-language
Description-md5: 6bce609ba936d3f93a19d187d9b61a0a
Description-en: real time audio synthesis programming language
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the language interpreter.

Package: supercollider-server
Description-md5: 0efc9f1a38881679805fd7b74d820e7d
Description-en: real time audio synthesis server
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the synthesis server.

Package: supercollider-supernova
Description-md5: 986afb0a3fe9dce2e4d0c1ce68706579
Description-en: real time audio synthesis server (multiprocessor version)
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the multiprocessor aware and parallelization capable
 synthesis server supernova. Use of this server is manually enabled via a
 Server.supernova call before starting the server. This server does not work
 on i486 or i586 processors.

Package: supercollider-vim
Description-md5: 9f75de08f2a26b3a5b9b3183c30dfd6c
Description-en: SuperCollider mode for Vim
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package provides the SuperCollider mode for Vim.

Package: superkb
Description-md5: 9a9cd16181e61367c7271fd430991150
Description-en: Hotkey-based application launcher with on-screen hints
 Superkb is a keyboard-based application launcher based on a hotkey
 (usually the Super key) that allows for on-screen keyboard hints
 to be displayed if the hotkey is held long enough without calling
 any bound action.

Package: supermin
Description-md5: 7a753797205b1a99f348c8d6e26648de
Description-en: tool for building supermin appliances.
 Supermin appliances are tiny appliances, similar to virtual machine
 images, usually around 100KB in size, which get fully instantiated
 on-the-fly in a fraction of a second to a filesystem image when they
 are booted.

Package: supertransball2
Description-md5: 804e90de2e2451c711cf4cb4315f72c5
Description-en: Thrust type of game
 Super Transball 2 is a game which was inspired by ZARA THRUSTA for the Amiga
 500. In each level the goal is to find the SPHERE, to capture it and to carry
 it to the upper part of the level. The main challenge is the gravity that
 attracts your ship which makes it inevitable to control the ship's thrust.
 Many other obstacles like cannons, tanks, doors or lasers will also try to
 make your journey difficult and don't be wasteful with your thrusters and
 weapons or you will run out of fuel in no time.

Package: supertransball2-data
Description-md5: 84d61260f74fd76b8f34a8fa1e4571da
Description-en: data files for supertransball2
 Super Transball 2 is a game which was inspired by ZARA THRUSTA for the Amiga
 500. In each level the goal is to find the SPHERE, to capture it and to carry
 it to the upper part of the level. The main challenge is the gravity that
 attracts your ship which makes it inevitable to control the ship's thrust.
 Many other obstacles like cannons, tanks, doors or lasers will also try to
 make your journey difficult and don't be wasteful with your thrusters and
 weapons or you will run out of fuel in no time.
 .
 Super Transball 2 ships with 3 unique level packs consisting of 26 levels in
 total. This package contains the data files for Super Transball 2.

Package: supertux
Description-md5: 83819c096307dca07762d7b9f833f8be
Description-en: Classic 2D jump 'n run sidescroller with Tux
 SuperTux is a classic 2D jump 'n run sidescroller game in a similar
 style like the original SuperMario games. You play the role of Tux the
 Penguin, who must rescue Penny from the hands of the evil Nolok.

Package: supertux-data
Description-md5: c2c5a5da6b5987f1181c1535a993e11f
Description-en: Classic 2D jump 'n run sidescroller with Tux (data files)
 SuperTux is a classic 2D jump 'n run sidescroller game in a similar
 style like the original SuperMario games. You play the role of Tux the
 Penguin, who must rescue Penny from the hands of the evil Nolok.
 .
 This package contains the data files required by SuperTux.

Package: supertuxkart
Description-md5: b313c7fa7bee7de6e321201f0288af6f
Description-en: 3D arcade racer with a variety of characters, tracks, and modes to play
 Karts. Nitro. Action! SuperTuxKart is a 3D open-source arcade racer with a
 variety of characters, tracks, and modes to play. The aim is to create a
 game that is more fun than realistic, and provide an enjoyable experience
 for all ages.
 .
 Discover the mystery of an underwater world, or drive through the jungles
 of Val Verde and visit the famous Cocoa Temple. Race underground or in a
 spaceship, through a rural farmland or a strange alien planet.
 Or rest under the palm trees on the beach, watching the other karts
 overtake you. But don't eat the bananas! Watch for bowling balls,
 plungers, bubble gum, and cakes thrown by your opponents.
 .
 You can do a single race against other karts, compete in one of several
 Grand Prix, try to beat the high score in time trials on your own, play
 battle mode against the computer or your friends, and more! For a greater
 challenge, race online against players from all over the world and prove
 your racing prowess!

Package: supertuxkart-data
Description-md5: dabec559e7c35e38bfe35696ae988bda
Description-en: 3D arcade racer with a variety of characters, tracks, and modes to play (data)
 Karts. Nitro. Action! SuperTuxKart is a 3D open-source arcade racer with a
 variety of characters, tracks, and modes to play. The aim is to create a
 game that is more fun than realistic, and provide an enjoyable experience
 for all ages.
 .
 Discover the mystery of an underwater world, or drive through the jungles
 of Val Verde and visit the famous Cocoa Temple. Race underground or in a
 spaceship, through a rural farmland or a strange alien planet.
 Or rest under the palm trees on the beach, watching the other karts
 overtake you. But don't eat the bananas! Watch for bowling balls,
 plungers, bubble gum, and cakes thrown by your opponents.
 .
 You can do a single race against other karts, compete in one of several
 Grand Prix, try to beat the high score in time trials on your own, play
 battle mode against the computer or your friends, and more! For a greater
 challenge, race online against players from all over the world and prove
 your racing prowess!
 .
 This package contains data files for SuperTuxKart.

Package: supervisor
Description-md5: 965223e7558e3d49e112406ca88bda2b
Description-en: System for controlling process state
 Supervisor is a system for controlling and maintaining process state,
 similar to what init does, but not intended as an init replacement.
 .
 It will manage individual processes or groups of processes that
 need to be started and stopped in order, and it is possible to
 control individual process state via an rpc mechanism, thus allowing
 ordinary users to restart processes.

Package: supervisor-doc
Description-md5: b5a90831f4c62d7e5cb7a98787560ea7
Description-en: Documentation for a supervisor
 Supervisor is a system for controlling and maintaining process state,
 similar to what init does, but not intended as an init replacement.
 .
 It will manage individual processes or groups of processes that
 need to be started and stopped in order, and it is possible to
 control individual process state via an rpc mechanism, thus allowing
 ordinary users to restart processes.
 .
 This is the documentation package

Package: supysonic
Description-md5: 0a86558ac4edbcb3414bb018e26a4b8a
Description-en: Python implementation of the Subsonic server API
 supysonic is a Python implementation of the Subsonic server API.
 Current supported features are:
 .
  * browsing (by folders or tags)
  * streaming of various audio file formats
  * transcoding
  * user or random playlists
  * cover arts (as image files in the same folder as music files)
  * starred tracks/albums and ratings
  * Last.FM scrobbling
 .
 The "Subsonic API" is a set of adhoc standards to browse, stream or download a
 music collection over HTTP.

Package: surankco
Description-md5: 713e9eb3de12c80c60bcafd63bb396f3
Description-en: Supervised Ranking of Contigs in de novo Assemblies
 SuRankCo is a machine learning based software to score and rank
 contigs from de novo assemblies of next generation sequencing data. It
 trains with alignments of contigs with known reference genomes and
 predicts scores and ranking for contigs which have no related
 reference genome yet.

Package: surf
Description-md5: 48b14cb1dc67b6050e5c5ab4d42accb3
Description-en: Simple web browser by suckless community
 surf is a simple web browser based on WebKit/GTK+. It is able to display
 websites and follow links. It supports the XEmbed protocol which makes it
 possible to embed it in another application. Furthermore, one can point surf to
 another URI by setting its XProperties.

Package: surf-alggeo
Description-md5: 3f26cebfaef53530bd95cb7202d4b751
Description-en: visualization of real algebraic geometry
 Surf is a script driven tool to visualize some real algebraic geometry:
 plane algebraic curves, algebraic surfaces and hyperplane sections of
 surfaces.
 .
 The algorithms should be stable enough not to be confused by curve/surface
 singularities in codimension greater than one and the degree of the surface
 or curve. This has been achieved quite a bit. Curves of degree up to 30 and
 surfaces of degree up to 20 have been drawn successfully. However, there are
 examples of curves/surfaces of lower degree where surf fails to produce
 perfect images. This happens especially if the equation of the curve/surface
 is not reduced. Best results are achieved using reduced equations. On the other
 hand, surf displays the Fermat-curves accurately for degree up to 98.
 .
 Surf is free software distributed under the GNU General Public License (GPL).
 .
 This dummy package is meant to allow multi-variant support for surf.

Package: surf-alggeo-doc
Description-md5: e44f9d521719e0e5de617022da7564b1
Description-en: visualization of real algebraic geometry -- manual
 Surf is a script driven tool to visualize some real algebraic geometry:
 plane algebraic curves, algebraic surfaces and hyperplane sections of
 surfaces.
 .
 The algorithms should be stable enough not to be confused by curve/surface
 singularities in codimension greater than one and the degree of the surface
 or curve. This has been achieved quite a bit. Curves of degree up to 30 and
 surfaces of degree up to 20 have been drawn successfully. However, there are
 examples of curves/surfaces of lower degree where surf fails to produce
 perfect images. This happens especially if the equation of the curve/surface
 is not reduced. Best results are achieved using reduced equations. On the other
 hand, surf displays the Fermat-curves accurately for degree up to 98.
 .
 Surf is free software distributed under the GNU General Public License (GPL).
 .
 This package provides the manual for the script driven tool surf; it also
 contains some script samples.

Package: surf-alggeo-nox
Description-md5: dc4a1183ff2579a784029c92deca6f1d
Description-en: visualization of real algebraic geometry -- no X support
 Surf is a script driven tool to visualize some real algebraic geometry:
 plane algebraic curves, algebraic surfaces and hyperplane sections of
 surfaces.
 .
 The algorithms should be stable enough not to be confused by curve/surface
 singularities in codimension greater than one and the degree of the surface
 or curve. This has been achieved quite a bit. Curves of degree up to 30 and
 surfaces of degree up to 20 have been drawn successfully. However, there are
 examples of curves/surfaces of lower degree where surf fails to produce
 perfect images. This happens especially if the equation of the curve/surface
 is not reduced. Best results are achieved using reduced equations. On the other
 hand, surf displays the Fermat-curves accurately for degree up to 98.
 .
 Surf is free software distributed under the GNU General Public License (GPL).
 .
 This package provides the script driven tool surf without X support.

Package: surf-display
Description-md5: e870bb376c56cc740c6409235f1a07bf
Description-en: Kiosk browser session manager based on the surf browser
 Provide an X11 session manager script that launches a minimal window
 manager and then opens an entry web page via the surf web browser in
 kiosk mode. The web page can be consumed "read-only" or in interactive
 mode.
 .
 In combination with the nodm display manager you can provide an
 easy-to-setup html'ish display screen or a web terminal.
 .
 If URL/domain filtering is needed, combine surf-display with a local
 instance of tinyproxy.
 .
 After a configurable idle time, the browser session is fully
 reset and started anew.

Package: surfraw
Description-md5: 524983f08a36475caf4af72611753cdb
Description-en: fast unix command line interface to WWW
 Surfraw - Shell Users' Revolutionary Front Rage Against the World Wide Web
 .
 Surfraw provides a fast unix command line interface to a variety of
 popular WWW search engines and other artifacts of power. It reclaims
 google, altavista, dejanews, freshmeat, research index, slashdot
 and many others from the false-prophet, pox-infested heathen lands of
 html-forms, placing these wonders where they belong, deep in unix
 heartland, as god loving extensions to the shell.
 .
 Surfraw abstracts the browser away from input. Doing so lets it get
 on with what it's good at. Browsing. Interpretation of linguistic
 forms is handed back to the shell, which is what it, and human
 beings are good at. Combined with incremental text browsers, such
 as links, w3m (or even lynx), and screen(1), or netscape-remote
 a Surfraw liberateur is capable of research speeds that leave
 GUI tainted idolaters agape with fear and wonder.

Package: surfraw-extra
Description-md5: aa2aecec38347a313c471a64d21cea5d
Description-en: extra surfraw search tools with heavy dependencies
 surfraw-extra contains extra website search tools for surfraw that
 have large dependency trees. Currently surfraw-extra only contains
 support tools for the opensearch elvi:
 .
 opensearch-discover - find an opensearch link from a URL.
 opensearch-genquery - construct a search URL from an opensearch description
 .
 Surfraw provides a fast unix command line interface to a variety of
 popular WWW search engines and other artifacts of power. It reclaims
 google, altavista, dejanews, freshmeat, research index, slashdot
 and many others from the false-prophet, pox-infested heathen lands of
 html-forms, placing these wonders where they belong, deep in unix
 heartland, as god loving extensions to the shell.
 .
 Surfraw abstracts the browser away from input. Doing so lets it get
 on with what it's good at. Browsing. Interpretation of linguistic
 forms is handed back to the shell, which is what it, and human
 beings are good at. Combined with incremental text browsers, such
 as links, w3m (or even lynx), and screen(1), or netscape-remote
 a Surfraw liberateur is capable of research speeds that leave
 GUI tainted idolaters agape with fear and wonder.

Package: surgescript
Description-md5: ebebd507290ded2088931a6f9c5dce7f
Description-en: Scripting language for games
 Unleash your creativity! With SurgeScript, you unleash your creativity
 and create your own amazing interactive content.
 .
 Unlike other programming languages, SurgeScript is designed with the specific
 needs of games in mind.
 .
 Easy for beginners, powerful for experts. Object-oriented, dynamically typed
 and based on state machines.
 .
 These features come from the experience of the developer dealing with
 game engines, applications related to computer graphics and so on.
 .
 Some of the best practices have been incorporated into the language itself,
 making things really easy for developers and modders.

Package: suru-icon-theme
Description-md5: db9b79c74a0f9c6c9b8f07581b8d6955
Description-en: Ubuntu Suru Icon theme
 Suru icon theme for Ubuntu Touch.

Package: survex
Description-md5: 4441428a5bab7780c1c2ac3ececc1019
Description-en: cave surveying and mapping software
 A software suite to process, view, and print cave survey data.  Survex
 is cross-platform (Linux, Unix, macOS, Microsoft Windows).  It has
 been translated into many languages.  It can deal with extremely large and
 complex datasets and can read survey data from many sources.

Package: survex-aven
Description-md5: f985562ac004c0a192ac07cbfe19d447
Description-en: sophisticated cave survey viewer for Survex
 An advanced cave survey data viewer for Survex, written using the wxWidgets
 library, and using OpenGL for rendering.  Aven allows searching for stations,
 highlighting categories of stations such entrances or fixed points, measuring
 the distance between stations, showing passage walls, showing the terrain
 above the cave, and supports presentations (pre-recorded fly-throughs).

Package: svdrpservice-dev
Description-md5: 8c92460c7b187beae72036b6c4d64e2e
Description-en: VDR svdrpservice plugin development files
 Header files for VDR plugins that use the svdrpservice plugin.

Package: svgpart
Description-md5: 4a1993b3ae42f82ae7ffee908eef752f
Description-en: KDE SVG KPart
 SvgPart is a small KDE KPart component to display SVG images in Gwenview
 and in any other KDE application which uses the KPart system.
 .
 This package is part of the KDE graphics module.

Package: svgtoipe
Description-md5: 67e20af319c7027c50018110c543fe94
Description-en: converts SVG figures to an XML file readable by Ipe
 Ipe is a drawing editor for creating figures, provided in package ipe.
 .
 This package contains a tool to convert drawings in SVG format to
 XML that can be used by ipe editor.

Package: sview
Description-md5: a9a68fd20cd1b622593baf4197ab5993
Description-en: GUI to view and modify SLURM state
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains sview a GUI that can be used to view SLURM
 configuration, job, step, node and partitions state information.
 Authorized users can also modify select information.

Package: svn-all-fast-export
Description-md5: c0d162c0ff0fb7533e3f81bd7aa61e85
Description-en: fast-import based converter to convert repos from Subversion to git
 svn-all-fast-export is a tool to convert Subversion repositories to git.
 .
 This tool takes a copy of the Subversion repository (not just a checkout)
 plus a rules file that specifies how the conversion should be performed and
 produces a bare git repository. Using regular expressions, the rules file
 specifies how the Subversion branches and tags should be created in the git
 repository. Example rule files are included in
 /usr/share/doc/svn-all-fast-export/examples
 .
 An authors file that maps the old svn accounts to the full authors names and
 email addresses can also be provided to make the history look much prettier.

Package: svn-buildpackage
Description-md5: ea3f527ae18feaa91da9034388a2ed7f
Description-en: helper programs to maintain Debian packages with Subversion
 svn-buildpackage (formerly svn-devscripts) contains tools that help to
 automate the task of maintaining Debian packages inside of a Subversion
 repository. They are intended to be used by Debian maintainers to simplify
 the error-prone actions with the svn, devscripts, and dpkg-dev utilities.
 .
  - svn-inject: creates the initial directory structure of a
         Debian-SVN repository and imports existing packages
  - svn-upgrade: imports upstream changes into the upstream branch and
         updates the Debian trunk directory, merging and tagging as needed
  - svn-buildpackage: wrapper around dpkg-buildpackage (or other builders),
         exporting/merging/tagging source as needed
  - svn-do: exports a source, runs a command inside the exported source and,
         if the command succeeds, copies back the debian/ tree
  - uclean: removes redundant files from upstream source packages
 .
 The package also includes a detailed HOWTO document.

Package: svn-load
Description-md5: c209fea06323292f314d8d6c6df91a8f
Description-en: Enhanced import facility for Subversion
 svn-load is a free replacement for svn_load_dirs, an enhanced import
 facility for Subversion.
 .
 This utility will commit a single changeset that alters a repository
 subtree to match a local directory. It detects filenames that have been
 removed or created, and uses this knowledge to prompt the user about file
 and directory movements within the subtree. An automatic tagging option
 is also supported.
 .
 svn-load is well suited for vendor branch maintenance, where external
 source is routinely imported and merged.

Package: svn2cl
Description-md5: db6646dfb842d112d3d7fb965f0c16a6
Description-en: Generate a GNU-style ChangeLog from Subversion repository history
 This tool generates a classic GNU-style ChangeLog from the log messages
 in a Subversion repository. It works as a wrapper around the 'svn log'
 command, parsing the XML output with an XSLT stylesheet. Alternatively it
 can generate HTML output.

Package: svn2git
Description-md5: f5ec45d1c2ab84b6a2640315312e7252
Description-en: Ruby tool for migrating svn projects to git
 Svn2git is a tiny utility for migrating projects from Subversion to Git
 while keeping the trunk, branches and tags where they should be. It uses
 git-svn to clone an svn repository and does some clean-up to make sure
 branches and tags are imported in a meaningful way, and that the code
 checked into master ends up being what's currently in your svn trunk
 rather than whichever svn branch your last commit was in.

Package: svnkit
Description-md5: 807acb95ab99e09efdb38a187b350ac7
Description-en: pure Java Subversion client
 SVNKit allows one to work with Subversion repositories and working
 copies.  The SVNKit client features direct repository access as well as
 support for all high level Subversion operations available via the
 command-line Subversion client.

Package: svtplay-dl
Description-md5: 3b48b00b477d18c806cce1668348856c
Description-en: program to download videos from video sites
 svtplay-dl is a command line application for downloading videos
 from various online video websites, with a special focus on nordic
 or scandinavian services, like SVTplay, TV4play, Sveriges Radio,
 Aftonbladet, DR, NRK, RUV and more.

Package: svxlink-calibration-tools
Description-md5: eb27fa52f03f88817f4755a22e1dbea0
Description-en: Calibration tools for SvxLink amateur radio suite
 SvxLink facilitates remote control of amateur radio transceivers via the
 EchoLink® protocol. This package included the calibration tools.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 The RemoteTrx server provides remote control of a connected radio
 transceiver. EchoLink® is a registered trademark of
 Synergenics, LLC.

Package: svxlink-gpio
Description-md5: b7ef98ac94c74eeaebab64d2f8dff70d
Description-en: GPIO control scripts SvxLink amateur radio server
 This package contains the GPIO control scripts for general purpose I/O
 for the SvxLink suite. SvxLink provides access to a ham radio
 transceiver via the EchoLink® protocol.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 By connecting into a server connected to a repeater, an operator
 can call into a local radio net via the Internet while traveling
 out of the area. EchoLink® is a registered trademark of
 Synergenics, LLC.

Package: svxlink-server
Description-md5: 055c55fbe6bb5443f174bd98a81e8085
Description-en: Voice-over-IP server for ham radio operators
 The SvxLink server provides access to a ham radio transceiver via
 the EchoLink® protocol. It can act as a repeater controller
 or operate on a simplex channel. Based on a modular design, the server
 can be configured to provide voice mail and echo (talkback) service.
 It is normally used together with the 'remotetrx' package, which allows
 the remote control of a radio transceiver.
 .
 For a client program implementing the EchoLink® protocol, please
 have a look at the 'qtel' package.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: svxreflector
Description-md5: bfa516d2dc7cb38c940ff20d74c4f52a
Description-en: Conference server for SvxLink amateur radio servers
 The SvxReflector can interconnect multiple SvxLink nodes. Audio sent to
 the reflector is broadcast to all other nodes. Only one talker is
 allowed at a time.
 .
 For a client program implementing the EchoLink® protocol, please
 have a look at the 'qtel' package.
 .
 EchoLink® allows licensed amateur radio operators to communicate
 over the Internet, including remote access to station equipment.
 EchoLink® is a registered trademark of Synergenics, LLC.

Package: swac-get
Description-md5: dbefd3af3984ed94a047b31b4c7f4b5a
Description-en: audio collections of words (SWAC) manager
 Swac-get is a simple command line program written in C++ which allows to
 install audio collections of words (SWAC) in a SQLite3 database.
 Audio collections can be imported from the hard drive or directly from the
 Internet using the HTTP protocol.
 Swac-get is designed to be used with swac-explore, a GTK+ interface which
 allows to browse the created SQLite3 database.
 An important set of free audio collections of words (SWAC) is available at:
 http://swac-collections.org/

Package: swac-scan
Description-md5: e72a8ae83d12a85810ff38d78c043814
Description-en: Metatags scanner for SWAC audio collections
 Swac-scan is a simple command line program that enables the generation of
 index files for audio collections of words (SWAC).
 It can extract tags from .flac, .ogg, .spx and .mp3 (id3v2 only) audio files.

Package: swaks
Description-md5: f44c6c864f0f0cb3896aa932ce2bdaa8
Description-en: SMTP command-line test tool
 swaks (Swiss Army Knife SMTP) is a command-line tool written in Perl
 for testing SMTP setups; it supports STARTTLS and SMTP AUTH (PLAIN,
 LOGIN, CRAM-MD5, SPA, and DIGEST-MD5). swaks allows one to stop the
 SMTP dialog at any stage, e.g to check RCPT TO: without actually
 sending a mail.
 .
 If you are spending too much time iterating "telnet foo.example 25"
 swaks is for you.

Package: swami
Description-md5: 2ff4793d9468d0cc249f8ff55470c547
Description-en: MIDI instrument editor application
 Swami (Sampled Waveforms And Musical Instruments) is an application
 for editing and managing MIDI instruments, such as SoundFont files.
 A programming API is also provided for integration with other
 applications.

Package: swapspace
Description-md5: 87f8da87fae6d83376ed403d47d59f17
Description-en: dynamic swap space manager
 Small, stable system add-on that continuously and automatically adapts
 available virtual memory space to your actual memory needs.  Claims disk space
 for use as swap space when needed; frees it up for use by the filesystem when
 not needed.

Package: swarm
Description-md5: 20655f5d65101df022fdc3f06e912b67
Description-en: robust and fast clustering method for amplicon-based studies
 The purpose of swarm is to provide a novel clustering algorithm to handle large
 sets of amplicons. Traditional clustering algorithms results are strongly
 input-order dependent, and rely on an arbitrary global clustering threshold.
 swarm results are resilient to input-order changes and rely on a small local
 linking threshold d, the maximum number of differences between two amplicons.
 swarm forms stable high-resolution clusters, with a high yield of biological
 information.

Package: swarp
Description-md5: 131adc05cd3745d738a183ebc92e1137
Description-en: Resample and co-add together FITS images
 Resample and co-add together FITS images using any arbitrary astrometric
 projection defined in the WCS standard. The main features of SWarp
 are:
 .
  * FITS format (including multi-extensions) in input and output,
  * Full handling of weight-maps in input and output,
  * Ability to work with very large images (up to 500 Mpixels on
    32-bit machines and 10^6 Tpixels with 64-bits), thanks to customized
    virtual-memory-mapping and buffering,
  * Works with arrays in up to 9 dimensions (including or not two spherical
    coordinates),
  * Selectable high-order interpolation method (up to 8-tap filters) in any
    dimension,
  * Compatible with WCS and TNX (IRAF) astrometric descriptions,
  * Support for equatorial, galactic and equatorial coordinate systems,
  * Astrometric and photometric parameters are read from FITS headers or
    external ASCIIfiles,
  * Built-in background subtraction,
  * Built-in noise-level measurement for automatic weighting,
  * Automatic centering and sizing functions of the output field,
  * Multi-threaded code with load-balancing to take advantageof multiple
    processors.
  * XML VOTable-compliant output of meta-data.

Package: swatch
Description-md5: 935152a5e8eb7c154e48a0db6a7740b1
Description-en: Log file viewer with regexp matching, highlighting & hooks
 Swatch is designed to monitor system activity.  It reads a configuration
 file which contains pattern(s) to look for and action(s) to perform when
 each pattern is found.
 .
 A typical action is echoing the matched line in a variety of colours and
 formats including reverse video, bold, underline, and normal, which swatch
 knows how to do internally.  Other actions include sending mail or
 executing an arbitrary program on the line.
 .
 Swatch is written in Perl and uses Perl regular expressions for line
 matching.

Package: swath
Description-md5: 00ea18c0a5b86ec6d43d23f142fa2fc5
Description-en: Thai word segmentation program
 Swath is a general-purpose utility for analyzing word boundaries in Thai
 text and inserting predefined word delimiter codes. It can be used as a
 filter for Thai LaTeX files so the lines are wrapped properly when processed
 with babel-thai macros. Other formats that swath can also handle include
 HTML, RTF and plain text.
 .
 This package contains the swath program.

Package: swath-data
Description-md5: 4ece9ff348920f69ef0eb25d9cbcc690
Description-en: Data for swath Thai word segmentation program
 Swath is a general-purpose utility for analyzing word boundaries in Thai
 text and inserting predefined word delimiter codes. It can be used as a
 filter for Thai LaTeX files so the lines are wrapped properly when processed
 with babel-thai macros. Other formats that swath can also handle include
 HTML, RTF and plain text.
 .
 This package contains data files needed by the swath program.

Package: sway
Description-md5: 79878be35b16de04754780f02aa6eb5c
Description-en: i3-compatible Wayland compositor
 sway (SirCmpwn's Wayland compositor) is a tiling Wayland compositor and a
 drop-in replacement for the i3 window manager for X11. It works with your
 existing i3 configuration and supports most of i3's features, plus a few
 extras. This means it is a minimalist, tiling window manager.

Package: sway-backgrounds
Description-md5: 8e04661f6eb5a91d0687c2225ca368df
Description-en: Set of backgrounds packaged with the sway window manager
 sway (SirCmpwn's Wayland compositor) is a tiling Wayland compositor and a
 drop-in replacement for the i3 window manager for X11. It works with your
 existing i3 configuration and supports most of i3's features, plus a few
 extras. This means it is a minimalist, tiling window manager.
 .
 This package contains a set of desktop backgrounds that come with sway.

Package: swaybg
Description-md5: 25b19f81e09d545d58f4076e4d5e8778
Description-en: Wallpaper utility for Wayland compositors
 swaybg is a wallpaper utility for Wayland compositors. It is compatible with
 any Wayland compositor which implements the following Wayland protocols:
 wlr-layer-shell, xdg-output, xdg-shell

Package: swayidle
Description-md5: 07de59389d26ee9e8828f6095adfabaa
Description-en: Idle management daemon for Wayland
 This is sway's idle management daemon, swayidle. It is compatible with
 any Wayland compositor which implements the KDE idle protocol. See the
 man page, swayidle(1), for instructions on configuring swayidle.

Package: swaylock
Description-md5: 3e3bd4348840e86c25f6a86685be2a0d
Description-en: Screen locker for Wayland
 swaylock is a screen locking utility for Wayland compositors. It is compatible
 with any Wayland compositor which implements the following Wayland protocols:
 wlr-layer-shell, wlr-input-inhibitor, xdg-output, xdg-shell

Package: swe-basic-data
Description-md5: 1836298a4fe352d9d8fff703d6547988
Description-en: basic data files for the libswe package
 This package includes basic data files needed by libswe, the Swiss Ephemeris.
 The basic data consists of these files:
 /usr/share/libswe/ephe/sedeltat.txt.inactive
 /usr/share/libswe/ephe/sefstars.txt
 /usr/share/libswe/ephe/seleapsec.txt
 /usr/share/libswe/ephe/seorbel.txt
 /usr/share/libswe/ephe/fixstars.cat
 The Swiss Ephemeris library can be used without installed data,
 if the user provides that data in her own private directory
 and points to it with SE_EPHE_PATH.

Package: swe-standard-data
Description-md5: 881410143d64d15accf8c18e232eac51
Description-en: standard data for the Swiss Ephemeris
 all of the standard data, i.e. the usual .se1 files, for the Swiss Ephemeris.
 All of the .se1 files located here:
   ftp://ftp.astro.com/pub/swisseph/ephe/*.se1
 are in the package. This data can be used with the Swiss Ephemeris library,
 libswe0. This package uses 36 meg. It contains 54 .se1 files.
 Installed in /usr/share/libswe/ephe/
 The Swiss Ephemeris library has been patched so that it looks to this location
 by default.

Package: sweed
Description-md5: 8ff0c702fca9de5362a10d068b3e3bc3
Description-en: assessment of SNPs for their evolutionary advantage
 Biological sequences are available in ever increasing abundance across
 ever larger populations for ever increasing fractions of the genome. This
 tool sorts the SNPs for their active or passive contribution to a genetic
 drift, i.e. to see particular sequences at a higher fraction over time.

Package: sweep
Description-md5: a659f601f4dfa27ccbb76a50a1e4fe0e
Description-en: Audio editor and live playback tool
 Sweep is an audio editor and live playback tool. It supports many music and
 voice formats including WAV, AIFF, Ogg Vorbis, Speex and MP3, with multichannel
 editing and LADSPA effects plugins.

Package: sweep-dev
Description-md5: 90b5b2c96bcf563dbfc0df2c74a40239
Description-en: Audio editor and live playback tool (development)
 Sweep is an audio editor and live playback tool. It supports many music and
 voice formats including WAV, AIFF, Ogg Vorbis, Speex and MP3, with multichannel
 editing and LADSPA effects plugins.
 .
 This package contains the development files for Sweep plugins.

Package: sweeper
Description-md5: 9f36680cf633d3045f0c9d6da8e49f6a
Description-en: history and temporary file cleaner
 Sweeper can quickly remove temporary information, such as web page cookies,
 browser history, or the list of recently-opened documents.  It helps provide
 additional privacy on a system shared between multiple users.
 .
 This package is part of the KDE SC utilities module.

Package: sweethome3d
Description-md5: be1e68bf788e0d7930c88f7227149830
Description-en: Interior 2D design application with 3D preview
 Sweet Home 3D is an interior design Java application for
 quickly choosing and placing furniture on a house 2D plan
 drawn by the end-user, with a 3D preview.

Package: sweethome3d-furniture
Description-md5: 1d5594241e1c0102ce97b93075bc84b6
Description-en: Interior 2D design application with 3D preview (additional furniture)
 Sweet Home 3D is an interior design Java application for quickly choosing and
 placing furniture on a house 2D plan drawn by the end-user, with a 3D preview.
 .
 This package contains additional furniture libraries created by SweetHome3D
 contributors.

Package: sweethome3d-furniture-editor
Description-md5: 0900db4796d2a942fc8c3e5b839df256
Description-en: Sweet Home 3D Furniture Library Editor
 Sweet Home 3D is an interior design Java application for quickly choosing and
 placing furniture on a house 2D plan drawn by the end-user, with a 3D preview.
 .
 This package contains furniture library editor for creating your own libraries.

Package: sweethome3d-furniture-nonfree
Description-md5: 814758ed0cef927dbcdf4d6d50a00167
Description-en: Interior 2D design application with 3D preview (additional non-free furniture)
 Sweet Home 3D is an interior design Java application for quickly choosing and
 placing furniture on a house 2D plan drawn by the end-user, with a 3D preview.
 .
 This package contains additional furniture libraries created by SweetHome3D
 contributors and released under non DFSG-compliant licenses.

Package: sweethome3d-textures-editor
Description-md5: 166857f74158cb2e4694d3e466446a0c
Description-en: Sweet Home 3D Textures Library Editor
 Sweet Home 3D is an interior design Java application for quickly choosing and
 placing furniture on a house 2D plan drawn by the end-user, with a 3D preview.
 .
 This package contains textures library editor for creating your own textures
 libraries.

Package: swell-foop
Description-md5: 4ce04c0f108d50ba3c8cf091d8bf9782
Description-en: Colored ball puzzle game
 Remove blocks of balls of the same color in as few moves as possible. Try to
 remove all balls for a bonus.

Package: swfmill
Description-md5: 58f6369893f7b573b996c1695c515ce4
Description-en: xml2swf and swf2xml processor
 swfmill is a tool to process Shockwave Flash(TM) (SWF) files. It can
 convert SWF from and to an XML-dialect called swfml, which is closely
 modeled after the SWF file format.
 .
 Apart from this xml2swf and swf2xml functionality, it also provides a
 libxslt-based XSL transformator that supports an extension ("swft")
 which helps with generating IDs for SWF objects and can import an SWF as
 XML using an XPath command (swft:document()).
 .
 As a simple application of such functionality, swfmill can pack together
 a bunch of media files (currently JPGs, PNGs, TTFs and other SWFs) into
 an SWF as "library objects" for your attachMovie() pleasure.

Package: swh-lv2
Description-md5: 3ac6942e8be6c111bd4d93e2daa94977
Description-en: Steve Harris's SWH plugins ported to LV2
 This package provides Steve Harris's SWH plugins ported to the
 LV2 specification.
 .
 There is a large number of effects, such as filters, harmonic
 generators, pitch shifters and much more, for LV2 compatible
 hosts (Qtractor, Ardour, lv2rack). Here is a list of provided
 effects:
 .
 inv, flanger, diode, comb, notch_iir, sc2, debug, amp, zm1,
 matrix_spatialiser, bandpass_iir, lookahead_limiter_const,
 fast_lookahead_limiter, am_pitchshift, revdelay, valve_rect,
 delayorama, harmonic_gen, sifter, decimator, foverdrive,
 matrix_st_ms, step_muxer, tape_delay, pointer_cast, xfade,
 dj_flanger, single_para, dc_remove, satan_maximiser, phasers,
 foldover, matrix_ms_st, freq_tracker, bode_shifter, alias,
 dyson_compress, dj_eq, comb_splitter, hermes_filter, valve,
 bode_shifter_cv, multivoice_chorus, plate, latency, fad_delay,
 split, allpass, const, hilbert, fm_osc, sin_cos, crossover_dist,
 triple_para, hard_limiter, imp, chebstortion, vynil, svf,
 rate_shifter, surround_encoder, se4, sc1, lookahead_limiter,
 divider, impulse, lowpass_iir, karaoke, sc4, shaper, butterworth,
 gong_beater, gsm, sinus_wavewrapper, declip, gverb, transient,
 pitch_scale, ls_filter, ringmod, sc3, gate, delay, giant_flange,
 lcr_delay, mod_delay, smooth_decimate, wave_terrain, highpass_iir,
 analogue_osc, retro_flange, mbeq, simple_comb, gong, decay,
 bandpass_a_iir

Package: swh-plugins
Description-md5: eae8bed900bb978b2c2eeb41c2f8acb9
Description-en: Steve Harris's LADSPA plugins
 Steve Harris has written a large number of plugins for LADSPA
 compatible hosts (e.g. GLAME, Sweep and ecasound). The plugins
 available are:
 .
 amp, fast overdrive, overdrive (with colourisation), comb filter,
 waveshaper, ringmod, divider, diode, decliper, pitch scaler,
 16 band equaliser, sinus wavewrapper, hermes filter, chorus,
 flanger, decimater, oscillator, gverb, phasers, harmonic generators,
 surround encoders and more.

Package: swi-prolog
Description-md5: 201d10d6cd1e040554d9b22c5c777abc
Description-en: ISO/Edinburgh-style Prolog interpreter
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 This is a metapackage, it installs full SWI-Prolog suit (except ODBC
 and Berkeley DB support).

Package: swi-prolog-bdb
Description-md5: 37ed9a920a5b6e2c51d2df8a982c93e5
Description-en: Berkeley DB interface for SWI-Prolog
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 This package provides a foreign language extension to the Berkeley DB
 (libdb) embedded database.

Package: swi-prolog-java
Description-md5: 7c07ca4316cb89f90039338ddd5286b6
Description-en: Bidirectional interface between SWI-Prolog and Java
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 This library provides JPL, a bidirectional interface between SWI-Prolog and
 the Java 2 runtime.

Package: swi-prolog-nox
Description-md5: 5e263194c3995e87399238a1129d0694
Description-en: ISO/Edinburgh-style Prolog interpreter (without X support)
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 This package contains a working SWI-Prolog installation with GUI components.

Package: swi-prolog-odbc
Description-md5: 85939e38f96ef3179ed6910db98d7c8e
Description-en: ODBC library for SWI-Prolog
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 This package provides a foreign language extension to ODBC, a standard for
 interfacing with database systems.

Package: swi-prolog-x
Description-md5: 1271447814f1261e55c86bfcceb8ea75
Description-en: User interface library for SWI-Prolog (with X support)
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 XPCE is an object-oriented symbolic programming environment for user
 interfaces. Although XPCE was designed to be language-independent, it has
 gained popularity most with Prolog.

Package: swift-bench
Description-md5: bce98635d7955dcd890a4ebd5d8a70e3
Description-en: benchmarking tool for Swift
 Swift Bench is simple tool for benchmarking OpenStack Swift cluster
 .
 OpenStack Object Storage (code-named Swift) creates redundant, scalable object
 storage using clusters of standardized servers to store petabytes of
 accessible data. It is not a file system or real-time data storage system, but
 rather a long-term storage system for a more permanent type of static data
 that can be retrieved, leveraged, and then updated if necessary. Primary
 examples of data that best fit this type of storage model are virtual machine
 images, photo storage, email storage and backup archiving. Having no central
 "brain" or master point of control provides greater scalability, redundancy
 and permanence.

Package: swig
Description-md5: d8cf0abe31c2699b038972d76f98e6da
Description-en: Generate scripting interfaces to C/C++ code
 SWIG is a compiler that makes it easy to integrate C and C++ code
 with other languages including Perl, Tcl, Ruby, Python, Java,
 Guile, Mzscheme, Chicken, OCaml, Pike, and C#.
 .
 Swig takes a set of ANSI C/C++ declarations and generates an
 interface for them to your favorite scripting language.
 .
 This is a dependency package providing the stable version of SWIG.

Package: swig-doc
Description-md5: 2104d01eb9224307104354239ccb1487
Description-en: HTML documentation for SWIG
 This is a dependency package providing the documentation of the default
 version of SWIG in Debian.

Package: swig-examples
Description-md5: cdfa6a45a18616de442335dc86a086df
Description-en: Examples for applications of SWIG
 This is a dependency package providing the examples of the default
 version of SWIG in Debian.

Package: swig-sphinxbase
Description-md5: c07f5396e474f7992cbd079664911370
Description-en: Speech recognition tool - swig helpers
 CMU Sphinx is a large vocabulary, speaker-independent continuous speech
 recognition engine.
 .
 This package contains swig helpers for building sphinx-related swig bindings.

Package: swig3.0
Description-md5: 46580f6ceba7f7101d62735bbdf11222
Description-en: Generate scripting interfaces to C/C++ code
 SWIG is a compiler that makes it easy to integrate C and C++ code
 with other languages including Perl, Tcl, Ruby, Python, Java,
 Guile, Mzscheme, Chicken, OCaml, Pike, and C#.
 .
 Swig takes a set of ANSI C/C++ declarations and generates an
 interface for them to your favorite scripting language.

Package: swig3.0-doc
Description-md5: 46a1a1a54da97568b9ea278e43bcfd42
Description-en: HTML documentation for SWIG
 Contains the users' and developers' manuals for SWIG (Simplified
 Wrapper Interface Generator) 2.0 in HTML format.

Package: swig3.0-examples
Description-md5: 3ab5c9257106ba955b283c3165f0b8c9
Description-en: Examples for applications of SWIG
 Contains examples for applications of SWIG, a wrapper interface
 generator to integrate C code into scripting languages.

Package: swig4.0
Description-md5: 46580f6ceba7f7101d62735bbdf11222
Description-en: Generate scripting interfaces to C/C++ code
 SWIG is a compiler that makes it easy to integrate C and C++ code
 with other languages including Perl, Tcl, Ruby, Python, Java,
 Guile, Mzscheme, Chicken, OCaml, Pike, and C#.
 .
 Swig takes a set of ANSI C/C++ declarations and generates an
 interface for them to your favorite scripting language.

Package: swig4.0-doc
Description-md5: 46a1a1a54da97568b9ea278e43bcfd42
Description-en: HTML documentation for SWIG
 Contains the users' and developers' manuals for SWIG (Simplified
 Wrapper Interface Generator) 2.0 in HTML format.

Package: swig4.0-examples
Description-md5: 3ab5c9257106ba955b283c3165f0b8c9
Description-en: Examples for applications of SWIG
 Contains examples for applications of SWIG, a wrapper interface
 generator to integrate C code into scripting languages.

Package: swish
Description-md5: 30397edf189c45214f1931adcc596341
Description-en: semantic web toolkit - tools
 Swish is a framework for performing deductions in RDF data using a
 variety of techniques. Swish is conceived as a toolkit for
 experimenting with RDF inference, and for implementing stand-alone RDF
 file processors (usable in similar style to CWM, but with a view to
 being extensible in declarative style through added Haskell function
 and data value declarations). It explores Haskell as "a scripting
 language for the Semantic Web".
 .
 Swish is a work-in-progress, and currently incorporates:
 .
  * Turtle, Notation3 and NTriples input and output. The N3 support is
    incomplete (no handling of @forAll).
  * RDF graph isomorphism testing and merging.
  * Display of differences between RDF graphs.
  * Inference operations in forward chaining, backward chaining and
    proof-checking modes.
  * Simple Horn-style rule implementations, extendable through variable
    binding modifiers and filters.
  * Class restriction rule implementation, primarily for datatype
    inferences.
  * RDF formal semantics entailment rule implementation.
  * Complete, ready-to-run, command-line and script-driven programs.
 .
 This package contains the swish tool.

Package: swish++
Description-md5: dd4bda91d619a4af5760a491b7e63418
Description-en: Simple Document Indexing System for Humans: C++ version
 SWISH++ is a Unix-based file indexing and searching engine
 (typically used to index and search files on web sites).  It
 was based on SWISH-E although SWISH++ is a complete rewrite.
 .
 SWISH++ features:
   * Lightning-fast indexing
   * Indexes META elements, ALT, and other attributes
   * Selectively not index text within HTML or XHTML elements
   * Intelligently index mail and news files
   * Index Unix manual page files
   * Apply filters to files on-the-fly prior to indexing
   * Index non-text files such as Microsoft Office documents
   * Modular indexing architecture
   * Index new files incrementally
   * Index remote web sites
   * Handles large collections of files
   * Lightning-fast searching
   * Optional word stemming (suffix stripping)
   * Ability to run as a search server
   * Easy-to-parse results format

Package: swish-e
Description-md5: c9ef28dbf00c56799a2c5d192c71dc69
Description-en: Simple Web Indexing System for Humans - Enhanced
 SWISH-Enhanced is a fast, powerful, flexible, and easy to use system
 for indexing collections of HTML Web pages, or any XML or text files like
 Open Office Documents, Open Document files, emails, and so on.
 .
 Key features:
  * Quickly index a large number of text, HTML, and XML documents
  * Use filters to index any type of files such as PDF, OpenOffice, DOC, XLS,
    PPT, MP3.
  * Includes a web spider for indexing remote documents over HTTP
  * Can use an external program to supply documents including
    records from a relational database.
  * Word stemming, soundex, metaphone, and double-metaphone indexing for
    fuzzy searching
  * Powerful Regular Expressions to select documents for indexing or exclusion
  * Limit searches to parts of documents such as certain HTML tags or to
    XML elements.
  * Index file is portable between platforms.
  * A Swish-e library is provided to allow embedding Swish-e into your
    applications for very fast searching.
 .
 You'll find ready to use examples for indexing the Debian documentation, PDF,
 OpenOffice and MSOffice files, whole Maildir, and more.

Package: swish-e-dev
Description-md5: 1ad84b056c7026bcc82fbcb4c4da4256
Description-en: Simple Web Indexing System for Humans - Enhanced
 Static libraries and headers for developing application with SWISH-Enhanced,
 a fast, powerful, flexible, and easy to use system indexing engine.

Package: swisswatch
Description-md5: be413d135cc7ac5a287bdef8f0976acf
Description-en: Swiss Railway Clock for the X Window System
 Swisswatch is a clock for the X desktop.  It relies heavily on resources for
 configuration, and can be adjusted to a wide range of looks.  While it can be
 configured completely via resources and provides looks for a Botta (SFMoMA)
 clock style, an oclock emulation and other appearances, it defaults to the
 style of a Swiss Railway Clock.

Package: switchconf
Description-md5: 019cfe233d5295697b9f6aae2a77c49a
Description-en: switch between system configuration sets
 Switchconf allows users to easily change their system's settings,
 choosing between the possible configurations for different
 environments.
 .
 Most switchconf users are laptop owners who want to change their
 network settings according to where they currently are - but there
 are many cases for non mobile systems to desire to choose between
 configuration sets.
 .
 Switchconf is a very simple script that takes its arguments from the
 command line. It allows you to maintain different sets of
 configurations, installing the desired set of configuration when
 called with its name as an argument, and execute a pre-specified set
 of commands before and after the switch.

Package: switchsh
Description-md5: 22d82d574bfa6e134d822f2554df6be1
Description-en: bind-mount bash as /bin/sh
 Small program to bind-mount bash as /bin/sh for its child processes.
 .
 It can be used when bash is not the default shell interpreter but a given
 program makes use of bashisms (features not required by Policy for sh) and one
 wants to run it without changing (or can not change) the default sh.

Package: sword-comm-mhcc
Description-md5: d0a4cf78a7186a53bde6ef5e721a0532
Description-en: Matthew Henry Concise Commentary for SWORD
 An abridged version of Matthew Henry's commentary on the whole bible.
 Matthew Henry (1662-1714) was a Presbyterian minister in Chester. His work
 was long celebrated as the best of English commentaries for devotional
 purposes. Such as Whitefield and Spurgeon have used the work and commended
 it heartily.

Package: sword-comm-scofield
Description-md5: 1d79ad22fec2ebe20b9c203d49ef5f48
Description-en: Scofield Reference Notes, 1917 edition for SWORD
 Scofield Reference Notes, 1917 edition based on the version at
 http://bible.crosswalk.com/ with formatting changes and corrections.
 .
 This package requires a libsword version of at least 1.5.1a to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.

Package: sword-comm-tdavid
Description-md5: 2f89bb20396801aabbd77ba9e013b981
Description-en: C. H. Spurgeon's Treasury of David for SWORD
 This seven volume "magnum opus", by Charles H. Spurgeon, was first
 published in weekly installments over a twenty-year span in the London
 Metropolitan Tabernacle's periodical, The Sword and the Trowel. As each
 section was completed it was published as a volume until the seventh and
 final volume was released in 1885.
 .
 This package requires a libsword version of at least 1.5.1a to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.

Package: sword-dict-naves
Description-md5: 436695c2ffe25e7b4e06e88e8586f872
Description-en: Naves Topical Bible for SWORD
 Nave's Topics were originally produced by O.J. Nave while serving
 as a chaplain in the US Army, and published in the early 1900's.
 It consists of 20,000+ topics and sub-topics, and 100,000+ references
 to scripture.

Package: sword-dict-strongs-greek
Description-md5: a2676c0105930f2f25c335e56cbd7ac6
Description-en: Strong's Greek Bible Dictionary for SWORD
 Dictionary of Greek Words taken from Strong's Exhaustive Concordance by
 James Strong, S.T.D., LL.D. 1890.
 .
 This package requires a libsword version of at least 1.5.1a to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.

Package: sword-dict-strongs-hebrew
Description-md5: 7519c033ed8c974ea00676a09a629b8f
Description-en: Strong's Hebrew Bible Dictionary for SWORD
 Dictionary of Hebrew Words taken from Strong's Exhaustive Concordance by
 James Strong, S.T.D., LL.D. 1890.
 .
 This package requires a libsword version of at least 1.5.1a to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.

Package: sword-text-arasvd
Description-md5: 5ad3ad6baa3c6210021583fd945551a4
Description-en: SWORD module of the Smith & Van Dyke 1865 Arabic Bible
 This is the Smith & Van Dyke 1865 Arabic Version of the Holy Bible.
 .
 This package contains data that requires a viewer to be seen properly, such as
 GnomeSword, BibleTime or Kio-Sword.
 .
  Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=AraSVD

Package: sword-text-dutsvv
Description-md5: b24e8c2057a64a78c553464676326991
Description-en: Dutch Statenvertaling Sword module
 Dutch Statenvertaling translation of the Bible from 1618-1619.
 .
 This package contains data that require a viewer to be seen properly, such as
 GnomeSword, BibleTime or Kio-Sword.

Package: sword-text-gerlut1545
Description-md5: 87d4f169f077e321c13831441a15792e
Description-en: SWORD module of Martin Luther's 1545 German Bible
 This is Martin Luther's German 1545 Version of the Holy Bible.
 .
 This package contains data that requires a viewer to be seen properly, such as
 GnomeSword, BibleTime or Kio-Sword.
 .
  Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=GerLut1545

Package: sword-text-kjv
Description-md5: e107dad61041428f7dd377f30b7bcb2f
Description-en: King James Version with Strongs Numbers for SWORD
 This is the King James Version of the Holy Bible (also known as the
 Authorized Version) with embedded Strong's Numbers. The rights to the
 base text are held by the Crown of England. The Strong's numbers in the
 OT were obtained from The Bible Foundation: http://www.bf.org. The NT
 Strong's data was obtained from The KJV2003 Project at CrossWire:
 http://www.crosswire.org. These mechanisms provide a useful means for
 looking up the exact original language word in a lexicon that is keyed
 to Strong's numbers.
 .
 This package requires a libsword version of at least 1.5.6 to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.

Package: sword-text-sparv
Description-md5: 5f12f1b35044ca7b638afc14b4223dc4
Description-en: Spanish Reina-Valera Bible (1909) for SWORD
 The Reina-Valera Bible (1909) is a Spanish Bible translation based on
 the Textus Receptus.
 .
 This package requires a libsword version of at least 1.5.9 to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.

Package: sword-text-swahili
Description-md5: 7ca77b39bf6e1648bd4f4513dd56bdcc
Description-en: Swahili New Testament Sword module
 Swahili translation of the New Testament.
 .
 This package contains data that require a viewer to be seen properly, such as
 GnomeSword, BibleTime or Kio-Sword.

Package: sword-text-tr
Description-md5: 3277994f465cb8b8c0a247cf29b66912
Description-en: Textus Receptus (1550/1894) Greek New Testament SWORD module
 This is the Textus Receptus, a Greek New Testament, with complete parsing
 information for all Greek words; base text is Stephens 1550, with variants
 of Scrivener 1894. Textus Receptus is the Greek text of the New Testament that
 the King James Version, the Luther Bible and many others are translated from.
 .
 This package contains data that require a viewer to be seen properly, such as
 GnomeSword or BibleTime.

Package: sword-text-web
Description-md5: b97e23a7070def0a27ca222fe7706672
Description-en: World English Bible (WEB) for SWORD
 The World English Bible is an update of the American Standard Version of 1901,
 which is in the Public Domain. It has been edited to conform to the Greek
 Majority Text New Testament. This revision is also in the Public Domain, which
 sets it apart from other revisions of the American Standard Version, like the
 New American Standard Bible and the Revised Standard Version. The first pass
 of the translation, which has already been done, was to convert archaic words
 and word forms to modern equivalents using a custom computer program. The
 manual editing is then done to add quotation marks (the ASV of 1901 had none),
 update other punctuation, update usage, and spot check the translation against
 the original languages in places where the meaning is unclear or significant
 textual variants exist. Many people proofread the work and send typo reports
 and suggestions in. These are reviewed, and if they are found to have merit,
 edits are made. Sometimes reviewing a suggestion brings to light a better
 option.

Package: sword-text-wlc
Description-md5: e6c902b88c030049c2b939f81af15e28
Description-en: Westminster Leningrad Codex Version with Strong Numbers for SWORD
 This is the Westminster Leningrad Codex Version of the Holy Bible.
 The WLC source is maintained by the Westminster Hebrew Institute, Philadelphia,
 PA (http://whi.wts.edu/WHI).
 The Sword module is maintained by Martin Gruner (mg dot pub at gmx dot net).
 .
 This package contains data that require a viewer to be seen properly, such as
 GnomeSword, BibleTime or Kio-Sword.
 .
  Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=WLC

Package: sxhkd
Description-md5: 80543959e82055d443d91cec924115bd
Description-en: Simple X hotkey daemon
 sxhkd is a simple X hotkey daemon with a powerful and compact
 configuration syntax.

Package: sxid
Description-md5: 3dd02068d3ff5b761c45f4f02ec71a25
Description-en: suid, sgid file and directory checking
 This program runs as a cronjob. Basically it tracks any changes in
 your s[ug]id files and folders. If there are any new ones, ones that
 aren't set any more, or they have changed bits or other modes, then it
 reports the changes. You can also run this manually for spot checking.
 .
 It tracks s[ug]id files by SHA-256 checksums. This helps detect if your files
 have been tampered with, would not show under normal name and permissions
 checking. Directories are tracked by inodes.

Package: sxiv
Description-md5: 6425a84658b6fb9af317f4894acd94a6
Description-en: simple X image viewer
 sxiv is an alternative to feh and qiv. Its only dependency besides xlib
 is imlib2. The primary goal for writing sxiv is to create an image
 viewer, which only has the most basic features required for fast image
 viewing. It works nicely with tiling window managers and its code base
 should be kept small and clean to make it easy for you to dig into it
 and customize it for your needs.

Package: sylfilter
Description-md5: 3af6f6c1416d6fa1ea92ed1fee90f6f8
Description-en: generic spam filter tool
 SylFilter is a generic message filter library and command-line tools. It
 provides a bayesian filter which is very popular as an algorithm for spam
 filtering. SylFilter also supports multilingual and can be applied to any
 languages. It is implemented with C language and runs fast  with small
 resources.
 .
  - Very lightweight and fast
  - Provides learning-type junk filter
  - Easy-to-use command line tool
  - Multilingual support (including CJKV)
  - Supports several embedded databases (SQLite and QDBM)

Package: sylph-searcher
Description-md5: 19a7c625932457f73553ef9f25b1ff81
Description-en: Full-text search program for Sylpheed or MH folders
 Sylph-Searcher is a Full-text search program for messages stored in the
 mailboxes of Sylpheed, or generic MH folders.

Package: sylpheed
Description-md5: 00fe4d9fb67883469727af68406718ab
Description-en: Light weight e-mail client with GTK+
 Sylpheed is an e-mail client which aims for:
  * Quick response
  * Graceful, and sophisticated interface
  * Easy configuration, intuitive operation
  * Abundant features
 The appearance and interface are similar to some popular e-mail clients for
 Windows, such as Outlook Express or so.
 The interface is also designed to emulate the mailers on Emacsen, and almost
 all commands are accessible with the keyboard.

Package: sylpheed-doc
Description-md5: 569bb99f0dd90cbbb57d781e0900c6aa
Description-en: Light weight e-mail client with GTK+ (documentation)
 This package holds the documentation for sylpheed mailer. It is an
 HTML manual which describes all the features of sylpheed.
 .
 All translations are included in this package.

Package: sylpheed-i18n
Description-md5: 1e5890b44631149ac896b39c4b076459
Description-en: Locale data for Sylpheed (i18n support)
 This package provides support for non-English interfaces in the Sylpheed
 mail client.
 Current supported locales are:
  be (Belarusian)   bg (Bulgarian)      cs (Czech)
  da (Danish)       de (German)         el (Greek)
  es (Spanish)      et (Estonian)       eu (Basque)
  fi (Finnish)      fr (French)         gl (Galician)
  hr (Croatian)     hu (Hungarian)      it (Italian)
  ja (Japanese)     ko (Korean)         lt (Lithuanian)
  nl (Dutch)        pl (Polish)         pt_BR (Brazilian Portuguese)
  ro (Romanian)     ru (Russian)        sk (Slovak)
  sl (Slovenian)    sr (Serbian)        sv (Swedish)
  tr (Turkish)      uk (Ukrainian)      vi (Vietnamese)
  zh_CN (Chinese)   zh_TW (Taiwanese)

Package: sylpheed-plugins
Description-md5: 86aa2ba3965a5f770346799afea19b93
Description-en: Loadable modules for extending Sylpheed features
 This package comprises all loadable plugins which are included
 with Sylpheed sources. Plugins extend Sylpheed by providing new
 features and/or capabilities not present in core application.
 .
 Currently only the unique existing plugin is included:
  Attachment Tool: a plugin for dealing with attachments in messages.

Package: sylseg-sk
Description-md5: db9626e5d557af98d19d091047f4bdef
Description-en: Syllabic segmentation for Slovak language
 sylseg-sk implements one of the statistical approaches for the
 syllabic segmentation. Each input word is segmented into the
 syllables. The several possible segmentations are generated
 and sorted by the likelihood. Included are trained data
 for Slovak language.

Package: symlinks
Description-md5: 8b394270bf455093283fc1b107b84003
Description-en: scan/change symbolic links
 Symlinks scans directories for symbolic links and lists
 them on stdout. Each link is prefixed with a classification
 of relative, absolute, dangling, messy, lengthy or other_fs.
 .
 Symlinks can also convert absolute links (within the same filesystem)
 to relative links and can delete messy and dangling links.

Package: symmetrica-doc
Description-md5: 6d83157c5665507e921ec698794348b8
Description-en: Symmetrica Combinatoric C Library -- documentation
 Symmetrica is a library for combinatorics. It has support for the
 representation theory of the symmetric group and related groups,
 combinatorics of tableaux, symmetric functions and polynomials,
 Schubert polynomials, and the representation theory of Hecke algebras
 of type A_n.
 .
 This package provides documentation for the Symmetrica Combinatoric C
 Library; it also contains examples.

Package: sympa
Description-md5: f7b0e7f08562536810997073c968428b
Description-en: Modern mailing list manager
 Sympa is a scalable and highly customizable modern mailing list manager
 capable of handling big setups: 20.000 lists with 700,000 subscribers.
 .
 Here are some of its many features:
  - Advanced MIME support, including digests, anonymization and S/MIME
    encryption
  - Builtin password-based authentication, HTTPS X.509 certificates or
    use of LDAP database or Single Sign-On CAS or Shibboleth servers
  - Archive management with web access and RSS feeds
  - Moderation and administration via email, web and SOAP interface
  - Multi-lingual, translated to more than 30 languages
  - Virtual domains (virtual robots)
  - Local SQLite subscriber database or use of MySQL, PostgreSQL or LDAP
  - Integrated scripting language to extend and automate routines
  - Web interface called WWSympa providing homogenous access for both
    users and admins to list overviews, customization, administration,
    searchable archives and optional web-based email reply form.
  - Clean moderation and expiration processing
  - Customizable service messages (templates) for owner and listmaster
  - Semi-automatic bounces management
 .
 SYMPA is French for 'SYstème de Multi-Postage Automatique', which means
 'Automatic Multi Mailing System'.

Package: sympathy
Description-md5: a111cc5c11b0c5157ff2f1e094026733
Description-en: serial port concentrator system - server/client program
 sympathy is a system for logging and providing access to serial
 (RS232) ports; typically, multiple serial ports on a single server
 (aka, a serial concentrator).
 .
 sympathy can function as a replacement for screen(1), minicom(1) and
 consolidate(1).

Package: sympow
Description-md5: 19941f252a78ce5ee5ee04d4636471e7
Description-en: mathematical program for SYMmetric POWer elliptic curve L-functions -- program
 SYMPOW is a mathematical program to compute special values of symmetric
 power elliptic curve L-functions; it can compute up to about 64 digits
 of precision.
 .
 This package provides the SYMPOW program itself.

Package: sympow-data
Description-md5: 4937612653d63f2d99d4c95ef063be48
Description-en: mathematical program for SYMmetric POWer elliptic curve L-functions -- shared
 SYMPOW is a mathematical program to compute special values of symmetric
 power elliptic curve L-functions; it can compute up to about 64 digits
 of precision.
 .
 This package provides the essential SYMPOW architecture independent
 material, namely shared data and scripts.

Package: synapse
Description-md5: 5c5b4abbfea92011cb23dfbc68f6c4f9
Description-en: semantic file launcher
 Synapse is a graphical launcher enabling you to start applications and also
 to quickly find and access relevant documents and files (by making use of the
 Zeitgeist engine).

Package: synaptic
Description-md5: d4fb8e90c9684f1113e56123c017d85f
Description-en: Graphical package manager
 Synaptic is a graphical package management tool based on GTK+ and APT.
 Synaptic enables you to install, upgrade and remove software packages in
 a user friendly way.
 .
 Besides these basic functions the following features are provided:
  * Search and filter the list of available packages
  * Perform smart system upgrades
  * Fix broken package dependencies
  * Edit the list of used repositories (sources.list)
  * Download the latest changelog of a package
  * Configure packages through the debconf system
  * Browse all available documentation related to a package (dwww is required)

Package: syncache
Description-md5: bf7e596b2bb59587981d17802cc91f83
Description-en: Thread-safe time-limited cache for Ruby
 SynCache stores cached objects in a Hash that is protected by an advanced
 two-level locking mechanism which ensures that:
 .
  * Multiple threads can add and fetch objects in parallel.
  * While one thread is working on a cache entry, other threads can access
    the rest of the cache with no waiting on the global lock, no race
    conditions nor deadlock or livelock situations.
  * While one thread is performing a long and resource-intensive
    operation, other threads that request the same data will be put on hold,
    and as soon as the first thread completes the operation, the result will be
    returned to all threads.
 .
 This package provides SynCache module for Ruby and a DRb server that exports a
 SynCache::Cache object for use in Ruby programs.

Package: syncmaildir
Description-md5: 0f82837d6c9dcd27c4ccf7492995f6b7
Description-en: mailbox synchronization tools
 Sync Mail Dir is a set of utilities to synchronize a pair of mailboxes
 in Maildir format, using SSH to transfer data. It provides the smd-pull
 utility to pull changes made on the remote mailbox, smd-push to propagate
 local changes to the remote mailbox, and smd-loop to iterate push and pull
 in a timely way.
 .
 The software is young and should thus be used with care in production
 environments.
 .
 Unlike OfflineIMAP, it does not require an IMAP server to be installed on the
 remote host. Moreover, it never attempts to automatically resolve conflicts
 between incompatible mailbox statuses - it just notifies the user explaining
 how to fix the problem.
 .
 Sync Mail Dir's design is similar to that of Maildirsync, but is more
 efficient in terms of CPU cycles and disk I/O.

Package: syncmaildir-applet
Description-md5: 772e1077510378f5e0b8a52a077ef4b7
Description-en: mailbox synchronization tools - GNOME applet
 Sync Mail Dir is a set of utilities to synchronize a pair of mailboxes
 in Maildir format, using SSH to transfer data.
 .
 This package provides an applet that integrates smd-loop with GNOME; that
 is, it notifies the user with popups, starts smd-loop when the user logs
 in, and provides a simple user interface to deal with synchronization
 errors.

Package: syncthing
Description-md5: 1a4918fe5ed39dee33ebb3642be28ec0
Description-en: decentralized file synchronization
 Syncthing is an application that lets you synchronize your files across
 multiple devices. This means the creation, modification or deletion of files
 on one machine will automatically be replicated to your other devices.
 Syncthing does not upload your data to the cloud but exchanges your data
 across your machines as soon as they are online at the same time.
 .
 This package contains the main binary: syncthing.

Package: syncthing-discosrv
Description-md5: 2c54d441d2ee44c698f9892df3f9fe08
Description-en: decentralized file synchronization - discovery server
 Syncthing is an application that lets you synchronize your files across
 multiple devices. This means the creation, modification or deletion of files
 on one machine will automatically be replicated to your other devices.
 Syncthing does not upload your data to the cloud but exchanges your data
 across your machines as soon as they are online at the same time.
 .
 Syncthing relies on a discovery server to find peers on the internet. Anyone
 can run a discovery server and point its syncthing installations to it.
 .
 This package contains the discovery server binary: stdiscosrv.

Package: syncthing-gtk
Description-md5: b511e646b6cb9821f8ac5f478f6081da
Description-en: GTK3-based GUI and notification area icon for syncthing
 A GTK3-based GUI for Syncthing that supports:
 .
  * Adding / editing / deleting nodes
  * Adding / editing / deleting repositories
  * Restart / shutdown server
  * Editing daemon settings
  * Quick initial configuration using a wizard
 .
 It also provides desktop integration with various file managers
 (Nautilus, Nemo and Caja) as well as with the desktop
 environment in the form of an app indicator.

Package: syncthing-relaysrv
Description-md5: f79592a1a4146be7da2786a174d9dd76
Description-en: decentralized file synchronization - relay server
 Syncthing is an application that lets you synchronize your files across
 multiple devices. This means the creation, modification or deletion of files
 on one machine will automatically be replicated to your other devices.
 Syncthing does not upload your data to the cloud but exchanges your data
 across your machines as soon as they are online at the same time.
 .
 Syncthing relies on a network of community-contributed relay servers. Anyone
 can run a relay server, and it will automatically join the relay pool and be
 available to Syncthing users.
 .
 This package contains the relay server binary: strelaysrv.

Package: syndie
Description-md5: b4c138ed0cf0c7b685a3d3dc5332f2d4
Description-en: system for operating distributed forums
 Syndie is a cross-platform system for operating distributed forums,
 offering a secure and consistent interface to various anonymous and
 non-anonymous content networks.
 .
 Syndie operates like blogs, newsgroups, and forums.  Authors can post
 messages privately or publicly.  Messages are pushed and pulled to and
 from archive servers, which are hosted in a variety of anonymous and
 non-anonymous networks including I2P, Tor, and Freenet.

Package: synfig
Description-md5: edb4e9874f19866be4284f8f169935d0
Description-en: vector-based 2D animation renderer
 synfig is a vector based 2D animation renderer. It is designed to be
 capable of producing feature-film quality animation.
 .
 This package contains the command-line renderer, for the GUI animation
 editor, please install synfigstudio.

Package: synfig-examples
Description-md5: fa54ce4b55141c30ce1cb2e4ffc7c076
Description-en: synfig animation examples
 synfig is a vector based 2D animation renderer. It is designed to be
 capable of producing feature-film quality animation.
 .
 This package contains several examples of synfig animations and stills.

Package: synfigstudio
Description-md5: d0d49c2f3a6e2b27bbd78df6ad668960
Description-en: vector-based 2D animation package (graphical user interface)
 synfig is a vector based 2D animation package. It is designed to be
 capable of producing feature-film quality animation. It eliminates the
 need for tweening, preventing the need to hand-draw each frame.
 .
 This package contains the graphical user interface for synfig.

Package: synthv1
Description-md5: 3b94ad3fd636387477fc36bd9e7d4ab9
Description-en: old-school polyphonic synthesizer - standalone
 synthv1 is an old-school all-digital 4-oscillator subtractive
 polyphonic synthesizer with stereo effects, especially suited
 to create strong bass sounds.
 .
 This package provides the standalone app.

Package: synthv1-common
Description-md5: 9a61477e1b11dd5ed76456855552f1b3
Description-en: old-school polyphonic synthesizer - common files
 synthv1 is an old-school all-digital 4-oscillator subtractive
 polyphonic synthesizer with stereo effects, especially suited
 to create strong bass sounds.
 .
 This package provides files shared by both the LV2 plugin
 and the standalone application.

Package: synthv1-lv2
Description-md5: 12413da337a083c3c31fc68cef01bb4d
Description-en: old-school polyphonic synthesizer - LV2 plugin
 synthv1 is an old-school all-digital 4-oscillator subtractive
 polyphonic synthesizer with stereo effects, especially suited
 to create strong bass sounds.
 .
 This package provides the LV2 plugin.

Package: syrep
Description-md5: e2dccf32190b77fcb4aae7dae06fc868
Description-en: A generic file repository synchronization tool
 syrep is a generic file repository synchronization tool. It may be used to
 synchronize large file hierarchies bidirectionally by exchanging patch files.
 Syrep is truely peer-to-peer, no central servers are involved.
 Synchronizations between more than two repositories are supported. The patch
 files may be transferred via offline media, e.g. removable hard disks or
 compact discs.
 .
 Files are tracked by their message digests, currently MD5. The following file
 operations are tracked in the snapshot files: creation, deletion,
 modification, creation of new hard or symbolic links, renaming. (The latter is
 nothing more than a new hard link and removal of the old file). syrep doesn't
 distinguish between soft and hard links. In fact even copies of files are
 treated as the same. Currently, syrep doesn't synchronize file attributes like
 access modes or modification times.
 .
 Syrep was written to facilitate the synchronization of two large digital music
 repositories without direct network connection. Patch files of several
 gigabytes are common in this situation.
 .
 Syrep is able to cope with 64 bit file sizes. (LFS)
 .
 Syrep is optimized for speed. It may make use of a message digest cache to
 accelerate the calculation of digests of a whole directory hierarchy

Package: syrthes
Description-md5: 6cb5cd8c0a63e5e1969b54389c985b0c
Description-en: Transient thermal simulations in complex solid geometries
 SYRTHES is a general purpose thermal software developed at EDF R&D which
 models conduction and radiation heat transfers in complex geometries.
 .
 SYRTHES can be used coupled with the computational fluid dynamics (CFD)
 Code_Saturne.

Package: syrthes-tests
Description-md5: 7c15755f76883d556dc335a16b2c98e9
Description-en: Test cases for SYRTHES
 SYRTHES is a general purpose thermal software developed at EDF R&D which
 models conduction and radiation heat transfers in complex geometries.
 .
 This package contains test cases for SYRTHES.

Package: syrthes-tools
Description-md5: 69ae553b4e39cfdeb9537f63663fc177
Description-en: Transient thermal simulations in complex solid geometries - tools
 SYRTHES is a general purpose thermal software developed at EDF R&D which
 models conduction and radiation heat transfers in complex geometries.
 .
 This package contains SYRTHES pre and post-processors, and tools for
 creating new SYRTHES cases, converting mesh files to SYRTHES, or converting
 SYRTHES files to MED or Ensight formats.

Package: sysbench
Description-md5: f113fb69f045f8b51395ef969a654607
Description-en: multi-threaded benchmark tool for database systems
 SysBench is a modular, scriptable and multi-threaded benchmark tool based on
 LuaJIT. It is most frequently used for database benchmarks, but can also be
 used to create arbitrarily complex workloads that do not involve a database
 server.
 .
 The idea of this benchmark suite is to quickly get an impression about system
 performance without setting up complex database benchmarks or even without
 installing a database at all.
 .
 Current features allow one to test the following system parameters:
 .
  * file I/O performance
  * scheduler performance
  * memory allocation and transfer speed
  * POSIX threads implementation performance
  * database server performance (OLTP benchmark)
 .
 Primarily written for MySQL server benchmarking, SysBench will be further
 extended to support multiple database backends, distributed benchmarks and
 third-party plug-in modules.

Package: sysconftool
Description-md5: dd347a4775e332ae5614238c3f5cd529
Description-en: development tool to install and update configuration files
 sysconftool is a development utility that helps to install
 application configuration files. sysconftool allows an existing
 application to be upgraded without losing the older version's
 configuration settings, but that's the advantage over plain dpkg
 upgrading, will add new configuration settings (and remove unneeded).

Package: sysdig
Description-md5: 64ea9a8d22347a673b4a68743ec50120
Description-en: system-level exploration and troubleshooting tool
 Sysdig instruments your physical and virtual machines at the OS level
 by installing into the Linux kernel and capturing system calls and
 other OS events. Then, using sysdig's command line interface, you can
 filter and decode these events in order to extract useful information
 and statistics.
 .
 Sysdig can be used to inspect live systems in real-time, or to generate
 trace files that can be analyzed at a later stage.
 .
 This package contains the tool to inspect trace files. If you want to
 inspect a live system, you also need to install the according kernel
 module, shipped in the package sysdig-dkms.

Package: sysdig-dkms
Description-md5: e346cabc83927fe184e95c3fb7b2a09e
Description-en: system-level exploration and troubleshooting tool - kernel source
 Sysdig instruments your physical and virtual machines at the OS level
 by installing into the Linux kernel and capturing system calls and
 other OS events. Then, using sysdig's command line interface, you can
 filter and decode these events in order to extract useful information
 and statistics.
 .
 Sysdig can be used to inspect live systems in real-time, or to generate
 trace files that can be analyzed at a later stage.
 .
 This package contains the source for the kernel module of sysdig.

Package: syslinux-efi
Description-md5: ad8ad4a95efc35ec00ded5d850b4debc
Description-en: collection of bootloaders (UEFI bootloader)
 syslinux is a suite of bootloaders, currently supporting DOS FAT and NTFS
 filesystems (SYSLINUX), Linux ext2/ext3/ext4, btrfs, and xfs filesystems
 (EXTLINUX), PXE network boots (PXELINUX), or ISO 9660 CD-ROMs (ISOLINUX).
 .
 This package contains the bootloader for the Unified Extensible Firmware
 Interface (EFI).

Package: syslinux-themes-debian
Description-md5: a2293c3bc53f9aab1096efd9e891fcea
Description-en: collection of boot loaders (theme metapackage)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package depends on the package with the graphical bootloader theme
 for the matching Debian release.

Package: syslinux-themes-debian-squeeze
Description-md5: a3e8d0c30629aaaf3b0330b274b8a637
Description-en: collection of boot loaders (debian-squeeze theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Debian squeeze.

Package: syslinux-themes-debian-wheezy
Description-md5: 2aba9b3e266d5bb39cd0c72d9443d9e5
Description-en: collection of boot loaders (debian-wheezy theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Debian wheezy.

Package: syslinux-themes-ubuntu
Description-md5: 4d9510247fd680ebc4f00821f6a2bdb0
Description-en: collection of boot loaders (theme metapackage)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package depends on the package with the graphical bootloader theme
 for the matching Ubuntu release.

Package: syslinux-themes-ubuntu-precise
Description-md5: a6249ff199b52ede802e9c6dbf4e159d
Description-en: collection of boot loaders (ubuntu-precise theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Ubuntu precise.

Package: syslinux-themes-ubuntu-trusty
Description-md5: 84375f23b8d7c2e4ce2c5d6981d03395
Description-en: collection of boot loaders (ubuntu-trusty theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Ubuntu trusty.

Package: syslinux-themes-ubuntu-vivid
Description-md5: fea5483dcb639f483bdeeca3ab70b5a6
Description-en: collection of boot loaders (ubuntu-vivid theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Ubuntu vivid.

Package: syslinux-themes-ubuntu-wily
Description-md5: f1cef77e234b0a5c7f51612583d2b2ef
Description-en: collection of boot loaders (ubuntu-wily theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Ubuntu wily.

Package: syslinux-themes-ubuntu-xenial
Description-md5: af7f1b1924f0291ad1462417a0aa7243
Description-en: collection of boot loaders (ubuntu-xenial theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Ubuntu 16.04.

Package: syslinux-utils
Description-md5: c0539e9d0c7965a4c803fe26df75b3a5
Description-en: collection of bootloaders (utilities)
 syslinux is a suite of bootloaders, currently supporting DOS FAT and NTFS
 filesystems (SYSLINUX), Linux ext2/ext3/ext4, btrfs, and xfs filesystems
 (EXTLINUX), PXE network boots (PXELINUX), or ISO 9660 CD-ROMs (ISOLINUX).
 .
 This package contains the auxiliary utilities.

Package: syslog-ng
Description-md5: 2498a80b4edf9c00bf85d5db8c845d60
Description-en: Enhanced system logging daemon (metapackage)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package is a metapackage, depending on the rest of syslog-ng,
 including all available plugins. It can be safely removed, if only
 parts of syslog-ng need to be installed.

Package: syslog-ng-core
Description-md5: 0ce4da37b4a4b9d3162b79edaffeccba
Description-en: Enhanced system logging daemon (core)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the core syslog-ng, with all the plugins
 required for a standard installation.

Package: syslog-ng-dbg
Description-md5: e15d4ad36da40ad276e206fc790be161
Description-en: Enhanced system logging daemon (debug symbols)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package contains debug symbols for the whole of syslog-ng,
 including the modules.

Package: syslog-ng-dev
Description-md5: a94a207e078bf72c91d13958d7f6a26b
Description-en: Enhanced system logging daemon (development files)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package contains the headers and tools needed to build
 third-party plugins against syslog-ng, the next generation system
 logging daemon.

Package: syslog-ng-mod-add-contextual-data
Description-md5: f5cdaba43f53eed5410426ab2e6e0ed7
Description-en: Enhanced system logging daemon (add-contextual-data plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the add-contextual-data module for syslog-ng.
 With this module syslog-ng can use an external database file to
 append custom name-value pairs on incoming logs (to enrich messages).
 The database is a file that containing `<selector, name, value>` records.
 Currently only `CSV` format is supported. It is like `geoip parser`
 where the selector is `$HOST`, but the user can define
 the selector, and also the database contents.

Package: syslog-ng-mod-amqp
Description-md5: bd44ec9cc9806f6f3c86ce39d4e11edb
Description-en: Enhanced system logging daemon (AMQP plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the AMQP destination plugin, which allows one
 to publish log messages through the AMQP protocol.

Package: syslog-ng-mod-examples
Description-md5: ae4845d075ea1647ed6afbdb7c34eaea
Description-en: Enhanced system logging daemon (example plugins)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides a collection of example plugins.

Package: syslog-ng-mod-extra
Description-md5: 66f0126092ac3fc3d6f76d300059d28c
Description-en: Enhanced system logging daemon (extra plugins)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package collects all the small plugins, which does not worth to have
 a whole package. This package contains:
 .
  * windows event log parser
  * tag parser
  * Graylog2 destination
  * default Network source driver
  * Load balancer destination
  * osquery destination
  * ewmm (Enterprise wide messaging model) destination and parser

Package: syslog-ng-mod-geoip2
Description-md5: 8b91c2257d2c29d12dd1e2dd69d3d62d
Description-en: Enhanced system logging daemon (GeoIP2 plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the GeoIP2 template function plugin, which
 allows one to do non-DNS IP-to-country resolving from syslog-ng
 templates. GeoIP2 uses MaxMind DB.

Package: syslog-ng-mod-getent
Description-md5: a96e0939fa2be3416c7cd3ce9ad72ee6
Description-en: Enhanced system logging daemon (getent plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides getent module which allows querying the Name Service
 Switch library to convert UIDs to names, protocol names to port number and
 much more.

Package: syslog-ng-mod-graphite
Description-md5: a68ac16e22a78a6423488f3ec0e497da
Description-en: Enhanced system logging daemon (graphite plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the graphite plugin, that adds a new template
 function, $(graphite-output), which makes it possible to easily send
 metrics to Graphite from within syslog-ng.

Package: syslog-ng-mod-map-value-pairs
Description-md5: fbbdbb394f241af80ab70038eee29317
Description-en: Enhanced system logging daemon (map-value-pairs plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 With this package, it is possible to copy key-value pairs and do some other
 conversion and alteration in key-value pairs.

Package: syslog-ng-mod-mongodb
Description-md5: de6c1b88ea6811df480727d4384e1207
Description-en: Enhanced system logging daemon (MongoDB plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the MongoDB destination plugin, which allows
 one to log system messages to MongoDB.

Package: syslog-ng-mod-pacctformat
Description-md5: ec12cbba622fe1850c9845d32785ef14
Description-en: Enhanced system logging daemon (getent)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the pacctformat module for syslog-ng.

Package: syslog-ng-mod-python
Description-md5: c477d7d1a4be242c9abcd7ae4f312d6c
Description-en: Enhanced system logging daemon (Python plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the Python plugin, that allows one to write
 syslog-ng plugins in Python.

Package: syslog-ng-mod-redis
Description-md5: f03b81038aae87e40382598af2cb75af
Description-en: Enhanced system logging daemon (Redis plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the Redis destination plugin, which allows one
 to issue Redis commands from within syslog-ng.

Package: syslog-ng-mod-riemann
Description-md5: d660ca26b43c6887b08eed42518964cc
Description-en: Enhanced system logging daemon (Riemann destination)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the Riemann destination, allowing one to push
 events to a Riemann server from within syslog-ng.

Package: syslog-ng-mod-smtp
Description-md5: 9af74848d647397723c357915eabd0ab
Description-en: Enhanced system logging daemon (SMTP plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the SMTP destination plugin, which allows one
 to send email messages from within syslog-ng itself.

Package: syslog-ng-mod-snmptrapd-parser
Description-md5: 7302f0e5b214a88d1fd68556c6aac128
Description-en: Enhanced system logging daemon (snmptrapd-parser plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This parser module can parse SNMP trap messages and convert it to key-value
 pairs.

Package: syslog-ng-mod-sql
Description-md5: f6ea0afc4a806f1e39e0cfdeec8e7bf4
Description-en: Enhanced system logging daemon (SQL plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the SQL destination plugin, which allows one to
 log system messages to a multitude of SQL databases (including, but
 not limited to MySQL, PostgreSQL and SQLite).

Package: syslog-ng-mod-stardate
Description-md5: 1efe99b6ad547ec072dacba942d465a2
Description-en: Enhanced system logging daemon (stardate plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides a stardate-like date formatting module which formats
 the date with months and days as fragments of the year.  For example, it
 formats 2017.06.15 as something like 2017.500.

Package: syslog-ng-mod-stomp
Description-md5: a30022326e1111992c5537d0788f71ca
Description-en: Enhanced system logging daemon (STOMP plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 This package provides the STOMP destination plugin, which allows one
 to publish log messages through the STOMP protocol.

Package: syslog-ng-mod-tag-parser
Description-md5: 33e6412dedba1757a0ab26b010533ee7
Description-en: Enhanced system logging daemon (tag parser plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 The new tags-parser() takes a value encoded by $TAGS and parses it
 back into actual tags on the message.

Package: syslog-ng-mod-xml-parser
Description-md5: 2a0db99165de9948e70351eb8e6e009c
Description-en: Enhanced system logging daemon (xml parser plugin)
 syslog-ng is an enhanced log daemon, supporting a wide range of input
 and output methods: syslog, unstructured text, message queues,
 databases (SQL and NoSQL alike) and more.
 .
 Key features:
 .
  * receive and send RFC3164 and RFC5424 style syslog messages
  * work with any kind of unstructured data
  * receive and send JSON formatted messages
  * classify and structure logs with builtin parsers (csv-parser(),
    db-parser(), etc.)
  * normalize, crunch and process logs as they flow through the system
  * hand on messages for further processing using message queues (like
    AMQP), files or databases (like PostgreSQL or MongoDB).
 .
 The xml parser can process input in xml format, and adds the parsed data
 to the message object.

Package: syslog-summary
Description-md5: 3f8fee696c08d1fc790b6611eadfbef1
Description-en: summarize the contents of a syslog log file
 This program summarizes the contents of a log file written by syslog,
 by displaying each unique (except for the time) line once, and also
 the number of times such a line occurs in the input. The lines are
 displayed in the order they occur in the input.
 .
 It is also possible to define some "ignore rules" using regular
 expressions.

Package: syslogout
Description-md5: 6b72dde4fce711750a8e98180c4c1dae
Description-en: Modularized system wide shell logout mechanism
 Simple centralized configuration mechanism for flexible maintenance
 of the shell specific parts for logout from a Debian system.
 .
 It has been designed to work with bash.  Other shells have not
 been taken in consideration for this version.

Package: sysnews
Description-md5: c282863a7fd634b63360a2517069518c
Description-en: program to display system news
 The news command keeps you informed of news concerning the system.
 Each news item is contained in a separate file in the /var/lib/sysnews
 directory. Anyone having write permission to this directory can create
 a news file.
 .
 NOTE: This command has nothing to do with USENET news. It's more like an
 enhanced motd.

Package: sysprof
Description-md5: 9eed05f73779350c69a87944de3a0134
Description-en: system-wide Linux profiler
 Sysprof is a sampling CPU profiler that uses a ptrace in Linux kernel to
 profile the entire system, not just a single application. Sysprof handles
 shared libraries and applications do not need to be recompiled. In fact they
 don't even have to be restarted.
 .
 It has the following features:
  - profiles all running processes, not just a single application
  - has a simple graphical interface
  - shows the time spent in each branch of the call tree
  - profiles can be loaded and saved

Package: sysprofile
Description-md5: 6b3bb42dcd721583d546c57c331fcbf1
Description-en: Modularized system wide shell configuration mechanism
 Simple centralized configuration mechanism for flexible maintenance
 of the shell specific parts for login to a Debian system.
 .
 It has been designed to work with bash.  Other shells have not
 been taken in consideration for this version.

Package: sysrqd
Description-md5: 4315934805063646d2f44b304b9cbef5
Description-en: small daemon intended to manage Linux SysRq over network
 Permits to execute usual SysRq commands by network, like:
 sync, umount, reboot, poweroff, sak, term, etc.
 .
 Its philosophy is to be very responsive under heavy load and
 try to be somehow reliable. Authentication is made by clear password.

Package: system-config-printer-gnome
Description-md5: 476812a57f38ee67d5917a8802981c00
Description-en: graphical interface to configure printers (transitional package)
 This is a transitional package and can safely be removed once no other
 packages depend on it.

Package: system-tools-backends
Description-md5: 147a0f0580f08b843e73f46fcc22a97f
Description-en: System Tools to manage computer configuration -- scripts
 The System Tools Backends are a set of cross-platform scripts
 for Linux and other Unix systems. The backends provide a standard
 XML interface for modifying the configuration regardless of the
 distribution that's being used.
 .
 These backends are used in GNOME System Tools.

Package: system-tools-backends-dev
Description-md5: 0fca9fbc776eea86118bf381cfd1a2f1
Description-en: System Tools to manage computer configuration -- development files
 The System Tools Backends are a set of cross-platform scripts
 for Linux and other Unix systems. The backends provide a standard
 XML interface for modifying the configuration regardless of the
 distribution that's being used.
 .
 These backends are used in GNOME System Tools.
 .
 This package contains the development files.

Package: systemctl
Description-md5: 365260d0ce4d4a9f51b3dc0038b375bf
Description-en: daemonless "systemctl" command to manage services without systemd
 "systemctl" is a replacement command to control system daemons without
 systemd. "systemctl" is useful in application containers where systemd is
 not available to start/stop services.
 .
 This script can also be run as init of an application container (i.e. the
 main "CMD" on PID 1) where it will automatically bring up all enabled
 services in the "multi-user.target" and where it will reap all zombies
 from background processes in the container. When stopping such a container
 it will also bring down all configured services correctly before exit.

Package: systemd-bootchart
Description-md5: f3b750aac232ee43c3d5f99ca06b716b
Description-en: boot performance graphing tool
 systemd-bootchart is a tool, usually run at system startup, that collects the
 CPU load, disk load, memory usage, as well as per-process information from a
 running system. Collected results are output as an SVG graph. Normally,
 systemd-bootchart is invoked by the kernel by passing
 init=/lib/systemd/systemd-bootchart on the kernel command line.
 systemd-bootchart will then fork the real init off to resume normal system
 startup, while monitoring and logging startup information in the background.
 .
 After collecting a certain amount of data (usually 15–30 seconds, default 20s)
 the logging stops and a graph is generated from the logged information.  This
 graph contains vital clues as to which resources are being used, in which
 order, and where possible problems exist in the startup sequence of the
 system. It is essentially a more detailed version of the systemd-analyze plot
 function.
 .
 bootchart can also be used at any moment in time to collect and graph some
 data for an amount of time.

Package: systemd-coredump
Description-md5: 149b22bdf2366b7d731957d1b710e54f
Description-en: tools for storing and retrieving coredumps
 This package provides systemd tools for storing and retrieving coredumps:
  * systemd-coredump
  * coredumpctl

Package: systemd-cron
Description-md5: 9166fe2131f8908f5e74ff0ca4fbe742
Description-en: systemd units to provide cron daemon & anacron functionality
 Provides systemd units to run cron jobs in /etc/cron.hourly cron.daily
 cron.weekly and cron.monthly directories, without having cron
 or anacron installed.
 It also provides a generator that dynamicaly translate /etc/crontab,
 /etc/cron.d/* and user cronjobs in systemd units.
 Please notice this package provide a different set of features
 than Vixie-cron; trying to remain as simple as possible.
 By example, to avoid to run process through a wrapper,
 but have instead systemd run those dirrectly,
 it only send mails on error, including only a small blurb
 from the Journal; but doesn't send the full output of processes.

Package: systemd-journal-remote
Description-md5: 6bd80842a0c96acb169877c7a6a25ff6
Description-en: tools for sending and receiving remote journal logs
 This package provides tools for sending and receiving remote journal logs:
  * systemd-journal-remote
  * systemd-journal-upload
  * systemd-journal-gatewayd

Package: systemd-tests
Description-md5: ece07db851f8a2e9731975ec11eedb8d
Description-en: tests for systemd
 This package contains the test binaries. Those binaries are primarily used
 for autopkgtest and not meant to be installed on regular user systems.

Package: systempreferences.app
Description-md5: 0c0d388045addb217dd0bbc42821c997
Description-en: GNUstep preferences application
 System Preferences is an application which allows one to manage the
 settings of many aspects of the GNUstep environment and its
 applications.  The System Preferences application is made of Modules,
 each one a bundle representing a single control panel, and is thus
 extensible and modular.

Package: systempreferences.app-dbg
Description-md5: 1d42cd7f081d0dd16958addd636c5c09
Description-en: GNUstep preferences application - debugging symbols
 System Preferences is an application which allows one to manage the
 settings of many aspects of the GNUstep environment and its
 applications.
 .
 This package contains the detached debugging symbols.

Package: systemsettings
Description-md5: a489ce3ef5aae006240bba5560bd5120
Description-en: System Settings interface
 Desktop configuration application.
 .
 This package is part of the KDE base workspace module.

Package: systemtap
Description-md5: 0aaa66102baf710a00ed98dc88fd7534
Description-en: instrumentation system for Linux
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
 This assists diagnosis of a performance or functional problem.
 SystemTap eliminates the need for the developer to go through the
 tedious and disruptive instrument, recompile, install, and reboot
 sequence that may be otherwise required to collect data.
 .
 SystemTap provides a simple command line interface and scripting
 language for writing instrumentation for a live running system.

Package: systemtap-client
Description-md5: ed93790e53261104420740cd37037a8e
Description-en: instrumentation system for Linux (client for compile server)
 This package contains client for SystemTap compile server. It can be
 used to compile probes on a remote machine running systemtap-server.
 This client can be run on a machine without full kernel debug information
 and development environment required for 'systemtap' package.
 .
 If you only want to compile systemtap probes locally just install
 'systemtap'  package.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.

Package: systemtap-common
Description-md5: c5d2c140f32e968c63c24e4c6a3b1c4b
Description-en: instrumentation system for Linux (common component)
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
 .
 To be able to write new SystemTap probes, install systemtap package.
 .
 This package contains the common files

Package: systemtap-doc
Description-md5: 423c79924d2af3ef7018de62dd2edbd8
Description-en: documentation and examples for SystemTap
 This package contains examples and documentation for SystemTap.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.

Package: systemtap-runtime
Description-md5: 3b8442240972060b39c7cabf63a3eb04
Description-en: instrumentation system for Linux (runtime component)
 This package contains staprun program that can be used to run
 compiled SystemTap probes.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
 .
 To be able to write new SystemTap probes, install systemtap package.

Package: systemtap-sdt-dev
Description-md5: ecef96f7dab2e30fd0d586168053cc6b
Description-en: statically defined probes development files
 This package contains header file and executable (dtrace) that
 can be used to add static probes into userspace applications
 for use with SystemTap.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
 .
 To be able to write new SystemTap probes, install systemtap package.

Package: systemtap-server
Description-md5: b06185466bc2c394362abf8236e40c53
Description-en: instrumentation system for Linux (compile server)
 This package contains compile server for SystemTap. It can be used
 together with systemtap client to compile probes on a remote machine.
 Probes will be compiled by the server on request of remote clients.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.

Package: systraq
Description-md5: a11228639b32659dfe7e1bd42ad0e2c0
Description-en: monitor your system and warn when system files change
 Systraq weekly sends you an email listing the state of your system.
 Furthermore, if critical files have changed, you'll get an email within a
 shorter notice. Systraq consists of few very small shell scripts.
 .
 It can help you implementing a not too strict security policy.  For more
 demanding systems, you'd better use something like tripwire or tiger. Make
 sure you really want to do the monitoring this script offers: it might not
 comply with your site's privacy policy. Getting informed when users' config
 file change might be too intrusive.
 .
 Other similar tools are available in Debian (`diffmon' for instance), but
 systraq is less intrusive because it can warn for file changes without mailing
 a complete diff (which is not desirable for e.g. /etc/shadow).

Package: systray-mdstat
Description-md5: 2c4f1da9cebb7dcab7fcdf1c0f7378fb
Description-en: Notifies about Linux Software RAID changes in system tray
 systray-mdstat is a system tray icon indicating the state of local
 Linux Software RAIDs (as set up with mdadm) by checking /proc/mdstat
 for changes — especially failures — periodically.
 .
 The use case for this utility is a desktop or laptop with a software
 RAID setup and no remote monitoring of the RAID (e.g. for privacy
 reasons or due to lacking a permanent network connection or an
 appropriate monitoring server).

Package: systune
Description-md5: 11fb5a9366142b83ca3d41b7f9b15c86
Description-en: kernel tuning through the /proc file system
 This program writes kernel parameters, previously saved in a configuration
 file, to the /proc filesystem.  This enables kernel performance to be
 adjusted without recompiling the kernel.
 .
 systune can be alternative to sysctl(8). It is also started after the most
 daemons and other init.d scripts, so it can be used as "second stage" sysctl.

Package: sysuser-helper
Description-md5: 1e40410ca7f42ac9287cd42c5774d590
Description-en: dh-sysuser implementation detail
 sysuser-helper provides code, which actually perform actions
 on system users on behalf of dh-sysuser package. This separation
 allows packages take advantage of improvement or fixes in 'dh-sysuser'
 without rebuilding.
 .
 This package is implementation detail of 'dh-sysuser'. It should
 never be installed manually. No assumption about its content can
 be made.

Package: sysvbanner
Description-md5: 1b80f7b4136a194b1923802e0957ea87
Description-en: System-V banner clone
 Displays, horizontally, a 'banner' text in the same way as the System V
 banner does.
 .
 This package provides the banner and sysvbanner commands (the same function).

Package: t-code
Description-md5: bfa275f72cf147cdb93b35a2460d9880
Description-en: Japanese direct input method environment for emacsen
 This package is provides tc2. the T-Code input environment for emacsen,
 which enables you to input Japanese characters with T-Code or TUT-Code.
 .
 T-Code is a Japanese input method that doesn't use Kana-to-Kanji
 conversion.  You can input Kanji characters directly in the same way
 of inputting Hiragana.  TUT-Code is an alternative to T-Code.
 .
 This package provides emacs support for T-Code.

Package: t-code-common
Description-md5: b8c806404f1e0d2f984236efdbf29f97
Description-en: Japanese direct input method environment - common files
 This package is provides tc2. the T-Code input environment for emacsen,
 which enables you to input Japanese characters with T-Code or TUT-Code.
 .
 T-Code is a Japanese input method that doesn't use Kana-to-Kanji
 conversion.  You can input Kanji characters directly in the same way
 of inputting Hiragana.  TUT-Code is an alternative to T-Code.
 .
 This package contains common files for the t-code package.

Package: t-coffee
Description-md5: d9e3464438ee56699a59a136061af5df
Description-en: Multiple Sequence Alignment
 T-Coffee is a multiple sequence alignment package. Given a set of
 sequences (Proteins or DNA), T-Coffee generates a multiple sequence
 alignment. Version 2.00 and higher can mix sequences and structures.
 .
 T-Coffee allows the combination of a collection of multiple/pairwise,
 global or local alignments into a single model. It can also
 estimate the level of consistency of each position within the new
 alignment with the rest of the alignments. See the pre-print for more
 information
 .
 T-Coffee has a special called M-Coffee that makes it possible to combine the
 output of many multiple sequence alignment packages. In its published version,
 it uses MUSCLE, PROBCONS, POA, DiAlign-TS, MAFFT, Clustal W, PCMA and
 T-Coffee.  A special version has been made for Debian, DM-Coffee, that uses
 only free software by replacing Clustal W by Kalign. Using the 8 Methods of
 M-Coffee can sometimes be a bit heavy. You can use a subset of your favorite
 methods if you prefer.

Package: t-coffee-examples
Description-md5: a71df798a9a66970f01868685e2dc7ce
Description-en: annotated examples for the use of T-Coffee
 To help increasing the proficiency in the use of T-Coffee, this
 package provides examples for input sequences and their proper
 alignment.

Package: t-prot
Description-md5: b35ddae834b7567ec0ccfa64097f18b1
Description-en: display filter for Internet messages
 This program provides "TOFU-Protection" to improve the readability of
 email and newsposts. TOFU is a German acronym for "Text oben, Fullquote
 unten", meaning the regrettably widespread reply style that leaves all
 the quotes untrimmed and just adds some text at the top. This script
 filters annoying mailing list footers, long signatures, and TOFU, as
 well as squeezing sequences of blank lines, exclamation marks, etc.
 .
 It currently offers example configurations for applying t-prot within
 heirloom-mailx, INN 2, mailcap, Mutt, or slrn. It should be possible to
 do likewise with other programs that allow a message to be passed
 through a filter before being displayed. If you use such a program we'd
 be interested if you could send in your setup.

Package: t1-cyrillic
Description-md5: 7ca6d16685c5ea5f2b476f6ce77644e3
Description-en: A basic set of free PostScript fonts
 This package includes free Type1 fonts for the following font
 families: Free Times, Free Helvetian, Free Helvetian Condensed, Free
 Courier, Free Avant Garde, Free Paladin, Free Schoolbook, Free
 Bookman and Free Chancery.
 .
 These fonts cover the Latin1 and Latin2 character sets and partially
 the most popular Cyrillic character sets.
 .
 The fonts look like the fonts in the Adobe basic set of PostScript
 fonts, but the font names are customized to avoid any trademark
 infringements.

Package: t1-oldslavic
Description-md5: 3bb962f6ff67ed48a7b70d41329adc84
Description-en: OldSlavic -- a Cyrillic Type1 font with medieval design
 The letters in this font resemble the look of the medieval Cyrillic
 printed books.
 .
 This font is not for general use.  It contains only the basic
 Cyrillic and Latin letters; there are almost no punctuation signs in
 it.

Package: t1-teams
Description-md5: c49109f275ea6fb868d7ec6974978027
Description-en: Teams -- a PostScript font covering ASCII and basic Cyrillic
 This is a Type1 font family (with regular, bold, slanted and
 slanted-bold faces) developed by TopTeam Co. -- a Bulgarian
 publishing house.  They use it to publish their newspapers and
 magazines.
 .
 These fonts cover only the English Latin characters and the
 Bulgarian and Russian Cyrillic characters.

Package: t2html
Description-md5: bab3198a129fc79ba28e6b93b183880c
Description-en: text to HTML converter implemented in Perl
 Convert text file into HTML 4.01/CSS2 format. The is written in
 natural white paper format by using standard headings and indented
 paragraphs at standard tab position column 8. The text can *contain*
 _ASCII_ =markup= `tokens'. Embedding HTML is also possible via INCLUDE
 directives. This utility predates similar Python-based asciidoc.
 .
 A showcase: http://pm-doc.sourceforge.net/doc/

Package: t2n
Description-md5: 705e273783a7c3fb42def3c04454435b
Description-en: Simple command-line tool for LEGO Mindstorms NXT
 Simple command-line tool for communication with your LEGO Mindstorms NXT brick
 through the USB port.
 .
 By this tool you can:
  * check battery level
  * print nxt info
  * list files
  * upload file
  * download file

Package: t3highlight
Description-md5: 4cebba91c4b747fb283d6c9756d4b5c0
Description-en: Command-line syntax highligher
 The libt3highlight library provides functions for syntax-highlighting different
 types of text files. Its main design goal is an easily restartable syntax
 highlighting, suitable for use in interactive text editors.
 .
 To make the syntax highlighting restartable, libt3highlight uses a single
 integer start-of-line state. However, it does provide several advanced
 features, such as dynamic end-of-state patterns, which make it possible to
 highlight complex languages like Perl and Bash with high fidelity.
 .
 This package contains the t3highlight program, which allows applying the syntax
 highlighting capabilities of libt3highlight on the command line to produce
 for example HTML output.

Package: t50
Description-md5: e560c2f6b8505a57b17d517d7a0bfd9c
Description-en: Multi-protocol packet injector tool
 Multi-protocol packet injector tool for *nix systems,
 actually supporting 15 protocols.
 .
 Features:
 .
  - Flooding
  - CIDR support
  - TCP, UDP, ICMP, IGMPv2, IGMPv3, EGP, DCCP, RSVP, RIPv1,
    RIPv2, GRE, ESP, AH, EIGRP and OSPF support.
  - TCP Options.
  - High performance.
  - Can hit about 1.000.000 packets per second.

Package: tabble
Description-md5: 53999e9d56f2a17eeb6bb57cd65f30ce
Description-en: program launcher with tabs for X
 tabble is a small alternative X shell which presents your programs in
 tabs for easy launch. It is not meant to replace a window manager,
 just complement it. Light window managers benefit the most from
 tabble. The idea is that tabble is 'always on top' so multiple
 launches can be made without re-navigating a menu hierarchy or
 clearing the desktop.

Package: tabix
Description-md5: 8712005ee260a697bb78eedf2c010404
Description-en: generic indexer for TAB-delimited genome position files
 Tabix indexes files where some columns indicate sequence coordinates: name
 (usually a chromosome), start and stop. The input data file must be position
 sorted and compressed by bgzip (provided in this package), which has a gzip
 like interface. After indexing, tabix is able to quickly retrieve data lines by
 chromosomal coordinates. Fast data retrieval also works over network if an URI
 is given as a file name.
 .
 This version of tabix is built from the HTSlib source.

Package: tableau-parm
Description-md5: b9efceaec4e76d9763adfe99e4d23b23
Description-en: tableau write-blocking bridge query/command utility
 The tableau-parm is an small command line utility designed to interact with
 Tableau forensic write blockers. It performs functions similar to the Tableau
 Disk Monitor.
 .
 Is designed to interact with write-blocking forensics bridges produced by
 Tableau, LLC. It can be used to query bridges for various bridge and device
 data, as well as to disable DCO regions.

Package: tablet-encode
Description-md5: 9e2ac733c65749e6b7635dcf4c77cb26
Description-en: video converter for Nokia Internet Tablets
 tablet-encode can help with all these problems:
  * It guarantees the output to be playable on a Nokia Internet Tablet using
    a system of "profiles".
  * It can rip and encode DVDs in a single step (dependent on mencoder version).
  * It has a simple GUI interface for easily converting videos.
  * It has a command-line interface so it can be easily scripted to
    interface to your PVR (for example, MythTV, Freevo or VDR).
  * Presets allow tradeoff between space/quality to be decided on a
    per-video basis.
  * Can optimise video size for the Internet Tablet's 15:9 screen.
  * Handles anamorphic video sources such as widescreen DVDs.
  * Output works with either the built-in Media Player, or mplayer.
  * GUI and command line modes.

Package: tablix2
Description-md5: 0c9c0ea91873e8ab9276e738fe4d84b0
Description-en: Kernel for solving general timetabling problems
 Tablix is a powerful free software kernel for solving general
 timetabling problems. It uses a coarse-grained parallel genetic
 algorithm in combination with other techniques to construct
 sensible timetables from XML formatted problem descriptions.
 Tablix can run on a single host as well as on a heterogeneous
 parallel virtual machine using PVM3.

Package: tachyon
Description-md5: 1142396a17648b9f36cba9905a11f252
Description-en: Parallel/Multiprocessor Standalone Ray Tracer - metapackage
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This metapackage allows multi-variant support for tools built upon tachyon.

Package: tachyon-bin-nox
Description-md5: 03dab2bfabf9e58410f0166400605a79
Description-en: Parallel/Multiprocessor Standalone Ray Tracer - with no X support
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package provides a simple scene file parser front-end built upon
 tachyon but without X support.

Package: tachyon-bin-ogl
Description-md5: a7bffe9f74b24f0afc5d8ef2139f22b2
Description-en: Parallel/Multiprocessor Standalone Ray Tracer - with OpenGL display
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package provides a simple scene file parser front-end built upon
 tachyon and with OpenGL display.

Package: tachyon-doc
Description-md5: 43f34ad0d0fae0eacf8333b6c7eb024e
Description-en: Parallel/Multiprocessor Ray Tracing System - reference manual
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations. Tachyon is built as
 a C callable library, which can be used within applications.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc. Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well. These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others. Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.
 .
 This package provides the reference manual for the tachyon C library.
 It also contains simple demo sources to build against the tachyon C library
 and scene material to parse with the simple tachyon scene parser front-end
 built upon the tachyon C library.

Package: tack
Description-md5: cf00daedb113ad640f6b8818e8b91c71
Description-en: terminfo action checker
 The 'tack' program is a diagnostic tool that is designed to create and verify
 the correctness of terminfo's. This program can be used to create new terminal
 descriptions that are not included in the standard ncurses release.
 .
 Although 'tack' has been distributed with ncurses-bin, it is not an integral
 part of ncurses and was thus split out from it by upstream.

Package: taffybar
Description-md5: fd7ba658adfd5a29ca697ea4f3f4c221
Description-en: desktop bar extensible in Haskell
 This bar is based on gtk2hs and provides several widgets (including a few
 graphical ones).
 It also sports an optional snazzy system tray.
 .
 This package comes pre-configured with the default configuration. If you want
 to build your custom-configured version, make sure that libghc-taffybar-dev is
 installed and put your configuration in ~/.config/taffybar/taffybar.hs

Package: tagcloud
Description-md5: 8a30fd8764149dcc2566b7e36068cc01
Description-en: Visualize tagged notes as a cloud
 Tagcloud will start a simple web server and display a webpage where you can
 choose which textfile to create a tagcloud from. You can then click on tags to
 retrieve the notes, as well as search the fulltext of all the notes.

Package: tagcoll
Description-md5: 2328eac4efc8e938f3ddf4c2d76b90c4
Description-en: Commandline tool to perform operations on tagged collections
 tagcoll takes a collection of items categorized with multiple tags and
 performs various kinds of operations on them:
 .
  * organize the collection in an intuitively navigable hierarchy;
  * discover and show implicit hierarchical relationships between
    tags;
  * apply various transformations to the collection
  * produce a special patch file with the differencies between two collections.
    Such patch files are specific to tagged collections, and can be applied and
    merged more freely than normal diff output;
  * apply a previously generated patch file to a collection.
 .
 tagcoll has been written with the purpose of studying tagged collections and
 experiment with tagged collection algorithms.

Package: taggrepper
Description-md5: b0920fa5c4dc4c6d710dc45ad564a645
Description-en: search and match tags of audio files against regular expressions
 taggrepper is a small tool written to "grep" tags of audio
 files. Currently, it can be used to match some or any tags of MP3,
 Ogg Vorbis and FLAC files, against specified regular expressions, and
 display the name and designated fields of the matching files. It
 supports recursive directory searches as well.

Package: taglog
Description-md5: db1e0c38281ade7e7db40b350b770db8
Description-en: Personal time management system
 Taglog is designed for anyone who spends most of their day sitting
 at a computer, working on various projects. You can make notes about
 what you do, as you go along, associating them with the projects you
 work on. At the end of the week you can produce a report of how your
 time was spent, broken down by project for booking purposes. You can
 view previous entries, by date, or by project. You can enter the
 actions you intend to take, associate them with a project, and mark
 them as active, or complete.

Package: tagua
Description-md5: 3bb70b671eb178d66675e9522dd721a7
Description-en: Board-game frontend for playing chess variants and other games
 Tagua is a frontend for a variety of board games.  Currently
 supported games include chess, shogi and a couple of variants of
 those games.
 .
 Tagua is based on a powerful plugin system that allows many games to
 share the same graphical framework, game history handling,
 interoperability with AI engines and connectivity to network servers.
 .
 It currently has support for xboard-compatible chess engines, and
 xshogi-compatible shogi engines, as well as network play on chess ICS
 servers.

Package: tagua-data
Description-md5: 1c57f2c2bc9a0fdcf9b3d3b0f0ef8036
Description-en: Theme data for the tagua board-game frontend
 This package contains the architecture independent data files
 required by tagua.

Package: taktuk
Description-md5: 8dbf2780a020eb1016663b208d22f0e3
Description-en: efficient, large scale, parallel remote execution of commands
 TakTuk allows one to execute commands in parallel on a potentially large set
 of remote nodes (using ssh to connect to each node). It is typically used
 inside high performance computing clusters and grids. It uses an adaptive
 algorithm to efficiently distribute the work and sets up an interconnection
 network to transport commands and perform I/Os multiplexing. It doesn't
 require any specific software on the nodes thanks to a self-propagation
 algorithm.

Package: tali
Description-md5: b7a7310b2a59a3ab59cf7559aa63670b
Description-en: sort of poker with dice and less money
 GNOME Tali is a sort of poker played using dice. You roll five dice
 three times and try to create the best “hand”. Your two rerolls may
 include any or all of your dice.

Package: talk
Description-md5: 3312a1f61eab4169a2566a6a3f5788c0
Description-en: Chat with another user
 Talk is a visual communication program which copies lines from your terminal
 to that of another user.
 .
 In order to talk locally, you will need to install the talkd package.

Package: talkd
Description-md5: b8a4873d5ba2ef082a7c95a52b17d52d
Description-en: Remote user communication server
 Talkd is the server that notifies a user that someone else wants to initiate
 a conversation.  It acts a repository of invitations, responding to requests
 by clients wishing to rendezvous to hold a conversation.

Package: talksoup.app
Description-md5: 15f9f346644d7e146b5559a4d1f4af19
Description-en: IRC client for GNUstep
 TalkSoup is a GNUstep IRC client built on the extensibility of the
 Objective-C language. The entire IRC client is implemented by plugins,
 so one can pick and choose what they want to use.

Package: tamil-gtk2im
Description-md5: e6b59a51775746db314fe8c955525f13
Description-en: Tamil input method for GTK-2.0
 This package provides input methods for the Tamil Language for
 applications written with the GTK+ Graphical User Interface Library.
 .
 The package supports TSCII encodings for TamilVP, Tamil99 and Anjal keyboard
 layouts, and Unicode encodings for TamilVP and Tamil99.
 and Anjal keyboard layouts.

Package: tandem-mass
Description-md5: 125794d5a0c5e3977c7103dc8d02d373
Description-en: mass spectrometry software for protein identification
 X! Tandem can match tandem mass spectra with peptide sequences, in a
 process that is commonly used to perform protein identification.
 .
 This software has a very simple, unsophisticated application
 programming interface (API): it simply takes an XML file of
 instructions on its command line, and outputs the results into an XML
 file, which has been specified in the input XML file. The output file
 format is described at
 http://www.thegpm.org/docs/X_series_output_form.pdf.
 .
 Unlike some earlier generation search engines, all of the X! Series
 search engines calculate statistical confidence (expectation values)
 for all of the individual spectrum-to-sequence assignments. They also
 reassemble all of the peptide assignments in a data set onto the
 known protein sequences and assign the statistical confidence that
 this assembly and alignment is non-random. The formula for which can
 be found here. Therefore, separate assembly and statistical analysis
 software, e.g. PeptideProphet and ProteinProphet, do not need to be
 used.

Package: tang
Description-md5: 5e2b4699be00ada48c869284534ea336
Description-en: network-based cryptographic binding server
 Tang is a service for binding cryptographic keys to network presence.
 It offers a secure, stateless, anonymous alternative to key escrow
 services.

Package: tangerine
Description-md5: ff4272f60ce40d1191548edf624becdc
Description-en: music server using DAAP
 Tangerine is a simple music sharing server that uses DAAP to share your music
 over the local network.
 .
 There are several clients that you can then use to connect to it, such as
 Apple's iTunes, Banshee, and Rhythmbox.

Package: tangerine-dbg
Description-md5: 4c37f61c4960968affc0227119308509
Description-en: music server using DAAP (debug symbols)
 Tangerine is a simple music sharing server that uses DAAP to share your music
 over the local network.
 .
 this package contains the debugging symbols for Tangerine.

Package: tangerine-icon-theme
Description-md5: 250f978798b7939dc52701f2efb6e3aa
Description-en: Tangerine Icon theme
 Tangerine is an icon theme worked on by the Ubuntu Art Team. It's inspired
 by the Tango Icon Theme.

Package: tanglet
Description-md5: 798c6cf706ec940f64c00ec90e5aa6a0
Description-en: single player word finding game based on Boggle
 The Object of the game is to list as many words as you can before
 the time runs out. Every time you find a new word, you are given
 more time. Each word must be at least three letters long.

Package: tanglet-data
Description-md5: 29c31d1ccd055cfd428e531bf3504e5a
Description-en: single player word finding game based on Boggle - data files
 The Object of the game is to list as many words as you can before
 the time runs out. Every time you find a new word, you are given
 more time. Each word must be at least three letters long.
 .
 This package provides data files required by tanglet.
 They include word lists and translations.

Package: tango-accesscontrol
Description-md5: 03721f413a8fb2f906b89e11ef7b6c90
Description-en: TANGO distributed control system - accesscontrol server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package provides a TANGO accesscontrol server. It can be used to
 manage the users and IP addresses that are permitted to access TANGO
 devices.

Package: tango-common
Description-md5: abe68002b5c0074a5b3403547a332b83
Description-en: TANGO distributed control system - common files
 This package provides shared files for the TANGO distributed control
 system, and sets up the required infrastructure. It is for now an
 empty package

Package: tango-db
Description-md5: 61ba4846bb4d6244151ee89c4256100e
Description-en: TANGO distributed control system - database server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package provides a TANGO database server using MySQL/MariaDB.

Package: tango-icon-theme
Description-md5: 5ff79f934e28dafe471a4545529d811a
Description-en: Tango icon library
 This package contains the icons made by the Tango project.
 .
 The project's aim is to create a cross-desktop and cross-platform icon
 theme following the Icon Naming Specification by the Freedesktop project.
 The icons follow a standard and consistent style guide to look coherent.

Package: tango-icon-theme-common
Description-md5: 6b818137a035cbf38faf176611c88ed0
Description-en: Tango Icon theme - common icons
 tango-icon-theme-common is an set of icons provided by Ubuntu's Art themes.
 It contains additional icons that can be used with Tango and Tangerine.

Package: tango-icon-theme-extras
Description-md5: 23b86aed6025c49b081cb10043f8c7f1
Description-en: Extra icons for Tango
 Tango is a project to create a new cross-desktop and cross-platform icon
 theme, using a standard style guide, and the new Icon Naming Specification.

Package: tango-starter
Description-md5: 159372bf8ae1578a656674dbc00c0ee9
Description-en: TANGO distributed control system - starter server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package provides a TANGO device control server. It can start, stop,
 or report the status of other TANGO components.

Package: tango-test
Description-md5: a8db909e0c0a83825ac21590f90a4df5
Description-en: TANGO distributed control system - test device
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package provides a TANGO device which implements all TANGO
 attribute types, and can be used for testing the installation.

Package: tantan
Description-md5: c4250117adf2a184c317b8e7c68ffc7a
Description-en: low complexity and tandem repeat masker for biosequences
 tantan is a tool to mask simple regions (low complexity and short-period tandem
 repeats) in DNA, RNA, and protein sequences. The aim of tantan is to prevent
 false predictions when searching for homologous regions between two sequences.
 Simple repeats often align strongly to each other, causing false homology
 predictions.

Package: tao-pegtl-dev
Description-md5: f0b4956f5b1ea979a79bed5a5f13c3df
Description-en: Parsing Expression Grammar Template Library
 The Parsing Expression Grammar Template Library (PEGTL) is a zero-dependency
 C++11 header-only parser combinator library for creating parsers according to a
 Parsing Expression Grammar (PEG).

Package: taopm
Description-md5: 5614a2d9901e08fcfcafb6715547b219
Description-en: Sound synthesis software with physical models
 Tao is a software package for sound synthesis using physical models. It
 provides a virtual acoustic material constructed from masses and springs which
 can be used as the basis for building quite complex virtual musical
 instruments.

Package: tap-plugins
Description-md5: 5aae8cee0dcbfcf8d90134824d0ea730
Description-en: Tom's Audio Processing LADSPA plugins
 Tom Szilagyi has written a number of plugins for LADSPA
 compatible hosts (e.g. Ardour, GNU Sound and GStreamer).
 .
 The plugins (Equalizer, Reverberator, Stereo Echo, Tremolo,
 Scaling Limiter, AutoPanner and DeEsser) have been written
 primarily for Ardour but should work well with any LADSPA
 host.

Package: tap-plugins-doc
Description-md5: 7ba4735322484689986c7875124cf508
Description-en: TAP-plugins documentation
 Tom's Audio Processing LADSPA plugins
 Tom Szilagyi has written a number of plugins for LADSPA
 compatible hosts (e.g. Ardour, GNU Sound and GStreamer).
 .
 The plugins (Equalizer, Reverberator, Stereo Echo, Tremolo,
 Scaling Limiter, AutoPanner and DeEsser) have been written
 primarily for Ardour but should work well with any LADSPA
 host.
 .
 This package contains TAP-plugins documentation

Package: tapecalc
Description-md5: 7ce6908141334b324ac3de9e21b2e4b7
Description-en: a full-screen tape editor that lets the user edit a calculation
 tapecalc is a fixed-point calculator that operates as a full-screen editor. It
 is designed for use as a checkbook or expense-account balancing tool.
 .
 tapecalc maintains a running result for each operation. You may scroll to any
 position in the expression list and modify the list. Enter data by typing
 numbers (with optional decimal point), separated by operators.
 .
 An output transcript may be saved and reloaded for further editing.

Package: tappy
Description-md5: da611db02d981138e093899da79c8d7a
Description-en: TAP consumer tool
 The tap.py package provides a set of tools for working with the
 Test Anything Protocol (TAP). TAP is a line based test protocol
 for recording test data in a standard way.
 .
 This package provides the command-line tool 'tappy', which parses
 TAP syntax in files or a stream and produces unittest-style output.

Package: taptempo
Description-md5: 05876ef6ae3ffe64b1a90181e22b9504
Description-en: command line tap tempo
 hit enter key with style an you'll get the corresponding number of beats per
 minute (bpm).
 This tool is very useful to quickly find the tempo of a song without
 launching a big digital workstation like Ardour or LMMS. Just type "taptempo"
 in a terminal and hit the enter key while your hearing a song to print the
 tempo.

Package: tar-scripts
Description-md5: 6f87e8621d875a4c5ceeeda24208e7b8
Description-en: optional scripts for GNU version of the tar archiving utility
 This package provides the backup, restore, backup.sh, and dump-remind
 scripts that are mentioned in the tar documentation.

Package: tar-split
Description-md5: 0a7073586375397f4634a2441b79a786
Description-en: tar archive assembly/disassembly
 Pristinely disassembling a tar archive, and stashing needed raw bytes and
 offsets to reassemble a validating original archive.
 .
 Eventually this should detect TARs that this is not possible with.
 .
 For example stored sparse files that have "holes" in them, will be read as a
 contiguous file, though the archive contents may be recorded in sparse format.
 Therefore when adding the file payload to a reassembled tar, to achieve
 identical output, the file payload would need be precisely re-sparsified. This
 is not something I seek to fix immediately, but would rather have an alert that
 precise reassembly is not possible. (see more
 http://www.gnu.org/software/tar/manual/html_node/Sparse-Formats.html)
 .
 Other caveat, while tar archives support having multiple file entries for the
 same path, we will not support this feature. If there are more than one entries
 with the same path, expect an err (like ErrDuplicatePath) or a resulting tar
 stream that does not validate your original checksum/signature.

Package: tarantool
Description-md5: 58f912b39da5f1e98a1f6784bb706339
Description-en: In-memory database with a Lua application server
 Tarantool is an in-memory database and a Lua application server.
 Its key properties include:
 .
  * flexible data model
  * multiple index types: HASH, TREE, BITSET
  * optional persistence and strong data durability
  * log streaming replication
  * Lua functions, procedures, triggers, with rich access to database API,
    JSON support, inter-procedure and network communication libraries
 .
 This package provides Tarantool command line interpreter and server.

Package: tarantool-common
Description-md5: 3f020cca6d17ae6b6574a320ffded80e
Description-en: Tarantool in-memory database - common files
 Tarantool is an in-memory database and Lua application server.
 This package provides scripts to work with tarantool configuration
 and log files.

Package: tarantool-dev
Description-md5: 660b07125b953ce9ebf35c64d5ccaeaa
Description-en: Tarantool in-memory database - development headers
 Tarantool is an in-memory database and Lua application server.
 This package provides server development files needed to build pluggable
 modules.

Package: tarantool-lts
Description-md5: 4d0d250b6e879665207ffdf71d735e62
Description-en: In-memory database with Lua application server
 Tarantool is an in-memory database and Lua application server.
 Its key properties include:
 .
  * flexible data model
  * multiple index types: HASH, TREE, BITSET
  * optional persistence and strong data durability
  * log streaming replication
  * Lua functions, procedures, triggers, with rich access to database API,
    JSON support, inter-procedure and network communication libraries
  * a command line client supporting simple SQL and a native Lua console
 .
 This package provides Tarantool server.

Package: tarantool-lts-client
Description-md5: 64604b9e894fa6d2d56a44bf4ee3f843
Description-en: Tarantool in-memory database - command line client
 Tarantool is an in-memory database and Lua application server.
 This package provides a command line client for Tarantool
 server with support of readline, command history, reader of
 server binary logs and snapshot files.

Package: tarantool-lts-common
Description-md5: 3f020cca6d17ae6b6574a320ffded80e
Description-en: Tarantool in-memory database - common files
 Tarantool is an in-memory database and Lua application server.
 This package provides scripts to work with tarantool configuration
 and log files.

Package: tarantool-lts-dev
Description-md5: 80f9908f309d696a5ed01bebbb6979b1
Description-en: Tarantool in-memory database - development headers
 Tarantool is an in-memory database and Lua application server.
 This package provides server headers needed to build pluggable modules.

Package: tarantool-lts-modules
Description-md5: b2cb41beb87ce7491a233576616c7a80
Description-en: Tarantool in-memory database - all plug-ins bundle
 Tarantool is an in-memory database and Lua application server.
 This package provides some Tarantool additional modules.

Package: tarantool-lts-mysql-module
Description-md5: 9af6faf349c3650a47612de9f6aa5211
Description-en: Tarantool in-memory database - MySQL connector
 Tarantool is an in-memory database and Lua application server.
 This package contains a connector to MySQL database for Tarantool
 Lua stored procedures.

Package: tarantool-lts-postgresql-module
Description-md5: 39f95e7a4e962e76d1580e01a63407f2
Description-en: Tarantool in-memory database - PostgreSQL connector
 Tarantool is an in-memory database and Lua application server.
 This package contains a connector to PostgreSQL database for
 Tarantool Lua stored procedures.

Package: tarantool-lts-sophia-module
Description-md5: 189be595a365d780f53829e6d3e59ed9
Description-en: Tarantool in-memory database - sophia bindings
 Tarantool is an in-memory database and Lua application server.
 This package contains a bindings to the Sophia database for
 Tarantool Lua stored procedures.

Package: tardiff
Description-md5: 1734c7fcf8bb38df2ad9ddb0d8dd5a7f
Description-en: Tarball comparison tool
 TarDiff compares the contents of two tarballs and reports on any
 differences found between them. Its use is mainly for release
 managers who can use it as a QA tool to make sure no files have
 accidentally been left over or were added by mistake. TarDiff
 supports compressed tarballs, diff statistics and suppression of GNU
 autotool changes.

Package: tardy
Description-md5: 02a1c1c803b48ce9197e128e803d14d2
Description-en: post-processor for tar command
 tardy alters the characteristics of files in a tar(1) archive.  It
 can change the files' owner, change the files' group, add a prefix to
 the files' paths, and change the files' protections.

Package: targetcli-fb
Description-md5: c471aae6997abf9df437c395f32d2ed9
Description-en: Command shell for managing the Linux LIO kernel target
 The targetcli-fb package is a command-line interface for configuring
 the LIO generic SCSI target, present in 3.x Linux kernel versions.
 .
 The targetcli-fb package is a fork of the "targetcli" code written by
 RisingTide Systems. The "-fb" differentiates between the original and
 this version. Please ensure to use either all "fb" versions of the
 targetcli components -- targetcli, rtslib, and configshell, or stick
 with all non-fb versions, since they are no longer strictly
 compatible.

Package: tarlz
Description-md5: 0578d161fe68d5cd5689590533b21e86
Description-en: archiver with multimember lzip compression
 Tarlz is a combined implementation of the tar archiver and the lzip compressor.
 .
 By default it creates, lists and extracts archives with lzip on a per file
 basis. Each tar member is compressed in its own lzip member, as well as the
 end-of-file blocks. This method adds an indexed lzip layer on top of the tar
 archive, making it possible to decode the archive safely in parallel.
 The resulting multimember tar.lz archive is fully backward compatible with
 standard tar tools like GNU tar, which treat it like any other tar.lz archive.

Package: tart
Description-md5: 45aa42dd3f5968a94485d14c99ef0d99
Description-en: versatile and feature-rich email signature generator
 TaRT (The Automatic Random Tagline) features include random taglines,
 optional daemon functionality, display of current date,
 custom layout of signature, and "special date" tagline text.
 The command line syntax is simple and well explained.
 TaRT is designed to be run as a stand-alone daemon, from crontab,
 or in your login script.

Package: task-spooler
Description-md5: 2770bf2e5456ac7ac05f66f704bece4d
Description-en: personal job scheduler
 Task spooler is a Unix batch system where the tasks spooled run one
 after the other. Each user in each system has his own job queue. The tasks are
 run in the correct context (that of enqueue) from any shell/process, and its
 output/results can be easily watched. It is very useful when you know that
 your commands depend on a lot of RAM, a lot of disk use, give a lot of
 output, or for whatever reason it's better not to run them at the same time.

Package: tasksh
Description-md5: 5f674ee3203553c6795cb1ec419c37b0
Description-en: shell command that wraps Taskwarrior commands
 Tasksh is a shell command that wraps Taskwarrior commands. It is
 intended to provide simpler Taskwarrior access, and add a few new
 features of its own.
 .
 Tasksh replaces the built-in shell command of older releases, and the
 bundled tasksh program of version 2.3.0.

Package: taskwarrior
Description-md5: 0d098dac3c1ebd03ad036f5c28ef384f
Description-en: feature-rich console based todo list manager
 Taskwarrior is a sophisticated command line todo list and task
 manager with a lot of features:
 .
  * colorful tabbed output
  * feature rich search function
  * tags
  * statistics
  * Getting Things Done compatible
  * interactive editing of items in text editor

Package: tasque
Description-md5: c19b237ca29c276e78d5d6726875fc5f
Description-en: simple task management application
 Tasque is a simple task management app (TODO list) for the Linux Desktop. It
 supports syncing with the on-line service Remember the Milk or simply storing
 your tasks locally.

Package: tatan
Description-md5: 4edbf05578118bf33369389f485b7d87
Description-en: pointing STG shooter game
 Tatan is a shooter game with simplified controls: use the mouse to aim and
 shoot, and the space bar to toggle your position. You have to collect the
 yellow stars (or shoot the white ones circumscribed in spheres) for
 power-ups.
 .
 The game is played in some sort of quantum universe, and you are either
 positioned on the left or the right.

Package: tayga
Description-md5: 63042eb4cc4b285eae21a694d21d00da
Description-en: userspace stateless NAT64
 TAYGA is an out-of-kernel stateless NAT64 implementation for Linux
 that uses the TUN driver to exchange IPv4 and IPv6 packets with
 the kernel. It is intended to provide production-quality NAT64
 service for networks where dedicated NAT64 hardware would be
 overkill. TAYGA needs no kernel patches or out-of-tree
 modules, and it is compatible with all 2.4 and 2.6 kernels.

Package: tboot
Description-md5: 9514811dfa9f8d8f52767a5b40f39a29
Description-en: Trusted Boot (tboot)
 Trusted Boot (tboot) is an open source, pre-kernel/VMM module that uses
 Intel Trusted Execution Technology (Intel TXT) to perform a measured
 and verified launch of an OS kernel/VMM.

Package: tcc
Description-md5: dcac8a45b9295c2c42e6540299eec5fe
Description-en: small ANSI C compiler
 TCC (for Tiny C Compiler) is a small and fast ANSI C compiler.  It
 generates optimized x86 code, and can compile, assemble, and link
 several times faster than 'gcc -O0'.  Any C dynamic library can be used
 directly.  It includes an optional memory and bounds checker, and
 bounds-checked code can be mixed freely with standard code.  C script
 is also supported via the usual hash-bang mechanism.
 .
 NOTE: TCC is still somewhat experimental and is not recommended for
 production use.  The code it generates is much less optimized than what
 GCC produces, and compiler bugs can have serious security consequences
 for your program.

Package: tcd-utils
Description-md5: 5a49814ec2a0c713b74fbaca62edbe91
Description-en: convert Tide Constituent Database (TCD) files
 tcd-utils are command-line tools for converting Tide Constituent Database
 (TCD) files to and from other formats.  They are used to create tidal
 harmonics files used by XTide (see the xtide package), such as those found
 in the xtide-data package.

Package: tcl
Description-md5: dc49b54190858f10215816ea3b0a62d5
Description-en: Tool Command Language (default version) - shell
 Tcl is a powerful, easy to use, embeddable, cross-platform interpreted
 scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tcl version (currently 8.6).

Package: tcl-combat
Description-md5: ba2b29d5d123a82d528d9c641ef8ea93
Description-en: CORBA scripting with Tcl
 Combat is a CORBA Object Request Broker that allows the
 implementation of CORBA clients and servers in the Tcl programming
 language.
 .
 On the client side, Combat is not only useful to easily test-drive
 existing CORBA servers, including the ability for rapid prototyping
 or to interactively interface with servers from a console, but makes
 Tcl an exciting language for distributed programming. Also, Tk allows
 to quickly develop attractive user interfaces accessing CORBA
 services. Server-side scripting using [incr Tcl] classes also offers
 a wide range of possibilities.
 .
 Combat is compatible with the CORBA 3.0 specification including the
 IIOP protocol, and has been tested to interoperate with a wide range
 of open-source and commercial ORBs, including MICO, TAO and
 ORBexpress.
 .
 Combat is written in pure Tcl, allowing it to run on all platforms
 supported by Tcl, which is a much wider range than supported by any
 other ORB.

Package: tcl-dev
Description-md5: 4374a84d90512fcd0ebb9e4048b934e0
Description-en: Tool Command Language (default version) - development files
 Tcl is a powerful, easy-to-use, embeddable, cross-platform interpreted
 scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tcl version (currently 8.6).

Package: tcl-doc
Description-md5: 21080369a6fe959dc4ec829a9bddb565
Description-en: Tool Command Language (default version) - manual pages
 Tcl is a powerful, easy-to-use, embeddable, cross-platform interpreted
 scripting language.  This package contains the man pages for Tcl commands.
 .
 This package is a dependency package, which depends on Debian's default
 Tcl version (currently 8.6).

Package: tcl-expect
Description-md5: b1756b2ba47afc10b021d4bcbb58a6ac
Description-en: Automates interactive applications (Tcl package)
 Expect is a tool for automating interactive applications according to a script.
 Following the script, Expect knows what can be expected from a program and what
 the correct response should be. Expect is also useful for testing these same
 applications. And by adding Tk, you can also wrap interactive applications in
 X11 GUIs. An interpreted language provides branching and high-level control
 structures to direct the dialogue. In addition, the user can take control and
 interact directly when desired, afterward returning control to the script.
 .
 This package contains the library and Tcl package Expect.

Package: tcl-expect-dev
Description-md5: 4ec60e87cbd3a656d859084bbd5d1dcc
Description-en: Automates interactive applications (development)
 Expect is a tool for automating interactive applications according to a script.
 Following the script, Expect knows what can be expected from a program and what
 the correct response should be. Expect is also useful for testing these same
 applications. And by adding Tk, you can also wrap interactive applications in
 X11 GUIs. An interpreted language provides branching and high-level control
 structures to direct the dialogue. In addition, the user can take control and
 interact directly when desired, afterward returning control to the script.
 .
 This package contains the development files.

Package: tcl-fitstcl
Description-md5: 008b0115db190247679b595728b29de9
Description-en: Tcl interface to FITS files
 fitsTcl is an extension to the Tcl language, providing simple access to
 astronomical data stored in FITS file format. fitsTcl implements a Tcl
 interface to the cfitsio library, developed by the HEASARC (High Energy
 Astrophysics Science Archive Research Center) at the NASA Goddard Space
 Flight Center.

Package: tcl-funtools
Description-md5: 3f8e16b346e8562cb8473012ea50235d
Description-en: Minimal buy-in FITS library (Tcl interface)
 Funtools, is a "minimal buy-in" FITS library and utility package developed at
 the High Energy Astrophysics Division of SAO. The Funtools library
 provides simplified access to a wide array of file types: standard
 astronomical FITS images and binary tables, raw arrays and binary event
 lists, and even tables of ASCII column data. A sophisticated region filtering
 library (compatible with ds9) filters images and tables using boolean
 operations between geometric shapes, support world coordinates, etc. Funtools
 also supports advanced capabilities such as optimized data searching using
 index files.
 .
 This package contains the Tcl interface.

Package: tcl-itcl4
Description-md5: 643c8a563963f7e6a7188ff456a6032d
Description-en: [incr Tcl] OOP extension for Tcl - run-time files
 [incr Tcl] (or itcl) is a popular object-oriented extension for the
 embeddable Tcl scripting language.  The name is a play on C++, and
 itcl has a similar object model, including multiple inheritance and
 public and private classes and variables.  Unlike most OOP extensions
 to Tcl, itcl is written in C for speed.
 .
 This package contains everything you need to run itcl scripts and
 itcl-enabled apps.

Package: tcl-itcl4-dev
Description-md5: d208ff067ab8f60dbf42137b84dc4056
Description-en: [incr Tcl] OOP extension for Tcl - development files
 [incr Tcl] (or itcl) is a popular object-oriented extension for the
 embeddable Tcl scripting language.  The name is a play on C++, and
 itcl has a similar object model, including multiple inheritance and
 public and private classes and variables.  Unlike most OOP extensions
 to Tcl, itcl is written in C for speed.
 .
 This package contains the headers and libraries needed to embed or
 add C extensions to itcl.

Package: tcl-itcl4-doc
Description-md5: bcf432475695f3b73abbfe93de0bb326
Description-en: [incr Tcl] OOP extension for Tcl - manual pages
 [incr Tcl] (or itcl) is a popular object-oriented extension for the
 embeddable Tcl scripting language.  The name is a play on C++, and
 itcl has a similar object model, including multiple inheritance and
 public and private classes and variables.  Unlike most OOP extensions
 to Tcl, itcl is written in C for speed.
 .
 This package contains the man pages for the new commands that itcl
 adds to Tcl.

Package: tcl-memchan
Description-md5: 2ad148d77270317c1c8a5c584363e867
Description-en: Tcl extension for in-memory channels - runtime library
 Allows the creation of I/O channels, which store data in memory, not
 on disk. It implements the channel types fifo, null, random and
 zero; and provides a C API for creating custom memory channels.
 .
 This package contains the runtime library to be used in Tcl programs.

Package: tcl-memchan-dev
Description-md5: 01678ede019ce2618d4bea4b872f5054
Description-en: Tcl extension for in-memory channels - development files
 Allows the creation of I/O channels, which store data in memory, not
 on disk. It implements the channel types fifo, null, random and
 zero; and provides a C API for creating custom memory channels.
 .
 This package contains files to be used for development of C-based
 extensions which use the Memchan library.

Package: tcl-signal
Description-md5: 7abcb05cafcd2b5bc7494b2efad9e34d
Description-en: "Signal" extension for Tcl
 This extension adds dynamically loadable signal handling to Tcl/Tk
 scripts. It provides a very limited subset of the functionality of tclX (just
 the signal part, and about 3/4 of the functions for signals), but as a result
 is quite small and quick to load.

Package: tcl-snack
Description-md5: 65270955b20e6f14e4095b25ee03bc2f
Description-en: Sound extension to Tcl/Tk and Python/Tkinter - Tcl/Tk library
 Snack is an extension to the Tcl/Tk scripting language
 that adds sound functionality. There are commands to play, record,
 edit, and even visualize sound. Snack supports in-memory sound
 objects, file based audio, and streaming audio. It handles
 file formats such as WAV, AU, AIFF, MP3, and OGG Vorbis.

Package: tcl-snack-dev
Description-md5: e18a793cc86a174d60bf0c7581e0767b
Description-en: Sound extension to Tcl/Tk and Python/Tkinter - development files
 This package is needed for building transcriber, and contains
 snackConfig.sh, snack.h.
 .
 Snack provides a sound functionality extension to the Tcl/Tk language.
 .
 Snack is an extension to the Tcl/Tk scripting language
 that adds sound functionality. There are commands to play, record,
 edit, and even visualize sound. Snack supports in-memory sound
 objects, file based audio, and streaming audio. It handles
 file formats such as WAV, AU, AIFF, MP3, and OGG Vorbis.
 .
 This package contains files to be used for development of C-based
 extensions which use the Snack library.

Package: tcl-snack-doc
Description-md5: 01b0dab17c2997b80baff4e2ffc51988
Description-en: Sound extension to Tcl/Tk and Python/Tkinter - documentation
 Snack provides a sound functionality extension to the Tcl/Tk language.
 .
 Snack is an extension to the Tcl/Tk scripting language
 that adds sound functionality. There are commands to play, record,
 edit, and even visualize sound. Snack supports in-memory sound
 objects, file based audio, and streaming audio. It handles
 file formats such as WAV, AU, AIFF, MP3, and OGG Vorbis.
 .
 This package contains the HTML documentation for Snack.

Package: tcl-sugar
Description-md5: 96653214da65c0083e997d6125431e06
Description-en: Lisp-like macro system for Tcl
 Sugar is a macro system for the Tcl programming language, with a design
 very similar to Lisp macros. It provides a way to create Tcl procedures
 using the [sugar::proc] command instead of the normal Tcl [proc] command,
 and a way to define macros that are expanded inline when the procedure is
 created.

Package: tcl-tclex
Description-md5: 1595342439446f3172d3be55181a741f
Description-en: Lexical analyzer generator for Tcl
 tcLex is a lexer (lexical analyzer) generator extension to Tcl. It is
 inspired by Unix and GNU lex and flex, which are "tools for
 generating programs that perform pattern-matching on text". tcLex is
 very similar to these programs, except it uses Tcl philosophy and
 syntax, whereas the others use their own syntax and are used in
 conjunction with the C language. People used to lex or flex should
 then feel familiar with tcLex. tcLex is a small extension (the
 Windows compiled version is about 20kb, and the source is about
 150kb), because it extensively uses the Tcl library. However, the
 current doesn't use Tcl's regexp code anymore but a patched version
 is now included in tcLex, which makes it slightly bigger (by a few
 KB). tcLex should work with Tcl 8.0 and later.  tcLex will NEVER work
 with earlier versions, because it uses Tcl 8.0's "object" system for
 performance. The most interesting features are:
 .
  * cross-platform support, thanks to Tcl. Though it has been
    developed on Windows and tested on Windows and Unix only, it
    should work on other platforms as long as Tcl exists on these
    platforms. Supported Tcl platforms are Windows 95/NT, Unix (Linux,
    Solaris...) and Macintosh. Other platforms are VMS, OS/2,
    NeXTStep, Amiga...
 .
  * unlike lex and flex, which only generate static lexers written in
    C and intended to be compiled, tcLex dynamically generates Tcl
    commands that can be used like other C commands or Tcl procedures
    from within Tcl scripts or C programs.
 .
  * it uses Tcl regular expressions. That means you don't have to
    learn another regexp language.
 .
  * it works with Tcl namespaces
 .
  * the generated lexer commands can be used in one pass or
    incrementally, because they maintain state information. That way,
    several instances of the same lexer (eg a HTML parser) can run at
    the same time in distinct call frames and maintain distinct states
    (local variables...). Lexer need not be specially designed in
    order to be used incrementally, the same lexer can transparently
    be used in one pass or incrementally. This feature is especially
    useful when processing text from a file or an Internet socket (Web
    pages for example), when data is not necessarily available at the
    beginning of the processing.

Package: tcl-tclreadline
Description-md5: a596fe7b3cbb5229f415e9694c2e2927
Description-en: GNU Readline Extension for Tcl/Tk
 tclreadline adds GNU Readline support to standard Tcl/Tk.  It provides
 interactive command editing and history for Tcl shells (this must be
 enabled on a user-by-user basis) and allows the use of GNU Readline
 features in Tcl programs.

Package: tcl-thread
Description-md5: 0980999f856ce1483e3a3fea8b41a9ac
Description-en: Tcl extension implementing script level access to Tcl threading capabilities
 The thread extension creates threads that contain Tcl interpreters,
 and it lets you send scripts to those threads for evaluation. It also
 enables you to share data between threads in a safe, protected
 fashion.  Additionally, it provides script-level access to basic
 thread synchronization primitives, like mutexes and condition
 variables.

Package: tcl-tls
Description-md5: 3a2c2e9ca9338a6eae0db2c161e18e8f
Description-en: TLS OpenSSL extension to Tcl
 This package enables communication over SSL-encrypted channels in Tcl.
 .
 Both client and server-side sockets are possible. Additionally, full
 filevent semantics should be intact.

Package: tcl-trf
Description-md5: 957f59702808b24ee768935e45c2f812
Description-en: Tcl data transformations - runtime library
 Trf is an extension to Tcl which provides various data
 transformations. The collection of provided transformation
 procedures includes:
  * generation of message digests (hash values, checksums): MD2,
    MD5, SHA/SHS, SHA-1, HAVAL, RIPEMD-128, -160, CRC (polynomial
    used by PGP), Adler (based upon zlib);
  * conversion to and from various data encodings: uuencoding,
    base64-encoding, or ASCII85-encoding, and binary, octal, or
    hexadecimal representation;
  * an error-correcting Reed-Solomon encoder/decoder;
  * compression/decompression based on zlib and libbz2.
 .
 This package contains the runtime library to be used in Tcl programs.

Package: tcl-trf-dev
Description-md5: 86d60557d48a83487e485c6918abdd8e
Description-en: Tcl data transformations - development files
 Trf is an extension to Tcl which provides various data
 transformations. The collection of provided transformation
 procedures includes:
  * generation of message digests (hash values, checksums): MD2,
    MD5, SHA/SHS, SHA-1, HAVAL, RIPEMD-128, -160, CRC (polynomial
    used by PGP), Adler (based upon zlib);
  * conversion to and from various data encodings: uuencoding,
    base64-encoding, or ASCII85-encoding, and binary, octal, or
    hexadecimal representation;
  * an error-correcting Reed-Solomon encoder/decoder;
  * compression/decompression based on zlib and libbz2.
 .
 This package contains files to be used for development of C-based
 extensions which use the Trf library.

Package: tcl-trf-doc
Description-md5: f126a0f70a7b33e5fef25bdeed9f3f9d
Description-en: Tcl data transformations - documentation
 Trf is an extension to Tcl which provides various data
 transformations. The collection of provided transformation
 procedures includes:
  * generation of message digests (hash values, checksums): MD2,
    MD5, SHA/SHS, SHA-1, HAVAL, RIPEMD-128, -160, CRC (polynomial
    used by PGP), Adler (based upon zlib);
  * conversion to and from various data encodings: uuencoding,
    base64-encoding, or ASCII85-encoding, and binary, octal, or
    hexadecimal representation;
  * an error-correcting Reed-Solomon encoder/decoder;
  * compression/decompression based on zlib and libbz2.
 .
 This package contains manual pages and HTML documentation for Trf commands
 and C-API.

Package: tcl-udp
Description-md5: fb9649d16537922a204d2eb3961e0e1b
Description-en: UDP sockets for Tcl
 TclUDP provides a new channel type for UDP and permits the use of
 packet oriented UDP over stream oriented Tcl channels.

Package: tcl-vfs
Description-md5: 9f16e65234411a454145079200c5b6fd
Description-en: Exposes Tcl's virtual filesystem C API to the Tcl script level
 The tcl-vfs package provides an extension to the Tcl language which
 allows Virtual Filesystems to be built using Tcl scripts only. It
 gives also a collection of such Tcl-implemented filesystems
 (metakit, zip, ftp, tar, http, webdav, namespace, url)

Package: tcl-vtk7
Description-md5: feaf3edc7863a9dfd20119d5cd6ff3db
Description-en: Tcl bindings for VTK
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides the shared libraries and executable that enable
 one to use VTK from Tcl/Tk scripts.

Package: tcl-xmlrpc
Description-md5: fa35d3c154a3d413e1b32781a96e983a
Description-en: XML-RPC implementation for Tcl
 Tcl implementation of XML-RPC providing both client and server support. The
 package implements the XML-RPC specification from xmlrpc.com.
 .
 Xmlrpc is a spec and a set of implementations that allow software
 running on disparate operating systems, running in different
 environments to make procedure calls over the Internet.
 .
 It's remote procedure calling using HTTP as the transport and XML as
 the encoding. XML-RPC is designed to be as simple as possible, while
 allowing complex data structures to be transmitted, processed and
 returned.

Package: tcl-xpa
Description-md5: 468ae6e3594b6e658d93a169c985304e
Description-en: Seamless communication between Unix programs (Tcl interface)
 The XPA messaging system provides seamless communication between many kinds
 of Unix programs, including X programs and Tcl/Tk programs. It also provides
 an easy way for users to communicate with these XPA-enabled programs by
 executing XPA client commands in the shell or by utilizing such commands in
 scripts. Because XPA works both at the programming level and the shell level,
 it is a powerful tool for unifying any analysis environment: users and
 programmers have great flexibility in choosing the best level or levels at
 which to access XPA services, and client access can be extended or modified
 easily at any time.
 .
 This package contains the Tcl interface.

Package: tcl-yajltcl
Description-md5: e85f3b3d5ba0de9ce7b77e3997a5aa3c
Description-en: Tcl binding to libyajl, a JSON parser and generator
 yajl-tcl, a Tcl C extension written to bring the capabilities
 of the Yet Another JSON Library (YAJL), a C library for parsing
 and generating JSON, available to Tcl coders. JSON stands for
 Javascript Object Notation, a popular data exchange format for
 Javascript.
 .
 Although there is already a package in the tcllib library,
 that can generate JSON, the big advantage in using yajl-tcl
 is performance. Also, yajl-tcl can parse JSON as well as
 generating it.

Package: tcl8.6-tdbc
Description-md5: 9f31610747d92d51034e34d1dab41031
Description-en: Tcl Database Connectivity
 It's an interface standard for SQL databases and connectivity that aims to
 make it easy to write portable and secure Tcl scripts that access SQL
 databases. This package provides the base class and documentation for tdbc.
 You need also to install the needed DBMS specific drivers

Package: tcl8.6-tdbc-mysql
Description-md5: 383a38d86cec60ea42bfc3583dc9b383
Description-en: Tcl Database Connectivity
 Tdbc is an interface standard for SQL databases and connectivity that aims to
 make it easy to write portable and secure Tcl scripts that access SQL
 databases. This package provides the driver for the Mysql DBMS.

Package: tcl8.6-tdbc-odbc
Description-md5: b7f330db4f1f726d7798976c4faec267
Description-en: ODBC driver for the Tcl Database Connectivity
 Tdbc is an interface standard for SQL databases and
 connectivity that aims to make it easy to write portable
 and secure Tcl scripts that access SQL databases.
 This package provides the driver for the ODBC,
 the Open DataBase Connectivity.

Package: tcl8.6-tdbc-postgres
Description-md5: 84082af8121d2ad8ab1a15b2488cca36
Description-en: Tcl Database Connectivity
 Tdbc is an interface standard for SQL databases and connectivity that aims to
 make it easy to write portable and secure Tcl scripts that access SQL
 databases. This package provides the driver for the PostgreSQL DBMS.

Package: tcl8.6-tdbc-sqlite3
Description-md5: d7185cd16116c61e2c5119b638ba8b9b
Description-en: Tcl Database Connectivity
 Tdbc is an interface standard for SQL databases and connectivity that aims to
 make it easy to write portable and secure Tcl scripts that access SQL
 databases. This package provides the driver for the sqlite DBMS.

Package: tclcl
Description-md5: 4b1d3fd5a93cd819450e346247c00241
Description-en: tcl2c++ and otcldoc program from tclcl
 TclCL (Tcl with classes) is a Tcl/C++ interface used by Mash, vic,
 vat, rtp_play and nsnam. It provides a layer of C++ glue over OTcl.
 .
 This package contains bin file.

Package: tclcl-dbg
Description-md5: b55fa710b111d61b02353927d9126b9b
Description-en: debug symbols of TclCL: both tclcl and libtclcl1
 TclCL (Tcl with classes) is a Tcl/C++ interface used by Mash, vic,
 vat, rtp_play, and nsnam. It provides a layer of C++ glue over OTcl.
 .
 This package contains debug symbols for both tclcl and libtclcl1.

Package: tclcl-dev
Description-md5: bb0200f4813f2983e88a4607d2d7e595
Description-en: transitional dummy package to libtclcl-dev
 This is a transitional dummy package.
 If nothing depends on it, this package can be safely removed.

Package: tclcurl
Description-md5: 14561541206fa213a6c0490f9da8a0c8
Description-en: Tcl bindings to libcurl
 This module enables the use of libcurl in Tcl scripts. Please refer to
 the libcurl documentation available in the libcurl4-gnutls-dev package.
 .
 NOTE: the SSL support is provided by GnuTLS.

Package: tclgeoip
Description-md5: 8432f2cacc04c290c2757dae8a8472e7
Description-en: Tcl extension implementing GeoIP lookup functions
 A Tcl extension for geographic or organisational lookup of IP addresses
 and hostnames, by implementing bindings to the GeoIP C API.
 You can use this extension to query Maxmind GeoIP databases.

Package: tcliis
Description-md5: 72f840b3561a7c4582494657fc361413
Description-en: Tcl IIS protocol package
 IRAF uses the IIS protocol to communicate with a valid image display
 server, such as DS9. This package implements this protocol, mainly
 for the use in saods9.

Package: tcllib
Description-md5: 5ef77b8f7816b359cc4246ead191252d
Description-en: Standard Tcl Library
 Tcllib, the standard Tcl library, is a collection of common utility
 functions and modules all written in high-level Tcl.
 .
 Modules included:
   * aes: advanced encryption standard
   * amazon-s3: Amazon S3 web service interface
   * asn: an ASN.1 encoder and decoder
   * base32: a base32 encoder and decoder
   * base64: a base64 encoder and decoder
   * bee: bittorrent serialization encoder and decoder
   * bench: benchmark tool
   * bibtex: parser for bibliographies in BibTeX format
   * blowfish: blowfish encryption
   * cache: asynchronous in-memory cache
   * clay: clay framework
   * clock: date/time utilities
   * cmdline: a command line argument processor similar to opt
   * comm: remote communications facility
   * control: procedures for control flow structures
   * coroutine: coroutine utilities
   * counter: provides a counter facility and can compute statistics
     and histograms over the collected data.
   * crc: checksum-calculation routines (crc32, cksum, sum)
   * cron: automating the period callback of commands
   * csv: functions to handle CSV (comma-separated values) data
   * debug: debug messages infrastructure
   * defer: deferred execution
   * des: DES and 3DES encryption
   * dicttool: dictionary tools
   * dns: DNS and resolver library-related functions
   * docstrip: tools for literate programming, often used with (La)TeX
   * doctools: documentation tools
   * dtplite: lightweight doctools markup processor
   * fileutil: Tcl implementations of some standard Unix utilities
   * ftp: Tcl interface to the FTP protocol
   * ftpd: implementation of functions needed for an FTP server
   * fumagic: file utilities
   * generator: Tcl generator commands
   * gpx: GPS eXchange Format (GPX)
   * grammar_aycock: Aycock-Horspool-Earley parser generator for Tcl
   * grammar_fa: finite automaton operations and usage
   * grammar_me: grammar operations and usage
   * grammar_peg: grammar operations and usage
   * hook: hooks
   * html: generate and control HTML tags
   * htmlparse: parse HTML strings
   * http: HTTP protocol helper modules
   * httpd: HTTP server
   * httpwget: tool to download files over HTTP
   * ident: client interface to the ident protocol
   * imap4: IMAP client
   * inifile: parsing of Windows INI files
   * interp: interpreter utilities
   * irc: low-level IRC protocol interface
   * javascript: Tcl shortcuts to create common javascript functions
   * jpeg: functions to query and modify JPEG images
   * json: JSON parser
   * lambda: utility commands for anonymous procedures
   * lazyset: lazy evaluation for variables and arrays
   * ldap: LDAP client and extended object interface
   * log: functions to log messages with various facilities and levels
   * map: mapping utilities
   * mapproj: map projection routines
   * markdown: Markdown processor
   * math: Tcl math library
   * md4: md4 hashing functions
   * md5: md5 hashing functions
   * md5crypt: md5 based password hashing
   * mime: a MIME encoder and decoder
   * multiplexer: message multiplexing
   * namespacex: namespace utility commands
   * ncgi: a new CGI processing module
   * nettool: tools for networked applications
   * nmea: NMEA protocol implementation
   * nns: name service facility
   * nntp: Tcl functions for the NNTP protocol
   * ntp: functions for an NTP client
   * oauth: oauth API base signature
   * oodialect: build TclOO based DSLs
   * oometa: data registry service for TclOO classes
   * ooutil: utility commands for TclOO
   * otp: RFC 2289 a one-time password system
   * page: parser generator
   * pki: RSA public key encryption
   * pluginmgr: plugin management
   * png: functions to query and modify PNG images
   * pop3: a POP3 protocol implementation
   * pop3d: a POP3 server implementation
   * practcl: tool for integrating large modules for C API Tcl code
     that requires custom Tcl types and TclOO objects
   * processman: manage child processes
   * profiler: a function level Tcl source code profiler
   * pt: parser tools
   * rc4: implementation of the RC4 stream cipher
   * rcs: RCS low level utilities
   * report: provides objects which can be used to generate and format
     reports
   * rest: a framework for RESTful web services
   * ripemd: RIPEMD message-digest algorithm
   * sasl: Simple Authentication and Security Layer implementation
   * sha1: sha1 hashing functions
   * simulation: Tcl simulation tools
   * smtpd: implementation of functions needed for an SMTP server
   * snit: snit's not incr Tcl, OO system
   * soundex: comparison of words based on their phonetic likeness
   * stooop: simple Tcl-only object oriented programming scheme -
     provides C++/Java-like OOP interfaces
   * string: tokenize strings
   * stringprep: preparation of internationalized strings
   * struct: Tcl implementations of common data structures (tree, graph,
     etc)
   * tar: functions to manipulate tar files
   * tepam: Tcl's enhanced procedure and argument manager
   * term: terminal control
   * textutil: string manipulation library
   * tie: framework for creating persistent Tcl arrays
   * tiff: TIFF image manipulation
   * tool: dictionary tools
   * tool::datatype: dictionary tools
   * tool-ui: abstractions to allow Tao to express Native Tk, HTML5, and
     Tao-Layout interfaces
   * transfer: data transfer facilities
   * treeql: tree query language
   * try: trap and process errors and exceptions
   * udpcluster: UDP peer-to-peer cluster
   * uev: user events
   * units: convert and manipulate quantities with units
   * uri: functions to generate and manipulate commonly-used URIs
   * uuid: generator of universally unique identifiers (UUID)
   * valtype: validation types
   * virtchannel: reflected/virtual channel support
   * websocket: implementation of the websocket protocol
   * wip: word interpreter
   * yaml: YAML format encoder/decoder
   * zip: working with zip archives

Package: tcllib-critcl
Description-md5: 6e4d706e1ec8317256d535d19f355cc7
Description-en: Standard Tcl Library (CriTcl accelerators)
 Tcllib, the standard Tcl library, is a collection of common utility
 functions and modules all written in high-level Tcl.
 .
 This package includes several Tcllib CPU intensive procedures rewritten
 in C and built with CriTcl.

Package: tclodbc
Description-md5: a2906c8064c4d7a8a9f52c62567720e6
Description-en: ODBC extension for Tcl
 This package is the ODBC extension for Tcl, originally written by Roy Nurmi,
 Tom Poindexter and Rob Saboye. Provides access to Databases through ODBC
 connections.
 .
 Browse the documentation under /usr/share/doc/tclodbc/reference.htm to learn
 more on how to use the extension.

Package: tclspice
Description-md5: 44465ee282b82d2c967bbfbf769fd319
Description-en: NGSpice library for Tcl
 This package provides the NGSpice simulation engine for your Tcl-scripts by the
 library libspice.
 All spice directives are available as Tcl functions and it features some new
 commands that are useful for the integration into the Tcl environment. It
 includes Xspice.
 GUI is handled by Tk using BLT vectors.

Package: tclws
Description-md5: 77224da89d3d4cf0e168e64bb1ecaddc
Description-en: Tcl Web Services
 The package provides a Tcl implementation of
 both client side access to Web Services and
 server side creation of Web Services.
 Currently only document/literal and rpc/encoded with
 HTTP Soap transport are supported on the client side.
 The server side code currently works with several web
 servers
 .
    - TclHttpd
    - Apache with Rivet (Debian package libapache2-mod-rivet)
    - AOLserver (Debian package aolserver4)
    - WUB
    - wibble
    - Microsoft Internet Information Server
 .
 The server side code can also be embedded in other
 application (see Tcl Web services provide all services
 as document/literal over HTTP Soap transport. The package
 ships also HTML documentation and coding examples

Package: tclx8.4
Description-md5: 00d672f2ebe31b9df6607f07d7069a6d
Description-en: Extended Tcl (TclX) - shared library
 Extended Tcl (TclX), is a set of extensions to Tcl, the Tool Command
 Language invented by Dr. John Ousterhout.  Tcl is a powerful, yet simple
 embeddable programming language.  Extended Tcl is oriented towards system
 programming tasks and large application development.
 .
 TclX provides additional interfaces to the operating system, and adds many
 new programming constructs, text manipulation tools, and debugging tools.
 .
 TclX 8.4 differs from its predecessors in that it is based more on the
 idea of TclX as an extension to Tcl, and not an alternate environment.
 There is no TkX and no stand-alone shells are built. The tkx*8.4 packages
 therefore don't exist anymore.
 .
 This package includes the shared library and Tcl library files.

Package: tclx8.4-dev
Description-md5: da0ee61feb31cfd849a6db702373330c
Description-en: Extended Tcl (TclX) - development package
 Extended Tcl (TclX), is a set of extensions to Tcl, the Tool Command
 Language invented by Dr. John Ousterhout.  Tcl is a powerful, yet simple
 embeddable programming language.  Extended Tcl is oriented towards system
 programming tasks and large application development.
 .
 TclX provides additional interfaces to the operating system, and adds many
 new programming constructs, text manipulation tools, and debugging tools.
 .
 This package includes development libraries and include files.

Package: tclx8.4-doc
Description-md5: 6b677270eac69cb242ce730ffb3f8106
Description-en: Extended Tcl (TclX) - manpages
 Extended Tcl (TclX), is a set of extensions to Tcl, the Tool Command
 Language invented by Dr. John Ousterhout.  Tcl is a powerful, yet simple
 embeddable programming language.  Extended Tcl is oriented towards system
 programming tasks and large application development.
 .
 TclX provides additional interfaces to the operating system, and adds many
 new programming constructs, text manipulation tools, and debugging tools.
 .
 This package includes the TclX man pages.

Package: tclxapian
Description-md5: c954556db2e6c10dfe824ad17b9e8099
Description-en: Xapian search engine interface for Tcl
 This package provides Xapian Tcl bindings which should work with any version
 of Tcl >= 8.1 (by using Tcl's stubs mechanism.)
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
 .
 The Xapian Tcl bindings provide an interface to the Xapian library from the
 Tcl programming language, allowing both indexing and retrieval operations.

Package: tclxml
Description-md5: 7cdd986381c72f0b45f45dd32bcf897d
Description-en: Tcl library for XML parsing
 TclXML provides event-based parsing of XML documents.  The
 application may register callback scripts for certain document
 features, and when the parser encounters those features while parsing
 the document the callback is evaluated.

Package: tclxml-dev
Description-md5: f15454803b44e96a842414283802316f
Description-en: Tcl library for XML parsing - development files
 TclXML provides event-based parsing of XML documents.  The
 application may register callback scripts for certain document
 features, and when the parser encounters those features while parsing
 the document the callback is evaluated.
 .
 This package contains files needed for C development with tclxml.

Package: tcm
Description-md5: 59bf8576b7e9d8b10965572a18515f82
Description-en: Toolkit for Conceptual Modeling (TCM)
 The Toolkit for Conceptual Modeling is a collection of software tools
 to present conceptual models of software systems in the form of
 diagrams, tables, trees, and the like. A conceptual model of a system
 is a structure used to represent the requirements or architecture of
 the system. TCM is meant to be used for specifying and maintaining
 requirements for desired systems, in which a number of techniques and
 heuristics for problem analysis, function refinement, behavior
 specification, and architecture specification are used.  TCM takes
 the form of a suite of graphical editors that can be used in these
 design tasks. These editors can be categorized
 into:
 .
  * Generic editors for generic diagrams, generic tables and generic
    trees.
  * Structured Analysis (SA) editors for entity-relationship diagrams,
    data and event flow diagrams, state transition diagrams, function
    refinement trees, transaction-use tables and function-entity type
    tables.
  * Unified Modeling Language (UML) editors for static structure
    diagrams, use-case diagrams, activity diagrams, state charts, message
    sequence diagrams, collaboration diagrams, component diagrams and
    deployment diagrams (only the first three UML and last two editors
    are functional at this moment).
  * Miscellaneous editors such as for JSD (process structure and
    network diagrams), recursive process graphs and transaction decomposition
    tables.
 .
 TCM supports constraint checking for single documents (e.g. name
 duplication and cycles in is-a relationships). TCM distinguishes
 built-in constraints (of which a violation cannot even be attempted)
 from immediate constraints (of which an attempted violation is
 immediately prevented) and soft constraints (against which the editor
 provides a warning when it checks the drawing). TCM is planned to
 support hierarchic graphs, so that it can handle for example
 hierarchic statecharts. Features to be added later
 include constraint checking across documents and executable models.

Package: tcm-doc
Description-md5: ffab993622b7840c019cbf8cb373d0f4
Description-en: Documentation for Toolkit for Conceptual Modeling (TCM)
 The Toolkit for Conceptual Modeling is a collection of software tools
 to present conceptual models of software systems in the form of
 diagrams, tables, trees, and the like. A conceptual model of a system
 is a structure used to represent the requirements or architecture of
 the system. TCM is meant to be used for specifying and maintaining
 requirements for desired systems, in which a number of techniques and
 heuristics for problem analysis, function refinement, behavior
 specification, and architecture specification are used.  TCM takes
 the form of a suite of graphical editors that can be used in these
 design tasks. These editors can be categorized
 into:
 .
  * Generic editors for generic diagrams, generic tables and generic
    trees.
  * Structured Analysis (SA) editors for entity-relationship diagrams,
    data and event flow diagrams, state transition diagrams, function
    refinement trees, transaction-use tables and function-entity type
    tables.
  * Unified Modeling Language (UML) editors for static structure
    diagrams, use-case diagrams, activity diagrams, state charts, message
    sequence diagrams, collaboration diagrams, component diagrams and
    deployment diagrams (only the first three UML and last two editors
    are functional at this moment).
  * Miscellaneous editors such as for JSD (process structure and
    network diagrams), recursive process graphs and transaction decomposition
    tables.
 .
 TCM supports constraint checking for single documents (e.g. name
 duplication and cycles in is-a relationships). TCM distinguishes
 built-in constraints (of which a violation cannot even be attempted)
 from immediate constraints (of which an attempted violation is
 immediately prevented) and soft constraints (against which the editor
 provides a warning when it checks the drawing). TCM is planned to
 support hierarchic graphs, so that it can handle for example
 hierarchic statecharts. Features to be added later
 include constraint checking across documents and executable models.
 .
 This package contains all the documentation that comes in the original
 tarball.

Package: tcmu-runner
Description-md5: 145e597bb8213f857ae5d54f860956e6
Description-en: Daemon that handles the userspace side of the LIO TCM-User backstore
 LIO is the SCSI target in the Linux kernel. It is entirely kernel
 code, and allows exported SCSI logical units (LUNs) to be backed by
 regular files or block devices. But, if one want to get fancier with
 the capabilities of the device one is emulating, the kernel is not
 necessarily the right place. While there are userspace libraries for
 compression, encryption, and clustered storage solutions like Ceph or
 Gluster, these are not accessible from the kernel.
 .
 The TCMU userspace-passthrough backstore allows a userspace process
 to handle requests to a LUN. But since the kernel-user interface that
 TCMU provides must be fast and flexible, it is complex enough that
 one would like to avoid each userspace handler having to write boilerplate
 code.
 .
 tcmu-runner handles the messy details of the TCMU interface -- UIO,
 netlink, pthreads, and DBus -- and exports a more friendly C plugin
 module API. Modules using this API are called "TCMU
 handlers". Handler authors can write code just to handle the SCSI
 commands as desired, and can also link with whatever userspace
 libraries they like.
 .
 This is the daemon package

Package: tcode
Description-md5: a752bc1acc36f1d64456ca52391cac6d
Description-en: create a Java file from an associated LaTex file
 Tcode is a precondition for libssj-java (SSJ) that creates a Java file from an
 associated LaTeX file. All SSJ code is written in LaTex format with special
 commands that allows Tcode to extract the Java code from the LaTex file. After
 which, Javadoc and LaTeX2HTML will create the HTML documentation.
 This guarantees that the source code and its documentation will never diverge.

Package: tcpcryptd
Description-md5: 7c99e6df590259239904818dc904c635
Description-en: Opportunistically encrypt network transport
 Tcpcrypt offers opportunistic security for TCP network traffic.  All
 traffic between two tcpcrypt-enabled peers will be encrypted to
 protect against passive monitoring.  tcpcrypt also exposes a strong
 session identifier to tcpcrypt-aware clients and servers, which can
 be used as a hook for authentication.
 .
 This package contains the network daemon, which will accept and wrap
 traffic redirected to it.

Package: tcpd
Description-md5: d52fad3ffb22dc8056c1ddced83af179
Description-en: Wietse Venema's TCP wrapper utilities
 Wietse Venema's network logger, also known as TCPD or LOG_TCP.
 .
 These programs log the client host name of incoming telnet,
 ftp, rsh, rlogin, finger etc. requests.
 .
 Security options are:
  - access control per host, domain and/or service;
  - detection of host name spoofing or host address spoofing;
  - booby traps to implement an early-warning system.

Package: tcpflow
Description-md5: 8b5d860e2da32a9ce8f2c9689abca505
Description-en: TCP flow recorder
 tcpflow is a program that captures data transmitted as part of TCP
 connections (flows), and stores the data in a way that is convenient
 for protocol analysis or debugging. A program like 'tcpdump' shows a
 summary of packets seen on the wire, but usually doesn't store the
 data that's actually being transmitted. In contrast, tcpflow
 reconstructs the actual data streams and stores each flow in a
 separate file for later analysis.
 .
 tcpflow understands sequence numbers and will correctly reconstruct
 data streams regardless of retransmissions or out-of-order delivery.
 However, it currently does not understand IP fragments; flows
 containing IP fragments will not be recorded properly.
 .
 tcpflow is based on the LBL Packet Capture Library and therefore
 supports the same rich filtering expressions that programs like
 'tcpdump' support. tcpflow can also rebuild flows from data captured
 with 'tcpdump -w'.

Package: tcpflow-nox
Description-md5: 76da8a6b3535d01842b7329ec8415640
Description-en: TCP flow recorder - version without X11 dependencies
 tcpflow is a program that captures data transmitted as part of TCP
 connections (flows), and stores the data in a way that is convenient
 for protocol analysis or debugging. A program like 'tcpdump' shows a
 summary of packets seen on the wire, but usually doesn't store the
 data that's actually being transmitted. In contrast, tcpflow
 reconstructs the actual data streams and stores each flow in a
 separate file for later analysis.
 .
 tcpflow understands sequence numbers and will correctly reconstruct
 data streams regardless of retransmissions or out-of-order delivery.
 However, it currently does not understand IP fragments; flows
 containing IP fragments will not be recorded properly.
 .
 tcpflow is based on the LBL Packet Capture Library and therefore
 supports the same rich filtering expressions that programs like
 'tcpdump' support. tcpflow can also rebuild flows from data captured
 with 'tcpdump -w'.
 .
 This package has no dependency on libcairo or any x11 libraries, and cannot
 generate graphical reports.

Package: tcpick
Description-md5: 6f94b49296593c10212a6c887288c03c
Description-en: TCP stream sniffer and connection tracker
 This libpcap-based textmode sniffer can:
  * track, reassemble and reorder TCP streams
  * save the captured flows in different files or display them in the terminal
  * display all the stream on the terminal with different display modes like
    hexdump, hexdump + ascii, only printable characters, raw mode, colorized
    mode ...
  * handle several network interface types, including ethernet cards and PPP
    interfaces

Package: tcplay
Description-md5: 2025ca2fa2f58f2ec31f07666d96e515
Description-en: Free and simple TrueCrypt Implementation based on dm-crypt
 tcplay is a free (BSD-licensed), pretty much fully featured (including
 multiple keyfiles, cipher cascades, etc) and stable TrueCrypt implementation.
 .
 This implementation supports mapping (opening) both system and normal
 TrueCrypt volumes, as well as opening hidden volumes and opening an outer
 volume while protecting a hidden volume. There is also support to create
 volumes, including hidden volumes, etc.
 .
 Since tcplay uses dm-crypt it makes full use of any available hardware
 encryption/decryption support once the volume has been mapped.

Package: tcpreen
Description-md5: b933ae630333451990283b51483736ef
Description-en: Simple TCP re-engineering tool
 TCPreen is a simple tool to monitor and analyze data transmitted
 between clients and servers through connection-oriented streams
 data such as a TCP sessions; it supports TCP over either IPv4 or IPv6.

Package: tcpreplay
Description-md5: 5873922df77735ca30c2fd3d61d5271a
Description-en: Tool to replay saved tcpdump files at arbitrary speeds
 Tcpreplay is aimed at testing the performance of a NIDS by
 replaying real background network traffic in which to hide
 attacks. Tcpreplay allows you to control the speed at which the
 traffic is replayed, and can replay arbitrary tcpdump traces. Unlike
 programmatically-generated artificial traffic which doesn't
 exercise the application/protocol inspection that a NIDS performs,
 and doesn't reproduce the real-world anomalies that appear on
 production networks (asymmetric routes, traffic bursts/lulls,
 fragmentation, retransmissions, etc.), tcpreplay allows for exact
 replication of real traffic seen on real networks. It included the following
 executables tcpprep, tcprewrite, tcpreplay-edit, tcpbridge and pcap
 based captures are possible.

Package: tcpslice
Description-md5: 1b64d462ce6032fa71ef2a2c4fb53eb8
Description-en: extract pieces of and/or glue together tcpdump files
 Tcpslice is a program for extracting portions of packet-trace files
 generated using tcpdump(l)'s -w flag.
 It can also be used to glue together several such files.

Package: tcpspy
Description-md5: e0170b6b2e5d58da58aaac1baa409caf
Description-en: Incoming and Outgoing TCP/IP connections logger
 tcpspy is an administrator's tool that logs information
 about incoming and outgoing TCP/IP connections. It's
 written in C and uses no libpcap functions, unlike tcpdump.
 .
 Connections are selected for logging with rules, similarly
 to the filter expressions accepted by tcpdump. The
 following information is logged: username, local address
 and port, remote address and port, and, optionally, the
 executable filename.
 .
 This Debian version supports IPv4 and IPv6.

Package: tcpstat
Description-md5: 620422097caa736137f226f263e57616
Description-en: network interface statistics reporting tool
 tcpstat reports certain network interface statistics much like vmstat
 does for system statistics. tcpstat gets its information by either
 monitoring a specific interface, or by reading previously saved tcpdump
 data from a file.

Package: tcptrace
Description-md5: cce6f4c204e7bc23e3efd719c52b1eba
Description-en: Tool for analyzing tcpdump output
 Tcptrace is a tool for analyzing and reporting on tcpdump (or other
 libpcap) dump files.  It can summarize the data or generate graph
 data for use with the gnuplot tool from the gnuplot package.
 Graph data can be created for throughput, RTT, time sequences,
 segment size, and cwin.

Package: tcptraceroute
Description-md5: 52688cf3dab46988f23b14303f40fc5a
Description-en: traceroute implementation using TCP packets
 The more traditional traceroute(8) sends out either UDP or ICMP ECHO packets
 with a TTL of one, and increments the TTL until the destination has been
 reached. By printing the gateways that generate ICMP time exceeded messages
 along the way, it is able to determine the path packets are taking to reach the
 destination.
 .
 The problem is that with the widespread use of firewalls on the modern
 Internet, many of the packets that traceroute(8) sends out end up being
 filtered, making it impossible to completely trace the path to the destination.
 However, in many cases, these firewalls will permit inbound TCP packets to
 specific ports that hosts sitting behind the firewall are listening for
 connections on. By sending out TCP SYN packets instead of UDP or ICMP ECHO
 packets, tcptraceroute is able to bypass the most common firewall filters.

Package: tcptrack
Description-md5: 2ce8c9b3a768f33c08d06685c8d0ebf9
Description-en: TCP connection tracker, with states and speeds
 tcptrack is a sniffer which displays information about TCP connections
 it sees on a network interface. It passively watches for connections
 on the network interface, keeps track of their state and displays a
 list of connections in a manner similar to the unix 'top' command. It
 displays  source and destination addresses and ports, connection
 state, idle time, and bandwidth usage.

Package: tcputils
Description-md5: d31e27c0f12ffd39100da8edc49453a8
Description-en: Utilities for TCP programming in shell-scripts
 This is a collection of programs to facilitate TCP programming
 in shell-scripts.  There is also a small library which makes it
 somewhat easier to create TCP/IP sockets.
 .
 The programs included in this release are:
 .
     mini-inetd  - small TCP/IP connection dispatcher
     tcpbug      - TCP/IP connection bugging device
     tcpconnect  - general TCP/IP client
     tcplisten   - general TCP/IP server
     getpeername - get name of connected TCP/IP peer

Package: tcpxtract
Description-md5: d3deec7fa7e42cc1d78e48207cf30cca
Description-en: extract files from network traffic based on file signatures
 tcpxtract is a fast console tool to extract files from network traffic
 based on file headers and footers and its patterns (so called carving).
 .
 Currently, 26 file formats are supported out of the box by tcpxtract but
 new formats can be added without problems. Foremost configurations are
 simple to convert to tcpxtract configuration files.
 .
 tcpxtract uses libpcap. So, it can read network dumps generated by tcpdump
 or wireshark or similar programs. tcpxtract is useful in network auditing
 and for forensics investigations.

Package: tcs
Description-md5: bc514e121473e21e939e5372915620f5
Description-en: character set translator
 tcs translates character sets from one encoding to another.
 .
 Supported encodings include utf (ISO utf-8), ascii, ISO 8859-[123456789],
 koi8, jis-kanji, ujis, ms-kanji, jis, gb, big5, unicode, tis, msdos, and
 atari.

Package: tcsh
Description-md5: ab05cfdb9c9a5998d7051911e78a7881
Description-en: TENEX C Shell, an enhanced version of Berkeley csh
 The TENEX C Shell is an enhanced version of the Berkeley Unix C shell.
 It includes all features of 4.4BSD C shell, plus a command-line editor,
 programmable word completion, spelling correction and more.

Package: tcvt
Description-md5: 0288ccee09696014bee7a7ca6d3cdb42
Description-en: multicolumn virtual terminal
 Your screen is getting wider. Keeping track of long lines gets harder. Space
 on the right hand side of the screen is completely blank due to short lines.
 Are you struggling with these? Then tcvt is for you.
 .
 The two column virtual terminal, short tcvt, can be used to vertically split a
 single terminal in two (or more) columns. This is similar to a two column
 layout in printing, just for regular terminals.
 .
 Note that this is not about placing two terminals next to each other. This
 task is already solved by tiling window managers, screen, tmux and splitvt.
 What tcvt does is create a single very tall terminal with two columns.
 .
 Please note that the current version does not support UTF-8 yet.  Experimental
 patches are available in the utf8 branch of the upstream repository.

Package: td2planet
Description-md5: 085493f1e25b166d88562c4ef5ebde38
Description-en: Ruby-based server-side blog aggregator
 This package is a server-side blog aggregator (commonly called a
 'planet') which is well-suited for aggregating tDiary-based blogs.
 See /usr/share/doc/td2planet/README.

Package: tdc
Description-md5: 7c60abba6f81c744f2417bad512823b3
Description-en: Tiny Dockable Clock
 Tiny Dockable Clock (tdc) is a simple and tiny dockable clock. It is a very
 simple tool; especially helpful for those that are using OpenBox. It
 can use various colors, sizes, formats, etc. It can accept any POSIX
 date format.
 .
 Window managers that are reported to work well with tdc:
  - FVWM
  - OpenBox
  - Enlightenment
  - ion3

Package: tdfsb
Description-md5: 4e075d21679e9265fed42164f6de5ab4
Description-en: 3D filesystem browser
 TDFSB reads directory information and displays it as a 3D
 world. Changing into another directory is possible by simply walking
 into the assigned sphere. It also reads images and text files,
 and displays their contents.

Package: tdiary
Description-md5: cb4f071c1b5922d8025845aa99bb1d28
Description-en: Metapackages of tDiary
 This package is metapackage of tDiary, depends following packages:
   - tdiary-core
   - tdiary-theme

Package: tdiary-contrib
Description-md5: 135c84d7179ffede2d6b99da56d567c8
Description-en: Plugins of tDiary to add functionalities
 This package includes optional utilities and plugins for tDiary. They are
 valuable but not so useful for general users. Some can not be completely
 internationalized.

Package: tdiary-core
Description-md5: 91cf5ee23304d2766dabda3d0eb6d2a2
Description-en: Communication-friendly weblog system
 tDiary is a weblog system, which makes your weblog communication-friendly for
 the writer (you) and readers. It consists of Ruby scripts for CGI (Common
 Gateway Interface). The followings are the main features:
 .
  * Comments: readers can post comments to each entry like message boards.
  * Links: Each entry can show referers from which readers come so that you
    can see others write about the entry.
  * Trackback: tDiary can send and receive trackbacks.
  * Theme: You can easily change the design called `theme' of your weblog.
    Many themes are included in `tdiary-theme' package.
  * Plugin: You can add many functionalities called `plugins' to tDiary. Many
    plugins are included in `tdiary-plugin' package.
  * Pages for Handhelds: tDiary is able to serve especially small and
    terse documents for PDAs and mobile phones.
  * Writing Style: HTML is not required to write entries. Plain text is
    converted to HTML by tDiary. The converting grammars are called `styles'.
    Many styles are available.

Package: tdiary-mode
Description-md5: a88d9e4bc29badc4babd558a55d83541
Description-en: tDiary editing mode for Emacsen
 This package provides Emacs major mode to edit and post entries to tDiary.
 You can edit/post your article to tDiary easily using this package.

Package: tdiary-style-gfm
Description-md5: 5c8bbf2f1babebe9e9f2a2a1db125605
Description-en: GFM Style for tDiary
 GFM Style for tDiary (>= 2.x) format.
 .
 The tDiary is a weblog system, which makes your weblog
 communication-friendly for the writer (you) and readers. It consists of
 Ruby scripts for CGI (Common Gateway Interface).
 .
 This package provides GFM(Github Flavored Markdown) style.

Package: tdiary-style-rd
Description-md5: df76853d462e23581e7958f00f2717b7
Description-en: RD Style for tDiary
 RD style for tDiary (>= 2.x) format. based on Wiki style.
 .
 The tDiary is a weblog system, which makes your weblog
 communication-friendly for the writer (you) and readers. It consists of
 Ruby scripts for CGI (Common Gateway Interface).
 .
 This package provides RD Style.

Package: tdiary-theme
Description-md5: d655e784be7067c6e45dde0073d41868
Description-en: Themes of tDiary to change the design
 tDiary is a weblog system, which makes your weblog communication-friendly for
 the writer (you) and readers. It consists of Ruby scripts for CGI (Common
 Gateway Interface).
 .
 This package provides a lot of cascading style sheets and images which change
 the design of tDiary.
 .
 You can choose a theme at the configuration page.

Package: tdom
Description-md5: c0ed982fde8486f04206b911a5c0965d
Description-en: fast XML/DOM/XPath/XSLT/HTML/JSON extension for Tcl written in C
 tDOM takes advantage of Expat, the XML parser from James Clark,
 including namespace and DTD support. It includes a modified version
 of Tclexpat, the Tcl interface to Expat, with event-based XML push (SAX-like)
 and pull (StAX-like) parsing. tDOM comes with a (partial) DOM-I and DOM-II
 implementation in C for maximum performance and minimum memory need. A very
 complete, compliant, and fast XPath implementation is provided. A fast XSLT
 implementation in C completes the basic feature set. tDOM interfaces with the
 gumbo HTML5 parser and provides a robust JSON parser/ serializer for
 JSON-to-JSON roundtripping. Documentation is provided in TMML, HTML and
 nroff formats.

Package: tdom-dev
Description-md5: 1b5358f6051a1c6a6e2af9ed2756e296
Description-en: header files and development libraries for tDOM
 tDOM is a fast XML/DOM/XPath/XSLT/HTML/JSON extension for Tcl written in C.
 tDOM takes advantage of Expat, the XML parser from James Clark,
 including namespace and DTD support. It includes a modified version
 of Tclexpat, the Tcl interface to Expat, with event-based XML push (SAX-like)
 and pull (StAX-like) parsing, and comes with a (partial) DOM-I and DOM-II
 implementation in C for maximum performance and minimum memory need. A very
 complete, compliant, and fast XPath implementation is provided. A fast XSLT
 implementation in C completes the basic feature set. tDOM interfaces with the
 gumbo HTML5 parser and provides a robust JSON parser/ serializer for
 JSON-to-JSON roundtripping. Documentation is provided in TMML, HTML and
 nroff formats.

Package: te923con
Description-md5: d0ebd0319010dcf0485ac069aeb440c5
Description-en: reading data from weather stations based on Hideki ones
 te923con supports most weather stations based on Hideki weather station
 like IROX Pro X, Mebus TE923 or TFA Nexus. Some other hardware is
 supported but not all devices are tested yet.

Package: tea
Description-md5: 6dbfdb880370f67d8aaad5f7661ce06b
Description-en: graphical text editor with syntax highlighting
 TEA provides you with hundreds of functions. Want some tea?
 .
 TEA features:
  * Qt-based GUI with a tabbed layout engine;
  * hotkey customization;
  * spell checking (using aspell or hunspell);
  * support for multiple encodings;
  * syntax highlighting;
  * support for code snippets and templates;
  * string-handling functions such as sorting, reversing, de-formatting,
    trimming, filtering, conversions etc.;
  * editing support for Wikipedia, DocBook, LaTeX, and Lout;
  * reading support for text-based word processor formats (ABW, DOCX, FB2,
    KWD, ODT, RTF, SLA, SWX);
  * reading support for PDF and DjVu files, if they contain text;
  * bookmarks;
  * miscellaneous XML/XHTML/HTML tools;
  * "open at cursor" function from HTML href or img tags;
  * preview in external browsers;
  * drag'n'drop support (with text files and pictures);
  * built-in image viewer (BMP, GIF, JPEG, PNG, SVG, WBMP).

Package: tea-data
Description-md5: 337969719d2bf71933cad7fca9a7d106
Description-en: graphical text editor with syntax highlighting - data files
 TEA provides you with hundreds of functions. Want some tea?
 .
 This package contains static data needed for the package tea.

Package: teckit
Description-md5: 55a44592e9b5cb1d9da674a6d1fb7b6f
Description-en: Custom legacy encoding conversion tools for plain text files
 TECkit is a toolkit for encoding conversions. It offers a simple format for
 describing the mapping between legacy 8-bit encodings and Unicode, and a
 set of utilities based on such descriptions for converting text between 8-bit
 and Unicode encodings.
 .
 It also includes a compiler for a mapping description language that allows for
 bidirectional conversion description (i.e. the same description is used for
 conversion to and from Unicode).

Package: tecnoballz
Description-md5: 2126d4bca796891e555cb5db77c2493e
Description-en: breaking block game ported from the Amiga platform
 This is a Breakout or Arkanoid like game with a lot of bonus
 stages. You can buy weapons and bonus between stages.
 Sometimes you have to defeat a guardian.
 This game is written in C++ and uses the SDL library.

Package: tecnoballz-data
Description-md5: f686f03faa49cff17656eb7c91f86a42
Description-en: graphic, sound and music files for the game tecnoballz
 This is a Breakout or Arkanoid like game with a lot of bonus
 stages. You can buy weapons and bonus between stages.
 Sometimes you have to defeat a guardian.
 .
 This package provides all graphics, sound, music, and text data files.

Package: teem-apps
Description-md5: ae4c38f44a6f42ef2d3649feb654b0c9
Description-en: Tools to process and visualize scientific data and images - command line tools
 Teem is a coordinated group of libraries for representing, processing, and
 visualizing scientific raster data. Teem includes command-line tools that
 permit the library functions to be quickly applied to files and streams,
 without having to write any code. The most important and useful libraries in
 Teem are:
 .
  * Nrrd (and the unu command-line tool on top of it) supports a range of
    operations for transforming N-dimensional raster data (resample, crop,
    slice, project, histogram, etc.), as  well as the NRRD file format for
    storing arrays and their meta-information.
  * Gage: fast convolution-based measurements at arbitrary point locations in
    volume datasets (scalar, vector, tensor, etc.)
  * Mite: a multi-threaded ray-casting volume render with transfer functions
    based on any quantity Gage can measure
  * Ten: for estimating, processing, and visualizing diffusion tensor fields,
    including fiber tractography methods.
 .
 This package contains some simple command-line tools which provide fast and
 easy access to the functionality in the various libraries.

Package: teensy-loader-cli
Description-md5: 8aa378e767cc220bd1232c16f6b9445b
Description-en: load and run programs onto your Teensy micro controller
 See https://www.pjrc.com/teensy/ for an introduction to the Teensy family of
 USB-based microcontroller development systems.
 .
 The teensy loader cli is a command-line alternative to the graphical teensy
 loader which is included with Teensyduino. The cli version is preferred by
 advanced users who want to automate programming, typically from within a
 Makefile or similar.

Package: teeworlds
Description-md5: 1e5a92517730f23487a124ece8ecb15f
Description-en: online multi-player platform 2D shooter
 This package contains the client binary for Teeworlds.
 .
 The game features cartoon-themed graphics and physics, and relies heavily
 on classic shooter weaponry and gameplay.
 The controls are heavily inspired by the FPS genre of computer games.

Package: teeworlds-data
Description-md5: 0d2cc0c35d734fe0014a661e37d89834
Description-en: data for Teeworlds; an online multi-player platform 2D shooter
 This package contains the static data for Teeworlds.
 .
 The game features cartoon-themed graphics and physics, and relies heavily
 on classic shooter weaponry and gameplay.
 The controls are heavily inspired by the FPS genre of computer games.

Package: teeworlds-server
Description-md5: e3aa25d4e155c0562f68f696e6d40c46
Description-en: server for Teeworlds; an online multi-player platform 2D shooter
 This package contains the server binary for Teeworlds.
 .
 The game features cartoon-themed graphics and physics, and relies heavily
 on classic shooter weaponry and gameplay.
 The controls are heavily inspired by the FPS genre of computer games.

Package: teg
Description-md5: 5ee191c86c2cd8e014efaf4642967d5f
Description-en: Turn based strategy game
 Tenes Empanadas Graciela is a clone of Plan Tactico y Estrategico
 de la Guerra, based on Risk.
 .
 Teg is a multiplayer game (it can be played across the internet)
 and it comes with a server, a GNOME client and a robot.
 It has support for IPv6, too.

Package: tegaki-zinnia-simplified-chinese
Description-md5: 88bf511770de34c9cb507cc1bd505673
Description-en: Simplified Chinese handwriting model for Zinnia
 Zinnia is a simple, customizable and portable online hand recognition
 system based on Support Vector Machines. Zinnia simply receives user pen
 strokes as a sequence of coordinate data and outputs n-best characters
 sorted by SVM confidence. To keep portability, Zinnia doesn't have any
 rendering functionality. In addition to recognition, Zinnia provides
 training module that allows us to create any hand-written recognition
 systems with low-cost.
 .
 This package provide a data model for Zinnia. With this model, Zinnia can
 recognize Simplified Chinese character.
 .
 This data model is derived from tomoe project: http://tomoe.sourceforge.jp

Package: tegaki-zinnia-traditional-chinese
Description-md5: 2925ec054fe7d9ef5f85f535f6210430
Description-en: Traditional Chinese handwriting model for Zinnia
 Zinnia is a simple, customizable and portable online hand recognition
 system based on Support Vector Machines. Zinnia simply receives user pen
 strokes as a sequence of coordinate data and outputs n-best characters
 sorted by SVM confidence. To keep portability, Zinnia doesn't have any
 rendering functionality. In addition to recognition, Zinnia provides
 training module that allows us to create any hand-written recognition
 systems with low-cost.
 .
 This package provide a data model for Zinnia. With this model, Zinnia can
 recognize Traditional Chinese character.
 .
 This data model is derived from tomoe project: http://tomoe.sourceforge.jp

Package: telegnome
Description-md5: 3741eb8ec8ef9a1b3569e7676291dfe2
Description-en: graphical teletext viewer
 TeleGNOME is a program to display teletext pages over the internet. As of
 this moment, the teletext feeds from the Netherlands, Hungary, Denmark,
 Finland, Turkey, Armenia, the Czech Republic, and the United Kingdom are
 known to work.
 .
 The program is kept quite simple, but it is very useful, hopefully. Never
 load up that large slow web browser to view the teletext pages, just use
 this nifty little piece of software.

Package: telegram-cli
Description-md5: f12f85d2d55acbfd7159f16cd75eccab
Description-en: Command-line interface for Telegram messenger
 Telegram messenger is a cloud-based instant messaging designed for
 smart phones and similar to Whatsapp but more flexible, and
 powerful. You can send messages, photos, videos and documents to
 people who are in your phone contacts (and have Telegram). Telegram
 also supports secret chats whose provide a private (encrypted) way of
 communication.
 .
 This package contains a command-line based client for Telegram with
 the following features:
  * Colored terminal messages.
  * Message management: history, stats, etc.
  * Group chat: create and manage groups.
  * Secret chat: secured and encrypted conversations.
  * Contact management: add/edit/remove contacts.
  * Multimedia support: send/load photos and videos.

Package: telegram-desktop
Description-md5: d9ff5ef886b69e7034eaa080184d67f1
Description-en: fast and secure messaging application
 Telegram is a messaging app with a focus on speed and security, it is
 super-fast, simple and free. You can use Telegram on all your devices at the
 same time — your messages sync seamlessly across any number of your phones,
 tablets or computers.

Package: telegram-purple
Description-md5: 93426770db713b819cdc3ae6dc0558be
Description-en: Purple plugin to support Telegram
 Plugin for purple which lets you use and manage your Telegram account
 like any other account in purple. Some features like self-destruct
 messages are currently in development.
 .
 The plugin can be used with the usual libpurple applications (e.g. Pidgin,
 Finch, Spectrum2, bitlbee,…).

Package: telepathy-accounts-signon
Description-md5: 1983ea7402b5f7fc14d9408efd10e721
Description-en: Mission control integration with libaccounts and libsignon
 A mission control plugin for Telepathy, integrating with libaccounts
 and libsignon to provide shared management of online accounts and
 authentication.

Package: telepathy-gabble
Description-md5: be6f33acbe432be29ffa09bddecfab4f
Description-en: Jabber/XMPP connection manager
 Gabble is a Jabber/XMPP connection manager for the Telepathy framework,
 currently supporting single user chats, multi user chats and voice/video
 calls. Install this package to use Telepathy instant messaging clients
 with Jabber/XMPP servers, including Google Talk and Facebook Chat.

Package: telepathy-gabble-tests
Description-md5: dd1920c03f818c2b37501e13b81e6ad7
Description-en: Jabber/XMPP connection manager (automated tests)
 Gabble is a Jabber/XMPP connection manager for the Telepathy framework.
 .
 This package contains automated tests for Gabble

Package: telepathy-idle
Description-md5: 67fdbab1fbd4d29a5cdf58c93c2f65c5
Description-en: IRC connection manager for Telepathy
 Idle is an IRC connection manager for the Telepathy framework.
 Install this package to use IRC channels and private messages in
 Telepathy instant messaging clients such as Empathy, GNOME Shell or
 KDE Telepathy.

Package: telepathy-indicator
Description-md5: a4b32afd6541e8d9bac93a2561aa4423
Description-en: Desktop service to integrate Telepathy with the messaging menu.
 Telepathy integration with the messaging menu.

Package: telepathy-logger
Description-md5: 33ed7f7be4047686973cac37c0f0a576
Description-en: Telepathy logger service - Daemon
 This package contains the Telepathy Logger daemon.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: telepathy-mission-control-5
Description-md5: e55b455e982023023ef7a47ea2921383
Description-en: management daemon for Telepathy real-time communication framework
 Telepathy Mission Control 5 is an account manager and channel dispatcher for
 the Telepathy framework, allowing user interfaces and other clients
 to share connections to real-time communication services without conflicting.
 It implements the AccountManager and ChannelDispatcher D-Bus APIs as described
 by telepathy-spec.
 .
 The account manager part stores real time communication account details,
 connects to the stored accounts on request, and sets the accounts' presence,
 nickname and avatar according to requests from Telepathy user interfaces and
 other components.
 .
 The channel dispatcher part responds to incoming communication channels
 (message streams, voice/video calls, file transfers etc.) by dispatching
 them to suitable user interfaces, and requests outgoing communication
 channels according to requests from a Telepathy UI.
 .
 This is not a compatible replacement for Mission Control 4 (in the
 telepathy-mission-control package), but they can be installed in parallel.

Package: telepathy-rakia
Description-md5: ed4b9c78433468372e33a77c11014a6b
Description-en: SIP connection manager for the Telepathy framework
 telepathy-rakia is a SIP connection manager for the Telepathy framework
 (http://telepathy.freedesktop.org) based on the SofiaSIP-stack.

Package: telepathy-ring
Description-md5: a5dde4fda3e9cb3abaf1a2ecf335e358
Description-en: GSM and 3G UMTS Telepathy connection manager
 Telepathy-Ring a 3GPP (GSM and 3G UMTS) connection manager for
 Telepathy framework using oFono. It supports basic voice calls and
 short messages.

Package: telepathy-sofiasip
Description-md5: ade3bffb226f3081e6eb901713bc3dee
Description-en: Transitional package for telepathy-rakia
 This is a transitional package to ease upgrades to the telepathy-rakia
 package. It can safely be removed.

Package: telepathy-specification
Description-md5: 1a27f44eeaa97387ae7b2f5232a7ccdd
Description-en: Telepathy D-Bus specification
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.
 .
 The specification can be browsed with the devhelp documentation
 browser, or with a regular web browser.

Package: tellico
Description-md5: 22ffde7807119bca596c7c395f92e7a0
Description-en: Collection manager for books, videos, music, etc
 Tellico is a collection manager for KDE. It includes default collections for
 books, bibliographies, comic books, videos, music, coins, stamps, trading
 cards, and wines.
 .
 Tellico capabilities include:
 .
   * creation of user-defined custom collections with unlimited fields,
   * automatically formatted names,
   * sorting and filtering by any field or property,
   * ISBN validation,
   * full customization for printing or display through XSLT files,
   * import and export of CSV, RIS, BibTeX and BibTeXML files,
   * import data from Amazon, IMDb, CDDB or any US-MARC compliant z39.50 server.
 .
 Tellico files are stored in XML format, avoiding the need for a database
 server, and allowing other software applications to use the data.

Package: tellico-data
Description-md5: 1fb93f10ceb24ada34c38d0e8930cb27
Description-en: Collection manager for books, videos, music, etc [data]
 Tellico is a collection manager for KDE. It includes default collections for
 books, bibliographies, comic books, videos, music, coins, stamps, trading
 cards, and wines.
 .
 Tellico capabilities include:
 .
   * creation of user-defined custom collections with unlimited fields,
   * automatically formatted names,
   * sorting and filtering by any field or property,
   * ISBN validation,
   * full customization for printing or display through XSLT files,
   * import and export of CSV, RIS, BibTeX and BibTeXML files,
   * import data from Amazon, IMDb, CDDB or any US-MARC compliant z39.50 server.
 .
 Tellico files are stored in XML format, avoiding the need for a database
 server, and allowing other software applications to use the data.
 .
 This package contains the architecture independent files, such data files and
 documentation.

Package: tellico-doc
Description-md5: dea523edf18d0521376f8f918f295172
Description-en: Collection manager for books, videos, music, etc [doc]
 Tellico is a collection manager for KDE. It includes default collections for
 books, bibliographies, comic books, videos, music, coins, stamps, trading
 cards, and wines.
 .
 Tellico capabilities include:
 .
   * creation of user-defined custom collections with unlimited fields,
   * automatically formatted names,
   * sorting and filtering by any field or property,
   * ISBN validation,
   * full customization for printing or display through XSLT files,
   * import and export of CSV, RIS, BibTeX and BibTeXML files,
   * import data from Amazon, IMDb, CDDB or any US-MARC compliant z39.50 server.
 .
 Tellico files are stored in XML format, avoiding the need for a database
 server, and allowing other software applications to use the data.
 .
 This package contains the documentation.

Package: tellico-scripts
Description-md5: 1b6530a46d61a0ec6160ecbac4a29cf2
Description-en: Collection manager for books, videos, music, etc [scripts]
 Tellico is a collection manager for KDE. It includes default collections for
 books, bibliographies, comic books, videos, music, coins, stamps, trading
 cards, and wines.
 .
 Tellico capabilities include:
 .
   * creation of user-defined custom collections with unlimited fields,
   * automatically formatted names,
   * sorting and filtering by any field or property,
   * ISBN validation,
   * full customization for printing or display through XSLT files,
   * import and export of CSV, RIS, BibTeX and BibTeXML files,
   * import data from Amazon, IMDb, CDDB or any US-MARC compliant z39.50 server.
 .
 Tellico files are stored in XML format, avoiding the need for a database
 server, and allowing other software applications to use the data.
 .
 This package contains the scripts to import data from external sources, such
 as websites. As the format of the data may change, these scripts are provided
 as a separate package which can be updated through debian-volatile.

Package: telnet-ssl
Description-md5: b1a107a34bdf1d1c73e4c10892e5ba7c
Description-en: telnet client with SSL encryption support
 The telnet command is used for interactive communication with another host
 using the TELNET protocol.
 .
 SSL telnet replaces normal telnet using SSL authentication and
 encryption. It interoperates with normal telnetd in both directions.
 It checks if the other side is also talking SSL, if not it falls back
 to normal telnet protocol.
 .
 Advantages over normal telnet: Your passwords and the data you send
 will not go in cleartext over the line. Nobody can get it with
 tcpdump or similar tools. With SSLtelnet you can also connect to
 https-server like https://www.mozilla.org. Just do
 'telnet -z ssl www.mozilla.org 443'

Package: telnetd
Description-md5: a4202bf9442b809f894e67eab2f3633e
Description-en: basic telnet server
 The in.telnetd program is a server which supports the DARPA telnet interactive
 communication protocol.
 .
 This legacy server should in general be abandoned in favour of a contemporary
 ssh-server, as this implementation only is able to process password and user
 name in clear text.  Other variants, like telnetd-ssl and a selection of
 Kerberized TELNET servers, are able to improve on this by providing secure
 forms of authentication and encryption.

Package: telnetd-ssl
Description-md5: c2d121b92c844f3982a883be6df11aaa
Description-en: telnet server with SSL encryption support
 The in.telnetd program is a server which supports the DARPA telnet interactive
 communication protocol.
 .
 SSL telnetd replaces normal telnetd using SSL authentication and
 encryption. It interoperates with normal telnetd in both directions.
 It checks if the other side is also talking SSL, if not it falls back
 to normal telnet protocol.
 .
 Advantages over normal telnetd: Your passwords and the data you send
 will not go in cleartext over the line. Nobody can get it with
 tcpdump or similar tools.

Package: tempest
Description-md5: c55a0de38db2cdc80214ba00b454d24a
Description-en: OpenStack Integration Test Suite
 Tempest is a set of integration tests to be run against a live Openstack
 cluster in order to make sure that all components are working as expected.
 Tempest will start and stop virtual machine in order to check that your
 cloud is working as expected.

Package: tempest-for-eliza
Description-md5: b592c2756e63aee02643ac98f79f7b85
Description-en: demonstrate electromagnetic emissions from computer systems
 Tempest for Eliza uses a computer monitor to send out AM radio signals.
 This transmission can then be played as audio via a radio.
 .
 All electronic devices send out electromagnetic waves, monitors included,
 at frequencies high enough for a short-wave AM radio.
 .
 Tempest for Eliza displays pictures on a computer screen, one for each
 note in the song.

Package: tenace
Description-md5: 94d869a7e8fceb1b7f70b458d8fb63b3
Description-en: Bridge hand viewer and editor
 Tenace is a Bridge hand viewer and editor. Hand records are read using
 BBO's .lin format. The board editor allows one to easily move cards around to
 simulate different distributions. The double dummy solver computes optimal
 lines of play and par scores.
 .
 Bridge is an intellectually challenging card game for four players.

Package: tenmado
Description-md5: f5fd7fee27139ea25cc60874ad37d4f0
Description-en: hard-core shoot 'em up game in blue-or-red world
 tenmado is a vertically scrolling, late 1990s style (that is, a massive
 number of enemy shots against a smaller-than-it-looks spaceship) shoot 'em
 up game.  A very accurate collision detection makes it a game of
 dexterity.  If something looks like a triangle, it is a triangle, not
 a rectangle of similar size.
 .
 However, surviving is only 20% of the game.  The main feature of tenmado
 is the "color chain bonus".  You can get a very big score (about 100 times
 bigger than a normal enemy-destruction point) by destroying enemies of
 the same color successively.  It is easy or difficult depending on how
 greedy you are.

Package: tenshi
Description-md5: bca1e1d99b2be724185f9beefa4984ee
Description-en: log monitoring and reporting tool
 Tenshi is a log monitoring program, designed to watch one or more log files
 for lines matching user defined regular expressions and report on the matches.
 The regular expressions are assigned to queues which have an alert interval
 and a list of mail recipients.
 .
 Queues can be set to send a notification as soon as there is a log line
 assigned to it, or to send periodic reports.
 .
 Additionally, uninteresting fields in the log lines (such as PID numbers) can
 be masked with the standard regular expression grouping operators ( ).
 This allows cleaner and more readable reports. All reports are separated by
 hostname and all messages are condensed when possible.
 .
 The program reads a configuration file and then forks a daemon for monitoring
 the specified log files.

Package: tercpp
Description-md5: d84d9b799a8167cb7ba8868533ca359e
Description-en: Translation Error Rate scoring tool - binary
 TERCpp is a tool (implemented in C++) for scoring machine translation
 performance. It uses the Translation Error Rate (TER) metric to measure
 edit distances between translations and references.
 .
 This package contains the tercpp binary.

Package: termdebug
Description-md5: 523b7fa410d19e19557bfb28223d53f3
Description-en: Tools for recording and replaying terminal I/O
 Termdebug is a set of utilities to record and replay the input and output of
 terminal programs. Its main goal is to aid in developing and debugging
 terminal programs.

Package: terminal.app
Description-md5: 80c57e62398d629d2261c9621c4ec358
Description-en: Terminal Emulator for GNUstep
 This provides terminal emulation in a GNUstep environment. It gives
 colorized terminals with configurable fonts, and also allows users to
 configure shell utilities as GNUstep services.

Package: terminator
Description-md5: d70de009f48ea4b4f00e62329adb4f61
Description-en: multiple GNOME terminals in one window
 Terminator is a little project to produce an efficient way of
 filling a large area of screen space with terminals.
 .
 The user can have multiple terminals in one window and use
 key bindings to switch between them. See the manpage for
 details.

Package: terminatorx
Description-md5: 6effca71793975d2245f41b926117d10
Description-en: realtime audio synthesizer
 terminatorX is a realtime audio synthesizer that allows you to
 "scratch" on digitally sampled audio data (*.wav, *.au, *.mp3, etc.)
 the way hiphop-DJs scratch on vinyl records. It features multiple
 turntables, realtime effects (built-in as well as LADSPA plugin
 effects), a sequencer and an easy-to-use GTK+ GUI.

Package: termineter
Description-md5: ea995b477f44170e286b0a78ef92ca2f
Description-en: Smart meter testing framework
 This package contains a Python framework which provides a platform for the
 security testing of smart meters.  It implements the C1218 and C1219 protocols
 for communication over an optical interface.  Currently supported are Meters
 using C1219-2007 with 7-bit character sets.  This is the most common
 configuration found in North America.  Termineter communicates with Smart
 Meters via a connection using an ANSI type-2 optical probe with a serial
 interface.

Package: terminology
Description-md5: c16bed8b0ecc3704dbf761ff1adab9e4
Description-en: Enlightenment efl based terminal emulator
 It emulates a slightly extended vt100 with some extensions and bling:
  * Most escapes supported by xterm, rxvt, Xterm 256 color, etc. work
  * Background effects, Transparency, bitmap and scalable fonts supported
  * Themes for the layout and design, and a visual bell.
  * URL, file path and email address detection and link-handling
  * Inline display of link content
  * Multiple copy and paste selections and buffer support
  * Works in X11, Wayland and directly in the Linux framebuffer (fbcon)
  * Finger/touch controlled, scan scale by UI scaling factors
  * Render using OpenGL or OpenGL-ES2 or Software mode.
  * Can display inlined multimedia, multiple tabs and split into multiple panes
  * Block text selection. Drag and drop of text selections and links
  * Can stream media from URLs
  * Tab switcher has live thumbnail content
  * Single process, multiple windows/terminals support

Package: terminology-data
Description-md5: 5544768c69a1e1f16589963a83d4933f
Description-en: Enlightenment efl based terminal emulator data
 It emulates a slightly extended vt100 with some extensions and bling:
  * Most escapes supported by xterm, rxvt, Xterm 256 color, etc. work
  * Background effects, Transparency, bitmap and scalable fonts supported
  * Themes for the layout and design, and a visual bell.
  * URL, file path and email address detection and link-handling
  * Inline display of link content
  * Multiple copy and paste selections and buffer support
  * Works in X11, Wayland and directly in the Linux framebuffer (fbcon)
  * Finger/touch controlled, scan scale by UI scaling factors
  * Render using OpenGL or OpenGL-ES2 or Software mode.
  * Can display inlined multimedia, multiple tabs and split into multiple panes
  * Block text selection. Drag and drop of text selections and links
  * Can stream media from URLs
  * Tab switcher has live thumbnail content
  * Single process, multiple windows/terminals support
 .
 This package provides the shared data for all architectures.

Package: termit
Description-md5: 914c279d9918558ebdb4531e8eee4eae
Description-en: Simple terminal emulator based on vte library, embedded lua
 Support features like multiple tabs, switching encodings, sessions,
 configurable keybindings, embedded Lua language and xterm-like dynamic
 window title

Package: termonad
Description-md5: 8127d22bec7dc1a061861210f694df17
Description-en: terminal emulator configurable in Haskell
 Termonad is a terminal emulator configurable in Haskell. It is
 extremely customizable and provides hooks to modify the default
 behavior. It can be thought of as the "XMonad" of terminal
 emulators.

Package: termrec
Description-md5: d82f4b3c8570870be2cbd7ba844dd4b9
Description-en: terminal videos/scripts recorder and player
 This package contains "termrec", a program which can record a terminal
 session and "termplay" to play it back.  They can handle both line-based
 programs and full-screen things that use curses or curses-like methods.
 Unlike "ttyrec" or "asciinema", termrec can go back, use .gz/.bz2/.xz/.zst
 transparently, and read/write/convert several formats.

Package: termshark
Description-md5: 527c3db8000c7204920ee9c3746a5c8f
Description-en: Terminal UI for tshark, inspired by Wireshark
 If you're debugging on a remote machine with a large pcap and no desire
 to scp it back to your desktop, termshark can help!
 .
 Features:
  • Read pcap files or sniff live interfaces (where tshark is permitted)
  • Inspect each packet using familiar Wireshark-inspired views
  • Filter pcaps or live captures using Wireshark's display filters
  • Copy ranges of packets to the clipboard from the terminal
  • Written in Go, compiles to a single executable on each platform

Package: termtris
Description-md5: 1af2b56bcbaf0cf8a0b37929ff3f1d5e
Description-en: Tetris game for ANSI/VT220 terminals
 Termtris runs on UNIX systems and requires an ANSI or VT220-compatible
 terminal for input and output. It has been tested with xterm, rxvt,
 the Linux console, and kermit running on MS-DOS over a serial link,
 but it should work on any terminal capable of interpreting ANSI escape
 sequences for cursor addressing, character set selection, and color
 attributes.

Package: terraintool
Description-md5: 1c0bf99962601f07e47cff55d04f6097
Description-en: Generates survex format terrain models from SRTM and ASTER data
 This tool generates terrain meshes for cave survey tools from online
 terrain altitude datasets. It uses the NASA SRTM and European ASTER datasets. The
 relevant data is downloaded and excerpted, geolocated to the desired
 co-ordinate system, resampled to a mesh grid, an offset to local grid
 added if required, the result displayed, and saved in survex (.svx) format.
 It is written in java.

Package: teseq
Description-md5: 9c9c0acdfa944027f875774e345ebddb
Description-en: utility for rendering terminal typescripts human-readable
 GNU Teseq is a tool for analyzing files that contain control
 characters and terminal control sequences. It is intended to be
 useful for diagnosing terminal emulators, and programs that make
 heavy use of terminal features (such as those based on the Curses
 library).
 .
 It is primarily targeted at individuals who possess a basic
 understanding of terminal control sequences, especially CSI
 sequences; however, by default Teseq will try to identify and
 describe the sequences that it encounters, and the behavior they
 might produce in a terminal.

Package: tesseract-ocr
Description-md5: acb8df45c462787396725e59a64b0636
Description-en: Tesseract command line OCR tool
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. It supports a wide variety of
 languages. This package includes the command line tool.

Package: tesseract-ocr-afr
Description-md5: 65a196417392d745ddfcf37bc6d69f3a
Description-en: tesseract-ocr language files for Afrikaans
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Afrikaans language.

Package: tesseract-ocr-all
Description-md5: 57503ae37c55e5dec1c6354fc7bd84d9
Description-en: Tesseract OCR with all language and script packages
 This is a metapackage for Tesseract OCR and includes all supported
 languages and scripts.

Package: tesseract-ocr-amh
Description-md5: 2f92fa80a94b0e3e37edcfd6fa277288
Description-en: tesseract-ocr language files for Amharic
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Amharic language.

Package: tesseract-ocr-ara
Description-md5: 4a7c15b90ee66343240cb1be689e10f9
Description-en: tesseract-ocr language files for Arabic
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Arabic language.

Package: tesseract-ocr-asm
Description-md5: 2cd703684154a96719acd5e3fe3bf1f0
Description-en: tesseract-ocr language files for Assamese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Assamese language.

Package: tesseract-ocr-aze
Description-md5: 6fd94166995dbe51edf9f89c502c558d
Description-en: tesseract-ocr language files for Azerbaijani
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Azerbaijani language.

Package: tesseract-ocr-aze-cyrl
Description-md5: 8dccac79fd9e02adeef6b008263b0193
Description-en: tesseract-ocr language files for Azerbaijani (Cyrillic)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Azerbaijani (Cyrillic) language.

Package: tesseract-ocr-bel
Description-md5: 470918651a27cfb4967511481fe23a47
Description-en: tesseract-ocr language files for Belarusian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Belarusian language.

Package: tesseract-ocr-ben
Description-md5: 13cc51726a62d564e9f84c2d9ea87a96
Description-en: tesseract-ocr language files for Bengali
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Bengali language.

Package: tesseract-ocr-bod
Description-md5: 0e392499ee71b72881aec5967ef258e2
Description-en: tesseract-ocr language files for Tibetan Standard
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Tibetan Standard language.

Package: tesseract-ocr-bos
Description-md5: d46ece16b4681203e693cab13a594184
Description-en: tesseract-ocr language files for Bosnian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Bosnian language.

Package: tesseract-ocr-bre
Description-md5: 0da7163b7d370dc8d9eb72a6e453f741
Description-en: tesseract-ocr language files for Breton
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Breton language.

Package: tesseract-ocr-bul
Description-md5: a867b2676673bac8416f4ac28fe465ec
Description-en: tesseract-ocr language files for Bulgarian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Bulgarian language.

Package: tesseract-ocr-cat
Description-md5: 2c08cdf07b514778594ce38cbefb70fc
Description-en: tesseract-ocr language files for Catalan
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Catalan language.

Package: tesseract-ocr-ceb
Description-md5: 68da855933c5f8b4108add64c8e63e59
Description-en: tesseract-ocr language files for Cebuano
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Cebuano language.

Package: tesseract-ocr-ces
Description-md5: a17568ded0a76db89dc1d71b860fad09
Description-en: tesseract-ocr language files for Czech
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Czech language.

Package: tesseract-ocr-chi-sim
Description-md5: 1ad346bfb8b49799272b2b33d18e954a
Description-en: tesseract-ocr language files for Chinese - Simplified
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Chinese - Simplified language.

Package: tesseract-ocr-chi-sim-vert
Description-md5: 319e252e12e2c1d85b6f0efd3ca8b859
Description-en: tesseract-ocr language files for Chinese - Simplified (vertical)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Chinese - Simplified (vertical) language.

Package: tesseract-ocr-chi-tra
Description-md5: 0acffc3c03aa19b8012f5b2ff7113d0c
Description-en: tesseract-ocr language files for Chinese - Traditional
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Chinese - Traditional language.

Package: tesseract-ocr-chi-tra-vert
Description-md5: 4c60f79a7fd23a00dfabc75edbeeb332
Description-en: tesseract-ocr language files for Chinese - Traditional (vertical)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Chinese - Traditional (vertical) language.

Package: tesseract-ocr-chr
Description-md5: 330ed348aa7219169f0ccacd0f6ed39f
Description-en: tesseract-ocr language files for Cherokee
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Cherokee language.

Package: tesseract-ocr-cos
Description-md5: 5e907be7dd976130b43d9b22c2881c00
Description-en: tesseract-ocr language files for Corsican
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Corsican language.

Package: tesseract-ocr-cym
Description-md5: b867950e03dd567717c1cc309a10f5b3
Description-en: tesseract-ocr language files for Welsh
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Welsh language.

Package: tesseract-ocr-dan
Description-md5: be23b74effc24d2d5398a9cf9cb4f258
Description-en: tesseract-ocr language files for Danish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Danish language.

Package: tesseract-ocr-deu
Description-md5: 10d16963a22356e1c5f286e574d75451
Description-en: tesseract-ocr language files for German
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in German language.

Package: tesseract-ocr-div
Description-md5: 9f84a6f505d04717f689c2020c938749
Description-en: tesseract-ocr language files for Divehi
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Divehi language.

Package: tesseract-ocr-dzo
Description-md5: c986d02d889c03d55a6c481f76f061d5
Description-en: tesseract-ocr language files for Dzongkha
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Dzongkha language.

Package: tesseract-ocr-ell
Description-md5: 5f430ffffc50012d749bc0daf49986d2
Description-en: tesseract-ocr language files for Greek
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Greek language.

Package: tesseract-ocr-eng
Description-md5: 2224618a933593dbae3ececd38b93246
Description-en: tesseract-ocr language files for English
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in English language.

Package: tesseract-ocr-enm
Description-md5: b86aa03a01969760fbb85534b79648aa
Description-en: tesseract-ocr language files for English, Middle (1100-1500)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in English, Middle (1100-1500) language.

Package: tesseract-ocr-epo
Description-md5: 2c7ef066ba13d26b3283d2faaa63e0d9
Description-en: tesseract-ocr language files for Esperanto
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Esperanto language.

Package: tesseract-ocr-est
Description-md5: 6669f7f85dd656b258758da7e3532211
Description-en: tesseract-ocr language files for Estonian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Estonian language.

Package: tesseract-ocr-eus
Description-md5: 8607fc7a00c14ce52c21736bcb2fd7b9
Description-en: tesseract-ocr language files for Basque
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Basque language.

Package: tesseract-ocr-fao
Description-md5: 0e7304c66a5844c9942097a953757ab4
Description-en: tesseract-ocr language files for Faroese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Faroese language.

Package: tesseract-ocr-fas
Description-md5: ebeb278cfa48b09e829a185d857f3633
Description-en: tesseract-ocr language files for Persian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Persian language.

Package: tesseract-ocr-fil
Description-md5: 2df2d682bc0b6c9e16375fbf4338daba
Description-en: tesseract-ocr language files for Filipino
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Filipino language.

Package: tesseract-ocr-fin
Description-md5: 935ba7f5df18ed329886178d08cc3880
Description-en: tesseract-ocr language files for Finnish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Finnish language.

Package: tesseract-ocr-fra
Description-md5: 3a4ef833a6f9ac0140761c473b9682c9
Description-en: tesseract-ocr language files for French
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in French language.

Package: tesseract-ocr-frk
Description-md5: c8df0aceb7f1a3148b947908021e1d53
Description-en: tesseract-ocr language files for German (Fraktur)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in German (Fraktur) language.

Package: tesseract-ocr-frm
Description-md5: b14068fffa60b78a6c2f0aec8c43ad04
Description-en: tesseract-ocr language files for French, Middle (ca.1400-1600)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in French, Middle (ca.1400-1600) language.

Package: tesseract-ocr-fry
Description-md5: 3c8d740a726a161089a3055322cffa01
Description-en: tesseract-ocr language files for Frisian (Western)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Frisian (Western) language.

Package: tesseract-ocr-gla
Description-md5: cbaaaf7f9f1f76001aa29527139bb594
Description-en: tesseract-ocr language files for Gaelic (Scots)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Gaelic (Scots) language.

Package: tesseract-ocr-gle
Description-md5: 01a2abaa48d2acfbc4ffda20575b10a9
Description-en: tesseract-ocr language files for Irish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Irish language.

Package: tesseract-ocr-glg
Description-md5: 8d07fcb8f33482b449fff564d2f391a8
Description-en: tesseract-ocr language files for Galician
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Galician language.

Package: tesseract-ocr-grc
Description-md5: ae6abad30fa1a2243f9d1d07d7415e24
Description-en: tesseract-ocr language files for Greek, Ancient (to 1453)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Greek, Ancient (to 1453) language.

Package: tesseract-ocr-guj
Description-md5: a5312a0f94df534432e5565ef48f360d
Description-en: tesseract-ocr language files for Gujarati
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Gujarati language.

Package: tesseract-ocr-hat
Description-md5: 17917c975837f9c0cbe9c149b5707898
Description-en: tesseract-ocr language files for Haitian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Haitian language.

Package: tesseract-ocr-heb
Description-md5: 5e72be833e867e67e23247fd667684e1
Description-en: tesseract-ocr language files for Hebrew
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Hebrew language.

Package: tesseract-ocr-hin
Description-md5: 3f226fdce091a6bac8c30a0985c4d139
Description-en: tesseract-ocr language files for Hindi
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Hindi language.

Package: tesseract-ocr-hrv
Description-md5: 911fc2466bbe41b6d69ad8f66e768b0c
Description-en: tesseract-ocr language files for Croatian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Croatian language.

Package: tesseract-ocr-hun
Description-md5: 1ff552ba1373aa1a084b2ebc50856298
Description-en: tesseract-ocr language files for Hungarian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Hungarian language.

Package: tesseract-ocr-hye
Description-md5: cbc10ce0be75e87c96f29f233bce5f3e
Description-en: tesseract-ocr language files for Armenian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Armenian language.

Package: tesseract-ocr-iku
Description-md5: b0ef340e897bd8f70b6553ff254b4452
Description-en: tesseract-ocr language files for Inuktitut
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Inuktitut language.

Package: tesseract-ocr-ind
Description-md5: da2a2b55ed77801a0a693ce52ce32a38
Description-en: tesseract-ocr language files for Indonesian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Indonesian language.

Package: tesseract-ocr-isl
Description-md5: 21c1cda27320ba76f78d558e9054837c
Description-en: tesseract-ocr language files for Icelandic
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Icelandic language.

Package: tesseract-ocr-ita
Description-md5: f64d0180f248920a692dbca09c55bc1e
Description-en: tesseract-ocr language files for Italian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Italian language.

Package: tesseract-ocr-ita-old
Description-md5: f94a6da4390e75f715831e48fcf1e08e
Description-en: tesseract-ocr language files for Italian - Old
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Italian - Old language.

Package: tesseract-ocr-jav
Description-md5: 829e931db1332b816b6d5c8b1632e529
Description-en: tesseract-ocr language files for Javanese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Javanese language.

Package: tesseract-ocr-jpn
Description-md5: f51e465df800bfdd952ba39707069071
Description-en: tesseract-ocr language files for Japanese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Japanese language.

Package: tesseract-ocr-jpn-vert
Description-md5: 58a1756f77d01b15aefaeeba5d36565d
Description-en: tesseract-ocr language files for Japanese (vertical)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Japanese (vertical) language.

Package: tesseract-ocr-kan
Description-md5: 9ff86ebbb087bf820f0bb5f18823063d
Description-en: tesseract-ocr language files for Kannada
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Kannada language.

Package: tesseract-ocr-kat
Description-md5: 2d0c2b68e7b3fa419eb6327ce1645a83
Description-en: tesseract-ocr language files for Georgian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Georgian language.

Package: tesseract-ocr-kat-old
Description-md5: d00b90616e8cbe095ee2522ac2d6568c
Description-en: tesseract-ocr language files for Old Georgian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Old Georgian language.

Package: tesseract-ocr-kaz
Description-md5: 962b18eeaba23e5e38b1f72d0d607f31
Description-en: tesseract-ocr language files for Kazakh
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Kazakh language.

Package: tesseract-ocr-khm
Description-md5: 150707ce5bd01c466b84a0de61479b6a
Description-en: tesseract-ocr language files for Khmer
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Khmer language.

Package: tesseract-ocr-kir
Description-md5: 27c578bacc4486fefdbd261eece8d3a3
Description-en: tesseract-ocr language files for Kyrgyz
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Kyrgyz language.

Package: tesseract-ocr-kmr
Description-md5: bd26d7a4d1d98e1559d066a49f398e70
Description-en: tesseract-ocr language files for Kurmanji (Latin)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Kurmanji (Latin) language.

Package: tesseract-ocr-kor
Description-md5: 0c2911bab71687d47ca85e1cc9b7d493
Description-en: tesseract-ocr language files for Korean
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Korean language.

Package: tesseract-ocr-kor-vert
Description-md5: 257b5776f366957264f5c2a3a45f6e5d
Description-en: tesseract-ocr language files for Korean (vertical)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Korean (vertical) language.

Package: tesseract-ocr-lao
Description-md5: 6e64ee2e2f9ee5b0f159d0eed4df6bc9
Description-en: tesseract-ocr language files for Lao
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Lao language.

Package: tesseract-ocr-lat
Description-md5: 14e8026749f0294683f4065608224c48
Description-en: tesseract-ocr language files for Latin
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Latin language.

Package: tesseract-ocr-lav
Description-md5: ae60bd16383d8d47a246710acd63888f
Description-en: tesseract-ocr language files for Latvian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Latvian language.

Package: tesseract-ocr-lit
Description-md5: 0bd2f714545bb36829a771cd66d543d7
Description-en: tesseract-ocr language files for Lithuanian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Lithuanian language.

Package: tesseract-ocr-ltz
Description-md5: 8525a7be6d4bc55e1b6b2026c4fb1bd2
Description-en: tesseract-ocr language files for Luxembourgish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Luxembourgish language.

Package: tesseract-ocr-mal
Description-md5: 921820e265b3e3cb0e27ac5ca7237a58
Description-en: tesseract-ocr language files for Malayalam
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Malayalam language.

Package: tesseract-ocr-mar
Description-md5: fa50b20a8fa427991f9854810d608a9c
Description-en: tesseract-ocr language files for Marathi
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Marathi language.

Package: tesseract-ocr-mkd
Description-md5: f49dbdf606bbaaf88d0e83be0d8b8ab1
Description-en: tesseract-ocr language files for Macedonian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Macedonian language.

Package: tesseract-ocr-mlt
Description-md5: e3671b9b568fc1c8f3725f81650b2dac
Description-en: tesseract-ocr language files for Maltese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Maltese language.

Package: tesseract-ocr-mon
Description-md5: dbd3881c2e77fd454d82148070003903
Description-en: tesseract-ocr language files for Mongolian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Mongolian language.

Package: tesseract-ocr-mri
Description-md5: 2478cacd38722d559ed21ab6d342089b
Description-en: tesseract-ocr language files for Maori
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Maori language.

Package: tesseract-ocr-msa
Description-md5: e148980f34191675915969823557d47c
Description-en: tesseract-ocr language files for Malay
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Malay language.

Package: tesseract-ocr-mya
Description-md5: 16b77ed9c284663816ed4ea1fbec5f5d
Description-en: tesseract-ocr language files for Burmese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Burmese language.

Package: tesseract-ocr-nep
Description-md5: ca3f122e6f3a6bc2406aa3f68e06b6d7
Description-en: tesseract-ocr language files for Nepali
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Nepali language.

Package: tesseract-ocr-nld
Description-md5: d53084abeb55c8d0caeba35590ff48ae
Description-en: tesseract-ocr language files for Dutch
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Dutch language.

Package: tesseract-ocr-nor
Description-md5: 8d18d2bce9ac956dd56f6340dd934bf9
Description-en: tesseract-ocr language files for Norwegian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Norwegian language.

Package: tesseract-ocr-oci
Description-md5: 60622151b7d556ac436d60c93fd48b92
Description-en: tesseract-ocr language files for Occitan (post 1500)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Occitan (post 1500) language.

Package: tesseract-ocr-ori
Description-md5: fe73ce9198dc867e5e09e317289cbf10
Description-en: tesseract-ocr language files for Oriya
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Oriya language.

Package: tesseract-ocr-osd
Description-md5: ae310a6c63f478455ea0bde8f5935888
Description-en: tesseract-ocr language files for script and orientation
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for identifying script and orientation.

Package: tesseract-ocr-pan
Description-md5: 0552c78118a344ce7b148de3ce33fb85
Description-en: tesseract-ocr language files for Punjabi
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Punjabi language.

Package: tesseract-ocr-pol
Description-md5: 5a07b81b05cd28b7b76ff1e63997c3c3
Description-en: tesseract-ocr language files for Polish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Polish language.

Package: tesseract-ocr-por
Description-md5: fe5aa7e00b8bd720e834390a3f900bd7
Description-en: tesseract-ocr language files for Portuguese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Portuguese language.

Package: tesseract-ocr-pus
Description-md5: b51ff6400874a0af5c785babf67e3745
Description-en: tesseract-ocr language files for Pashto
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Pashto language.

Package: tesseract-ocr-que
Description-md5: b79677b93a78fec8b9a814707afd2898
Description-en: tesseract-ocr language files for Quechua
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Quechua language.

Package: tesseract-ocr-ron
Description-md5: bcb76f1cf3e8d1da81e550a9afefaf47
Description-en: tesseract-ocr language files for Romanian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Romanian language.

Package: tesseract-ocr-rus
Description-md5: 6ead262a04addf56ab165b9d58dcb1a5
Description-en: tesseract-ocr language files for Russian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Russian language.

Package: tesseract-ocr-san
Description-md5: 87c544622daadf9bee1008d6133031bf
Description-en: tesseract-ocr language files for Sanskrit
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Sanskrit language.

Package: tesseract-ocr-script-arab
Description-md5: 8c701f56f0bb3c84b39a979c9ce0eca7
Description-en: tesseract-ocr data for Arabic script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Arabic script.

Package: tesseract-ocr-script-armn
Description-md5: 0b315470a1214cfb227b37d0af908cd2
Description-en: tesseract-ocr data for Armenian script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Armenian script.

Package: tesseract-ocr-script-beng
Description-md5: 216b56f4625dd8504ebe2e5ee66b0df0
Description-en: tesseract-ocr data for Bengali script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Bengali script.

Package: tesseract-ocr-script-cans
Description-md5: e7572093f1b98f5118ae6ef4feebf0ae
Description-en: tesseract-ocr data for Canadian Aboriginal script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Canadian Aboriginal script.

Package: tesseract-ocr-script-cher
Description-md5: 40ac839dc5b679b9f4477ad19172fbd3
Description-en: tesseract-ocr data for Cherokee script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Cherokee script.

Package: tesseract-ocr-script-cyrl
Description-md5: 76bca20d914e7ac2bffc4c922a6c3dd6
Description-en: tesseract-ocr data for Cyrillic script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Cyrillic script.

Package: tesseract-ocr-script-deva
Description-md5: d994d4d2e44235622f811b353ed5d245
Description-en: tesseract-ocr data for Devanagari script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Devanagari script.

Package: tesseract-ocr-script-ethi
Description-md5: e246b30c0f0e7600c493769cf85c6503
Description-en: tesseract-ocr data for Ethiopic script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Ethiopic script.

Package: tesseract-ocr-script-frak
Description-md5: 7180d0c61933a0f64dcdbc5a7abdb9d3
Description-en: tesseract-ocr data for Fraktur script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Fraktur script.

Package: tesseract-ocr-script-geor
Description-md5: b077006e4d7ca16fa0a389c38c1c09ae
Description-en: tesseract-ocr data for Georgian script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Georgian script.

Package: tesseract-ocr-script-grek
Description-md5: bab17810ef079279bb041ded207edb7f
Description-en: tesseract-ocr data for Greek script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Greek script.

Package: tesseract-ocr-script-gujr
Description-md5: aabf1bb41749eac6efe6912e5244cb4d
Description-en: tesseract-ocr data for Gujarati script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Gujarati script.

Package: tesseract-ocr-script-guru
Description-md5: bb89897f767e4f765e80fea0e1580b0d
Description-en: tesseract-ocr data for Gurmukhi script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Gurmukhi script.

Package: tesseract-ocr-script-hang
Description-md5: 2773d37341a7067e127696dc4a2d1d30
Description-en: tesseract-ocr data for Hangul script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Hangul script.

Package: tesseract-ocr-script-hang-vert
Description-md5: 325860cb640dd45851f4bdfb010562d2
Description-en: tesseract-ocr data for Hangul (vertical) script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Hangul (vertical) script.

Package: tesseract-ocr-script-hans
Description-md5: 873f551046696f73ebae5d896c912570
Description-en: tesseract-ocr data for Han - Simplified script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Han - Simplified script.

Package: tesseract-ocr-script-hans-vert
Description-md5: 52a3b73cb3d4cf60f593a6ed6bf3370a
Description-en: tesseract-ocr data for Han - Simplified (vertical) script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Han - Simplified (vertical) script.

Package: tesseract-ocr-script-hant
Description-md5: 8e3a4721c5dd169bee9954eb517c711e
Description-en: tesseract-ocr data for Han - Traditional script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Han - Traditional script.

Package: tesseract-ocr-script-hant-vert
Description-md5: 9fd5f2ef4a1424afefb0afd2ef384032
Description-en: tesseract-ocr data for Han - Traditional (vertical) script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Han - Traditional (vertical) script.

Package: tesseract-ocr-script-hebr
Description-md5: 782ad7c7a360df74a8ee949ca88caab6
Description-en: tesseract-ocr data for Hebrew script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Hebrew script.

Package: tesseract-ocr-script-jpan
Description-md5: 31bcbc9818bfadf664c4d8dbcb8428ab
Description-en: tesseract-ocr data for Japanese script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Japanese script.

Package: tesseract-ocr-script-jpan-vert
Description-md5: 7583656103bbab2046abd141bb29b849
Description-en: tesseract-ocr data for Japanese (vertical) script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Japanese (vertical) script.

Package: tesseract-ocr-script-khmr
Description-md5: 5435b13f8e3611a222d731eb22048077
Description-en: tesseract-ocr data for Khmer script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Khmer script.

Package: tesseract-ocr-script-knda
Description-md5: ab7fed0fccc93318754d0ca653cb2503
Description-en: tesseract-ocr data for Kannada script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Kannada script.

Package: tesseract-ocr-script-laoo
Description-md5: 2433ec772a0ff64ac956440870bf31fd
Description-en: tesseract-ocr data for Lao script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Lao script.

Package: tesseract-ocr-script-latn
Description-md5: 12760e09cdd9daa5de73e9501cd7b10b
Description-en: tesseract-ocr data for Latin script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Latin script.

Package: tesseract-ocr-script-mlym
Description-md5: 4a5f67878a480d3408a6a67bbcbb1dba
Description-en: tesseract-ocr data for Malayalam script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Malayalam script.

Package: tesseract-ocr-script-mymr
Description-md5: 69195af202905d6c3b386e8b23a8b21e
Description-en: tesseract-ocr data for Myanmar script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Myanmar script.

Package: tesseract-ocr-script-orya
Description-md5: 087f7f89e77b8093be98a2444c0e4dc5
Description-en: tesseract-ocr data for Oriya (Odia) script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Oriya (Odia) script.

Package: tesseract-ocr-script-sinh
Description-md5: 97ea005658303a9c36783c7234237858
Description-en: tesseract-ocr data for Sinhala script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Sinhala script.

Package: tesseract-ocr-script-syrc
Description-md5: 4b02f81f85a8c96eb928fe7d2d7ab5ab
Description-en: tesseract-ocr data for Syriac script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Syriac script.

Package: tesseract-ocr-script-taml
Description-md5: c14655178f4173a3cff14074181f406d
Description-en: tesseract-ocr data for Tamil script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Tamil script.

Package: tesseract-ocr-script-telu
Description-md5: b321c41eb353361ab9059087739596c4
Description-en: tesseract-ocr data for Telugu script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Telugu script.

Package: tesseract-ocr-script-thaa
Description-md5: 93b26dc020f618f84be934c908c889f8
Description-en: tesseract-ocr data for Thaana script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Thaana script.

Package: tesseract-ocr-script-thai
Description-md5: b20583e05f48b0f714f7f415098e5c70
Description-en: tesseract-ocr data for Thai script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Thai script.

Package: tesseract-ocr-script-tibt
Description-md5: baf76e2550e6e5e14d79a244e53bcb35
Description-en: tesseract-ocr data for Tibetan script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Tibetan script.

Package: tesseract-ocr-script-viet
Description-md5: bb393c0bb9257d6cc3023f2750918e48
Description-en: tesseract-ocr data for Vietnamese script
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Vietnamese script.

Package: tesseract-ocr-sin
Description-md5: e1a5ada35d566048e34822150589853e
Description-en: tesseract-ocr language files for Sinhala
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Sinhala language.

Package: tesseract-ocr-slk
Description-md5: 8098dd322a3ef74b8b734db3a91c52aa
Description-en: tesseract-ocr language files for Slovakian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Slovakian language.

Package: tesseract-ocr-slv
Description-md5: 48602594deae50217388c3a604cbc725
Description-en: tesseract-ocr language files for Slovenian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Slovenian language.

Package: tesseract-ocr-snd
Description-md5: b77a6c458548ec887ffc41a75aabf667
Description-en: tesseract-ocr language files for Sindhi
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Sindhi language.

Package: tesseract-ocr-spa
Description-md5: 4788b9e65f6f473aa85295e8d326c7af
Description-en: tesseract-ocr language files for Spanish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Spanish language.

Package: tesseract-ocr-spa-old
Description-md5: 482e403cb02818a2a6380c2bf911c139
Description-en: tesseract-ocr language files for Spanish, Castilian - Old
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Spanish, Castilian - Old language.

Package: tesseract-ocr-sqi
Description-md5: 51cb60ce8edbe3117a16a7dc3ced6e84
Description-en: tesseract-ocr language files for Albanian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Albanian language.

Package: tesseract-ocr-srp
Description-md5: 768d02bf700e56ee14bbeb94c6c272a0
Description-en: tesseract-ocr language files for Serbian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Serbian language.

Package: tesseract-ocr-srp-latn
Description-md5: 9e49845e3cda609040152cb4a600d631
Description-en: tesseract-ocr language files for Serbian (Latin)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Serbian (Latin) language.

Package: tesseract-ocr-sun
Description-md5: 4efcdf5813dcdbb2078ce780b4c06aad
Description-en: tesseract-ocr language files for Sundanese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Sundanese language.

Package: tesseract-ocr-swa
Description-md5: 6611b580bd49a0b8cb52650e8f0e6ba1
Description-en: tesseract-ocr language files for Swahili
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Swahili language.

Package: tesseract-ocr-swe
Description-md5: 9574c8c32a91e7d107c1cff8f8c4ddac
Description-en: tesseract-ocr language files for Swedish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Swedish language.

Package: tesseract-ocr-syr
Description-md5: dd0fcd3557e46edc8e98844b075136f2
Description-en: tesseract-ocr language files for Syriac
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Syriac language.

Package: tesseract-ocr-tam
Description-md5: 108d812d24873ee3dbc5f12bee46c5e3
Description-en: tesseract-ocr language files for Tamil
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Tamil language.

Package: tesseract-ocr-tat
Description-md5: 8003cf675c066f3e07764384dd3f1af1
Description-en: tesseract-ocr language files for Tatar
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Tatar language.

Package: tesseract-ocr-tel
Description-md5: 759c34ea699bb0c17a9b7e85b4702816
Description-en: tesseract-ocr language files for Telugu
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Telugu language.

Package: tesseract-ocr-tgk
Description-md5: dcb3f5698ef6fe2697c298717b3e046a
Description-en: tesseract-ocr language files for Tajik
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Tajik language.

Package: tesseract-ocr-tha
Description-md5: 8ea6c0fa48df014fe11ba68044fe2dc0
Description-en: tesseract-ocr language files for Thai
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Thai language.

Package: tesseract-ocr-tir
Description-md5: deb60eb9fb0b95b9696a90ca125ca300
Description-en: tesseract-ocr language files for Tigrinya
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Tigrinya language.

Package: tesseract-ocr-ton
Description-md5: 6ba9fb7cf5d2a0fe37dea28b0ab25dd8
Description-en: tesseract-ocr language files for Tonga
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Tonga language.

Package: tesseract-ocr-tur
Description-md5: 3d168204e84c3f5599d6e3f4eb513562
Description-en: tesseract-ocr language files for Turkish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Turkish language.

Package: tesseract-ocr-uig
Description-md5: 614014a2633f9f35e2f24fe289b30d46
Description-en: tesseract-ocr language files for Uyghur
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Uyghur language.

Package: tesseract-ocr-ukr
Description-md5: 946e9cb474bd3e32651996ddca76aa8c
Description-en: tesseract-ocr language files for Ukrainian
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Ukrainian language.

Package: tesseract-ocr-urd
Description-md5: 12d5216b5d202f73ea4b8919ff482a48
Description-en: tesseract-ocr language files for Urdu
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Urdu language.

Package: tesseract-ocr-uzb
Description-md5: 47fc8cecb03b2ad70656f79b521d258a
Description-en: tesseract-ocr language files for Uzbek
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Uzbek language.

Package: tesseract-ocr-uzb-cyrl
Description-md5: d2fa180dcb8056317a20d4550ac280d1
Description-en: tesseract-ocr language files for Uzbek (Cyrillic)
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Uzbek (Cyrillic) language.

Package: tesseract-ocr-vie
Description-md5: 174a73685192942b6f6e71b7c2dc39ca
Description-en: tesseract-ocr language files for Vietnamese
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Vietnamese language.

Package: tesseract-ocr-yid
Description-md5: 85769c87761661765b1a88cb8b9e4d87
Description-en: tesseract-ocr language files for Yiddish
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Yiddish language.

Package: tesseract-ocr-yor
Description-md5: e054223998153ca0016cf67c5a2be4c4
Description-en: tesseract-ocr language files for Yoruba
 Tesseract is an open source Optical Character Recognition (OCR)
 Engine. It can be used directly, or (for programmers) using an API to
 extract printed text from images. This package contains the data
 needed for processing images in Yoruba language.

Package: test-kitchen
Description-md5: 9dc1a4e011bda4015ea9fefff42d0616
Description-en: integration tool for Chef
 Test Kitchen is a test harness tool to execute your configured code on one or
 more platforms in isolation. A driver plugin architecture is used which lets
 you run your code on various cloud providers and virtualization technologies
 such as Amazon EC2, Blue Box, CloudStack, Digital Ocean, Rackspace, OpenStack,
 Vagrant, Docker, LXC containers, and more. Many testing frameworks are already
 supported out of the box including Bats, shUnit2, RSpec, Serverspec, with
 others being created weekly.
 .
 For Chef workflows, cookbook dependency resolver tools such as Berkshelf and
 Librarian-Chef are supported or you can simply have a cookbooks/ directory and
 Test Kitchen will know what to do. Support for Test Kitchen is already included
 in many Chef community cookbooks such as the MySQL, nginx, Chef Server, and
 runit cookbooks.

Package: testdisk
Description-md5: cd07c9c9e3f2ae916bd657a269d45dd2
Description-en: Partition scanner and disk recovery tool, and PhotoRec file recovery tool
 TestDisk checks the partition and boot sectors of your disks.
 It is very useful in forensics, recovering lost partitions.
 It works with :
  * DOS/Windows FAT12, FAT16 and FAT32
  * NTFS ( Windows NT/2K/XP )
  * Linux Ext2 and Ext3
  * BeFS ( BeOS )
  * BSD disklabel ( FreeBSD/OpenBSD/NetBSD )
  * CramFS (Compressed File System)
  * HFS and HFS+, Hierarchical File System
  * JFS, IBM's Journaled File System
  * Linux Raid
  * Linux Swap (versions 1 and 2)
  * LVM and LVM2, Linux Logical Volume Manager
  * Netware NSS
  * ReiserFS 3.5 and 3.6
  * Sun Solaris i386 disklabel
  * UFS and UFS2 (Sun/BSD/...)
  * XFS, SGI's Journaled File System
 .
 PhotoRec is file data recovery software designed to recover
 lost pictures from digital camera memory or even Hard Disks.
 It has been extended to search also for non audio/video headers.
 It searches for following files and is able to undelete them:
  * Sun/NeXT audio data (.au)
  * RIFF audio/video (.avi/.wav)
  * BMP bitmap (.bmp)
  * bzip2 compressed data (.bz2)
  * Source code written in C (.c)
  * Canon Raw picture (.crw)
  * Canon catalog (.ctg)
  * FAT subdirectory
  * Microsoft Office Document (.doc)
  * Nikon dsc (.dsc)
  * HTML page (.html)
  * JPEG picture (.jpg)
  * MOV video (.mov)
  * MP3 audio (MPEG ADTS, layer III, v1) (.mp3)
  * Moving Picture Experts Group video (.mpg)
  * Minolta Raw picture (.mrw)
  * Olympus Raw Format picture (.orf)
  * Portable Document Format (.pdf)
  * Perl script (.pl)
  * Portable Network Graphics (.png)
  * Raw Fujifilm picture (.raf)
  * Contax picture (.raw)
  * Rollei picture (.rdc)
  * Rich Text Format (.rtf)
  * Shell script (.sh)
  * Tar archive (.tar )
  * Tag Image File Format (.tiff)
  * Microsoft ASF (.wma)
  * Sigma/Foveon X3 raw picture (.x3f)
  * zip archive (.zip)

Package: testdisk-dbg
Description-md5: f99ea71b541ac19120a9d75e3a10ef14
Description-en: Partition scanner and disk recovery tool, and PhotoRec file recovery tool
 TestDisk checks the partition and boot sectors of your disks.
 It is very useful in forensics, recovering lost partitions.
 .
 PhotoRec is file data recovery software designed to recover
 lost pictures from digital camera memory or even Hard Disks.
 It has been extended to search also for non audio/video headers.
 .
 This package includes the debugging symbols.

Package: testinfra
Description-md5: 661eac2dde9d619aa1f92906da05597c
Description-en: server state testing framework based on pytest
 This is a framework for writing arbitrary server status tests
 equivalent to Serverspec.
 .
 It's implemented as a plugin for Pytest.

Package: testng
Description-md5: d2b6208d4934fc7baa97ad9dc66a441f
Description-en: testing framework for Java
 TestNG is a testing framework inspired from JUnit and NUnit but introducing
 some new functionalities that make it more powerful and easier to use, such as:
  * Annotations.
  * Run your tests in arbitrarily big thread pools with various policies
    available (all methods in their own thread, one thread per test class, etc.)
  * Test that your code is multithread safe.
  * Flexible test configuration.
  * Support for data-driven testing (with @DataProvider).
  * Support for parameters.
  * Powerful execution model (no more TestSuite).
  * Supported by a variety of tools and plug-ins (Eclipse, IDEA, Maven, etc.)
  * Embeds BeanShell for further flexibility.
  * Default JDK functions for runtime and logging (no dependencies).
  * Dependent methods for application server testing.
 TestNG is designed to cover all categories of tests:
 unit, functional, end-to-end, integration, etc.

Package: testrepository
Description-md5: 9945645b40be8ca23014728597260ee1
Description-en: unit test system with database of test results - metapackage and doc
 Testrepository provides a database of test results and supports easy workflows
 to be built on top of that database. For instance, running just failing tests
 or getting the last test run back to examine again (without running the tests
 again). Testrepository is compatible with any test suite that can output
 subunit. This includes any TAP test suite and any pyunit compatible test
 suite.
 .
 This package is a metapackage that depends on both Python 2 and 3 packages. It
 also contains the documentation.

Package: testssl.sh
Description-md5: 7d3ccd61642ab67b4d3a841894d82d88
Description-en: Command line tool to check TLS/SSL ciphers, protocols and cryptographic flaws
 testssl.sh is a free command line tool which checks a server's service
 on any port for the support of TLS/SSL ciphers, protocols as well as
 recent cryptographic flaws and more.
 .
 Key features
 .
  * Clear output: you can tell easily whether anything is good or bad
 .
  * Ease of installation: It works for Linux, Darwin, FreeBSD and
   MSYS2/Cygwin out of the box: no need to install or configure
   something, no gems, CPAN, pip or the like.
 .
  * Flexibility: You can test any SSL/TLS enabled and STARTTLS service,
   not only webservers at port 443
 .
  * Toolbox: Several command line options help you to run YOUR test and
   configure YOUR output
 .
  * Reliability: features are tested thoroughly
 .
  * Verbosity: If a particular check cannot be performed because of a
   missing capability on your client side, you'll get a warning
 .
  * Privacy: It's only you who sees the result, not a third party
 .
  * Freedom: It's 100% open source. You can look at the code, see what's
   going on and you can change it. Heck, even the development is open
   (github)

Package: tetex-brev
Description-md5: 929acc070d4fe7c471a99fc71c3c6eb2
Description-en: Norwegian A4 letter style for LaTeX
 A little LaTeX letter class for personal letters.  It is called ``brev''
 because that is the Norwegian name for letter, and for avoiding name
 clashes with the standard LaTeX letter class.

Package: tetgen
Description-md5: 534ff22ee759d1fdf7fe157d49c22883
Description-en: Quality Tetrahedral Mesh Generator
 TetGen generates the Delaunay tetrahedralization, Voronoi diagram, and convex
 hull for three-dimensional point sets, generates the constrained Delaunay
 tetrahedralizations and quality tetrahedral meshes for three-dimensional
 domains with piecewise linear boundary.

Package: tetradraw
Description-md5: 05695ee945ed82caadeaed9c43fec20f
Description-en: ANSI drawing and viewing utility
 tetradraw is an easy to use drawing utility that gives you an ease access to
 the high characters like smileys, card signs and the well known single and
 double frame line characters but much more. Also the changing of foreground
 and background colors is not hard to do.
 .
 tetraview is the viewer for such produced images.

Package: tetraproc
Description-md5: 4fd943664a882e458bca0d794bce5c54
Description-en: Tetrahedral Microphone Processor for Ambisonic Recording
 TetraProc converts the A-format signals from a tetrahedral Ambisonic
 microphone into B-format signals ready for recording. Main features:
 .
  * A-B conversion using a classic scalar matrix and minimum phase
    filters, or
  * A-B conversion using a 4 by 4 convolution matrix using measured
    or computed impulse responses, or a combination of both.
  * Individual microphone calibration facilities.
  * 24 dB/oct higpass filters.
  * Metering, monitoring and test facilities.
  * Virtual stereo mic for stereo monitoring or recording.
  * Unlimited number of stored configurations.
  * Jack client with graphical user interface.

Package: tetrinet-client
Description-md5: 4ba275b9dce9b28300544c33d0ae6276
Description-en: textmode client for tetrinet, a multiplayer tetris-like game
 tetrinet-client is a textmode client for the multiplayer tetris version called
 tetrinet. This client is able to play both in tetrifast and the original
 version of the game. Please notice that you need at least 50 lines to be able
 to play it.

Package: tetrinet-server
Description-md5: 1d3abd7f22f37b66e1d796b4e6b89294
Description-en: server for tetrinet, a multiplayer tetris-like game
 tetrinet-server is a small limited server binary for the multiplayer tetris
 version called tetrinet. It supports only one playfield so at most 6 players,
 but it is good enough for a fast game and can be configured throughly through
 a ~/.tetrinet file (default written on first start).

Package: tetrinetx
Description-md5: 731609c616ee10857e8cd56db572cbde
Description-en: game server for Tetrinet
 Provides a server for hosting Tetrinet games. Tetrinet is a variant of
 Tetris played over the internet. Up to six people may simultaneously connect
 to a server to participate in a game.
 .
 For more information about the Tetrinet game, visit http://www.tetrinet.us/

Package: tetzle
Description-md5: 9fde502f6606a471732c4289ec6d5585
Description-en: Jigsaw puzzle game
 Any image can be imported and used to create puzzles with a wide range of
 sizes. Games are saved automatically, and you can select between currently
 in progress games.

Package: tex-common
Description-md5: 9c5d58c83f2a52331afe0a8e3cd67376
Description-en: common infrastructure for building and installing TeX
 This package contains a number of scripts and common configuration
 files that are needed to install a TeX System.
 .
 It also contains debhelper-like programs useful for building TeX
 packages.

Package: tex-gyre
Description-md5: 85d66d19a3aed797f584c9b3a750a54c
Description-en: scalable PostScript and OpenType fonts based on URW Fonts
 The TeX Gyre project, following the Latin Modern project, aims at providing
 a rich collection of diacritical characters in the attempt to cover as many
 Latin-based scripts as possible.
 .
 This package provides TeX support and Type1 (PostScript) fonts. If only
 the OpenType fonts are needed, please see the package fonts-texgyre.
 .
 See the description for fonts-texgyre package for more information.

Package: texi2html
Description-md5: 8bdc2e0c74f055b1b22258635c110919
Description-en: Convert Texinfo files to HTML
 texi2html is a Perl script that converts Texinfo files to HTML.
 .
 The program takes Texinfo files (not info ones) and produces a set of
 HTML files.  The quality of the output is close to the printed output
 and is much better than an info->HTML gateway.
 .
 Compared to the output of `makeinfo --html', texi2html's output is
 more customizable and (subjectively) produces better output by default.

Package: texify
Description-md5: b4754efad64f56154859fe4ba89b111f
Description-en: Beautify source code for use with LaTeX
 Texify is a perl script that translates source code written in any of
 several different languages to LaTeX source files.  The purpose is to
 make source code embedded in documents more readable, by performing
 syntax highlighting.
 .
 The supported languages are ABEL, Ada, Assembly, B, BETA, Bison, C,
 C++, OMG/CORBA IDL, Java, Lex, Lisp, LOGLA, MATLAB, ML, Perl, Promela,
 Python, Scheme, SIMULA, SQL, Ruby and VHDL.

Package: texinfo
Description-md5: 1c7c77fec8377bb6e9c62acc5e9736a6
Description-en: Documentation system for on-line information and printed output
 Texinfo is a documentation system that uses a single source file to
 produce both on-line information and printed output.
 .
 Using Texinfo, you can create a printed document with the normal features
 of a book, including chapters, sections, cross references, and indices.
 From the same Texinfo source file, you can create a menu-driven, on-line
 Info file with nodes, menus, cross references, and indices.

Package: texlive
Description-md5: 19838094eeee28c5a5392b813c6cec17
Description-en: TeX Live: A decent selection of the TeX Live packages
 The TeX Live software distribution offers a complete TeX system. It
 encompasses programs for typesetting, previewing and printing of TeX
 documents in many different languages, and a large collection of TeX macros
 and font libraries. . This metapackage provides a decent selection of the
 TeX Live packages which should suffice for the most common tasks. . The
 distribution also includes extensive general documentation about TeX, as
 well as the documentation accompanying the included software packages.

Package: texlive-base
Description-md5: d46e3ee96c7e5fc902ddf94759fbdbc6
Description-en: TeX Live: Essential programs and files
 These files are regarded as basic for any TeX system, covering plain TeX
 macros, Computer Modern fonts, and configuration for common drivers; no
 LaTeX.
 .
 This package includes the following CTAN packages:
 .
 texlive.infra -- basic TeX Live infrastructure
 .
 amsfonts -- TeX fonts from the American Mathematical Society
 .
 bibtex -- Process bibliographies for LaTeX, etc
 .
 cm -- Computer Modern fonts
 .
 colorprofiles -- Collection of free ICC profiles
 .
 dvipdfmx -- An extended version of dvipdfm
 .
 dvips -- A DVI to PostScript driver
 .
 ec -- Computer modern fonts in T1 and TS1 encodings
 .
 enctex -- A TeX extension that translates input on its way into TeX
 .
 etex -- An extended version of TeX, from the NTS project
 .
 etex-pkg -- E-TeX support package
 .
 glyphlist -- Adobe Glyph List and TeX extensions
 .
 graphics-def -- Colour and graphics option files
 .
 gsftopk -- Convert "Ghostscript fonts" to PK files
 .
 hyph-utf8 -- Hyphenation patterns expressed in UTF-8
 .
 hyphen-base -- core hyphenation support files
 .
 hyphenex -- US English hyphenation exceptions file
 .
 ifplatform -- Conditionals to test which platform is being used
 .
 iftex -- Am I running under pdfTeX, XeTeX or LuaTeX?
 .
 knuth-lib -- A small library of Metafont sources
 .
 knuth-local -- Knuth's local information
 .
 kpathsea -- Path searching library for TeX-related files
 .
 lua-alt-getopt -- Process application arguments the same way as getopt_long
 .
 luatex -- The LuaTeX engine
 .
 makeindex -- Makeindex development sources
 .
 metafont -- A system for specifying fonts
 .
 mflogo -- LaTeX support for Metafont logo fonts
 .
 mfware -- Supporting tools for use with Metafont
 .
 modes -- A collection of Metafont mode_def's
 .
 pdftex -- A TeX extension for direct creation of PDF
 .
 plain -- The Plain TeX format
 .
 tex -- A sophisticated typesetting engine
 .
 tex-ini-files -- Model TeX format creation files
 .
 texlive-common -- TeX Live documentation (common elements)
 .
 texlive-docindex -- top-level TeX Live doc.html, etc.
 .
 texlive-en -- TeX Live manual (English)
 .
 texlive-msg-translations -- translations of the TeX Live installer and TeX
 Live Manager
 .
 texlive-scripts -- TeX Live infrastructure programs
 .
 unicode-data -- Unicode data and loaders for TeX
 .
 updmap-map -- auto-generated font map files
 .
 xdvi -- A DVI previewer for the X Window System
 .
 texdoc -- Documentation access for TeX Live
 .
 texdoctk -- Easy access to package documentation

Package: texlive-bibtex-extra
Description-md5: 4f620aea867a7eb689e8c9ad8c7280bc
Description-en: TeX Live: BibTeX additional styles
 Additional BibTeX styles and bibliography data(bases), notably including
 BibLaTeX.
 .
 This package includes the following CTAN packages:
 .
 aaai-named -- BibTeX style for AAAI
 .
 aichej -- Bibliography style file for the AIChE Journal
 .
 ajl -- BibTeX style for AJL
 .
 amsrefs -- A LaTeX-based replacement for BibTeX
 .
 annotate -- A bibliography style with annotations
 .
 apacite -- Citation style following the rules of the APA
 .
 apalike2 -- Bibliography style that approaches APA requirements
 .
 archaeologie -- A citation-style which covers rules of the German
 Archaeological Institute
 .
 authordate -- Author/date style citation styles
 .
 beebe -- A collection of bibliographies
 .
 besjournals -- Bibliographies suitable for British Ecological Society
 journals
 .
 bestpapers -- A BibTeX package to produce lists of authors' best papers
 .
 bib2gls -- Command line application to convert .bib files to
 glossaries-extra.sty resource files
 .
 bibarts -- "Arts"-style bibliographical information
 .
 bibexport -- Extract a BibTeX file based on a .aux file
 .
 bibhtml -- BibTeX support for HTML files
 .
 biblatex -- Sophisticated Bibliographies in LaTeX
 .
 biblatex-abnt -- BibLaTeX style for Brazil's ABNT rules
 .
 biblatex-anonymous -- A tool to manage anonymous work with BibLaTeX
 .
 biblatex-apa -- BibLaTeX citation and reference style for APA
 .
 biblatex-apa6 -- BibLaTeX citation and reference style for APA 6th Edition
 .
 biblatex-archaeology -- A collection of BibLaTeX styles for German
 prehistory
 .
 biblatex-arthistory-bonn -- BibLaTeX citation style covers the citation and
 bibliography guidelines for art historians
 .
 biblatex-bath -- Harvard referencing style as recommended by the University
 of Bath Library
 .
 biblatex-bookinarticle -- Manage book edited in article
 .
 biblatex-bookinother -- Manage book edited in other entry type
 .
 biblatex-bwl -- BibLaTeX citations for FU Berlin
 .
 biblatex-caspervector -- A simple citation style for Chinese users
 .
 biblatex-chem -- A set of BibLaTeX implementations of chemistry-related
 bibliography styles
 .
 biblatex-chicago -- Chicago style files for BibLaTeX
 .
 biblatex-claves -- A tool to manage claves of old litterature with BibLaTeX
 .
 biblatex-dw -- Humanities styles for BibLaTeX
 .
 biblatex-enc -- BibLaTeX style for the Ecole nationale des chartes (Paris)
 .
 biblatex-ext -- Extended BibLaTeX standard styles
 .
 biblatex-fiwi -- BibLaTeX styles for use in German humanities
 .
 biblatex-gb7714-2015 -- A BibLaTeX implementation of the GBT7714-2015
 bibliography style for Chinese users
 .
 biblatex-gost -- BibLaTeX support for GOST standard bibliographies
 .
 biblatex-historian -- A BibLaTeX style
 .
 biblatex-ieee -- IEEE style files for BibLaTeX
 .
 biblatex-ijsra -- BibLaTeX style for the International Journal of Student
 Research in Archaeology
 .
 biblatex-iso690 -- BibLaTeX style for ISO 690 standard
 .
 biblatex-jura2 -- Citation style for the German legal profession
 .
 biblatex-juradiss -- BibLaTeX stylefiles for German law thesis
 .
 biblatex-lni -- LNI style for BibLaTeX
 .
 biblatex-luh-ipw -- BibLaTeX styles for social sciences
 .
 biblatex-manuscripts-philology -- Manage classical manuscripts with BibLaTeX
 .
 biblatex-mla -- MLA style files for BibLaTeX
 .
 biblatex-morenames -- New names for standard BibLaTeX entry type
 .
 biblatex-multiple-dm -- Load multiple datamodels in BibLaTeX
 .
 biblatex-musuos -- A BibLaTeX style for citations in musuos.cls
 .
 biblatex-nature -- BibLaTeX support for Nature
 .
 biblatex-nejm -- BibLaTeX style for the New England Journal of Medicine
 (NEJM)
 .
 biblatex-nottsclassic -- Citation style for the University of Nottingham
 .
 biblatex-opcit-booktitle -- Use op. cit. for the booktitle of a subentry
 .
 biblatex-oxref -- BibLaTeX styles inspired by the Oxford Guide to Style
 .
 biblatex-philosophy -- Styles for using BibLaTeX for work in philosophy
 .
 biblatex-phys -- A BibLaTeX implementation of the AIP and APS bibliography
 style
 .
 biblatex-publist -- BibLaTeX bibliography support for publication lists
 .
 biblatex-realauthor -- Indicate the real author of a work
 .
 biblatex-sbl -- Society of Biblical Literature (SBL) style files for
 BibLaTeX
 .
 biblatex-science -- BibLaTeX implementation of the Science bibliography
 style
 .
 biblatex-shortfields -- Use short forms of fields with BibLaTeX
 .
 biblatex-socialscienceshuberlin -- BibLaTeX-style for the social sciences at
 HU Berlin
 .
 biblatex-source-division -- References by "division" in classical sources
 .
 biblatex-subseries -- Manages subseries with BibLaTeX
 .
 biblatex-swiss-legal -- Bibliography and citation styles following Swiss
 legal practice
 .
 biblatex-trad -- "Traditional" BibTeX styles with BibLaTeX
 .
 biblatex-true-citepages-omit -- Correction of some limitation of the
 citepages=omit option of BibLaTeX styles
 .
 biblist -- Print a BibTeX database
 .
 bibtexperllibs -- BibTeX Perl Libraries
 .
 bibtopic -- Include multiple bibliographies in a document
 .
 bibtopicprefix -- Prefix references to bibliographies produced by bibtopic
 .
 bibunits -- Multiple bibliographies in one document
 .
 biolett-bst -- A BibTeX style for the journal "Biology Letters"
 .
 bookdb -- A BibTeX style file for cataloguing a home library
 .
 breakcites -- Ensure that multiple citations may break at line end
 .
 cell -- Bibliography style for Cell
 .
 chbibref -- Change the Bibliography/References title
 .
 chicago -- A "Chicago" bibliography style
 .
 chicagoa -- "Chicago" bibliography style with annotations
 .
 chicago-annote -- Chicago-based annotated BibTeX style
 .
 chembst -- A collection of BibTeX files for chemistry journals
 .
 chscite -- Bibliography style for Chalmers University of Technology
 .
 citeall -- Cite all entries of a bbl created with BibLaTeX
 .
 citeref -- Add reference-page-list to bibliography-items
 .
 collref -- Collect blocks of references into a single reference
 .
 compactbib -- Multiple thebibliography environments
 .
 crossrefware -- Scripts for working with crossref.org
 .
 custom-bib -- Customised BibTeX styles
 .
 din1505 -- Bibliography styles for German texts
 .
 dk-bib -- Danish variants of standard BibTeX styles
 .
 doipubmed -- Special commands for use in bibliographies
 .
 ecobiblatex -- Global Ecology and Biogeography BibLaTeX styles for the Biber
 backend
 .
 econ-bst -- BibTeX style for economics papers
 .
 economic -- BibTeX support for submitting to Economics journals
 .
 fbs -- BibTeX style for Frontiers in Bioscience
 .
 figbib -- Organize figure databases with BibTeX
 .
 footbib -- Bibliographic references as footnotes
 .
 francais-bst -- Bibliographies conforming to French typographic standards
 .
 gbt7714 -- BibTeX implementation of China's bibliography style standard GB/T
 7714-2015
 .
 geschichtsfrkl -- BibLaTeX style for historians
 .
 harvard -- Harvard citation package for use with LaTeX 2e
 .
 harvmac -- Macros for scientific articles
 .
 historische-zeitschrift -- BibLaTeX style for the journal 'Historische
 Zeitschrift'
 .
 icite -- Indices locorum citatorum
 .
 ietfbibs -- Generate BibTeX entries for various IETF index files
 .
 ijqc -- BibTeX style file for the Intl. J. Quantum Chem
 .
 inlinebib -- Citations in footnotes
 .
 iopart-num -- Numeric citation style for IOP journals
 .
 is-bst -- Extended versions of standard BibTeX styles
 .
 jbact -- BibTeX style for biology journals
 .
 jmb -- BibTeX style for the Journal of Theoretical Biology
 .
 jneurosci -- BibTeX style for the Journal of Neuroscience
 .
 jurabib -- Extended BibTeX citation support for the humanities and legal
 texts
 .
 ksfh_nat -- BibTeX style for KSFH Munich
 .
 ltb2bib -- Converts amsrefs' .ltb bibliographical databases to BibTeX format
 .
 listbib -- Lists contents of BibTeX files
 .
 logreq -- Support for automation of the LaTeX workflow
 .
 luabibentry -- Repeat BibTeX entries in a LuaLaTeX document body
 .
 margbib -- Display bibitem tags in the margins
 .
 multibib -- Multiple bibliographies within one document
 .
 multibibliography -- Multiple versions of a bibliography, with different
 sort orders
 .
 munich -- An alternative authordate bibliography style
 .
 nar -- BibTeX style for Nucleic Acid Research
 .
 nmbib -- Multiple versions of a bibliography, with different sort orders
 .
 notes2bib -- Integrating notes into the bibliography
 .
 notex-bst -- A BibTeX style that outputs HTML
 .
 oscola -- BibLaTeX style for the Oxford Standard for the Citation of Legal
 Authorities
 .
 perception -- BibTeX style for the journal Perception
 .
 plainyr -- Plain bibliography style, sorted by year first
 .
 pnas2009 -- BibTeX style for PNAS (newer version)
 .
 rsc -- BibTeX style for use with RSC journals
 .
 showtags -- Print the tags of bibliography entries
 .
 sort-by-letters -- Bibliography styles for alphabetic sorting
 .
 splitbib -- Split and reorder your bibliography
 .
 turabian-formatting -- Formatting based on Turabian's Manual
 .
 uni-wtal-ger -- Citation style for literary studies at the University of
 Wuppertal
 .
 uni-wtal-lin -- Citation style for linguistic studies at the University of
 Wuppertal
 .
 urlbst -- Web support for BibTeX
 .
 usebib -- A simple bibliography processor
 .
 vak -- BibTeX style for Russian Theses, books, etc
 .
 windycity -- A Chicago style for BibLaTeX
 .
 xcite -- Use citation keys from a different document
 .
 zootaxa-bst -- A BibTeX style for the journal Zootaxa

Package: texlive-binaries
Description-md5: db16fecae335f49155c09b3c343347b1
Description-en: Binaries for TeX Live
 This package contains all the binaries of TeX Live packages.
 .
 Note that this package alone has hardly any functionality.  Rather,
 choose the texlive-* packages you want to use, e.g.
 texlive-latex-recommended or context

Package: texlive-extra-utils
Description-md5: d1cbf99586e6285952f4ee817794bdd9
Description-en: TeX Live: TeX auxiliary programs
 Myriad additional TeX-related support programs. Includes programs and macros
 for DVI file manipulation, literate programming, patgen, and plenty more.
 .
 This package includes the following CTAN packages:
 .
 a2ping -- Advanced PS, PDF, EPS converter
 .
 adhocfilelist -- '\listfiles' entries from the command line
 .
 arara -- Automation of LaTeX compilation
 .
 bibtex8 -- BibTeX variant supporting 8-bit encodings
 .
 bibtexu -- BibTeX variant supporting Unicode (UTF-8)
 .
 bundledoc -- Bundle together all the files needed to build a LaTeX document
 .
 checklistings -- Pass verbatim contents through a compiler and reincorporate
 the resulting output
 .
 chklref -- Check for problems with labels in LaTeX
 .
 clojure-pamphlet -- A simple literate programming tool based on clojure's
 pamphlet system
 .
 cluttex -- An automation tool for running LaTeX
 .
 ctan-o-mat -- Upload or validate a package for CTAN
 .
 ctan_chk -- CTAN guidelines verifier and corrector for uploading projects
 .
 ctanbib -- Export CTAN entries to bib format
 .
 ctanify -- Prepare a package for upload to CTAN
 .
 ctanupload -- Support for users uploading to CTAN
 .
 ctie -- C version of tie (merging Web change files)
 .
 cweb -- CWEB for ANSI-C/C++ compilers
 .
 detex -- Strip TeX from a source file
 .
 dtl -- Tools to dis-assemble and re-assemble DVI files
 .
 dtxgen -- Creates a template for a self-extracting .dtx file
 .
 dvi2tty -- Produce ASCII from DVI
 .
 dviasm -- A utility for editing DVI files
 .
 dvicopy -- Copy DVI files, flattening VFs
 .
 dviinfox -- Perl script to print DVI meta information
 .
 dviljk -- DVI to Laserjet output
 .
 dviout-util --
 .
 dvipos -- support DVI pos: specials used by ConTeXt DVI output
 .
 dvisvgm -- Convert DVI, EPS, and PDF files to Scalable Vector Graphics
 format (SVG)
 .
 findhyph -- Find hyphenated words in a document
 .
 hook-pre-commit-pkg -- Pre-commit git hook for LaTeX package developpers
 .
 installfont -- A bash script for installing a LaTeX font family
 .
 ketcindy -- macros for graphic generation and Cinderella plugin
 .
 latex-git-log -- Typeset git log information
 .
 latex-papersize -- Calculate LaTeX settings for any font and paper size
 .
 latex2man -- Translate LaTeX-based manual pages into Unix man format
 .
 latex2nemeth -- Convert LaTeX source to Braille with math in Nemeth
 .
 latexfileversion -- Prints the version and date of a LaTeX class or style
 file
 .
 latexpand -- Expand \input and \include in a LaTeX document
 .
 latexindent -- Indent a LaTeX document, highlighting the programming
 structure
 .
 ltxfileinfo -- Print version information for a LaTeX file
 .
 ltximg -- Extract LaTeX environments into separate image files
 .
 listings-ext -- Automated input of source
 .
 luajittex -- LuaTeX with just-in-time (jit) compiler
 .
 make4ht -- A build system for tex4ht
 .
 match_parens -- Find mismatches of parentheses, braces, (angle) brackets, in
 texts
 .
 mflua -- configuration and base files for MFLua
 .
 mkjobtexmf -- Generate a texmf tree for a particular job
 .
 patgen -- Generate hyphenation patterns
 .
 pdfbook2 -- Create booklets from PDF files
 .
 pdfcrop -- Crop PDF graphics
 .
 pdfjam -- Shell scripts interfacing to pdfpages
 .
 pdflatexpicscale -- Support software for downscaling graphics to be included
 by pdfLaTeX
 .
 pdftex-quiet -- A bash wrapper for pdfTeX limiting its output to relevant
 errors
 .
 pdftosrc -- Extract source file or stream from PDF file
 .
 pdfxup -- Create n-up PDF pages with minimal margins
 .
 pfarrei -- LaTeX support of pastors' and priests' work
 .
 pkfix -- Replace pk fonts in PostScript with Type 1 fonts
 .
 pkfix-helper -- Make PostScript files accessible to pkfix
 .
 pythontex -- Run Python from within a document, typesetting the results
 .
 seetexk -- Utilities for manipulating DVI files
 .
 srcredact -- A tool for redacting sources
 .
 sty2dtx -- Create a .dtx file from a .sty file
 .
 synctex -- engine-level feature synchronizing output and source
 .
 tex4ebook -- Convertor from LaTeX to ebook formats
 .
 texcount -- Count words in a LaTeX document
 .
 texdef -- Display the definitions of TeX commands
 .
 texdiff -- Compare documents and produce tagged merge
 .
 texdirflatten -- Collect files related to a LaTeX job in a single directory
 .
 texfot -- Filter clutter from the output of a TeX run
 .
 texlive-scripts-extra -- TeX Live scripts
 .
 texliveonfly -- On-the-fly download of missing TeX live packages
 .
 texloganalyser -- Analyse TeX logs
 .
 texosquery -- Cross-platform Java application to query OS information
 .
 texplate -- A tool for creating document structures based on templates
 .
 texware -- Utility programs for use with TeX
 .
 tie -- Allow multiple web change files
 .
 tpic2pdftex -- Use tpic commands in pdfTeX
 .
 typeoutfileinfo -- Display class/package/file information
 .
 web -- The original literate programming system
 .
 xindex -- Unicode compatible index generation
 .
 xpdfopen -- Commands to control PDF readers, under X11

Package: texlive-font-utils
Description-md5: 9bff25490517f0057d560f08c884d1db
Description-en: TeX Live: Graphics and font utilities
 Programs for conversion between font formats, testing fonts, virtual
 fonts, .gf and .pk manipulation, mft, fontinst, etc. Manipulating OpenType,
 TrueType, Type 1,and for manipulation of PostScript and other image formats.
 .
 This package includes the following CTAN packages:
 .
 accfonts -- Utilities to derive new fonts from existing ones
 .
 afm2pl -- AFM font metrics to TeX pl converter.
 .
 dosepsbin -- Deal with DOS binary EPS files
 .
 epstopdf -- Convert EPS to PDF using Ghostscript
 .
 fontware -- Tools for virtual font metrics
 .
 metatype1 -- Generate Type 1 fonts from MetaPost
 .
 ps2pk -- Generate a PK font from an Adobe Type 1 font
 .
 ps2eps -- Produce Encapsulated PostScript from PostScript
 .
 dvipsconfig -- Collection of dvips PostScript headers
 .
 fontinst -- Help with installing fonts for TeX and LaTeX
 .
 fontools -- Tools to simplify using fonts (especially TT/OTF ones)
 .
 mf2pt1 -- Produce PostScript Type 1 fonts from Metafont source
 .
 ttfutils -- convert TrueType to TFM and PK fonts

Package: texlive-fonts-extra
Description-md5: 40517bf78adafa7dc2faf406c637d545
Description-en: TeX Live: Additional fonts
 This package includes the following CTAN packages:
 .
 Asana-Math -- A font to typeset maths in Xe(La)TeX and Lua(La)TeX
 .
 academicons -- Font containing high quality icons of online academic
 profiles
 .
 accanthis -- Accanthis fonts, with LaTeX support
 .
 adforn -- OrnementsADF font with TeX/LaTeX support
 .
 adfsymbols -- SymbolsADF with TeX/LaTeX support
 .
 aecc -- Almost European Concrete Roman virtual fonts
 .
 alegreya -- Alegreya fonts with LaTeX support
 .
 algolrevived -- A revival of Frutiger's Algol alphabet
 .
 allrunes -- Fonts and LaTeX package for almost all runes
 .
 almendra -- Almendra fonts with LaTeX support
 .
 almfixed -- Arabic-Latin Modern Fixed extends TeX-Gyre Latin Modern Mono 10
 Regular to full Arabic Unicode support
 .
 anonymouspro -- Use AnonymousPro fonts with LaTeX
 .
 antiqua -- URW Antiqua condensed font, for use with TeX
 .
 antt -- Antykwa Torunska: a Type 1 family of a Polish traditional type
 .
 archaic -- A collection of archaic fonts
 .
 arev -- Fonts and LaTeX support files for Arev Sans
 .
 arimo -- Arimo sans serif fonts with LaTeX support
 .
 asapsym -- Using the free ASAP Symbol font with LaTeX and Plain TeX
 .
 ascii-font -- Use the ASCII "font" in LaTeX
 .
 aspectratio -- Capital A and capital R ligature for Aspect Ratio
 .
 astro -- Astronomical (planetary) symbols
 .
 augie -- Calligraphic font for typesetting handwriting
 .
 auncial-new -- Artificial Uncial font and LaTeX support macros
 .
 aurical -- Calligraphic fonts for use with LaTeX in T1 encoding
 .
 b1encoding -- LaTeX encoding tools for Bookhands fonts
 .
 barcodes -- Fonts for making barcodes
 .
 baskervald -- Baskervald ADF fonts collection with TeX/LaTeX support
 .
 baskervaldx -- Extension and modification of BaskervaldADF with LaTeX
 support
 .
 baskervillef -- Fry's Baskerville look-alike, with math support
 .
 bbding -- A symbol (dingbat) font and LaTeX macros for its use
 .
 bbm -- "Blackboard-style" cm fonts
 .
 bbm-macros -- LaTeX support for "blackboard-style" cm fonts
 .
 bbold -- Sans serif blackboard bold
 .
 bbold-type1 -- An Adobe Type 1 format version of the bbold font
 .
 belleek -- Free replacement for basic MathTime fonts
 .
 bera -- Bera fonts
 .
 berenisadf -- Berenis ADF fonts and TeX/LaTeX support
 .
 beuron -- The script of the Beuronese art school
 .
 bguq -- Improved quantifier stroke for Begriffsschrift packages
 .
 bitter -- LaTeX support for the Bitter family of fonts
 .
 blacklettert1 -- T1-encoded versions of Haralambous old German fonts
 .
 boisik -- A font inspired by Baskerville design
 .
 bookhands -- A collection of book-hand fonts
 .
 boondox -- Mathematical alphabets derived from the STIX fonts
 .
 braille -- Support for braille
 .
 brushscr -- A handwriting script font
 .
 cabin -- A humanist Sans Serif font, with LaTeX support
 .
 caladea -- Support for the Caladea family of fonts
 .
 calligra -- Calligraphic font
 .
 calligra-type1 -- Type 1 version of Calligra
 .
 cantarell -- LaTeX support for the Cantarell font family
 .
 carlito -- Support for Carlito sans-serif fonts
 .
 carolmin-ps -- Adobe Type 1 format of Carolingian Minuscule fonts
 .
 ccicons -- LaTeX support for Creative Commons icons
 .
 cfr-initials -- LaTeX packages for use of initials
 .
 cfr-lm -- Enhanced support for the Latin Modern fonts
 .
 cherokee -- A font for the Cherokee script
 .
 chivo -- Using the free Chivo fonts with LaTeX
 .
 cinzel -- LaTeX support for Cinzel and Cinzel Decorative fonts
 .
 clara -- A serif font family
 .
 clearsans -- Clear Sans fonts with LaTeX support
 .
 cm-lgc -- Type 1 CM-based fonts for Latin, Greek and Cyrillic
 .
 cm-mf-extra-bold -- Extra Metafont files for CM
 .
 cm-unicode -- Computer Modern Unicode font family
 .
 cmbright -- Computer Modern Bright fonts
 .
 cmexb -- cmexb10 metrics and Type 1
 .
 cmll -- Symbols for linear logic
 .
 cmpica -- A Computer Modern Pica variant
 .
 cmsrb -- Computer Modern for Serbian and Macedonian
 .
 cmtiup -- Upright punctuation with CM italic
 .
 cmupint -- Upright integral symbols for Computer Modern
 .
 cochineal -- Cochineal fonts with LaTeX support
 .
 coelacanth -- Coelacanth fonts with LaTeX support
 .
 comfortaa -- Sans serif font, with LaTeX support
 .
 comicneue -- Use Comic Neue with TeX(-alike) systems
 .
 concmath-fonts -- Concrete mathematics fonts
 .
 cookingsymbols -- Symbols for recipes
 .
 cormorantgaramond -- Cormorant Garamond family of fonts
 .
 countriesofeurope -- A font with the images of the countries of Europe
 .
 courier-scaled -- Provides a scaled Courier font
 .
 crimson -- Crimson fonts with LaTeX support
 .
 crimsonpro -- CrimsonPro fonts with LaTeX support
 .
 cryst -- Font for graphical symbols used in crystallography
 .
 cuprum -- Cuprum font family support for LaTeX
 .
 cyklop -- The Cyclop typeface
 .
 dancers -- Font for Conan Doyle's "The Dancing Men"
 .
 dantelogo -- A font for DANTE's logo
 .
 dejavu -- LaTeX support for the DejaVu fonts
 .
 dejavu-otf -- Support for the ttf and otf DejaVu fonts
 .
 dice -- A font for die faces
 .
 dictsym -- DictSym font and macro package
 .
 dingbat -- Two dingbat symbol fonts
 .
 domitian -- Drop-in replacement for Palatino
 .
 doublestroke -- Typeset mathematical double stroke symbols
 .
 dozenal -- Typeset documents using base twelve numbering (also called
 "dozenal")
 .
 drm -- A complete family of fonts written in Metafont
 .
 droid -- LaTeX support for the Droid font families
 .
 dsserif -- A double-struck serifed font for mathematical use
 .
 duerer -- Computer Duerer fonts
 .
 duerer-latex -- LaTeX support for the Duerer fonts
 .
 dutchcal -- A reworking of ESSTIX13, adding a bold version
 .
 ean -- Macros for making EAN barcodes
 .
 ebgaramond -- LaTeX support for EBGaramond fonts
 .
 ebgaramond-maths -- LaTeX support for EBGaramond fonts in mathematics
 .
 ecc -- Sources for the European Concrete fonts
 .
 eco -- Oldstyle numerals using EC fonts
 .
 eiad -- Traditional style Irish fonts
 .
 eiad-ltx -- LaTeX support for the eiad font
 .
 electrum -- Electrum ADF fonts collection
 .
 elvish -- Fonts for typesetting Tolkien Elvish scripts
 .
 epigrafica -- A Greek and Latin font
 .
 epsdice -- A scalable dice "font"
 .
 erewhon -- Font package derived from Heuristica and Utopia
 .
 erewhon-math -- Utopia based OpenType Math font
 .
 esrelation -- Provides a symbol set for describing relations between ordered
 pairs
 .
 esstix -- PostScript versions of the ESSTIX, with macro support
 .
 esvect -- Vector arrows
 .
 eulervm -- Euler virtual math fonts
 .
 euxm -- extended Euler by DEK
 .
 fbb -- A free Bembo-like font
 .
 fdsymbol -- A maths symbol font
 .
 fetamont -- Extended version of Knuth's logo typeface
 .
 feyn -- A font for in-text Feynman diagrams
 .
 fge -- A font for Frege's Grundgesetze der Arithmetik
 .
 fira -- Fira fonts with LaTeX support
 .
 firamath -- Fira sans serif font with Unicode math support
 .
 firamath-otf -- Use OpenType math font Fira Math
 .
 foekfont -- The title font of the Mads Fok magazine
 .
 fonetika -- Support for the Danish "Dania" phonetic system
 .
 fontawesome -- Font containing web-related icons
 .
 fontawesome5 -- Font Awesome 5 with LaTeX support
 .
 fontmfizz -- Font Mfizz icons for use in LaTeX
 .
 fonts-churchslavonic -- Fonts for typesetting in Church Slavonic language
 .
 forum -- forum fonts with LaTeX support
 .
 fourier -- Using Utopia fonts in LaTeX documents
 .
 fouriernc -- Use New Century Schoolbook text with Fourier maths fonts
 .
 frcursive -- French cursive hand fonts
 .
 frederika2016 -- An OpenType Greek calligraphy font
 .
 garamond-libre -- The Garamond Libre font face
 .
 garamond-math -- An OTF math font matching EB Garamond
 .
 genealogy -- A compilation genealogy font
 .
 gentium-tug -- Gentium fonts (in two formats) and support files
 .
 gfsartemisia -- A modern Greek font design
 .
 gfsbodoni -- A Greek and Latin font based on Bodoni
 .
 gfscomplutum -- A Greek font with a long history
 .
 gfsdidot -- A Greek font based on Didot's work
 .
 gfsdidotclassic -- The classic version of GFSDidot
 .
 gfsneohellenic -- A Greek font in the Neo-Hellenic style
 .
 gfsneohellenicmath -- A Greek math font in the Neo-Hellenic style
 .
 gfssolomos -- A Greek-alphabet font
 .
 gillcm -- Alternative unslanted italic Computer Modern fonts
 .
 gillius -- Gillius fonts with LaTeX support
 .
 gnu-freefont -- A Unicode font, with rather wide coverage
 .
 gofonts -- GoSans and GoMono fonts with LaTeX support
 .
 gothic -- A collection of old German-style fonts
 .
 greenpoint -- The Green Point logo
 .
 grotesq -- URW Grotesq font pack for LaTeX
 .
 hacm -- Font support for the Arka language
 .
 hands -- Pointing hand font
 .
 heuristica -- Fonts extending Utopia, with LaTeX support files
 .
 hfbright -- The hfbright fonts
 .
 hfoldsty -- Old style numerals with EC fonts
 .
 ifsym -- A collection of symbols
 .
 imfellenglish -- IM Fell English fonts with LaTeX support
 .
 inconsolata -- A monospaced font, with support files for use with TeX
 .
 initials -- Adobe Type 1 decorative initial fonts
 .
 inriafonts -- Inria fonts with LaTeX support
 .
 ipaex-type1 -- IPAex fonts converted to Type-1 format Unicode subfonts
 .
 iwona -- A two-element sans-serif font
 .
 jablantile -- Metafont version of tiles in the style of Slavik Jablan
 .
 jamtimes -- Expanded Times Roman fonts
 .
 junicode -- A TrueType font for mediaevalists
 .
 kixfont -- A font for KIX codes
 .
 kpfonts -- A complete set of fonts for text and mathematics
 .
 kurier -- A two-element sans-serif typeface
 .
 lato -- Lato font family and LaTeX support
 .
 lexend -- The Lexend fonts for XeLaTeX and LuaLaTeX through fontspec
 .
 lfb -- A Greek font with normal and bold variants
 .
 libertine -- Use of Linux Libertine and Biolinum fonts with LaTeX
 .
 libertinegc -- Libertine add-on to support Greek and Cyrillic
 .
 libertinus -- Wrapper to use the correct libertinus package according to the
 used TeX engine
 .
 libertinus-fonts -- The Libertinus font family
 .
 libertinus-otf -- Support for Libertinus OpenType
 .
 libertinus-type1 -- Support for using Libertinus fonts with LaTeX/pdfLaTeX
 .
 libertinust1math -- A Type 1 font and LaTeX support for Libertinus Math
 .
 librebaskerville -- LaTeX support for the Libre Baskerville family of fonts
 .
 librebodoni -- Libre Bodoni fonts with LaTeX support
 .
 librecaslon -- Libre Caslon fonts, with LaTeX support
 .
 librefranklin -- LaTeX support for the Libre-Franklin family of fonts
 .
 libris -- Libris ADF fonts, with LaTeX support
 .
 linearA -- Linear A script fonts
 .
 linguisticspro -- LinguisticsPro fonts with LaTeX support
 .
 lobster2 -- Lobster Two fonts, with support for all LaTeX engines
 .
 logix -- Supplement to the Unicode math symbols providing over 3,000 symbols
 .
 lxfonts -- Set of slide fonts based on CM
 .
 ly1 -- Support for LY1 LaTeX encoding
 .
 marcellus -- Marcellus fonts with LaTeX support
 .
 mathabx -- Three series of mathematical symbols
 .
 mathabx-type1 -- Outline version of the mathabx fonts
 .
 mathdesign -- Mathematical fonts to fit with particular text fonts
 .
 mdputu -- Upright digits in Adobe Utopia Italic
 .
 mdsymbol -- Symbol fonts to match Adobe Myriad Pro
 .
 merriweather -- Merriweather and MerriweatherSans fonts, with LaTeX support
 .
 miama -- The Miama Nueva handwriting font with LaTeX support
 .
 mintspirit -- LaTeX support for MintSpirit font families
 .
 missaali -- A late medieval OpenType textura font
 .
 mnsymbol -- Mathematical symbol font for Adobe MinionPro
 .
 montserrat -- Montserrat sans serif, otf and pfb, with LaTeX support files
 .
 mpfonts -- Computer Modern Type 3 fonts converted using MetaPost
 .
 mweights -- Support for multiple-weight font packages
 .
 newcomputermodern -- Computer Modern fonts including matching non-latin
 alphabets
 .
 newpx -- Alternative uses of the PX fonts, with improved metrics
 .
 newtx -- Alternative uses of the TX fonts, with improved metrics
 .
 newtxsf -- Sans-math fonts for use with newtx
 .
 newtxtt -- Enhancement of typewriter fonts from newtx
 .
 niceframe-type1 -- Type 1 versions of the fonts recommended in niceframe
 .
 nimbus15 -- Support files for Nimbus 2015 Core fonts
 .
 nkarta -- A "new" version of the karta cartographic fonts
 .
 noto -- Support for Noto fonts
 .
 obnov -- Obyknovennaya Novaya fonts
 .
 ocherokee -- LaTeX Support for the Cherokee language
 .
 ocr-b -- Fonts for OCR-B
 .
 ocr-b-outline -- OCR-B fonts in Type 1 and OpenType
 .
 ogham -- Fonts for typesetting Ogham script
 .
 oinuit -- LaTeX Support for the Inuktitut Language
 .
 old-arrows -- Computer Modern old-style arrows with smaller arrowheads
 .
 oldlatin -- Compute Modern-like font with long s
 .
 oldstandard -- OldStandard fonts with LaTeX support
 .
 opensans -- The Open Sans font family, and LaTeX support
 .
 orkhun -- A font for orkhun script
 .
 overlock -- Overlook sans fonts with LaTeX support
 .
 pacioli -- Fonts designed by Fra Luca de Pacioli in 1497
 .
 paratype -- LaTeX support for free fonts by ParaType
 .
 phaistos -- Disk of Phaistos font
 .
 phonetic -- Metafont Phonetic fonts, based on Computer Modern
 .
 pigpen -- A font for the pigpen (or masonic) cipher
 .
 playfair -- Playfair Display fonts with LaTeX support
 .
 plex -- Support for IBM Plex fonts
 .
 plex-otf -- Support for the OpenType font IBM Plex
 .
 poiretone -- poiretone fonts with LaTeX support
 .
 poltawski -- Antykwa Poltawskiego Family of Fonts
 .
 prodint -- A font that provides the product integral symbol
 .
 punk -- Donald Knuth's punk font
 .
 punk-latex -- LaTeX support for punk fonts
 .
 punknova -- OpenType version of Knuth's Punk font
 .
 pxtxalfa -- Virtual maths alphabets based on pxfonts and txfonts
 .
 qualitype -- The QualiType font collection
 .
 quattrocento -- LaTeX support for Quattrocento and Quattrocento Sans fonts
 .
 raleway -- Use Raleway with TeX(-alike) systems
 .
 recycle -- A font providing the "recyclable" logo
 .
 roboto -- Support for the Roboto family of fonts
 .
 romande -- Romande ADF fonts and LaTeX support
 .
 rosario -- Using the free Rosario fonts with LaTeX
 .
 rsfso -- A mathematical calligraphic font based on rsfs
 .
 sansmathaccent -- Correct placement of accents in sans-serif maths
 .
 sansmathfonts -- Correct placement of accents in sans-serif maths
 .
 sauter -- Wide range of design sizes for CM fonts
 .
 sauterfonts -- Use Sauter's fonts in LaTeX
 .
 scholax -- Extension of TeXGyreSchola (New Century Schoolbook) with math
 support
 .
 schulschriften -- German "school scripts" from Suetterlin to the present day
 .
 semaphor -- Semaphore alphabet font
 .
 shobhika -- An OpenType Devanagari font designed for scholars
 .
 skull -- A font to draw a skull
 .
 sourcecodepro -- Use SourceCodePro with TeX(-alike) systems
 .
 sourcesanspro -- Use SourceSansPro with TeX(-alike) systems
 .
 sourceserifpro -- Use SourceSerifPro with TeX(-alike) systems
 .
 starfont -- The StarFont Sans astrological font
 .
 staves -- Typeset Icelandic staves and runic letters
 .
 step -- A free Times-like font
 .
 stickstoo -- A reworking of STIX2
 .
 stix -- OpenType Unicode maths fonts
 .
 stix2-otf -- OpenType Unicode text and maths fonts
 .
 stix2-type1 -- Type1 versions of the STIX Two OpenType fonts
 .
 superiors -- Attach superior figures to a font family
 .
 svrsymbols -- A font with symbols for use in physics texts
 .
 tapir -- A simple geometrical font
 .
 tempora -- Greek and Cyrillic to accompany Times
 .
 tengwarscript -- LaTeX support for using Tengwar fonts
 .
 tfrupee -- A font offering the new (Indian) Rupee symbol
 .
 theanodidot -- TheanoDidot fonts with LaTeX support
 .
 theanomodern -- Theano Modern fonts with LaTeX support
 .
 theanooldstyle -- Theano OldStyle fonts with LaTeX support
 .
 tinos -- Tinos fonts with LaTeX support
 .
 tpslifonts -- A LaTeX package for configuring presentation fonts
 .
 trajan -- Fonts from the Trajan column in Rome
 .
 txfontsb -- Extensions to txfonts, using GNU Freefont
 .
 txuprcal -- Upright calligraphic font based on TX calligraphic
 .
 typicons -- Font containing a set of web-related icons
 .
 umtypewriter -- Fonts to typeset with the xgreek package
 .
 universa -- Herbert Bayer's 'universal' font
 .
 universalis -- Universalis font, with support
 .
 uppunctlm -- Always keep upright shape for some punctuation marks and Arabic
 numerals
 .
 urwchancal -- Use URW's clone of Zapf Chancery as a maths alphabet
 .
 venturisadf -- Venturis ADF fonts collection
 .
 wsuipa -- International Phonetic Alphabet fonts
 .
 xcharter -- Extension of Bitstream Charter fonts
 .
 xits -- A Scientific Times-like font with support for mathematical
 typesetting
 .
 yfonts -- Support for old German fonts
 .
 yfonts-t1 -- Old German-style fonts, in Adobe type 1 format
 .
 yinit-otf -- OTF conversion of Yannis Haralambous' Old German decorative
 initials
 .
 zlmtt -- Use Latin Modern Typewriter fonts

Package: texlive-fonts-extra-doc
Description-md5: f9855b4595b57fc990fb2a0bd72492ef
Description-en: TeX Live: Documentation files for texlive-fonts-extra
 This package provides the documentation for texlive-fonts-extra

Package: texlive-fonts-extra-links
Description-md5: cfbafe606825515a25fd7fae9ce2a5a5
Description-en: TeX Live:
 This package ships links to all the fonts that are originally in TeX Live,
 collection fonts-extra, but are not shipped in the Debian package
 texlive-fonts-extra due to availability in separate  packages. . For
 documents searching these fonts by file name instead of using fontconfig
 lookups, the font files need to be linked into the TEXMF tree of TeX Live.
 This package ships these links and depends on all the font packages
 required.

Package: texlive-fonts-recommended
Description-md5: 1ec742ce9c79e7b56e8eb13ed3f82305
Description-en: TeX Live: Recommended fonts
 Recommended fonts, including the base 35 PostScript fonts, Latin Modern, TeX
 Gyre, and T1 and other encoding support for Computer Modern, in outline
 form.
 .
 This package includes the following CTAN packages:
 .
 avantgar -- URW "Base 35" font pack for LaTeX
 .
 bookman -- URW "Base 35" font pack for LaTeX
 .
 charter -- Charter fonts
 .
 cmextra -- Knuth's local information
 .
 courier -- URW "Base 35" font pack for LaTeX
 .
 euro -- Provide Euro values for national currency amounts
 .
 euro-ce -- Euro and CE sign font
 .
 eurosym -- Metafont and macros for Euro sign
 .
 fpl -- SC and OsF fonts for URW Palladio L
 .
 helvetic -- URW "Base 35" font pack for LaTeX
 .
 marvosym -- Martin Vogel's Symbols (marvosym) font
 .
 mathpazo -- Fonts to typeset mathematics to match Palatino
 .
 manfnt-font -- Knuth's "manual" fonts
 .
 mflogo-font -- Metafont logo font
 .
 ncntrsbk -- URW "Base 35" font pack for LaTeX
 .
 palatino -- URW "Base 35" font pack for LaTeX
 .
 pxfonts -- Palatino-like fonts in support of mathematics
 .
 rsfs -- Ralph Smith's Formal Script font
 .
 symbol -- URW "Base 35" font pack for LaTeX
 .
 times -- URW "Base 35" font pack for LaTeX
 .
 txfonts -- Times-like fonts in support of mathematics
 .
 utopia -- Adobe Utopia fonts
 .
 wasy -- The wasy fonts (Waldi symbol fonts)
 .
 wasy-type1 -- Type 1 versions of wasy fonts
 .
 wasysym -- LaTeX support file to use the wasy fonts
 .
 zapfchan -- URW "Base 35" font pack for LaTeX
 .
 zapfding -- URW "Base 35" font pack for LaTeX

Package: texlive-fonts-recommended-doc
Description-md5: fedfa7c022515f5060512ccde356a7e7
Description-en: TeX Live: Documentation files for texlive-fonts-recommended
 This package provides the documentation for texlive-fonts-recommended

Package: texlive-formats-extra
Description-md5: e25d22c79571a4a61af9a3991d5f135d
Description-en: TeX Live: Additional formats
 Collected TeX `formats', i.e., large-scale macro packages designed to be
 dumped into .fmt files -- excluding the most common ones, such as latex and
 context, which have their own package(s). It also includes the Aleph engine
 and related Omega formats and packages.
 .
 This package includes the following CTAN packages:
 .
 edmac -- Typeset critical editions
 .
 eplain -- Extended plain TeX macros
 .
 jadetex -- Macros supporting Jade DSSSL output
 .
 lollipop -- TeX made easy
 .
 mltex -- The MLTeX system
 .
 passivetex -- Support package for XML/SGML typesetting
 .
 psizzl -- A TeX format for physics papers
 .
 startex -- An XML-inspired format for student use
 .
 texsis -- Plain TeX macros for Physicists
 .
 xmltex -- Support for parsing XML documents
 .
 xmltexconfig -- configuration files for xmltex and pdfxmltex
 .
 aleph -- Extended TeX
 .
 antomega -- Alternative language support for Omega/Lambda
 .
 lambda -- LaTeX format based on the Omega engine.
 .
 mxedruli -- A pair of fonts for different Georgian alphabets
 .
 omega -- A wide-character-set extension of TeX
 .
 omegaware -- A wide-character-set extension of TeX
 .
 otibet -- support for Tibetan using Omega

Package: texlive-full
Description-md5: bac7667046dc6d4bce324b8c7ee00074
Description-en: TeX Live: metapackage pulling in all components of TeX Live
 The TeX Live software distribution offers a complete TeX system. It
 encompasses programs for typesetting, previewing and printing of TeX
 documents in many different languages, and a large collection of TeX macros
 and font libraries. . The distribution also includes extensive general
 documentation about TeX, as well as the documentation accompanying the
 included software packages.

Package: texlive-games
Description-md5: 165c0fbc8fe01052098cc2033a244f77
Description-en: TeX Live: Games typesetting
 Setups for typesetting various games, including chess.
 .
 This package includes the following CTAN packages:
 .
 bartel-chess-fonts -- A set of fonts supporting chess diagrams
 .
 chess -- Fonts for typesetting chess boards
 .
 chess-problem-diagrams -- A package for typesetting chess problem diagrams
 .
 chessboard -- Print chess boards
 .
 chessfss -- A package to handle chess fonts
 .
 crossword -- Typeset crossword puzzles
 .
 crosswrd -- Macros for typesetting crossword puzzles
 .
 egameps -- LaTeX package for typesetting extensive games
 .
 gamebook -- Typeset gamebooks and other interactive novels
 .
 go -- Fonts and macros for typesetting go games
 .
 hanoi -- Tower of Hanoi in TeX
 .
 havannah -- Diagrams of board positions in the games of Havannah and Hex
 .
 hexgame -- Provide an environment to draw a hexgame-board
 .
 hmtrump -- Describe card games
 .
 horoscop -- Generate astrological charts in LaTeX
 .
 jigsaw -- Draw jigsaw pieces with TikZ
 .
 labyrinth -- Draw labyrinths and solution paths
 .
 logicpuzzle -- Typeset (grid-based) logic puzzles
 .
 musikui -- Easy creation of "arithmetical restoration" puzzles
 .
 onedown -- Typeset Bridge Diagrams
 .
 othello -- Modification of a Go package to create othello boards
 .
 othelloboard -- Typeset Othello (Reversi) diagrams of any size, with
 annotations
 .
 pas-crosswords -- Creating crossword grids, using TikZ
 .
 psgo -- Typeset go diagrams with PSTricks
 .
 reverxii -- Playing Reversi in TeX
 .
 rubik -- Document Rubik cube configurations and rotation sequences
 .
 schwalbe-chess -- Typeset the German chess magazine "Die Schwalbe"
 .
 sgame -- LaTeX style for typesetting strategic games
 .
 skak -- Fonts and macros for typesetting chess games
 .
 skaknew -- The skak chess fonts redone in Adobe Type 1
 .
 soup -- Generate alphabet soup puzzles
 .
 sudoku -- Create sudoku grids
 .
 sudokubundle -- A set of sudoku-related packages
 .
 xq -- Support for writing about xiangqi
 .
 xskak -- An extension to the skak package for chess typesetting

Package: texlive-humanities
Description-md5: 0793583dbfe3f91a384d832f4fa0c2b6
Description-en: TeX Live: Humanities packages
 Packages for law, linguistics, social sciences, humanities, etc.
 .
 This package includes the following CTAN packages:
 .
 adtrees -- Macros for drawing adpositional trees
 .
 bibleref -- Format bible citations
 .
 bibleref-lds -- Bible references, including those to the scriptures of the
 Church of Jesus Christ of Latter Day Saints
 .
 bibleref-mouth -- Consistent formatting of Bible references
 .
 bibleref-parse -- Specify Bible passages in human-readable format
 .
 covington -- Linguistic support
 .
 diadia -- Package to keep a diabetes diary
 .
 dramatist -- Typeset dramas, both in verse and in prose
 .
 dvgloss -- Facilities for setting interlinear glossed text
 .
 ecltree -- Trees using epic and eepic macros
 .
 edfnotes -- Critical annotations to footnotes with ednotes
 .
 ednotes -- Typeset scholarly editions
 .
 eledform -- Define textual variants
 .
 eledmac -- Typeset scholarly editions
 .
 expex -- Linguistic examples and glosses, with reference capabilities
 .
 gb4e -- Linguistic tools
 .
 gmverse -- A package for typesetting (short) poems
 .
 jura -- A document class for German legal texts
 .
 juraabbrev -- Abbreviations for typesetting (German) juridical documents
 .
 juramisc -- Typesetting German juridical documents
 .
 jurarsp -- Citations of judgements and official documents in (German)
 juridical documents
 .
 ledmac -- Typeset scholarly editions
 .
 lexikon -- Macros for a two language dictionary
 .
 lexref -- Convenient and uniform references to legal provisions
 .
 ling-macros -- Macros for typesetting formal linguistics
 .
 linguex -- Format linguists' examples
 .
 liturg -- Support for typesetting Catholic liturgical texts
 .
 metrix -- Typeset metric marks for Latin text
 .
 parallel -- Typeset parallel texts
 .
 parrun -- Typesets (two) streams of text running parallel
 .
 phonrule -- Typeset linear phonological rules
 .
 plari -- Typesetting stageplay scripts
 .
 play -- Typeset drama using LaTeX
 .
 poemscol -- Typesetting Critical Editions of Poetry
 .
 poetry -- Facilities for typesetting poetry and poetical structure
 .
 poetrytex -- Typeset anthologies of poetry
 .
 qobitree -- LaTeX macros for typesetting trees
 .
 qtree -- Draw tree structures
 .
 reledmac -- Typeset scholarly editions
 .
 rrgtrees -- Linguistic tree diagrams for Role and Reference Grammar (RRG)
 with LaTeX
 .
 rtklage -- A package for German lawyers
 .
 screenplay -- A class file to typeset screenplays
 .
 screenplay-pkg -- Package version of the screenplay document class
 .
 sides -- A LaTeX class for typesetting stage plays
 .
 stage -- A LaTeX class for stage plays
 .
 textglos -- Typeset and index linguistic gloss abbreviations
 .
 theatre -- A sophisticated package for typesetting stage plays
 .
 thalie -- Typeset drama plays
 .
 tree-dvips -- Trees and other linguists' macros
 .
 verse -- Aids for typesetting simple verse
 .
 xyling -- Draw syntactic trees, etc., for linguistics literature, using
 xy-pic

Package: texlive-humanities-doc
Description-md5: 7970c09be020df4008b079e83da54e9d
Description-en: TeX Live: Documentation files for texlive-humanities
 This package provides the documentation for texlive-humanities

Package: texlive-lang-all
Description-md5: 496815a155b48bc41219109cd9fd110b
Description-en: TeX Live: metapackage depending on all TeX Live language packages
 This package pulls in all texlive-lang-* packages.

Package: texlive-lang-arabic
Description-md5: a7a04600e90f511e719b504369904668
Description-en: TeX Live: Arabic
 Support for Arabic and Persian.
 .
 This package includes the following CTAN packages:
 .
 alkalami -- A font for Arabic-based writing systems in Nigeria and Niger
 .
 alpha-persian -- Persian version of alpha.bst
 .
 amiri -- A classical Arabic typeface, Naskh style
 .
 arabi -- (La)TeX support for Arabic and Farsi, compliant with Babel
 .
 arabi-add -- Using hyperref and bookmark packages with arabic and farsi
 languages
 .
 arabluatex -- ArabTeX for LuaLaTeX
 .
 arabtex -- Macros and fonts for typesetting Arabic
 .
 bidi -- Bidirectional typesetting in plain TeX and LaTeX, using XeTeX
 .
 bidihl -- Experimental bidi-aware text highlighting
 .
 dad -- Simple typesetting system for mixed Arabic/Latin documents
 .
 ghab -- Typeset ghab boxes in LaTeX
 .
 hyphen-arabic -- (No) Arabic hyphenation patterns.
 .
 hyphen-farsi -- (No) Persian hyphenation patterns.
 .
 imsproc -- Typeset IMS conference proceedings
 .
 kurdishlipsum -- A 'lipsum' package for the Kurdish language
 .
 lshort-persian -- Persian (Farsi) introduction to LaTeX
 .
 luabidi -- Bidi functions for LuaTeX
 .
 na-box -- Arabic-aware version of pas-cours package
 .
 persian-bib -- Persian translations of classic BibTeX styles
 .
 sexam -- Package for typesetting arabic exam scripts
 .
 simurgh -- Typeset Parsi in LuaLaTeX
 .
 tram -- Typeset tram boxes in LaTeX
 .
 xepersian -- Persian for LaTeX, using XeTeX

Package: texlive-lang-chinese
Description-md5: 78459a8925ebb1096ee0c19b78561c14
Description-en: TeX Live: Chinese
 Support for Chinese; additional packages in collection-langcjk.
 .
 This package includes the following CTAN packages:
 .
 arphic-ttf -- TrueType version of Chinese Arphic fonts
 .
 asymptote-by-example-zh-cn -- Asymptote by example
 .
 asymptote-faq-zh-cn -- Asymptote FAQ (Chinese translation)
 .
 asymptote-manual-zh-cn -- A Chinese translation of the asymptote manual
 .
 cns -- Chinese/Japanese/Korean bitmap fonts
 .
 ctex -- LaTeX classes and packages for Chinese typesetting
 .
 ctex-faq -- LaTeX FAQ by the Chinese TeX Society (ctex.org)
 .
 fandol -- Four basic fonts for Chinese typesetting
 .
 fduthesis -- LaTeX thesis template for Fudan University
 .
 hyphen-chinese -- Chinese pinyin hyphenation patterns.
 .
 impatient-cn -- Free edition of the book "TeX for the Impatient"
 .
 latex-notes-zh-cn -- Chinese Introduction to TeX and LaTeX
 .
 lshort-chinese -- Introduction to LaTeX, in Chinese
 .
 nanicolle -- Herbarium specimen labels in Chinese
 .
 njurepo -- Reports for Nanjing University
 .
 pgfornament-han -- pgfornament library for Chinese traditional motifs and
 patterns
 .
 texlive-zh-cn -- TeX Live manual (Chinese)
 .
 texproposal -- A proposal prototype for LaTeX promotion in Chinese
 universities
 .
 xtuthesis -- XTU thesis template
 .
 upzhkinsoku -- Supplementary Chinese kinsoku for Unicode *pTeX
 .
 xpinyin -- Automatically add pinyin to Chinese characters
 .
 zhlineskip -- Line spacing for CJK documents
 .
 zhlipsum -- Chinese dummy text
 .
 zhmetrics -- TFM subfont files for using Chinese fonts in 8-bit TeX
 .
 zhmetrics-uptex -- Chinese font metrics for upTeX
 .
 zhnumber -- Typeset Chinese representations of numbers
 .
 zhspacing -- Spacing for mixed CJK-English documents in XeTeX

Package: texlive-lang-cjk
Description-md5: 7fd610bc1e2af8c953650f66964f0b2a
Description-en: TeX Live: Chinese/Japanese/Korean (base)
 Packages supporting a combination of Chinese, Japanese, Korean, including
 macros, fonts, documentation.  Also Thai in the c90 encoding, since there is
 some overlap in those fonts; standard Thai support is in
 collection-langother.  Additional packages for CJK are in their individual
 language collections.
 .
 This package includes the following CTAN packages:
 .
 c90 -- c90 font encoding for Thai
 .
 cjk-gs-integrate -- Tools to integrate CJK fonts into Ghostscript
 .
 cjkpunct -- Adjust locations and kerning of CJK punctuation marks
 .
 garuda-c90 -- TeX support (from CJK) for the garuda font
 .
 fixjfm -- Fix JFM (for *pTeX)
 .
 jfmutil -- Utility to process pTeX-extended TFM and VF
 .
 norasi-c90 -- TeX support (from CJK) for the norasi font
 .
 pxtatescale -- Patch to graphics driver for scaling in vertical direction of
 pTeX
 .
 xcjk2uni -- Convert CJK characters to Unicode, in pdfTeX
 .
 zxjafont -- Set up Japanese font families for XeLaTeX

Package: texlive-lang-cyrillic
Description-md5: 2d95edcdc0f22b278e15c2a686d03b00
Description-en: TeX Live: Cyrillic
 Support for Cyrillic scripts (Bulgarian, Russian, Serbian, Ukrainian), even
 if Latin alphabets may also be used.
 .
 This package includes the following CTAN packages:
 .
 babel-belarusian -- Babel support for Belarusian
 .
 babel-bulgarian -- Babel contributed support for Bulgarian
 .
 babel-russian -- Russian language module for Babel
 .
 babel-serbian -- Babel/Polyglossia support for Serbian
 .
 babel-serbianc -- Babel module to support Serbian Cyrillic
 .
 babel-ukrainian -- Babel support for Ukrainian
 .
 churchslavonic -- Typeset documents in Church Slavonic language using
 Unicode
 .
 cmcyr -- Computer Modern fonts with cyrillic extensions
 .
 cyrillic -- Support for Cyrillic fonts in LaTeX
 .
 cyrillic-bin -- Cyrillic bibtex and makeindex
 .
 cyrplain -- Support for using T2 encoding
 .
 disser -- Class and templates for typesetting dissertations in Russian
 .
 eskd -- Modern Russian typesetting
 .
 eskdx -- Modern Russian typesetting
 .
 gost -- BibTeX styles to format according to GOST
 .
 hyphen-belarusian -- Belarusian hyphenation patterns.
 .
 hyphen-bulgarian -- Bulgarian hyphenation patterns.
 .
 hyphen-churchslavonic -- Church Slavonic hyphenation patterns.
 .
 hyphen-mongolian -- Mongolian hyphenation patterns in Cyrillic script.
 .
 hyphen-russian -- Russian hyphenation patterns.
 .
 hyphen-serbian -- Serbian hyphenation patterns.
 .
 hyphen-ukrainian -- Ukrainian hyphenation patterns.
 .
 lcyw -- Make Classic Cyrillic CM fonts accessible in LaTeX
 .
 lh -- Cyrillic fonts that support LaTeX standard encodings
 .
 lhcyr -- A non-standard Cyrillic input scheme
 .
 lshort-bulgarian -- Bulgarian translation of the "Short Introduction to
 LaTeX2e"
 .
 lshort-mongol -- Short introduction to LaTeX, in Mongolian
 .
 lshort-russian -- Russian introduction to LaTeX
 .
 lshort-ukr -- Ukrainian version of the LaTeX introduction
 .
 mongolian-babel -- A language definition file for Mongolian in Babel
 .
 montex -- Mongolian LaTeX
 .
 mpman-ru -- A Russian translation of the MetaPost manual
 .
 numnameru -- Converts a number to the russian spelled out name
 .
 pst-eucl-translation-bg -- Bulgarian translation of the pst-eucl
 documentation
 .
 ruhyphen -- Russian hyphenation
 .
 russ -- LaTeX in Russian, without babel
 .
 serbian-apostrophe -- Commands for Serbian words with apostrophes
 .
 serbian-date-lat -- Updated date typesetting for Serbian
 .
 serbian-def-cyr -- Serbian cyrillic localization
 .
 serbian-lig -- Control ligatures in Serbian
 .
 t2 -- Support for using T2 encoding
 .
 texlive-ru -- TeX Live manual (Russian)
 .
 texlive-sr -- TeX Live manual (Serbian)
 .
 ukrhyph -- Hyphenation Patterns for Ukrainian
 .
 xecyrmongolian -- Basic support for the typesetting of Cyrillic Mongolian
 documents using (Xe|Lua)LaTeX

Package: texlive-lang-czechslovak
Description-md5: 4958194dacbf87791358c95fd7ff0476
Description-en: TeX Live: Czech/Slovak
 Support for Czech/Slovak.
 .
 This package includes the following CTAN packages:
 .
 babel-czech -- Babel support for Czech
 .
 babel-slovak -- Babel support for typesetting Slovak
 .
 cnbwp -- Typeset working papers of the Czech National Bank
 .
 cs -- Czech/Slovak-tuned Computer Modern fonts
 .
 csbulletin -- LaTeX class for articles submitted to the CSTUG Bulletin
 (Zpravodaj)
 .
 cslatex -- LaTeX support for Czech/Slovak typesetting
 .
 csplain -- Plain TeX multilanguage support
 .
 cstex -- Support for Czech/Slovak languages
 .
 hyphen-czech -- Czech hyphenation patterns.
 .
 hyphen-slovak -- Slovak hyphenation patterns.
 .
 vlna -- add ~ after non-syllabic preposition, for Czech/Slovak
 .
 lshort-czech -- Czech translation of the "Short Introduction to LaTeX2e"
 .
 lshort-slovak -- Slovak introduction to LaTeX
 .
 texlive-cz -- TeX Live manual (Czech/Slovak)

Package: texlive-lang-english
Description-md5: 98dd3f9e2694da08355df7fce0ca5737
Description-en: TeX Live: US and UK English
 Support for, and documentation in, English.
 .
 This package includes the following CTAN packages:
 .
 hyphen-english -- English hyphenation patterns.
 .
 MemoirChapStyles -- Chapter styles in memoir class
 .
 Type1fonts -- Font installation guide
 .
 amscls-doc -- User documentation for AMS document classes
 .
 amslatex-primer -- Getting up and running with AMS-LaTeX
 .
 around-the-bend -- Typeset exercises in TeX, with answers
 .
 ascii-chart -- An ASCII wall chart
 .
 biblatex-cheatsheet -- BibLaTeX/Biber 'cheat sheet'
 .
 components-of-TeX -- Components of TeX
 .
 comprehensive -- Symbols accessible from LaTeX
 .
 docsurvey -- A survey of LaTeX documentation
 .
 dtxtut -- Tutorial on writing .dtx and .ins files
 .
 first-latex-doc -- A document for absolute LaTeX beginners
 .
 forest-quickstart -- Quickstart Guide for Linguists package "forest"
 .
 gentle -- A Gentle Introduction to TeX
 .
 guide-to-latex -- examples and more from Guide to LaTeX, by Kopka and Daly
 .
 happy4th -- A firework display in obfuscated TeX
 .
 impatient -- Free edition of the book "TeX for the Impatient"
 .
 intro-scientific -- Introducing scientific/mathematical documents using
 LaTeX
 .
 knuth -- Knuth's published errata
 .
 l2tabu-english -- English translation of "Obsolete packages and commands"
 .
 latex-brochure -- A publicity flyer for LaTeX
 .
 latex-course -- A LaTeX course as a projected presentation
 .
 latex-doc-ptr -- A direction-finder for LaTeX documentation
 .
 latex-graphics-companion -- Examples from The LaTeX Graphics Companion
 .
 latex-refsheet -- LaTeX Reference Sheet for a thesis with KOMA-Script
 .
 latex-veryshortguide -- The Very Short Guide to LaTeX
 .
 latex-web-companion -- Examples from The LaTeX Web Companion
 .
 latex2e-help-texinfo -- Unofficial reference manual covering LaTeX2e
 .
 latex4wp -- A LaTeX guide specifically designed for word processor users
 .
 latexcheat -- A LaTeX cheat sheet
 .
 latexcourse-rug -- A LaTeX course book
 .
 latexfileinfo-pkgs -- A comparison of packages showing LaTeX file
 information
 .
 lshort-english -- A (Not So) Short Introduction to LaTeX2e
 .
 macros2e -- A list of internal LaTeX2e macros
 .
 math-e -- Examples from the book Typesetting Mathematics with LaTeX
 .
 math-into-latex-4 -- Samples from Math into LaTeX, 4th Edition
 .
 maths-symbols -- Summary of mathematical symbols available in LaTeX
 .
 memdesign -- Notes on book design
 .
 metafont-beginners -- An introductory tutorial for Metafont
 .
 metapost-examples -- Example drawings using MetaPost
 .
 patgen2-tutorial -- A tutorial on the use of Patgen 2
 .
 pictexsum -- A summary of PicTeX commands
 .
 plain-doc -- A list of plain.tex cs names
 .
 presentations-en -- Examples from the book Presentations with LaTeX
 .
 short-math-guide -- Guide to using amsmath and related packages to typeset
 mathematical notation with LaTeX
 .
 simplified-latex -- A Simplified Introduction to LaTeX
 .
 svg-inkscape -- How to include an SVG image in LaTeX using Inkscape
 .
 tabulars-e -- Examples from the book "Typesetting tables with LaTeX"
 .
 tamethebeast -- A manual about bibliographies and especially BibTeX
 .
 tds -- The TeX Directory Structure standard
 .
 tex-font-errors-cheatsheet -- Cheat sheet outlining the most common TeX font
 errors
 .
 tex-overview -- An overview of the development of TeX
 .
 tex-refs -- References for TeX and Friends
 .
 texbytopic -- Freed version of the book TeX by Topic
 .
 texonly -- A sample document in Plain TeX
 .
 titlepages -- Sample titlepages, and how to code them
 .
 tlc2 -- Examples from "The LaTeX Companion", second edition
 .
 undergradmath -- LaTeX Math for Undergraduates cheat sheet
 .
 visualfaq -- A Visual LaTeX FAQ
 .
 webguide -- Brief Guide to LaTeX Tools for Web publishing
 .
 xetexref -- Reference documentation of XeTeX

Package: texlive-lang-european
Description-md5: 77d6952357a960e912f39be9ebfc1434
Description-en: TeX Live: Other European languages
 Support for a number of European languages; others (Greek, German,
 French, ...) have their own collections, depending simply on the size of the
 support.
 .
 This package includes the following CTAN packages:
 .
 armtex -- A system for writing Armenian with TeX and LaTeX
 .
 babel-albanian -- Support for Albanian within babel
 .
 babel-bosnian -- Babel contrib support for Bosnian
 .
 babel-breton -- Babel contributed support for Breton
 .
 babel-croatian -- Babel contributed support for Croatian
 .
 babel-danish -- Babel contributed support for Danish
 .
 babel-dutch -- Babel contributed support for Dutch
 .
 babel-estonian -- Babel support for Estonian
 .
 babel-finnish -- Babel/Polyglossia support for Finnish
 .
 babel-friulan -- Babel/Polyglossia support for Friulan(Furlan)
 .
 babel-hungarian -- Babel support for Hungarian (Magyar)
 .
 babel-icelandic -- Babel support for Icelandic
 .
 babel-irish -- Babel support for Irish
 .
 babel-kurmanji -- Babel support for Kurmanji
 .
 babel-latin -- Babel support for Latin
 .
 babel-latvian -- Babel support for Latvian
 .
 babel-macedonian -- Babel module to support Macedonian Cyrillic
 .
 babel-norsk -- Babel support for Norwegian
 .
 babel-occitan -- Babel support for Occitan
 .
 babel-piedmontese -- Babel support for Piedmontese
 .
 babel-romanian -- Babel support for Romanian
 .
 babel-romansh -- Babel/Polyglossia support for the Romansh language
 .
 babel-samin -- Babel support for Samin
 .
 babel-scottish -- Babel support for Scottish Gaelic
 .
 babel-slovenian -- Babel support for typesetting Slovenian
 .
 babel-swedish -- Babel support for typesetting Swedish
 .
 babel-turkish -- Babel support for Turkish documents
 .
 babel-welsh -- Babel support for Welsh
 .
 finbib -- A Finnish version of plain.bst
 .
 gloss-occitan -- Polyglossia support for Occitan
 .
 hrlatex -- LaTeX support for Croatian documents
 .
 hulipsum -- Hungarian dummy text (Lorum ipse)
 .
 hyphen-croatian -- Croatian hyphenation patterns.
 .
 hyphen-danish -- Danish hyphenation patterns.
 .
 hyphen-dutch -- Dutch hyphenation patterns.
 .
 hyphen-estonian -- Estonian hyphenation patterns.
 .
 hyphen-finnish -- Finnish hyphenation patterns.
 .
 hyphen-friulan -- Friulan hyphenation patterns.
 .
 hyphen-hungarian -- Hungarian hyphenation patterns.
 .
 hyphen-icelandic -- Icelandic hyphenation patterns.
 .
 hyphen-irish -- Irish hyphenation patterns.
 .
 hyphen-kurmanji -- Kurmanji hyphenation patterns.
 .
 hyphen-latin -- Latin hyphenation patterns.
 .
 hyphen-latvian -- Latvian hyphenation patterns.
 .
 hyphen-lithuanian -- Lithuanian hyphenation patterns.
 .
 hyphen-norwegian -- Norwegian Bokmal and Nynorsk hyphenation patterns.
 .
 hyphen-occitan -- Occitan hyphenation patterns.
 .
 hyphen-piedmontese -- Piedmontese hyphenation patterns.
 .
 hyphen-romanian -- Romanian hyphenation patterns.
 .
 hyphen-romansh -- Romansh hyphenation patterns.
 .
 hyphen-slovenian -- Slovenian hyphenation patterns.
 .
 hyphen-swedish -- Swedish hyphenation patterns.
 .
 hyphen-turkish -- Turkish hyphenation patterns.
 .
 hyphen-uppersorbian -- Upper Sorbian hyphenation patterns.
 .
 hyphen-welsh -- Welsh hyphenation patterns.
 .
 lithuanian -- Lithuanian language support
 .
 lshort-dutch -- Introduction to LaTeX in Dutch
 .
 lshort-estonian -- Estonian introduction to LaTeX
 .
 lshort-finnish -- Finnish introduction to LaTeX
 .
 lshort-slovenian -- Slovenian translation of lshort
 .
 lshort-turkish -- Turkish introduction to LaTeX
 .
 nevelok -- LaTeX package for automatic definite articles for Hungarian
 .
 swebib -- Swedish bibliography styles
 .
 turkmen -- Babel support for Turkmen

Package: texlive-lang-french
Description-md5: 4a9b39f2cb8ac82ee179038c5a33a219
Description-en: TeX Live: French
 Support for French and Basque.
 .
 This package includes the following CTAN packages:
 .
 aeguill -- Add several kinds of guillemets to the ae fonts
 .
 apprendre-a-programmer-en-tex -- The book "Apprendre a programmer en TeX"
 .
 apprends-latex -- Apprends LaTeX!
 .
 babel-basque -- Babel contributed support for Basque
 .
 babel-french -- Babel contributed support for French
 .
 basque-book -- Class for book-type documents written in Basque
 .
 basque-date -- Print the date in Basque
 .
 bib-fr -- French translation of classical BibTeX styles
 .
 bibleref-french -- French translations for bibleref
 .
 booktabs-fr -- French translation of booktabs documentation
 .
 droit-fr -- Document class and bibliographic style for French law
 .
 e-french -- Comprehensive LaTeX support for French-language typesetting
 .
 epslatex-fr -- French version of "graphics in LaTeX"
 .
 facture -- Generate an invoice
 .
 formation-latex-ul -- Introductory LaTeX course in French
 .
 frenchmath -- Typesetting mathematics according to French rules
 .
 frletter -- Typeset letters in the French style
 .
 hyphen-basque -- Basque hyphenation patterns.
 .
 hyphen-french -- French hyphenation patterns.
 .
 impatient-fr -- Free edition of the book "TeX for the Impatient"
 .
 impnattypo -- Support typography of l'Imprimerie Nationale Francaise
 .
 l2tabu-french -- French translation of l2tabu
 .
 latex2e-help-texinfo-fr -- A French translation of "latex2e-help-texinfo"
 .
 lshort-french -- Short introduction to LaTeX, French translation
 .
 mafr -- Mathematics in accord with French usage
 .
 tabvar -- Typesetting tables showing variations of functions
 .
 tdsfrmath -- Macros for French teachers of mathematics
 .
 texlive-fr -- TeX Live manual (French)
 .
 translation-array-fr -- French translation of the documentation of array
 .
 translation-dcolumn-fr -- French translation of the documentation of dcolumn
 .
 translation-natbib-fr -- French translation of the documentation of natbib
 .
 translation-tabbing-fr -- French translation of the documentation of Tabbing
 .
 variations -- Typeset tables of variations of functions
 .
 visualtikz -- Visual help for TikZ based on images with minimum text

Package: texlive-lang-german
Description-md5: 4fc2a20b52a253d73ad0d3f672af4766
Description-en: TeX Live: German
 Support for German.
 .
 This package includes the following CTAN packages:
 .
 apalike-german -- A copy of apalike.bst with German localization
 .
 babel-german -- Babel support for documents written in German
 .
 bibleref-german -- German adaptation of bibleref
 .
 booktabs-de -- German version of booktabs
 .
 csquotes-de -- German translation of csquotes documentation
 .
 dehyph -- German hyphenation patterns for traditional orthography
 .
 dehyph-exptl -- Experimental hyphenation patterns for the German language
 .
 dhua -- German abbreviations using thin space
 .
 einfuehrung -- Examples from the book Einfuhrung in LaTeX
 .
 einfuehrung2 -- Examples from the book Einfuhrung in LaTeX
 .
 etdipa -- Simple, lightweight template for scientific documents
 .
 etoolbox-de -- German translation of documentation of etoolbox
 .
 fifinddo-info -- German HTML beamer presentation on nicetext and morehype
 .
 german -- Support for German typography
 .
 germbib -- German variants of standard BibTeX styles
 .
 germkorr -- Change kerning for German quotation marks
 .
 hausarbeit-jura -- Class for writing "juristische Hausarbeiten" at German
 Universities
 .
 hyphen-german -- German hyphenation patterns.
 .
 koma-script-examples -- Examples from the KOMA-Script book
 .
 l2picfaq -- LaTeX pictures "how-to" (German)
 .
 l2tabu -- Obsolete packages and commands
 .
 latex-bib-ex -- Examples for the book Bibliografien mit LaTeX
 .
 latex-bib2-ex -- Examples for the book Bibliografien mit LaTeX
 .
 latex-referenz -- Examples from the book "LaTeX Referenz"
 .
 latex-tabellen -- LaTeX Tabellen
 .
 latexcheat-de -- A LaTeX cheat sheet, in German
 .
 lshort-german -- German version of A Short Introduction to LaTeX2e:
 LaTeX2e-Kurzbeschreibung
 .
 lualatex-doc-de -- Guide to LuaLaTeX (German translation)
 .
 microtype-de -- Translation into German of the documentation of microtype
 .
 milog -- A LaTeX class for fulfilling the documentation duties according to
 the German minimum wage law MiLoG
 .
 presentations -- Examples from the book Presentationen mit LaTeX
 .
 r_und_s -- Chemical hazard codes
 .
 schulmathematik -- Commands and document classes for German-speaking
 teachers of mathematics and physics
 .
 templates-fenn -- Templates for TeX usage
 .
 templates-sommer -- Templates for TeX usage
 .
 termcal-de -- German localization for termcal
 .
 texlive-de -- TeX Live manual (German)
 .
 tipa-de -- German translation of tipa documentation
 .
 translation-arsclassica-de -- German version of arsclassica
 .
 translation-biblatex-de -- German translation of the User Guide of BibLaTeX
 .
 translation-chemsym-de -- German version of chemsym
 .
 translation-ecv-de -- Ecv documentation, in German
 .
 translation-enumitem-de -- Enumitem documentation, in German
 .
 translation-europecv-de -- German version of europecv
 .
 translation-filecontents-de -- German version of filecontents
 .
 translation-moreverb-de -- German version of moreverb
 .
 udesoftec -- Thesis class for the University of Duisburg-Essen
 .
 uhrzeit -- Time printing, in German
 .
 umlaute -- German input encodings in LaTeX
 .
 voss-mathcol -- Typesetting mathematics in colour, in (La)TeX

Package: texlive-lang-greek
Description-md5: ec728906c06b5ff7cc0abe78eeb77a5b
Description-en: TeX Live: Greek
 Support for Greek.
 .
 This package includes the following CTAN packages:
 .
 babel-greek -- Babel support for documents written in Greek
 .
 begingreek -- Greek environment to be used with pdfLaTeX only
 .
 betababel -- Insert ancient greek text coded in Beta Code
 .
 bgreek -- Using Beccari's fonts in betacode for classical Greek
 .
 cbfonts -- Complete set of Greek fonts
 .
 cbfonts-fd -- LaTeX font description files for the CB Greek fonts
 .
 gfsbaskerville -- A Greek font, from one such by Baskerville
 .
 gfsporson -- A Greek font, originally from Porson
 .
 greek-fontenc -- LICR macros and encoding definition files for Greek
 .
 greek-inputenc -- Greek encoding support for inputenc
 .
 greekdates -- Provides ancient Greek day and month names, dates, etc
 .
 greektex -- Fonts for typesetting Greek/English documents
 .
 greektonoi -- Facilitates writing/editing of multiaccented greek
 .
 hyphen-greek -- Modern Greek hyphenation patterns.
 .
 hyphen-ancientgreek -- Ancient Greek hyphenation patterns.
 .
 ibycus-babel -- Use the Ibycus 4 Greek font with Babel
 .
 ibygrk -- Fonts and macros to typeset ancient Greek
 .
 kerkis -- Kerkis (Greek) font family
 .
 levy -- Fonts for typesetting classical greek
 .
 lgreek -- LaTeX macros for using Silvio Levy's Greek fonts
 .
 mkgrkindex -- Makeindex working with Greek
 .
 teubner -- Philological typesetting of classical Greek
 .
 xgreek -- XeLaTeX package for typesetting Greek language documents (beta
 release)
 .
 yannisgr -- Greek fonts by Yannis Haralambous

Package: texlive-lang-italian
Description-md5: 64a10bfe3e79fa20e5abfa9e0fc51bf0
Description-en: TeX Live: Italian
 Support for Italian.
 .
 This package includes the following CTAN packages:
 .
 amsldoc-it -- Italian translation of amsldoc
 .
 amsmath-it -- Italian translations of some old amsmath documents
 .
 amsthdoc-it -- Italian translation of amsthdoc: Using the amsthm package
 .
 babel-italian -- Babel support for Italian text
 .
 codicefiscaleitaliano -- Test the consistency of the Italian personal Fiscal
 Code
 .
 fancyhdr-it -- Italian translation of fancyhdr documentation
 .
 fixltxhyph -- Allow hyphenation of partially-emphasised substrings
 .
 frontespizio -- Create a frontispiece for Italian theses
 .
 hyphen-italian -- Italian hyphenation patterns.
 .
 itnumpar -- Spell numbers in words (Italian)
 .
 l2tabu-italian -- Italian Translation of Obsolete packages and commands
 .
 latex4wp-it -- LaTeX guide for word processor users, in Italian
 .
 layaureo -- A package to improve the A4 page layout
 .
 lshort-italian -- Introduction to LaTeX in Italian
 .
 psfrag-italian -- PSfrag documentation in Italian
 .
 texlive-it -- TeX Live manual (Italian)
 .
 verifica -- Typeset (Italian high school) exercises

Package: texlive-lang-japanese
Description-md5: 82ca09784fe7b03739021e8eabdef07a
Description-en: TeX Live: Japanese
 Support for Japanese; additional packages are in collection-langcjk.
 .
 This package includes the following CTAN packages:
 .
 ascmac -- Boxes and picture macros with Japanese vertical writing support
 .
 babel-japanese -- Babel support for Japanese
 .
 bxbase -- BX bundle base components
 .
 bxcjkjatype -- Typeset Japanese with pdfLaTeX and CJK
 .
 bxghost -- Ghost insertion for proper xkanjiskip
 .
 bxjaholiday -- Support for Japanese holidays
 .
 bxjalipsum -- Dummy text in Japanese
 .
 bxjaprnind -- Adjust the position of parentheses at paragraph head
 .
 bxjatoucs -- Convert Japanese character code to Unicode
 .
 bxjscls -- Japanese document class collection for all major engines
 .
 bxorigcapt -- To retain the original caption names when using Babel
 .
 bxwareki -- Convert dates from Gregorian to Japanese calender
 .
 convbkmk -- Correct platex/uplatex bookmarks in PDF created with hyperref
 .
 endnotesj -- Japanese-style endnotes
 .
 gentombow -- Generate Japanese-style crop marks
 .
 haranoaji -- Harano Aji Fonts
 .
 haranoaji-extra -- Harano Aji Fonts
 .
 ifptex -- Check if the engine is pTeX or one of its derivatives
 .
 ifxptex -- Detect pTeX and its derivatives
 .
 ipaex -- IPA (Japanese) fonts
 .
 japanese-otf -- Advanced font selection for platex and its friends
 .
 japanese-otf-uptex -- Support for Japanese OTF files in upLaTeX
 .
 jlreq -- Japanese document class based on requirements for Japanese text
 layout
 .
 jsclasses -- Classes tailored for use with Japanese
 .
 lshort-japanese -- Japanese version of A Short Introduction to LaTeX2e
 .
 luatexja -- Typeset Japanese with Lua(La)TeX
 .
 mendex-doc -- Documentation for Mendex index processor
 .
 morisawa -- Enables selection of 5 standard Japanese fonts for pLaTeX +
 dvips
 .
 pbibtex-base -- Bibliography styles and miscellaneous files for pBibTeX
 .
 platex -- pLaTeX2e and miscellaneous macros for pTeX
 .
 platex-tools -- pLaTeX standard tools bundle
 .
 platexcheat -- A LaTeX cheat sheet, in Japanese
 .
 plautopatch -- Automated patches for pLaTeX/upLaTeX
 .
 ptex -- A TeX system for publishing in Japanese
 .
 ptex-base -- Plain TeX format for pTeX and e-pTeX
 .
 ptex-fontmaps -- Font maps and configuration tools for
 Japanese/Chinese/Korean fonts with (u)ptex
 .
 ptex-fonts -- Fonts for use with pTeX
 .
 ptex-manual -- Japanese pTeX manual
 .
 ptex2pdf -- Convert Japanese TeX documents to PDF
 .
 pxbase -- Tools for use with (u)pLaTeX
 .
 pxchfon -- Japanese font setup for pLaTeX and upLaTeX
 .
 pxcjkcat -- LaTeX interface for the CJK category codes of upTeX
 .
 pxjahyper -- Hyperref support for pLaTeX
 .
 pxjodel -- Help change metrics of fonts from japanese-otf
 .
 pxrubrica -- Ruby annotations according to JIS X 4051
 .
 pxufont -- Emulate non-Unicode Japanese fonts using Unicode fonts
 .
 texlive-ja -- TeX Live manual (Japanese)
 .
 uplatex -- pLaTeX2e and miscellaneous macros for upTeX
 .
 uptex -- Unicode version of pTeX
 .
 uptex-base -- Plain TeX formats and documents for upTeX
 .
 uptex-fonts -- Fonts for use with upTeX
 .
 zxjafbfont -- Fallback CJK font support for xeCJK
 .
 zxjatype -- Standard conforming typesetting of Japanese, for XeLaTeX

Package: texlive-lang-korean
Description-md5: 3b87e0b8e9a3ba3154a9315365a9e873
Description-en: TeX Live: Korean
 Support for Korean; additional packages in collection-langcjk.
 .
 This package includes the following CTAN packages:
 .
 baekmuk -- Baekmuk Korean TrueType fonts
 .
 cjk-ko -- Extension of the CJK package for Korean typesetting
 .
 kotex-oblivoir -- A LaTeX document class for typesetting Korean documents
 .
 kotex-plain -- Macros for typesetting Korean under Plain TeX
 .
 kotex-utf -- Typeset Hangul, coded in UTF-8
 .
 kotex-utils -- Utility scripts and support files for typesetting Korean
 .
 lshort-korean -- Korean introduction to LaTeX
 .
 nanumtype1 -- Type1 subfonts of Nanum Korean fonts
 .
 pmhanguljamo -- Poor man's Hangul Jamo input method
 .
 uhc -- Fonts for the Korean language
 .
 unfonts-core -- TrueType version of Un-fonts
 .
 unfonts-extra -- TrueType version of Un-fonts

Package: texlive-lang-other
Description-md5: 14bcdf69f05f6b0ea23e5b8f30c50dac
Description-en: TeX Live: Other languages
 Support for languages not otherwise listed, including Indic, Thai,
 Vietnamese, Hebrew, Indonesian, African languages, and plenty more.  The
 split is made simply on the basis of the size of the support, to keep both
 collection sizes and the number of collections reasonable.
 .
 This package includes the following CTAN packages:
 .
 amsldoc-vn -- Vietnamese translation of AMSLaTeX documentation
 .
 aramaic-serto -- Fonts and LaTeX for Syriac written in Serto
 .
 hyphen-armenian -- Armenian hyphenation patterns.
 .
 babel-azerbaijani -- Support for Azerbaijani within babel
 .
 babel-esperanto -- Babel support for Esperanto
 .
 babel-georgian -- Babel support for Georgian
 .
 babel-hebrew -- Babel support for Hebrew
 .
 babel-indonesian -- Support for Indonesian within babel
 .
 babel-interlingua -- Babel support for Interlingua
 .
 babel-malay -- Support for Malay within babel
 .
 babel-sorbian -- Babel support for Upper and Lower Sorbian
 .
 babel-thai -- Support for Thai within babel
 .
 babel-vietnamese -- Babel support for typesetting Vietnamese
 .
 bangtex -- Writing Bangla and Assamese with LaTeX
 .
 bengali -- Support for the Bengali language
 .
 burmese -- Basic Support for Writing Burmese
 .
 cjhebrew -- Typeset Hebrew with LaTeX
 .
 ctib -- Tibetan for TeX and LaTeX2e
 .
 ethiop -- LaTeX macros and fonts for typesetting Amharic
 .
 ethiop-t1 -- Type 1 versions of Amharic fonts
 .
 fc -- Fonts for African languages
 .
 fonts-tlwg -- Thai fonts for LaTeX from TLWG
 .
 hyphen-afrikaans -- Afrikaans hyphenation patterns.
 .
 hyphen-coptic -- Coptic hyphenation patterns.
 .
 hyphen-esperanto -- Esperanto hyphenation patterns.
 .
 hyphen-ethiopic -- Hyphenation patterns for Ethiopic scripts.
 .
 hyphen-georgian -- Georgian hyphenation patterns.
 .
 hyphen-indic -- Indic hyphenation patterns.
 .
 hyphen-indonesian -- Indonesian hyphenation patterns.
 .
 hyphen-interlingua -- Interlingua hyphenation patterns.
 .
 hyphen-sanskrit -- Sanskrit hyphenation patterns.
 .
 hyphen-thai -- Thai hyphenation patterns.
 .
 hyphen-turkmen -- Turkmen hyphenation patterns.
 .
 latex-mr -- A practical guide to LaTeX and Polyglossia for Marathi and other
 Indian languages
 .
 latexbangla -- Enhanced LaTeX integration for Bangla
 .
 latino-sine-flexione -- LaTeX support for documents written in Peano's
 Interlingua
 .
 lshort-thai -- Introduction to LaTeX in Thai
 .
 lshort-vietnamese -- Vietnamese version of the LaTeX introduction
 .
 ntheorem-vn -- Vietnamese translation of documentation of ntheorem
 .
 padauk -- A high-quality TrueType font that supports the many diverse
 languages that use the Myanmar script
 .
 quran-ur -- Urdu translations to the quran package
 .
 sanskrit -- Sanskrit support
 .
 sanskrit-t1 -- Type 1 version of 'skt' fonts for Sanskrit
 .
 thaienum -- Thai labels in enumerate environments
 .
 thaispec -- Thai Language Typesetting in XeLaTeX
 .
 unicode-alphabets -- Macros for using characters from Unicode's Private Use
 Area
 .
 velthuis -- Typeset Devanagari
 .
 vntex -- Support for Vietnamese
 .
 wnri -- Ridgeway's fonts
 .
 wnri-latex -- LaTeX support for wnri fonts
 .
 xetex-devanagari -- XeTeX input map for Unicode Devanagari

Package: texlive-lang-polish
Description-md5: dea9c869e248c391d368148063ce38cd
Description-en: TeX Live: Polish
 Support for Polish.
 .
 This package includes the following CTAN packages:
 .
 babel-polish -- Babel support for Polish
 .
 bredzenie -- A Polish version of "lorem ipsum..." in the form of a LaTeX
 package
 .
 cc-pl -- Polish extension of Computer Concrete fonts
 .
 gustlib -- plain macros for much core and extra functionality, from GUST
 .
 gustprog -- utility programs for Polish users of TeX
 .
 hyphen-polish -- Polish hyphenation patterns.
 .
 lshort-polish -- Introduction to LaTeX in Polish
 .
 mex -- Polish formats for TeX
 .
 mwcls -- Polish-oriented document classes
 .
 pl -- Polish extension of Computer Modern fonts
 .
 polski -- Typeset Polish documents with LaTeX and Polish fonts
 .
 przechlewski-book -- Examples from Przechlewski's LaTeX book
 .
 qpxqtx -- Polish macros and fonts supporting Pagella/pxfonts and
 Termes/txfonts
 .
 tap -- TeX macros for typesetting complex tables
 .
 tex-virtual-academy-pl -- TeX usage web pages, in Polish
 .
 texlive-pl -- TeX Live manual (Polish)
 .
 utf8mex -- Tools to produce formats that read Polish language input

Package: texlive-lang-portuguese
Description-md5: 69c1b8a466d1a49c173116730db2eada
Description-en: TeX Live: Portuguese
 Support for Portuguese.
 .
 This package includes the following CTAN packages:
 .
 babel-portuges -- Babel support for Portuges
 .
 beamer-tut-pt -- An introduction to the Beamer class, in Portuguese
 .
 cursolatex -- A LaTeX tutorial
 .
 feupphdteses -- Typeset Engineering PhD theses at the University of Porto
 .
 hyphen-portuguese -- Portuguese hyphenation patterns.
 .
 latex-via-exemplos -- A LaTeX course written in brazilian portuguese
 language
 .
 latexcheat-ptbr -- A LaTeX cheat sheet, in Brazilian Portuguese
 .
 lshort-portuguese -- Introduction to LaTeX in Portuguese
 .
 numberpt -- Counters spelled out in Portuguese
 .
 ordinalpt -- Counters as ordinal numbers in Portuguese
 .
 xypic-tut-pt -- A tutorial for XY-pic, in Portuguese

Package: texlive-lang-spanish
Description-md5: d2df60cd0427ccf6c4463dd656fec6a2
Description-en: TeX Live: Spanish
 Support for Spanish.
 .
 This package includes the following CTAN packages:
 .
 babel-catalan -- Babel contributed support for Catalan
 .
 babel-galician -- Babel/Polyglossia support for Galician
 .
 babel-spanglish -- Simplified Spanish support for Babel
 .
 babel-spanish -- Babel support for Spanish
 .
 es-tex-faq -- CervanTeX (Spanish TeX Group) FAQ
 .
 hyphen-catalan -- Catalan hyphenation patterns.
 .
 hyphen-galician -- Galician hyphenation patterns.
 .
 hyphen-spanish -- Spanish hyphenation patterns.
 .
 l2tabu-spanish -- Spanish translation of "Obsolete packages and commands"
 .
 latex2e-help-texinfo-spanish -- Unofficial reference manual covering LaTeX2e
 .
 latexcheat-esmx -- A LaTeX cheat sheet, in Spanish
 .
 lshort-spanish -- Short introduction to LaTeX, Spanish translation
 .
 spanish-mx -- Typeset Spanish as in Mexico
 .
 texlive-es -- TeX Live manual (Spanish)

Package: texlive-latex-base
Description-md5: 74c6b1363276b71b8405e394cbff038d
Description-en: TeX Live: LaTeX fundamental packages
 These packages are either mandated by the core LaTeX team, or very widely
 used and strongly recommended in practice.
 .
 This package includes the following CTAN packages:
 .
 ae -- Virtual fonts for T1 encoded CMR-fonts
 .
 amscls -- AMS document classes for LaTeX
 .
 amsmath -- AMS mathematical facilities for LaTeX
 .
 atbegshi -- Execute stuff at \shipout time
 .
 atveryend -- Hooks at the very end of a document
 .
 auxhook -- Hooks for auxiliary files
 .
 babel -- Multilingual support for Plain TeX or LaTeX
 .
 babel-english -- Babel support for English
 .
 babelbib -- Multilingual bibliographies
 .
 bigintcalc -- Integer calculations on very large numbers
 .
 bookmark -- A new bookmark (outline) organization for hyperref
 .
 carlisle -- David Carlisle's small packages
 .
 colortbl -- Add colour to LaTeX tables
 .
 epstopdf-pkg -- Call epstopdf "on the fly"
 .
 etexcmds -- Avoid name clashes with e-TeX commands
 .
 fancyhdr -- Extensive control of page headers and footers in LaTeX2e
 .
 fix2col -- Fix miscellaneous two column mode features
 .
 geometry -- Flexible and complete interface to document dimensions
 .
 gettitlestring -- Clean up title references
 .
 graphics -- The LaTeX standard graphics bundle
 .
 graphics-cfg -- Sample configuration files for LaTeX color and graphics
 .
 grfext -- Manipulate the graphics package's list of extensions
 .
 hycolor -- Implements colour for packages hyperref and bookmark
 .
 hyperref -- Extensive support for hypertext in LaTeX
 .
 intcalc -- Expandable arithmetic operations with integers
 .
 kvdefinekeys -- Define keys for use in the kvsetkeys package
 .
 kvoptions -- Key value format for package options
 .
 kvsetkeys -- Key value parser with default handler support
 .
 l3backend -- LaTeX3 backend drivers
 .
 l3kernel -- LaTeX3 programming conventions
 .
 latex -- A TeX macro package that defines LaTeX
 .
 latex-bin -- LaTeX executables and man pages
 .
 latex-fonts -- A collection of fonts used in LaTeX distributions
 .
 latexconfig -- configuration files for LaTeX-related formats
 .
 ltxcmds -- Some LaTeX kernel commands for general use
 .
 ltxmisc -- Miscellaneous LaTeX packages, etc
 .
 mfnfss -- Packages to typeset oldgerman and pandora fonts in LaTeX
 .
 mptopdf -- mpost to PDF, native MetaPost graphics inclusion
 .
 natbib -- Flexible bibliography support
 .
 oberdiek -- A bundle of packages submitted by Heiko Oberdiek
 .
 pslatex -- Use PostScript fonts by default
 .
 psnfss -- Font support for common PostScript fonts
 .
 pspicture -- PostScript picture support
 .
 refcount -- Counter operations with label references
 .
 rerunfilecheck -- Checksum based rerun checks on auxiliary files
 .
 tools -- The LaTeX standard tools bundle
 .
 uniquecounter -- Provides unlimited unique counter
 .
 url -- Verbatim with URL-sensitive line breaks

Package: texlive-latex-base-doc
Description-md5: a2182d8729e262aa2d6b925e5a5f6802
Description-en: TeX Live: Documentation files for texlive-latex-base
 This package provides the documentation for texlive-latex-base

Package: texlive-latex-extra
Description-md5: 749ec1ab72264dc64779a57148fb384c
Description-en: TeX Live: LaTeX additional packages
 A very large collection of add-on packages for LaTeX.
 .
 This package includes the following CTAN packages:
 .
 2up -- Macros to print two-up
 .
 ESIEEcv -- Curriculum vitae for French use
 .
 GS1 -- Typeset EAN barcodes using TeX rules, only
 .
 HA-prosper -- Patches and improvements for prosper
 .
 Tabbing -- Tabbing with accented letters
 .
 a0poster -- Support for designing posters on large paper
 .
 a4wide -- "Wide" a4 layout
 .
 a5comb -- Support for a5 paper sizes
 .
 abraces -- Asymmetric over-/underbraces in maths
 .
 abstract -- Control the typesetting of the abstract environment
 .
 accessibility -- Create tagged and structured PDF files
 .
 accsupp -- Better accessibility support for PDF files
 .
 achemso -- Support for American Chemical Society journal submissions
 .
 acro -- Typeset acronyms
 .
 acronym -- Expand acronyms at least once
 .
 acroterm -- Manage and index acronyms and terms
 .
 actuarialangle -- Angle symbol denoting a duration in actuarial and
 financial notation
 .
 actuarialsymbol -- Actuarial symbols of life contingencies and financial
 mathematics
 .
 addfont -- Easier use of fonts without LaTeX support
 .
 addlines -- A user-friendly wrapper around \enlargethispage
 .
 adjmulticol -- Adjusting margins for multicolumn and single column output
 .
 adjustbox -- Graphics package-alike macros for "general" boxes
 .
 adrconv -- BibTeX styles to implement an address database
 .
 advdate -- Print a date relative to "today"
 .
 akktex -- A collection of packages and classes
 .
 akletter -- Comprehensive letter support
 .
 alertmessage -- Alert messages for LaTeX
 .
 alnumsec -- Alphanumeric section numbering
 .
 alphalph -- Convert numbers to letters
 .
 alterqcm -- Multiple choice questionnaires in two column tables
 .
 altfont -- Alternative font handling in LaTeX
 .
 amsaddr -- Alter the position of affiliations in amsart
 .
 animate -- Create PDF and SVG animations from graphics files and inline
 graphics
 .
 anonchap -- Make chapters be typeset like sections
 .
 answers -- Setting questions (or exercises) and answers
 .
 anyfontsize -- Select any font size in LaTeX
 .
 appendix -- Extra control of appendices
 .
 appendixnumberbeamer -- Manage frame numbering in appendixes in beamer
 .
 apptools -- Tools for customising appendices
 .
 arabicfront -- Frontmatter with arabic page numbers
 .
 arcs -- Draw arcs over and under text
 .
 arraycols -- New column types for array and tabular environments
 .
 arrayjobx -- Array data structures for (La)TeX
 .
 arraysort -- Sort arrays (or portions of them)
 .
 arydshln -- Draw dash-lines in array/tabular
 .
 asciilist -- Environments AsciiList and AsciiDocList for prototyping nested
 lists in LaTeX
 .
 askinclude -- Interactive use of \includeonly
 .
 assignment -- A class file for typesetting homework and lab assignments
 .
 assoccnt -- Associate counters, making them step when a master steps
 .
 atenddvi -- Provides the \AtEndDvi command
 .
 attachfile -- Attach arbitrary files to a PDF document
 .
 aurl -- Extends the hyperref package with a mechanism for hyperlinked URLs
 abbreviated with prefixes
 .
 authoraftertitle -- Make author, etc., available after \maketitle
 .
 authorarchive -- Adds self-archiving information to scientific papers
 .
 authorindex -- Index citations by author names
 .
 autonum -- Automatic equation references
 .
 autopdf -- Conversion of graphics to pdfLaTeX-compatible formats
 .
 avremu -- An 8-Bit Microcontroller Simulator written in LaTeX
 .
 axessibility -- Access to formulas in PDF files by assistive technologies
 .
 background -- Placement of background material on pages of a document
 .
 bankstatement -- A LaTeX class for bank statements based on csv data
 .
 bashful -- Invoke bash commands from within LaTeX
 .
 basicarith -- Macros for typesetting basic arithmetic
 .
 bchart -- Draw simple bar charts in LaTeX
 .
 beamer2thesis -- Thesis presentations using beamer
 .
 beamer-rl -- Right to left presentation with beamer and babel
 .
 beameraudience -- Assembling beamer frames according to audience
 .
 beamerauxtheme -- Supplementary outer and inner themes for beamer
 .
 beamercolorthemeowl -- A flexible beamer color theme to maximize visibility
 .
 beamerdarkthemes -- Dark color themes for beamer
 .
 beamerposter -- Extend beamer and a0poster for custom sized posters
 .
 beamersubframe -- Reorder frames in the PDF file
 .
 beamertheme-cuerna -- A beamer theme with 4 colour palettes
 .
 beamertheme-detlevcm -- A beamer theme designed for use in the University of
 Leeds
 .
 beamertheme-epyt -- A simple and clean theme for LaTeX beamer class
 .
 beamertheme-focus -- A minimalist presentation theme for LaTeX Beamer
 .
 beamertheme-light -- A minimal beamer style
 .
 beamertheme-metropolis -- A modern LaTeX beamer theme
 .
 beamertheme-npbt -- A collection of LaTeX beamer themes
 .
 beamertheme-phnompenh -- A simple beamer theme
 .
 beamertheme-saintpetersburg -- A beamer theme that incorporates colours and
 fonts of Saint Petersburg State University
 .
 beamertheme-upenn-bc -- Beamer themes for Boston College and the University
 of Pennsylvania
 .
 beamerthemejltree -- Contributed beamer theme
 .
 beamerthemenirma -- A Beamer theme for academic presentations
 .
 bearwear -- Shirts to dress tikzbears
 .
 beton -- Use Concrete fonts
 .
 bewerbung -- Typesetting job application
 .
 bez123 -- Support for Bezier curves
 .
 bhcexam -- An exam class designed for Mathematics Teachers in China
 .
 bibletext -- Insert Bible passages by their reference
 .
 bigfoot -- Footnotes for critical editions
 .
 bigints -- Writing big integrals
 .
 biochemistry-colors -- Colors used to display amino acids, nucleotides,
 sugars or atoms in biochemistry
 .
 bizcard -- Typeset business cards
 .
 blindtext -- Producing 'blind' text for testing
 .
 blkarray -- Extended array and tabular
 .
 block -- A block letter style for the letter class
 .
 blowup -- Upscale or downscale all pages of a document
 .
 bnumexpr -- Extends eTeX's \numexpr...\relax construct to big integers
 .
 boites -- Boxes that may break across pages
 .
 bold-extra -- Use bold small caps and typewriter fonts
 .
 bookcover -- A class for book covers and dust jackets
 .
 bookest -- Extended book class
 .
 booklet -- Aids for printing simple booklets
 .
 boolexpr -- A boolean expression evaluator and a switch command
 .
 bophook -- Provides an At-Begin-Page hook
 .
 boxedminipage -- A package for producing framed minipages
 .
 boxedminipage2e -- Framed minipages of a specified total width (text and
 frame combined)
 .
 boxhandler -- Flexible Captioning and Deferred Box/List Printing
 .
 bracketkey -- Produce bracketed identification keys
 .
 braket -- Dirac bra-ket and set notations
 .
 breakurl -- Line-breakable \url-like links in hyperref when compiling via
 dvips/ps2pdf
 .
 bullcntr -- Display list item counter as regular pattern of bullets
 .
 bxcalc -- Extend the functionality of the calc package
 .
 bxdpx-beamer -- Dvipdfmx extras for use with beamer
 .
 bxdvidriver -- Enables specifying a driver option effective only in DVI
 output
 .
 bxenclose -- Enclose the document body with some pieces of code
 .
 bxnewfont -- Enhanced \newfont command
 .
 bxpapersize -- Synchronize output paper size with layout paper size
 .
 bxpdfver -- Specify version and compression level of output PDF files
 .
 bxtexlogo -- Additional TeX-family logos
 .
 calcage -- Calculate the age of something, in years
 .
 calctab -- Language for numeric tables
 .
 calculator -- Use LaTeX as a scientific calculator
 .
 calrsfs -- Copperplate calligraphic letters in LaTeX
 .
 cals -- Multipage tables with wide range of features
 .
 calxxxx-yyyy -- Print a calendar for a group of years
 .
 cancel -- Place lines through maths formulae
 .
 canoniclayout -- Create canonical page layouts with memoir
 .
 capt-of -- Captions on more than floats
 .
 captcont -- Retain float number across several floats
 .
 captdef -- Declare free-standing \caption commands
 .
 carbohydrates -- Carbohydrate molecules with chemfig
 .
 cases -- Numbered cases environment
 .
 casyl -- Typeset Cree/Inuktitut in Canadian Aboriginal Syllabics
 .
 catchfile -- Catch an external file into a macro
 .
 catchfilebetweentags -- Catch text delimited by docstrip tags
 .
 catechis -- Macros for typesetting catechisms
 .
 catoptions -- Preserving and recalling standard catcodes
 .
 cbcoptic -- Coptic fonts and LaTeX macros for general usage and for
 philology
 .
 ccaption -- Continuation headings and legends for floats
 .
 cclicenses -- Typeset Creative Commons licence logos
 .
 cd -- Typeset CD covers
 .
 cd-cover -- Typeset CD covers
 .
 cdpbundl -- Business letters in the Italian style
 .
 cellprops -- Accept CSS-like selectors in tabular, array, ...
 .
 cellspace -- Ensure minimal spacing of table cells
 .
 censor -- Tools for producing redacted documents
 .
 centeredline -- A macro for centering lines
 .
 changebar -- Generate changebars in LaTeX documents
 .
 changelayout -- Change the layout of individual pages and their text
 .
 changelog -- Provides a changelog environment
 .
 changepage -- Margin adjustment and detection of odd/even pages
 .
 changes -- Manual change markup
 .
 chappg -- Page numbering by chapter
 .
 chapterfolder -- Package for working with complicated folder structures
 .
 cheatsheet -- A simple cheatsheet class
 .
 checkend -- Extend "improperly closed environment" messages
 .
 chet -- LaTeX layout inspired by harvmac
 .
 chextras -- A companion package for the Swiss typesetter
 .
 childdoc -- Directly compile \include'd child documents
 .
 chkfloat -- Warn whenever a float is placed "to far away"
 .
 chletter -- Class for typesetting letters to Swiss rules
 .
 chngcntr -- Change the resetting of counters
 .
 chronology -- Provides a horizontal timeline
 .
 circ -- Macros for typesetting circuit diagrams
 .
 circledsteps -- Typeset circled numbers
 .
 classics -- Cite classic works
 .
 classpack -- XML mastering for LaTeX classes and packages
 .
 clefval -- Key/value support with a hash
 .
 cleveref -- Intelligent cross-referencing
 .
 clipboard -- Copy and paste into and across documents
 .
 clock -- Graphical and textual clocks for TeX and LaTeX
 .
 cloze -- A LuaLaTeX package for creating cloze texts
 .
 clrdblpg -- Control pagestyle of pages left blank by \cleardoublepage
 .
 clrstrip -- Place contents into a full width colour strip
 .
 cmdstring -- Get command name reliably
 .
 cmdtrack -- Check used commands
 .
 cmsd -- Interfaces to the CM Sans Serif Bold fonts
 .
 cnltx -- LaTeX tools and documenting facilities
 .
 cntformats -- A different way to read counters
 .
 cntperchap -- Store counter values per chapter
 .
 codedoc -- LaTeX code and documentation in LaTeX-format file
 .
 codepage -- Support for variant code pages
 .
 codesection -- Provides an environment that may be conditionally included
 .
 collcell -- Collect contents of a tabular cell as argument to a macro
 .
 collectbox -- Collect and process macro arguments as boxes
 .
 colophon -- Provides commands for producing a colophon
 .
 colordoc -- Coloured syntax highlights in documentation
 .
 colorinfo -- Retrieve colour model and values for defined colours
 .
 coloring -- Define missing colors by their names
 .
 colorspace -- Provides PDF color spaces
 .
 colortab -- Shade cells of tables and halign
 .
 colorwav -- Colours by wavelength of visible light
 .
 colorweb -- Extend the color package colour space
 .
 colourchange -- Colourchange
 .
 combelow -- Typeset "comma-below" letters, as in Romanian
 .
 combine -- Bundle individual documents into a single document
 .
 comma -- Formats a number by inserting commas
 .
 commado -- Expandable iteration on comma-separated and filename lists
 .
 commedit -- Commented editions with LaTeX
 .
 comment -- Selectively include/exclude portions of text
 .
 competences -- Track skills of classroom checks
 .
 concepts -- Keeping track of formal 'concepts' for a particular field
 .
 concprog -- Concert programmes
 .
 constants -- Automatic numbering of constants
 .
 continue -- Prints 'continuation' marks on pages of multipage documents
 .
 contour -- Print a coloured contour around text
 .
 contracard -- Generate calling cards for dances
 .
 conv-xkv -- Create new key-value syntax
 .
 cooking -- Typeset recipes
 .
 cooking-units -- Typeset and convert units for cookery books and recipes
 .
 cool -- COntent-Oriented LaTeX
 .
 coollist -- Manipulate COntent Oriented LaTeX Lists
 .
 coolstr -- String manipulation in LaTeX
 .
 coolthms -- Reference items in a theorem environment
 .
 cooltooltips -- Associate a pop-up window and tooltip with PDF hyperlinks
 .
 coordsys -- Draw cartesian coordinate systems
 .
 copyedit -- Copyediting support for LaTeX documents
 .
 copyrightbox -- Provide copyright notices for images in a document
 .
 coseoul -- Context sensitive outline elements
 .
 counttexruns -- Count compilations of a document
 .
 courseoutline -- Prepare university course outlines
 .
 coursepaper -- Prepare university course papers
 .
 coverpage -- Automatic cover page creation for scientific papers
 .
 cprotect -- Allow verbatim, etc., in macro arguments
 .
 crbox -- Boxes with crossed corners
 .
 crossreference -- Crossreferences within documents
 .
 crossreftools -- Expandable extraction of cleveref data
 .
 csquotes -- Context sensitive quotation facilities
 .
 css-colors -- Named colors for web-safe design
 .
 csvmerge -- Merge TeX code with csv data
 .
 csvsimple -- Simple CSV file processing
 .
 cuisine -- Typeset recipes
 .
 currency -- Format currencies in a consistent way
 .
 currfile -- Provide file name and path of input files
 .
 currvita -- Typeset a curriculum vitae
 .
 cutwin -- Cut a window in a paragraph, typeset material in it
 .
 cv -- A package for creating a curriculum vitae
 .
 cv4tw -- LaTeX CV class, with extended details
 .
 cweb-latex -- A LaTeX version of CWEB
 .
 cyber -- Annotate compliance with cybersecurity requirements
 .
 cybercic -- "Controls in Contents" for the cyber package
 .
 dashbox -- Draw dashed boxes
 .
 dashrule -- Draw dashed rules
 .
 dashundergaps -- Produce gaps that are underlined, dotted or dashed
 .
 dataref -- Manage references to experimental data
 .
 datatool -- Tools to load and manipulate data
 .
 dateiliste -- Extensions of the \listfiles concept
 .
 datenumber -- Convert a date into a number and vice versa
 .
 datetime -- Change format of \today with commands for current time
 .
 datetime2 -- Formats for dates, times and time zones
 .
 datetime2-bahasai -- Bahasai language module for the datetime2 package
 .
 datetime2-basque -- Basque language module for the datetime2 package
 .
 datetime2-breton -- Breton language module for the datetime2 package
 .
 datetime2-bulgarian -- Bulgarian language module for the datetime2 package
 .
 datetime2-catalan -- Catalan language module for the datetime2 package
 .
 datetime2-croatian -- Croatian language module for the datetime2 package
 .
 datetime2-czech -- Czech language module for the datetime2 package
 .
 datetime2-danish -- Danish language module for the datetime2 package
 .
 datetime2-dutch -- Dutch language module for the datetime2 package
 .
 datetime2-en-fulltext -- English Full Text styles for the datetime2 package
 .
 datetime2-english -- English language module for the datetime2 package
 .
 datetime2-esperanto -- Esperanto language module for the datetime2 package
 .
 datetime2-estonian -- Estonian language module for the datetime2 package
 .
 datetime2-finnish -- Finnish language module for the datetime2 package
 .
 datetime2-french -- French language module for the datetime2 package
 .
 datetime2-galician -- Galician language module for the datetime2 package
 .
 datetime2-german -- German language module for the datetime2 package
 .
 datetime2-greek -- Greek language module for the datetime2 package
 .
 datetime2-hebrew -- Hebrew language module for the datetime2 package
 .
 datetime2-icelandic -- Icelandic language module for the datetime2 package
 .
 datetime2-irish -- Irish Gaelic Language Module for the datetime2 Package
 .
 datetime2-italian -- Italian language module for the datetime2 package
 .
 datetime2-it-fulltext -- Italian full text styles for the datetime2 package
 .
 datetime2-latin -- Latin language module for the datetime2 package
 .
 datetime2-lsorbian -- Lower Sorbian language module for the datetime2
 package
 .
 datetime2-magyar -- Magyar language module for the datetime2 package
 .
 datetime2-norsk -- Norsk language module for the datetime2 package
 .
 datetime2-polish -- Polish language module for the datetime2 package
 .
 datetime2-portuges -- Portuguese language module for the datetime2 package
 .
 datetime2-romanian -- Romanian language module for the datetime2 package
 .
 datetime2-russian -- Russian language module for the datetime2 package
 .
 datetime2-samin -- Northern Sami language module for the datetime2 package
 .
 datetime2-scottish -- Scottish Gaelic Language Module for the datetime2
 Package
 .
 datetime2-serbian -- Serbian language module for the datetime2 package
 .
 datetime2-slovak -- Slovak language module for the datetime2 package
 .
 datetime2-slovene -- Slovene language module for the datetime2 package
 .
 datetime2-spanish -- Spanish language module for the datetime2 package
 .
 datetime2-swedish -- Swedish language module for the datetime2 package
 .
 datetime2-turkish -- Turkish language module for the datetime2 package
 .
 datetime2-ukrainian -- Ukrainian language module for the datetime2 package
 .
 datetime2-usorbian -- Upper Sorbian language module for the datetime2
 package
 .
 datetime2-welsh -- Welsh language module for the datetime2 package
 .
 dblfloatfix -- Fixes for twocolumn floats
 .
 decimal -- LaTeX package for the English raised decimal point
 .
 decorule -- Decorative swelled rule using font character
 .
 delimtxt -- Read and parse text tables
 .
 denisbdoc -- A personal dirty package for documenting packages
 .
 diagbox -- Table heads with diagonal lines
 .
 diagnose -- A diagnostic tool for a TeX installation
 .
 dialogl -- Macros for constructing interactive LaTeX scripts
 .
 dichokey -- Construct dichotomous identification keys
 .
 dinbrief -- German letter DIN style
 .
 directory -- An address book using BibTeX
 .
 dirtytalk -- A package to typeset quotations easier
 .
 dlfltxb -- Macros related to "Introdktion til LaTeX"
 .
 dnaseq -- Format DNA base sequences
 .
 doclicense -- Support for putting documents under a license
 .
 docmfp -- Document non-LaTeX code
 .
 docmute -- Input files ignoring LaTeX preamble, etc
 .
 doctools -- Tools for the documentation of LaTeX code
 .
 documentation -- Documentation support for C, Java and assembler code
 .
 doi -- Create correct hyperlinks for DOI numbers
 .
 dotarrow -- Extendable dotted arrows
 .
 dotlessi -- Provides dotless i's and j's for use in any math font
 .
 dotseqn -- Flush left equations with dotted leaders to the numbers
 .
 download -- Allow LaTeX to download files using an external process
 .
 dox -- Extend the doc package
 .
 dpfloat -- Support for double-page floats
 .
 dprogress -- LaTeX-relevant log information for debugging
 .
 drac -- Declare active character substitution, robustly
 .
 draftcopy -- Identify draft copies
 .
 draftfigure -- Replace figures with a white box and additional features
 .
 draftwatermark -- Put a grey textual watermark on document pages
 .
 dtk -- Document class for the journal of DANTE
 .
 dtxdescribe -- Describe additional object types in dtx source files
 .
 dtxgallery -- A small collection of minimal DTX examples
 .
 duckuments -- Create duckified dummy content
 .
 ducksay -- Draw ASCII art of animals saying a specified message
 .
 dvdcoll -- A class for typesetting DVD archives
 .
 dynamicnumber -- Dynamically typeset numbers and values in LaTeX through
 "symbolic links"
 .
 dynblocks -- A simple way to create dynamic blocks for Beamer
 .
 ean13isbn -- Print EAN13 for ISBN
 .
 easy -- A collection of easy-to-use macros
 .
 easy-todo -- To-do notes in a document
 .
 easyfig -- Simplifying the use of common figures
 .
 easyformat -- Easily add boldface, italics and smallcaps
 .
 easylist -- Lists using a single active character
 .
 easyreview -- Package to provide a way to review (or perform editorial
 process) in LaTeX
 .
 ebezier -- Device independent picture environment enhancement
 .
 ecclesiastic -- Typesetting Ecclesiastic Latin
 .
 ecv -- A fancy Curriculum Vitae class
 .
 ed -- Editorial Notes for LaTeX documents
 .
 edmargin -- Multiple series of endnotes for critical editions
 .
 eemeir -- Adjust the gender of words in a document
 .
 efbox -- Extension of \fbox, with controllable frames and colours
 .
 egplot -- Encapsulate Gnuplot sources in LaTeX documents
 .
 ehhline -- Extend the \hhline command
 .
 elegantbook -- An Elegant LaTeX Template for Books
 .
 elegantnote -- Elegant LaTeX Template for Notes
 .
 elegantpaper -- An Elegant LaTeX Template for Working Papers
 .
 elements -- Provides properties of chemical elements
 .
 ellipsis -- Fix uneven spacing around ellipses in LaTeX text mode
 .
 elmath -- Mathematics in Greek texts
 .
 elocalloc -- Local allocation macros for LaTeX 2015
 .
 elpres -- A simple class for electronic presentations
 .
 elzcards -- Typeset business cards, index cards and flash cards easily
 .
 emarks -- Named mark registers with e-TeX
 .
 embedall -- Embed source files into the generated PDF
 .
 embedfile -- Embed files into PDF
 .
 embrac -- Upright brackets in emphasised text
 .
 emptypage -- Make empty pages really empty
 .
 emulateapj -- Produce output similar to that of APJ
 .
 endfloat -- Move floats to the end, leaving markers where they belong
 .
 endheads -- Running headers of the form "Notes to pp.xx-yy"
 .
 endnotes -- Place footnotes at the end
 .
 engpron -- Helps to type the pronunciation of English words
 .
 engrec -- Enumerate with lower- or uppercase Greek letters
 .
 enotez -- Support for end-notes
 .
 enumitem -- Control layout of itemize, enumerate, description
 .
 enumitem-zref -- Extended references to items for enumitem package
 .
 envbig -- Printing addresses on envelopes
 .
 environ -- A new interface for environments in LaTeX
 .
 envlab -- Addresses on envelopes or mailing labels
 .
 epigraph -- A package for typesetting epigraphs
 .
 epiolmec -- Typesetting the Epi-Olmec Language
 .
 eqell -- Sympathetically spaced ellipsis after punctuation
 .
 eqlist -- Description lists with equal indentation
 .
 eqnalign -- Make eqnarray behave like align
 .
 eqname -- Name tags for equations
 .
 eqparbox -- Create equal-widthed parboxes
 .
 errata -- Error markup for LaTeX documents
 .
 erw-l3 -- Utilities built around expl3
 .
 esami -- Typeset exams with scrambled questions and answers
 .
 esdiff -- Simplify typesetting of derivatives
 .
 esindex -- Typset index entries in Spanish documents
 .
 esint -- Extended set of integrals for Computer Modern
 .
 esint-type1 -- Font esint10 in Type 1 format
 .
 etaremune -- Reverse-counting enumerate environment
 .
 etextools -- e-TeX tools for LaTeX users and package writers
 .
 etoc -- Completely customisable TOCs
 .
 eukdate -- UK format dates, with weekday
 .
 eulerpx -- A modern interface for the Euler math fonts
 .
 europasscv -- Unofficial class for the new version of the Europass
 curriculum vitae
 .
 europecv -- Unofficial class for European curricula vitae
 .
 everyhook -- Hooks for standard TeX token lists
 .
 everypage -- Provide hooks to be run on every page of a document
 .
 exam -- Package for typesetting exam scripts
 .
 exam-n -- Exam class, focused on collaborative authoring
 .
 exam-randomizechoices -- Randomize mc choices using the exam class
 .
 examdesign -- LaTeX class for typesetting exams
 .
 exframe -- Framework for exercise problems
 .
 example -- Typeset examples for TeX courses
 .
 examplep -- Verbatim phrases and listings in LaTeX
 .
 exceltex -- Get data from Excel files into LaTeX
 .
 excludeonly -- Prevent files being \include-ed
 .
 exercise -- Typeset exercises, problems, etc. and their answers
 .
 exercisebank -- Creating and managing exercises, and reusing them as
 composed sets
 .
 exercisepoints -- A LaTeX package to count exercises and points
 .
 exercises -- Typeset exercises and solutions with automatic addition of
 points
 .
 exp-testopt -- Expandable \@testopt (and related) macros
 .
 expdlist -- Expanded description environments
 .
 export -- Import and export values of LaTeX registers
 .
 exsheets -- Create exercise sheets and exams
 .
 exsol -- Exercises and solutions from the same source, into a book
 .
 extract -- Extract parts of a document and write to another document
 .
 facsimile -- Document class for preparing faxes
 .
 factura -- Typeset and calculate invoices according to Venezuelan law
 .
 fancyhandout -- A LaTeX class for producing nice-looking handouts
 .
 fancylabel -- Complex labelling with LaTeX
 .
 fancynum -- Typeset numbers
 .
 fancypar -- Decoration of individual paragraphs
 .
 fancyslides -- Custom presentation class built upon LaTeX Beamer
 .
 fancytabs -- Fancy page border tabs
 .
 fancytooltips -- Include a wide range of material in PDF tooltips
 .
 fbox -- Extended \fbox macro from standard LaTeX
 .
 fcolumn -- Typesetting financial tables
 .
 fetchcls -- Fetch the current class name
 .
 fewerfloatpages -- Reduce the number of unnecessary float pages
 .
 ffslides -- Freeform slides based on the article class
 .
 fgruler -- Draw rulers on the foreground or in the text
 .
 fibeamer -- Beamer theme for thesis defense presentations at Masaryk
 University (Brno, Czech Republic)
 .
 fifo-stack -- FIFO and stack implementation for package writers
 .
 figsize -- Auto-size graphics
 .
 filecontents -- Create an external file from within a LaTeX document
 .
 filecontentsdef -- filecontents + macro + verbatim
 .
 filedate -- Access and compare info and modification dates
 .
 fileinfo -- Enhanced display of LaTeX File Information
 .
 filemod -- Provide file modification times, and compare them
 .
 fink -- The LaTeX2e File Name Keeper
 .
 finstrut -- Adjust behaviour of the ends of footnotes
 .
 fithesis -- Thesis class and template for Masaryk University (Brno, Czech
 Republic)
 .
 fixcmex -- Fully scalable version of Computer Modern Math Extension font
 .
 fixfoot -- Multiple use of the same footnote text
 .
 fixme -- Collaborative annotation tool for LaTeX
 .
 fixmetodonotes -- Add notes on document development
 .
 fjodor -- A selection of layout styles
 .
 flabels -- Labels for files and folders
 .
 flacards -- Generate flashcards for printing
 .
 flagderiv -- Flag style derivation package
 .
 flashcards -- A class for typesetting flashcards
 .
 flashmovie -- Directly embed flash movies into PDF files
 .
 flipbook -- Typeset flipbook animations, in the corners of documents
 .
 flippdf -- Horizontal flipping of pages with pdfLaTeX
 .
 floatflt -- Wrap text around floats
 .
 floatrow -- Modifying the layout of floats
 .
 flowfram -- Create text frames for posters, brochures or magazines
 .
 fmp -- Include Functional MetaPost in LaTeX
 .
 fmtcount -- Display the value of a LaTeX counter in a variety of formats
 .
 fn2end -- Convert footnotes to endnotes
 .
 fnbreak -- Warn for split footnotes
 .
 fncychap -- Seven predefined chapter heading styles
 .
 fncylab -- Alter the format of \label references
 .
 fnpara -- Footnotes in paragraphs
 .
 fnpct -- Manage footnote marks' interaction with punctuation
 .
 fnumprint -- Print a number in 'appropriate' format
 .
 foilhtml -- Interface between foiltex and LaTeX2HTML
 .
 fontaxes -- Additional font axes for LaTeX
 .
 fontsetup -- A front-end to fontspec, for selected fonts with math support
 .
 fonttable -- Print font tables from a LaTeX document
 .
 footmisc -- A range of footnote options
 .
 footmisx -- A range of footnote options
 .
 footnotebackref -- Back-references from footnotes
 .
 footnoterange -- References to ranges of footnotes
 .
 footnpag -- Per-page numbering of footnotes
 .
 forarray -- Using array structures in LaTeX
 .
 foreign -- Systematic treatment of 'foreign' words in documents
 .
 forloop -- Iteration in LaTeX
 .
 formlett -- Letters to multiple recipients
 .
 forms16be -- Initialize form properties using big-endian encoding
 .
 formular -- Create forms containing field for manual entry
 .
 fragments -- Fragments of LaTeX code
 .
 frame -- Framed boxes for Plain TeX
 .
 framed -- Framed or shaded regions that can break across pages
 .
 frankenstein -- A collection of LaTeX packages
 .
 frege -- Typeset fregean Begriffsschrift
 .
 ftcap -- Allows \caption at the beginning of a table-environment
 .
 ftnxtra -- Extend the applicability of the \footnote command
 .
 fullblck -- Left-blocking for letter class
 .
 fullminipage -- Minipage spanning a complete page
 .
 fullwidth -- Adjust margins of text block
 .
 fundus-calligra -- Support for the calligra font in LaTeX documents
 .
 fundus-cyr -- Support for Washington University Cyrillic fonts
 .
 fundus-sueterlin -- Sutterlin
 .
 fvextra -- Extensions and patches for fancyvrb
 .
 fwlw -- Get first and last words of a page
 .
 g-brief -- Letter document class
 .
 gatherenum -- A crossover of align* and enumerate
 .
 gauss -- A package for Gaussian operations
 .
 gcard -- Arrange text on a sheet to fold into a greeting card
 .
 gcite -- Citations in a reader-friendly style
 .
 gender -- Gender neutrality for languages with grammatical gender
 .
 genmpage -- Generalization of LaTeX's minipages
 .
 getfiledate -- Find the date of last modification of a file
 .
 getitems -- Gathering items from a list-like environment
 .
 gindex -- Formatting indexes
 .
 ginpenc -- Modification of inputenc for German
 .
 gitfile-info -- Get git metadata for a specific file
 .
 gitinfo -- Access metadata from the git distributed version control system
 .
 gitinfo2 -- Access metadata from the git distributed version control system
 .
 gitlog -- Typesetting git changelogs
 .
 gitver -- Get the current git hash of a project and typeset it in the
 document
 .
 globalvals -- Declare global variables
 .
 gloss -- Create glossaries using BibTeX
 .
 glossaries -- Create glossaries and lists of acronyms
 .
 glossaries-danish -- Danish language module for glossaries package
 .
 glossaries-dutch -- Dutch language module for glossaries package
 .
 glossaries-english -- English language module for glossaries package
 .
 glossaries-estonian -- Estonian language module for glossaries package
 .
 glossaries-extra -- An extension to the glossaries package
 .
 glossaries-finnish -- Finnish language module for glossaries package
 .
 glossaries-french -- French language module for glossaries package
 .
 glossaries-german -- German language module for glossaries package
 .
 glossaries-irish -- Irish language module for glossaries package
 .
 glossaries-italian -- Italian language module for glossaries package
 .
 glossaries-magyar -- Magyar language module for glossaries package
 .
 glossaries-polish -- Polish language module for glossaries package
 .
 glossaries-portuges -- Portuges language module for glossaries package
 .
 glossaries-serbian -- Serbian language module for glossaries package
 .
 glossaries-slovene -- Slovene language module for glossaries package
 .
 glossaries-spanish -- Spanish language module for glossaries package
 .
 gmdoc -- Documentation of LaTeX packages
 .
 gmdoc-enhance -- Some enhancements to the gmdoc package
 .
 gmiflink -- Simplify usage of \hypertarget and \hyperlink
 .
 gmutils -- Support macros for other packages
 .
 gmverb -- A variant of LaTeX \verb, verbatim and shortvrb
 .
 grabbox -- Read an argument into a box and execute the code afterwards
 .
 graphbox -- Extend graphicx to improve placement of graphics
 .
 graphicx-psmin -- Reduce size of PostScript files by not repeating images
 .
 graphicxbox -- Insert a graphical image as a background
 .
 grayhints -- Produce 'gray hints' to a variable text field
 .
 grfpaste -- Include fragments of a dvi file
 .
 grid -- Grid typesetting in LaTeX
 .
 grid-system -- Page organisation, modelled on CSS facilities
 .
 gridset -- Grid, a.k.a. in-register, setting
 .
 gridslides -- Free form slides with blocks placed on a grid
 .
 guitlogo -- Macros for typesetting the GuIT logo
 .
 halloweenmath -- Scary and creepy math symbols with AMS-LaTeX integration
 .
 hackthefootline -- Footline selection and configuration for LaTeX beamer's
 standard themes
 .
 handin -- Light weight template for creating school submissions using LaTeX
 .
 handout -- Create handout for auditors of a talk
 .
 hang -- Environments for hanging paragraphs and list items
 .
 hanging -- Hanging paragraphs
 .
 hardwrap -- Hard wrap text to a certain character length
 .
 harnon-cv -- A CV document class with a vertical timeline for experience
 .
 harpoon -- Extra harpoons, using the graphics package
 .
 hc -- Replacement for the LaTeX classes
 .
 he-she -- Alternating pronouns to aid gender-neutral writing
 .
 hhtensor -- Print vectors, matrices, and tensors
 .
 histogr -- Draw histograms with the LaTeX picture environment
 .
 hitec -- Class for documentation
 .
 hletter -- Flexible letter typesetting with flexible page headings
 .
 hobsub -- Construct package bundles
 .
 hpsdiss -- A dissertation class
 .
 hrefhide -- Suppress hyper links when printing
 .
 hvindex -- Support for indexing
 .
 hvqrurl -- Insert a QR code in the margin
 .
 hypdvips -- Hyperref extensions for use with dvips
 .
 hyper -- Hypertext cross referencing
 .
 hyperbar -- Add interactive Barcode fields to PDF forms
 .
 hypernat -- Allow hyperref and natbib to work together
 .
 hyperxmp -- Embed XMP metadata within a LaTeX document
 .
 hyphenat -- Disable/enable hypenation
 .
 identkey -- Typesetting bracketed dichotomous identification keys
 .
 idxcmds -- Semantic commands for adding formatted index entries
 .
 idxlayout -- Configurable index layout, responsive to KOMA-Script and memoir
 .
 iffont -- Conditionally load fonts with fontspec
 .
 ifmslide -- Presentation slides for screen and printouts
 .
 ifmtarg -- If-then-else command for processing potentially empty arguments
 .
 ifnextok -- Utility macro: peek ahead without ignoring spaces
 .
 ifoddpage -- Determine if the current page is odd or even
 .
 ifthenx -- Extra tests for \ifthenelse
 .
 iitem -- Multiple level of lists in one list-like environment
 .
 image-gallery -- Create an overview of pictures from a digital camera or
 from other sources
 .
 imakeidx -- A package for producing multiple indexes
 .
 import -- Establish input relative to a directory
 .
 incgraph -- Sophisticated graphics inclusion in a PDF document
 .
 indextools -- Producing multiple indices
 .
 inline-images -- Inline images in base64 encoding
 .
 inlinedef -- Inline expansions within definitions
 .
 inputenx -- Enhanced input encoding handling
 .
 inputtrc -- Trace which file loads which
 .
 interactiveworkbook -- LaTeX-based interactive PDF on the Web
 .
 interfaces -- Set parameters for other packages, conveniently
 .
 intopdf -- Embed non-PDF files into PDF with hyperlink
 .
 inversepath -- Calculate inverse file paths
 .
 invoice -- Generate invoices
 .
 invoice-class -- Produces a standard US invoice from a CSV file
 .
 invoice2 -- Intelligent invoices with LaTeX3
 .
 iso -- Generic ISO standards typesetting macros
 .
 iso10303 -- Typesetting the STEP standards
 .
 isodate -- Tune the output format of dates according to language
 .
 isodoc -- A LaTeX class for typesetting letters and invoices
 .
 isonums -- Display numbers in maths mode according to ISO 31-0
 .
 isopt -- Writing a TeX length with a space between number and unit
 .
 isorot -- Rotation of document elements
 .
 isotope -- A package for typesetting isotopes
 .
 issuulinks -- Produce external links instead of internal ones
 .
 iwhdp -- Halle Institute for Economic Research (IWH) Discussion Papers
 .
 jlabels -- Make letter-sized pages of labels
 .
 jslectureplanner -- Creation and management of university course material
 .
 jumplines -- Articles with teasers and continuation later on
 .
 jvlisting -- A replacement for LaTeX's verbatim package
 .
 kalendarium -- Print dates according to the classical Latin calendar
 .
 kantlipsum -- Generate sentences in Kant's style
 .
 kerntest -- Print tables and generate control files to adjust kernings
 .
 keycommand -- Simple creation of commands with key-value arguments
 .
 keyfloat -- Provides a key/value interface for generating floats
 .
 keyreader -- A robust interface to xkeyval
 .
 keyindex -- Index entries by key lookup
 .
 keystroke -- Graphical representation of keys on keyboard
 .
 keyval2e -- A lightweight and robust key-value parser
 .
 keyvaltable -- Re-usable table layouts separating content and presentation
 .
 kix -- Typeset KIX codes
 .
 knowledge -- Displaying, hyperlinking, and indexing notions in a document
 .
 koma-moderncvclassic -- Makes the style and command of moderncv (style
 classic) available for koma-classes and thus compatible with BibLaTeX
 .
 koma-script-sfs -- Koma-script letter class option for Finnish
 .
 komacv -- Typesetting a beautiful CV with various style options
 .
 komacv-rg -- LaTeX packages that aid in creating CVs based on the komacv
 class and creating related documents
 .
 ktv-texdata -- Extract subsets of documents
 .
 l3build -- A testing and building system for (La)TeX
 .
 labbook -- Typeset laboratory journals
 .
 labels -- Print sheets of sticky labels
 .
 labels4easylist -- Add reference labels to easylist items
 .
 labelschanged -- Identify labels which cause endless "may have changed"
 warnings
 .
 lastpackage -- Indicates the last loaded package
 .
 lastpage -- Reference last page for Page N of M type footers
 .
 latex-amsmath-dev -- Development pre-release of the LaTeX amsmath bundle
 .
 latex-base-dev -- Development pre-release of the LaTeX kernel
 .
 latex-bin-dev -- LaTeX pre-release executables and formats
 .
 latex-graphics-dev -- Development pre-release of the LaTeX graphics bundle
 .
 latex-tds -- A structured copy of the LaTeX distribution
 .
 latex-tools-dev -- Development pre-release of the LaTeX tools bundle
 .
 latex-uni8 -- Universal inputenc, fontenc, and babel for pdfLaTeX and
 LuaLaTeX
 .
 latexcolors -- Use color definitions from latexcolor.com
 .
 latexdemo -- Demonstrate LaTeX code with its resulting output
 .
 latexgit -- A LaTeX git wrapper
 .
 layouts -- Display various elements of a document's layout
 .
 lazylist -- Lists in TeX's "mouth"
 .
 lccaps -- Lowercased (spaced) small capitals
 .
 lcd -- Alphanumerical LCD-style displays
 .
 lcg -- Generate random integers
 .
 leading -- Define leading with a length
 .
 leaflet -- Create small handouts (flyers)
 .
 lectures -- A document class for quickly drafting nice looking lecture notes
 .
 leftidx -- Left and right subscripts and superscripts in math mode
 .
 leipzig -- Typeset and index linguistic gloss abbreviations
 .
 lengthconvert -- Express lengths in arbitrary units
 .
 letltxmacro -- Let assignment for LaTeX macros
 .
 lettre -- Letters and faxes in French
 .
 lettrine -- Typeset dropped capitals
 .
 lewis -- Draw Lewis structures
 .
 lhelp -- Miscellaneous helper packages
 .
 libgreek -- Use Libertine or Biolinum Greek glyphs in mathematics
 .
 limap -- Typeset maps and blocks according to the Information Mapping(r)
 method
 .
 linegoal -- A "dimen" that returns the space left on the line
 .
 linop -- Typeset linear operators as they appear in quantum theory or linear
 algebra
 .
 lipsum -- Easy access to the Lorem Ipsum dummy text
 .
 lisp-on-tex -- Execute LISP code in a LaTeX document
 .
 listing -- Produce formatted program listings
 .
 listingsutf8 -- Allow UTF-8 in listings input
 .
 listlbls -- Creates a list of all labels used throughout a document
 .
 listliketab -- Typeset lists as tables
 .
 listofsymbols -- Create and manipulate lists of symbols
 .
 lkproof -- LK Proof figure macros
 .
 lmake -- Process lists to do repetitive actions
 .
 locality -- Various macros for keeping things local
 .
 localloc -- Macros for localizing TeX register allocations
 .
 logbox -- e-TeX showbox facilities for exploration purposes
 .
 logical-markup-utils -- Packages for language-dependent inline quotes and
 dashes
 .
 logpap -- Generate logarithmic graph paper with LaTeX
 .
 longfbox -- Draw framed boxes with standard CSS attributes that can break
 over multiple pages
 .
 longfigure -- Provides a figure-like environment that break over pages
 .
 longnamefilelist -- Tidy \listfiles with long file names
 .
 loops -- General looping macros for use with LaTeX
 .
 lsc -- Typesetting Live Sequence Charts
 .
 lstaddons -- Add-on packages for listings: autogobble and line background
 .
 lstfiracode -- Use Fira Code font for listings
 .
 lt3graph -- Provide a graph datastructure for experimental LaTeX3
 .
 ltablex -- Table package extensions
 .
 ltabptch -- Bug fix for longtable
 .
 ltxdockit -- Documentation support
 .
 ltxguidex -- An extended ltxguide class
 .
 ltxindex -- A LaTeX package to typeset indices with GNU's Texindex
 .
 ltxkeys -- A robust key parser for LaTeX
 .
 ltxnew -- A simple means of creating commands
 .
 ltxtools -- A collection of LaTeX API macros
 .
 lua-check-hyphen -- Mark hyphenations in a document, for checking
 .
 luatodonotes -- Add editing annotations in a LuaLaTeX document
 .
 macroswap -- Swap the definitions of two LaTeX macros
 .
 magaz -- Magazine layout
 .
 magicnum -- Access TeX systems' "magic numbers"
 .
 makecookbook -- Make a Cookbook
 .
 makerobust -- Making a macro robust (legacy package)
 .
 mailing -- Macros for mail merging
 .
 mailmerge -- Repeating text field substitution
 .
 makebarcode -- Print various kinds 2/5 and Code 39 bar codes
 .
 makebase -- Typeset counters in a different base
 .
 makebox -- Defines a \makebox* command
 .
 makecell -- Tabular column heads and multilined cells
 .
 makecirc -- A MetaPost library for drawing electrical circuit diagrams
 .
 makecmds -- The new \makecommand command always (re)defines a command
 .
 makedtx -- Perl script to help generate dtx and ins files
 .
 makeglos -- Include a glossary into a document
 .
 mandi -- Macros for introductory physics and astronomy
 .
 manfnt -- LaTeX support for the TeX book symbols
 .
 manuscript -- Emulate look of a document typed on a typewriter
 .
 manyind -- Provides support for many indexes
 .
 marginfit -- Improved margin notes
 .
 marginfix -- Patch \marginpar to avoid overfull margins
 .
 marginnote -- Notes in the margin, even where \marginpar fails
 .
 markdown -- A package for converting and rendering markdown documents inside
 TeX
 .
 mathalpha -- General package for loading maths alphabets in LaTeX
 .
 mathastext -- Use the text font in maths mode
 .
 mathexam -- Package for typesetting exams
 .
 mathfam256 -- Extend math family up to 256 for pLaTeX/upLaTeX/Lamed
 .
 mathfont -- Use TrueType and OpenType fonts in math mode
 .
 maybemath -- Make math bold or italic according to context
 .
 mcaption -- Put captions in the margin
 .
 mceinleger -- Creating covers for music cassettes
 .
 mcexam -- Create randomized Multiple Choice questions
 .
 mcite -- Multiple items in a single citation
 .
 mciteplus -- Enhanced multiple citations
 .
 mdframed -- Framed environments that can split at page boundaries
 .
 media9 -- Multimedia inclusion package with Adobe Reader-9/X compatibility
 .
 medstarbeamer -- Beamer document class for MedStar Health Research Institute
 .
 meetingmins -- Format written minutes of meetings
 .
 memexsupp -- Experimental memoir support
 .
 memory -- Containers for data in LaTeX
 .
 mensa-tex -- Typeset simple school cafeteria menus
 .
 menu -- Typesetting menus
 .
 menukeys -- Format menu sequences, paths and keystrokes from lists
 .
 metalogox -- Adjust TeX logos, with font detection
 .
 metastr -- Store and compose strings
 .
 method -- Typeset method and variable declarations
 .
 metre -- Support for the work of classicists
 .
 mfirstuc -- Uppercase the first letter of a word
 .
 mftinc -- Pretty-print Metafont source
 .
 mi-solns -- Extract solutions from exercises and quizzes
 .
 midpage -- Environment for vertical centring
 .
 minibox -- A simple type of box for LaTeX
 .
 minidocument -- Creates miniature documents inside other LaTeX documents
 .
 minifp -- Fixed-point real computations to 8 decimals
 .
 minipage-marginpar -- Minipages with marginal notes
 .
 minitoc -- Produce a table of contents for each chapter, part or section
 .
 minorrevision -- Quote and refer to a manuscript for minor revisions
 .
 minted -- Highlighted source code for LaTeX
 .
 minutes -- Typeset the minutes of meetings
 .
 mla-paper -- Proper MLA formatting
 .
 mleftright -- Variants of delimiters that act as maths open/close
 .
 mlist -- Logical markup for lists
 .
 mmap -- Include CMap resources in PDF files from pdfTeX
 .
 mnotes -- Margin annotation for collaborative writing
 .
 moderncv -- A modern curriculum vitae class
 .
 modernposter -- A modern LaTeX poster theme
 .
 moderntimeline -- Timelines for use with moderncv
 .
 modref -- Customisation of cross-references in LaTeX
 .
 modroman -- Write numbers in lower case roman numerals
 .
 modular -- Relative section headings for modular documents
 .
 monofill -- Alignment of plain text
 .
 moodle -- Generating Moodle quizzes via LaTeX
 .
 moreenum -- More enumeration options
 .
 morefloats -- Increase the number of simultaneous LaTeX floats
 .
 morehype -- Hypertext tools for use with LaTeX
 .
 moresize -- Allows font sizes up to 35.83pt
 .
 moreverb -- Extended verbatim
 .
 morewrites -- Always room for a new write stream
 .
 movie15 -- Multimedia inclusion package
 .
 mparhack -- Work around a LaTeX bug in marginpars
 .
 mpostinl -- Embed MetaPost figures within LaTeX documents
 .
 msc -- Draw MSC diagrams
 .
 msg -- A package for LaTeX localisation
 .
 mslapa -- Michael Landy's APA citation style
 .
 mtgreek -- Use italic and upright greek letters with mathtime
 .
 multenum -- Multi-column enumerated lists
 .
 multiaudience -- Several versions of output from the same source
 .
 multibbl -- Multiple bibliographies
 .
 multicap -- Format captions inside multicols
 .
 multicolrule -- Decorative rules between columns
 .
 multidef -- Quickly define several similar macros
 .
 multienv -- Multiple environments using a "key=value" syntax
 .
 multiexpand -- Variations on the primitive command \expandafter
 .
 multilang -- A LaTeX package for maintaining multiple translations of a
 document
 .
 multirow -- Create tabular cells spanning multiple rows
 .
 mversion -- Keeping track of document versions
 .
 mwe -- Packages and image files for MWEs
 .
 mycv -- A list-driven CV class, allowing TikZ decorations
 .
 mylatexformat -- Build a format based on the preamble of a LaTeX file
 .
 nag -- Detecting and warning about obsolete LaTeX commands
 .
 nameauth -- Name authority mechanism for consistency in body text and index
 .
 namespc -- Rudimentary C++-like namespaces in LaTeX
 .
 ncclatex -- An extended general-purpose class
 .
 ncctools -- A collection of general packages for LaTeX
 .
 needspace -- Insert pagebreak if not enough space
 .
 nestquot -- Alternate quotes between double and single with nesting
 .
 newcommand -- Generate new LaTeX command definitions
 .
 newenviron -- Processing an environment's body
 .
 newfile -- User level management of LaTeX input and output
 .
 newlfm -- Write letters, facsimiles, and memos
 .
 newspaper -- Typeset newsletters to resemble newspapers
 .
 newunicodechar -- Definitions of the meaning of Unicode characters
 .
 newvbtm -- Define your own verbatim-like environment
 .
 newverbs -- Define new versions of \verb, including short verb versions
 .
 nextpage -- Generalisations of the page advance commands
 .
 nfssext-cfr -- Extensions to the LaTeX NFSS
 .
 nicefilelist -- Provide \listfiles alignment
 .
 niceframe -- Support for fancy frames
 .
 nicetext -- Minimal markup for simple text (Wikipedia style) and
 documentation
 .
 nidanfloat -- Bottom placement option for double float in two column mode
 (nidan-kumi)
 .
 nlctdoc -- Package documentation class
 .
 noconflict -- Resolve macro name conflict between packages
 .
 noindentafter -- Tool to prevent paragraph indentation after
 environments/macros
 .
 noitcrul -- Improved underlines in mathematics
 .
 nolbreaks -- No line breaks in text
 .
 nomencl -- Produce lists of symbols as in nomenclature
 .
 nomentbl -- Nomenclature typeset in a longtable
 .
 nonfloat -- Non-floating table and figure captions
 .
 nonumonpart -- Prevent page numbers on part pages
 .
 nopageno -- No page numbers in LaTeX documents
 .
 normalcolor -- Changing \normalcolor
 .
 notes -- Mark sections of a document
 .
 notespages -- Filling documents with notes pages and notes areas
 .
 notestex -- An all-in-one LaTeX notes package for students
 .
 notoccite -- Prevent trouble from citations in table of contents, etc
 .
 nowidow -- Avoid widows
 .
 nox -- Adaptable tables
 .
 ntheorem -- Enhanced theorem environment
 .
 numberedblock -- Print a block of code, with unique index number
 .
 numname -- Convert a number to its English expression
 .
 numprint -- Print numbers with separators and exponent if necessary
 .
 numspell -- Spelling cardinal and ordinal numbers
 .
 ocg-p -- PDF OCG support in LaTeX
 .
 ocgx -- Use OCGs within a PDF document without JavaScript
 .
 ocgx2 -- Drop-in replacement for 'ocgx' and 'ocg-p'
 .
 ocr-latex -- LaTeX support for ocr fonts
 .
 octavo -- Typeset books following classical design and layout
 .
 oldstyle -- Old style numbers in OT1 encoding
 .
 onlyamsmath -- Inhibit use of non-amsmath mathematics markup when using
 amsmath
 .
 opcit -- Footnote-style bibliographical references
 .
 optidef -- Environments for writing optimization problems
 .
 optional -- Facilitate optional printing of parts of a document
 .
 options -- Provides convenient key-value options for LaTeX package writers
 .
 outline -- List environment for making outlines
 .
 outliner -- Change section levels easily
 .
 outlines -- Produce "outline" lists
 .
 outlining -- Create outlines for scientific documents
 .
 overlays -- Incremental slides
 .
 overpic -- Combine LaTeX commands over included graphics
 .
 padcount -- Pad numbers with arbitrary characters
 .
 pagecolor -- Interrogate page colour
 .
 pagecont -- Page numbering that continues between documents
 .
 pagenote -- Notes at end of document
 .
 pagerange -- Flexible and configurable page range typesetting
 .
 pageslts -- Variants of last page labels
 .
 paper -- Versions of article class, tuned for scholarly publications
 .
 papercdcase -- Origami-style folding paper CD case
 .
 papermas -- Compute the mass of a printed version of a document
 .
 papertex -- Class for newspapers, etc
 .
 paracol -- Multiple columns with texts "in parallel"
 .
 parades -- Tabulators and space between paragraphs in galley approach
 .
 paralist -- Enumerate and itemize within paragraphs
 .
 paresse -- Define simple macros for greek letters
 .
 parnotes -- Notes after every paragraph (or elsewhere)
 .
 parsa -- A XeLaTeX package for theses and dissertations at Iranian
 Universities
 .
 parselines -- Apply a macro to each line of an environment
 .
 pas-cours -- Macros useful in preparing teaching material
 .
 pas-cv -- Flexible typesetting of Curricula Vitae
 .
 pas-tableur -- Create a spreadsheet layout
 .
 patch -- Patch loaded packages, etc.
 .
 patchcmd -- Change the definition of an existing command
 .
 pauldoc -- German LaTeX package documentation
 .
 pawpict -- Using graphics from PAW
 .
 pax -- Extract and reinsert PDF annotations with pdfTeX
 .
 pbox -- A variable-width \parbox command
 .
 pbsheet -- Problem sheet class
 .
 pdf14 -- Restore PDF 1.4 to a TeX live 2010 format
 .
 pdfcolmk -- Improved colour support under pdfTeX (legacy stub)
 .
 pdfcomment -- A user-friendly interface to pdf annotations
 .
 pdfcprot -- Activating and setting of character protruding using pdfLaTeX
 .
 pdfmarginpar -- Generate marginpar-equivalent PDF annotations
 .
 pdfoverlay -- A LaTeX style for overlaying text on a PDF
 .
 pdfpagediff -- Find difference between two PDF's
 .
 pdfpc-movie -- Pdfpc viewer-compatible hyperlinks to movies
 .
 pdfprivacy -- A LaTeX package to remove or suppress pdf meta-data
 .
 pdfreview -- Annotate PDF files with margin notes
 .
 pdfscreen -- Support screen-based document design
 .
 pdfslide -- Presentation slides using pdfTeX
 .
 pdfsync -- Provide links between source and PDF
 .
 pdfwin -- customizable windows for screen viewing of TeX documents
 .
 pdfx -- PDF/X and PDF/A support for pdfTeX, LuaTeX and XeTeX
 .
 pecha -- Print Tibetan text in the classic pecha layout style
 .
 perltex -- Define LaTeX macros in terms of Perl code
 .
 permute -- Support for symmetric groups
 .
 petiteannonce -- A class for small advertisements
 .
 phffullpagefigure -- Figures which fill up a whole page
 .
 phfnote -- Basic formatting for short documents
 .
 phfparen -- Parenthetic math expressions made simpler and less redundant
 .
 phfqit -- Macros for typesetting Quantum Information Theory
 .
 phfquotetext -- Quote verbatim text without white space formatting
 .
 phfsvnwatermark -- Watermarks with version control information from SVN
 .
 phfthm -- Goodies for theorems and proofs
 .
 philex -- Cross references for named and numbered environments
 .
 phonenumbers -- Typesetting telephone numbers with LaTeX
 .
 photo -- A float environment for photographs
 .
 picture -- Dimens for picture macros
 .
 piff -- Macro tools by Mike Piff
 .
 pkgloader -- Manage the options and loading order of other packages
 .
 placeins -- Control float placement
 .
 plantslabels -- Write labels for plants
 .
 plates -- Arrange for "plates" sections of documents
 .
 plweb -- Literate Programming for Prolog with LaTeX
 .
 pmboxdraw -- Poor man's box drawing characters
 .
 polynom -- Macros for manipulating polynomials
 .
 polynomial -- Typeset (univariate) polynomials
 .
 polytable -- Tabular-like environments with named columns
 .
 postcards -- Facilitates mass-mailing of postcards (junkmail)
 .
 poster-mac -- Make posters and banners with TeX
 .
 powerdot -- A presentation class
 .
 ppr-prv -- Prosper preview
 .
 practicalreports -- Some macros for writing practical reports
 .
 preprint -- A bundle of packages provided "as is"
 .
 pressrelease -- A class for typesetting press releases
 .
 prettyref -- Make label references "self-identify"
 .
 printlen -- Print lengths using specified units
 .
 probsoln -- Generate problem sheets and their solution sheets
 .
 program -- Typesetting programs and algorithms
 .
 progress -- Creates an overview of a document's state
 .
 progressbar -- Visualize shares of total amounts in the form of a
 (progress-)bar
 .
 proofread -- Commands for inserting annotations
 .
 properties -- Load properties from a file
 .
 prosper -- LaTeX class for high quality slides
 .
 protex -- Literate programming package
 .
 protocol -- A class for minutes of meetings
 .
 psfragx -- A psfrag eXtension
 .
 pstool -- Support for psfrag within pdfLaTeX
 .
 pstring -- Typeset sequences with justification pointers
 .
 pxgreeks -- Shape selection for PX fonts Greek letters
 .
 python -- Embed Python code in LaTeX
 .
 qcm -- A LaTeX2e class for making multiple choice questionnaires
 .
 qstest -- Bundle for unit tests and pattern matching
 .
 qsymbols -- Maths symbol abbreviations
 .
 quicktype -- LaTeX package for quick typesetting
 .
 quiz2socrative -- Prepare questions for socrative quizzes
 .
 quotchap -- Decorative chapter headings
 .
 quoting -- Consolidated environment for displayed text
 .
 quotmark -- Consistent quote marks
 .
 ran_toks -- Randomise token strings
 .
 randtext -- Randomise the order of characters in strings
 .
 rccol -- Decimal-centered optionally rounded numbers in tabular
 .
 rcs-multi -- Typeset RCS version control in multiple-file documents
 .
 rcsinfo -- Support for the revision control system
 .
 readarray -- Read, store and recall array-formatted data
 .
 realboxes -- Variants of common box-commands that read their content as real
 box and not as macro argument
 .
 recipe -- A LaTeX class to typeset recipes
 .
 recipebook -- Typeset 5.5" x 8" recipes for browsing or printing
 .
 recipecard -- Typeset recipes in note-card-sized boxes
 .
 rectopma -- Recycle top matter
 .
 refcheck -- Check references (in figures, table, equations, etc)
 .
 refenums -- Define named items and provide back-references with that name
 .
 reflectgraphics -- Techniques for reflecting graphics
 .
 refman -- Format technical reference manuals
 .
 refstyle -- Advanced formatting of cross references
 .
 regcount -- Display the allocation status of the TeX registers
 .
 regexpatch -- High level patching of commands
 .
 register -- Typeset programmable elements in digital hardware (registers)
 .
 regstats -- Information about register use
 .
 relenc -- A "relaxed" font encoding
 .
 relsize -- Set the font size relative to the current font size
 .
 repeatindex -- Repeat items in an index after a page or column break
 .
 repltext -- Control how text gets copied from a PDF file
 .
 returntogrid -- Semi-automatic grid typesetting
 .
 rgltxdoc -- Common code for documentation of the author's packages
 .
 rjlparshap -- Support for use of \parshape in LaTeX
 .
 rlepsf -- Rewrite labels in EPS graphics
 .
 rmpage -- A package to help change page layout parameters in LaTeX
 .
 robustcommand -- Declare robust command, with \newcommand checks
 .
 robustindex -- Create index with pagerefs
 .
 romanbar -- Write roman number with "bars"
 .
 romanbarpagenumber -- Typesetting roman page numbers
 .
 romanneg -- Roman page numbers negative
 .
 romannum -- Generate roman numerals instead of arabic digits
 .
 rotfloat -- Rotate floats
 .
 rotpages -- Typeset sets of pages upside-down and backwards
 .
 roundbox -- Round boxes in LaTeX
 .
 rterface -- Access to R analysis from within a document
 .
 rtkinenc -- Input encoding with fallback procedures
 .
 rulerbox -- Draw rulers around a box
 .
 rulercompass -- A TikZ library for straight-edge and compass diagrams
 .
 rvwrite -- Increase the number of available output streams in LaTeX
 .
 sanitize-umlaut -- Sanitize umlauts for MakeIndex and pdfLaTeX
 .
 sauerj -- A bundle of utilities by Jonathan Sauer
 .
 savefnmark -- Save name of the footnote mark for reuse
 .
 savesym -- Redefine symbols where names conflict
 .
 savetrees -- Optimise the use of each page of a LaTeX document
 .
 scale -- Scale document by sqrt(2) or magstep(2)
 .
 scalebar -- Create scalebars for maps, diagrams or photos
 .
 scalerel -- Constrained scaling and stretching of objects
 .
 scanpages -- Support importing and embellishing scanned documents
 .
 schedule -- Weekly schedules
 .
 scontents -- Stores LaTeX contents in memory or files
 .
 scrlttr2copy -- A letter class option file for the automatic creation of
 copies
 .
 sdaps -- LaTeX support files for SDAPS
 .
 sdrt -- Macros for Segmented Discourse Representation Theory
 .
 secdot -- Section numbers with trailing dots
 .
 secnum -- A macro to format section numbering intuitively
 .
 sectionbox -- Create fancy boxed ((sub)sub)sections
 .
 sectionbreak -- LaTeX support for section breaks
 .
 sectsty -- Control sectional headers
 .
 seealso -- Improve the performance of \see macros with makeindex
 .
 selectp -- Select pages to be output
 .
 selinput -- Semi-automatic detection of input encoding
 .
 semantic -- Help for writing programming language semantics
 .
 semantic-markup -- Meaningful semantic markup in the spirit of the Text
 Encoding Initiative
 .
 semioneside -- Put only special contents on left-hand pages in two sided
 layout
 .
 semproc -- Seminar proceedings
 .
 sepfootnotes -- Support footnotes and endnotes from separate files
 .
 seqsplit -- Split long sequences of characters in a neutral way
 .
 sesstime -- Session and timing information in lecture notes
 .
 sf298 -- Standard form 298
 .
 sffms -- Typesetting science fiction/fantasy manuscripts
 .
 sfmath -- Sans-serif mathematics
 .
 shadethm -- Theorem environments that are shaded
 .
 shadow -- Shadow boxes
 .
 shadowtext -- Produce text with a shadow behind it
 .
 shapepar -- A macro to typeset paragraphs in specific shapes
 .
 shdoc -- Float environment to document the shell commands of a terminal
 session
 .
 shipunov -- A collection of LaTeX packages and classes
 .
 shorttoc -- Table of contents with different depths
 .
 show2e -- Variants of \show for LaTeX2e
 .
 showcharinbox -- Show characters inside a box
 .
 showdim -- Variants on printing dimensions
 .
 showexpl -- Typesetting LaTeX source code
 .
 showhyphens -- Show all possible hyphenations in LuaLaTeX
 .
 showlabels -- Show label commands in the margin
 .
 sidecap -- Typeset captions sideways
 .
 sidenotes -- Typeset notes containing rich content, in the margin
 .
 silence -- Selective filtering of error messages and warnings
 .
 simplecd -- Simple CD, DVD covers for printing
 .
 simplecv -- A simple class for writing curricula vitae
 .
 simpleinvoice -- Easy typesetting of invoices
 .
 sitem -- Save the optional argument of \item
 .
 skb -- Tools for a repository of long-living documents
 .
 skdoc -- Documentation and extraction for packages and document classes
 .
 skeycommand -- Create commands using parameters and keyval in parallel
 .
 skeyval -- Key-value parsing combining features of xkeyval and pgfkeys
 .
 skrapport -- 'Simple' class for reports, etc.
 .
 slantsc -- Access different-shaped small-caps fonts
 .
 smalltableof -- Create listoffigures etc. in a single chapter
 .
 smartunits -- Converting between common metric and Imperial units
 .
 smartref -- Extend LaTeX's \ref capability
 .
 snapshot -- List the external dependencies of a LaTeX document
 .
 snotez -- Typeset notes, in the margin
 .
 soul -- Hyphenation for letterspacing, underlining, and more
 .
 soulpos -- A fancy means of underlining
 .
 soulutf8 -- Permit use of UTF-8 characters in soul
 .
 spacingtricks -- Dealing with some spacing issues
 .
 spark-otf -- Support OpenType Spark fonts
 .
 sparklines -- Drawing sparklines: intense, simple, wordlike graphics
 .
 sphack -- Patch LaTeX kernel spacing macros
 .
 splitindex -- Unlimited number of indexes
 .
 spot -- Spotlight highlighting for Beamer
 .
 spotcolor -- Spot colours for pdfLaTeX
 .
 spreadtab -- Spreadsheet features for LaTeX tabular environments
 .
 spverbatim -- Allow line breaks within \verb and verbatim output
 .
 srbook-mem -- Support for use of memoir in Serbian
 .
 srcltx -- Jump between DVI and TeX files
 .
 sseq -- Typesetting spectral sequence charts
 .
 sslides -- Slides with headers and footers
 .
 stack -- Tools to define and use stacks
 .
 stackengine -- Highly customised stacking of objects, insets, baseline
 changes, etc
 .
 standalone -- Compile TeX pictures stand-alone or as part of a document
 .
 stdclsdv -- Provide sectioning information for package writers
 .
 stealcaps -- "Steal" small capitals
 .
 stdpage -- Standard pages with n lines of at most m characters each
 .
 stex -- An Infrastructure for Semantic Preloading of LaTeX Documents
 .
 storebox -- Storing information for reuse
 .
 storecmd -- Store the name of a defined command in a container
 .
 stringenc -- Converting a string between different encodings
 .
 stringstrings -- String manipulation for cosmetic and programming
 application
 .
 sttools -- Various macros
 .
 stubs -- Create tear-off stubs at the bottom of a page
 .
 studenthandouts -- Management and styling of student handout projects
 .
 subdepth -- Unify maths subscript height
 .
 subdocs -- Multifile documents
 .
 subeqn -- Package for subequation numbering
 .
 subeqnarray -- Equation array with sub numbering
 .
 subfigmat -- Automates layout when using the subfigure package
 .
 subfigure -- Deprecated: Figures divided into subfigures
 .
 subfiles -- Individual typesetting of subfiles of a "main" document
 .
 subfloat -- Sub-numbering for figures and tables
 .
 substitutefont -- Easy font substitution
 .
 substr -- Deal with substrings in strings
 .
 supertabular -- A multi-page tables package
 .
 svg -- Include and extract SVG pictures in LaTeX documents
 .
 svgcolor -- Define SVG named colours
 .
 svn -- Typeset Subversion keywords
 .
 svn-multi -- Subversion keywords in multi-file LaTeX documents
 .
 svn-prov -- Subversion variants of \Provides... macros
 .
 svninfo -- Typeset Subversion keywords
 .
 syntax -- Creation of syntax diagrams
 .
 syntrace -- Labels for tracing in a syntax tree
 .
 synttree -- Typeset syntactic trees
 .
 tabfigures -- Maintain vertical alignment of figures
 .
 tableaux -- Construct tables of signs and variations
 .
 tablefootnote -- Permit footnotes in tables
 .
 tableof -- Tagging tables of contents
 .
 tablestyles -- Styles for tables with new commands
 .
 tablists -- Tabulated lists of short items
 .
 tabls -- Better vertical spacing in tables and arrays
 .
 tablvar -- Typesetting pretty tables of signs and variations according to
 French usage
 .
 tabstackengine -- "Tabbing" front-end to stackengine
 .
 tabto-ltx -- "Tab" to a measured position in the line
 .
 tabu -- Flexible LaTeX tabulars
 .
 tabularborder -- Remove excess space at left and right of tabular
 .
 tabularcalc -- Calculate formulas in a tabular environment
 .
 tabularew -- A variation on the tabular environment
 .
 tabulary -- Tabular with variable width columns balanced
 .
 tagging -- Document configuration with tags
 .
 tagpair -- Word-by-word glosses, translations, and bibliographic
 attributions
 .
 tagpdf -- Tools for experimenting with tagging using pdfLaTeX and LuaLaTeX
 .
 talk -- A LaTeX class for presentations
 .
 tamefloats -- Experimentally use \holdinginserts with LaTeX floats
 .
 tasks -- Horizontally columned lists
 .
 tcldoc -- Doc/docstrip for tcl
 .
 tcolorbox -- Coloured boxes, for LaTeX examples and theorems, etc
 .
 tdclock -- A ticking digital clock package for PDF output
 .
 technics -- A package to format technical documents
 .
 ted -- A (primitive) token list editor
 .
 templatetools -- Commands useful in LaTeX templates
 .
 termcal -- Print a class calendar
 .
 termlist -- Label any kind of term with a continuous counter
 .
 testhyphens -- Testing hyphenation patterns
 .
 testidx -- Dummy text for testing index styles and indexing applications
 .
 tex-label -- Place a classification on each page of a document
 .
 tex-locale -- Localisation support for TeX and LaTeX documents
 .
 texlogos -- Ready-to-use LaTeX logos
 .
 texmate -- Comprehensive chess annotation in LaTeX
 .
 texments -- Using the Pygments highlighter in LaTeX
 .
 texpower -- Create dynamic online presentations with LaTeX
 .
 texshade -- Package for setting nucleotide and peptide alignments
 .
 texvc -- Use MediaWiki LaTeX commands
 .
 textfit -- Fit text to a desired size
 .
 textmerg -- Merge text in TeX and LaTeX
 .
 textpos -- Place boxes at arbitrary positions on the LaTeX page
 .
 textualicomma -- Use the textual comma character as decimal separator in
 math mode
 .
 theoremref -- References with automatic theorem names
 .
 thinsp -- A stretchable \thinspace for LaTeX
 .
 thmtools -- Extensions to theorem environments
 .
 threadcol -- Organize document columns into PDF "article thread"
 .
 threeparttable -- Tables with captions and notes all the same width
 .
 threeparttablex -- Notes in longtables
 .
 thumb -- Thumb marks in documents
 .
 thumbs -- Create thumb indexes
 .
 thumby -- Create thumb indexes for printed books
 .
 ticket -- Make labels, visiting-cards, pins with LaTeX
 .
 titlecaps -- Setting rich-text input into Titling Caps
 .
 titlefoot -- Add special material to footer of title page
 .
 titlepic -- Add picture to title page of a document
 .
 titleref -- A "\titleref" command to cross-reference section titles
 .
 titlesec -- Select alternative section titles
 .
 titling -- Control over the typesetting of the \maketitle command
 .
 tocbibind -- Add bibliography/index/contents to Table of Contents
 .
 tocdata -- Adds names to chapters, sections, figures in the TOC and LOF
 .
 tocloft -- Control table of contents, figures, etc
 .
 tocvsec2 -- Section numbering and table of contents control
 .
 todo -- Make a to-do list for a document
 .
 todonotes -- Marking things to do in a LaTeX document
 .
 tokcycle -- The tokcycle package helps one to build tools to process tokens
 from an input stream, on a token-by-token basis
 .
 tokenizer -- A tokenizer
 .
 toolbox -- Tool macros
 .
 topfloat -- Move floats to the top of the page
 .
 topiclongtable -- Extend longtable with cells that merge hierarchically
 .
 totcount -- Find the last value of a counter
 .
 totpages -- Count pages in a document, and report last page number
 .
 translations -- Internationalisation of LaTeX2e packages
 .
 transparent -- Using a color stack for transparency with pdfTeX
 .
 trfsigns -- Typeset transform signs
 .
 trimspaces -- Trim spaces around an argument or within a macro
 .
 trivfloat -- Quick float definitions in LaTeX
 .
 trsym -- Symbols for transformations
 .
 truncate -- Truncate text to a specified width
 .
 tucv -- Support for typesetting a CV or resumee
 .
 turnthepage -- Provide "turn page" instructions
 .
 twoinone -- Print two pages on a single page
 .
 twoup -- Print two virtual pages on each physical page
 .
 txgreeks -- Shape selection for TX fonts Greek letters
 .
 type1cm -- Arbitrary size font selection in LaTeX
 .
 typed-checklist -- Typesetting tasks, goals, milestones, artifacts, and more
 in LaTeX
 .
 typeface -- Select a balanced set of fonts
 .
 typoaid -- Macros for font diagnostics
 .
 typogrid -- Print a typographic grid
 .
 uassign -- Environments and options for typesetting university assignments
 .
 ucs -- Extended UTF-8 input encoding support for LaTeX
 .
 uebungsblatt -- A LaTeX class for writing exercise sheets
 .
 umoline -- Underline text allowing line breaking
 .
 underlin -- Underlined running heads
 .
 underoverlap -- Position decorations over and under expressions
 .
 undolabl -- Override existing labels
 .
 units -- Typeset units
 .
 unravel -- Watching TeX digest tokens
 .
 upmethodology -- Writing specifications such as for UP-based methodologies
 .
 upquote -- Show "realistic" quotes in verbatim
 .
 uri -- Hyperlinks for a wide range of URIs
 .
 ushort -- Shorter (and longer) underlines and underbars
 .
 uspace -- Giving meaning to various Unicode space characters
 .
 uwmslide -- Slides with a simple Power Point like appearance
 .
 variablelm -- Font definitions for the variable Latin Modern fonts
 .
 varindex -- Luxury frontend to the \index command
 .
 varsfromjobname -- Extract variables from the name of the LaTeX file
 .
 varwidth -- A variable-width minipage
 .
 vdmlisting -- Typesetting VDM in ASCII syntax
 .
 verbasef -- VERBatim Automatic Splitting of External Files
 .
 verbatimbox -- Deposit verbatim text in a box
 .
 verbatimcopy -- Make copies of text documents from within LaTeX
 .
 verbdef -- Define commands which expand to verbatim text
 .
 verbments -- Syntax highlighting of source code in LaTeX documents
 .
 version -- Conditionally include text
 .
 versions -- Optionally omit pieces of text
 .
 versonotes -- Display brief notes on verso pages
 .
 vertbars -- Mark vertical rules in margin of text
 .
 vgrid -- Overlay a grid on the printed page
 .
 vhistory -- Support for creating a change log
 .
 vmargin -- Set various page dimensions
 .
 volumes -- Typeset only parts of a document, with complete indexes etc
 .
 vpe -- Source specials for PDF output
 .
 vruler -- Numbering text
 .
 vtable -- Vertical alignement of table cells
 .
 vwcol -- Variable-width multiple text columns
 .
 wallcalendar -- A wall calendar class with custom layouts
 .
 wallpaper -- Easy addition of wallpapers (background images) to LaTeX
 documents, including tiling
 .
 warning -- Global warnings at the end of the logfile
 .
 warpcol -- Relative alignment of rows in numeric columns in tabulars
 .
 was -- A collection of small packages by Walter Schmidt
 .
 webquiz -- Write interactive web based quizzes
 .
 widetable -- An environment for typesetting tables of specified width
 .
 widows-and-orphans -- Identify (typographic) widows and orphans
 .
 williams -- Miscellaneous macros by Peter Williams
 .
 withargs -- In-place argument substitution
 .
 wordcount -- Estimate the number of words in a LaTeX document
 .
 wordlike -- Simulating word processor layout
 .
 worksheet -- Easy creation of worksheets
 .
 wrapfig -- Produces figures which text can flow around
 .
 wtref -- Extend LaTeX's cross-reference system
 .
 xargs -- Define commands with many optional arguments
 .
 xassoccnt -- Associated counters stepping simultaneously
 .
 xbmks -- Create a cross-document bookmark tree
 .
 xcntperchap -- Track the number of subsections etc. that occur in a
 specified tracklevel
 .
 xcolor-material -- Defines the 256 colors from Google Material Color Palette
 .
 xcolor-solarized -- Defines the 16 colors from Ethan Schoonover's Solarized
 palette
 .
 xcomment -- Allows selected environments to be included/excluded
 .
 xcookybooky -- Typeset (potentially long) recipes
 .
 xcpdftips -- Natbib citations with PDF tooltips
 .
 xdoc -- Extending the LaTeX doc system
 .
 xellipsis -- Extremely configurable ellipses with formats for various style
 manuals
 .
 xfakebold -- Fake a regular font for bold characters
 .
 xfor -- A reimplementation of the LaTeX for-loop macro
 .
 xhfill -- Extending \hrulefill
 .
 xifthen -- Extended conditional commands
 .
 xint -- Expandable operations on long numbers
 .
 xkcdcolors -- xkcd names of colors
 .
 xltabular -- Longtable support with possible X-column specifier
 .
 xmpincl -- Include eXtensible Metadata Platform data in pdfLaTeX
 .
 xnewcommand -- Define \global and \protected commands with \newcommand
 .
 xoptarg -- Expandable macros that take an optional argument
 .
 xpatch -- Extending etoolbox patching commands
 .
 xpeek -- Define commands that peek ahead in the input stream
 .
 xprintlen -- Print TeX lengths in a variety of units
 .
 xpunctuate -- Process trailing punctuation which may be redundant
 .
 xsavebox -- Saveboxes for repeating content without code replication, based
 on PDF Form XObjects
 .
 xsim -- eXercise Sheets IMproved
 .
 xstring -- String manipulation for (La)TeX
 .
 xtab -- Break tables across pages
 .
 xurl -- Allow URL breaks at any alphanumerical character
 .
 xwatermark -- Graphics and text watermarks on selected pages
 .
 xytree -- Tree macros using XY-Pic
 .
 yafoot -- A bundle of miscellaneous footnote packages
 .
 yaletter -- Extremely flexible macros for letters, envelopes, and label
 sheets
 .
 yagusylo -- A symbol loader
 .
 ycbook -- A versatile book class
 .
 ydoc -- Macros for documentation of LaTeX classes and packages
 .
 yplan -- Daily planner type calendar
 .
 zebra-goodies -- A collection of handy macros for paper writing
 .
 zed-csp -- Typesetting Z and CSP format specifications
 .
 ziffer -- Conversion of punctuation in maths mode
 .
 zref -- A new reference scheme for LaTeX
 .
 zwgetfdate -- Get package or file date
 .
 zwpagelayout -- Page layout and crop-marks

Package: texlive-latex-extra-doc
Description-md5: 5ac6c6c9a0c0f6fddfd3fc657d09c522
Description-en: TeX Live: Documentation files for texlive-latex-extra
 This package provides the documentation for texlive-latex-extra

Package: texlive-latex-recommended
Description-md5: dfc6396a00e189ce4cb1a623394df67c
Description-en: TeX Live: LaTeX recommended packages
 A collection of recommended add-on packages for LaTeX which have widespread
 use, and the release candidate formats latex-dev, etc.
 .
 This package includes the following CTAN packages:
 .
 anysize -- A simple package to set up document margins
 .
 attachfile2 -- Attach files into PDF
 .
 beamer -- A LaTeX class for producing presentations and slides
 .
 bitset -- Handle bit-vector datatype
 .
 booktabs -- Publication quality tables in LaTeX
 .
 breqn -- Automatic line breaking of displayed equations
 .
 caption -- Customising captions in floating environments
 .
 cite -- Improved citation handling in LaTeX
 .
 cmap -- Make PDF files searchable and copyable
 .
 crop -- Support for cropmarks
 .
 ctable -- Flexible typesetting of table and figure floats using key/value
 directives
 .
 eso-pic -- Add picture commands (or backgrounds) to every page
 .
 euenc -- Unicode font encoding definitions for XeTeX
 .
 euler -- Use AMS Euler fonts for math
 .
 etoolbox -- e-TeX tools for LaTeX
 .
 extsizes -- Extend the standard classes' size options
 .
 fancybox -- Variants of \fbox and other games with boxes
 .
 fancyref -- A LaTeX package for fancy cross-referencing
 .
 fancyvrb -- Sophisticated verbatim text
 .
 filehook -- Hooks for input files
 .
 float -- Improved interface for floating objects
 .
 fontspec -- Advanced font selection in XeLaTeX and LuaLaTeX
 .
 footnotehyper -- hyperref aware footnote.sty
 .
 fp -- Fixed point arithmetic
 .
 grffile -- Extended file name support for graphics (legacy package)
 .
 hologo -- A collection of logos with bookmark support
 .
 index -- Extended index for LaTeX including multiple indexes
 .
 infwarerr -- Complete set of information/warning/error message macros
 .
 jknapltx -- Miscellaneous packages by Joerg Knappen
 .
 koma-script -- A bundle of versatile classes and packages
 .
 latexbug -- Bug-classification for LaTeX related bugs
 .
 l3experimental -- Experimental LaTeX3 concepts
 .
 l3packages -- High-level LaTeX3 concepts
 .
 lineno -- Line numbers on paragraphs
 .
 listings -- Typeset source code listings using LaTeX
 .
 lwarp -- Converts LaTeX to HTML
 .
 mathspec -- Specify arbitrary fonts for mathematics in XeTeX
 .
 mathtools -- Mathematical tools to use with amsmath
 .
 mdwtools -- Miscellaneous tools by Mark Wooding
 .
 memoir -- Typeset fiction, non-fiction and mathematical books
 .
 metalogo -- Extended TeX logo macros
 .
 microtype -- Subliminal refinements towards typographical perfection
 .
 ms -- Various LaTeX packages by Martin Schroder
 .
 newfloat -- Define new floating environments
 .
 ntgclass -- "European" versions of standard classes
 .
 parskip -- Layout with zero \parindent, non-zero \parskip
 .
 pdfescape -- Implements pdfTeX's escape features using TeX or e-TeX
 .
 pdflscape -- Make landscape pages display as landscape
 .
 pdfpages -- Include PDF documents in LaTeX
 .
 pdftexcmds -- LuaTeX support for pdfTeX utility functions
 .
 polyglossia -- An alternative to babel for XeLaTeX and LuaLaTeX
 .
 psfrag -- Replace strings in encapsulated PostScript figures
 .
 ragged2e -- Alternative versions of "ragged"-type commands
 .
 rcs -- Use RCS (revision control system) tags in LaTeX documents
 .
 sansmath -- Maths in a sans font
 .
 section -- Modifying section commands in LaTeX
 .
 seminar -- Make overhead slides
 .
 sepnum -- Print numbers in a "friendly" format
 .
 setspace -- Set space between lines
 .
 subfig -- Figures broken into subfigures
 .
 textcase -- Case conversion ignoring mathematics, etc
 .
 thumbpdf -- Thumbnails for pdfTeX and dvips/ps2pdf
 .
 translator -- Easy translation of strings in LaTeX
 .
 typehtml -- Typeset HTML directly from LaTeX
 .
 ucharcat -- Implementation of the (new in 2015) XeTeX \Ucharcat command in
 lua, for LuaTeX
 .
 underscore -- Control the behaviour of "_" in text
 .
 unicode-math -- Unicode mathematics support for XeTeX and LuaTeX
 .
 xcolor -- Driver-independent color extensions for LaTeX and pdfLaTeX
 .
 xkeyval -- Extension of the keyval package
 .
 xltxtra -- "Extras" for LaTeX users of XeTeX
 .
 xunicode -- Generate Unicode characters from accented glyphs

Package: texlive-latex-recommended-doc
Description-md5: baa8291c3456557519fe1fb86023b2b8
Description-en: TeX Live: Documentation files for texlive-latex-recommended
 This package provides the documentation for texlive-latex-recommended

Package: texlive-luatex
Description-md5: c79e94fa60c9087058db2f10c232f281
Description-en: TeX Live: LuaTeX packages
 Packages for LuaTeX, a Unicode-aware extension of pdfTeX, using Lua as an
 embedded scripting and extension language. http://luatex.org/
 .
 This package includes the following CTAN packages:
 .
 addliga -- Access basic ligatures in legacy TrueType fonts
 .
 auto-pst-pdf-lua -- Using LuaLaTeX together with PostScript code
 .
 barracuda -- Draw barcodes with Lua
 .
 bezierplot -- Approximate smooth function graphs with cubic bezier splines
 for use with TikZ or MetaPost
 .
 checkcites -- Check citation commands in a document
 .
 chickenize -- Use lua callbacks for "interesting" textual effects
 .
 combofont -- Add NFSS-declarations of combo fonts to LuaLaTeX documents
 .
 cstypo -- Czech typography rules enforced through LuaTeX hooks
 .
 ctablestack -- Catcode table stable support
 .
 enigma -- Encrypt documents with a three rotor Enigma
 .
 interpreter -- Translate input files on the fly
 .
 kanaparser -- Kana parser for LuaTeX
 .
 lua-visual-debug -- Visual debugging with LuaLaTeX
 .
 lua2dox -- Auto-documentation of lua code
 .
 luacode -- Helper for executing lua code from within TeX
 .
 luacolor -- Color support based on LuaTeX's node attributes
 .
 luahbtex -- LuaTeX with HarfBuzz library for glyph shaping
 .
 luahyphenrules -- Loading patterns in LuaLaTeX with language.dat
 .
 luaimageembed -- Embed images as base64-encoded strings
 .
 luaindex -- Create index using LuaLaTeX
 .
 luainputenc -- Replacing inputenc for use in LuaTeX
 .
 luaintro -- Examples from the book "Einfuhrung in LuaTeX und LuaLaTeX"
 .
 lualatex-doc -- A guide to use of LaTeX with LuaTeX
 .
 lualatex-math -- Fixes for mathematics-related LuaLaTeX issues
 .
 lualatex-truncate -- A wrapper for using the truncate package with LuaLaTeX
 .
 lualibs -- Additional Lua functions for LuaTeX macro programmers
 .
 luamplib -- Use LuaTeX's built-in MetaPost interpreter
 .
 luaotfload -- OpenType 'loader' for Plain TeX and LaTeX
 .
 luapackageloader -- Allow LuaTeX to load external Lua packages
 .
 luarandom -- Create lists of random numbers
 .
 luatex85 -- pdfTeX aliases for LuaTeX
 .
 luatexbase -- Basic resource management for LuaTeX code
 .
 luatexko -- Typeset Korean with Lua(La)TeX
 .
 luatextra -- Additional macros for Plain TeX and LaTeX in LuaTeX
 .
 luavlna -- Prevent line breaks after single letter words, units, or adademic
 titles
 .
 luaxml -- Lua library for reading and serialising XML files
 .
 nodetree -- Visualize node lists in a tree view
 .
 odsfile -- Read OpenDocument Spreadsheet documents as LaTeX tables
 .
 optex -- LuaTeX format based on Plain TeX and OPmac
 .
 pdfarticle -- Class for pdf publications
 .
 placeat -- Absolute content positioning
 .
 plantuml -- Support for rendering UML diagrams using the syntax and tool of
 PlantUML
 .
 selnolig -- Selectively disable typographic ligatures
 .
 spelling -- Support for spell-checking of LuaTeX documents
 .
 typewriter -- Typeset with a randomly variable monospace font

Package: texlive-metapost
Description-md5: 47bcd3f94f37f3734a5ce528d7218de4
Description-en: TeX Live: MetaPost and Metafont packages
 This package includes the following CTAN packages:
 .
 automata -- Finite state machines, graphs and trees in MetaPost
 .
 bbcard -- Bullshit bingo, calendar and baseball-score cards
 .
 blockdraw_mp -- Block diagrams and bond graphs, with MetaPost
 .
 bpolynomial -- Drawing polynomial functions of up to order 3
 .
 cmarrows -- MetaPost arrows and braces in the Computer Modern style
 .
 drv -- Derivation trees with MetaPost
 .
 dviincl -- Include a DVI page into MetaPost output
 .
 emp -- "Encapsulate" MetaPost figures in a document
 .
 epsincl -- Include EPS in MetaPost figures
 .
 expressg -- Diagrams consisting of boxes, lines, and annotations
 .
 exteps -- Include EPS figures in MetaPost
 .
 featpost -- MetaPost macros for 3D
 .
 feynmp-auto -- Automatic processing of feynmp graphics
 .
 fiziko -- A MetaPost library for physics textbook illustrations
 .
 garrigues -- MetaPost macros for the reproduction of Garrigues' Easter
 nomogram
 .
 gmp -- Enable integration between MetaPost pictures and LaTeX
 .
 hatching -- MetaPost macros for hatching interior of closed paths
 .
 latexmp -- Interface for LaTeX-based typesetting in MetaPost
 .
 mcf2graph -- Draw chemical structure diagrams with Metafont/MetaPost
 .
 metago -- MetaPost output of Go positions
 .
 metaobj -- MetaPost package providing high-level objects
 .
 metaplot -- Plot-manipulation macros for use in MetaPost
 .
 metapost -- A development of Metafont for creating graphics
 .
 metapost-colorbrewer -- An implementation of the colorbrewer2.org colours
 for MetaPost
 .
 metauml -- MetaPost library for typesetting UML diagrams
 .
 mfpic -- Draw Metafont/post pictures from (La)TeX commands
 .
 mfpic4ode -- Macros to draw direction fields and solutions of ODEs
 .
 mp3d -- 3D animations
 .
 mparrows -- MetaPost module with different types of arrow heads
 .
 mpattern -- Patterns in MetaPost
 .
 mpcolornames -- Extend list of predefined colour names for MetaPost
 .
 mpgraphics -- Process and display MetaPost figures inline
 .
 mptrees -- Probability trees with MetaPost
 .
 piechartmp -- Draw pie-charts using MetaPost
 .
 repere -- Diagrams for school mathematics
 .
 roex -- Metafont-PostScript conversions
 .
 roundrect -- MetaPost macros for highly configurable rounded rectangles
 (optionally with text)
 .
 shapes -- Draw polygons, reentrant stars, and fractions in circles with
 MetaPost
 .
 slideshow -- Generate slideshow with MetaPost
 .
 splines -- MetaPost macros for drawing cubic spline interpolants
 .
 suanpan -- MetaPost macros for drawing Chinese and Japanese abaci
 .
 textpath -- Setting text along a path with MetaPost
 .
 threeddice -- Create images of dice with one, two, or three faces showing,
 using MetaPost

Package: texlive-metapost-doc
Description-md5: 0dc5a30b89d031677eb32d4cf8381caf
Description-en: TeX Live: Documentation files for texlive-metapost
 This package provides the documentation for texlive-metapost

Package: texlive-music
Description-md5: 648a030e2e16b5e8ac8dfee2268132e0
Description-en: TeX Live: Music packages
 Music-related fonts and packages.
 .
 This package includes the following CTAN packages:
 .
 abc -- Support ABC music notation in LaTeX
 .
 autosp -- A Preprocessor that generates note-spacing commands for MusiXTeX
 scores
 .
 bagpipe -- Support for typesetting bagpipe music
 .
 chordbars -- Print chord grids for pop/jazz tunes
 .
 chordbox -- Draw chord diagrams
 .
 ddphonism -- Dodecaphonic diagrams: twelve-tone matrices, clock diagrams,
 etc.
 .
 figbas -- Mini-fonts for figured-bass notation in music
 .
 gchords -- Typeset guitar chords
 .
 gregoriotex -- Engraving Gregorian Chant scores
 .
 gtrcrd -- Add chords to lyrics
 .
 guitar -- Guitar chords and song texts
 .
 guitarchordschemes -- Guitar Chord and Scale Tablatures
 .
 guitartabs -- A class for drawing guitar tablatures easily
 .
 harmony -- Typeset harmony symbols, etc., for musicology
 .
 leadsheets -- Typesetting leadsheets and songbooks
 .
 latex4musicians -- A guide for combining LaTeX and music
 .
 lyluatex -- Commands to include lilypond scores within a (Lua)LaTeX document
 .
 m-tx -- A preprocessor for pmx
 .
 musicography -- Accessing symbols for music writing with pdfLaTeX
 .
 musixguit -- Easy notation for guitar music, in MusixTeX
 .
 musixtex -- Sophisticated music typesetting
 .
 musixtex-fonts -- Fonts used by MusixTeX
 .
 musixtnt -- A MusiXTeX extension library that enables transformations of the
 effect of notes commands
 .
 octave -- Typeset musical pitches with octave designations
 .
 piano -- Typeset a basic 2-octave piano diagram
 .
 pmx -- Preprocessor for MusiXTeX
 .
 pmxchords -- Produce chord information to go with pmx output
 .
 songbook -- Package for typesetting song lyrics and chord books
 .
 songs -- Produce song books for church or fellowship
 .
 xpiano -- An extension of the piano package

Package: texlive-pictures
Description-md5: e23827dd28aaee3cfbb4e2a07488a07c
Description-en: TeX Live: Graphics, pictures, diagrams
 Including TikZ, pict, etc., but MetaPost and PStricks are separate.
 .
 This package includes the following CTAN packages:
 .
 adigraph -- Augmenting directed graphs
 .
 aobs-tikz -- TikZ styles for creating overlaid pictures in beamer
 .
 askmaps -- Typeset American style Karnaugh maps
 .
 asyfig -- Commands for using Asymptote figures
 .
 asypictureb -- User-friendly integration of Asymptote into LaTeX
 .
 autoarea -- Automatic computation of bounding boxes with PiCTeX
 .
 bardiag -- LaTeX package for drawing bar diagrams
 .
 beamerswitch -- Convenient mode selection in Beamer documents
 .
 binarytree -- Drawing binary trees using TikZ
 .
 blochsphere -- Draw pseudo-3D diagrams of Bloch spheres
 .
 bloques -- Generate control diagrams
 .
 blox -- Draw block diagrams, using TikZ
 .
 bodegraph -- Draw Bode, Nyquist and Black plots with gnuplot and TikZ
 .
 bondgraph -- Create bond graph figures in LaTeX documents
 .
 bondgraphs -- Draws bond graphs in LaTeX, using PGF/TikZ
 .
 braids -- Draw braid diagrams with PGF/TikZ
 .
 bxeepic -- Eepic facilities using pict2e
 .
 cachepic -- Convert document fragments into graphics
 .
 callouts -- Put simple annotations and notes inside a picture
 .
 celtic -- A TikZ library for drawing celtic knots
 .
 chemfig -- Draw molecules with easy syntax
 .
 combinedgraphics -- Include graphic (EPS or PDF)/LaTeX combinations
 .
 circuit-macros -- M4 macros for electric circuit diagrams
 .
 circuitikz -- Draw electrical networks with TikZ
 .
 curve -- A class for making curriculum vitae
 .
 curve2e -- Extensions for package pict2e
 .
 curves -- Curves for LaTeX picture environment
 .
 dcpic -- Commutative diagrams in a LaTeX and TeX documents
 .
 diagmac2 -- Diagram macros, using pict2e
 .
 ditaa -- Use ditaa diagrams within LaTeX documents
 .
 doc-pictex -- A summary list of PicTeX documentation
 .
 dottex -- Use dot code in LaTeX
 .
 dot2texi -- Create graphs within LaTeX using the dot2tex tool
 .
 dratex -- General drawing macros
 .
 drs -- Typeset Discourse Representation Structures (DRS)
 .
 duotenzor -- Drawing package for circuit and duotensor diagrams
 .
 dynkin-diagrams -- Draw Dynkin, Coxeter, and Satake diagrams using TikZ
 .
 ecgdraw -- Draws electrocardiograms (ECG)
 .
 eepic -- Extensions to epic and the LaTeX drawing tools
 .
 ellipse -- Draw ellipses and elliptical arcs using the standard LaTeX2e
 picture environment
 .
 endofproofwd -- An "end of proof" sign
 .
 epspdf -- Converter for PostScript, EPS and PDF
 .
 epspdfconversion -- On-the-fly conversion of EPS to PDF
 .
 esk -- Package to encapsulate Sketch files in LaTeX sources
 .
 euflag -- A command to reproduce the flag of the European Union
 .
 fast-diagram -- Easy generation of FAST diagrams
 .
 fig4latex -- Management of figures for large LaTeX documents
 .
 fitbox -- Fit graphics on a page
 .
 flowchart -- Shapes for drawing flowcharts, using TikZ
 .
 forest -- Drawing (linguistic) trees
 .
 genealogytree -- Pedigree and genealogical tree diagrams
 .
 getmap -- Download OpenStreetMap maps for use in documents
 .
 gincltex -- Include TeX files as graphics (.tex support for
 \includegraphics)
 .
 gnuplottex -- Embed Gnuplot commands in LaTeX documents
 .
 gradientframe -- Simple gradient frames around objects
 .
 grafcet -- Draw Grafcet/SFC with TikZ
 .
 graph35 -- Draw keys and screen items of several Casio calculators
 .
 graphicxpsd -- Adobe Photoshop Data format (PSD) support for graphicx
 package
 .
 graphviz -- Write graphviz (dot+neato) inline in LaTeX documents
 .
 gtrlib-largetrees -- Library for genealogytree aiming at large trees
 .
 harveyballs -- Create Harvey Balls using TikZ
 .
 here -- Emulation of obsolete package for "here" floats
 .
 hf-tikz -- A simple way to highlight formulas and formula parts
 .
 hobby -- An implementation of Hobby's algorithm for PGF/TikZ
 .
 hvfloat -- Rotating caption and object of floats independently
 .
 istgame -- Draw Game Trees with TikZ
 .
 kblocks -- Easily typeset Control Block Diagrams and Signal Flow Graphs
 .
 knitting -- Produce knitting charts, in Plain TeX or LaTeX
 .
 knittingpattern -- Create knitting patterns
 .
 ladder -- Draw simple ladder diagrams using TikZ
 .
 lapdf -- PDF drawing directly in TeX documents
 .
 latex-make -- Easy compiling of complex (and simple) LaTeX documents
 .
 lpic -- Put LaTeX material over included graphics
 .
 lroundrect -- LaTeX macros for utilizing the roundrect MetaPost routines
 .
 luamesh -- Computes and draws 2D Delaunay triangulation
 .
 luasseq -- Drawing spectral sequences in LuaLaTeX
 .
 maker -- Include Arduino or Processing code in LaTeX documents
 .
 makeshape -- Declare new PGF shapes
 .
 mathspic -- A Perl filter program for use with PiCTeX
 .
 milsymb -- LaTeX package for TikZ based drawing of military symbols as per
 NATO APP-6(C)
 .
 miniplot -- A package for easy figure arrangement
 .
 mkpic -- Perl interface to mfpic
 .
 modiagram -- Drawing molecular orbital diagrams
 .
 neuralnetwork -- Graph-drawing for neural networks
 .
 numericplots -- Plot numeric data (including Matlab export) using PSTricks
 .
 pb-diagram -- A commutative diagram package using LAMSTeX or Xy-pic fonts
 .
 penrose -- A TikZ library for producing Penrose tilings
 .
 petri-nets -- A set TeX/LaTeX packages for drawing Petri nets
 .
 pgf -- Create PostScript and PDF graphics in TeX
 .
 pgf-blur -- PGF/TikZ package for "blurred" shadows
 .
 pgf-cmykshadings -- Support for CMYK and grayscale shadings in PGF/TikZ
 .
 pgf-soroban -- Create images of the soroban using TikZ/PGF
 .
 pgf-spectra -- Draw continuous or discrete spectra using PGF/TikZ
 .
 pgf-umlcd -- Some LaTeX macros for UML Class Diagrams
 .
 pgf-umlsd -- Draw UML Sequence Diagrams
 .
 pgfgantt -- Draw Gantt charts with TikZ
 .
 pgfkeyx -- Extended and more robust version of pgfkeys
 .
 pgfmolbio -- Draw graphs typically found in molecular biology texts
 .
 pgfmorepages -- Assemble multiple logical pages onto a physical page
 .
 pgfopts -- LaTeX package options with pgfkeys
 .
 pgfornament -- Drawing of Vectorian ornaments with PGF/TikZ
 .
 pgfplots -- Create normal/logarithmic plots in two and three dimensions
 .
 picinpar -- Insert pictures into paragraphs
 .
 pict2e -- New implementation of picture commands
 .
 pictex -- Picture drawing macros for TeX and LaTeX
 .
 pictex2 -- Adds relative coordinates and improves the \plot command
 .
 pinlabel -- A TeX labelling package
 .
 pixelart -- A package to draw pixel-art pictures
 .
 pmgraph -- "Poor man's" graphics
 .
 postage -- stamp letters with >>Deutsche Post<<'s service >>Internetmarke<<
 .
 prerex -- Interactive editor and macro support for prerequisite charts
 .
 productbox -- Typeset a three-dimensional product box
 .
 ptolemaicastronomy -- Diagrams of sphere models for variably strict
 conditionals (Lewis counterfactuals)
 .
 pxpgfmark -- e-pTeX driver for PGF inter-picture connections
 .
 qcircuit -- Macros to generate quantum ciruits
 .
 quantikz -- Draw quantum circuit diagrams
 .
 qrcode -- Generate QR codes in LaTeX
 .
 randbild -- Marginal pictures
 .
 randomwalk -- Random walks using TikZ
 .
 realhats -- Put real hats on symbols instead of ^
 .
 reotex -- Draw Reo Channels and Circuits
 .
 rviewport -- Relative Viewport for Graphics Inclusion
 .
 sa-tikz -- TikZ library to draw switching architectures
 .
 schemabloc -- Draw block diagrams, using TikZ
 .
 scsnowman -- Snowman variants using TikZ
 .
 scratch -- Draw programs like "scratch"
 .
 scratch3 -- Draw programs like "scratch"
 .
 setdeck -- Typeset cards for Set
 .
 simpleoptics -- Drawing lenses and mirrors for optical diagrams
 .
 signchart -- Create beautifully typeset sign charts
 .
 smartdiagram -- Generate diagrams from lists
 .
 spath3 -- Manipulate "soft paths" in PGF
 .
 spectralsequences -- Print spectral sequence diagrams using PGF/TikZ
 .
 swimgraf -- Graphical/textual representations of swimming performances
 .
 table-fct -- Draw a variations table of functions and a convexity table of
 its graph
 .
 texdraw -- Graphical macros, using embedded PostScript
 .
 ticollege -- Graphical representation of keys on a standard scientific
 calculator
 .
 tipfr -- Produces calculator's keys with the help of TikZ
 .
 tikz-3dplot -- Coordinate transformation styles for 3d plotting in TikZ
 .
 tikz-bayesnet -- Draw Bayesian networks, graphical models and directed
 factor graphs
 .
 tikz-cd -- Create commutative diagrams with TikZ
 .
 tikz-dependency -- A library for drawing dependency graphs
 .
 tikz-dimline -- Technical dimension lines using PGF/TikZ
 .
 tikz-feynhand -- Feynman diagrams with TikZ
 .
 tikz-feynman -- Feynman diagrams with TikZ
 .
 tikz-imagelabels -- Put labels on images using TikZ
 .
 tikz-inet -- Draw interaction nets with TikZ
 .
 tikz-kalender -- A LaTeX based calendar using TikZ
 .
 tikz-karnaugh -- Typeset Karnaugh maps using TikZ
 .
 tikz-ladder -- Draw ladder diagrams using TikZ
 .
 tikz-layers -- TikZ provides graphical layers on TikZ: "behind", "above" and
 "glass"
 .
 tikz-nef -- create diagrams for neural networks constructed with the methods
 of the Neural Engineering Framework (NEF)
 .
 tikz-network -- Draw networks with TikZ
 .
 tikz-opm -- Typeset OPM diagrams
 .
 tikz-optics -- A library for drawing optical setups with TikZ
 .
 tikz-page -- Small macro to help building nice and complex layout materials
 .
 tikz-palattice -- Draw particle accelerator lattices with TikZ
 .
 tikz-qtree -- Use existing qtree syntax for trees in TikZ
 .
 tikz-relay -- TikZ library for typesetting electrical diagrams
 .
 tikz-sfc -- Symbols collection for typesetting Sequential Function Chart
 (SFC) diagrams (PLC programs)
 .
 tikz-timing -- Easy generation of timing diagrams as TikZ pictures
 .
 tikz-trackschematic -- A TikZ library for creating track diagrams in
 railways
 .
 tikz-truchet -- Draw Truchet tiles
 .
 tikzcodeblocks -- Helps to draw codeblocks like scratch, NEPO and PXT in
 TikZ
 .
 tikzducks -- A little fun package for using rubber ducks in TikZ
 .
 tikzinclude -- Import TikZ images from colletions
 .
 tikzlings -- A collection of cute little animals and similar creatures
 .
 tikzmark -- Use TikZ's method of remembering a position on a page
 .
 tikzmarmots -- Drawing little marmots in TikZ
 .
 tikzorbital -- Atomic and molecular orbitals using TikZ
 .
 tikzpagenodes -- A single TikZ node for the whole page
 .
 tikzpfeile -- Draw arrows using PGF/TikZ
 .
 tikzpeople -- Draw people-shaped nodes in TikZ
 .
 tikzposter -- Create scientific posters using TikZ
 .
 tikzscale -- Resize pictures while respecting text size
 .
 tikzsymbols -- Some symbols created using TikZ
 .
 timing-diagrams -- Draw timing diagrams
 .
 tqft -- Drawing TQFT diagrams with TikZ/PGF
 .
 tkz-base -- Tools for drawing with a cartesian coordinate system
 .
 tkz-berge -- Macros for drawing graphs of graph theory
 .
 tkz-doc -- Documentation macros for the TKZ series of packages
 .
 tkz-euclide -- Tools for drawing Euclidean geometry
 .
 tkz-fct -- Tools for drawing graphs of functions
 .
 tkz-graph -- Draw graph-theory graphs
 .
 tkz-kiviat -- Draw Kiviat graphs
 .
 tkz-linknodes -- Link nodes in mathematical environments
 .
 tkz-orm -- Create Object-Role Model (ORM) diagrams
 .
 tkz-tab -- Tables of signs and variations using PGF/TikZ
 .
 tsemlines -- Support for the ancient \emline macro
 .
 tufte-latex -- Document classes inspired by the work of Edward Tufte
 .
 venndiagram -- Creating Venn diagrams with TikZ
 .
 visualpstricks -- Visual help for PSTricks based on images with minimum text
 .
 xpicture -- Extensions of LaTeX picture drawing
 .
 xypic -- Flexible diagramming macros

Package: texlive-pictures-doc
Description-md5: a0c7d5a93d0c18d832e97c0ffdb9ab11
Description-en: TeX Live: Documentation files for texlive-pictures
 This package provides the documentation for texlive-pictures

Package: texlive-plain-generic
Description-md5: 53205761ccefa084d7c1cfaccb5caf0b
Description-en: TeX Live: Plain (La)TeX packages
 Add-on packages and macros that work with plain TeX, often LaTeX, and
 occasionally other formats.
 .
 This package includes the following CTAN packages:
 .
 abbr -- Simple macros supporting abreviations for Plain and LaTeX
 .
 abstyles -- Adaptable BibTeX styles
 .
 apnum -- Arbitrary precision numbers implemented by TeX macros
 .
 autoaligne -- Align terms and members in math expressions
 .
 barr -- Diagram macros by Michael Barr
 .
 bitelist -- Split list, in TeX's mouth
 .
 borceux -- Diagram macros by Francois Borceux
 .
 c-pascal -- Typeset Python, C and Pascal programs
 .
 catcodes -- Generic handling of TeX category codes
 .
 chronosys -- Drawing time-line diagrams
 .
 colorsep -- Color separation
 .
 cweb-old --
 .
 dinat -- Bibliography style for German texts
 .
 dirtree -- Display trees in the style of windows explorer
 .
 docbytex -- Creating documentation from source code
 .
 dowith -- Apply a command to a list of items
 .
 eijkhout -- Victor Eijkhout's packages
 .
 encxvlna -- Insert nonbreakable spaces, using encTeX
 .
 epigram -- Display short quotations
 .
 epsf -- Simple macros for EPS inclusion
 .
 epsf-dvipdfmx -- Plain TeX file for using epsf.tex with (x)dvipdfmx
 .
 expkv -- An expandable key=val implementation
 .
 fenixpar -- One-shot changes to token registers such as \everypar
 .
 figflow -- Flow text around a figure
 .
 fixpdfmag -- Fix magnification in pdfTeX
 .
 fltpoint -- Simple floating point arithmetic
 .
 fntproof -- A programmable font test pattern generator
 .
 font-change -- Macros to change text and mathematics fonts in plain TeX
 .
 fontch -- Changing fonts, sizes and encodings in Plain TeX
 .
 fontname -- Scheme for naming fonts in TeX
 .
 gates -- Support for writing modular and customisable code
 .
 genmisc -- numerous one-file packages that work with both plain TeX and
 LaTeX
 .
 getoptk -- Define macros with sophisticated options
 .
 gfnotation -- Typeset Gottlob Frege's notation in plain TeX
 .
 gobble -- More gobble macros for PlainTeX and LaTeX
 .
 graphics-pln -- LaTeX-style graphics for Plain TeX users
 .
 gtl -- Manipulating generalized token lists
 .
 hlist -- Horizontal and columned lists
 .
 hyplain -- Basic support for multiple languages in Plain TeX
 .
 insbox -- Insert pictures/boxes into paragraphs
 .
 js-misc -- Miscellaneous macros from Joachim Schrod
 .
 kastrup -- Convert numbers into binary, octal and hexadecimal
 .
 lambda-lists -- Lists in TeX's mouth
 .
 langcode -- Simple language-dependent settings based on language codes
 .
 lecturer -- On-screen presentations for (almost) all formats
 .
 librarian -- Tools to create bibliographies in TeX
 .
 listofitems -- Grab items in lists using user-specified sep char
 .
 mathdots -- Commands to produce dots in math that respect font size
 .
 metatex -- Incorporate Metafont pictures in TeX source
 .
 midnight -- A set of useful macro tools
 .
 mkpattern -- A utility for making hyphenation patterns
 .
 modulus -- A non-destructive modulus and integer quotient operator for TeX
 .
 multido -- A loop facility for Generic TeX
 .
 navigator -- PDF features across formats and engines
 .
 newsletr -- Macros for making newsletters with Plain TeX
 .
 ofs -- Macros for managing large font collections
 .
 olsak-misc -- Collection of plain TeX macros written by Petr Olsak
 .
 path -- Typeset paths, making them breakable
 .
 pdf-trans -- A set of macros for various transformations of TeX boxes
 .
 pitex -- Documentation macros
 .
 placeins-plain -- Insertions that keep their place
 .
 plainpkg -- A minimal method for making generic packages
 .
 plipsum -- 'Lorem ipsum' for Plain TeX developers
 .
 plnfss -- Font selection for Plain TeX
 .
 plstmary -- St. Mary's Road font support for plain TeX
 .
 poormanlog -- Logarithms and powers with (almost) 9 digits
 .
 present -- Presentations with Plain TeX
 .
 randomlist -- Deal with database, loop, and random in order to build
 personalized exercises
 .
 resumemac -- Plain TeX macros for resumes
 .
 schemata -- Print topical diagrams
 .
 shade -- Shade pieces of text
 .
 simplekv -- A simple key/value system for TeX and LaTeX
 .
 systeme -- Format systems of equations
 .
 tabto-generic -- "Tab" to a measured position in the line
 .
 termmenu -- The package provides support for terminal-based menus using
 expl3
 .
 tex-ps -- TeX to PostScript generic macros and add-ons
 .
 tex4ht -- Convert (La)TeX to HTML/XML
 .
 texapi -- Macros to write format-independent packages
 .
 texdate -- Date printing, formatting, and manipulation in TeX
 .
 timetable -- Generate timetables
 .
 tracklang -- Language and dialect tracker
 .
 treetex -- Draw trees
 .
 trigonometry -- Demonstration code for cos and sin in TeX macros
 .
 ulem -- Package for underlining
 .
 upca -- Print UPC-A barcodes
 .
 varisize -- Change font size in Plain TeX
 .
 xii -- Christmas silliness (English)
 .
 xii-lat -- Christmas silliness (Latin)
 .
 xlop -- Calculates and displays arithmetic operations
 .
 yax -- Yet Another Key System

Package: texlive-pstricks
Description-md5: e15c4c724f570a7bfcf19b2cb6b1b4a4
Description-en: TeX Live: PSTricks
 PSTricks core and all add-on packages.
 .
 This package includes the following CTAN packages:
 .
 auto-pst-pdf -- Wrapper for pst-pdf (with some psfrag features)
 .
 bclogo -- Creating colourful boxes with logos
 .
 dsptricks -- Macros for Digital Signal Processing plots
 .
 makeplot -- Easy plots from Matlab in LaTeX
 .
 pdftricks -- Support for PSTricks in pdfTeX
 .
 pdftricks2 -- Use PSTricks in pdfTeX
 .
 pedigree-perl -- Generate TeX pedigree files from CSV files
 .
 psbao -- Draw Bao diagrams
 .
 pst-2dplot -- A PSTricks package for drawing 2D curves
 .
 pst-3d -- A PSTricks package for tilting and other pseudo-3D tricks
 .
 pst-3dplot -- Draw 3D objects in parallel projection, using PSTricks
 .
 pst-abspos -- Put objects at an absolute position
 .
 pst-arrow -- Special arrows for PSTricks
 .
 pst-am -- Simulation of modulation and demodulation
 .
 pst-antiprism -- A PSTricks related package which draws an antiprism
 .
 pst-asr -- Typeset autosegmental representations for linguists
 .
 pst-bar -- Produces bar charts using PSTricks
 .
 pst-barcode -- Print barcodes using PostScript
 .
 pst-bezier -- Draw Bezier curves
 .
 pst-blur -- PSTricks package for "blurred" shadows
 .
 pst-bspline -- Draw cubic Bspline curves and interpolations
 .
 pst-calculate -- Support for floating point operations at LaTeX level
 .
 pst-calendar -- Plot calendars in "fancy" ways
 .
 pst-cie -- CIE color space
 .
 pst-circ -- PSTricks package for drawing electric circuits
 .
 pst-coil -- A PSTricks package for coils, etc
 .
 pst-contourplot -- Draw implicit functions using the "marching squares"
 algorithm
 .
 pst-cox -- Drawing regular complex polytopes with PSTricks
 .
 pst-dart -- Plotting dart boards
 .
 pst-dbicons -- Support for drawing ER diagrams
 .
 pst-diffraction -- Print diffraction patterns from various apertures
 .
 pst-electricfield -- Draw electric field and equipotential lines with
 PSTricks
 .
 pst-eps -- Create EPS files from PSTricks figures
 .
 pst-eucl -- Euclidian geometry with PSTricks
 .
 pst-exa -- Typeset PSTricks examples, with code
 .
 pst-feyn -- Draw graphical elements for Feynman diagrams
 .
 pst-fill -- Fill or tile areas with PSTricks
 .
 pst-fit -- Macros for curve fitting
 .
 pst-fr3d -- Draw 3-dimensional framed boxes using PSTricks
 .
 pst-fractal -- Draw fractal sets using PSTricks
 .
 pst-fun -- Draw "funny" objects with PSTricks
 .
 pst-func -- PSTricks package for plotting mathematical functions
 .
 pst-gantt -- Draw GANTT charts with PSTricks
 .
 pst-geo -- Geographical Projections
 .
 pst-geometrictools -- A PSTricks package to draw geometric tools
 .
 pst-ghsb -- HSB gradients via PSTricks
 .
 pst-gr3d -- Three dimensional grids with PSTricks
 .
 pst-grad -- Filling with colour gradients, using PSTricks
 .
 pst-graphicx -- A PSTricks-compatible graphicx for use with Plain TeX
 .
 pst-infixplot -- Using PSTricks plotting capacities with infix expressions
 rather than RPN
 .
 pst-intersect -- Compute intersections of arbitrary curves
 .
 pst-jtree -- Typeset complex trees for linguists
 .
 pst-knot -- PSTricks package for displaying knots
 .
 pst-labo -- Draw objects for Chemistry laboratories
 .
 pst-layout -- Page layout macros based on PSTricks packages
 .
 pst-lens -- Lenses with PSTricks
 .
 pst-light3d -- Three dimensional lighting effects (PSTricks)
 .
 pst-lsystem -- Create images based on a L-system
 .
 pst-magneticfield -- Plotting a magnetic field with PSTricks
 .
 pst-marble -- A PSTricks package to draw marble-like patterns
 .
 pst-math -- Enhancement of PostScript math operators to use with PSTricks
 .
 pst-mirror -- Images on a spherical mirror
 .
 pst-moire -- A PSTricks package to draw moire patterns
 .
 pst-node -- Nodes and node connections in PSTricks
 .
 pst-ob3d -- Three dimensional objects using PSTricks
 .
 pst-ode -- Solving initial value problems for sets of Ordinary Differential
 Equations
 .
 pst-optexp -- Drawing optical experimental setups
 .
 pst-optic -- Drawing optics diagrams
 .
 pst-osci -- Oscgons with PSTricks
 .
 pst-ovl -- Create and manage graphical overlays
 .
 pst-pad -- Draw simple attachment systems with PSTricks
 .
 pst-pdgr -- Draw medical pedigrees using PSTricks
 .
 pst-pdf -- Make PDF versions of graphics by processing between runs
 .
 pst-perspective -- Draw perspective views using PSTricks
 .
 pst-platon -- Platonic solids in PSTricks
 .
 pst-plot -- Plot data using PSTricks
 .
 pst-poker -- Drawing poker cards
 .
 pst-poly -- Polygons with PSTricks
 .
 pst-pulley -- Plot pulleys, using PSTricks
 .
 pst-qtree -- Simple syntax for trees
 .
 pst-rputover -- Place text over objects without obscuring background colors
 .
 pst-rubans -- Draw three-dimensional ribbons
 .
 pst-shell -- pst-shell: plotting sea shells
 .
 pst-sigsys -- Support of signal processing-related disciplines
 .
 pst-slpe -- Sophisticated colour gradients
 .
 pst-solarsystem -- Plot the solar system for a specific date
 .
 pst-solides3d -- Draw perspective views of 3D solids
 .
 pst-soroban -- Draw a Soroban using PSTricks
 .
 pst-spectra -- Draw continuum, emission and absorption spectra with PSTricks
 .
 pst-spinner -- Drawing a fidget spinner
 .
 pst-spirograph -- Drawing hypotrochoids as with a spirograph
 .
 pst-stru -- Civil engineering diagrams, using PSTricks
 .
 pst-support -- Assorted support files for use with PSTricks
 .
 pst-text -- Text and character manipulation in PSTricks
 .
 pst-thick -- Drawing very thick lines and curves
 .
 pst-tools -- PSTricks support functions
 .
 pst-tree -- Trees, using PSTricks
 .
 pst-turtle -- Commands for "turtle operations"
 .
 pst-tvz -- Draw trees with more than one root node, using PSTricks
 .
 pst-uml -- UML diagrams with PSTricks
 .
 pst-vectorian -- Printing ornaments
 .
 pst-vehicle -- A PSTricks package for rolling vehicles on graphs of
 mathematical functions
 .
 pst-venn -- A PSTricks package for drawing Venn sets
 .
 pst-vowel -- Enable arrows showing diphthongs on vowel charts
 .
 pst-vue3d -- Draw perspective views of three dimensional objects
 .
 pst2pdf -- A script to compile PSTricks documents via pdfTeX
 .
 pstricks -- PostScript macros for TeX
 .
 pstricks-add -- A collection of add-ons and bugfixes for PSTricks
 .
 pstricks_calcnotes -- Use of PSTricks in calculus lecture notes
 .
 uml -- UML diagrams in LaTeX
 .
 vaucanson-g -- PSTricks macros for drawing automata
 .
 vocaltract -- Visualise the vocal tract using LaTeX and PSTricks

Package: texlive-pstricks-doc
Description-md5: 2c5c80fc3fb2ae00432cbaaa63e07f92
Description-en: TeX Live: Documentation files for texlive-pstricks
 This package provides the documentation for texlive-pstricks

Package: texlive-publishers
Description-md5: 317094ad0ef5412cd031d4587580ea95
Description-en: TeX Live: Publisher styles, theses, etc.
 This package includes the following CTAN packages:
 .
 IEEEconf -- Macros for IEEE conference proceedings
 .
 IEEEtran -- Document class for IEEE Transactions journals and conferences
 .
 aastex -- Macros for Manuscript Preparation for AAS Journals
 .
 abnt -- Typesetting academic works according to ABNT rules
 .
 abntex2 -- Typeset technical and scientific Brazilian documents based on
 ABNT rules
 .
 acmart -- Class for typesetting publications of ACM
 .
 acmconf -- Class for ACM conference proceedings
 .
 active-conf -- Class for typesetting ACTIVE conference papers
 .
 adfathesis -- Australian Defence Force Academy thesis format
 .
 afparticle -- Typesetting articles for Archives of Forensic Psychology
 .
 afthesis -- Air Force Institute of Technology thesis class
 .
 aguplus -- Styles for American Geophysical Union
 .
 aiaa -- Typeset AIAA conference papers
 .
 ametsoc -- Official American Meteorological Society LaTeX Template
 .
 anufinalexam -- LaTeX document shell for ANU final exam
 .
 aomart -- Typeset articles for the Annals of Mathematics
 .
 apa -- American Psychological Association format
 .
 apa6 -- Format documents in APA style (6th edition)
 .
 apa6e -- Format manuscripts to APA 6th edition guidelines
 .
 apa7 -- Format documents in APA style (7th edition)
 .
 arsclassica -- A different view of the ClassicThesis package
 .
 articleingud -- LaTeX class for articles published in INGENIERIA review
 .
 asaetr -- Transactions of the ASAE
 .
 ascelike -- Bibliography style for the ASCE
 .
 asmeconf -- A template for ASME conference papers
 .
 asmejour -- A template for ASME journal papers
 .
 aucklandthesis -- Memoir-based class for formatting University of Auckland
 masters' and doctors' theses
 .
 bangorcsthesis -- Typeset a thesis at Bangor University
 .
 bangorexam -- Typeset an examination at Bangor University
 .
 bath-bst -- Harvard referencing style as recommended by the University of
 Bath Library
 .
 beamer-FUBerlin -- Beamer, using the style of FU Berlin
 .
 beamer-verona -- A theme for the beamer class
 .
 beilstein -- Support for submissions to the "Beilstein Journal of
 Nanotechnology"
 .
 bgteubner -- Class for producing books for the publisher "Teubner Verlag"
 .
 br-lex -- A Class for Typesetting Brazilian legal texts
 .
 brandeis-dissertation -- Class for Brandeis University dissertations
 .
 brandeis-problemset -- Document class for COSI Problem sets at Brandeis
 University (Waltham, MA)
 .
 brandeis-thesis -- A class for Brandeis University M.A. theses
 .
 cascadilla -- Typeset papers conforming to the stylesheet of the Cascadilla
 Proceedings Project
 .
 cesenaexam -- A class file to typeset exams
 .
 chem-journal -- Various BibTeX formats for journals in Chemistry
 .
 chs-physics-report -- Physics lab reports for Carmel High School
 .
 cje -- LaTeX document class for CJE articles
 .
 classicthesis -- A "classically styled" thesis package
 .
 cleanthesis -- A clean LaTeX style for thesis documents
 .
 cmpj -- Style for the journal Condensed Matter Physics
 .
 confproc -- A set of tools for generating conference proceedings
 .
 cquthesis -- LaTeX Thesis Template for Chongqing University
 .
 dccpaper -- Typeset papers for the International Journal of Digital Curation
 .
 dithesis -- A class for undergraduate theses at the University of Athens
 .
 ebook -- Helps creating an ebook by providing an ebook class
 .
 ebsthesis -- Typesetting theses for economics
 .
 ecothesis -- LaTeX thesis template for the Universidade Federal de Vicosa
 (UFV), Brazil
 .
 ejpecp -- Class for EJP and ECP
 .
 ekaia -- Article format for publishing the Basque Country Science and
 Technology Journal "Ekaia"
 .
 elbioimp -- A LaTeX document class for the Journal of Electrical
 Bioimpedance
 .
 els-cas-templates -- Elsevier CAS LaTeX templates
 .
 elsarticle -- Class for articles for submission to Elsevier journals
 .
 elteikthesis -- Thesis class for ELTE University Informatics wing
 .
 emisa -- A LaTeX package for preparing manuscripts for the journal EMISA
 .
 erdc -- Style for Reports by US Army Corps of Engineers
 .
 estcpmm -- Style for Munitions Management Project Reports
 .
 etsvthor -- Some useful abbreviations for members of e.t.s.v. Thor
 .
 facture-belge-simple-sans-tva -- Simple Belgian invoice without VAT
 .
 fbithesis -- Computer Science thesis class for University of Dortmund
 .
 fcavtex -- A thesis class for the FCAV/UNESP (Brazil)
 .
 fcltxdoc -- Macros for use in the author's documentation
 .
 fei -- Class for academic works at FEI University Center -- Brazil
 .
 ftc-notebook -- Typeset FIRST Tech Challenge (FTC) notebooks
 .
 gaceta -- A class to typeset La Gaceta de la RSME
 .
 gammas -- Template for the GAMM Archive for Students
 .
 gatech-thesis -- Georgia Institute of Technology thesis class
 .
 gradstudentresume -- A generic template for graduate student resumes
 .
 grant -- Classes for formatting federal grant proposals
 .
 gsemthesis -- Geneva School of Economics and Management PhD thesis format
 .
 gzt -- Bundle of classes for "La Gazette des Mathematiciens"
 .
 h2020proposal -- LaTeX class and template for EU H2020 RIA proposal
 .
 hagenberg-thesis -- A Collection of LaTeX classes, style files, and example
 documents for academic manuscripts
 .
 har2nat -- Replace the harvard package with natbib
 .
 hecthese -- A class for dissertations and theses at HEC Montreal
 .
 hep-paper -- Publications in High Energy Physics
 .
 hithesis -- Harbin Institute of Technology Thesis Template
 .
 hobete -- Unofficial beamer theme for the University of Hohenheim
 .
 hu-berlin-bundle -- LaTeX classes for the Humboldt-Universitat zu Berlin
 .
 hustthesis -- Unofficial thesis template for Huazhong University
 .
 icsv -- Class for typesetting articles for the ICSV conference
 .
 ieeepes -- IEEE Power Engineering Society Transactions
 .
 ijmart -- LaTeX Class for the Israel Journal of Mathematics
 .
 ijsra -- LaTeX document class for the International Journal of Student
 Research in Archaeology
 .
 imac -- International Modal Analysis Conference format
 .
 imtekda -- IMTEK thesis class
 .
 inkpaper -- A LaTeX class for writing a thesis following French rules
 .
 iodhbwm -- Unofficial template of the DHBW Mannheim
 .
 iscram -- A LaTeX class to publish article to ISCRAM conferences
 .
 jacow -- The "jacow.cls" class is used for submissions to the proceedings of
 conferences on JACoW.org
 .
 jmlr -- Class files for the Journal of Machine Learning Research
 .
 jnuexam -- Exam class for Jinan University
 .
 jpsj -- Document Class for Journal of the Physical Society of Japan
 .
 kdgdocs -- Document classes for Karel de Grote University College
 .
 kluwer -- Kluwer publication support
 .
 ksp-thesis -- A LaTeX class for theses published with KIT Scientific
 Publishing
 .
 ku-template -- Copenhagen University or faculty logo for front page
 .
 langsci -- Typeset books for publication with Language Science Press
 .
 limecv -- A (Xe/Lua)LaTeX document class for curriculum vitae
 .
 lion-msc -- LaTeX class for B.Sc. and M.Sc. reports at Leiden Institute of
 Physics (LION)
 .
 llncsconf -- LaTeX package extending Springer's llncs class
 .
 lni -- Official class for the "Lecture Notes in Informatics"
 .
 lps -- Class for "Logic and Philosophy of Science"
 .
 matc3 -- Commands for MatematicaC3 textbooks
 .
 matc3mem -- Class for MatematicaC3 textbooks
 .
 mcmthesis -- Template designed for MCM/ICM
 .
 mentis -- A basis for books to be published by Mentis publishers
 .
 mlacls -- LaTeX class for MLA papers
 .
 mnras -- Monthly Notices of the Royal Astronomical Society
 .
 modeles-factures-belges-assocs -- Generate invoices for Belgian non-profit
 organizations
 .
 msu-thesis -- Class for Michigan State University Master's and PhD theses
 .
 mucproc -- Conference proceedings for the German MuC-conference
 .
 mugsthesis -- Thesis class complying with Marquette University Graduate
 School requirements
 .
 musuos -- Typeset papers for the department of music, Osnabruck
 .
 muthesis -- Classes for University of Manchester Dept of Computer Science
 .
 mynsfc -- XeLaTeX template for writing the main body of NSFC proposals
 .
 nature -- Prepare papers for the journal Nature
 .
 navydocs -- Support for Technical Reports by US Navy Organizations
 .
 nddiss -- Notre Dame Dissertation format class
 .
 ndsu-thesis -- North Dakota State University disquisition class
 .
 novel -- Class for printing fiction, such as novels
 .
 nwejm -- Support for the journal "North-Western European Journal of
 Mathematics"
 .
 nih -- A class for NIH grant applications
 .
 nihbiosketch -- A class for NIH biosketches based on the 2015 updated format
 .
 nostarch -- LaTeX class for No Starch Press
 .
 nrc -- Class for the NRC technical journals
 .
 onrannual -- Class for Office of Naval Research Ocean Battlespace Sensing
 annual report
 .
 opteng -- SPIE Optical Engineering and OE Letters manuscript template
 .
 philosophersimprint -- Typesetting articles for "Philosophers' Imprint"
 .
 pittetd -- Electronic Theses and Dissertations at Pitt
 .
 pkuthss -- LaTeX template for dissertations in Peking University
 .
 powerdot-fuberlin -- Powerdot, using the style of FU Berlin
 .
 powerdot-tuliplab -- A style package for Powerdot to provide the design of
 TULIP Lab
 .
 pracjourn -- Typeset articles for PracTeX
 .
 procIAGssymp -- Macros for IAG symposium papers
 .
 proposal -- A set of LaTeX classes for preparing proposals for collaborative
 projects
 .
 prtec -- A template for PRTEC conference papers
 .
 ptptex -- Macros for 'Progress of Theoretical Physics'
 .
 quantumarticle -- Document class for submissions to the Quantum journal
 .
 resphilosophica -- Typeset articles for the journal Res Philosophica
 .
 resumecls -- Typeset a resume both in English and Chinese
 .
 revtex -- Styles for various Physics Journals
 .
 revtex4 -- Styles for various Physics Journals (old version)
 .
 rutitlepage -- Radboud University Titlepage Package
 .
 ryersonsgsthesis -- Ryerson School of Graduate Studies thesis template
 .
 ryethesis -- Class for Ryerson Unversity Graduate School requirements
 .
 sageep -- Format papers for the annual meeting of EEGS
 .
 sapthesis -- Typeset theses for Sapienza-University, Rome
 .
 schule -- Support for teachers at German schools
 .
 scrjrnl -- Typeset diaries or journals
 .
 scientific-thesis-cover -- Provides cover page and affirmation at the end of
 a thesis
 .
 sduthesis -- Thesis Template of Shandong University
 .
 seuthesis -- LaTeX template for theses at Southeastern University
 .
 seuthesix -- LaTeX class for theses at Southeast University, Nanjing, China
 .
 soton -- University of Southampton-compliant slides
 .
 sphdthesis -- LaTeX template for writing PhD Thesis
 .
 spie -- Support for formatting SPIE Proceedings manuscripts
 .
 sr-vorl -- Class for Springer books
 .
 srdp-mathematik -- Typeset Austrian SRDP in mathematics
 .
 stellenbosch -- Stellenbosch thesis bundle
 .
 suftesi -- A document class for typesetting theses, books and articles
 .
 sugconf -- SAS(R) user group conference proceedings document class
 .
 tabriz-thesis -- A template for the University of Tabriz
 .
 technion-thesis-template -- Template for theses on the Technion graduate
 school
 .
 texilikechaps -- Format chapters with a texi-like format
 .
 texilikecover -- A cover-page package, like TeXinfo
 .
 thesis-ekf -- Thesis class for Eszterhazy Karoly University
 .
 thesis-gwu -- Thesis class for George Washington University School of
 Engineering and Applied Science
 .
 thesis-qom -- Thesis style of the University of Qom, Iran
 .
 thesis-titlepage-fhac -- Little style to create a standard titlepage for
 diploma thesis
 .
 thuaslogos -- Logos for The Hague University of Applied Sciences (THUAS)
 .
 thucoursework -- Coursework template for Tsinghua University
 .
 thuthesis -- Thesis template for Tsinghua University
 .
 timbreicmc -- Typeset documents with ICMC/USP watermarks
 .
 tlc-article -- A LaTeX document class for formal documents
 .
 topletter -- Letter class for the Politecnico di Torino
 .
 toptesi -- Bundle for typesetting multilanguage theses
 .
 tuda-ci -- LaTeX templates of Technische Universitat Darmstadt
 .
 tudscr -- Corporate Design of Technische Universitat Dresden
 .
 tugboat -- LaTeX macros for TUGboat articles
 .
 tugboat-plain -- Plain TeX macros for TUGboat
 .
 turabian -- Create Turabian-formatted material using LaTeX
 .
 tui -- Thesis style for the University of the Andes, Colombia
 .
 uaclasses -- University of Arizona thesis and dissertation format
 .
 uafthesis -- Document class for theses at University of Alaska Fairbanks
 .
 uantwerpendocs -- Course texts, master theses, and exams in University of
 Antwerp style
 .
 ucalgmthesis -- LaTeX thesis class for University of Calgary Faculty of
 Graduate Studies
 .
 ucbthesis -- Thesis and dissertation class supporting UCB requirements
 .
 ucdavisthesis -- A thesis/dissertation class for University of California at
 Davis
 .
 ucsmonograph -- Typesetting academic documents from the University of Caxias
 do Sul
 .
 ucthesis -- University of California thesis format
 .
 uestcthesis -- Thesis class for UESTC
 .
 uhhassignment -- A document class for typesetting homework assignments
 .
 uiucredborder -- Class for UIUC thesis red-bordered forms
 .
 uiucthesis -- UIUC thesis class
 .
 ulthese -- Thesis class and templates for Universite Laval
 .
 umbclegislation -- A LaTeX class for building legislation files for UMBC
 Student Government Association Bills
 .
 umthesis -- Dissertations at the University of Michigan
 .
 umich-thesis -- University of Michigan Thesis LaTeX class
 .
 unam-thesis -- Create documents according to the UNAM guidelines
 .
 unamth-template -- UNAM Thesis LaTeX Template
 .
 unamthesis -- Style for Universidad Nacional Autonoma de Mexico theses
 .
 unifith -- Typeset theses for University of Florence (Italy)
 .
 unitn-bimrep -- A bimonthly report class for the PhD School of Materials,
 Mechatronics and System Engineering
 .
 univie-ling -- Papers, theses and research proposals in (Applied)
 Linguistics at Vienna University
 .
 unizgklasa -- A LaTeX class for theses at the Faculty Of Graphic Arts in
 Zagreb
 .
 unswcover -- Typeset a dissertation cover page following UNSW guidelines
 .
 uothesis -- Class for dissertations and theses at the University of Oregon
 .
 urcls -- Beamer and scrlttr2 classes and styles for the University of
 Regensburg
 .
 uowthesis -- Document class for dissertations at the University of
 Wollongong
 .
 uowthesistitlepage -- Title page for dissertations at the University of
 Wollongong
 .
 uspatent -- U.S. Patent Application Tools for LaTeX and LyX
 .
 ut-thesis -- University of Toronto thesis style
 .
 utexasthesis -- University of Texas at Austin graduate thesis style
 .
 uwthesis -- University of Washington thesis class
 .
 vancouver -- Bibliographic style file for Biomedical Journals
 .
 xduthesis -- XeLaTeX template for writing Xidian University Thesis
 .
 wsemclassic -- LaTeX class for Bavarian school w-seminar papers
 .
 yathesis -- A LaTeX class for writing a thesis following French rules
 .
 yazd-thesis -- A template for the Yazd University
 .
 york-thesis -- A thesis class file for York University, Toronto

Package: texlive-publishers-doc
Description-md5: 7b34b5c428ad0074c9975b2426b66d35
Description-en: TeX Live: Documentation files for texlive-publishers
 This package provides the documentation for texlive-publishers

Package: texlive-science
Description-md5: bf4288c7f741b8c03fd460d542f007df
Description-en: TeX Live: Mathematics, natural sciences, computer science packages
 This package includes the following CTAN packages:
 .
 12many -- Generalising mathematical index sets
 .
 SIstyle -- Package to typeset SI units, numbers and angles
 .
 SIunits -- International System of Units
 .
 accents -- Multiple mathematical accents
 .
 alg -- LaTeX environments for typesetting algorithms
 .
 algobox -- Typeset Algobox programs
 .
 algorithm2e -- Floating algorithm environment with algorithmic keywords
 .
 algorithmicx -- The algorithmic style you always wanted
 .
 algorithms -- A suite of tools for typesetting algorithms in pseudo-code
 .
 algxpar -- Support multiple lines pseudocode
 .
 aligned-overset -- Fix alignment at \overset or \underset
 .
 amscdx -- Enhanced commutative diagrams
 .
 amstex -- American Mathematical Society plain TeX macros
 .
 apxproof -- Proofs in appendix
 .
 autobreak -- Simple line breaking of long formulae
 .
 axodraw2 -- Feynman diagrams in a LaTeX document
 .
 backnaur -- Typeset Backus Naur Form definitions
 .
 begriff -- Typeset Begriffschrift
 .
 binomexp -- Calculate Pascal's triangle
 .
 biocon -- Typesetting biological species names
 .
 bitpattern -- Typeset bit pattern diagrams
 .
 bohr -- Simple atom representation according to the Bohr model
 .
 boldtensors -- Bold latin and greek characters through simple prefix
 characters
 .
 bosisio -- A collection of packages by Francesco Bosisio
 .
 bpchem -- Typeset chemical names, formulae, etc
 .
 bropd -- Simplified brackets and differentials in LaTeX
 .
 bussproofs -- Proof trees in the style of the sequent calculus
 .
 bussproofs-extra -- Extra commands for bussproofs.sty
 .
 bytefield -- Create illustrations for network protocol specifications
 .
 calculation -- Typesetting reasoned calculations, also called calculational
 proofs
 .
 cascade -- Constructions with braces to present mathematical demonstrations
 .
 ccfonts -- Support for Concrete text and math fonts in LaTeX
 .
 chemarrow -- Arrows for use in chemistry
 .
 chemcompounds -- Simple consecutive numbering of chemical compounds
 .
 chemcono -- Support for compound numbers in chemistry documents
 .
 chemexec -- Creating (chemical) exercise sheets
 .
 chemformula -- Command for typesetting chemical formulas and reactions
 .
 chemgreek -- Upright Greek letters in chemistry
 .
 chemmacros -- A collection of macros to support typesetting chemistry
 documents
 .
 chemnum -- A method of numbering chemical compounds
 .
 chemplants -- Symbology to draw chemical plants with TikZ
 .
 chemschemex -- Typeset and cross-reference chemical schemes based on TikZ
 code
 .
 chemsec -- Automated creation of numeric entity labels
 .
 chemstyle -- Writing chemistry with style
 .
 clrscode -- Typesets pseudocode as in Introduction to Algorithms
 .
 clrscode3e -- Typesets pseudocode as in Introduction to Algorithms
 .
 codeanatomy -- Typeset code with annotations
 .
 commath -- Mathematics typesetting support
 .
 complexity -- Computational complexity class names
 .
 computational-complexity -- Class for the journal Computational Complexity
 .
 concmath -- Concrete Math fonts
 .
 concrete -- Concrete Roman fonts
 .
 conteq -- Typeset multiline continued equalities
 .
 correctmathalign -- Correct spacing of the alignment in expressions
 .
 cryptocode -- Typesetting pseudocode, protocols, game-based proofs and
 black-box reductions in cryptography
 .
 delim -- Simplify typesetting mathematical delimiters
 .
 delimseasy -- Delimiter commands that are easy to use and resize
 .
 delimset -- Typeset and declare sets of delimiters with convenient size
 control
 .
 derivative -- Nice and easy derivatives
 .
 diffcoeff -- Write differential coefficients easily and consistently
 .
 digiconfigs -- Writing "configurations"
 .
 dijkstra -- Dijkstra algorithm for LaTeX
 .
 drawmatrix -- Draw visual representations of matrices in LaTeX
 .
 drawstack -- Draw execution stacks
 .
 dyntree -- Construct Dynkin tree diagrams
 .
 ebproof -- Formal proofs in the style of sequent calculus
 .
 econometrics -- Defines some commands that simplify mathematic notation in
 economic and econometric writing
 .
 eltex -- Simple circuit diagrams in LaTeX picture mode
 .
 emf -- Support for the EMF symbol
 .
 endiagram -- Easy creation of potential energy curve diagrams
 .
 engtlc -- Support for users in Telecommunications Engineering
 .
 eqexpl -- Align explanations for formulas
 .
 eqnarray -- More generalised equation arrays with numbering
 .
 eqnnumwarn -- Modifies the amsmath equation environments to warn for a
 displaced equation number
 .
 euclideangeometry -- Draw geometrical constructions
 .
 extarrows -- Extra Arrows beyond those provided in amsmath
 .
 extpfeil -- Extensible arrows in mathematics
 .
 faktor -- Typeset quotient structures with LaTeX
 .
 fascicules -- Create mathematical manuals for schools
 .
 fnspe -- Macros for supporting mainly students of FNSPE CTU in Prague
 .
 fouridx -- Left sub- and superscripts in maths mode
 .
 functan -- Macros for functional analysis and PDE theory
 .
 galois -- Typeset Galois connections
 .
 gastex -- Graphs and Automata Simplified in TeX
 .
 gene-logic -- Typeset logic formulae, etc
 .
 ghsystem -- Globally harmonised system of chemical (etc) naming
 .
 glosmathtools -- Mathematical nomenclature tools based on the glossaries
 package
 .
 gotoh -- An implementation of the Gotoh sequence alignment algorithm
 .
 grundgesetze -- Typeset Frege's Grundgesetze der Arithmetik
 .
 gu -- Typeset crystallographic group-subgroup-schemes
 .
 hep -- A "convenience wrapper" for High Energy Physics packages
 .
 hepnames -- Pre-defined high energy particle names
 .
 hepparticles -- Macros for typesetting high energy physics particle names
 .
 hepthesis -- A class for academic reports, especially PhD theses
 .
 hepunits -- A set of units useful in high energy physics applications
 .
 includernw -- Include .Rnw inside .tex
 .
 interval -- Format mathematical intervals, ensuring proper spacing
 .
 ionumbers -- Restyle numbers in maths mode
 .
 isomath -- Mathematics style for science and technology
 .
 jkmath -- Macros for mathematics that make the code more readable
 .
 karnaugh -- Typeset Karnaugh-Veitch-maps
 .
 karnaugh-map -- LaTeX package for drawing karnaugh maps with up to 6
 variables
 .
 karnaughmap -- Typeset Karnaugh maps
 .
 kvmap -- Create Karnaugh maps with LaTeX
 .
 letterswitharrows -- Draw arrows over math letters
 .
 lie-hasse -- Draw Hasse diagrams
 .
 logicproof -- Box proofs for propositional and predicate logic
 .
 longdivision -- Typesets long division
 .
 lpform -- Typesetting linear programming formulations and sets of equations
 .
 lplfitch -- Fitch-style natural deduction proofs
 .
 lstbayes -- Listings language driver for Bayesian modeling languages
 .
 mathcommand -- \newcommand-like commands for defining math macros
 .
 mathcomp -- Text symbols in maths mode
 .
 mathfixs -- Fix various layout issues in math mode
 .
 mathpartir -- Typesetting sequences of math formulas, e.g. type inference
 rules
 .
 mathpunctspace -- Control the space after punctuation in math expressions
 .
 matrix-skeleton -- A PGF/TikZ library that simplifies working with multiple
 matrix nodes
 .
 matlab-prettifier -- Pretty-print Matlab source code
 .
 mattens -- Matrices/tensor typesetting
 .
 memorygraphs -- TikZ styles to typeset graphs of program memory
 .
 mgltex -- High-quality graphics from MGL scripts embedded in LaTeX documents
 .
 mhchem -- Typeset chemical formulae/equations and Risk and Safety phrases
 .
 mhequ -- Multicolumn equations, tags, labels, sub-numbering
 .
 miller -- Typeset miller indices
 .
 mismath -- Miscellaneous mathematical macros
 .
 multiobjective -- Symbols for multiobjective optimisation etc
 .
 mychemistry -- Create reaction schemes with LaTeX and ChemFig
 .
 natded -- Typeset natural deduction proofs
 .
 nath -- Natural mathematics notation
 .
 nicematrix -- Improve the typesetting of mathematical matrices with TikZ
 .
 nuc -- Notation for nuclear isotopes
 .
 nucleardata -- Provides data about atomic nuclides for documents
 .
 objectz -- Macros for typesetting Object Z
 .
 oplotsymbl -- Some symbols which are not easily available
 .
 ot-tableau -- Optimality Theory tableaux in LaTeX
 .
 oubraces -- Braces over and under a formula
 .
 perfectcut -- Delimiters whose size adjusts to the nesting
 .
 physconst -- Macros for commonly used physical constants
 .
 physics -- Macros supporting the Mathematics of Physics
 .
 physunits -- Macros for commonly used physical units
 .
 pinoutikz -- Draw chip pinouts with TikZ
 .
 pm-isomath -- Poor man ISO math for pdfLaTeX users
 .
 polexpr -- A parser for polynomial expressions
 .
 prftree -- Macros for building proof trees
 .
 proba -- Shortcuts commands to symbols used in probability texts
 .
 proof-at-the-end -- A package to move proofs to appendix
 .
 prooftrees -- Forest-based proof trees (symbolic logic)
 .
 pseudo -- Straightforward pseudocode
 .
 pseudocode -- LaTeX environment for specifying algorithms in a natural way
 .
 pythonhighlight -- Highlighting of Python code, based on the listings
 package
 .
 qsharp -- Syntax highlighting for the Q# language
 .
 rank-2-roots -- Draw (mathematical) rank 2 root systems
 .
 rec-thy -- Commands to typeset recursion theory papers
 .
 revquantum -- Hacks to make writing quantum papers for revtex4-1 less
 painful
 .
 ribbonproofs -- Drawing ribbon proofs
 .
 rmathbr -- Repeating of math operator at the broken line and the new line in
 inline equations
 .
 sasnrdisplay -- Typeset SAS or R code or output
 .
 sciposter -- Make posters of ISO A3 size and larger
 .
 sclang-prettifier -- Prettyprinting SuperCollider source code
 .
 scratchx -- Include Scratch programs in LaTeX documents
 .
 sesamanuel -- Class and package for sesamath books or paper
 .
 sfg -- Draw signal flow graphs
 .
 shuffle -- A symbol for the shuffle product
 .
 simplebnf -- A simple package to format Backus-Naur form (BNF)
 .
 simpler-wick -- Simpler Wick contractions
 .
 simplewick -- Simple Wick contractions
 .
 siunitx -- A comprehensive (SI) units package
 .
 skmath -- Extensions to the maths command repertoir
 .
 spalign -- Typeset matrices and arrays with spaces and semicolons as
 delimiters
 .
 stanli -- TikZ Library for Structural Analysis
 .
 statex -- Statistics style
 .
 statex2 -- Statistics style
 .
 statistics -- Compute and typeset statistics tables and graphics
 .
 statistik -- Store statistics of a document
 .
 statmath -- A LaTeX package for simple use of statistical notation
 .
 steinmetz -- Print Steinmetz notation
 .
 stmaryrd -- St Mary Road symbols for theoretical computer science
 .
 structmech -- A TikZ command set for structural mechanics drawings
 .
 struktex -- Draw Nassi-Shneiderman charts
 .
 substances -- A database of chemicals
 .
 subsupscripts -- A range of sub- and superscript commands
 .
 subtext -- Easy text-style subscripts in math mode
 .
 susy -- Macros for SuperSymmetry-related work
 .
 syllogism -- Typeset syllogisms in LaTeX
 .
 synproof -- Easy drawing of syntactic proofs
 .
 t-angles -- Draw tangles, trees, Hopf algebra operations and other pictures
 .
 tablor -- Create tables of signs and of variations
 .
 tensind -- Typeset tensors
 .
 tensor -- Typeset tensors
 .
 tex-ewd -- Macros to typeset calculational proofs and programs in Dijkstra's
 style
 .
 textgreek -- Upright greek letters in text
 .
 textopo -- Annotated membrane protein topology plots
 .
 thmbox -- Decorate theorem statements
 .
 turnstile -- Typeset the (logic) turnstile notation
 .
 ulqda -- Support of Qualitative Data Analysis
 .
 unitsdef -- Typesetting units in LaTeX
 .
 venn -- Creating Venn diagrams with MetaPost
 .
 witharrows -- "Aligned" math environments with arrows for comments
 .
 xymtex -- Typesetting chemical structures
 .
 yhmath -- Extended maths fonts for LaTeX
 .
 youngtab -- Typeset Young-Tableaux
 .
 ytableau -- Many-featured Young tableaux and Young diagrams

Package: texlive-science-doc
Description-md5: 40b9eb4c24f330a9b0e3d1690cfd256a
Description-en: TeX Live: Documentation files for texlive-science
 This package provides the documentation for texlive-science

Package: texlive-xetex
Description-md5: fe906a3f4d721f976892103e35928c3b
Description-en: TeX Live: XeTeX and packages
 Packages for XeTeX, the Unicode/OpenType-enabled TeX by Jonathan Kew,
 http://tug.org/xetex.
 .
 This package includes the following CTAN packages:
 .
 arabxetex -- An ArabTeX-like interface for XeLaTeX
 .
 awesomebox -- Draw admonition blocks in your documents, illustrated with
 FontAwesome icons
 .
 bidi-atbegshi -- Bidi-aware shipout macros
 .
 bidicontour -- Bidi-aware coloured contour around text
 .
 bidipagegrid -- Bidi-aware page grid in background
 .
 bidishadowtext -- Bidi-aware shadow text
 .
 bidipresentation -- Experimental bidi presentation
 .
 businesscard-qrcode -- Business cards with QR-Code
 .
 cqubeamer -- LaTeX Beamer Template for Chongqing University
 .
 fixlatvian -- Improve Latvian language support in XeLaTeX
 .
 font-change-xetex -- Macros to change text and mathematics fonts in plain
 XeTeX
 .
 fontbook -- Generate a font book
 .
 fontwrap -- Bind fonts to specific unicode blocks
 .
 interchar -- Managing character class schemes in XeTeX
 .
 na-position -- Tables of relative positions of curves and asymptotes or
 tangents in Arabic documents
 .
 philokalia -- A font to typeset the Philokalia Books
 .
 ptext -- A 'lipsum' for Persian
 .
 quran -- An easy way to typeset any part of The Holy Quran
 .
 quran-de -- German translations to the quran package
 .
 realscripts -- Access OpenType subscript and superscript glyphs
 .
 simple-resume-cv -- Template for a simple resume or curriculum vitae (CV),
 in XeLaTeX
 .
 simple-thesis-dissertation -- Template for a simple thesis or dissertation
 (Ph.D. or master's degree) or technical report, in XeLaTeX
 .
 tetragonos -- Four-Corner codes of Chinese characters
 .
 ucharclasses -- Font actions in XeTeX according to what is being processed
 .
 unicode-bidi -- Experimental unicode bidi package for XeTeX
 .
 unisugar -- Define syntactic sugar for Unicode LaTeX
 .
 xebaposter -- Create beautiful scientific Persian/Latin posters using TikZ
 .
 xechangebar -- An extension of package changebar that can be used with
 XeLaTeX
 .
 xecjk -- Support for CJK documents in XeLaTeX
 .
 xecolor -- Support for color in XeLaTeX
 .
 xecyr -- Using Cyrillic languages in XeTeX
 .
 xeindex -- Automatic index generation for XeLaTeX
 .
 xelatex-dev --
 .
 xesearch -- A string finder for XeTeX
 .
 xespotcolor -- Spot colours support for XeLaTeX
 .
 xetex -- An extended variant of TeX for use with Unicode sources
 .
 xetex-itrans -- Itrans input maps for use with XeLaTeX
 .
 xetex-pstricks -- Running PSTricks under XeTeX
 .
 xetex-tibetan -- XeTeX input maps for Unicode Tibetan
 .
 xetexconfig -- crop.cfg for XeLaTeX
 .
 xetexfontinfo -- Report font features in XeTeX
 .
 xetexko -- Typeset Korean with Xe(La)TeX
 .
 xevlna -- Insert non-breakable spaces using XeTeX

Package: texmaker
Description-md5: c780af66e2bff60d1878797971e0737e
Description-en: cross-platform LaTeX editor
 Texmaker is a clean, highly configurable LaTeX editor with good hot key
 support and extensive LaTeX documentation. Texmaker integrates many tools
 needed to develop documents with LaTeX, in just one application. It has
 some nice features such as syntax highlighting, insertion of 370 mathematical
 symbols with only one click, and "structure view" of the document for easier
 navigation.

Package: texmaker-data
Description-md5: 3aefbcc76438a12b554109edcb6eac7c
Description-en: Texmaker LaTeX editor -- arch-independent files
 Texmaker is a clean, highly configurable LaTeX editor with good hot key
 support and extensive LaTeX documentation.
 .
 This package contains documentation and arch-independent files for Texmaker.

Package: texstudio
Description-md5: 93a2eea0bd4262886699a9d83e8fdfec
Description-en: LaTeX Editor
 TeXstudio is a program based on Texmaker, which integrates many tools needed
 to develop documents with LaTeX in just one application. Using its editor you
 can write your documents with the help of interactive spell checking, syntax
 highlighting, code completion and more...

Package: texstudio-doc
Description-md5: 9c9a3b4f2902e52e6d0c5a8ea9962568
Description-en: LaTeX Editor (doc)
 TeXstudio is a program based on Texmaker, which integrates many tools needed
 to develop documents with LaTeX in just one application. Using its editor you
 can write your documents with the help of interactive spell checking, syntax
 highlighting, code completion and more...
 .
 This package contains the html documentation and the LaTeX templates.

Package: texstudio-l10n
Description-md5: 249875de1afcf27af2543638ca43ae93
Description-en: LaTeX Editor (localization)
 TeXstudio is a program based on Texmaker, which integrates many tools needed
 to develop documents with LaTeX in just one application. Using its editor you
 can write your documents with the help of interactive spell checking, syntax
 highlighting, code completion and more...
 .
 This package contains the following translations: ar, br, cs, de, el, es, fa,
 fr, hu, id_ID, it, ja, ko, ko_KR, nl, pl, pt, pt_BR, ru, ru_RU, sk, sv, tr_TR,
 uk, vi, zh_CN.

Package: textdraw
Description-md5: 7ff4a0337763e04a3516048d5a28c471
Description-en: Tool to draw/modify/move geometric figures & text for ASCII art
 Textdraw (td) is a small utility that allows do draw (ASCII-based)
 line-, rectangle-, ellipse- and text-objects with copy/paste/move features.
 .
 It completes existing console-based software to a 'textbased only office'
 and offers a simple and easy way to draw ascii graphics for documentations,
 presentations, mails and much more.

Package: textedit.app
Description-md5: 981d9de288dea892577ac27c90d73452
Description-en: Text editor for GNUstep
 TextEdit is a relatively basic text editor. It handles plain text, RTF, and
 RTFD  has a nice "Wrap to Page" mode, has search/replace functionality, and
 can display any file as text.

Package: textql
Description-md5: 91337aa2e02f440bc101ff50360cf624
Description-en: execute SQL against structured text like CSV or TSV
 TextQL allows you to easily execute SQL against structured text like
 CSV or TSV.

Package: texworks
Description-md5: 59a2db88e189106d5213d00612e8fc7f
Description-en: Environment for authoring TeX (LaTeX, ConTeXt, etc) documents
 An environment for authoring TeX (LaTeX, ConTeXt, etc) documents, with
 a Unicode-based, TeX-aware editor, integrated PDF viewer, and a clean,
 simple interface accessible to casual and non-technical users.
 .
 TeXworks is inspired by Dick Koch's award-winning TeXShop program for
 Mac OS X, which has made quality typesetting through TeX accessible to
 a wider community of users, without a technical or intimidating face.
 The goal of TeXworks is to deliver a similarly integrated, easy-to-use
 environment for users on other platforms, especially GNU/Linux and Windows.

Package: texworks-help-en
Description-md5: 73e6411e1ddb60a8efe9c5cd3d9cdaf7
Description-en: English help files for TeXworks
 For furter information, see http://tug.org/texworks/

Package: texworks-help-fr
Description-md5: 4adfa3cfdba0b606212774b77b19c553
Description-en: French help files for TeXworks
 For furter information, see http://tug.org/texworks/

Package: texworks-scripting-lua
Description-md5: de1f77111034beccd2d09399d9494d2d
Description-en: Plugin to bring Lua scripting to TeXworks
 An environment for authoring TeX (LaTeX, ConTeXt, etc) documents, with
 a Unicode-based, TeX-aware editor, integrated PDF viewer, and a clean,
 simple interface accessible to casual and non-technical users.
 .
 This package contains a lua scripting plugin for TeXworks.

Package: texworks-scripting-python
Description-md5: 580a452c25d92ffad963b5a6eac5afbb
Description-en: Plugin to bring Python scripting to TeXworks
 An environment for authoring TeX (LaTeX, ConTeXt, etc) documents, with
 a Unicode-based, TeX-aware editor, integrated PDF viewer, and a clean,
 simple interface accessible to casual and non-technical users.
 .
 This package contains a Python scripting plugin for TeXworks.

Package: tf
Description-md5: b99f7a91eaa3f3f4561e40be2a833a2d
Description-en: Tinyfugue MUD client for TinyMUDs, DikuMUDs, and LPMUDs
 TinyFugue (also known as "Fugue" or "TF") is a line-based client
 designed for connecting to MUD servers (note: LP, Diku, and other
 servers which use prompts require "/lp on"; see /help prompts).
 .
 TinyFugue is larger than most MUD clients, but has many more features
 and is much more flexible. The goal is to provide the most
 functionality in a client that still maintains the user-friendliness
 of Tinytalk.

Package: tf-tools
Description-md5: 3059bea0e4c703d87c7dfa7406e2ea1e
Description-en: Set of utilities to operate with the Robot OS tf lib
 This package is part of Robot OS (ROS), and contains transform
 library tools. Although tf is mainly a code library meant to be used
 within ROS nodes, it comes with a large set of command-line tools
 that assist in the debugging and creation of tf coordinate
 frames. These tools include: static_transform_publisher,
 tf_change_notifier, tf_echo, tf_empty_listener tf_monitor, tf_remap
 and view_frames_tf

Package: tf2-tools
Description-md5: 03b6e2c9cb30a7ae8b0cf9b7960177bc
Description-en: Robot OS tool for tf2 transform library second generation
 This package is part of Robot OS (ROS). tf2 is the second generation
 of the transform library, which lets the user keep track of multiple
 coordinate frames over time. tf2 maintains the relationship between
 coordinate frames in a tree structure buffered in time, and lets the
 user transform points, vectors, etc between any two coordinate frames
 at any desired point in time.

Package: tf5
Description-md5: 8fc3158f71f619e1a2c5d65e81ca435d
Description-en: text-based MU* and chatserver client
 TinyFugue is a text-based, line-based client designed for connecting to
 most flavors of MU* servers (TinyMUSH, TinyMUX, LP, Diku, etc.) or any
 telnet-based chatserver.  It includes support for 256-color terminals,
 MCCP versions 1 and 2, a powerful trigger and scripting language, and
 many other features.  Encryption of communications with SSL/TLS is
 supported, but not validation of certificates.  Unicode is not currently
 supported.
 .
 This package is the current development version of TinyFugue (major
 version 5).  For the current stable version (major version 4), install
 the "tf" package instead.

Package: tfdocgen
Description-md5: f23fff9e20752f08a98c4b694b6e36ae
Description-en: TiLP framework documentation generator
 The tfdocgen program is a program used by the libti*2 libraries to generate
 their HTML documentation from sources and misc files. You don't need this
 package unless you want to develop on the libti*2 libraries.

Package: tfortune
Description-md5: e503deb883dedf383cce1711387cab18
Description-en: Fortune Cookies with Tags
 Like fortune(6), tfortune is a Unix command line utility which prints
 a random epigram. Epigrams are stored as plain text files, but they
 must be annotated with tags to make full use of the features which
 tfortune offers over other implementations.
 .
 Tfortune has a built-in matching language for epigrams. User-supplied
 tag expressions define subsets of admissible epigrams. If a tag
 expression is given, epigrams are picked from the admissible subset
 only.

Package: tfortunes
Description-md5: 56eb7ae604d5f0e0ac35728307fc052b
Description-en: Data files for tfortune
 This provides a small set of tagged epigrams for tfortune. These are
 only used if no personal epigrams are found in the per-user epigram
 directory.
 .
  All epigrams are in English language and are considered
  non-offensive. They were mostly selected from public mailing lists.

Package: tftp
Description-md5: 580035d0c210b1c93e955cb42e3fd646
Description-en: Trivial file transfer protocol client
 Tftp is the user interface to the Internet TFTP (Trivial File Transfer
 Protocol), which allows users to transfer files to and from a remote machine.
 The remote host may be specified on the command line, in which case tftp uses
 host as the default host for future transfers.

Package: tftpd
Description-md5: ec428ba3a959ec47a0f04737c352fc5d
Description-en: Trivial file transfer protocol server
 Tftpd is a server which supports the Internet Trivial File Transfer Protocol
 (RFC 783).  The TFTP server operates at the port indicated in the `tftp'
 service description; see services(5).  The server is normally started by
 inetd(8).
 .
 Warning: Does not support 'tsize', which is required by some tftp clients,
 especially PXE, and various other network boot clients. For those, use atftpd
 or tftpd-hpa.

Package: tgif
Description-md5: 7a0a0a4e185f735f258a531355b6d725
Description-en: 2D vector graphic drawing tool using Xlib
 Tgif (originally the "Tangram Graphic Interface Facility") is a
 classic drawing program for 2D vector graphics. Image objects can
 be hierarchically constructed out of primitives such as polygons,
 text, and splines (though the splines Tgif draws are not Bézier
 curves).
 .
 It natively supports PostScript formats suitable for LaTeX, as well
 as X11 bitmap or (version 1) pixmap formats. Other vector and raster
 image formats such as SVG and PNG can be handled via filters.
 .
 Tgif stores drawings as .obj files and individual building-block
 objects as .sym files, both in Prolog-compatible fact file format.

Package: tgt-rbd
Description-md5: cba9d5b15fa5ef15d13698228e477688
Description-en: Linux SCSI target user-space daemon and tools - RBD support
 The Linux target framework (tgt) allows a Linux system to provide SCSI
 devices (targets) over networked SCSI transports.
 .
 tgt consists of a user-space daemon and user-space tools currently
 supporting the following transports:
 .
  - iSCSI (SCSI over IP)
  - iSER (iSCSI over RDMA, using Infiniband)
 .
 tgt also supports different storage types for use as backing stores for SCSI
 Logical Units:
 .
  - Plain files and block devices
  - Ceph/RADOS RBD volumes
  - GlusterFS volumes
 .
 This package enables tgt to use Ceph/RADOS block devices (RBD) as backing
 store for SCSI Logical Units.

Package: th-scheme-utilities
Description-md5: 1f80f9fd5076a0307094fcca2dcc9e23
Description-en: TH Scheme Utilities library
 This package contains some Scheme utilities needed by the Theme-D
 implementation. This package contains the following modules:
  * hrecord: inheritable records
  * parse-command-line: command line parsing
  * stdutils: miscellaneous utility procedures
  * streams: streams using delayed evaluation

Package: thc-ipv6
Description-md5: 4bc4d9e21c1dac3907c844f101446ab3
Description-en: The Hacker Choice's IPv6 Attack Toolkit
 Attack toolkit for testing IPv6 and ICMPv6 protocol weaknesses.
 .
 Some of the tools included:
 .
 alive6: an effective alive scanning.
 .
 denial6: try a collection of denial-of-service tests against a
 target.
 .
 detect-new-ip6: detect new ip6 devices which join the network.
 .
 dnsdict6: parallelized dns ipv6 dictionary bruteforcer.
 .
 dos-new-ip6: detect new ip6 devices and tell them that their chosen
 IP collides on the network (DOS).
 .
 exploit6: test known ipv6 vulnerabilities against a target.
 .
 fake_mld6: announce yourself in a multicast group of your choice on
 the net.
 .
 fake_router6: announce yourself as a router on the network.
 .
 flood_advertise6: flood a target with random neighbor advertisements.
 .
 flood_router6: flood a target with random router advertisements.
 .
 implementation6: performs various implementation checks on ipv6.
 .
 parasite6: icmp neighbor solitication/advertisement spoofer.
 .
 redir6: redirect traffic to you intelligently (man-in-the-middle)
 with a clever icmp6 redirect spoofer.
 .
 rsmurf6: remote smurfer (known to work only against Linux at the
 moment).
 .
 thcping6: sends a hand crafted ping6 packet.
 .
 toobig6: mtu decreaser with the same intelligence as redir6.

Package: the
Description-md5: e6240e09d156a3af42cc25cb09abd66d
Description-en: Full-screen character mode text editor
 THE (The Hessling Editor) is a text editor that uses both command
 line commands and key bindings to operate. It is intended to be
 similar to the VM/CMS System Product Editor, XEDIT and to KEDIT
 from Mansfield Software.

Package: the-doc
Description-md5: 5938444e5b20d9a0133c9d352c5fb951
Description-en: Reference Manual for The Hessling Editor
 The Hessling Editor was originally written to be used by people already
 familiar with the VM/CMS System Product Editor XEDIT and KEDIT from
 Mansfield Software. For this reason, THE Reference Manual provides
 limited information on using THE, and concentrates more on reference
 material, such as command syntax and configuration.

Package: theano-doc
Description-md5: 57df15de14c18d9a0054746b1ae136e9
Description-en: CPU/GPU math expression compiler for Python (docs)
 Theano is a Python library that allows one to define and evaluate mathematical
 expressions involving multi-dimensional arrays efficiently. It provides a
 high-level Numpy like expression language for functional description of
 calculation, rearranges expressions for speed and stability, and generates
 native machine instructions for fast calculation. Optionally, highly
 accelerated computations could be carried out on graphics cards processors.
 .
 This package contains the documentation for Theano.

Package: thefuck
Description-md5: 4ab7c88569831274fa9c0e7040f3004d
Description-en: spelling corrector of console commands
 The Fuck attempts to fix user's command line mistakes, by
 composing new commands applying a series of matching rules.
 It supports various shells, such as bash, fish, tcsh, and
 zsh.
 .
 The 'sudo' matching rule has been disabled for users' safety.

Package: theme-d-doc
Description-md5: bda9a6bb4c345d0b7dbf37ee3f9d7932
Description-en: Documentation for programming language Theme-D
 This package contains the following documentation for Theme-D:
  * Language manual
  * Standard library reference
  * User manual

Package: theme-d-gnome
Description-md5: 943408b129bc09fb8907dda3c48eadce
Description-en: GNOME library for programming language Theme-D
 This package provides GNOME bindings for Theme-D so you can create GUI
 programs with it. The library contains bindings for the following
 GNOME libraries:
  * ATK
  * GDK
  * GDK-Pixbuf
  * GDK
  * GTK
  * Pango

Package: theme-d-rte
Description-md5: ebeca659363e61aef394dbfc722a181e
Description-en: Theme-D runtime environment
 This package is needed to run Theme-D programs. The package contains
 the Theme-D runtime environment and script run-theme-d-program to run
 Theme-D programs.

Package: theme-d-stdlib
Description-md5: c7604f560e7b440b4c9ac034117d6327
Description-en: Theme-D Standard Library
 This package contains the following:
  * Theme-D Standard Library
  * Theme-D example programs
  * Theme-D testing environment

Package: theme-d-translator
Description-md5: bf278e50eeb4a677e5b625898e2bfe8d
Description-en: Programming language extending Scheme with static typing
 Some features of Theme-D are:
  * Static type system
  * A simple object system
  * Multi-methods dispatched runtime (and also compile-time)
  * Parametrized (type parameters) classes, types, and procedures
  * Signature types resembling Java interfaces but multiply dispatched
  * A module system
  * Numerical tower
  * Two kinds of variables: constants and mutable variables
 Theme-D can be compiled to Guile Tree-IL or Scheme. Hence it is
 possible to compile Theme-D to Guile bytecode.

Package: themole
Description-md5: dbff3acf3097cd19d618de3dcae1337b
Description-en: automatic SQL injection exploitation tool
 The Mole is an automatic SQL Injection exploitation tool.
 Only by providing a vulnerable URL and a valid string on the
 site it can detect the injection and exploit it, either by
 using the union technique or a boolean query based technique.
 .
 The Mole uses a command based interface, allowing the user
 to indicate the action he wants to perform easily. The CLI also
 provides auto-completion on both commands and command arguments,
 making the user type as less as possible.

Package: themonospot
Description-md5: 29a1ee8b2e737a3a2fe4c69637a815b1
Description-en: application to scan video files
 Themonospot is a simple application that can be used to scan an avi and
 matroska (.mkv) file and extract some informations about audio and video
 data flow:
 .
  - Video codec used
  - Frame size
  - Average video bitrate
  - File size
  - Total time
  - Frame rate
  - Total frames
  - Info data
  - Packet Bitstream
  - User data (in MOVI chunk)
  - Audio codec used
  - Average audio bitrate
  - Audio channelss

Package: theorur
Description-md5: 9fdda664e754781276ab2be4a5253ef9
Description-en: simple tool for Ogg/Theora streaming written in GTK+2
 Graphical user interface written using GTK+2 for Ogg/Theora video and audio
 streaming over an Icecast2 system.
 .
 Theorur allows you to:
  1) take a feed from your IEEE1394 DV port, or a DV channel/device on your DV
     network. You could feed analog video through the A/V input on a DV camera;
  2) use IceCast servers, so you can send to an Icecast receiver, or to a hired
     IcesCast server hoster;
  3) taylor it to your upload bandwidth capacity;
  4) stream from your DV Camera, Firewire Sound Mixing desk (there a only a
     few applications that work with firewire mixers in GNU/Linux) and firewire
     DVD players.

Package: therion
Description-md5: 0bdfb67668859fb769017f10401799de
Description-en: Cave surveying - 2D and 3D drawing software
 Therion aids the process of drawing up cave surveys (maps). Drawings are
 done over scans and can be distorted to fit the centreline data. Output is
 in single sheet or atlas style PDF or SVG files. All data is stored in text
 files and a map editor (xtherion) is provided to aid in the creation of the
 files. A wide range of co-ordinate systems is supported.
 .
 Therion can also produce 3D models, generated from walls and
 passage-heights.  Output formats supported are Survex .3d files, VRML, DXF,
 SVG, shapefiles, and the native .lox. Scanned map overlays and relief data
 can be incorporated into models. Therion is compatible with Survex, and
 centreline data can be stored in Survex or Therion form. If survex is
 installed it is used for loop closures. Centreline data and sketches can be
 imported from PocketTopo, allowing full paperless surveying.
 .
 TeX and metapost are used to generate the images. libtk-img is needed to use
 scans in formats other than GIF and PNM (such as PNG and JPEG).
 Survex will be used for loop-closure if installed, but is not required.
 .
 You will need appropriate TeX language packages to process therion files which
 specify those character sets: texlive-lang-czechslovak is needed to process
 the examples, and texlive-lang-cyrillic is also suggested. See README.Debian
 for details.

Package: therion-doc
Description-md5: 395e10472c831222482796ee32e64409
Description-en: Documentation for Therion Cave surveying software
 Therion is cave-survey drawing and modelling software. This package
 includes the thbook which is the specification for the therion data
 description language. This is not the easiest way to get started;
 there is much more documentation in the Therion wiki:
 https://therion.speleo.sk/wiki/doku.php with introductory guides, FAQs
 and examples. Read those first to get an understanding of how it is
 used.

Package: therion-viewer
Description-md5: 46f9da5e7e964f292a473c2ba83bd3d2
Description-en: Cave surveying - 3D viewer for therion models
 Therion is cave-survey drawing and modelling software. This package contains
 the 3D model viewer for therion models produced by version 0.4 or later.
 It can also display Survex .3d and Compass .plt files.

Package: theseus
Description-md5: 91517695c1e9e5cdc2a87ff78d5403a8
Description-en: superimpose macromolecules using maximum likelihood
 Theseus is a program that simultaneously superimposes multiple
 macromolecular structures. Theseus finds the optimal solution to the
 superposition problem using the method of maximum likelihood. By
 down-weighting variable regions of the superposition and by correcting for
 correlations among atoms, the ML superposition method produces very
 accurate structural alignments.
 .
 When macromolecules with different residue sequences are superimposed,
 other programs and algorithms discard residues that are aligned with
 gaps. Theseus, however, uses a novel superimposition algorithm that
 includes all of the data.

Package: theseus-examples
Description-md5: 1320787e3f8d3683c4e89bce2c5f2fbf
Description-en: superimpose macromolecules using maximum likelihood (examples)
 Theseus is a program that simultaneously superimposes multiple
 macromolecular structures. Theseus finds the optimal solution to the
 superposition problem using the method of maximum likelihood. By
 down-weighting variable regions of the superposition and by correcting for
 correlations among atoms, the ML superposition method produces very
 accurate structural alignments.
 .
 When macromolecules with different residue sequences are superimposed,
 other programs and algorithms discard residues that are aligned with
 gaps. Theseus, however, uses a novel superimposition algorithm that
 includes all of the data.
 .
 This package contains usage examples for theseus.

Package: thesias
Description-md5: a4f2cac99b178853c0f33970c1a3c93c
Description-en: Testing Haplotype Effects In Association Studies
 The objectif of the THESIAS program is to performed haplotype-based
 association analysis in unrelated individuals. This program is based
 on the maximum likelihood model described in Tregouet et al. 2002
 (Hum Mol Genet 2002,11: 2015-2023) and is linked to the SEM algorithm
 (Tregouet et al. Ann Hum Genet 2004,68: 165-177).
 THESIAS allows one to simultaneous estimate haplotype frequencies
 and their associate effects on the phenotype of interest.
 In this new THESIAS release, quantitative, qualitative (logistic
 and matched-pair analysis), categorical and survival outcomes can be
 studied. X-linked haplotype analysis is also feasible.
 Covariate-adjusted haplotype effects as well as haplotype x covariate
 interactions can also be investigated.

Package: thin
Description-md5: 8309d3e838caed8b87e6560e8951d182
Description-en: fast and very simple Ruby web server
 Thin is a Ruby web server that glues together 3 of the best Ruby
 libraries in web history:
   * the Mongrel parser, the root of Mongrel's speed and security
   * Event Machine, a network I/O library with extremely high
     scalability, performance and stability
   * Rack, a minimal interface between webservers and Ruby frameworks
 .
 Which makes it, with all humility, the most secure, stable, fast and
 extensible Ruby web server bundled in an easy to use package for your own
 pleasure.

Package: thinkfan
Description-md5: e4c293350a0c048fab6b3138fdcb20cc
Description-en: simple and lightweight fan control program
 Some hardware has a kind of broken fan-control and lets the fan run
 faster than really needed. Thinkfan will prevent this by controlling
 the fan on its own (the fan speed for each temperature interval can be
 adjusted in the configuration file).
 .
 Originally designed specifically for IBM/Lenovo Thinkpads,
 it supports any kind of system via the sysfs hwmon interface.
 It is designed to eat as little CPU power as possible.

Package: thonny
Description-md5: b87caec8591ac091fff06cd8596a78eb
Description-en: Python IDE for beginners
 Thonny is a simple Python IDE with features useful for learning programming.
 .
 It comes with a debugger which is able to visualize all the conceptual steps
 taken to run a Python program (executing statements, evaluating expressions,
 maintaining the call stack). There is a GUI for installing 3rd party packages
 and special mode for learning about references.
 .
 See the homepage for more information and screenshots.

Package: threadscope
Description-md5: 215e0c066c6e55e7ba18313026100868
Description-en: graphical thread profiler for Haskell programs
 Threadscope is a graphical thread profiler for Haskell programs.
 It parses and displays the content of .eventlog files emitted by the
 GHC 6.12.1 and later runtimes, showing a timeline of spark creation,
 spark-to-thread promotions and garbage collections.
 .
 This helps debugging the parallel performance of Haskell programs,
 making easier to check that work is well balanced across the available
 processors and spot performance issues relating to garbage collection
 or poor load balancing.

Package: thrift-compiler
Description-md5: aa3c14719088a9d986da506824ca1ed8
Description-en: code generator/compiler for Thrift definitions
 Thrift is a software framework for the development of reliable and
 performant communication and data serialization.  It combines a software
 stack with code generation to build services that operate seamlessly
 across a number of different development languages.
 .
 This package contains the compiler used to generate language code from
 Thrift definition files.

Package: thunar
Description-md5: b7a058b0f1e6c36c7548366cf5e4833d
Description-en: File Manager for Xfce
 Thunar is the file manager designed to be the default file manager for the
 Xfce desktop environment. It has been designed to be fast and easy to use.
 .
 Also included is an Xfce panel plugin which can manage the desktop trash.

Package: thunar-archive-plugin
Description-md5: 628b2498ab19965393e9dcf2f3237483
Description-en: Archive plugin for Thunar file manager
 This plugin allows one to extract and create archive from inside the Thunar
 file manager. At the moment it uses file-roller but will use xarchiver in the
 future.

Package: thunar-data
Description-md5: e4338ccffd7c931aa67df421a2077de8
Description-en: Provides thunar documentation, icons and translations
 This package contains architecture-independent files for thunar, the file
 manager and file management libraries for Xfce desktop environment.

Package: thunar-dropbox-plugin
Description-md5: f0ed9d7caf26e8422ff1ae0b651acf2c
Description-en: context-menu items from dropbox for Thunar
 Thunar Dropbox is a plugin for thunar that adds context-menu
 items from dropbox. This plugin does not come with dropbox itself,
 you will need to install that separately.

Package: thunar-gtkhash
Description-md5: cbbb01d57e6174ccbaf8863ee53d09fa
Description-en: thunar extension for computing checksums and more using gtkhash
 The GtkHash extension for thunar which allows users to compute
 message digests or checksums using the mhash library.
 Currently supported hash functions include MD5, MD6, SHA1,
 SHA256, SHA512, RIPEMD, TIGER and WHIRLPOOL.

Package: thunar-media-tags-plugin
Description-md5: 7b6e2fc8760d3cc47c60af3c8c4c7181
Description-en: Media tags plugin for Thunar file manager
 This plugin allows tags editing from Thunar file manager  and tags-based file
 renaming from inside Thunar Bulk Renamer

Package: thunar-vcs-plugin
Description-md5: c52b013cedb5209aecb4e1a7bb565efb
Description-en: VCS plugin for Thunar file manager
 Git and Subversion integration into the Thunar file manager.
 The current features are:
   * most of the svn action: add, blame, checkout, cleanup, commit, copy,
     delete, export, import, lock, log, move, properties, relocate, resolved,
     revert, status, switch, unlock, update.
   * Subversion info in file properties dialog.
   * Basic Git actions: add, blame, branch, clean, clone, log, move, reset,
     stash, status.

Package: thunar-volman
Description-md5: bf00f71962d5cf45709fae3389409774
Description-en: Thunar extension for volumes management
 The Thunar Volume Manager is an extension for the Thunar file manager, which
 enables automatic management of removable drives and media.

Package: thunderbird-gnome-support-dbg
Description-md5: 8fa21aaf02af881ccc7c33f8559e6531
Description-en: Email, RSS and newsgroup client - transitional package
 This is a transitional package to ensure that upgrades work correctly.
 It can be safely removed

Package: thunderbird-mozsymbols
Description-md5: ac1a6a5ccd00092e19efbf6f6f9538a0
Description-en: Email, RSS and newsgroup client - Breakpad symbols
 Thunderbird is a full-featured email, RSS and newsgroup client that makes
 emailing safer, faster and easier than ever before. It supports different mail
 accounts (POP, IMAP, Gmail), has a simple mail account setup wizard, one-
 click address book, tabbed interface, an integrated learning spam filter,
 advanced search and indexing capabilities, and offers easy organization
 of mails with tagging and virtual folders. It also features unrivalled
 extensibility.
 .
 This package contains the Firefox symbols in a format expected by Mozilla's
 Breakpad. Eventually this package should go away and the symbol upload be
 implemented in soyuz (or other builders that build this package)

Package: thunderbolt-tools
Description-md5: 9628e13070bee7d2e9a626ecbbe623d3
Description-en: Intel Thunderbolt userspace components
 Provides components for using Intel Thunderbolt controllers with
 security level features

Package: tiarra
Description-md5: 96473da5f24c4dc6a9c8f3dfa2e6db30
Description-en: IRC proxy, stationing, logger and bot program (pirc)
 Tiarra can work as IRC proxy server, stationing on the IRC net with logging.
 Also some bot plugins are included.

Package: tiarra-conf-el
Description-md5: 3727723379219f099fb8a2922b6ee669
Description-en: edit mode for tiarra.conf
 Emacs mode for editing tiarra.conf.

Package: tiatracker
Description-md5: c50f6f39fca5f691919a03733ede4e48
Description-en: music tracker for making Atari VCS 2600
 This is a music tracker for making Atari VCS 2600 music on the PC,
 including a new sound routine for the VCS.

Package: ticgit
Description-md5: 2533ffca482dea1095d0a650d8e9845c
Description-en: ticketing system built on Git
 ticgit is an issue tracking system based in the Git revision control
 system. Tickets are stored in a separate branch called “ticgit” within
 a Git repository that can be made available on a public server along
 with the project’s source code. The idea is to keep tickets close to a
 project without touching its source tree.

Package: ticgitweb
Description-md5: 556429428f9e36c04840a6c71d80d0a3
Description-en: web interface to ticgit
 ticgit is an issue tracking system based in the Git revision control
 system. This package contains the web frontend.
 .
 The command-line interface can be found in the ticgit package.

Package: ticker
Description-md5: 3d688ea1e77830fc9e4757869996104c
Description-en: configurable text scroller
 Ticker is a simple program to scroll text across a line of the display, in
 a manner similar to a stock ticker. In fact, since ticker supports
 communicating with a program that changes the text periodically, it could
 be used to implement a stock ticker.

Package: tickr
Description-md5: 1d60fd79d3e1e74ad7fe09a3106360fa
Description-en: GTK-based highly graphically-customizable Feed Ticker
 Tickr is a GTK-based RSS/Atom Reader that displays feeds as a smooth
 scrolling line on your Desktop, as known from TV stations. Open feed
 links in your favourite Browser. Graphics are highly customizable.

Package: tidy
Description-md5: eff51c85a9534da83a2d195a16e57001
Description-en: HTML/XML syntax checker and reformatter
 Tidy corrects and cleans up HTML and XML documents by fixing
 markup errors and upgrading legacy code to modern standards.
 .
 This package contains a command line tool 'tidy'.

Package: tidy-proxy
Description-md5: 780293967f2c889d148c5c4d5e13545d
Description-en: small http proxy which tidies html
 Tidy-proxy is a small http proxy server written in perl. Using this proxy
 web-pages are checked for HTML errors with Tidy or Validate while they are
 downloaded. The results are displayed on top of that page.
 Tidy-Proxy is mainly intended for developing dynamic web-pages.

Package: tiemu-skinedit
Description-md5: 70cf9335ce1cacbbfcd040be8f46d8ad
Description-en: skin editor for TiEmu
 skinedit is the skin editor that accompanies TiEmu, the Texas Instruments
 calculators emulator. By using a different skin, you change the appearance
 of the emulator.
 .
 skinedit can handle VTi (another emulator, running on Windows) skins too,
 allowing you to convert the skins between the VTi formats and the TiEmu
 format.

Package: tifffile
Description-md5: bf0f1bc4c5d063a8ffc9875415f64dd2
Description-en: Read and write image data from and to TIFF files
 Image and meta-data can be read from TIFF, BigTIFF, OME-TIFF, STK, LSM, NIH,
 ImageJ, MicroManager, FluoView, SEQ and GEL files.
 .
 Only a subset of the TIFF specification is supported, mainly uncompressed and
 losslessly compressed 2**(0 to 6) bit integer, 16, 32 and 64-bit float,
 grayscale and RGB(A) images, which are commonly used in bio-scientific imaging.
 Specifically, reading JPEG/CCITT compressed image data or EXIF/IPTC/GPS/XMP
 meta-data is not implemented. Only primary info records are read for STK,
 FluoView, MicroManager, and NIH image formats.
 .
 TIFF, the Tagged Image File Format, is under the control of Adobe Systems.
 BigTIFF allows for files greater than 4 GB. STK, LSM, FluoView, SEQ, GEL, and
 OME-TIFF, are custom extensions defined by MetaMorph, Carl Zeiss MicroImaging,
 Olympus, Media Cybernetics, Molecular Dynamics, and the Open Microscopy
 Environment consortium respectively.

Package: tig
Description-md5: 87da0058d5250d8c6b5bd9f5d3ab52ff
Description-en: ncurses-based text-mode interface for Git
 This package contains a text-mode interface for the version control system
 Git. It may be used to browse the history and contents of a repository.
 .
 The following main features are supported:
  - View revision logs, commit messages, diffstats, diffs, archive trees and
    file contents.
  - Visualize revision graphs.
  - Stage / unstage changes and add untracked files.
  - Merge files.
  - Cherry-pick commits.
 .
 tig may also be used as a pager. It reads input from stdin and colorizes it.

Package: tiger
Description-md5: 61e93cbb8b6e92c7613b08d02af94721
Description-en: security auditing and intrusion detection tools for Linux
 TIGER, or the 'tiger' scripts, is a set of tools (Bourne shell scripts and C
 programs) which are used to perform a security audit of different operating
 systems components. The tools can be both run all at once to generate an
 audit report of the system and to detect elements that could be fixed
 when hardening it.
 .
 TIGER has one primary goal: report ways the system's security can be
 compromised.
 .
 Most of the tools are independent, but some of them rely on specialised
 external security tools such as John the Ripper, Chkroot and integrity check
 tools (like Tripwire, Integrit or Aide) to execute some tasks.
 .
 The same checks are also configured by default to run periodically and
 detect deviations or unauthorised changes. This makes it possible to
 used them also as a host intrusion detection mechanism.
 This review mechanism relies on the use of the cron task scheduler and an
 email delivery system to report errors and deviations.
 .
 This package provides all the security scripts and data files for Linux.
 A separate package is available providing the scripts for other operating
 systems so they can be run from a centralised repository.
 .
 The Linux scripts incorporate specific checks targetting the Debian OS
 including: md5sums checks of installed files, location of files not belonging
 to packages, and analysis of local listening processes.
 .
 Alternatives to TIGER available in Debian include lynis and ossec. If you are
 aiming for a small set of checks, try checksecurity, lsat or yasat.

Package: tiger-otheros
Description-md5: de77446dcb47b056c3e680901e1af6c9
Description-en: security auditing and intrusion detection scripts for Unix based systems
 TIGER, or the 'tiger' scripts, is a set of tools (Bourne shell scripts and C
 programs) which are used to perform a security audit of different operating
 systems components. The tools can be both run all at once to generate an
 audit report of the system and to detect elements that could be fixed
 when hardening it. They can also be run periodically to compare the operating
 system status against a baseline and report deviations. In this way, they can
 be used also as a host intrusion detection mechanism.
 .
 This package provides all the scripts for Unix-based operating systems (other
 than Linux) which are provided in the Tiger application upstream. They are
 separately packaged in Debian as most users do not need them to run Tiger.
 .
 On the other hand, they might be useful for administrators that wish to run
 Tiger in hosts running different Unix variants in a distributed environment.
 Hosts can run the Tiger scripts through the network (e.g. NFS) and generate
 locally reports for analysis and intrusion detection.

Package: tigervnc-common
Description-md5: f9c65409ef11b003ea14be99ecd31430
Description-en: Virtual network computing; Common software needed by servers
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 It is implemented in a client/server model. This package provides the
 vncpasswd and vncconfig tools.

Package: tigervnc-scraping-server
Description-md5: b4ba50e975bc0ffe24c807956269afb7
Description-en: Virtual network computing server performing X screen scraping
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 This package provides a VNC server that perform screen scraping of an already
 running X server to provide its VNC desktop. The VNC desktop can be viewed
 by any VNC viewer even on other operating systems.
 .
 Note: If you only want to scrape your local X11 server, you should consider
 the tigervnc-xorg-extension package. This package provides the vnc extension
 for your local X11 server. The usage of this extension is more efficient
 than a scraping vnc server.

Package: tigervnc-standalone-server
Description-md5: 10c4781e3782d3a2146ceec7ec5e20d8
Description-en: Standalone virtual network computing server
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 This package provides a standalone VNC server to which clients can connect.
 The server generates a display that can be viewed with a vncviewer.
 .
 Note: This server does not need a display. You need a VNC viewer to see
 something. This viewer may also be on a computer running other operating
 systems.

Package: tigervnc-viewer
Description-md5: 654e0f921b4829707bbe1789226ca9f0
Description-en: Virtual network computing client for X
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 This package provides a VNC client for X. With this you can connect to a
 VNC server and display its content. There are VNC servers available for other
 operating systems.

Package: tigervnc-xorg-extension
Description-md5: eabf3badc6d5c4a4c22b08d0cc7817c1
Description-en: Virtual network computing X server extension
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 This package contains an X server connector so VNC clients can connect to your
 local X desktop directly.

Package: tightvncserver
Description-md5: 212aadc6932fc1ffc49df1c9619bc26a
Description-en: virtual network computing server software
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 This package provides a server to which X clients can connect and the
 server generates a display that can be viewed with a vncviewer.
 .
 The difference between the tightvncserver and the normal vncserver is the
 data encoding, optimized for low bandwidth connections. If the client do not
 support jpeg or zlib encoding it can use the default one. Later versions of
 vncserver (> 3.3.3r2) support a new automatic encoding that should be equally
 good as the tightvnc encoding.
 .
 Note: This server does not support or need a display. You need a vncviewer to
 see something. However, this viewer may also be on a computer running other
 operating systems in the local net.

Package: tigr-glimmer
Description-md5: 4e46d2f7da4e781295e4dea1a7f9aae4
Description-en: Gene detection in archea and bacteria
 Developed by the TIGR institute this software detects coding sequences in
 bacteria and archea.
 .
 Glimmer is a system for finding genes in microbial DNA, especially the
 genomes of bacteria and archaea. Glimmer (Gene Locator and Interpolated
 Markov Modeler) uses interpolated Markov models (IMMs) to identify the
 coding regions and distinguish them from noncoding DNA.

Package: tikzit
Description-md5: 709ebd3a94fa724a1cb5a1669882513f
Description-en: visual PGF/TikZ graph editor
 TikZiT is a super simple GUI editor for graphs and string diagrams.
 Its native file format is a subset of PGF/TikZ, which means TikZiT
 files can be included directly in papers typeset using LaTeX.

Package: tilda
Description-md5: 423b046a2e2b244383a81eceaf7ff9eb
Description-en: GTK+ based drop down terminal for Linux and Unix
 Tilda is a terminal emulator similar to normal terminals like
 gnome-terminal (GNOME) or Konsole (KDE), with the difference
 that it drops down from the edge of a screen when a certain configurable
 hotkey is pressed. This is similar to the build-in consoles in
 games such as Quake or Half-live. Tilda is highly configureable
 through a graphical wizard.

Package: tilde
Description-md5: 45768169c887bcfa105dd8b8e22955b0
Description-en: Intuitive text editor for the terminal
 Tilde is a text editor for the console/terminal, which provides an intuitive
 interface for people accustomed to GUI environments such as Gnome, KDE
 and Windows. For example, the short-cut to copy the current selection is
 Control-C, and to paste the previously copied text the short-cut Control-V can
 be used. As another example, the File menu can be accessed by pressing Meta-F.

Package: tiled
Description-md5: 7fcc74e83bcb3cc94cca23068150ee9f
Description-en: general purpose tile map editor
 Tiled is a general purpose tile map editor. It's built to be easy to use,
 yet capable of catering to a host of varying game engines, whether your game
 is an RPG, platformer or Breakout clone. Tiled supports plugins to read and
 write map formats, in addition to its map format, to support map formats in
 use by engines.

Package: tilem
Description-md5: 2c41cebe5bd17c186c4f6a50dbace9ca
Description-en: GTK+ TI Z80 calculator emulator
 TilEm is an emulator and debugger for Texas Instruments' Z80-based graphing
 calculators. It can emulate any of the following calculator models:
  * TI-73 / TI-73 Explorer
  * TI-76.fr
  * TI-81
  * TI-82
  * TI-82 STATS / TI-82 STATS.fr
  * TI-83
  * TI-83 Plus / TI-83 Plus Silver Edition / TI-83 Plus.fr
  * TI-84 Plus / TI-84 Plus Silver Edition / TI-84 pocket.fr
  * TI-85
  * TI-86
 TilEm fully supports all known versions of the above calculators (as of 2012),
 and attempts to reproduce the behavior of the original calculator hardware as
 faithfully as possible. In addition, TilEm can emulate the TI-Nspire's virtual
 TI-84 Plus mode. This is currently experimental, and some programs may not work
 correctly.
 .
 TilEm runs on the X Window System on GNU/Linux and other Unix-like platforms,
 as well as on Microsoft Windows, and any other platform supported by the GTK+
 library. In addition to the emulation, TilEm 2 provide a lot of extra features,
 such as:
  * Fully featured debugger
  * Grabbing screenshots and recording gif (animations)
  * Virtual linking (through libticables)
  * Flash writing and erasing
  * Application and OS loading
  * Scripting using macros

Package: tilem-data
Description-md5: 2e76a1ea41cddd43f5634f3a12d07d4f
Description-en: GTK+ TI Z80 calculator emulator (data files)
 TilEm is an emulator and debugger for Texas Instruments' Z80-based graphing
 calculators. It can emulate any of the following calculator models:
  * TI-73 / TI-73 Explorer
  * TI-76.fr
  * TI-81
  * TI-82
  * TI-82 STATS / TI-82 STATS.fr
  * TI-83
  * TI-83 Plus / TI-83 Plus Silver Edition / TI-83 Plus.fr
  * TI-84 Plus / TI-84 Plus Silver Edition / TI-84 pocket.fr
  * TI-85
  * TI-86
 TilEm fully supports all known versions of the above calculators (as of 2012),
 and attempts to reproduce the behavior of the original calculator hardware as
 faithfully as possible. In addition, TilEm can emulate the TI-Nspire's virtual
 TI-84 Plus mode. This is currently experimental, and some programs may not work
 correctly.
 .
 TilEm runs on the X Window System on GNU/Linux and other Unix-like platforms,
 as well as on Microsoft Windows, and any other platform supported by the GTK+
 library. In addition to the emulation, TilEm 2 provide a lot of extra features,
 such as:
  * Fully featured debugger
  * Grabbing screenshots and recording gif (animations)
  * Virtual linking (through libticables)
  * Flash writing and erasing
  * Application and OS loading
  * Scripting using macros
 .
 This package provides the data needed for the tilem package.

Package: tilix
Description-md5: 4c5486726c4d4cefaec366f00e558f3d
Description-en: Tiling terminal emulator for GNOME
 Tilix is a feature-rich tiling terminal emulator following the
 GNOME human interface design guidelines.
 Its many features include:
 .
  * Layout terminals in any fashion by splitting them horizontally or
    vertically.
  * Terminals can be re-arranged using drag and drop both within and
    between windows.
  * Terminals can be detached into a new window via drag and drop.
  * Input can be synchronized between terminals so commands typed in
    one terminal are replicated to the others.
  * Supports notifications when processes are completed out of view.

Package: tilix-common
Description-md5: 32f6bc52d8a59af6399c0ba5c895828a
Description-en: Tiling terminal emulator - data files
 Tilix is a feature-rich tiling terminal emulator following the
 GNOME human interface design guidelines.
 .
 This package contains architecture independent data.

Package: tilp2
Description-md5: f0bb24e556043dba1f2b9da1ecbc7e22
Description-en: Texas Instruments hand-helds <-> PC communication program for X
 TiLP2 is a Texas Instruments hand-helds <-> PC communication program for
 Linux. It is able to use any type of link cable (Gray/Black/Silver/Direct
 Link) with any calculator. See http://lpg.ticalc.org/.
 .
 With TiLP, you can transfer files from your PC to your Texas Instruments
 calculator, and vice-versa. You can also make a screen dump, send/receive
 data, backup/restore contents, install FLASH applications or upgrade OS.

Package: timbl
Description-md5: 9d22c91f8e87a115cd9936586ba62c0a
Description-en: Tilburg Memory Based Learner
 Memory-Based Learning (MBL) is a machine-learning method applicable to a wide
 range of tasks in Natural Language Processing (NLP).
 .
 The Tilburg Memory Based Learner, TiMBL, is a tool for NLP research, and for
 many other domains where classification tasks are learned from examples.  It
 is an efficient implementation of k-nearest neighbor classifier.
 .
 TiMBL's features are:
  * Fast, decision-tree-based implementation of k-nearest neighbor
 classification;
  * Implementations of IB1 and IB2, IGTree, TRIBL, and TRIBL2 algorithms;
  * Similarity metrics: Overlap, MVDM, Jeffrey Divergence, Dot product, Cosine;
  * Feature weighting metrics: information gain, gain ratio, chi squared,
 shared variance;
  * Distance weighting metrics: inverse, inverse linear, exponential decay;
  * Extensive verbosity options to inspect nearest neighbor sets;
  * Server functionality and extensive API;
  * Fast leave-one-out testing and internal cross-validation;
  * and Handles user-defined example weighting.
 .
 TiMBL is a product of the Centre of Language and Speech Technology
 (Radboud University, Nijmegen, The Netherlands), the ILK Research Group
 (Tilburg University, The Netherlands) and the CLiPS Research Centre
 (University of Antwerp, Belgium).
 .
 If you do scientific research in NLP, timbl will likely be of use to you.

Package: timblserver
Description-md5: f603e10e115be24e0f5e4b352904f697
Description-en: Server extensions for Timbl
 timblserver is a TiMBL wrapper; it adds server functionality to TiMBL.  It
 allows TiMBL to run multiple experiments as a TCP server, optionally via HTTP.
 .
 The Tilburg Memory Based Learner, TiMBL, is a tool for Natural Language
 Processing research, and for many other domains where classification tasks are
 learned from examples.
 .
 TimblServer is a product of the ILK Research Group (Tilburg University, The
 Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium).
 .
 If you do scientific research in NLP, TimblServer will likely be of use to you.

Package: timelimit
Description-md5: 91615185824c3ad00af872d16292caff
Description-en: simple utility to limit a process's absolute execution time
 The timelimit utility executes a command and terminates the spawned process
 after a given time with a given signal.  A "warning" signal is sent first,
 then, after a timeout, a "kill" signal, similar to the way init(8) operates
 on shutdown.

Package: timemachine
Description-md5: 5cc916cfa4ec72c0656840dd6cae3f7b
Description-en: JACK audio recorder for spontaneous and conservatory use
 Timemachine writes the last 10 seconds of audio _before_ the button press
 and everything from now on up to the next button press into a WAV-file.
 .
 The idea is that you doodle away with whatever is kicking around in your
 studio and when you heard an interesting noise, you'd press record and
 capture it, without having to try and recreate it.
 .
 It uses the JACK audio connection kit, an API that lets audio application
 communicate with each other and share audio data in realtime.

Package: timemon.app
Description-md5: 4c0bea2ca80e69706c0b5170067f53cf
Description-en: CPU time usage monitor for GNUstep
 TimeMon gives a graphical representation of where the CPU cycles
 are going. It's coarse, but better than nothing. The best feature
 is that it runs in an icon on your dock, so that you never lose it.

Package: timeshift
Description-md5: 111bc23f71315a6e4f214d083796ab9c
Description-en: System restore utility
 Timeshift is a system restore utility which takes snapshots
 of the system at regular intervals. These snapshots can be restored
 at a later date to undo system changes. Creates incremental snapshots
 using rsync or BTRFS snapshots using BTRFS tools.

Package: timewarrior
Description-md5: f62f374907954f49445155c6ef5f8ce7
Description-en: feature-rich time tracking utility
 Timewarrior is a time tracking utility that offers simple stopwatch features
 as well as sophisticated calendar-based backfill, along with flexible
 reporting.

Package: timgm6mb-soundfont
Description-md5: efaf32635c0ee3984e628c67203c16d0
Description-en: TimGM6mb SoundFont from MuseScore 1.3
 This is a small but complete GM SoundFont, originally packaged with
 MuseScore 1.3, but dropped from MuseScore 2.0.
 .
 This package will be installed into /usr/share/sounds/sf2/.

Package: timidity
Description-md5: 244fdf38f1c434ae04d67e4b0880d26d
Description-en: Software sound renderer (MIDI sequencer, MOD player)
 TiMidity++ is a very high quality software-only MIDI sequencer and MOD player.
 It uses sound fonts (GUS-compatible or SF2-compatible) to render MIDI files,
 which are not included in this package.
 .
   * Plays MIDI files without any external MIDI instruments at all
   * Understands SMF, RCP/R36/G18/G36, MFI, RMI (MIDI)
   * Autodetects and supports GM/GS/XG MIDI
   * Understands MOD, XM, S3M, IT, 699, AMF, DSM, FAR, GDM,
     IMF, MED, MTM, STM, STX, ULT, UNI (MOD)
   * Does MOD to MIDI conversion (including playback)
   * Outputs audio into various audio file formats: WAV, au, AIFF,
     Ogg (Vorbis, FLAC, Speex)
   * Supports JACK, ALSA and AO drivers
   * Uses Gravis Ultrasound compatible patch files and SoundFont2 patch
     files as the voice data for MIDI instruments
   * Supports playing from archives (zip, lzh, tar...).
   * Timidity++ can be used as an ALSA sequencer device

Package: timidity-daemon
Description-md5: 04bb9a894073f85a6a50e9815afe518c
Description-en: runs TiMidity++ as a system-wide MIDI sequencer
 TiMidity++ is a very high quality software-only MIDI sequencer and MOD
 player.
 .
 This package is not needed for a desktop install and output by default
 using the ALSA driver.
 .
 This package provides TiMidity++ as a system-wide MIDI sequencer.

Package: timidity-el
Description-md5: b7ebfa3933a17f9fa47ec947e13c80c7
Description-en: Emacs front end to Timidity++
 The timidity.el Emacs-Lisp program is a front-end to the TiMidity++ software-
 only MIDI sequencer and MOD player.  You can play MIDI amd MOD files directly
 from Emacs with this package.

Package: timidity-interfaces-extra
Description-md5: c2c23064626a3c862a83b7523d1658df
Description-en: TiMidity++ extra user interfaces
 TiMidity++ is a very high quality software-only MIDI sequencer and MOD
 player.
 .
 This package provides extra TiMidity++ user interfaces, which have
 limited functionality when compared to those in the main package.
 .
 Interfaces in this package: VT100, Tcl/Tk, S-Lang, XSkin, GTK2

Package: tin
Description-md5: 8a58989374e91e839f8418fe3d2d240f
Description-en: Full-screen easy to use Usenet newsreader
 tin can read news locally (i.e. from /var/spool/news) or remotely (rtin or
 tin -r option) via an NNTP (Network News Transport Protocol) server.

Package: tina
Description-md5: b1bc017a32ef5ac9cc784f7e1d3c5ba9
Description-en: text-based personal information manager
 Tina is a personal information manager with a curses interface.
 It allows the user to categorize short text items and to display the items
 in a particular category.

Package: tinc
Description-md5: 927734357d793d7ebde564ee023d38d2
Description-en: Virtual Private Network daemon
 tinc is a daemon with which you can create a virtual private network
 (VPN). One daemon can handle multiple connections, so you can
 create an entire (moderately sized) VPN with only one daemon per
 participating computer.

Package: tini
Description-md5: 357ed699f389f83b2e63df42023fdadd
Description-en: tiny but valid init for containers
 Tini is the simplest init you could think of.
 .
 All Tini does is spawn a single child (Tini is meant to be run in a
 container), and wait for it to exit all the while reaping zombies and
 performing signal forwarding.

Package: tint
Description-md5: 4622fde0055cefb117dc68e16d620e9d
Description-en: Tetris clone for text based terminal
 TINT Is Not Tetris(tm) ...at least the name isn't.
 .
 As the title suggests, this is a clone of the original tetris game
 written by Alexey Pajitnov, Dmitry Pavlovsky, and Vadim Gerasimov.
 .
 The game is as close to the original as possible, but there are a few
 differences. Nevertheless, it's probably the closest to the original
 that you'll ever find in the UNIX world...

Package: tint2
Description-md5: 22bb976668132b8e27ae131fa0e10a1a
Description-en: lightweight taskbar
 Tint is a simple panel/taskbar intentionally made for openbox3, but should
 also work with other window managers. The taskbar includes transparency and
 color settings for the font, icons, border, and background. It also supports
 multihead setups, customized mouse actions, and a built-in clock. Tint was
 originally based on ttm code. Since then, support has also been added
 for a battery monitor and system tray.
 .
 The goal is to keep a clean and unintrusive look with lightweight code and
 compliance with freedesktop specification.

Package: tintii
Description-md5: 8a25091744d991760d968b984dd76954
Description-en: photo filter for easy color effects
 This package provides a tool for photo effects like hue shifting and
 selective color adjustments.
 .
 Tintii's special feature is automatic detection of the major colors in
 a photo, making adjustments quicker and easier.

Package: tintin++
Description-md5: c3b281e0cc6a81b7df2311aac74c1dfd
Description-en: classic text-based MUD client
 Tintin++ is telnet client specialized to play MUDs (Multi-User Dungeons).
 It has scripting support, tab-completion, internal chat, and takes
 advantage of the GNU readline library.
 .
 You can find a complete set of commands and features in the Tintin++ manual,
 in /usr/share/doc/tintin++.

Package: tiny-initramfs
Description-md5: f21681110ae703bb6849e64e50836f0b
Description-en: Minimalistic initramfs implementation (automation)
 This package builds a bootable initramfs for Linux kernel packages.
 The initramfs is loaded along with the kernel and is responsible for
 mounting the root filesystem and starting the main init system.

Package: tiny-initramfs-core
Description-md5: d4ada02a00d23b02ccbad8c25789212d
Description-en: Minimalistic initramfs implementation (core tools)
 A very minimalistic initramfs implementation for booting Linux
 systems. It is designed for systems where a full initramfs (such as
 dracut or initramfs-tools) is too large, but where at least some
 functionality of an initramfs (e.g. mounting the /usr filesystem) is
 required. Without kernel modules it is less than 16 kiB in size.
 .
 tiny-initramfs has less features and is less extensible than
 full-fledged initramfs implementations, and supports only specific use
 cases.
 .
 This package contains the init binary as well as the mktirfs script
 that may be used to create working initramfs images, while not
 replacing the initramfs implementation used on the current system.

Package: tinyca
Description-md5: 9660fe1c18f2263ba16ebbcda9fb890c
Description-en: simple graphical program for certification authority management
 TinyCA is a program with a simple graphical user interface that makes
 managing a small CA (Certification Authority) easy.  TinyCA works as
 a frontend for openssl and can deal with several independent CAs.
 .
 With TinyCA you can create and manage x509 and S/MIME server and
 client certificates.  You can choose between RSA and DSA keys, as
 well as between different digest algorithms.
 .
 The certificates can be exported as PEM, DER, TXT and PKCS#12 or as a
 convenient archive containing both key and certificate.  Certificates
 can be revoked by adding them to a certificate revocation list.

Package: tinydyndns
Description-md5: d7b19a6c487e12940c9e6ec8c3763e93
Description-en: pop-before-dyndns service using djbdns
 tinydyndns is a simple but powerful dynamic DNS solution that uses
 djbdns.  It cooperates with the djbdns package to publish dynamic IP
 addresses authenticated through POP connections.  On successfully
 authenticated POP connections, the tinydyndns-update program manipulates
 tinydns' constant database "data.cdb" directly without rebuilding it;
 this makes the dynamic DNS solution use very few system resources.
 .
 Using a POP service for authentication saves the work for installing
 special client software, since POP clients are available for every
 common network-aware operating system.  To provide the DNS and POP
 services, tinydyndns cooperates with djbdns, mailfront, and cvm.
 .
 The POP service can easily be replaced with other services that provide
 authentication, such as APOP, IMAPS, ...

Package: tinyhoneypot
Description-md5: fde89c939553eab26cc91daad7b6dade
Description-en: Small honeypot to trap attackers
 Small honeypot provides an environment which can be used to lure attackers
 into it. It provides sample responses that simulate a set of services (http,
 pop3, ftp, ssh, mssql and shell) and logs all the connections to these
 services for later auditing.

Package: tinyirc
Description-md5: 555d6c748d732737d6ae6eadcd5883f9
Description-en: tiny IRC client
 A very small, stripped down IRC client. It doesn't have most of the
 more advanced commands in the ircII family of IRC Clients, nor does
 it have any color, but it works, and it's tiny.

Package: tinyjsd
Description-md5: fe5e9c84cace6bc2e89635af212b9e5b
Description-en: Lightweight JavaScript Debugger for Thunderbird and SeaMonkey
 TinyJSD is a JavaScript debugger for Mozilla products (Firefox,
 Thunderbird, SeaMonkey). The key differences to debuggers like Firebug
 and the debugger built into Firefox are:
 .
 * focused on debugging privileged code such as extensions and the
   application itself
 * simple user interface for easier use than complex debugger tools

Package: tinymce
Description-md5: 01eb3df792a5ca3518d718708e4fcb52
Description-en: platform independent web based Javascript/HTML WYSIWYG editor
 TinyMCE is a platform independent web based Javascript HTML WYSIWYG editor
 control released as Open Source under LGPL by Moxiecode Systems AB. It has the
 ability to convert HTML TEXTAREA fields or other HTML elements to editor
 instances. TinyMCE is very easy to integrate into other Content Management
 Systems.
 .
 TinyMCE provides the following features:
  * Easy to integrate, takes only two lines of code.
  * Customizable through themes and plugins.
  * Customizable XHTML 1.0 output.
  * Block invalid elements and force attributes.
  * International language support (Language packs).
  * Multiple browser support, Mozilla, MSIE, FireFox, Opera and Safari.

Package: tinymux
Description-md5: 9c27bef470a8dddf81269ef038aa88b2
Description-en: text-based multi-user virtual world server
 This is the TinyMUX flavor of mud servers of the MUSH branch. It
 provides a number of robust features to enable players to extend
 the virtual world. This is done by building new rooms and objects,
 and utilizing its internal programming language, MUSHcode.
 .
 TinyMUX does not provide a superset of all the features available in
 other MUSH flavors, but those it does implement provide a stable and
 robust environment that performs as well or better than that of its
 peers.

Package: tinyproxy
Description-md5: 9a9117d50c5477bd5c15a1a34fa40b57
Description-en: Lightweight, non-caching, optionally anonymizing HTTP proxy
 An anonymizing HTTP proxy which is very light on system resources,
 ideal for smaller networks and similar situations where other proxies
 (such as Squid) may be overkill and/or a security risk. Tinyproxy can
 also be configured to anonymize HTTP requests (allowing for exceptions
 on a per-header basis).
 .
 This package contains everything for running tinyproxy as a system-wide
 service.

Package: tinyproxy-bin
Description-md5: df55788894ed0eddb96fac9b7fa5d37d
Description-en: Lightweight, non-caching, optionally anonymizing HTTP proxy (executable only)
 An anonymizing HTTP proxy which is very light on system resources,
 ideal for smaller networks and similar situations where other proxies
 (such as Squid) may be overkill and/or a security risk. Tinyproxy can
 also be configured to anonymize HTTP requests (allowing for exceptions
 on a per-header basis).
 .
 This package contains the minimal set of files required for running
 the tinyproxy executable.

Package: tinyscheme
Description-md5: 319a067482fba96a63d61b1a389201a1
Description-en: Very small scheme implementation
 TinyScheme is an implementation of the algorithmic language Scheme that
 aims to very small memory footprint while being as close to R5RS as
 practically feasible.  TinyScheme is also a good base for hacking,
 given the implementation's small size, easy gluing with C and code
 being fully reentrant.
 .
 TinyScheme is based on an s-expression evaluator.  This package
 distributes TinyScheme as a standalone executable.

Package: tinysshd
Description-md5: 85d6c4280c44d4d5354c1038edfbb880
Description-en: Tiny SSH server - daemon
 TinySSH is a minimalistic SSH server which implements only a subset of
 SSHv2 features.
 TinySSH supports only secure cryptography (minimum 128-bit security, protected
 against cache-timing attacks) ED25519, Curve25519(X25519), CHACHA20POLY1305.
 TinySSH implements only safe public-key authentication,
 password or hostbased authentication is not implemented.
 TinySSH has less than 100000 words of code, so it's very easily auditable.

Package: tio
Description-md5: 02a873baf326602af05c0e25e65f9478
Description-en: simple TTY terminal I/O application
 "tio" is a simple TTY terminal application which features a straightforward
 commandline interface to easily connect to TTY devices for basic input/output.
 .
 Besides that, it is able to automatically reconnect to TTY devices which
 disappear intermittently, e.g. USB-to-serial converters.

Package: tipa
Description-md5: a56e773ea4d78490bebca15bee1b1c6e
Description-en: system for processing phonetic symbols in LaTeX
 TIPA is a system for processing IPA (International Phonetic
 Alphabet) symbols in LaTeX written by Fukui Rei.  TIPA stands for
 either TeX IPA or Tokyo IPA and derived from the tsipa package, made
 in 1992 by Kobayashi Hajime, Fukui Rei and Shirakawa Shun.
 .
 Among many features of TIPA, the following are the new features as
 compared with tsipa or any other existing systems for processing IPA
 symbols:
 .
   * A new 256 character encoding for phonetic symbols (`T3'), which
     includes all the symbols and diacritics found in the recent
     versions of IPA and some non-IPA symbols.
   * Complete support of LaTeX2e.
   * Roman, slanted, bold, bold extended and sans serif font styles.
   * Easy input method in the IPA environment.
   * Extended macros for accents and diacritics.
   * A flexible system of macros for `tone letters'.
   * An optional package (vowel.sty) for drawing vowel diagrams.
   * A slightly modified set of fonts that go well when used with
     Times Roman and Helvetica fonts.
 .
 Type 1 fonts for TIPA are also included in this package (to make them
 available in X11 applications other than LaTeX, please install the
 xfonts-tipa package).

Package: tipa-doc
Description-md5: 061ad62b882f15d251decb718b9caf86
Description-en: documentation for the TIPA LaTeX font
 TIPA is a system for processing IPA (International Phonetic
 Alphabet) symbols in LaTeX written by Fukui Rei.  TIPA stands for
 either TeX IPA or Tokyo IPA and derived from the tsipa package, made
 in 1992 by Kobayashi Hajime, Fukui Rei and Shirakawa Shun.
 .
 This package contains the documentation for the TIPA fonts for LaTeX.

Package: tipp10
Description-md5: ee01e93f65e339e7530deaf918199c2d
Description-en: free open source touch typing software
 TIPP10 is a free touch typing tutor for Windows, Mac OS and Linux. The
 ingenious thing about the software is its intelligence feature.
 Characters that are mistyped are repeated more frequently. Beginners
 will find their way around right away so they can start practicing
 without a hitch.
 .
 Useful support functions and an extensive progress tracker, topical
 lessons and the ability to create your own practice lessons make
 learning to type easy.

Package: tiptop
Description-md5: 0c945ebcf988a87e6d8e6f9889644bbb
Description-en: performance monitoring tool for Linux
 Tiptop is a performance monitoring tool for Linux. It provides
 a dynamic real-time view of the tasks running in the system.
 Tiptop is very similar to the top utility, but most of the information
 displayed comes from hardware counters.

Package: tircd
Description-md5: da86d7419dee13173d0b0bde2a9f8fda
Description-en: ircd proxy to the twitter API
 tircd presents Twitter as an IRC channel. You can connect to tircd
 with any IRC client, and Twitter as if you were on IRC.
 .
 To update your status on Twitter, send a message to the #twitter
 channel. When users you follow update their status, tircd will be sent
 to the channel as a message from them. Other actions are similarly
 mapped to the equivalent IRC commands and events.

Package: titanion
Description-md5: fdaf74749784555fd7b7c40d30bc6b18
Description-en: strike down super high-velocity swooping insects
 Titanion is an abstract shooter game. While you fire with one button, the
 other one acts as a tractor ray to attract the enemies and take them to
 the combat line. The game in itself is quite simple, but with such
 graphics and playability that it's worth a try.
 .
 Titanion is another gem among many by Kenta Cho.

Package: titanion-data
Description-md5: 858992ec654b8eefc725d56be9fab32d
Description-en: strike down super high-velocity swooping insects - game data
 Titanion is an abstract shooter game. While you fire with one button, the
 other one acts as a tractor ray to attract the enemies and take them to
 the combat line. The game in itself is quite simple, but with such
 graphics and playability that it's worth a try.
 .
 This package includes the architecture-independent data for the game Titanion.

Package: tix
Description-md5: d9c66d25d6841d663b6f85857fb3d730
Description-en: library for Tk -- runtime package
 The Tix library for Tk extends Tk with new widgets like:
    o panned windows,
    o hierarchical lists,
    o folders,
    o combo boxes,
    o help balloons,
    o and many others.
 .
 The Tix look and feel is really good. Additionally, Tix eases construction
 of mega-widget and has a full-fledged C interface as well.

Package: tix-dev
Description-md5: d7426df2468e40bcdfebf8911b95c148
Description-en: library for Tk -- development package
 The Tix library for Tk extends Tk with new widgets.
 .
 This package includes files, static libraries, man pages for Tix.

Package: tj3
Description-md5: eb3d05f4557b5f9290cb70eef9e09ce2
Description-en: project management software, aka TaskJuggler
 TaskJuggler is a modern and powerful, Free and Open Source Software project
 management tool. Its new approach to project planing and tracking is more
 flexible and superior to the commonly used Gantt chart editing tools.
 .
 TaskJuggler is project management software for serious project managers. It
 covers the complete spectrum of project management tasks from the first idea
 to the completion of the project. It assists you during project scoping,
 resource assignment, cost and revenue planing, risk and communication
 management.

Package: tk
Description-md5: c18f13af983cc9102503e0f44f8d4b2e
Description-en: Toolkit for Tcl and X11 (default version) - windowing shell
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tk version (currently 8.6).

Package: tk-brief
Description-md5: 4a66d5da0a4c6ca44dc7edc34f3c75f0
Description-en: GUI for easily writing letters with LaTeX
 tk_Brief is a TK GUI for easily writing letters and even multiple letters
 with LaTeX
 .
 The following LaTeX letter classes are supported:
  - g-brief
  - dinbrief
  - letter
  - KOMA
  - brief

Package: tk-dev
Description-md5: 7e7c3316b08ee6e19b370908f28f78d9
Description-en: Toolkit for Tcl and X11 (default version) - development files
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tk version (currently 8.6).

Package: tk-doc
Description-md5: 5cd3865abeee5ce7293d5dbd4523b8c4
Description-en: Toolkit for Tcl and X11 (default version) - manual pages
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tk version (currently 8.6).

Package: tk-fsdialog
Description-md5: 8eeda8a82ae37a1a2b72017fe567850d
Description-en: file selection dialog for Ttk
 This package provides a file selection dialog for Ttk, themed Tk.
 .
 Features
   * Show directories in a separate pane or mixed-in with the files
   * Optionally show details of the files (size, modification time, etc.)
   * Show or hide hidden files
   * Sort the files by name, date, or size
   * The user can interactively control the features mentioned above
   * Select a directory using the file selection dialog

Package: tk-html1
Description-md5: 03adcb039305e36762ff4e38f9ed4ad8
Description-en: Tk HTML widget
 Tkhtml1 is a Tk widget that displays content formatted according to
 the HTML and CSS standards. Tkhtml1 is not an end-user application, it
 is for Tcl programmers who wish to embed a standards-compliant
 HTML/CSS implementation in their applications.

Package: tk-html3
Description-md5: bdaae7789bb69de248b5dd76f8af6433
Description-en: Render HTML and CSS content with Tk
 Tkhtml3 is a Tk widget that displays content formatted according to
 the HTML and CSS standards. Tkhtml3 is not an end-user application, it
 is for Tcl programmers who wish to embed a standards-compliant
 HTML/CSS implementation in their applications.
 .
 This package contains the Tkhtml3 widget and the Hv3 mega-widget.

Package: tk-itk4
Description-md5: 7498618448e7d701a6f60509b285dfce
Description-en: [incr Tk] OOP extension version 4 for Tk - run-time files
 [incr Tk] (or itk) combines the object-oriented power of [incr Tcl]
 with the popular Tk graphical toolkit to create a framework for
 creating mega-widgets.  One such set of mega-widgets is provided by
 the iwidgets package.
 .
 This package contains everything you need to run itk scripts and
 itk-enabled apps.

Package: tk-itk4-dev
Description-md5: 8fd577ea6ae31a2a9dd11995f1bbbb1a
Description-en: [incr Tk] OOP extension version 4 for Tk - development files
 [incr Tk] (or itk) combines the object-oriented power of [incr Tcl]
 with the popular Tk graphical toolkit to create a framework for
 creating mega-widgets.  One such set of mega-widgets is provided by
 the iwidgets package.
 .
 This package contains the headers and libraries needed to embed or
 add C extensions to itk.

Package: tk-itk4-doc
Description-md5: 38567b3cfd663f1f86014dc952237a74
Description-en: [incr Tk] OOP extension version 4 for Tk - manual pages
 [incr Tk] (or itk) combines the object-oriented power of [incr Tcl]
 with the popular Tk graphical toolkit to create a framework for
 creating mega-widgets.  One such set of mega-widgets is provided by
 the iwidgets package.
 .
 This package contains the man pages for the classes provided by itk.

Package: tk-mpeg
Description-md5: db0c3d821495c44b4942c261c20dc9f7
Description-en: Tk MPEG1 encoder based on ezMPEG
 Tk-mpeg is a simple and portable Tk package to encode MPEG1 streams. Based on
 ezMPEG, it has the following features:
 .
  * based on ISO-11172.2 Specs
  * I-frame encoding
  * GOP size can be defined
  * quality settings can be defined
  * multiple parallel streams
  * variable bitrate

Package: tk-table
Description-md5: 837df8b2cad2ae0ca6f41db74ba1fc0a
Description-en: Table extension for Tcl/Tk
 Provides support for tables and matrices in Tcl/Tk. The basic features of the
 widget are:
  * multi-line cells
  * support for embedded windows (one per cell)
  * row & column spanning
  * variable width columns / height rows (interactively resizable)
  * row and column titles
  * multiple data sources ((Tcl array || Tcl command) &| internal caching)
  * supports standard Tk reliefs, fonts, colors, etc.
  * x/y scrollbar support
  * 'tag' styles per row, column or cell to change visual appearance
  * in-cell editing - returns value back to data source
  * support for disabled (read-only) tables or cells (via tags)
  * multiple selection modes, with "active" cell
  * multiple drawing modes to get optimal performance for larger tables
  * optional 'flashes' when things update
  * cell validation support
  * Works everywhere Tk does (including Windows and Mac!)
 .
 This package is sufficient to run and link against tkTable.

Package: tk-tktray
Description-md5: 2ae07338124bfda727053cdf6c6ee7ee
Description-en: Freedesktop system tray icon support for Tcl/Tk on X11
 Tktray is an extension that is able to create system tray icons.
 It follows http://www.freedesktop.org specifications. This
 protocol is supported by modern versions of KDE and GNOME panels,
 and by some other panel-like application.

Package: tk2
Description-md5: bac625c31c2dc8029386ea6e93ba1a11
Description-en: Tk GUI for the ICOM IC-R2 receiver
 The current, experimental version of tk2 works with IC-R2 models which
 employ 10 kHz or 9 kHz spacing in the AM Broadcast Band (e.g., USA,
 Japanese, European, and other models). It permits expanded .005 -
 1599.995 MHz frequency coverage (except cellular bands) for memory
 channels and can:
 .
 Read a memory image from an IC-R2 receiver or a disk file.
 Display data from a memory image and let a user change various settings.
 Add descriptive labels to memory channels and banks.
 Sort memory channels by frequency or label.
 Swap pairs of memory banks.
 Import memory channel data from a csv or ICF file.
 Export memory channel data to a csv file.
 Write the results back to the radio.

Package: tk5
Description-md5: 3555c2c86ced90df3b6ab10ea1766080
Description-en: Experimental Software for the ICOM IC-R5 Receiver
 tk5 is open source software designed for the  ICOM  IC-R5 receiver.
 The current version can:
 .
 Read a memory image from an IC-R5 receiver, an ICF file, an IC5 file, or a
 native tr5 file.
 Using a graphical interface, display data from a memory image and let a user
 change the limit search bank, television bank, and most other settings.
 Import memory channel data from a csv (comma-separated values) file.
 Export memory channel data to a csv file.
 Enable a hidden 70-channel Television bank.
 Write the results back to the radio.

Package: tk707
Description-md5: b30c9e16f77fd34f0492a10ba516b61c
Description-en: drum sequencer for a sound card or MIDI device
 This program emulates the operation of Roland's TR-707 Rhythm
 Composer.
 .
 The output is to a MIDI device, sound card or file.  A
 Latin-percussion instrument map emulates the Roland TR-727 and
 the instrument map can be customized by the user. If you do not
 have a MIDI sound card, you should install the timidity package
 to emulate one..

Package: tkabber
Description-md5: 3403d867b236bec115e2d91e785af88a
Description-en: GUI client for XMPP (Jabber) instant messaging protocol
 Tkabber is a GUI client for XMPP (Jabber) instant messaging protocol.
 It provides full support of basic XMPP specifications as well as many
 protocol extensions (XEPs), such as multi-user conferencing,
 file transfers, extensive handling of privacy lists and much more.
 Tkabber is written in Tcl/Tk and thus is easily extensible.
 .
 Also a rich set of Tkabber external plugins is available
 in the package named "tkabber-plugins".

Package: tkabber-plugins
Description-md5: 331e1ebc3cbf564ab3960ff0266f14b3
Description-en: standard plugins for Tkabber, an XMPP (Jabber) client
 This package provides the set of standard plugins for Tkabber,
 a sophisticated XMPP (Jabber) GUI client.
 These plugins provide various functional enhancements for Tkabber,
 implement several board games for two players and much more.
 .
 Each plugin can be installed and uninstalled separately so that
 you can pick from this collection just what you want.

Package: tkblt
Description-md5: f0803a3c9337cfe072e026b16451221a
Description-en: Graphics extension library for Tcl/Tk
 TkBLT is a derived version of the BLT Toolkit by George A. Howlett,
 for Tcl/Tk 8.5/8.6, is TEA compatible, and is fully compatible with
 the Tk API. TkBLT includes only the Graph and Barchart Tk widgets,
 and the Tcl Vector command.

Package: tkblt-dev
Description-md5: 8310adb519f88c6010fb5fcd357e2b5e
Description-en: Graphics extension library for Tcl/Tk (development files)
 TkBLT is a derived version of the BLT Toolkit by George A. Howlett,
 for Tcl/Tk 8.5/8.6, is TEA compatible, and is fully compatible with
 the Tk API. TkBLT includes only the Graph and Barchart Tk widgets,
 and the Tcl Vector command.
 .
 This package contains the header files and the package stub library.

Package: tkcon
Description-md5: 6efedc3b2f150ea597469bc1a7cd73ef
Description-en: Enhanced interactive console for developing in Tcl
 TkCon is a Tcl shell and console, making it ideal for
 experimenting with Tcl and Tk programs interactively.
 .
 Features:
  Command history
  Path (Unix style) / Proc / Variable name expansion
  Multiple consoles, each with its own state (via multiple interpreters)
  Captures stdout and stderr to console window (puts overridden)
  Hot errors (click on error result to see stack trace)
  Electric character matching (a la emacs)
  Electric proc highlighting
  Communication between consoles and other Tk interpreters
   (including non-Tcl ones)

Package: tkcvs
Description-md5: 1a4e92121fdf9dc30f0daf03f47f7931
Description-en: Graphical front-end to CVS and Subversion
 TkCVS is a Tk based graphical interface to the CVS and Subversion
 version control systems.  For CVS, it includes facilities for providing
 "user friendly" names to modules and directories within the repository,
 and provides a facility to interactively browse the repository looking for
 modules and directories.
 .
 Some of the features of TkCVS include:
 .
 File and directory browser, with optional display of hidden
 files, and display of the current directory's location within
 the CVS tree.
 .
 Push-button based check-in / check-out of CVS modules.  Ability
 to add and delete files from the repository also using push
 buttons.
 .
 Module tree browser, and reports showing the structure of the
 CVS modules tree.  Individual modules or entire directory trees
 may be checked out using the browser.
 .
 Updating of files from the repository when they change.
 .
 Tagging and branching of files from the file browser, and tagging
 and branching of modules from the module browser.
 .
 Exporting a CVS module or directory from the repository for
 delivery off-site.
 .
 Creation of patch files between two releases of a module, or
 between a release and the current (head) version.
 .
 Viewing of diff and status listings for currently checked out
 modules.

Package: tkdesk
Description-md5: e64d3f26a5d024d64b5cd704cb4f8907
Description-en: Tk/tcl based X11 Desktop/File manager
 TkDesk is a graphical file manager for Unix (esp. Linux) and the X
 Window System.
 .
 Compared with other file managers available, it offers the most
 complete set of file operations and services, plus gives the user the
 ability to configure most every aspect of TkDesk in a powerful way.
 .
 TkDesk has been influenced by various other systems and file managers,
 such as NeXT, for laying out the file browser windows, Apple Finder,
 for the idea of file annotations and, shock horror, Windows 95, for
 some other inspirations.

Package: tkdnd
Description-md5: bc657042fd9b8af23e6fc77acf913982
Description-en: adds native drag & drop capabilities to the Tk toolkit
 TkDND is an extension that adds native drag & drop capabilities to the Tk
 toolkit.  Under unix the drag & drop protocol in use is the XDND protocol
 version 4 (also used by the QT toolkit, KDE & GNOME Desktops).

Package: tkgate
Description-md5: 244c281051852bf0a3272dfb289cb791
Description-en: Tcl/Tk based digital circuit editor and simulator
 TkGate is a digital circuit editor and simulator with a Tcl/Tk based
 interface. TkGate includes a large number of built-in devices including basic
 gates, memories, ttys and modules for hierarchical design. The simulator can
 be controlled either interactively or through a simulation script. Memory
 contents can be loaded from files, and a microcode/macrocode compiler (gmac)
 is included to create tkgate memory files from a high-level description. The
 simulator supports continuous simulation, single step simulation (by clock or
 epoch) and breakpoints. Save files are in a Verilog-like format.
 .
 TkGate also includes a number of tutorial and example circuits which can be
 loaded through the "Help" menu. The examples range from a simple gate-level
 3-bit adder to a 16-bit CPU programmed to play the "Animals" game.
 .
 TkGate has a multi-language interface with support for English, Japanese,
 French and Spanish.

Package: tkgate-data
Description-md5: ed8248a90932892d8a5209b13c87f2ec
Description-en: Tcl/Tk based digital circuit editor and simulator - data files
 TkGate is a digital circuit editor and simulator with a Tcl/Tk based
 interface.
 .
 This package contains the architecture independent data files.

Package: tkgate-doc
Description-md5: b326d0b88070a276318c9a3974fe49c8
Description-en: Tcl/Tk based digital circuit editor and simulator - documentation
 TkGate is a digital circuit editor and simulator with a Tcl/Tk based
 interface.
 .
 This package contains the documentation.

Package: tkinfo
Description-md5: 127b4561e795fa49e9942ed339447819
Description-en: Tcl/Tk Info browser
 TKInfo is a very fast lightweight viewer for GNU info files, requiring
 no configuration. It is particularly effective for local browsing of
 large and/or interconnected sets of info files.
 .
 TkInfo can be used stand alone, or embedded within an application
 to provide integrated, on-line help.

Package: tkinspect
Description-md5: 0c0b6ea13457f92a6e9d37ea80601ea5
Description-en: Tk application inspector for developing in Tcl
 Tkinspect is a tool to permit one to inspect the contents of a
 separate running Tk application. It has views for the variables,
 arrays, procedures and other objects in the inspectee and
 communicates using the Tk send or tcllib comm commands.

Package: tklib
Description-md5: 9da550cc8d6871b739c503f4c1bd6b5a
Description-en: standard Tk Library
 Tklib, the standard Tk library, is a collection of common utility
 functions and widgets all written in pure Tcl/Tk.
 .
 Modules included:
   autoscroll: automatically maps scrollbars when they are needed;
   canvas: provides a canvas with map background based on square tiles;
   chatwidget: a composite widget for chat applications;
   controlwidget: widgets for displaying and controlling numerical values;
   crosshair: provides commands to (de)activate and track crosshairs;
              on canvas widgets;
   ctext: a text widget with syntax highlighting support;
   cursor: provides a few cursor routines;
   datefield: an entry widget for the purpose of date entry;
   Diagrams: helps drawing diagrams, like flowcharts;
   getstring: a dialog which prompts for a string input;
   history: provides a history for mechanism for entry widgets;
   ico: provides functions for reading and writing windows icons;
   ipentry: a widget for the entering of an IP address;
   khim: provides key bindings for entering international
         characters on a keyboard that does not support them;
   mentry: a multi-entry widget;
   menubar: creates and manipulates menubars;
   notifywindow: provides unobtrusive window for alerts/notifications;
   ntext: provides alternative bindings for the Text widget;
   persistentSelection: makes primary selection persistent;
   Plotchart: provides simple plotting and charting commands;
   style: provides simple theming using Tk options;
   swaplist: a dialog which allows one to move options between two lists;
   tablelist: a multicolumn listbox widget;
   tkpiechart: 2D or 3D pie chart object in a canvas;
   tooltip: provides tooltips for Tk widgets;
   wcb: widget callbacks;
   widget: a set of megawidgets based on snit system;
   widgetl: a widget for entry of ordered and unordered lists;
   widgetPlus: adds features to entry, spinbox and combobox widgets;
   widgetv: a widget validator.

Package: tkmib
Description-md5: 3e99f331a5c32c38e42f396a8c41e207
Description-en: SNMP (Simple Network Management Protocol) MIB browser
 The Simple Network Management Protocol (SNMP) provides a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The Net-SNMP MIB (Management Information Base) Browser provides a
 graphical frontend for the Net-SNMP tools. It can be used to browse
 the MIB tree and interactively send requests to SNMP agents.

Package: tkpng
Description-md5: 2e85d68c81f99762d0e027735237d4ba
Description-en: PNG photo image support to Tcl/Tk
 TkPNG is an open source binary package that adds PNG photo image support
 to Tcl/Tk. Although other extensions such as Img also add support for PNG
 images, this package was designed to be lightweight, not depending on
 libpng nor implementing other image formats, and suitable for inclusion in
 the Tk core.

Package: tkremind
Description-md5: 809d35fb53981913b4ad24c9850c3426
Description-en: Tk GUI interface to remind
 Remind allows you to remind yourself of upcoming events and
 appointments.  Each reminder or alarm can consist of a message sent
 to standard output, or a program to be executed.
 .
 It also features: sophisticated date calculation, moon phases,
 sunrise/sunset, Hebrew calendar, alarms, PostScript output, tcl/tk
 front-end and proper handling of holidays.
 .
 Tkremind provides a GUI which allows viewing a calendar and adding or editing
 reminders without learning the syntax of Remind.

Package: tksao
Description-md5: db353db93e771f28d636e44f74ac7e5b
Description-en: Tk widgets for astronomical imaging and data visualization
 SAOTk is an integrated set of Tcl/Tk canvas widgets for astronomical
 imaging and data visualization. The widget set is composed of the
 Frame, Panner, Magnifier, and Colorbar widgets. In addition to
 classical support for imaging FITS data, manipulating colormaps,
 region marking, coordinate readout (including WCS), etc, SAOTk
 widgets also support arbitrary image scaling and rotation, advanced
 postscript printing, Truecolor graphic support, and image mosaics.
 .
 The SAOTk widgets are being used in a wide variety of astronomical
 applications. These applications include general visualization and
 analysis, real-time instrumentation and calibration, and interactive
 modeling. These Tcl extensions can be utilized in any standard Tcl/Tk
 environment to build custom data analysis and visualization
 applications.

Package: tktreectrl
Description-md5: b2f3cfc6ba3ca9e7851e3c04d2545647
Description-en: flexible listbox widget for Tcl/Tk
 TkTreeCtrl is a multi-column hierarchical listbox widget for the
 Tk GUI toolkit. It can display items that have a parent-child relationship
 with other itemsin a one- or two-dimensional arrangement. Items do also have
 a set of states, which are boolean properties.
 .
 Items may be  spread about one or more columns. For each column of an item
 there is a style associated, which determines how to display the item's
 column taking into account the item's current state set. One column can be
 defined to display the data in a hierarchical structure.

Package: tl-parser
Description-md5: 12504d602862940e78093283cf22a429
Description-en: command-line tool to parse TL scheme to tlo file
 This tool parses the TL scheme and compile the scheme to tlo file. It is part
 of the telegram-cli.
 .
 TL (Type Language) serves to describe the used system of types, constructors,
 and existing functions.

Package: tla
Description-md5: b1978a310e178291e9aa549e4eefcad2
Description-en: GNU Arch revision control system
 Arch is a modern replacement for CVS, specifically designed for the
 distributed development. It supports development on branches,
 distributed repositories, changeset-oriented project management,
 and of course, file and directory renaming.

Package: tla-doc
Description-md5: 6a7f192b0ea828d003ca19271a154148
Description-en: GNU Arch revision control system (documentation)
 This package contains the documentation for the GNU arch revision
 control system.

Package: tldextract
Description-md5: 390ac2cc4b5b7b8ea730bd728684bfb2
Description-en: Python tool for separating TLDs
 tldextract accurately separates the gTLD or ccTLD (generic or country code
 top-level domain) from the registered domain and subdomains of a URL. By
 default, this package supports the public ICANN TLDs and their exceptions,
 with optional support for the Public Suffix List's private domains as well.
 .
 This package installs the tool for Python 3.

Package: tldr
Description-md5: 5b5d3240eb011fb3e7b7b3427acf238c
Description-en: Haskell tldr client
 Haskell tldr client with support for updating and viewing tldr pages.
 .
 The TLDR pages are a community effort to simplify the beloved man
 pages with practical examples.  See https://tldr.sh/

Package: tldr-py
Description-md5: 817ca659e22e911bee11091bd244fc37
Description-en: Python client for tldr: simplified and community-driven man pages
 Yet another Python client for tldr. tldr is a collection of simplified and
 community-driven man pages. Instead of the long man pages, tldr will give you
 several simple yet powerful examples.
 .
 tldr is just a simple version for the man page, it's not an alternative.
 Sometimes, you should read the man pages patiently.

Package: tlf
Description-md5: ef6a3f7614b46b68c8960ed9d8509718
Description-en: console based ham radio contest logger
 TLF is a curses based console mode general logging and contest program for
 amateur radio.
 .
 It supports the CQWW, the WPX, the ARRL-DX, the ARRL-FD, the PACC and the EU
 SPRINT shortwave contests (single operator) as well as a lot more basic
 contests, general QSO and DXpedition mode.
 .
 It interfaces with cwdaemon or winkeyer daemon for morse code generation and
 with your sound card for CW/VOICE keying, connects to a number of radios via
 the Hamlib library and has a built-in client for DX cluster connection.

Package: tlp
Description-md5: 02f9352ed7fc3e8404b8193a1da39bf4
Description-en: Save battery power on laptops
 TLP is an advanced power management tool for Linux. It comes with a
 default configuration already optimized for battery life. At the same
 time it is highly customizable to fulfil specific user requirements.
 .
 TLP supplies separate settings profiles for AC and battery power and can
 enable or disable Bluetooth, Wi-Fi and WWAN radio devices upon system startup.
 .
 For ThinkPads it provides a unified way to configure charging thresholds and
 recalibrate the battery for all models which support it (via tp-smapi or
 acpi-call).
 .
 TLP is a pure command line tool with automated background tasks, it
 does not contain a GUI.

Package: tlp-rdw
Description-md5: ce82da1600176820c2ce2d794ae00b8b
Description-en: Radio device wizard
 Radio device wizard is an add-on to TLP. It provides event based
 switching of:
  - Bluetooth, Wi-Fi, WWAN radio devices
  - upon network connect/disconnect
  - and dock/undock.

Package: tlsh-tools
Description-md5: fd961a1d0ee125655162004151bfc300
Description-en: compare similar files using fuzzy hashing
 The Trend Micro Locality Sensitive Hash is a fuzzy hash algorithm that can be
 used to compare similar but not identical files.
 .
 Identifying near duplicates and similar files is known to be useful to
 identify malware samples with similar binary file structure, variants of spam
 email, or backups with corrupted files.
 .
 This package contains the tlsh_unittest utility, a command-line tool to
 generate TLSH hash values and compare TLSH hash values to determine
 similar files.

Package: tm-align
Description-md5: 667f2046704f2eef863a73792384abed
Description-en: structural alignment of proteins
 TM-align is a computer algorithm for protein structure alignment using
 dynamic programming. The scoring is performed by the TM-score rotation
 matrix. This is similar to the RMSD in that unaligned portions of the
 structure influence the scoring less than the more structurally conserved
 regions.

Package: tmate
Description-md5: 5c4f235e8782333d3ef97368921f25da
Description-en: terminal multiplexer with instant terminal sharing
 tmate provides an instant pairing solution, allowing you to share a terminal
 with one or several teammates. Together with a voice call, it's almost like
 pairing in person. The terminal sharing works by using SSH connections to
 backend servers maintained by tmate upstream developers; teammates need to be
 given a randomly-generated token to be able to join a session.
 .
 tmate is a modified version of tmux, and uses the same configurations such as
 keybindings, color schemes etc.

Package: tmexpand
Description-md5: e565b778b2dd01d3ebf51f35cb706e79
Description-en: text-macro processing script to create HTML and SGML documents
 tmexpand is a text-macro processing script written in S-Lang to
 facilitate the creation of text, HTML and SGML documents.

Package: tmfs
Description-md5: ab774c75adc729c67bc45896bb80b1e2
Description-en: Apple Time Machine FUSE mount
 Time Machine File System is a read-only virtual filesystem
 which helps you to read your Apple Time Machine Backup by
 reconstructing the hard-linked directories from the HFS+
 metadata directory.
 .
 You can browse the tmfs mounted Time Machine normally and
 easily recover data from any backup point contained within.

Package: tmperamental
Description-md5: fb36e079190fd4042635925dda30e560
Description-en: LD_PRELOADable library to detect rogue writes to /tmp/
 This friendly library will print a backtrace and abort fire when an
 application tries to write to /tmp.  This is useful for checking that
 code respects setting TMPDIR (and friends) in the env.

Package: tmpl
Description-md5: 88510668850b5b61b9ea370984d8ed12
Description-en: Command line interface to Go's text/template library - CLI utility
 This program is a command line interface to Go's text/template
 library. It can be used by passing in a set of JSON-encoded data and a
 list of template paths ending in a .tmpl extension. The templates are
 processed and their results are saved to the filename with the .tmpl
 extension removed.
 .
 This package contains the command line interface utility.

Package: tmpreaper
Description-md5: a0e82c31f2d9aee95c0f41d6cc0557b2
Description-en: cleans up files in directories based on their age
 This package provides a program that can be used to clean out temporary-file
 directories.  It recursively searches the directory, refusing to chdir()
 across symlinks, and removes files that haven't been accessed in a
 user-specified amount of time.  You can specify a set of files to protect
 from deletion with a shell pattern.  It will not remove files owned by the
 process EUID that have the `w' bit clear, unless you ask it to, much like
 `rm -f'.  `tmpreaper' will not remove symlinks, sockets, fifos, or special
 files unless given a command line option enabling it to.
 .
 WARNING:  Please do not run `tmpreaper' on `/'.  There are no protections
 against this written into the program, as that would prevent it from
 functioning the way you'd expect it to in a `chroot(8)' environment.
 .
 The daily tmpreaper run can be configured through /etc/tmpreaper.conf .

Package: tmux-plugin-manager
Description-md5: 8bd916039a54d70fa726959898d718f8
Description-en: tmux plugin manager based on git
 tmux-plugin-manager is a plugin manager for tmux. It uses git to install /
 upgrade plugins.

Package: tmux-themepack-jimeh
Description-md5: c5f281df9db14226333652c619a96265
Description-en: pack of various themes for tmux by jimeh
 basic theme and powerline themes for tmux.
 .
 The fonts-powerline package is required on your local machine
 in order to render the powerline glyphs properly.
 .
 To enable a theme, you can add a line like the following to your .tmux.conf:
 .
 source-file "/usr/share/tmux/theme-pack-jimeh/powerline/double/cyan.tmuxtheme"
 .
 You can substitute 'cyan' with blue, gray, green, magenta, orange, red or
 yellow.
 .
 You can also substitute 'double' with 'block' to have straight lines on the
 window list.

Package: tmuxinator
Description-md5: e8ab7b84410f01bd28ed260db21e7308
Description-en: Create and manage tmux sessions easily
 Tmuxinator is management tool of tmux sessions.

Package: tmuxp
Description-md5: 683e0b05cdb2f688789fe7363c6532f4
Description-en: tmux session manager
 tmux session manager allowing both JSON and YAML configuration
 formats. Available features:
  - allows both simple and very elaborate configs
  - can store and load multiple sessions
  - can custom startup scripts (such as installing project dependencies
    before loading tmux)
  - session freezing: snapshot your current tmux layout, pane paths,
    and window/session names, and dump the result as a tmuxp
    configuration
 .
 This is a dependency package

Package: tnat64
Description-md5: 26f4ff90b9f9c97edb8ca3df9d7837a5
Description-en: IPv4 to NAT64 redirector
 tnat64 provides transparent network access to IPv4 hosts via NAT64
 on IPv6-only hosts. tnat64 intercepts the calls applications make
 to establish TCP connections and transparently proxies them as necessary.
 This allows existing applications with no IPv6 support to still be
 able to reach the network with no need in modifications.

Package: tnef
Description-md5: b00b9af822a5a170742b5f2da1b92ccd
Description-en: Tool to unpack MIME application/ms-tnef attachments
 TNEF is a program for unpacking MIME attachments of type
 "application/ms-tnef". This is typically a Microsoft only attachment.
 .
 The TNEF program allows one to unpack the attachments which were
 encapsulated into the TNEF attachment, thus alleviating the need to use
 Microsoft Outlook to view the attachment.

Package: tnftp
Description-md5: 1fd59b4fc4c14b40448f44fa1a25a8fd
Description-en: enhanced ftp client
 tnftp is what many users affectionately call the enhanced ftp
 client in NetBSD (http://www.netbsd.org).
 .
 This package is a `port' of the NetBSD ftp client to other systems.
 .
 The enhancements over the standard ftp client in 4.4BSD include:
    * command-line editing within ftp
    * command-line fetching of URLS, including support for:
        - http proxies (c.f: $http_proxy, $ftp_proxy)
        - authentication
    * context sensitive command and filename completion
    * dynamic progress bar
    * IPv6 support (from the WIDE project)
    * modification time preservation
    * paging of local and remote files, and of directory listings
      (c.f: `lpage', `page', `pdir')
    * passive mode support, with fallback to active mode
    * `set option' override of ftp environment variables
    * TIS Firewall Toolkit gate ftp proxy support (c.f: `gate')
    * transfer-rate throttling (c.f: `-T', `rate')

Package: tnseq-transit
Description-md5: ed6ccc42fdb3924f7848894e28b09ade
Description-en: statistical calculations of essentiality of genes or genomic regions
 This is a software that can be used to analyze Tn-Seq datasets. It
 includes various statistical calculations of essentiality of genes or
 genomic regions (including conditional essentiality between 2
 conditions). These methods were developed and tested as a collaboration
 between the Sassetti lab (UMass) and the Ioerger lab (Texas A&M)
 .
 TRANSIT is capable of analyzing TnSeq libraries constructed with Himar1
 or Tn5 datasets.
 .
 TRANSIT assumes you have already done pre-processing of raw sequencing
 files (.fastq) and extracted read counts into a .wig formatted file.
 The .wig file should contain the counts at all sites where an insertion
 could take place (including sites with no reads). For Himar1 datasets
 this is all TA sites in the genome. For Tn5 datasets this would be all
 nucleotides in the genome.

Package: tntdb-mysql4v5
Description-md5: 0a85a5aecb2df674c6c1d7bc157930f2
Description-en: MySQL backend for tntdb database access library
 This library provides a thin, database independent layer over an SQL
 database.  It lacks complex features like schema queries or wrapper
 classes like active result sets or data bound controls.  Instead you
 get to access the database directly with SQL queries.  The library is
 suited for application programming, not for writing generic database
 handling tools.
 .
 This file has the necessary files for MySQL support.

Package: tntdb-postgresql4v5
Description-md5: fe5828a63ea8c8a995560b12254b5da1
Description-en: PostgreSQL backend for tntdb database access library
 This library provides a thin, database independent layer over an SQL
 database.  It lacks complex features like schema queries or wrapper
 classes like active result sets or data bound controls.  Instead you
 get to access the database directly with SQL queries.  The library is
 suited for application programming, not for writing generic database
 handling tools.
 .
 This file has the necessary files for PostgreSQL support.

Package: tntdb-sqlite4v5
Description-md5: 145d21887e747ee1b7ea33250b206400
Description-en: SQLite backend for tntdb database access library
 This library provides a thin, database independent layer over an SQL
 database.  It lacks complex features like schema queries or wrapper
 classes like active result sets or data bound controls.  Instead you
 get to access the database directly with SQL queries.  The library is
 suited for application programming, not for writing generic database
 handling tools.
 .
 This file has the necessary files for SQLite support.

Package: tntnet
Description-md5: a5b9e7f5ba86f52c512533172ddaa4eb
Description-en: modular, multithreaded web application server for C++
 Tntnet has a template-language called ecpp similar to PHP, JSP or
 Mason, where you can embed c++ code inside a HTML page to generate
 active content. The ecpp files are precompiled to C++ classes called
 components and compiled and linked into a shared library. This process
 is done at compiletime. The web server Tntnet needs only the compiled
 component library.
 .
 Because the web applications are compiled into native code, they are
 very fast and compact.
 .
 Components can call other components. So you can create building blocks
 of HTML parts and call them in other pages like subprocesses.
 .
 Requests are parsed by tntnet and the request information is easily
 accessible to the components. It supports GET and POST parameters and
 MIME multipart requests for file upload.
 .
 The template language has also support for internationalized
 applications. You can easily create web applications for different
 languages.
 .
 Other features are: cookies, HTTP upload, automatic request parameter
 parsing and conversion, automatic session management, scoped variables
 (application, request and session), internationalisation and keep-alive.
 .
 Logging is done through cxxtools, which provides a unique API for
 log4cpp, log4cxx or simple logging to files or console.
 .
 Tntnet is fully multithreaded and much work has been gone into making
 it scalable. It uses a dynamic pool of worker threads, which answer
 requests from HTTP clients.

Package: tntnet-demos
Description-md5: 031c1ec906a7742876a0a0bcba45958a
Description-en: demo web applications for Tntnet
 Tntnet has a template-language called ecpp similar to PHP, JSP or
 Mason, where you can embed c++ code inside a HTML page to generate
 active content. The ecpp files are precompiled to C++ classes called
 components and compiled and linked into a shared library. This process
 is done at compiletime. The web server Tntnet needs only the compiled
 component library.
 .
 Because the web applications are compiled into native code, they are
 very fast and compact.
 .
 Components can call other components. So you can create building blocks
 of HTML parts and call them in other pages like subprocesses.
 .
 Requests are parsed by tntnet and the request information is easily
 accessible to the components. It supports GET and POST parameters and
 MIME multipart requests for file upload.
 .
 The template language has also support for internationalized
 applications. You can easily create web applications for different
 languages.
 .
 Other features are: cookies, HTTP upload, automatic request parameter
 parsing and conversion, automatic session management, scoped variables
 (application, request and session), internationalisation and keep-alive.
 .
 Logging is done through cxxtools, which provides a unique API for
 log4cpp, log4cxx or simple logging to files or console.
 .
 Tntnet is fully multithreaded and much work has been gone into making
 it scalable. It uses a dynamic pool of worker threads, which answer
 requests from HTTP clients.

Package: tntnet-doc
Description-md5: 156a18b4d9e22981bb63a4bd3e140272
Description-en: documentation for Tntnet
 Tntnet has a template-language called ecpp similar to PHP, JSP or
 Mason, where you can embed c++ code inside a HTML page to generate
 active content. The ecpp files are precompiled to C++ classes called
 components and compiled and linked into a shared library. This process
 is done at compiletime. The web server Tntnet needs only the compiled
 component library.
 .
 Because the web applications are compiled into native code, they are
 very fast and compact.
 .
 Components can call other components. So you can create building blocks
 of HTML parts and call them in other pages like subprocesses.
 .
 Requests are parsed by tntnet and the request information is easily
 accessible to the components. It supports GET and POST parameters and
 MIME multipart requests for file upload.
 .
 The template language has also support for internationalized
 applications. You can easily create web applications for different
 languages.
 .
 Other features are: cookies, HTTP upload, automatic request parameter
 parsing and conversion, automatic session management, scoped variables
 (application, request and session), internationalisation and keep-alive.
 .
 Logging is done through cxxtools, which provides a unique API for
 log4cpp, log4cxx or simple logging to files or console.
 .
 Tntnet is fully multithreaded and much work has been gone into making
 it scalable. It uses a dynamic pool of worker threads, which answer
 requests from HTTP clients.

Package: tntnet-runtime
Description-md5: 377136bd6cd79e63bf8a016b8d3f8b56
Description-en: Tntnet runtime system
 This package has the runtime system for tntnet web server.

Package: todoman
Description-md5: 05801c076a90d8158638cf547156774e
Description-en: Simple CalDAV-based todo manager
 Todoman is a simple, standards-based, cli todo (aka: task) manager. Todos are
 stored into icalendar files, which means you can sync them via CalDAV using,
 for example, vdirsyncer.

Package: todotxt-cli
Description-md5: 49ef45c3261e8dbea5914e92d16cb771
Description-en: simple and extensible shell script for managing todo.txt file
 If you have a file called todo.txt on your computer right now, you're in the
 right place.
 .
 So many power users try dozens of complicated todo list software
 applications, only to go right back to their trusty todo.txt file.
 .
 But it's not easy to open todo.txt, make a change, and save it—especially
 on your touchscreen device and at the command line. Todo.txt apps solve that
 problem. Simplicity is todo.txt's core value.
 .
 You're not going to find many checkboxes, drop-downs, reminders, or date
 pickers here.
 .
 Todo.txt apps are minimal, todo.txt-focused editors which help you manage
 your tasks with as few keystrokes and taps possible.

Package: tofrodos
Description-md5: 9004c348055c82d4e761c246e594b2d3
Description-en: Converts DOS <-> Unix text files, alias tofromdos
 DOS text files traditionally have CR/LF (carriage return/line feed) pairs
 as their new line delimiters while Unix text files traditionally have
 LFs (line feeds) to terminate each line.
 .
 Tofrodos comprises one program, "fromdos" alias "todos", which converts
 text files to and from these formats. Use "fromdos" to convert DOS
 text files to the Unix format, and "todos" to convert Unix text files
 to the DOS format.

Package: toga2
Description-md5: 24b6165acf728f0b29d909e400996176
Description-en: computer chess engine, calculates chess moves
 Toga II is a computer game chess engine created by Thomas Gaksch. It has big
 chess knowledge, multi-processor support, and a good search algorithm. It is
 based on Fruit and is free. Experimental versions of Toga II running on
 computer clusters have competed in the World Computer Chess Championship.

Package: togl-demos
Description-md5: fb2f8cd31ac1cc86568e204335007848
Description-en: Tk OpenGL widget - demo files
 Togl is a Tk widget for OpenGL rendering. Togl was originally
 based on OGLTK, written by Benjamin Bederson at the University
 of New Mexico. Togl's main features
 are:
 .
  * unifies Microsoft Windows, X11 (Linux/IRIX/...), and Mac OS X
    Aqua support
  * support for requesting stencil, accumulation, alpha buffers, etc.
  * multiple OpenGL drawing windows
  * simple stereo rendering support
  * simple, portable font support
  * color-index mode support including color allocation functions
  * overlay plane support
  * OpenGL extension testing from Tcl
  * Tcl Extension Architecture (TEA) 3 compliant
 .
 This package contains demos.

Package: toil
Description-md5: be04c7b7e5f339860cab15c0630008b8
Description-en: cross-platform workflow engine
 Toil is a scalable, efficient, cross-platform and easy-to-use workflow
 engine in pure Python.  It works with several well established load
 balancers like Slurm or the Sun Grid Engine.  Toil is also compatible with
 the Common Workflow Language (CWL) via the "toil-cwl-runner" interface, which
 this package make available via the Debian alternativess system under the
 alias "cwl-runner".

Package: toilet
Description-md5: 7411bab8917bf43d06eeaa619414e484
Description-en: display large colourful characters in text mode
 TOIlet prints text using large characters made of smaller characters.
 It is similar in many ways to FIGlet with additional features such as
 Unicode handling, colour fonts, filters and various export formats.
 .
 TOIlet can open FIGlet fonts and is mostly commandline-compatible with it.

Package: toilet-fonts
Description-md5: f6c192b9dc0416b9136f93db254a505a
Description-en: collection of TOIlet fonts
 TOIlet prints text using large characters made of smaller characters.
 It is similar in many ways to FIGlet with additional features such as
 Unicode handling, colour fonts, filters and various export formats.
 .
 This package contains a set of TOIlet-specific fonts.

Package: tokyocabinet-bin
Description-md5: 5277f13dbb27e7fa4cdb2a0108a5da93
Description-en: Tokyo Cabinet Database Utilities
 Tokyo Cabinet is an efficient database library like GDBM and NDBM.
 It features hash database and B+ tree database and is developed as the
 successor of QDBM, for the purpose of the following three points: higher
 processing speed, smaller size of a database file, and simpler API.
 .
 This is the Tokyo Cabinet Database utilities package.

Package: tokyotyrant
Description-md5: 202520c38c356b2a8376b1f7f620eed9
Description-en: Tokyo Tyrant: network interface to Tokyo Cabinet
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the Tokyo Tyrant server package.

Package: tokyotyrant-dbg
Description-md5: 7bcce2e2d98dd79219fa6b24083e8fad
Description-en: Tokyo Tyrant debugging symbols
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the debugging symbols for Tokyo Tyrant.

Package: tokyotyrant-doc
Description-md5: 12c4de6691521204260d8ed12a747cc4
Description-en: Tokyo Tyrant documentation
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the documentation for Tokyo Tyrant.

Package: tokyotyrant-utils
Description-md5: 58687d5f1b215d8e3c04ac3b08d223f1
Description-en: Tokyo Tyrant utilities
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the Tokyo Tyrant utility package. It contains tcrmgr and ttulmgr among
 others.

Package: tomatoes
Description-md5: 122e5d2c237120ec7adc47112ed2462e
Description-en: I Have No Tomatoes - tomato smashing game
 I Have No Tomatoes is an extreme leisure time activity idea of which
 culminates in the following question: How many tomatoes can you smash
 in ten short minutes? If you have the time to spare, this game has
 the vegetables just waiting to be eliminated!

Package: tomatoes-data
Description-md5: db64c214757ca9b7c94145ca706070d5
Description-en: I Have No Tomatoes - tomato smashing game - music files
 I Have No Tomatoes is an extreme leisure time activity idea of which
 culminates in the following question: How many tomatoes can you smash
 in ten short minutes? If you have the time to spare, this game has
 the vegetables just waiting to be eliminated!
 .
 This package contains the architecture independent music files.

Package: tomb
Description-md5: 9bd3b09373006a6371c1cc303641ecc1
Description-en: crypto undertaker
 Tomb is a free and easy to operate desktop application for fairly strong
 encryption of personal files. A tomb is like a locked folder that can be
 transported and hidden in filesystems; its keys are password protected and can
 be kept separate, for instance keeping the tomb file in your computer's
 harddisk and the key file on a USB stick.
 .
 Tomb relies on dm-crypt (and cryptsetup) as an encryption backend using the
 aes-xts-plain64 cypher.

Package: tomcat9
Description-md5: 7a68f37ed13aac35d7828572802e9468
Description-en: Apache Tomcat 9 - Servlet and JSP engine
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Oracle, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains only the startup scripts for the system-wide daemon.
 No documentation or web applications are included here, please install
 the tomcat9-docs and tomcat9-examples packages if you want them.
 Install tomcat9-user instead of this package if you don't want Tomcat to
 start as a service.

Package: tomcat9-admin
Description-md5: 51d7cb586eb104dc3ce0caacfee7da37
Description-en: Apache Tomcat 9 - Servlet and JSP engine -- admin web applications
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Oracle, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the administrative web interfaces.

Package: tomcat9-common
Description-md5: ec570fcfeca10a70af97c9cb254cedfe
Description-en: Apache Tomcat 9 - Servlet and JSP engine -- common files
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Oracle, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains common files needed by the tomcat9 and tomcat9-user
 packages (Tomcat 9 scripts and libraries).

Package: tomcat9-docs
Description-md5: 468777329726b07d1bb3fdd25be97d1e
Description-en: Apache Tomcat 9 - Servlet and JSP engine -- documentation
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Oracle, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the online documentation web application.

Package: tomcat9-examples
Description-md5: ed519ef875342eaa8d83107c5f828668
Description-en: Apache Tomcat 9 - Servlet and JSP engine -- example web applications
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Oracle, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the default Tomcat example webapps.

Package: tomcat9-user
Description-md5: 7a67fd6cf68162cbe19dbf2d4a845fb0
Description-en: Apache Tomcat 9 - Servlet and JSP engine -- tools to create user instances
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Oracle, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains files needed to create a user Tomcat instance.
 This user Tomcat instance can be started and stopped using the scripts
 provided in the Tomcat instance directory.

Package: tomoyo-tools
Description-md5: 71f15b078b6fcce393f30c177dc487ce
Description-en: lightweight Linux Mandatory Access Control system
 TOMOYO Linux is a lightweight and easy-to-use path-based Mandatory
 Access Control (MAC) implementation with:
  * automatic policy configuration via "learning" mode;
  * an administrator-friendly policy language;
  * no need for SELinux, or userland program modifications.
 .
 This package provides the audit daemon and administrative utilities for
 use on a Linux kernel with TOMOYO support (standard in Debian kernels).

Package: toot
Description-md5: edc11258b2ab7e5d5267554d03e75a8c
Description-en: mastodon cli client
 This client allows you to access mastodon servers
 from the command line.
 .
 You can authenticate, toot, follow, unfollow, mute, block,
 unblock, search and more.
 .
 It also contains an experimental curses client.

Package: tootle
Description-md5: 161101b54ed4d336b884995846f23b10
Description-en: Mastodon client
 Lightweight desktop client for Mastodon

Package: topal
Description-md5: 1f2cff64b86ea9f275679c3c34b89217
Description-en: Links Pine and GnuPG together
 Topal is yet another program that links GnuPG and Pine/Alpine.  It
 offers facilities to encrypt, decrypt, sign, and verify messages.
 Multiple PGP blocks included in the text of a message are processed.
 Decryption and verification output can be cached to reduce the
 number of times the passphrase is entered.  RFC2015/3156 multipart
 messages can be sent and received with help from some scripts,
 procmail, and a patch to Pine/Alpine.  It includes basic support for
 verifying S/MIME multipart/signed messages.  There is a remote
 sending mode for reading email on a distant computer via SSH with
 secret keys on the local computer.  There is a high level of
 configurability.

Package: topcat
Description-md5: 75d7c2036df0f0a16ce9d280637247eb
Description-en: Tool for OPerations on Catalogues And Tables
 TOPCAT is an interactive graphical viewer and editor for tabular
 data. Its aim is to provide most of the facilities that astronomers
 need for analysis and manipulation of source catalogues and other
 tables, though it can be used for non-astronomical data as well. It
 understands a number of different astronomically important formats
 (including FITS and VOTable) and more formats can be added.
 .
 This package contains the executable.

Package: topcat-doc
Description-md5: 84babc391aeedbaf4a94d5f35f7037e9
Description-en: Tool for OPerations on Catalogues And Tables (documentation)
 TOPCAT is an interactive graphical viewer and editor for tabular
 data. Its aim is to provide most of the facilities that astronomers
 need for analysis and manipulation of source catalogues and other
 tables, though it can be used for non-astronomical data as well. It
 understands a number of different astronomically important formats
 (including FITS and VOTable) and more formats can be added.
 .
 This package contains the user documentation.

Package: topgit
Description-md5: af291594a768fe709018fb8ab10e5417
Description-en: a Git patch queue manager
 TopGit manages a patch queue using Git topic branches, one patch per
 branch. It allows for patch dependencies and can thus manage
 non-linear patch series.
 .
 TopGit is a minimal layer on top of Git, which does not limit use of
 Git's functionality (such as the index). It rigorously keeps history
 until a patch is accepted upstream. It is also fully usable across
 distributed repositories.

Package: tophat-recondition
Description-md5: b53fb81f926f4ff8c507481a84854191
Description-en: post-processor for TopHat unmapped reads
 tophat-recondition is a post-processor for TopHat unmapped reads
 (contained in unmapped.bam), making them compatible with downstream
 tools (e.g., the Picard suite, samtools, GATK) (TopHat issue #17). It
 also works around bugs in TopHat:
 .
  * the "mate is unmapped" SAM flag is not set on any reads in the
    unmapped.bam file (TopHat issue #3)
  * the mapped mate of an unmapped read can be absent from
    accepted_hits.bam, creating a mismatch between the file and the unmapped
    read's flags (TopHat issue #16)

Package: topic-tools
Description-md5: 9d8940e909f6614d34ff6faf063cf9ee
Description-en: Tools for messing with Robot OS topics
 This package is part of Robot OS (ROS). It contains tools for directing,
 throttling, selecting, and otherwise messing with ROS topics at a
 meta level. None of the programs in this package actually know about
 the topics whose streams they are altering; instead, these tools deal
 with messages as generic binary blobs. This means they can be applied
 to any ROS topic.

Package: topline
Description-md5: ec7765ef469b4bc3e09cfce23dede81a
Description-en: per-core/NUMA CPU and disk utilization plain-text grapher
 This is a top-of-the-line logger of CPU usage patterns, designed for
 machines with ca. 50-300 total hardware threads (fewer works but results
 in a narrow graph, more requires a very wide terminal).  Every per-tick
 sample is shown abusing Unicode characters to fit within a single line.
 .
 Disk usage is also shown in a similarly terse per-device way, as %
 utilization for reads and writes.

Package: topp
Description-md5: c9ac7e6e045acb8df194c80cfb8f01b4
Description-en: set of programs implementing The OpenMS Proteomic Pipeline
 TOPP (the OpenMS proteomic pipeline) is a pipeline for the analysis
 of HPLC/MS data. It consists of a set of numerous small applications
 that can be chained together to create analysis pipelines tailored
 for a specific problem. The applications make use of the libopenms
 library. Some examples of these applications are :
 .
   - TOPPView: A viewer for mass spectrometry data.
   - TOPPAS: An assistant for GUI-driven TOPP workflow design.
   - DTAExtractor: Extracts spectra of an MS run file to several
     files in DTA format.
   - FileConverter:  Converts between different MS file formats.
   - FileFilter: Extracts or manipulates portions of data from peak,
     feature or consensus feature files.
   - SpectraMerger: Merges spectra from an LC/MS map, either by
     precursor or by RT blocks.
   - BaselineFilter: Removes the baseline from profile spectra using a
     top-hat filter.
   - InternalCalibration: Applies an internal calibration.
   - PTModel: Trains a model for the prediction of proteotypic
     peptides from a training set.
   - RTPredict: Predicts retention times for peptides using a model
     trained by RTModel.
   - ExecutePipeline: Executes workflows created by TOPPAS.

Package: toppler
Description-md5: 68e4da2ac5bf794a49018461dba14a43
Description-en: clone of the "Nebulus" game on old 8 and 16 bit machines
 Toppler is an almost complete reimplementation of the old game known as
 Tower Toppler or Nebulus.
 .
 The target of the game is to reach the target door of each of the  8 towers
 in currently 2 missions with this little green animal. This door is usually
 at the very top of the tower.
 .
 But finding the way by using elevators and walking through a maze of doors
 and platforms is not the only problem you have to solve. There are a bunch
 of other creatures living on the tower that will hinder you to reach your
 target by pushing you over the edge of the platforms.

Package: toppred
Description-md5: 3b4bd629fd7f7ff93c878461d8aa9585
Description-en: transmembrane topology prediction
 Toppred is a program to determine the topology of a transmembrane
 protein based on G. von Heijne algorithm.
 .
 Each sequence from seq data in fasta format is processed, and toppred
 generate the Hydrophobycity profile of the sequence, and the
 corresponding hydrophobycities values in the file sequence-ID.hydro.
 .
 Furthermore, the predicted topologies are represented as png images.
 Each topology is stored in file sequence-ID-number.png
 .
 The hydrophobicity profile is computed using a window formed by a core
 rectangular window of size n, flanked by 2 triangular windows of size q.
 NB rectangular and triangular mean that the ponderation values inside
 those windows are respectively constant and variable.
 .
 This program is a new implementation of the original toppred program,
 based on G. von Heijne algorithm

Package: tor
Description-md5: 9106e8e4b3843ebd532ba2b89615a92e
Description-en: anonymizing overlay network for TCP
 Tor is a connection-based low-latency anonymous communication system.
 .
 Clients choose a source-routed path through a set of relays, and
 negotiate a "virtual circuit" through the network, in which each relay
 knows its predecessor and successor, but no others. Traffic flowing
 down the circuit is decrypted at each relay, which reveals the
 downstream relay.
 .
 Basically, Tor provides a distributed network of relays. Users bounce
 their TCP streams (web traffic, ftp, ssh, etc) around the relays, and
 recipients, observers, and even the relays themselves have difficulty
 learning which users connected to which destinations.
 .
 This package enables only a Tor client by default, but it can also be
 configured as a relay and/or a hidden service easily.
 .
 Client applications can use the Tor network by connecting to the local
 socks proxy interface provided by your Tor instance. If the application
 itself does not come with socks support, you can use a socks client
 such as torsocks.
 .
 Note that Tor does no protocol cleaning on application traffic. There
 is a danger that application protocols and associated programs can be
 induced to reveal information about the user. Tor depends on Torbutton
 and similar protocol cleaners to solve this problem. For best
 protection when web surfing, the Tor Project recommends that you use
 the Tor Browser Bundle, a standalone tarball that includes static
 builds of Tor, Torbutton, and a modified Firefox that is patched to fix
 a variety of privacy bugs.

Package: tor-arm
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: tor-geoipdb
Description-md5: d0b50c9cd91157b8c85856fd55e26d14
Description-en: GeoIP database for Tor
 This package provides a GeoIP database for Tor, i.e. it maps IPv4 addresses
 to countries.
 .
 Bridge relays (special Tor relays that aren't listed in the main Tor
 directory) use this information to report which countries they see
 connections from.  These statistics enable the Tor network operators to
 learn when certain countries start blocking access to bridges.
 .
 Clients can also use this to learn what country each relay is in, so
 Tor controllers like arm or Vidalia can use it, or if they want to
 configure path selection preferences.

Package: torbrowser-launcher
Description-md5: b0854a8ad502dfc5674b1beee27762fe
Description-en: helps download and run the Tor Browser Bundle
 Tor Browser Launcher is intended to make the Tor Browser Bundle (TBB) easier
 to maintain and use for GNU/Linux users. torbrowser-launcher handles
 downloading the most recent version of TBB for you, in your language and for
 your architecture. It also adds a "Tor Browser" application launcher to your
 operating system's menu.
 .
 When you first launch Tor Browser Launcher, it will download TBB from
 https://www.torproject.org/ and extract it to ~/.local/share/torbrowser,
 and then execute it.
 Cache and configuration files will be stored in ~/.cache/torbrowser and
 ~/.config/torbrowser.
 Each subsequent execution after installation will simply launch the most
 recent TBB, which is updated using Tor Browser's own update feature.

Package: torcs
Description-md5: 7f9a8c191559c43f31fd295ac1129ff1
Description-en: 3D racing cars simulator game using OpenGL
 TORCS, The Open Racing Car Simulator, is a car racing simulation game which
 allows you to drive in races against opponents simulated by the computer.
 .
 You can also develop your own computer-controlled driver (also called a robot)
 in C or C++.

Package: torcs-data
Description-md5: 254bc28e0140a85be8eab65fe1ae6cb9
Description-en: data files for TORCS
 TORCS, The Open Racing Car Simulator, is a car racing simulation game which
 allows you to drive in races against opponents simulated by the computer.
 .
 This package includes all data files for the game including cars and tracks.

Package: torrus-common
Description-md5: 45b428cecbbe43e98408ebb3c5bd1f5b
Description-en: Universal front-end for Round-Robin Databases (common files)
 Core part of the Torrus suite, providing support files needed by the
 other Torrus packages. It can be installed directly and used with any
 FastCGI-compatible webserver package.
 .
 Torrus is designed to be a universal front-end framework for
 Round-Robin Databases using Tobias Oetiker's RRDtool. It may be configured
 to collect and monitor arbitrary data series from various data
 sources which can in turn be displayed on a web page.
 .
 One of the traditional applications of this functionality is the collection
 and visualization of network information using the Simple Network Management
 Protocol (SNMP) from SNMP-enabled devices.
 .
 You will need to install libcrypt-des-perl and libdigest-hmac-perl for SNMPv3
 support. SNMP over IPv6-transport is also supported after installing
 libio-socket-inet6-perl.
 .
 Torrus has been formerly known as rrfw, round-robin database framework.

Package: torsocks
Description-md5: fe70449237bba4d944bbf7a42994057e
Description-en: use SOCKS-friendly applications with Tor
 Torsocks allows you to redirect network traffic of individual SOCKS-friendly
 applications through the Tor network. It also ensures DNS queries are handled
 correctly and explicitly blocks all UDP traffic from the application in
 question.
 It is possible that a given application can leak user/system data at a level
 that neither Tor nor torsocks can control, a 100% guarantee of being safe to
 operate with Tor can not be given for applications.

Package: torus-trooper
Description-md5: 2784459cbb06f8f6aeb4311519ba9b9c
Description-en: speeding ship sailing through barrage
 Torus Trooper is a fast-paced abstract scrolling shooter game. It
 features 3D graphics and a style similar to that of games such as
 Tempest.
 .
 Torus Trooper is another gem among many by Kenta Cho.

Package: torus-trooper-data
Description-md5: 75eef10238db9a844a09c6aeb3b85484
Description-en: speeding ship sailing through barrage - game data
 Torus Trooper is a fast-paced abstract scrolling shooter game. It
 features 3D graphics and a style similar to that of games such as
 Tempest.
 .
 This package includes the architecture-independent data for Kenta Cho's game
 Torus Trooper.

Package: totalopenstation
Description-md5: a50e4a8cb24c9e7ce2015c2435d823c6
Description-en: download and process data from total station devices
 Total Open Station (TOPS for friends) is a free software program
 for downloading and processing data from total station devices.
 .
 This is a task which is usually done by proprietary, dedicated and
 Windows™-only software, but TOPS is different by nature, because:
 .
  - it is free software released under the GNU GPLv3 license;
  - it works on any operating system, including mobile platforms like OpenMoko;
  - it is designed to support as many devices and formats as possible,
    all within the same program, opposed to having one program per device.
 .
 Every model has its own quirks, but TOPS uses a modular structure and keeps
 the downloading of data logically separated from its processing, thus enabling
 exporting data to a variety of output formats, even at a later moment.
 Archiving of raw data is made easy by using plain text files.

Package: touchegg
Description-md5: e553ea60dcc9ddb332381c0a01c40e4d
Description-en: Multitouch gesture recognizer
 Touchégg is a cross-platform multitouch gesture recognizer
 that allows associating actions to each gesture.

Package: touchegg-dbg
Description-md5: 8e0757de6246fbd7743eb345e8d745d2
Description-en: debugging symbols for Touchégg
 This package contains debugging files used to investigate problems with
 Touchégg. Install this package if you are experiencing crashes of the
 Touchégg application and wish to report a problem to the developers.

Package: toulbar2
Description-md5: 61bf656067841e1fba4d701b14fc30de
Description-en: Exact combinatorial optimization for Graphical Models
 Toulbar2 is  an exact discrete optimization tool for Graphical Models
 such as Cost Function Networks, Markov Random Fields, Weighted Constraint
 Satisfaction Problems and Bayesian Nets.

Package: toulbar2-doc
Description-md5: e226252a7a1c179a242186a0058cd350
Description-en: Exact combinatorial optimization for Graphical Models - documentation
 Toulbar2 is  an exact discrete optimization tool for Graphical Models
 such as Cost Function Networks, Markov Random Fields, Weighted Constraint
 Satisfaction Problems and Bayesian Nets.
 .
 This package contains the API reference and user documentation, descriptions
 of the various file formats that toulbar2 can read as well as examples.

Package: tourney-manager
Description-md5: 48ad3989968f4c8f9f75780f73b514fc
Description-en: perl interface to run chess engine tournaments
 Let your chess engines play tournaments against each other.
 This program manages setup and automatic running engine vs.
 engine matches, it has an interactive commandline and can
 start and stop the tournament, show current state and a
 crosstable. Every game will be displayed in a xboard session
 and can be observed. All games are stored in portable chess
 game notation, pgn.

Package: tox
Description-md5: 2394e1addc0c1b241fb7f524a7ef85be
Description-en: virtualenv-based automation of test activities
 Tox as is a generic virtualenv management and test command line tool
 you can use for:
 .
  * checking your package installs correctly with different Python
    versions and interpreters
  * running your tests in each of the environments, configuring your
    test tool of choice
  * acting as a frontend to Continuous Integration servers, greatly
    reducing boilerplate and merging CI and shell-based testing.

Package: toxcore-utils
Description-md5: ab5d503b387f2b0020ea2d4194cabb27
Description-en: Distributed, secure messenger - DHT bootstrap tools
 Tox provides an encrypted, distributed, and extensible peer-to-peer platform
 that can be used for various forms of communication. Tox is intended to be used
 as a multimedia messenger, but many people have shown that Tox can be used for
 a lot more than just instant messaging.
 .
 This package contains DHT bootstrap tools, including DHT_bootstrap and
 tox-bootstrapd.

Package: toxic
Description-md5: 32dcbd19374afd8aa4d6fd3f1a4fd3c9
Description-en: curses Tox based instant messenging client
 This is a Tox-based instant messenging client which formerly resided in
 the Tox core repository, and is now available as a standalone
 application.
 .
 Tox is a secure and distributed Skype replacement.
 .
 At its heart it’s a client dealing with our core library communicating
 on our own protocol. All communications are encrypted using the peer
 audited NaCl cypto library and this encryption can not be turned off.
 .
 Tox is powered by a distributed network which uses P2P connections for
 chats between people, unlike other Skype replacements no federated
 servers, centralized servers, or supernodes are used.

Package: tp-smapi-dkms
Description-md5: 133901af24ac2f7f85a04a1d2ebd202c
Description-en: ThinkPad hardware/firmware access modules source - dkms version
 The tp_smapi kernel module exposes some features of the ThinkPad
 hardware/firmware via a sysfs interface. Currently, the main implemented
 functionality is control of battery charging and extended battery status.
 The underlying hardware interfaces are SMAPI and direct access to the
 embedded controller.
 .
 This package also brings the source for an improved version of HDAPS
 which should work on newer ThinkPads too (the stock kernel version does
 not).
 .
 This package contains the source to be built with dkms.

Package: tpb
Description-md5: 389545ab073d88ef16d465fc418565e5
Description-en: program to use the IBM ThinkPad(tm) special keys
 This program enables the IBM Thinkpad(tm) special keys. It is possible to bind
 a program to the ThinkPad button. It has a on-screen display (OSD) to show
 volume, mute and brightness of the LCD.

Package: tpm-quote-tools
Description-md5: 405cdfa3f1acaf963e6e4d631a27eb14
Description-en: TPM-based attestation using the TPM quote operation (tools
 TPM Quote Tools is a collection of programs that provide support
 for TPM based attestation using the TPM quote operation.

Package: tpm-tools
Description-md5: 98dcf580dbc0dad6b239480283acbdc6
Description-en: Management tools for the TPM hardware (tools)
 tpm-tools is a group of tools to manage and utilize the Trusted Computing
 Group's TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 This package contains tools to allow the platform administrator the ability
 to manage and diagnose the platform's TPM.

Package: tpm-tools-pkcs11
Description-md5: a3d1240748963aa3fe5e3aa88c92b525
Description-en: Management tools for the TPM hardware (PKCS#11 tools)
 tpm-tools is a group of tools to manage and utilize the Trusted Computing
 Group's TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 This package contains commands to utilize some of the capabilities available
 in the TPM PKCS#11 interface implemented in the openCryptoki project.

Package: tpm2-abrmd
Description-md5: 146bea5c07f40adfd28b52b77579bea3
Description-en: TPM2 Access Broker & Resource Management Daemon
 This is a system daemon implementing the TPM2 access broker (TAB) &
 Resource Manager (RM) spec from the TCG. The daemon (tpm2-abrmd) is
 implemented using Glib and the GObject system.

Package: tpm2-initramfs-tool
Description-md5: 5e0b52c53bfc4b7196279b3ae4a2e16c
Description-en: Tool used in initramfs to seal/unseal FDE key to the TPM
 This package provides the TPM tool used by the initramfs.
 Its purpose is to generate/seal/unseal the FDE encrypytion key into
 the TPM persistent object using TPM2 ESAPI.

Package: tpm2-tools
Description-md5: 7dab290b7414623bbe70b4f8bc047903
Description-en: TPM 2.0 utilities
 This package contains a set of tools to use with TPM 2.0 chips,
 for common tasks and features provided by the hardware; such as
 for doing basic key management, attestation, encryption and signing.

Package: tpp
Description-md5: fdec83af4650d7fa1957876c63d60361
Description-en: text presentation program
 Tpp stands for text presentation program and is an ncurses-based presentation
 tool. The presentation can be written with your favorite editor in a simple
 description format and then shown on any text terminal that is supported by
 ncurses - ranging from an old VT100 to the Linux framebuffer to an xterm.
 .
 It supports color, LaTeX output of presentation, sliding in text,
 a command prompt and additional cool features.
 .
 The package also installs syntax highlighting modes/add-ons for emacs
 and vim. To enable the vim add-on, the package vim-addon-manager
 needs to be installed, too.

Package: trabucco
Description-md5: 1cd8a27f5fa4e96f425cbdf6cf65ea10
Description-en: This is a launcher for people that are nostalgic about katapult
 Trabucco means trebuchet in Italian, which is a siege weapon, like a catapult.
 When KDE4 was released, katapult was replaced by krunner.
 .
 Trabucco aims at providing an experience similar to katapult, using modern
 freedesktop.org standards.
 .
 It shows only one action per search query, and it is very deterministic about
 it.

Package: trace-cmd
Description-md5: 8fcc173a1e8a14c086b27ed1798fb104
Description-en: Utility for retrieving and analyzing function tracing in the kernel
 This package contains the trace-cmd utility. Trace-cmd makes it easy to
 retrieve and analyze function traces from the Linux kernel while it is running.

Package: trace-summary
Description-md5: b60911c694c7efbf3dbbda46cd198476
Description-en: tool for generating break-downs of network traffic
 trace-summary is a Python script that generates break-downs of network
 traffic, including lists of the top hosts, protocols, ports,
 etc. Optionally, it can generate output separately for incoming
 vs. outgoing traffic, per subnet, and per time-interval.

Package: trace2dbest
Description-md5: 6be234b56cdf72ac57faadc3cfc6ec4c
Description-en: bulk submission of chromatogram data to dbEST
 ESTs are short sequences derived from reverse-transcribed RNA.
 Their abundances yield insights in the expression of genes across
 tissues, support the discovery of new genes and allow one to assess
 the coverage of whole genome sequencing projects. Public databases
 like dbEST at the NCBI collect this data.
 .
 trace2dbEST process raw sequenceing chromatograph trace files from
 EST projects into quality-checked sequences, ready for submission to
 dbEST. trace2dbEST guides you through the creation of all the necessary
 files for submission of ESTs to dbEST. trace2dbest makes use of other
 software (available free under academic licence) that you will need to
 have installed, namely phred, cross_match and (optionally) BLAST.

Package: trace2dbest-doc
Description-md5: b0c1d4be8f99fbc963824aeb4d9ff5a5
Description-en: Documentation and sample files for trace2dbest
 Sequence files and user guide to trace2dbEST.

Package: traceroute
Description-md5: 8a3a47eccb961a38576ee994d96f3d2c
Description-en: Traces the route taken by packets over an IPv4/IPv6 network
 The traceroute utility displays the route used by IP packets on their way to a
 specified network (or Internet) host. Traceroute displays the IP number and
 host name (if possible) of the machines along the route taken by the packets.
 Traceroute is used as a network debugging tool. If you're having network
 connectivity problems, traceroute will show you where the trouble is coming
 from along the route.
 .
 Install traceroute if you need a tool for diagnosing network connectivity
 problems.

Package: tracetuner
Description-md5: d56c7829d7a5945daa1a24f69d80ca62
Description-en: interpretation of DNA Sanger sequencing data
 Tracetuner is a tool for base and quality calling of trace files from
 DNA sequencing instruments.
 Traditional DNA sequencing yields curves from four different
 channels or light frequencies that human or (preferably) machines
 are interpreting to determine the actual base (A,C,G or T) and
 the confidence with which this is determined.
 .
 TraceTuner is a DNA sequencing quality value, base calling and trace
 processing software application originally developed by Paracel,
 Inc. While providing a flexible interface and capability to adopt
 the "pure" base calls produced by Phred, KB or any other "original"
 caller, it offers competitive features not currently available in other
 tools, such as customized calibration of quality values, advanced
 heterozygote and mixed base calling and deconvolving the "mixed"
 electropherograms resulting from the presence of indels into a couple of
 "pure" electropherograms.
 .
 Later versions
 Previous versions of TraceTuner were used by
 Celera Genomics to process over 27 million reads from both Drosophila
 and human genome projects.
 In 2000, Applied Biosystems
 bundled TraceTuner with ABI3700 Genome Analyzers and shipped it to the
 customers of these capillary electrophoresis sequencers.
 its SNP detection and genotyping software product SeqScape.
 .
 TraceTuner implements an advanced peak processing technology for resolving
 overlapping peaks of the same dye color into individual, or "intrinsic"
 peaks. TraceTuner, for its support of mixed base calling, have been used by
 the research community, the private biotech sector, and the U.S. government
 as components of different variant detection, genotyping and forensic
 software applications (e.g. Applied Biosystems SeqScape, Paracel Genome
 Assembler, MTexpert, etc.).
 .
 This technology was protected by US Patent #6,681,186. Currently,
 TraceTuner is an open source software, which has been used by J. Craig
 Venter Institute's DNA Sequencing and Resequencing pipelines.
 .
 This package prepares an important piece of human history to be used
 with new data on new machines or to revisit older observations..

Package: trackballs
Description-md5: d244063fa4561afa26e2ea49fc1c05e0
Description-en: OpenGL-based game of steering a marble through a labyrinth
 Trackballs is a simple game similar to the classical game Marble Madness
 on the Amiga in the 80's. By steering a marble ball through a labyrinth
 filled with vicious hammers, pools of acid and other obstacles the
 player collects points. When the ball reaches the destination it continues
 at the next, more difficult level - unless the time runs out.
 .
 This game is not intended to be a replica of Marble Madness but rather
 inspired by it. The game is also highly configurable by using a
 scripting extension (Guile) and it provides a simple editor by which new
 levels can easily be created.

Package: trackballs-data
Description-md5: b41837670477821d1b83349b13f28819
Description-en: Data files for trackballs
 This package contains the necessary architecture-independent data files
 needed for running trackballs.

Package: trafficserver
Description-md5: d11751ca44b6404bdacfc274d1d917ad
Description-en: fast, scalable and extensible HTTP/1.1 and HTTP/2.0 caching proxy server
 This package provides the Apache Traffic Server, a fast, scalable reverse
 proxy server which may operate as forward proxy as well. Apache Traffic Server
 supports:
 .
   * Caching: improve response time while reducing server load and bandwidth
     needs by caching and reusing frequently-requested web pages, images, and
     web service calls.
   * Proxying: add keep-alive, filter or anonymize content requests, or add
     load balancing by adding a proxy layer.
   * Scaling: handle 10s of thousands of requests per second on modern SMP
     hardware.
   * Extensions: use the API to do anything from modifying HTTP headers to
     handling ESI requests to writing your own cache algorithm.

Package: trafficserver-dev
Description-md5: ba6175843f47a24abe35f21baa9459de
Description-en: Apache Traffic Server Software Developers Kit (SDK)
 This package provides the Apache Traffic Server Software Developers Kit, which
 consists of: a collection of development header and bindings for the C
 programming language, the tsxs linking helper and examples to write your own
 plug-ins for the Apache Traffic Server.

Package: trafficserver-experimental-plugins
Description-md5: 9de8b7069e336aa768afef4ffbb126c7
Description-en: experimental plugins for Apache Traffic Server
 This package provides the Apache Traffic Server plugins marked as
 experimental.
 .
 Please note that these plugins can be removed without priori notice, or
 promoted as stable plugin. In the last case, they'll be moved from
 trafficserver-experimental-plugins to trafficserver package.

Package: traildb-cli
Description-md5: 31dbe9d5ceb23d249ce337d90357b5f6
Description-en: library to handle series of discrete events (cli tool)
 TrailDB is a highly optimized database library, written in C, which allows you
 to store and query trillions of discrete events quickly. In contrast to many
 other time-series databases, TrailDB focuses on discrete data, like events in
 a log file, which it can compress efficiently using a custom compression
 algorithm.
 .
 This package contains cli tool for traildb.

Package: tralics
Description-md5: 9e540367535f7c674b8d0f6d782f34dc
Description-en: LaTeX to XML translator
 A configurable tool that processes LaTeX source, generating parseable
 XML. The XML is based on semantics of the text and can be used to
 process the document and convert into other format.
 .
 From: http://www-sop.inria.fr/apics/tralics/

Package: tran
Description-md5: 71e51d02df361f3f09ba473a79f49e36
Description-en: transcribe between character scripts (alphabets)
 This tool lets you transliterate, with a ¼-hearted attempt at transcription,
 both ways between Latin and a number of other writing scripts.  Thus for
 example the word “Debian” is “Дэбян” in Cyrillic or “Δεβιαν” in Greek.
 Conversion to Latin lets you understand foreign text (at least names if not
 meaning), conversion from Latin is for fun, i10n testing, etc.
 .
 Supported scripts:
  * latin
  * cyrillic
  * greek
  * devanagari
  * futhark (runes)
  * hiragana
  * katakana
  * old italic
  * gothic (Ulfilas' — you may be looking for fraktur instead)
  * georgian (mkhedruli)
  * mtavruli (also Georgian)
  * armenian
  * ascii (Latin without diacritics or digraphs)
  * fullwidth (double-width ASCII)
  * smallcaps
  * Unicode Plane 1 "math" characters: bold, italic, bold italic, script,
    bold script, fraktur, double-struck, bold fraktur, sans-serif,
    sans-serif bold, sans-serif italic, sans-serif bold italic,
    monospace
  * enclosed alphanumerics: circled, parenthesized, squared, negative
    circled, negative squared, regional indicators

Package: trang
Description-md5: 83d13108cb07805a5c3f77009b4cc4ca
Description-en: XML schema converter
 Trang converts between different schema languages for XML. It supports the
 following languages:
  - RELAX NG (XML syntax)
  - RELAX NG compact syntax
  - XML 1.0 DTDs
  - W3C XML Schema

Package: trans-de-en
Description-md5: a7dc6cab53158b84a6309f787f76bb00
Description-en: German-English translation dictionary
 A German-English dictionary with ca. 345,000 entries.
 .
 This dictionary was designed for the "ding" dictionary lookup
 program, but may be used by other clients, too.
 .
 The source of the database is available from
 https://dict.tu-chemnitz.de/

Package: transcalc
Description-md5: b420f6880ef55cbe9ac556dc3d11cd2e
Description-en: microwave and RF transmission line calculator
 Transcalc is an analysis and synthesis tool for calculating the
 electrical and physical properties of different kinds of RF and
 microwave transmission lines.
 .
 For each type of transmission line, using dialog boxes, you can
 enter values for the various parameters, and either calculate
 its electrical properties (analyze), or use the given electrical
 requirements to synthesize physical parameters of the required
 transmission line.
 .
 Available transmission lines (this list will expand with subsequent
 releases): Microstrip, Rectangular Waveguide.

Package: transcend
Description-md5: f9f932fb86684427bd353e1de1670a30
Description-en: retro-style, abstract 2D shooter
 Transcend can best be described as retro-style, abstract 2-D shooter. The
 graphics are geometrical, and the pace is sometimes frenzied.
 .
 Two features set Transcend apart from other games. First, its dynamic
 graphical engine, which can smoothly morph from one complex shape to
 another, produces striking displays. Combining these dynamic shapes with
 subtle randomizations makes each play through a Transcend level visually
 different from the last. The second novel feature is Transcend's musical
 power-up system. As you play through a level, you are simultaneously
 assembling an abstract visual collage and arranging a unique piece of
 music. Transcend merges video games with pure art---it can be viewed either
 as a game or as a multimedia sculpture.

Package: transcriber
Description-md5: 197da0c094251df4fb2b291a01af13c9
Description-en: transcribe speech data using an integrated editor
 Transcriber enables easy transcription of recorded speech.
 It is indispensable for every task that involves examination and
 transcription of audio files, like transcription of recorded interviews, song
 lyrics, radio shows and so on.  It is also useful if you are active
 in the field of speech research.
 .
 The snack library (included in contrib in transcriber-1.2) is now a
 separate package, tcl-snack.  This package still includes html_library-0.3.

Package: transdecoder
Description-md5: 7e0297054e6db1517322f3983f80b94c
Description-en: find coding regions within RNA transcript sequences
 TransDecoder identifies candidate coding regions within transcript sequences,
 such as those generated by de novo RNA-Seq transcript assembly using Trinity,
 or constructed based on RNA-Seq alignments to the genome using Tophat and
 Cufflinks.
 .
 TransDecoder identifies likely coding sequences based on the following
 criteria:
  * a minimum length open reading frame (ORF) is found in a transcript sequence
  * a log-likelihood score similar to what is computed by the GeneID software
    is > 0.
  * the above coding score is greatest when the ORF is scored in the 1st
    reading frame as compared to scores in the other 5 reading frames.
  * if a candidate ORF is found fully encapsulated by the coordinates of
    another candidate ORF, the longer one is reported. However, a single
    transcript can report multiple ORFs (allowing for operons, chimeras, etc).
  * optional the putative peptide has a match to a Pfam domain above the noise
    cutoff score.

Package: transdecoder-doc
Description-md5: 168523ac6b085515328a1fe5c662dc8b
Description-en: find coding regions within transcripts
 TransDecoder identifies candidate coding regions within transcript sequences,
 such as those generated by de novo RNA-Seq transcript assembly using Trinity,
 or constructed based on RNA-Seq alignments to the genome using Tophat and
 Cufflinks.
 .
 TransDecoder identifies likely coding sequences based on the following
 criteria:
  * a minimum length open reading frame (ORF) is found in a transcript sequence
  * a log-likelihood score similar to what is computed by the GeneID software
    is > 0.
  * the above coding score is greatest when the ORF is scored in the 1st
    reading frame as compared to scores in the other 5 reading frames.
  * if a candidate ORF is found fully encapsulated by the coordinates of
    another candidate ORF, the longer one is reported. However, a single
    transcript can report multiple ORFs (allowing for operons, chimeras, etc).
  * optional the putative peptide has a match to a Pfam domain above the noise
    cutoff score.
 .
 This package contains the documentation and sample data.

Package: transfermii
Description-md5: b7e41e7296fe865708fe2aad95ffc4de
Description-en: transfer your mii from and to your wiimotes
 transfermii is a program based on cwiid framework for using
 the wiimote under Linux.
 .
 It allows you to transfer your miis (the characters used
 in the wii) from your wiimote and vice-versa.
 .
 This package provides the command-line program.

Package: transfermii-gui
Description-md5: b2f58fd416c67f3a63d891fb701f91ae
Description-en: transfer your mii from and to your wiimotes -- GUI
 transfermii is a program based on cwiid framework for using
 the wiimote under Linux.
 .
 It allows you to transfer your miis (the characters used
 in the wii) from your wiimote and vice-versa.
 .
 This package provides the GTK+ GUI program.

Package: transgui
Description-md5: deee1be85b4966c00f388319f7d7e12f
Description-en: Front-end to remotely control Transmission
 This package contains Transmission Remote GUI, which is a feature rich,
 cross platform, front-end to remotely control Transmission daemon via its
 RPC protocol. It is faster and has more functionality than build-in
 Transmission web interface.

Package: transifex-client
Description-md5: 8ebaab4b9dd9b8be475437daf1fd8b9c
Description-en: Command line interface for Transifex
 Transifex Command-line Client is a command line tool that enables you to
 easily manage your translations within a project without the need of an
 elaborate UI system.
 .
 You can use the command line client to easily create new resources, map locale
 files to translations and synchronize your Transifex project with your local
 repository and vice versa. Translators and localization managers can also use
 it to handle large volumes of translation files easily and without much hassle.

Package: transip
Description-md5: 7f53ddcb0e886379bdd9fe883e8b9906
Description-en: CLI tool for DNS provider TransIP
 This package provides a command line interface implemented
 in Python for DNS provider TransIP. It allows users
 to create, modify and delete DNS records from the
 command line.
 .
 This package installs the CLI tool.

Package: translate
Description-md5: ab7f4897c2d26dad06e13b9cd8b9585a
Description-en: translates words from English into German or viceversa
 It looks up a word in a file with language-to-language translations
 (field separator should be \" :: \") and maintains local dictionaries.
 So it should be easy to add more languages, if you have such a dictionary.

Package: translate-toolkit
Description-md5: 503414654d4d4e61fd259dffc061fc37
Description-en: Toolkit assisting in the localization of software
 The Translate Toolkit is a Python library and a set of software designed
 to help make the lives of localizers both more productive and less
 frustrating.
 .
 The software includes programs to convert localization formats to the
 common PO format and programs to check and manage PO files and
 utilities to create word counts, merge translations and perform
 various checks on PO files.
 .
 Supported localization storage formats are: DTD, properties,
 OpenOffice.org GSI/SDF, CSV, MO, Qt .ts and of course PO and XLIFF.
 .
 This package includes documentation for the command line utilities.

Package: translate-toolkit-doc
Description-md5: dd9c2986089dbeb00a1cc6c8390aaf25
Description-en: Toolkit assisting in the localization of software (documentation)
 The Translate Toolkit is a Python library and a set of software designed
 to help make the lives of localizers both more productive and less
 frustrating.
 .
 The software includes programs to convert localization formats to the
 common PO format and programs to check and manage PO files and
 utilities to create word counts, merge translations and perform
 various checks on PO files.
 .
 Supported localization storage formats are: DTD, properties,
 OpenOffice.org GSI/SDF, CSV, MO, Qt .ts and of course PO and XLIFF.
 .
 This package includes the Translate Toolkit API documentation.

Package: transmission
Description-md5: ad4c3a4546931273694cb4f642f5341d
Description-en: lightweight BitTorrent client
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This is just a metapackage depending on one of the front-end
 alternatives

Package: transmission-cli
Description-md5: 2bb621d0d95ecd3582f524eb28dbf71e
Description-en: lightweight BitTorrent client (command line programs)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains a (deprecated) stand-alone command-line client,
 transmission-remote to interface with transmission-daemon and tools to
 create, edit and inspect torrent files.

Package: transmission-daemon
Description-md5: c5796eb91f75285b9af0fb5f16136e5d
Description-en: lightweight BitTorrent client (daemon)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains the transmission-daemon. For the associated
 transmission-remote, see the package transmission-cli.

Package: transmission-qt
Description-md5: 55875b4734dfba52b8c3483949c1215e
Description-en: lightweight BitTorrent client (Qt interface)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains the Qt stand-alone client.

Package: transmission-remote-gtk
Description-md5: 0e8fba77f78e7422e753adf1e3d3c10a
Description-en: GTK+ interface for the Transmission BitTorrent daemon
 transmission-remote-gtk is a GTK+ interface for controlling the
 Transmission BitTorrent daemon.  It is a full featured client,
 providing the ability to upload, queue, and delete torrent files,
 monitor downloads, and adjust speed limits.

Package: transrate-tools
Description-md5: 70e0851691c84ac791692ac3b0977d9f
Description-en: helper for transrate
 Transrate is a library and command-line tool for quality assessment of de-novo
 transcriptome assemblies.
 .
 This package provides command line tools used by transrate to process BAM
 files.

Package: transtermhp
Description-md5: 4d3dd07ad358379d475020813db45cd9
Description-en: find rho-independent transcription terminators in bacterial genomes
 TransTermHP finds rho-independent transcription terminators in
 bacterial genomes. Each terminator found by the program is assigned a
 confidence value that estimates its probability of being a true
 terminator. TransTermHP is the successor of TransTerm which was using
 very different search and scoring algorithms.

Package: trash-cli
Description-md5: 1f885fc35096fe4e2f18369f9b37b925
Description-en: command line trashcan utility
 This package provides a command line interface trashcan utility
 compliant with the FreeDesktop.org Trash Specification. It remembers
 the name, original path, deletion date, and permissions of each trashed
 file

Package: traverso
Description-md5: c246c8f973f32a0de9eabc3f3fbc6322
Description-en: Multitrack audio recorder and editor
 This is a free, cross platform multitrack audio recording and editing
 suite, with an innovative and easy to master user interface. It is suited
 for both the professional and home user, who needs a robust and solid DAW.

Package: travis
Description-md5: 922519d7d4b473553d883cee9df07ecb
Description-en: trajectory analyzer and visualizer
 TRAVIS (Trajectory Analyzer and Visualizer) is a free tool for analyzing
 and visualizing trajectories from all kinds of Molecular Dynamics or
 Monte Carlo simulations. The aim of TRAVIS is to collect as many analyses
 as possible in one program, creating a powerful tool and making it
 unnecessary to use many different programs for evaluating simulations.
 This should greatly rationalize and simplify the workflow of analyzing
 trajectories. The following analysis functions are available:
 .
 Static (time independent) Functions:
  * Radial, Angular, Dihedreal or Combined Distribution Function
  * Point-Plane or Point-Line Distance Distribution
  * Plane Projection Distribution
  * Fixed Plane Density Profile
  * Density, Spatial or Dipole Distribution Function
 .
 Dynamic (time dependent) Functions:
  * Velocity Distribution Function
  * Mean Square Displacement / Diffusion Coefficients
  * Velocity Autocorrelation Functions
  * Vector Reorientation Dynamics
  * Van Hove Correlation Function
  * Aggregation Functions (DACF, DLDF, DDisp)
 .
 Spectroscopic Functions:
  * Calculate Power Spectrum
  * Calculate IR Spectrum
  * Calculate Raman Spectrum
 .
 TRAVIS can read trajectory files in XYZ, PDB, LAMMPS or DLPOLY format.

Package: trayer
Description-md5: c589b291e49eae9db24da2786a98484e
Description-en: Lightweight GTK2-based systray for UNIX desktop
 trayer is a small program designed to provide systray functionality
 present in GNOME/KDE desktop environments for window managers which
 do not support that function. System tray is a place, where various
 applications put their icons, so they are always visible presenting
 status of applications and allowing user to control programs.
 .
 The code started out as an extraction from fbpanel.

Package: tre-agrep
Description-md5: 47cd6791257773c8e12fc1782424107d
Description-en: approximate grep utility based on the tre library
 This is an Approximate GREP utility based on the TRE regexp matching library

Package: tree
Description-md5: 9b53b68087a50d4cd859ac0117aecc08
Description-en: displays an indented directory tree, in color
 Tree is a recursive directory listing command that produces a depth indented
 listing of files, which is colorized ala dircolors if the LS_COLORS environment
 variable is set and output is to tty.

Package: tree-ppuzzle
Description-md5: f51dfdee73ef2bf17a344aa9cbb9a6bd
Description-en: Parallelized reconstruction of phylogenetic trees by maximum likelihood
 TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that
 implements a fast tree search algorithm, quartet puzzling, that allows
 analysis of large data sets and automatically assigns estimations of support
 to each internal branch. TREE-PUZZLE also computes pairwise maximum
 likelihood distances as well as branch lengths for user specified trees.
 Branch lengths can also be calculated under the clock-assumption. In
 addition, TREE-PUZZLE offers a novel method, likelihood mapping, to
 investigate the support of a hypothesized internal branch without
 computing an overall tree and to visualize the phylogenetic content of
 a sequence alignment.
 .
 This is the parallelized version of tree-puzzle.

Package: tree-puzzle
Description-md5: e7ba01e41e23764714cca5c6fc23e745
Description-en: Reconstruction of phylogenetic trees by maximum likelihood
 TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that
 implements a fast tree search algorithm, quartet puzzling, that allows
 analysis of large data sets and automatically assigns estimations of support
 to each internal branch. TREE-PUZZLE also computes pairwise maximum
 likelihood distances as well as branch lengths for user specified trees.
 Branch lengths can also be calculated under the clock-assumption. In
 addition, TREE-PUZZLE offers a novel method, likelihood mapping, to
 investigate the support of a hypothesized internal branch without
 computing an overall tree and to visualize the phylogenetic content of
 a sequence alignment.

Package: tree-puzzle-doc
Description-md5: f5a4f1811eb754a7263b927052e5daab
Description-en: Reconstruction of phylogenetic trees by maximum likelihood (doc)
 TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that
 implements a fast tree search algorithm, quartet puzzling, that allows
 analysis of large data sets and automatically assigns estimations of support
 to each internal branch. TREE-PUZZLE also computes pairwise maximum
 likelihood distances as well as branch lengths for user specified trees.
 Branch lengths can also be calculated under the clock-assumption. In
 addition, TREE-PUZZLE offers a novel method, likelihood mapping, to
 investigate the support of a hypothesized internal branch without
 computing an overall tree and to visualize the phylogenetic content of
 a sequence alignment.
 .
 This is the documentation package for tree-puzzle

Package: treeline
Description-md5: 280b1369014ae0a29fda2f15d9d0ff7d
Description-en: versatile tree-like structured custom data manager
 TreeLine is a versatile tool for working with all kind of information
 that fits into a tree-like structure.
 .
 It can be used to edit bookmark files, create mini-databases (e.g., for
 addresses, tasks, records, CDs, etc.), outline documents, or just
 collect ideas. It can also be used as a generic editor for XML files.
 .
 The data schemas for any node in the data tree can be customized and
 new types of nodes can be defined. The way data is presented on the
 screen, exported to HTML, or printed can be defined with HTML-like
 templates. Plug-ins can be written to load and save data from and to
 custom file formats or external data sources and extend the
 functionality of TreeLine.

Package: treesheets
Description-md5: 1b66c78ce8ca9bdac0aa3872a48e5e4f
Description-en: Data organizer that covers spreadsheets, mind mappers, and small databases
 Suitable for any kind of data organization, such as Todo lists, calendars,
 project management, brainstorming, organizing ideas, planning, requirements
 gathering, presentation of information, etc.
 .
 It shares many characteristics with more familiar tools, and augments their
 abilities - like a spreadsheet, but supporting hierarchical data; like a mind
 mapper, but more organized and compact; like an outliner, but in more than one
 dimension; and like a text editor, but with structure.

Package: treetop
Description-md5: 4a80e5e5220c53c2cc1d878263a4565a
Description-en: Ruby-based text parsing and interpretation (command-line utility)
 Treetop is a language for describing languages. It provides a Ruby
 implementation of a custom language based on parsing expression
 grammars (PEGs).
 .
 This package provides the top-level utility script tt.

Package: treeviewx
Description-md5: fb6691de71db05c7b776b75305449fbf
Description-en: Displays and prints phylogenetic trees
 TreeView X is an open source and multi-platform program to display
 phylogenetic trees. It can read and display NEXUS and Newick format tree files
 (such as those output by PAUP*, ClustalX, TREE-PUZZLE, and other programs). It
 allows one to order the branches of the trees, and to export the trees in SVG
 format.

Package: treil
Description-md5: aca44262e2a48e6a456ef9a3899343db
Description-en: tree structure into tiles
 Treil is a utility which produces an image of tiles from tree structure.
 For example, Linux directory tree can be shown as an image of tiles,
 by:
     $ treil -o linux.png /usr/src/linux

Package: trend
Description-md5: 525300546db97b6a1d3da6f08982f942
Description-en: general-purpose, efficient trend graph
 trend is a general-purpose, efficient trend graph for "live" data. Data
 is read in ASCII form from a file or continuously from a FIFO and
 displayed in real-time into a multi-pass trend (much like a CRT
 oscilloscope). trend can be used as a rapid analysis tool for
 progressive or time-based data series together with trivial scripting.

Package: trezor
Description-md5: 8b80f21be4032b27f1fc2c9e59efffd5
Description-en: utilities for communicating with TREZOR Bitcoin HW wallet
 No matter how unprotected your computer or internet connection might be,
 your coins always stay safe with TREZOR as it never exposes your private keys.
 TREZOR is an isolated environment for offline transaction signing and using
 a small display you can visually verify the transaction contents. That's why
 all operations using TREZOR are entirely safe.
 .
 This package contains the trezorctl binary for interacting with a TREZOR
 wallet, and the udev rules needed to make the device accessible.

Package: trickle
Description-md5: 84b7ecc8ce284790e36a3acf902e8b29
Description-en: user-space bandwidth shaper
 Trickle is a voluntary, cooperative bandwidth shaper. it works
 entirely in userland and is very easy to use.
 .
 The most simple application is to limit the bandwidth usage of programs.

Package: triehash
Description-md5: 63f60be20f1d3886c406c82dc533532f
Description-en: Generates perfect hash functions as native machine code
 TrieHash generates perfect hash functions as C code which then gets
 compiled into optimal machine code as part of the usual program compilation.
 .
 TrieHash works by translating a list of strings to a trie, and then converting
 the trie to a set of recursive switch statements; first switching by length,
 and then switching by bytes.
 .
 TrieHash has various optimizations such as processing multiple bytes at once
 (on GNU C), and shortcuts for reducing the complexity of case-insensitive
 matching (ASCII only). Generated code performs substantially faster than
 gperf, but is larger.
 .
 TrieHash was written for use in APT.

Package: trigger-rally
Description-md5: 263fe903349a054a2495eba6007aef32
Description-en: 3D rally car racing game
 Trigger is a free 3D rally car racing game. Fun for all the family!
 .
 Trigger comes with a number of challenges where you have to race several
 tracks to finish each challenge.
 .
 When racing a track, you have to reach several locations marked by pulsating
 rings in sequence. To win a race you have to reach the last location in time.
 .
 Trigger is highly customisable, and it's easy to add new levels and vehicles.
 .
 An OpenGL accelerated video card is required to play Trigger.

Package: trigger-rally-data
Description-md5: 206f06401793f67c8777ebf18bcb21ae
Description-en: 3D rally car racing game - data files
 Trigger is a free 3D rally car racing game. Fun for all the family!
 .
 Trigger comes with a number of challenges where you have to race several
 tracks to finish each challenge.
 .
 When racing a track, you have to reach several locations marked by pulsating
 rings in sequence. To win a race you have to reach the last location in time.
 .
 Trigger is highly customisable, and it's easy to add new levels and vehicles.
 .
 An OpenGL accelerated video card is required to play Trigger.
 .
 This package contains the data-files.

Package: triggerhappy
Description-md5: a1ea7e9bf4516f1bb7a285b43aa7021f
Description-en: global hotkey daemon for Linux
 Triggerhappy watches connected input devices for certain key presses
 or other input events and runs administrator-configured
 commands when they occur. Unlike other hotkey daemons, it runs as a
 persistent, systemwide service and therefore can be used even
 outside the context of a user or X11 session.
 .
 It can handle a wide variety of devices (keyboards, joysticks,
 wiimote, etc.), as long as they are presented by the kernel as
 generic input devices. No kernel patch is required. The daemon is
 a userspace program that polls the /dev/input/event? interfaces
 for incoming key, button and switch events. A single daemon can
 monitor multiple input devices and can dynamically add additional
 ones. Hotkey handlers can be assigned to dedicated (tagged) devices
 or globally.
 .
 For example, this package might be useful on a headless system to
 use input events generated by a remote control to control an
 mpd server, but can also be used to allow the adjustment of audio
 and network status on a notebook without relying on user specific
 configuration.
 .
 Key combinations are supported as well as the hotplugging of devices
 using a udev hotplug script; the running daemon can also be influenced
 by a client program, e.g. to temporarily pause the processing of
 events or switch to a different set of hotkey bindings.

Package: trilinos-all-dev
Description-md5: 0283f81dd4eccfc62ec33075ee62607f
Description-en: object-oriented framework for large-scale problems - development files (ALL)
 The Trilinos Project is an effort to develop algorithms and enabling
 technologies within an object-oriented software framework for the
 solution of large-scale, complex multi-physics engineering and
 scientific problems. A unique design feature of Trilinos is its
 focus on packages.
 .
 This package depends on all Trilinos development packages.

Package: trilinos-dev
Description-md5: 1014135df0e0494b17d84c18d56d3bdb
Description-en: object-oriented framework for large-scale problems - development files
 The Trilinos Project is an effort to develop algorithms and enabling
 technologies within an object-oriented software framework for the
 solution of large-scale, complex multi-physics engineering and
 scientific problems. A unique design feature of Trilinos is its
 focus on packages.
 .
 This package contains the development header and some makefile templates.

Package: trilinos-doc
Description-md5: c82b0e9d648ffadfe6253c904200a1e1
Description-en: object-oriented framework for large-scale problems - documentation
 The Trilinos Project is an effort to develop algorithms and enabling
 technologies within an object-oriented software framework for the
 solution of large-scale, complex multi-physics engineering and
 scientific problems. A unique design feature of Trilinos is its
 focus on packages.
 .
 This is documentation for the Trilinos libraries in HTML format.
 Some pages point to header files provided in the corresponding -dev package,
 so it is suggested to install the latter as well.

Package: trim-galore
Description-md5: 014a20fd57c45610fc72576da733e6ff
Description-en: automate quality and adapter trimming for DNA sequencing
 Trim Galore! is a wrapper script to automate quality and adapter trimming
 as well as quality control, with some added functionality to remove
 biased methylation positions for RRBS sequence files (for directional,
 non-directional (or paired-end) sequencing). It's main features are:
  * For adapter trimming, Trim Galore! uses the first 13 bp of Illumina
    standard adapters ('AGATCGGAAGAGC') by default (suitable for both ends
    of paired-end libraries), but accepts other adapter sequence, too
  * For MspI-digested RRBS libraries, Trim Galore! performs quality and
    adapter trimming in two subsequent steps. This allows it to remove
    2 additional bases that contain a cytosine which was artificially
    introduced in the end-repair step during the library preparation
  * For any kind of FastQ file other than MspI-digested RRBS, Trim
    Galore! can perform single-pass adapter- and quality trimming
  * The Phred quality of basecalls and the stringency for adapter removal
    can be specified individually
  * Trim Galore! can remove sequences if they become too short during
    the trimming process. For paired-end files Trim Galore! removes entire
    sequence pairs if one (or both) of the two reads became shorter than
    the set length cutoff. Reads of a read-pair that are longer than a
    given threshold but for which the partner read has become too short
    can optionally be written out to single-end files. This ensures that
    the information of a read pair is not lost entirely if only one read
    is of good quality
  * Trim Galore! can trim paired-end files by 1 additional bp from the 3'
    end of all reads to avoid problems with invalid alignments with Bowtie 1
  * Trim Galore! accepts and produces standard or gzip compressed FastQ files
  * FastQC can optionally be run on the resulting output files once
    trimming has completed

Package: trimage
Description-md5: ea2216357b9c671ce43625a7de2c82df
Description-en: GUI and command-line interface to optimize image files
 Trimage is a cross-platform GUI and command-line interface to optimize image
 files via optipng, advpng, pngcrush and jpegoptim, depending on the filetype
 (currently, PNG and JPG files are supported). All image files are losslessly
 compressed on the highest available compression levels. Trimage gives you
 various input functions to fit your own workflow: A regular file dialog,
 dragging and dropping and various command line options.

Package: trimmomatic
Description-md5: fb8a901542618dcc98ce51bd3dfb107e
Description-en: flexible read trimming tool for Illumina NGS data
 Trimmomatic performs a variety of useful trimming tasks for illumina
 paired-end and single ended data.The selection of trimming steps and
 their associated parameters are supplied on the command line.
 .
 The current trimming steps are:
  * ILLUMINACLIP: Cut adapter and other illumina-specific sequences from
    the read.
  * SLIDINGWINDOW: Perform a sliding window trimming, cutting once thes
    average quality within the window falls below a threshold.
  * LEADING: Cut bases off the start of a read, if below a threshold quality
  * TRAILING: Cut bases off the end of a read, if below a threshold quality
  * CROP: Cut the read to a specified length
  * HEADCROP: Cut the specified number of bases from the start of the read
  * MINLENGTH: Drop the read if it is below a specified length
  * TOPHRED33: Convert quality scores to Phred-33
  * TOPHRED64: Convert quality scores to Phred-64
 It works with FASTQ (using phred + 33 or phred + 64 quality scores,
 depending on the Illumina pipeline used), either uncompressed or
 gzipp'ed FASTQ. Use of gzip format is determined based on the .gz
 extension.

Package: trinity
Description-md5: 0b66b5bef215d4a2e96be23a7eddb2e0
Description-en: system call fuzz tester
 As 'fuzz testing' suggests, trinity calls syscalls at random, with random
 arguments. Where Trinity differs is that the arguments it passes are not
 purely random.
 .
 If a syscall took, for example, a file descriptor as an argument,
 one of the first things kernels does is validate that fd, if is not valid the
 kernel would just reject it as -EINVAL.
 .
 So on startup, Trinity creates a list of file descriptors, by opening pipes,
 scanning sysfs, procfs, /dev, and creates a bunch of sockets using random
 network protocols. Then when a syscall needs an fd, it gets passed one of
 these at random.
 .
 Trinity also shares those file descriptors between multiple threads, which
 causes havoc sometimes.
 .
 Warning: This program may seriously corrupt your files, including any of those
 that may be writable on mounted network file shares.  It may create network
 packets that may cause disruption on your local network.
 Run at your own risk.

Package: trinityrnaseq
Description-md5: 2ee7f38dbb99a040c33d6619f4f5668b
Description-en: RNA-Seq De novo Assembly
 Trinity represents a novel method for the efficient and robust de novo
 reconstruction of transcriptomes from RNA-seq data. Trinity combines three
 independent software modules: Inchworm, Chrysalis, and Butterfly, applied
 sequentially to process large volumes of RNA-seq reads. Trinity partitions
 the sequence data into many individual de Bruijn graphs, each representing the
 transcriptional complexity at a given gene or locus, and then processes
 each graph independently to extract full-length splicing isoforms and to tease
 apart transcripts derived from paralogous genes.

Package: trinityrnaseq-examples
Description-md5: ed2dc144f88f410c79f957673eea79fb
Description-en: RNA-Seq De novo Assembly common example and testing files
 Trinity represents a novel method for the efficient and robust de novo
 reconstruction of transcriptomes from RNA-seq data. Trinity combines three
 independent software modules: Inchworm, Chrysalis, and Butterfly, applied
 sequentially to process large volumes of RNA-seq reads. Trinity partitions
 the sequence data into many individual de Bruijn graphs, each representing the
 transcriptional complexity at a given gene or locus, and then processes
 each graph independently to extract full-length splicing isoforms and to tease
 apart transcripts derived from paralogous genes.
 .
 This package contains testing & example files.

Package: triplane
Description-md5: 75723b551a63a2acf828a71a9c7cc851
Description-en: side-scrolling dogfighting game
 Triplane Classic is a side-scrolling dogfighting game featuring solo
 missions and multiplayer mode with up to four players. It is a port
 of the original Triplane Turmoil game for DOS and aims to match the
 original game exactly so that high scores remain comparable to the
 original.

Package: triplea
Description-md5: ba6974aae907deae5b420d9427d4a7bb
Description-en: Turn based strategy game
 TripleA is a turn based strategy game. TripleA comes with multiple
 games and over 100 more games can be downloaded from the user
 community. Supports single player vs AI, hot-seat, Play by Email,
 and a hosted online lobby.

Package: tripwire
Description-md5: bccf840623e14c4e29080900b721cf83
Description-en: file and directory integrity checker
 Tripwire is a tool that aids system administrators and users in
 monitoring a designated set of files for any changes.  Used with
 system files on a regular (e.g., daily) basis, Tripwire can notify
 system administrators of corrupted or tampered files, so damage
 control measures can be taken in a timely manner.

Package: trocla
Description-md5: be842065b40bdeb3e281419d6803a586
Description-en: generate and store passwords and certificates on a central server
 Trocla helps you to generate random passwords and to store them in various
 formats (plain, MD5, bcrypt) for later retrival.
 .
 An example for such an application is puppet and trocla can help you to not
 store any plaintext or hashed passwords in your manifests by keeping these
 passwords only on your puppetmaster.

Package: troffcvt
Description-md5: 7a3aefbe528613f5e73ed660da567171
Description-en: Converts troff source to HTML, RTF, and plain text
 Use this package to convert manuals and manpages and other documents
 written using troff to more manageable formats, such as HTML, RTF,
 or plain text.

Package: trojan
Description-md5: 8bf6d98eca244bb1e6856be2a61e8277
Description-en: fast and lightweight proxy that helps you bypass firewalls
 Trojan features multiple protocols over TLS to avoid both active/passive
 detections and ISP QoS limitations. It tries to hide a proxy service
 behind a normal HTTPS service. Trojan claims to be unidentifiable.

Package: trojita
Description-md5: ce87393c48a57f71db11acaaf8575d5c
Description-en: Fast Qt IMAP e-mail client.
 Trojitá is a fast Qt IMAP e-mail client which:
  * Enables you to access your mail anytime, anywhere.
  * Does not slow you down. If we can improve the productivity of an e-mail
    user, we better do.
  * Respects open standards and facilitates modern technologies. We value the
    vendor-neutrality that IMAP provides and are committed to be as
    interoperable as possible.
  * Is efficient — be it at conserving the network bandwidth, keeping memory
    use at a reasonable level or not hogging the system's CPU.
  * Can be used on many platforms. One UI is not enough for everyone, but our
    IMAP core works fine on anything from desktop computers to cell phones and
    big ERP systems.
  * Plays well with the rest of the ecosystem. We don't like reinventing wheels,
    but when the existing wheels quite don't fit the tracks, we're not afraid of
    making them work.
 .
 Trojitá comes standard with support for:
  * Cryptography (OpenPGP and S/MIME)
  * Offline IMAP support
  * Bandwidth-saving mode
  * IMAP over SSH
 .
 This package contains the binaries.

Package: trojita-data
Description-md5: 758d309db4f4c1677a49add1d101d98d
Description-en: Fast Qt IMAP e-mail client (data files).
 Trojitá is a fast Qt IMAP e-mail client.
 .
 This package contains the data files.

Package: trojita-l10n
Description-md5: 578e071072111d3c1f700988dc1e2f1a
Description-en: Fast Qt IMAP e-mail client (localization).
 Trojitá is a fast Qt IMAP e-mail client.
 .
 This package contains the localization files.

Package: trollsched-bin
Description-md5: 3c6a7c820c7ede1a31c7824fcf2c02b7
Description-en: Scheduling satellite passes in Python - scripts
 The package provides a Python library and tools for reception
 scheduling of polar orbit weather satellites.
 This package is part of the PyTroll software suite.
 .
 This package provides utilities and executable scripts.

Package: trophy
Description-md5: 375c44bcc8ede4dfd96aaa129c18dabd
Description-en: 2D car racing action game
 Trophy is a single-player racing game which combines elements from
 traditional race and action games. Lots of available extras enable
 features such as shooting, mines, barrels and many others.
 .
 This package contains the executable.

Package: trophy-data
Description-md5: e798c81ad3a630b68760199bbc13776a
Description-en: data files for trophy
 This package contains the maps, graphics and sounds for the game trophy.
 It includes the racetracks Downtown, Industrial, Loops, Moon, Rally, Snake,
 Zigzag and Zurich.

Package: tropic-gdm-theme
Description-md5: 6c1a269cb8c85c317adc26cb687b407e
Description-en: Tropic look - GDM theme
 The Tropic theme.
 .
 This package contains the GDM theme.

Package: tropic-look
Description-md5: 31691de8bde30812be676bed7779a774
Description-en: Tropic look - metapackage
 The Tropic theme.
 .
 This is a meta package.

Package: tropic-session-splashes
Description-md5: b6d30787ec2a9d3f0db543359d7ffdea
Description-en: Tropic look - Session splashes
 The Tropic theme.
 .
 This package contains the Tropic Session splashes.

Package: tropic-theme
Description-md5: 79c24dd9576b96300402cdee9847ff8f
Description-en: Tropic look - GTK and Metacity theme
 The Tropic theme.
 .
 This package contains the GTK and Metacity configuration for the Tropic
 look.

Package: tropic-wallpapers
Description-md5: 8675071f6d6992cdbe11162a886eca3f
Description-en: Tropic look - Wallpapers
 The Tropic theme.
 .
 This package contains the Tropic Wallpapers.

Package: trousers
Description-md5: 1a853869dc86f41f4c57847cbf703eba
Description-en: open-source TCG Software Stack (daemon)
 TrouSerS is an implementation of the Trusted Computing Group's Software Stack
 (TSS) specification. You can use TrouSerS to write applications that make use
 of your TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 TrouSerS aims to be compliant with the 1.1b and 1.2 TSS specifications
 available from the Trusted Computing Group website at
 <http://www.trustedcomputinggroup.org/>.

Package: trousers-dbg
Description-md5: af01efd57e989a4302402fc7a888fc49
Description-en: open-source TCG Software Stack (debug)
 TrouSerS is an implementation of the Trusted Computing Group's Software Stack
 (TSS) specification. You can use TrouSerS to write applications that make use
 of your TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 This package contains the debugging symbols.

Package: trovacap
Description-md5: a31f231af0a4545a8f9b2b8c9e223e01
Description-en: tool to find Italian ZIP codes
 TrovaCAP is a tool to find Italian ZIP codes (CAP - Codice Avviamento
 Postale) from a given address.

Package: trovacap-data
Description-md5: a5972b872d38849664abf976e3ced92d
Description-en: tool to find Italian ZIP codes - ZIP codes database
 TrovaCAP is a tool to find Italian ZIP codes (CAP - Codice Avviamento
 Postale) from a given address.
 .
 This package contains the ZIP codes database, in SQLite format and
 as a SQL dump.

Package: trove-api
Description-md5: 6f9c2dd551f6e98dae5a3645345f5ce9
Description-en: Database as a Service for OpenStack - API server
 Trove is Database as a Service for Openstack. It's designed to run entirely on
 OpenStack, with the goal of allowing users to quickly and easily utilize the
 features of a relational database without the burden of handling complex
 administrative tasks. Cloud users and database administrators can provision
 and manage multiple database instances as needed. Initially, the service will
 focus on providing resource isolation at high performance while automating
 complex administrative tasks including deployment, configuration, patching,
 backups, restores, and monitoring. Trove is designed to support a
 single-tenant database within a Nova instance. There is no restrictions on how
 Nova is configured, since Trove interacts with other OpenStack components
 purely through the API.
 .
 This package provides the Trove API server. The trove-api service provides a
 RESTful API that supports JSON and XML to provision and manage Trove
 instances.

Package: trove-common
Description-md5: 9ad004194decad3733b21ee3a3d4f49a
Description-en: Database as a Service for OpenStack - common files
 Trove is Database as a Service for Openstack. It's designed to run entirely on
 OpenStack, with the goal of allowing users to quickly and easily utilize the
 features of a relational database without the burden of handling complex
 administrative tasks. Cloud users and database administrators can provision
 and manage multiple database instances as needed. Initially, the service will
 focus on providing resource isolation at high performance while automating
 complex administrative tasks including deployment, configuration, patching,
 backups, restores, and monitoring. Trove is designed to support a
 single-tenant database within a Nova instance. There is no restrictions on how
 Nova is configured, since Trove interacts with other OpenStack components
 purely through the API.
 .
 This package provides the Trove common files.

Package: trove-conductor
Description-md5: 4ec6fd427ab5dc60d617f45d381bd40e
Description-en: Database as a Service for OpenStack - conductor
 Trove is Database as a Service for Openstack. It's designed to run entirely on
 OpenStack, with the goal of allowing users to quickly and easily utilize the
 features of a relational database without the burden of handling complex
 administrative tasks. Cloud users and database administrators can provision
 and manage multiple database instances as needed. Initially, the service will
 focus on providing resource isolation at high performance while automating
 complex administrative tasks including deployment, configuration, patching,
 backups, restores, and monitoring. Trove is designed to support a
 single-tenant database within a Nova instance. There is no restrictions on how
 Nova is configured, since Trove interacts with other OpenStack components
 purely through the API.
 .
 This package provides the Trove conductor.

Package: trove-doc
Description-md5: 4c53aea56528c6e3eed572c2306101eb
Description-en: Database as a Service for OpenStack - doc
 Trove is Database as a Service for Openstack. It's designed to run entirely on
 OpenStack, with the goal of allowing users to quickly and easily utilize the
 features of a relational database without the burden of handling complex
 administrative tasks. Cloud users and database administrators can provision
 and manage multiple database instances as needed. Initially, the service will
 focus on providing resource isolation at high performance while automating
 complex administrative tasks including deployment, configuration, patching,
 backups, restores, and monitoring. Trove is designed to support a
 single-tenant database within a Nova instance. There is no restrictions on how
 Nova is configured, since Trove interacts with other OpenStack components
 purely through the API.
 .
 This package provides the Trove documentations.

Package: trove-guestagent
Description-md5: bab5fd2d55fb6c464965ba40961d7032
Description-en: Database as a Service for OpenStack - guest agent
 Trove is Database as a Service for Openstack. It's designed to run entirely on
 OpenStack, with the goal of allowing users to quickly and easily utilize the
 features of a relational database without the burden of handling complex
 administrative tasks. Cloud users and database administrators can provision
 and manage multiple database instances as needed. Initially, the service will
 focus on providing resource isolation at high performance while automating
 complex administrative tasks including deployment, configuration, patching,
 backups, restores, and monitoring. Trove is designed to support a
 single-tenant database within a Nova instance. There is no restrictions on how
 Nova is configured, since Trove interacts with other OpenStack components
 purely through the API.
 .
 This package provides the Trove guest agent. The guestagent is a service that
 runs within the guest instance, responsible for managing and performing
 operations on the Database itself. The Guest Agent listens for RPC messages
 through the message bus and performs the requested operation.

Package: trove-taskmanager
Description-md5: cdf2786064b6062248e7792dc4f6846d
Description-en: Database as a Service for OpenStack - taskmanager
 Trove is Database as a Service for Openstack. It's designed to run entirely on
 OpenStack, with the goal of allowing users to quickly and easily utilize the
 features of a relational database without the burden of handling complex
 administrative tasks. Cloud users and database administrators can provision
 and manage multiple database instances as needed. Initially, the service will
 focus on providing resource isolation at high performance while automating
 complex administrative tasks including deployment, configuration, patching,
 backups, restores, and monitoring. Trove is designed to support a
 single-tenant database within a Nova instance. There is no restrictions on how
 Nova is configured, since Trove interacts with other OpenStack components
 purely through the API.
 .
 This package provides the Trove taskmanager. The trove-taskmanager service
 does the heavy lifting as far as provisioning instances, managing the
 lifecycle of instances, and performing operations on the Database instance.

Package: trscripts
Description-md5: 66eea646d1b45297f7604439a7baf556
Description-en: Scripts for reencoding text files and BDF-fonts
 The script `trbdf' can convert a BDF font from one codeset
 to another.
 .
 The script `trcs' reencodes text files from one codeset to another.
 It can generate scripts for `tr'. For example the command
  trcs --from cp1252 --to latin1 --gen-script
 gives you the following output:
  #!/bin/sh
 .
  trap "exit 0" PIPE
 .
  cat "$@" | tr \
  '\200''\201''\202''\203''\204''\205''\206''\207''\210''\211''\212'\
  '\213''\214''\215''\216''\217''\220''\221''\222''\223''\224''\225'\
  '\226''\227''\230''\231''\232''\233''\234''\235''\236''\237'  \
  '\105''\77''\47''\146''\42''\267''\53''\77''\136''\77''\123'\
  '\253''\117''\77''\132''\77''\77''\47''\47''\42''\42''\267'\
  '\-''\-''\176''\77''\163''\273''\157''\77''\172''\131'
 .
 Both scripts try to approximate the missing from the target codeset
 symbols.
 .
 It is easy to add support of other character sets.

Package: trueprint
Description-md5: 2cdad4189b940f6b9cfe723b1b46303f
Description-en: pretty printing of source code
 This program generates a pretty output of source codes in many
 programming languages. The result could be printed or written to
 a Postscript file.
 .
 The following programming languages are supported:
     * C
     * C++
     * Java
     * Pascal
     * Perl
     * Pike
     * Sh (Shell)
     * Verilog

Package: trustedqsl
Description-md5: 504d5fe2a9818259062ef85b4b2aca76
Description-en: QSL log signing for the Logbook of the World (LoTW)
 Open source libraries and utilities to support using digital signatures
 for amateur radio QSL information.
 .
 A QSL is a confirmation of contact between two amateur radio stations.
 The ARRL Logbook of the World project is a database which collects
 data about contacts between amateur stations (QSOs). This package
 provides the 'tqsl' program for maintaining your digital certificates
 for LoTW and signing and uploading QSO log files.

Package: trydiffoscope
Description-md5: 610a61c6e8eaa468ad8136ae406e693f
Description-en: in-depth comparison of files, archives, etc. (try.diffoscope.org client)
 diffoscope will try to get to the bottom of what makes files or directories
 different. It will recursively unpack archives of many kinds and transform
 various binary formats into more human readable form to compare them. It can
 compare two tarballs, ISO images, or PDF just as easily. The differences can
 be shown in a text or HTML report.
 .
 diffoscope is developed as part of the “reproducible builds” Debian
 project.
 .
 This package contains the https://try.diffoscope.org/ command-line client.

Package: tryton-client
Description-md5: 9a308aeab331c3f43521c9926067fd77
Description-en: Tryton Application Platform (Client)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the client.

Package: tryton-client-doc
Description-md5: 327d359837a8f4b4036b1546cd42c8c5
Description-en: Tryton Application Platform (Client Documentation)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the documentation of the client in HTML format.

Package: tryton-modules-account
Description-md5: 1a550b24b109495a193e4b5d3a4ae01d
Description-en: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the financial and accounting module with:
 .
  * General accounting
  * Fiscal year management
  * Taxes management
  * Journal entries
  * Reconciliation
 .
 And with reports:
 .
  * General ledger
  * Trial balance
  * Balance sheet
  * Income statement
  * Third party balance
  * Aged balance
  * General journal

Package: tryton-modules-account-asset
Description-md5: 14825232322ef9f168e4864716e2f185
Description-en: Tryton Application Platform (Account Asset Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to handle the depreciation of fixed assets.

Package: tryton-modules-account-be
Description-md5: 724cf419e12bdc8069a90aaa9e043c0e
Description-en: Tryton Application Platform (Financial and Accounting Module for Belgium)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module with a chart of accounts for Belgium.

Package: tryton-modules-account-credit-limit
Description-md5: 5c32745fd89a82b2766d2c4240f70e82
Description-en: Tryton Application Platform (Account Credit Limit Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the possibility to manage credit limits for parties.

Package: tryton-modules-account-de-skr03
Description-md5: a20eefa66938a36963a7ee85240e3ff0
Description-en: Tryton Application Platform (Financial and Accounting Module for Germany)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module with the German chart of accounts SKR03.

Package: tryton-modules-account-deposit
Description-md5: 46d1a81c1d31208656855aed468523d3
Description-en: Tryton Application Platform (Account Deposit Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to manage deposit amounts paid by the customer in
 advance.

Package: tryton-modules-account-dunning
Description-md5: 2ba13b10a3d855ba32410aa3d8d81c70
Description-en: Tryton Application Platform (Account Dunning Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the possibility to define dunning procedures and levels.

Package: tryton-modules-account-dunning-email
Description-md5: eb79b408b5d1b053182ef61feb0d6ba5
Description-en: Tryton Application Platform (Account Dunning E-Mail Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to send a dunning email to the party email contact
 after the processing of dunnings.

Package: tryton-modules-account-dunning-fee
Description-md5: 613b1230e53d0649fe1ae6f5435f858c
Description-en: Tryton Application Platform (Account Dunning Fee Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to generate fees as accounting moves when processing
 dunnings which are at a level with a fee defined.

Package: tryton-modules-account-dunning-letter
Description-md5: 2ccc52ac39b86d9c9b23bd0bf42aca0a
Description-en: Tryton Application Platform (Account Dunning Letter Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the possibility to generate a dunning letter for configured
 levels after the processing of dunnings.

Package: tryton-modules-account-es
Description-md5: c3811d3243d2b47fd8b26538a7a03574
Description-en: Tryton Application Platform (Financial and Accounting Module for Spain)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 The Spanish account module defines the following charts of account:
  * Plan General Contable Español 2008
  * Plan Contable para PYMES 2008
 .
 The chart was published as `REAL DECRETO 1514/2007
 https://www.boe.es/boe/dias/2007/11/20/pdfs/C00001-00152.pdf`_ on 20th
 November 2007.
 .
 A wizard allows one to generate the following AEAT files:
 .
  * Modelo 111
  * Modelo 115
  * Modelo 303

Package: tryton-modules-account-eu
Description-md5: 64c844206791c2d3f947f00fa4edab51
Description-en: Tryton Application Platform (Account EU Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module provides common accounting requirements in Europe like
 e.g. the EC Sales List (ESL).

Package: tryton-modules-account-fr
Description-md5: 4c22b226c8fc691730f4d98bd9ae2b3c
Description-en: Tryton Application Platform (Financial and Accounting Module for France)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module with a chart of accounts for France.

Package: tryton-modules-account-fr-chorus
Description-md5: 0c3df19947b72ead6e5d7eff070585fc
Description-en: Tryton Application Platform (Account Chorus Module for France)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 The account_fr_chorus module allows one to send invoices through the Chorus
 Pro portal <https://chorus-pro.gouv.fr/>.
 .
 If the party is activated for Chorus Pro, all posted customer invoices are
 queued to be sent. A cron job will send them every 15 minutes by default
 using the credentials from the accounting configuration.

Package: tryton-modules-account-invoice
Description-md5: f7474222ab34ecf9fd4cc3c450d28a69
Description-en: Tryton Application Platform (Account Invoice Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the financial and accounting module with:
 .
  * Payment Term
  * Invoice/Credit Note
  * Supplier Invoice/Supplier Credit Note
 .
 With the possibilities:
 .
  * to follow the payment of the invoices.
  * to define invoice sequences on fiscal year or period.
  * to credit any invoice.

Package: tryton-modules-account-invoice-history
Description-md5: 0211209a079c509dd59db0a9a48c8368
Description-en: Tryton Application Platform (Account Invoice History Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module providing historization for invoices.

Package: tryton-modules-account-invoice-line-standalone
Description-md5: 424ff7d1be2aa11b1a386501e77f42cb
Description-en: Tryton Application Platform (Account Invoice Line Standalone Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to create standalone invoice lines that can be added
 later to a draft invoice. The invoice will only accept invoice lines of the
 same type, company, currency and party.

Package: tryton-modules-account-invoice-stock
Description-md5: a0315c667a017653276960c273b60577
Description-en: Tryton Application Platform (Account Invoice Stock Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package provides links between invoice lines and stock moves.
 It is needed as a dependency of the sale and purchase modules.

Package: tryton-modules-account-payment
Description-md5: b39edc27cd899fdca0070766dd5cb2eb
Description-en: Tryton Application Platform (Account Payment Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the possibility to generate grouped payments for receivable
 or payable lines.

Package: tryton-modules-account-payment-clearing
Description-md5: a283d00f438bee3a613742e0c37ce023
Description-en: Tryton Application Platform (Account Payment Clearing Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package can be used to track the correct state of invoice states and
 payable/receivable amounts in the time between the payment order and the
 receival of the bank statement.
 It adds the possibility to generate account moves on successful payments
 between the receivable/payable account and a clearing account on the
 payment journal. The clearing account will be used on statement lines linked
 to those payments.

Package: tryton-modules-account-payment-sepa
Description-md5: dfba01cd44d7ec931a8cbfb908b99610
Description-en: Tryton Application Platform (Account Payment SEPA Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the possibility to generate SEPA files for grouped payments.

Package: tryton-modules-account-payment-sepa-cfonb
Description-md5: b75e838c68971ea92a670bafb8dcf52a
Description-en: Tryton Application Platform (Account Payment SEPA CFONB Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds CFONB flavors to SEPA messages.

Package: tryton-modules-account-product
Description-md5: 96f909656ca8b39b868bf25d7bcb1d3a
Description-en: Tryton Application Platform (Account Product Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the financial and accounting module that adds accounting
 properties on products and product categories like:
 .
  * accounts for expense/revenue
  * taxes for customers/suppliers

Package: tryton-modules-account-statement
Description-md5: 0beaff50fca36fd885ee33711cacf4c8
Description-en: Tryton Application Platform (Account Statement Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the financial and accounting module with:
 .
  * Statement
  * Statement journal

Package: tryton-modules-account-stock-anglo-saxon
Description-md5: 1000da61e142f00b6d26a00dfdfa14f0
Description-en: Tryton Application Platform (Account Stock Anglo Saxon Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the anglo-saxon accounting model for stock valuation.

Package: tryton-modules-account-stock-continental
Description-md5: f6cf69fe539b027fdbd60fd6088a3415
Description-en: Tryton Application Platform (Account Stock Continental Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the continental accounting model for stock valuation.

Package: tryton-modules-account-stock-landed-cost
Description-md5: 185e7e3ce3556e0168550c666f4bb29b
Description-en: Tryton Application Platform (Account Stock Landed Cost Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to allocate landed costs on supplier shipments
 after their reception.

Package: tryton-modules-account-stock-landed-cost-weight
Description-md5: 2dac1baf30c824a5deff5ca6614aade4
Description-en: Tryton Application Platform (Account Stock Landed Cost Weight Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds an allocation method for landed costs based on the weight of
 the products.

Package: tryton-modules-account-tax-rule-country
Description-md5: 51fe37e8ae8808d44ac825ec7040ed97
Description-en: Tryton Application Platform (Account Tax Rule Country Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to define tax rules based on origin and destination
 countries.

Package: tryton-modules-all
Description-md5: 5027d268bee9c23f736494e32effe8a8
Description-en: Tryton Application Platform (Tryton Modules Metapackage)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package is a metapackage depending on all available Tryton modules.

Package: tryton-modules-analytic-account
Description-md5: c4be50514647e9957ae26395e7f54115
Description-en: Tryton Application Platform (Analytic Account Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the financial and accounting module with:
 .
  * Analytic accounting with any number of analytic charts
 .
 And with report:
 .
  * Analytic account balance

Package: tryton-modules-analytic-invoice
Description-md5: 6264e67495b0082b01422a0472b55a62
Description-en: Tryton Application Platform (Analytic Invoice Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the financial and accounting module adding analytic
 accounts on invoice lines and generating analytic lines on the moves of
 invoices.

Package: tryton-modules-analytic-purchase
Description-md5: bd625f0a3bee547ccc9684b24b0c167d
Description-en: Tryton Application Platform (Analytic Purchase Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the financial and accounting module adding analytic
 accounts on purchase lines.

Package: tryton-modules-analytic-sale
Description-md5: 0bb86dddd5af3bbc1e9de6f785a1c5f8
Description-en: Tryton Application Platform (Analytic Sale Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the financial and accounting module adding analytic
 accounts on sale lines.

Package: tryton-modules-authentication-sms
Description-md5: 77b5ffce66c3e59d6894eae6aa7ad4fb
Description-en: Tryton Application Platform (Authentication SMS Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to authenticate users via SMS. There are two
 authentication methods which can be used in the authentications list of the
 session section in the configuration:
 .
 The sms method just sends a code via SMS to the user. This code can directly
 be used in the login dialog.
 .
 The password_sms method sends a code only after the user entered a valid
 password providing a two-factor authentication method
 (https://en.wikipedia.org/wiki/Two-factor_authentication).
 .
 Both methods require that the user has a *mobile* phone number defined
 otherwise he can not be authenticated with those methods.

Package: tryton-modules-bank
Description-md5: d937e3ab97231d5ad8406dd9ba3e52b3
Description-en: Tryton Application Platform (Bank Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to define banks and bank accounts.

Package: tryton-modules-carrier
Description-md5: 8cc93f1b9fa4c3124e86c86fe8dfd7cd
Description-en: Tryton Application Platform (Carrier Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the handling of carrier costs.

Package: tryton-modules-carrier-percentage
Description-md5: dce58d353d20e671da44b8b16676e21c
Description-en: Tryton Application Platform (Carrier Percentage Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the handling of carrier costs based on the percentage of
 the amount of sale or purchase prices.

Package: tryton-modules-carrier-weight
Description-md5: 50493a54adb90a5a9488f917e0573f32
Description-en: Tryton Application Platform (Carrier Weight Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the handling of carrier costs based on weight.

Package: tryton-modules-commission
Description-md5: 72c21ddbc0139cab450115fd5ac45d03
Description-en: Tryton Application Platform (Commission Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to manage commissions for sales agents.

Package: tryton-modules-commission-waiting
Description-md5: 2e0cbbd95722ccf50e1815439b3e501a
Description-en: Tryton Application Platform (Commission Waiting Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to generate account moves for commissions to a waiting
 account, that is cleared on posting of the invoice.

Package: tryton-modules-company
Description-md5: 5716c33d191ed9c83148b357c92a6213
Description-en: Tryton Application Platform (Company Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package defines company and employees:
 .
  * Add main and current company on users preferences.
  * Add company on properties.
  * Define new report parser for report with company header.
  * Add letter template on party.
  * Make the scheduler run on each company.

Package: tryton-modules-company-work-time
Description-md5: 7a286cc29ee843569a79787200c90a4d
Description-en: Tryton Application Platform (Company Work Time Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package allows one to define the company work time.

Package: tryton-modules-country
Description-md5: b9f0674313fcc5570d78a2a9be0b7403
Description-en: Tryton Application Platform (Country Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module defines all countries and subdivisions.

Package: tryton-modules-currency
Description-md5: 82b22d73145f03eb3cc20ca6350de3b3
Description-en: Tryton Application Platform (Currency Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module defines currencies and exchange rates and allows one to customize
 the formatting of currency amounts.

Package: tryton-modules-customs
Description-md5: 66444ea5ce8c22fc6971cccc98f34175
Description-en: Tryton Application Platform (Customs Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to define customs duty based on the tariff code of the
 "Harmonized Commodity Description and Coding System", also known as the
 "Harmonized System" (HS) (s.a. http://en.wikipedia.org/wiki/Harmonized_System)

Package: tryton-modules-dashboard
Description-md5: 12e2af1fe818be4cf98078bc7a3c7520
Description-en: Tryton Application Platform (Dashboard Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package allows one to create a personalized dashboard.

Package: tryton-modules-edocument-uncefact
Description-md5: c5a3aea08d136fa8c65397576d9fd144
Description-en: Tryton Application Platform (Account Dunning E-Mail Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module implements electronic documents from the
 United Nations Centre for Trade Facilitation and Electronic
 Business (UN/CEFACT):
 .
  * Cross-Industry-Invoice (16B-CII)

Package: tryton-modules-edocument-unece
Description-md5: b202fcb9ea706876772b63a222119363
Description-en: Tryton Application Platform (Account Dunning E-Mail Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds many codes from the United Nations Economic Commission for
 Europe (UNECE):
 .
  * Recommendation N°. 20 Codes for Units of Measure Used in International Trade
    <http://www.unece.org/tradewelcome/
    un-centre-for-trade-facilitation-and-e-business-uncefact/outputs/
    cefactrecommendationsrec-index/
    list-of-trade-facilitation-recommendations-n-16-to-20.html>
 .
  * 5153  Duty or tax or fee type name code
    <http://www.unece.org/trade/untdid/d16b/tred/tred5153.htm>
 .
  * 5305  Duty or tax or fee category code from
    <http://www.unece.org/trade/untdid/d16b/tred/tred5305.htm>

Package: tryton-modules-google-maps
Description-md5: 27ab6868c7112f0540b9c99d60ee12a0
Description-en: Tryton Application Platform (Google Maps Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds a link from addresses to Google Maps.

Package: tryton-modules-ldap-authentication
Description-md5: b5a8c4b9e1af47c22af7a6b222b377ac
Description-en: Tryton Application Platform (LDAP Authentication Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module provides the possibility to authenticate users to LDAP servers.

Package: tryton-modules-notification-email
Description-md5: 08e3d72a02508cb970c07a6c1a499804
Description-en: Tryton Application Platform (E-Mail Notification Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one send notifications by e-mail when events occur on
 Tryton records (e.g. like a sale being validated or a shipment being sent).

Package: tryton-modules-party
Description-md5: 4ef1e32a3053a7835b3033f787cb979d
Description-en: Tryton Application Platform (Party Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module provides the possibility to define parties, addresses etc.

Package: tryton-modules-party-relationship
Description-md5: 5cd37904ca3200da4a3c37f5f90a9a25
Description-en: Tryton Application Platform (Party Relationship Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the possibility to define different types of relations
 between parties.

Package: tryton-modules-party-siret
Description-md5: 7526c18f2b52beb1217a864a75ad40c4
Description-en: Tryton Application Platform (Party SIRET/SIREN Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds SIRET/SIREN number fields on party.
 .
 These numbers are used in France, for geographical identification of
 enterprises:
 .
  * SIREN (Système d’Identification du Répertoire des ENtreprises)
  * SIRET (Système d’Identification du Répertoire des ETablissements)

Package: tryton-modules-product
Description-md5: a106bcb75d6e35ae773d027e66fe16b6
Description-en: Tryton Application Platform (Product Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to define products, categories of product,
 units of measure and categories of units of measure.

Package: tryton-modules-product-attribute
Description-md5: 8dd21a35ab57fedb2bb097d472914b02
Description-en: Tryton Application Platform (Product Attribute Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module provides the possibility to assign attributes and attribute sets
 to products (properties like colors, dimensions, etc.).

Package: tryton-modules-product-classification
Description-md5: 475d3627fea8e74c925bfca611f5d771
Description-en: Tryton Application Platform (Product Classification Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
  This module defines the base for other modules to create classifications of
 products.

Package: tryton-modules-product-classification-taxonomic
Description-md5: 3a5c426bb2a1dd166f7398694991df0f
Description-en: Tryton Application Platform (Product Classification Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the taxonomic classification to products.
 .
 The available classifications are:
 .
 Taxon
 A taxon (http://en.wikipedia.org/wiki/Taxon) is defined by a name and a
 taxonomic rank (http://en.wikipedia.org/wiki/Taxonomic_rank). It is stored
 inside a tree structure.
 .
 Cultivar
 A cultivar (http://en.wikipedia.org/wiki/Cultivar) is defined by a name,
 a taxon and a list of cultivar groups
 (http://en.wikipedia.org/wiki/Cultivar_group).

Package: tryton-modules-product-cost-fifo
Description-md5: b4f967ba8c31ff11e8ea725f3a99054c
Description-en: Tryton Application Platform (Product Cost FIFO Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds FIFO cost method on the product form.

Package: tryton-modules-product-cost-history
Description-md5: 6e6b95c22befd667045f2172af0533ad
Description-en: Tryton Application Platform (Product Cost History Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module historizes the product costs providing access to product costs
 in the past.

Package: tryton-modules-product-measurements
Description-md5: 29004760995d8714a873d97d1c977e25
Description-en: Tryton Application Platform (Product Measurements Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the handling of following measurements for products:
 .
  * Length, Height, Width, Weight

Package: tryton-modules-product-price-list
Description-md5: eb85140d0791b7e2aebfe3fa73d4015a
Description-en: Tryton Application Platform (Product Price List Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to define price list rules.

Package: tryton-modules-production
Description-md5: bc526de24d181fa21e0192af49667dcb
Description-en: Tryton Application Platform (Production Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the basics for production management.
 .
  * Bill of material
  * Production order

Package: tryton-modules-production-routing
Description-md5: a5aaf0e713c1fd4bfa354ae6d981b522
Description-en: Tryton Application Platform (Production Routing Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module defines the routings for productions:
 - Routing, Step and Operation.

Package: tryton-modules-production-work
Description-md5: 6caae8c321106b37e2178805f9266e22
Description-en: Tryton Application Platform (Production Work Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to manage work orders for productions. It also adds
 the work costs to the production cost.

Package: tryton-modules-project
Description-md5: dd1281a12b82b6ced8e3597d3d9e3414
Description-en: Tryton Application Platform (Project Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to manage projects.

Package: tryton-modules-project-invoice
Description-md5: 66f3f363477c3708151e67300e5c6feb
Description-en: Tryton Application Platform (Project Invoice Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to create invoices from projects.

Package: tryton-modules-project-plan
Description-md5: 9a26635d7b623b0ab45025e9de21027e
Description-en: Tryton Application Platform (Project Plan Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds planning capabilities on projects.
 It provides
  - Task dependencies
  - Tasks leveling
  - Early Start and Late End computation
  - Resource allocation
  - Requests

Package: tryton-modules-project-revenue
Description-md5: 31dfc1db70e0e3916e8ac742a4ec1223
Description-en: Tryton Application Platform (Project Revenue Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to add products on timesheet lines and define
 allowed services for each employee.

Package: tryton-modules-purchase
Description-md5: c12c1d76176a853e8eaece4d1b411818
Description-en: Tryton Application Platform (Purchase Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to define purchase orders, to add product
 supplier and purchase information, and to define the purchase price as the
 supplier price or the cost price.
 .
 With the possibilities:
 .
  * to follow invoice and packing states from the purchase order.
  * to define invoice method: Manual, Based On Order, Based On Packing.

Package: tryton-modules-purchase-invoice-line-standalone
Description-md5: 3e0143284578ce5c3d2d5b20d6e2940f
Description-en: Tryton Application Platform (Purchase Invoice Line Standalone Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to change the behaviour of purchase orders
 to create standalone invoice lines instead of a complete invoice. This allows
 one to compose invoices with lines originating from different purchases.

Package: tryton-modules-purchase-request
Description-md5: 91cbef413c9a447edf3907144b232e39
Description-en: Tryton Application Platform (Purchase Request Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module introduces the central concept of Purchase Requests which are
 collecting purchase requests generated by other processes from Tryton.

Package: tryton-modules-purchase-shipment-cost
Description-md5: 92cd537aa265972be8b70fa1b5a62877
Description-en: Tryton Application Platform (Purchase Shipment Cost Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the handling of shipment costs for purchases.

Package: tryton-modules-sale
Description-md5: ba30474a29022cecf7d1faa0a51a2c60
Description-en: Tryton Application Platform (Sale Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module provides the possibility to define sale orders, to add sale
 information to products, and to define the sale price as the list price.
 .
 With the possibilities:
 .
  * to follow invoice and shipment states from the sale order.
  * to define invoice method: Manual, On Order Confirmed, On Shipment Sent.
  * to define shipment method: Manual, On Order Confirmed, On Invoice Paid.

Package: tryton-modules-sale-complaint
Description-md5: 01d5c682e748819bd92be610e3043d5f
Description-en: Tryton Application Platform (Sale Complaint Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module handles customer complaints about a sale or an invoice and
 provides actions that can be taken to solve the complaint.

Package: tryton-modules-sale-credit-limit
Description-md5: b22d1b102a1cc4890f1a5fb88adf86a9
Description-en: Tryton Application Platform (Sale Credit Limit Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the possibility to check the credit limit for
 parties when processing sales.

Package: tryton-modules-sale-extra
Description-md5: 53aecb93a8ca95909cc8b7b475b0516b
Description-en: Tryton Application Platform (Sale Extra Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to add automatically extra lines on sales matching
 specified criteria defined on price lists.

Package: tryton-modules-sale-invoice-grouping
Description-md5: 5378841748939506cabbd6ad7bf38666
Description-en: Tryton Application Platform (Sale Invoice Grouping Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds an option, that allows one to define how to group invoice
 lines generated from sales.

Package: tryton-modules-sale-opportunity
Description-md5: 2077333785f89cb25b242f6b5ae4cc40
Description-en: Tryton Application Platform (Sale Opportunity Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds opportunities to sale orders.

Package: tryton-modules-sale-price-list
Description-md5: 68627e8233a927a65432177c36cc05e8
Description-en: Tryton Application Platform (Sale Price List Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to define price lists on parties and sale
 orders.

Package: tryton-modules-sale-promotion
Description-md5: bb1cb331a64f4fcf1cd21d1c94630e1d
Description-en: Tryton Application Platform (Sale Promotion Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to define promotions for sales.

Package: tryton-modules-sale-shipment-cost
Description-md5: 7e83208a826b6308212db96e280154cd
Description-en: Tryton Application Platform (Sale Shipment Cost Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the handling of shipment costs for sales.

Package: tryton-modules-sale-shipment-grouping
Description-md5: 08cf8562a0bc89f7e3b2b6a4ebead719
Description-en: Tryton Application Platform (Sale Shipment Grouping Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds the possibility to define how stock moves generated from
 sales will be grouped for shipping.

Package: tryton-modules-sale-stock-quantity
Description-md5: 93b8b8f26bbb8fd4a38538acca73816e
Description-en: Tryton Application Platform (Sale Stock Quantity Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module provides a check of the stock quantity of the products when
 quoting a sale. The check will warn the user if the forecast quantity at
 the sale date (and later dates until next supply) is lower than the quantity
 sold by taking into account other sales and the stock forecasts.

Package: tryton-modules-sale-subscription
Description-md5: 7e9f0d619d6e21043fe6546d874328a3
Description-en: Tryton Application Platform (Sale Subscription Module)
 Tryton is a high-level general purpose application
 platform. It is the base of a complete business solution as well as a
 comprehensive health and hospital information system (GNUHealth).
 .
 This module defines subscriptions, services and recurrence rules for sales.
 .
 A subscription defines how recurring services are periodically invoiced.
 The invoice is based on the consumption of each service.

Package: tryton-modules-sale-supply
Description-md5: ba59c148c9c498cdca81cc2bcb0a6aea
Description-en: Tryton Application Platform (Sale Supply Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module provides the possibility to generate purchase requests from
 sale orders regardless of stock level. It adds handling of customer shipments
 upon confirmation and receival of the purchased products.

Package: tryton-modules-sale-supply-drop-shipment
Description-md5: 12b6042f03f712bfefc2f0662c00fee0
Description-en: Tryton Application Platform (Sale Supply Drop Shipment Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module provides the possibility to handle drop shipments, that are used
 when products are sent directly from the supplier to the customer without
 going through the warehouse.

Package: tryton-modules-stock
Description-md5: 04a47dbfa62ed3bcdf85ee7dfee16958
Description-en: Tryton Application Platform (Stock Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds stock management and inventory control with:
 .
  * Location definition
  * Stock move
  * Supplier/Customer/Internal Shipment
  * Stock Inventory
 .
 And with reports:
 .
  * Pick List
  * Pack List
  * Delivery Note
  * Supplier Restocking List
  * Customer Return Restocking List
  * Internal Shipments
  * Products by Locations

Package: tryton-modules-stock-forecast
Description-md5: 7cb7601980bc551295c7a8f8a0fcd0cb
Description-en: Tryton Application Platform (Stock Forecast Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module for the "Forecast" model in Inventory
 Management.
 .
 The Forecast form allows one to define the expected stock movement towards
 customers in any period of time in the future. A wizard allows one to compute
 the expected quantities with respect to a period in the past. Once the form has
 been confirmed, the corresponding moves are created and spread homogeneously
 across the period. Those moves will allow other processes to take forecasts
 into account.

Package: tryton-modules-stock-inventory-location
Description-md5: 0a6c89d2c53b319f678fbea9cd9d3287
Description-en: Tryton Application Platform (Stock Inventory Location Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module adding a wizard that allows one to create
 automatically inventories for a given list of locations.

Package: tryton-modules-stock-location-sequence
Description-md5: 6fc139975b44a0c16171ab1212c1378a
Description-en: Tryton Application Platform (Stock Location Sequence Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module adding a sequence on locations.

Package: tryton-modules-stock-lot
Description-md5: 8eed01d6383053f8a174b9728018ee6f
Description-en: Tryton Application Platform (Stock Lot Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module for lot management.
 .
  * A lot is by default just a number to tag moves of products.
  * It is possible to define per product, if the lot is required (per type of
  location).

Package: tryton-modules-stock-lot-sled
Description-md5: d2d9dfe2defc4c58d0682463a2d594ae
Description-en: Tryton Application Platform (Stock Lot SLED Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module handles the following aspects on product lots:
 .
  * Shelf Live Expiration Date
  * Expiration Date

Package: tryton-modules-stock-package
Description-md5: b0b59162ae2227e56cf0b68dd47f8891
Description-en: Tryton Application Platform (Stock Package Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package allows one to store packaging information about outgoing
 shipments.

Package: tryton-modules-stock-package-shipping
Description-md5: 198c8630ad83036da24d5c27994c3c15
Description-en: Tryton Application Platform (Stock Package Shipping Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module is the base module required to interact with shipping service
 providers.

Package: tryton-modules-stock-package-shipping-dpd
Description-md5: ed7546eadff6048303b5cc5d5f8956e6
Description-en: Tryton Application Platform (Stock Package Shipping DPD Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to generate the DPD label using DPD webservices.
 .
 Note: There exist different DPD APIs for different countries. This module
 currently supports only the API available at public-ws.dpd.com (mostly used in
 the Netherlands/Belgium). Please assure with your DPD subsidiary that you
 can use this API.

Package: tryton-modules-stock-package-shipping-ups
Description-md5: 47e25a67f6aee26a2c3e34baac5134d0
Description-en: Tryton Application Platform (Stock Package Shipping UPS Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module allows one to generate the UPS label using the UPS webservices.

Package: tryton-modules-stock-product-location
Description-md5: 7b1c2d243ef567a64dd6a1a79e6d178a
Description-en: Tryton Application Platform (Stock Product Location Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module to define default storage location by
 warehouse on products.

Package: tryton-modules-stock-shipment-measurements
Description-md5: a1b9dad71295e2bed6dbbe8e06bcd180
Description-en: Tryton Application Platform (Stock Shipment Measurements Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds weight and volume on shipments and packages.

Package: tryton-modules-stock-split
Description-md5: 509e7e0c909e7b19b4ac994654a9c8b9
Description-en: Tryton Application Platform (Stock Move Split Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds a wizzard on the stock move, that allows one to split it.

Package: tryton-modules-stock-supply
Description-md5: b8e1c50b8a8787bba322e5361a470447
Description-en: Tryton Application Platform (Stock Supply Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module for supply management with:
 .
  * Order points
  * Purchase Requests
 .
 Providing scheduler tasks:
 .
  * to generate purchase requests based on order points.
  * to generate internal shipments based on order points.

Package: tryton-modules-stock-supply-day
Description-md5: 619844ced77381f7628193887b3b17dd
Description-en: Tryton Application Platform (Stock Supply Day Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module for supply management to define the delivery
 days of the week by suppliers.

Package: tryton-modules-stock-supply-forecast
Description-md5: 068687a6a7d63f69ee7634fea7a17a4b
Description-en: Tryton Application Platform (Stock Supply Forecast Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains the module for supply forecast management.
 .
  * This glue module must be installed, if both modules stock-supply and
  stock-forecast are installed.

Package: tryton-modules-stock-supply-production
Description-md5: 4d761c20f822ad8af2e9cc02f8baae2d
Description-en: Tryton Application Platform (Stock Supply Production Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package adds automatic supply mechanisms via production requests.
 .
  * It adds a new type of Order Point: Production
  * The production requests are created by the schedulers with respect to stock
    levels and existing requests.

Package: tryton-modules-timesheet
Description-md5: 2caa49f446243e1057a4723b0e3bfde2
Description-en: Tryton Application Platform (Timesheet Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to define timesheets with work and timesheet
 lines. It contains reports for hours per work, hours per employee per week,
 hours per employee per month.

Package: tryton-modules-timesheet-cost
Description-md5: ee7b9b222e512daf93cdfb2b58a45545
Description-en: Tryton Application Platform (Timesheet Cost Module)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This module adds the possibility to define costs for employees on timesheets.

Package: tryton-proteus
Description-md5: fc1f7797894f15c5da38b85099be304b
Description-en: Tryton Application Platform (Proteus)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth).
 .
 This package contains Proteus, a library to access a Tryton server as a
 client. This library can access Tryton's models by connecting to a Tryton
 server via XML-RPC or by using trytond as a module.

Package: tryton-server
Description-md5: 0752247a207d7dfa93f85e9cc6fd39a3
Description-en: Tryton Application Platform (Server)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth). It is written in Python and uses PostgreSQL
 as its preferred database engine.
 .
 This package contains the server.

Package: tryton-server-doc
Description-md5: 7e5df63d57c031ac7413d1406bb2a65d
Description-en: Tryton Application Platform (Server Documentation)
 Tryton is a high-level general purpose application platform. It is the base
 of a complete business solution as well as a comprehensive health and hospital
 information system (GNUHealth). It is written in Python and uses PostgreSQL
 as its preferred database engine.
 .
 This package contains the documentation of the server in HTML format.

Package: tsdecrypt
Description-md5: dd2144443eb050bb87c19c6d16b311d2
Description-en: decrypt MPEG transport stream
 tsdecrypt reads incoming MPEG transport stream over UDP/RTP and
 then decrypts it using libdvbcsa and keys obtained from OSCAM or
 similar cam server. tsdecrypt communicates with CAM server using
 cs378x (camd35 over tcp) protocol or newcamd protocol.

Package: tse3play
Description-md5: 21a12a190384152f5624fd58ff626fe9
Description-en: MIDI/TSE3MDL player/converter
 tse3play plays TSE3MDL files and MIDI files using the TSE3 sequencer
 engine.
 .
 It can convert files between the two supported formats. While playing
 it provides text-based visual feedback and can stream an English
 representation of the contents of the file to standard output.

Package: tshark
Description-md5: 17aa46d351c7c1a5aa0e49637765c939
Description-en: network traffic analyzer - console version
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides the console version of wireshark, named
 "tshark".

Package: tsmarty2c
Description-md5: c35e754b4dacbfcbe78ee83ebb0732d7
Description-en: Smarty Gettext's translation string ripper
 Smarty Gettext is a Smarty plugin providing internationalization support
 based on the standard gettext mechanism. Smarty is a popular PHP templating
 engine.
 .
 This package contains the tsmarty2c program: it lets you extract
 localizable strings from a Smarty template so that you can use the
 normal gettext tools afterwards.

Package: tsocks
Description-md5: eb9d212e78864f15790b413a173e827e
Description-en: transparent network access through a SOCKS 4 or 5 proxy
 tsocks provides transparent network access through a SOCKS version 4
 or 5 proxy (usually on a firewall). tsocks intercepts the calls
 applications make to establish TCP connections and transparently
 proxies them as necessary. This allows existing applications to use
 SOCKS without recompilation or modification.

Package: tss2
Description-md5: a2a1b429cb2ca471a2b749fdeb89b882
Description-en: IBM's TCG Software Stack (TSS) for TPM 2.0 and related utilities
 TSS2 is a user space Trusted Computing Group's Software Stack (TSS) for
 TPM 2.0.  It implements the functionality equivalent to the TCG TSS
 working group's ESAPI, SAPI, and TCTI layers (and perhaps more) but with
 a hopefully far simpler interface.
 .
 It comes with about 80 "TPM tools" that can be used for rapid prototyping,
 education and debugging.

Package: tstools
Description-md5: 6c79162540231386d8d6d53dccab79a0
Description-en: set of tools for reporting on and manipulating MPEG data
 TStools is a set of cross-platform command line tools for working with MPEG
 data.
 .
 The emphasis is on relatively simple tools which concentrate on MPEG (H.264
 and H.262) data packaged according to H.222 (i.e., TS or PS), with a particular
 interest in checking for conformance.
 .
 Transport Stream (TS) is typically used for distribution of cable and
 satellite data. Program Stream (PS) is typically used to store data on DVDs.
 .
 The tools are focussed on:
  * Quick reporting of useful data (tsinfo, stream_type)
  * Giving a quick overview of the entities in the stream (esdots, psdots)
  * Reporting on TS packets (tsreport) or ES units/frames/fields (esreport)
  * Simple manipulation of stream data (es2ts, esfilter, esreverse, esmerge,
    ts2es)
  * Streaming of data, possibly with introduced errors (tsplay)

Package: tsung
Description-md5: f877907c521c73a4a97354cb2949abf6
Description-en: distributed multi-protocol load testing tool
 Tsung is a distributed load testing tool. It can be used to stress
 HTTP, WebDAV, SOAP, PostgreSQL, MySQL, LDAP and Jabber/XMPP servers.
 .
 The purpose of Tsung is to simulate users in order to test the
 scalability and performance of IP based client/server applications.
 You can use it to do load and stress testing of your servers. Many
 protocols have been implemented and tested, and it can be easily
 extended. WebDAV, LDAP and MySQL support have been added recently
 (experimental).
 .
 For HTTP, it support 1.0 and 1.1 version, has a proxy mode to
 record sessions, support GET and POST method, Cookies and Basic
 WWW-authentication. It also has support for SSL.
 .
 It can be distributed on several client machines and is able to
 simulate hundreds of thousands of virtual users concurrently (or even
 millions if you have enough hardware ...).

Package: ttf-aenigma
Description-md5: 737185fc61d4dd368ba09fdb8b5f6ab9
Description-en: 465 free TrueType fonts by Brian Kent
 Fonts included in this package:
 .
  * 10.15 Saturday Night, R
  * 18 Holes
  * 36 days ago, Thick
  * 3D LET
  * Foreshadow, OL
  * 8-bit Limit, R, RO
  * 90 Stars
  * Blox
  * Conduit 2 Italics
  * Splatz
  * Stranded
  * Swirled
  * TRAGIC
  * Unexplored Galaxies, W, O, WO
  * Acid Reflux
  * Acknowledge
  * AEnigma Scrawl 4
  * AE Systematic TT
  * Aftermath
  * Alpha Beta
  * Amalgamate, O
  * Amplitude
  * Arthritis
  * Aspartame
  * Ataxia
  * Ataxia Outline
  * Automatica
  * Bend 2 Squares
  * Bend 2 Squares OL1
  * Bend 2 Squares OL2
  * Backlash
  * Bandwidth Bandless
  * Bandwidth Bandmess
  * Bandwidth
  * Bendable
  * Bewilder
  * Bewilder Thick
  * Binary 01s
  * Binary
  * Binary X
  * Binary CHR
  * Binary X 01s
  * Binary X CHR
  * Bit Blocks TTF
  * Brass Knuckle SS
  * Brass Knuckle Star
  * Blackoninaut
  * Bleak Segments
  * Block Tilt
  * Blackoninaut Bold, Redux
  * Bobcaygeon
  * Bobcaygeon Plain
  * Bocuma
  * Bocuma Angle Dent
  * Bocuma Batty, Dent, Angle
  * Brass Knuckle
  * Brigadoom, Wide
  * Bumped
  * Candy Stripe
  * Corpulent Caps
  * Corpulent Caps Shadow
  * Chemical Reaction A, B
  * Chintzy CPU, Shadow
  * Chumbly
  * Circulate
  * Classic Trash 1, 2
  * CLAW 1, 2
  * Cleaved TTR
  * Code Of Life
  * Collective RO, RS, O, S
  * Combustion I, II, Plain, Tall, Wide
  * Compliant Confuse 1o, 1s, 2o, 2s, 3o, 3s
  * Conduit, 2
  * Crackdown R2, R, O1, O2
  * Dark Side
  * Dash Dot
  * Dastardly
  * DB Layer 1, 2, 3, 4
  * Double Bogey
  * Decrepit
  * Dented
  * Dephunked
  * Detonate
  * Discordance
  * Draggle
  * Draggle over kerned
  * Dynamic
  * Dyphusion
  * Dystorque
  * Ecliptic
  * Edit Undo
  * Edit Undo Dot
  * Edit Undo Line
  * Elsewhere, 2
  * Embossing Tape 1, 2, 3
  * Encapsulate, Plain
  * Entangled, Plain
  * Enthuse, Solid
  * Entangled Layer A, B
  * Euphoric, 3D
  * Exaggerate
  * Extraction
  * False Positive, Round
  * Fascii, Cross, Scraggly, Smudge, Twigs
  * Faux Snow
  * Fatboy Slim BLTC, BLTC 2
  * Fidgety
  * Flipside
  * Forcible
  * Freak Turbulence
  * Frizzed
  * Fully Completely
  * Galapogos
  * Galvanize
  * Gaposis Outline, Solid
  * Gasping
  * Gather, Gapped
  * genotype H, S, RH, RS
  * Gesture, Slant, Thin, Thin Slant
  * Goose Bumps, II
  * Great Heights
  * Granular
  * Grapple
  * Graveyard
  * Gravitate, Segments
  * Graze
  * Grotesque
  * Grudge, 2
  * Gyneric, 3D
  * Gyrose, Shift, Squeeze
  * Hack & Slash
  * Hairball
  * Hand Me Down O, S
  * Hassle
  * Heavy Bevel
  * Head-Ding Maker
  * Hearts
  * Hillock
  * Homespun TT
  * Hyde
  * Hyperion Sunset
  * It Lives In The Swamp
  * Impossibilium
  * Inertia
  * Inevitable
  * Ink Swipes
  * Ink Tank
  * Intersect, C, O
  * Irritate
  * Jagged
  * Janken
  * Jargon
  * Jasper, Solid
  * Jawbreaker, Hard
  * Jekyll
  * Jeopardize, Thick
  * Johnny Mac Scrawl
  * Jolt Of Caffeine
  * Jupiter Crash
  * Kaliber Round, Solid, Xtreme
  * Katalyst active, inactive
  * Key Ridge, alt
  * Kickflip
  * Kinkaid
  * Kirby No Kira Kizzu
  * Knot
  * Konector O1, O2
  * Konector, Eerie
  * Kurvature
  * Lakeshore
  * Lamebrain
  * Larkspur
  * Lethargic
  * Licorice Strings
  * Lights Out
  * Line Dings
  * Loopy
  * Lowdown
  * Lucid Type A, B, B Outline, A Outline
  * Lyneous, Linear
  * LYNX
  * Macropsia
  * Mad's Scrawl
  * Mishmash 4x4i, 4x4o, ALT1, ALT2, Fuse
  * Mincer
  * Mini Kaliber O TT, S TT
  * Mishmash
  * Mobilize
  * Monkey Phonics
  * Moronic Misfire
  * Mysterons
  * Nanosecond Wide
  * Naughts
  * Neural, Outline
  * Nominal
  * Nostalgia
  * Not Quite Right
  * Nanosecond Thick, Thin
  * Nucleus
  * Numskull
  * Nymonak
  * Obloquy Outline, Solid
  * Obstacle, Lines
  * Off Kilter L, R
  * Opiated
  * Orbicular
  * Outer Sider
  * Overhead
  * Perfect Dark
  * Persuasion
  * Phorfeit Regular, Slant
  * Pincers
  * Pindown, Plain, X, X Plain
  * Pixel Krud
  * Plasma Drip, Empty
  * Pneumatics , Tall, Wide
  * Powderworks
  * Pseudo
  * Qbicle 1, 2, 3, 4
  * Qlumpy, Shadow
  * Quacksalver
  * Quadratic, Cal
  * Quandary
  * Quantum Flat Hollow, Flat, Round Hollow, Round, Taper
  * Quarantine
  * Quarterly Thick, Thin
  * Queasy, Outline
  * Quill Experimental O, S
  * Rambling
  * Ravaged By Years
  * Ravenous Caterpillar
  * Raydiate
  * Reason, Shadow
  * Redundant
  * Regenerate
  * Registry
  * Rehearsal Curve, Offset, Point
  * Relapse
  * Revert, Round
  * Rotund, Outline
  * Rough Day
  * Ryuker
  * Sarcastic
  * Saunder
  * Scalelines, Maze
  * Sequence
  * Setback TT
  * Sideways
  * Simpleton
  * Skull Capz
  * Slender, Wide, Mini, Stubby
  * Snailets
  * Spacious, Outline
  * Spastic
  * Spheroids, X
  * Square Route
  * Stagnation
  * Supra Genius Curves, Lines
  * Symmetry
  * Syndrome
  * Synthetic
  * Syracuse
  * Tearful
  * Technique, OL
  * techno overload
  * Telephasic
  * Tetricide
  * Thwart
  * Tonik
  * Typesource Extol O, S
  * Turmoil
  * Ubiquity
  * Unanimous, Inverted
  * Underscore
  * Underwhelmed, Outline
  * Underscore 2
  * UNITED
  * Unlearned, 2
  * Unresponsive
  * Upheaval TT
  * Upraise
  * Your Complex I, O
  * Vacant Capz
  * Vanished
  * Vantage
  * Variance
  * Vertigo, 2
  * Vertigo Upright, 2
  * Vigilance
  * Vindictive
  * Visitor TT1, TT2
  * Volatile 1, 2
  * Wager, Lost, Won
  * waver
  * Wayward, Shadow
  * Weathered, Solid
  * Weaver
  * Whatever
  * Whippersnapper
  * Wiggly Squiggly
  * Wincing
  * Withstand
  * Wobbly
  * Wyvern Wings, Wyde
  * Xerox Malfunction
  * Xhume
  * Xipital
  * Xmas Lights
  * Xtrusion
  * Yearend
  * Yesterday
  * Yielding
  * Yonder
  * Yoshi's Story game text
  * Your Complex
  * Zelda DX TT
  * Zenith
  * Zephyrean, Gust
  * Zero Velocity
  * Zirconia, Cubic
  * Zoetrope
  * Zoidal
  * Zurklez Outline, Solid

Package: ttf-ancient-fonts
Description-md5: 03028caf6d369d68b69333f729c3689a
Description-en: Unicode Fonts for Ancient Scripts (transitional package)
 This dummy package is provided for a smooth transition from the
 previous ttf-ancient-fonts package to the fonts-ancient-scripts package.
 .
 It may be safely removed after installation.

Package: ttf-ancient-fonts-symbola
Description-md5: 9f0f72aff4d396c887ba7e2717420d92
Description-en: symbolic font providing emoji chars from Unicode 9.0 (transit. pkg)
 This dummy package is provided for a smooth transition from the
 previous ttf-ancient-fonts-symbola package to the fonts-symbola package.
 .
 It may be safely removed after installation.

Package: ttf-anonymous-pro
Description-md5: 801a623fcb9db0f001245f8c19084661
Description-en: fixed width sans serif font designed for coders
 Anonymous Pro (2009) is a family of four fixed-width fonts designed
 especially with coding in mind. Characters that could be mistaken for
 one another (O, 0, I, l, 1, etc.) have distinct shapes to make them
 easier to tell apart in the context of source code.
 .
 Anonymous Pro also features an international, Unicode-based character
 set, with support for most Western and European Latin-based languages,
 Greek, and Cyrillic. It also includes special “box drawing” characters
 for those who need them.
 .
 While Anonymous Pro looks great on Macs, Windows and Linux PCs with
 antialiasing enabled, it also includes embedded bitmaps for specific
 pixel sizes ("ppems" in font nerd speak) for both the regular and bold
 weight. (Since slanted bitmaps look pretty bad and hard to read at the
 supported sizes, it was chosen to use the upright bitmaps for the
 italics as well.) Bitmaps are included for these ppems: 10, 11, 12, and
 13.

Package: ttf-bitstream-vera
Description-md5: 7f8a78d5f8eee7e0e83e7189c19d79ca
Description-en: The Bitstream Vera family of free TrueType fonts
 This is a set of high-quality TrueType fonts created by Bitstream, Inc. and
 released under a DFSG-free license. They are intended to remedy the lack of
 free high-quality fonts for the free desktop environments.
 .
 They fully cover Western European languages (ISO-8859-1, ISO-8859-15) and
 Turkish (ISO-8859-9). They also include a selection of mathematical and other
 symbols and some limited support for Eastern European languages (parts of
 ISO-8859-2). Non-latin scripts are not supported (use ttf-dejavu instead).

Package: ttf-dejavu
Description-md5: d022b4cd65360a59d321e029d730c59e
Description-en: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.

Package: ttf-dejavu-core
Description-md5: d022b4cd65360a59d321e029d730c59e
Description-en: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.

Package: ttf-dejavu-extra
Description-md5: d022b4cd65360a59d321e029d730c59e
Description-en: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.

Package: ttf-denemo
Description-md5: 1761521d8292b5860ad7eee03496a77a
Description-en: music notation symbol fonts for denemo
 GNU Denemo is a GUI musical score editor written in C/GTK+. It is
 intended primarily as a front end to GNU Lilypond, but is adaptable to
 other computer-music-related purposes as well.
 .
 This package contains the Music Notation Symbol Fonts.

Package: ttf-engadget
Description-md5: 710d81c5d553d319b585d11345ee3d0d
Description-en: Modern font from John Stracke
 This is the font used to create the engadget.com logo.

Package: ttf-freefarsi
Description-md5: d022b4cd65360a59d321e029d730c59e
Description-en: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.

Package: ttf-georgewilliams
Description-md5: 1a44d516302a0aea96db5277df95cfba
Description-en: transitional dummy package
 This package is a dummy transitional package. It can be safely removed

Package: ttf-isabella
Description-md5: d022b4cd65360a59d321e029d730c59e
Description-en: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.

Package: ttf-jsmath
Description-md5: f8026341185489a7fd802b2f48eb1c67
Description-en: transition dummy package.
 This dummy package is provided for a smooth transition from the
 previous ttf-jsmath package to the fonts-jsmath package.
 .
 It may be safely removed after installation.

Package: ttf-radisnoir
Description-md5: 8715d8eaab737ca9505bf66f71d8457a
Description-en: transitional dummy package for fonts-radisnoir
 The package ttf-radisnoir has been renamed to fonts-radisnoir and
 this package is here to handle the transition.
 .
 It can safely be removed.

Package: ttf-sjfonts
Description-md5: b61d7b5eb0b7719e2474dcd838a92f96
Description-en: Some Juicy Fonts handwriting fonts
 This package contains two handwriting fonts created by Steve Jordi,
 Delphine and SteveHand, in TrueType format.

Package: ttf-staypuft
Description-md5: 2c9de029f65418b6f20a643ddc3fa93d
Description-en: Free TrueType font
 Stay-Puft is a font developed freehand, with minimal splines. It's all
 rounded and a sort of marshmallowy, so the author thought on it as the
 Stay-Puft Marshmallow Font (see Ghostbusters if you don't get it).
 The outcome looks sort of like Comic Sans MS. It's kind of cute, and
 might be good for frivolous stuff such as birthday cards.
 .
 It covers only languages using latin1 (ISO-8859-1).

Package: ttf-summersby
Description-md5: 803957b28758b9816b61796beb3f352b
Description-en: Free TrueType typeface font
 Summersby is a free TrueType typeface (font) with partial Unicode support
 including most West European and Cyrillic languages.
 .
 The main goal is on-screen legibility and support for certain languages.

Package: ttf-tagbanwa
Description-md5: 185a7ccdb737f5d9e4ee315da4721636
Description-en: font for the Tagbanwa script
 A free Unicode TrueType font for the Tagbanwa script.

Package: ttf-ubuntu-font-family
Description-md5: 7a297c725c1596b8d4b412c04df94b1c
Description-en: sans-serif font set from Ubuntu (transitional package)
 The Ubuntu Font Family is a set of contemporary sans-serif fonts developed
 between 2010-2011. Dalton Maag performed the font design work and
 implementation with funding from Canonical. The fonts have been the default
 fonts for Ubuntu since 2010.
 .
 This is a transitional package.

Package: ttf2ufm
Description-md5: 00bf7087f00ff277c2c01b57019604ee
Description-en: True Type to PostScript Type 1 Font Converter
 Ttf2ufm is a font converter from the True Type format (and some other
 formats supported by the FreeType library as well) to the Adobe Type1
 format.  Ttf2ufm is a modified version of Mark Heath's TTF 2 PT1
 converter.  It allows one to create AFM and/or UFM files.

Package: ttfautohint
Description-md5: 17211c996ea974a4ea562a4b8f661990
Description-en: Automatic font hinter
 This project provides a library which takes a TrueType font as the input,
 removes its bytecode instructions (if any), and returns a new font where all
 glyphs are bytecode hinted using the information given by FreeType's
 autohinting module. The idea is to provide the excellent quality of the
 autohinter on platforms which don't use FreeType.
 .
 This includes a cli and a gui version of the tool.

Package: tth
Description-md5: ad0afde5ff204aac78eeec68caccfa71
Description-en: TeX/LaTeX to HTML converter
 LaTeX is popular for specifying complex printed documents.
 TtH translates Plain TeX or LaTeX sources into HTML documents.
 It quickly produces web documents that are compact, editable
 and fast viewing. TtH translates most equations
 instead of converting them into images. This HTML preserves much format
 when imported by MS Word.

Package: tth-common
Description-md5: 869aa3f119ee9568db80b8de2e9693e3
Description-en: auxiliary software material for TtH and TtM
 TtH and TtM convert TeX or LaTeX sources into HTML and MathML documents
 respectively: complex equations and graphics require auxiliary software
 material built upon [La]TeX friends, in particular upon tools to convert
 PostScript outputs into images.

Package: tthsum
Description-md5: b1d2afb906b9449df8d7d2540a4a59e6
Description-en: generates or checks TTH message digests
 tthsum generates or checks TTH checksums (root of the THEX hash
 tree).  The Merkle Hash Tree, invented by Ralph Merkle, is a hash
 construct that exhibits desirable properties for verifying the
 integrity of files and file subranges in an incremental or
 out-of-order fashion.  tthsum uses the Tiger hash algorithm for both
 the internal and the leaf nodes.
 .
 The specification of the THEX algorithm is at:
 http://www.open-content.net/specs/draft-jchapweske-thex-02.html
 .
 The specification of the Tiger hash algorithm is at:
 http://www.cs.technion.ac.il/~biham/Reports/Tiger/

Package: ttm
Description-md5: 4331dad29f18c960b58de7e4069755ed
Description-en: TeX/LaTeX to MathML converter
 LaTeX is popular for specifying complex printed documents.
 TtM translates Plain TeX or LaTeX sources into HTML documents
 with their mathematics in MathML. It quickly produces web documents
 that are compact, editable and fast viewing. TtM translates almost all
 equations instead of converting them into images. TtM is a sister to
 TtH in package tth which translates TeX/LaTeX mathematics to HTML.

Package: ttv
Description-md5: 4e4c587899af3bfee40b0e777aee2c68
Description-en: television viewer - console application
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 TTV is a console application, based on aalib, which displays
 television channels. It supports video4linux devices.

Package: tty-clock
Description-md5: 44b111d9646535b76f8c6095e33d5bea
Description-en: simple terminal clock
 tty-clock is a simple ncurses-based clock that shows the time and date
 using a large display. It has a few commandline options to customize
 the output.

Package: ttygif
Description-md5: 49fed32f78e0682e9bb014b4fb9262b5
Description-en: generate gif animations from ttyrec terminal recordings
 Usage:
 Record a terminal session with "ttyrec <filename>". Terminate with Ctrl-D
 Run "ttygif <filename>" to generate a gif animation.

Package: ttyload
Description-md5: 49ec7e7c37a023910ffc8c86b2c9bdee
Description-en: console based color-coded graphs of CPU load average
 Display fairly standard, but hard-coded, ANSI ASCII escape sequences
 for screen manipulation and colorization for different graphs: 1
 minute, 5 minute, 15 minute load averages.
 .
 See http://www.daveltd.com/src/util/ttyload/screenshots.html

Package: ttylog
Description-md5: 336e1c6536c98d0cc17391af03765a56
Description-en: serial port logger
 Print everything that comes from a serial device to stdout. The device as well
 as the baud rate can be specified and a timeout can be set instead of just
 killing the process in order to stop it.

Package: ttyrec
Description-md5: 9fa209fec3a260c5be8cad1cc184813d
Description-en: Terminal interaction recorder and player (for tty)
 This package includes "ttyrec", a tty recorder which records terminal
 interaction, and "ttyplay", the player to see that intereaction.
 .
 Ttyrec records your terminal input and output, like the "script" command,
 but additionally records timing information to allow playback at the original
 speed.  It can record any console program, including screen-oriented programs
 such as those using curses.

Package: ttysnoop
Description-md5: 60b90ebb8f84214f504d7151f178fafe
Description-en: allows you to spy on telnet+serial connections
 TTYSnoop allows you to snoop on login tty's through another tty-device
 or pseudo-tty. The snoop-tty becomes a 'clone' of the original tty,
 redirecting both input and output from/to it.

Package: tua
Description-md5: 38e14f2a5ef13940be573422940bb013
Description-en: The UUCP Analyzer
 Its purpose is to collect all the information that the UUCP package puts
 in its various logs. It then builds up a clear report, showing data from
 several different points of view.

Package: tuareg-mode
Description-md5: 9ea2958e486e59474d6be28560bdc9f2
Description-en: transitional package, tuareg-mode to elpa-tuareg
 Tuareg for GNU Emacs has been elpafied.  This dummy transitional
 package facilitates the transition from tuareg-mode to
 elpa-tuareg-mode and is safe to remove.

Package: tucnak
Description-md5: 646fbba649d8eec2fe39050465c8251f
Description-en: VHF/UHF/SHF Hamradio contest logging program
 Tucnak is a VHF/UHF/SHF logging program for hamradio contests.
 It supports multi bands, free input, networking,
 voice and CW keyer, WWL database and much more.

Package: tudu
Description-md5: 1df72d79e3aa8e7b6fe3631843b3b3c6
Description-en: Command line hierarchical ToDo list
 ToDo list manager in ncurses, with hierarchical representation of the tasks.
 Each task has:
   * Title
   * Long text description
   * Deadline (tudu warns you when the date is approaching)
   * Categories
   * Priorities

Package: tumbler
Description-md5: a5030451f9eeff125ba796dc8b010cab
Description-en: D-Bus thumbnailing service
 Tumbler is a D-Bus service for applications to request thumbnails for various
 URI schemes and MIME types. It is an implementation of the thumbnail management
 D-Bus specification described on http://live.gnome.org/ThumbnailerSpec.

Package: tumbler-common
Description-md5: 7d920fe244c4b38ef9a5a160f1fa8a8e
Description-en: D-Bus thumbnailing service (common files)
 Tumbler is a D-Bus service for applications to request thumbnails for various
 URI schemes and MIME types.
 .
 This package contains files common to the various binary packages.

Package: tumbler-plugins-extra
Description-md5: f5ce19d5778b30147efb0eda5a924797
Description-en: D-Bus thumbnailing service (additional plugins)
 Tumbler is a D-Bus service for applications to request thumbnails for various
 URI schemes and MIME types. It is an implementation of the thumbnail management
 D-Bus specification described on http://live.gnome.org/ThumbnailerSpec.
 .
 This package adds the following plugins to tumbler:
  - Cover thumbnailer for movies
  - FFMpeg/Libav thumbnailer for video files
  - OpenDocument Format thumbnailer
  - Raw Digital Camera Images thumbnailer.

Package: tumiki-fighters
Description-md5: a34d2be6d4b397e52c59edcd1d727c20
Description-en: sticky 2D shooter
 Tumiki Fighters brings a different twist to the side-scrolling shooter genre.
 With simple 3D graphics, the player has to control a ship and shoot down the
 enemies. The player can swoop in and attach the wreckage to its own hull for
 bonus points and protection from enemy attacks. .
 .
 Tumiki Fighters is another gem among many by Kenta Cho.

Package: tumiki-fighters-data
Description-md5: f0ba21c864f05c056ce128712a5c5a8e
Description-en: sticky 2D shooter - game data
 Tumiki Fighters brings a different twist to the side-scrolling shooter genre.
 With simple 3D graphics, the player has to control a ship and shoot down the
 enemies. The player can swoop in and attach the wreckage to its own hull for
 bonus points and protection from enemy attacks. .
 .
 This package includes the architecture-independent data for the Kenta Cho's
 game Tumiki Fighters.

Package: tuna
Description-md5: ddd45a6a9495c2202ea8524c534ceaa9
Description-en: Low-level system performance tuning for realtime systems
 Tuna is used to change the attributes of application and kernel threads, IRQs,
 CPUs, and CPU sockets. It can change scheduling policy, scheduler priority and
 processor affinity for processes and process threads. It can also change the
 processor affinity for interrupts, isolate CPUs, and spread threads or IRQs
 across CPUs.
 .
 Only the command-line components are available in this package.

Package: tuned
Description-md5: 87e3b0b7505d9349fbad7405ea5bd012
Description-en: daemon for monitoring and adaptive tuning of system devices
 The tuned package contains a daemon that tunes system settings dynamically.
 It does so by monitoring the usage of several system components periodically.
 Based on that information components will then be put into lower or higher
 power saving modes to adapt to the current usage. Currently only ethernet
 network and ATA harddisk devices are implemented.

Package: tuned-gtk
Description-md5: 907a68dc4eeec38341dc1c823536f50c
Description-en: GTK+ GUI for tuned
 The tuned package contains a daemon that tunes system settings dynamically.
 It does so by monitoring the usage of several system components periodically.
 Based on that information components will then be put into lower or higher
 power saving modes to adapt to the current usage. Currently only ethernet
 network and ATA harddisk devices are implemented.
 .
 This package contains the GTK+ GUI that can control tuned and provides simple
 profile editor.

Package: tuned-utils
Description-md5: 086031ccce662e8ab0d5814183cadfcf
Description-en: various tuned utilities
 The tuned package contains a daemon that tunes system settings dynamically.
 It does so by monitoring the usage of several system components periodically.
 Based on that information components will then be put into lower or higher
 power saving modes to adapt to the current usage. Currently only ethernet
 network and ATA harddisk devices are implemented.
 .
 This package contains utilities that can help you to fine tune and
 debug your system and manage tuned profiles.

Package: tuned-utils-systemtap
Description-md5: ed95c7665ca65c37ed45ecc0ef1ae224
Description-en: disk and net statistic monitoring systemtap scripts
 The tuned package contains a daemon that tunes system settings dynamically.
 It does so by monitoring the usage of several system components periodically.
 Based on that information components will then be put into lower or higher
 power saving modes to adapt to the current usage. Currently only ethernet
 network and ATA harddisk devices are implemented.
 .
 This package contains several systemtap scripts to allow detailed
 manual monitoring of the system. Instead of the typical IO/sec it collects
 minimal, maximal and average time between operations to be able to
 identify applications that behave power inefficient (many small operations
 instead of fewer large ones).

Package: tunnelx
Description-md5: 4e602b94c022942b27ac5a95660d6d56
Description-en: Cave Survey drawing software
 Tunnel enables you to draw cave surveys based on Survex-compatible
 centreline data. Drawings will be adjusted to fit if the underlying
 data moves due to loop closures. Original drawings/scans/pockettopo
 imports can be used as a basis to draw over. Complex multi-layered
 surveys can be drawn, with flexible facilties for colour-grouping
 and adding images or background maps. The application can use data
 stored online and works on all major platforms.

Package: tup
Description-md5: 37d97ee5af776ca2ce6ff73cfb41869e
Description-en: fast build system
 Tup is a file-based build system for Linux, OSX, and Windows. It
 takes as input a list of file changes and a directed acyclic graph
 (DAG). It then processes the DAG to execute the appropriate commands
 required to update dependent files. Updates are performed with very
 little overhead since tup implements powerful build algorithms to
 avoid doing unnecessary work. This means you can stay focused on your
 project rather than on your build system.

Package: tupi
Description-md5: ea0a864a38ab5881b8808e0c0c8e9dd9
Description-en: 2D Animation design and authoring tool
 Tupi: 2D Magic is a design and authoring tool for digital artists interested
 in 2D Animation, offering an interface experience focused on 8-100 years old
 kids. It's source code is based on the KTooN project.
 .
 Some of its main features are: basic illustration tools (shapes, fill, text),
 gradient tools, onion skin, brushes editor, pencil with smoothness support,
 basic object library (for svg files and raster images) and many others.
 .
 Using its modules of Animation and Reproduction you can export 2D projects
 to several formats as OGG, MPEG, AVI, MOV and SWF. Additionally, the
 option of exporting Image arrays as output is available.

Package: tupi-data
Description-md5: 1d6d97fced41217c83dbaf222f25f86e
Description-en: Data files for tupi (2D Animation design and authoring tool)
 Tupi: 2D Magic is a design and authoring tool for digital artists interested
 in 2D Animation, offering an interface experience focused on 8-100 years old
 kids. It's source code is based on the KTooN project.
 .
 Some of its main features are: basic illustration tools (shapes, fill, text),
 gradient tools, onion skin, brushes editor, pencil with smoothness support,
 basic object library (for svg files and raster images) and many others.
 .
 Using its modules of Animation and Reproduction you can export 2D projects
 to several formats as OGG, MPEG, AVI, MOV and SWF. Additionally, the
 option of exporting Image arrays as output is available.
 .
 This package contains tupi's arch-independent files.

Package: tuptime
Description-md5: 1759db7bafae6107a247380d6d77f555
Description-en: report historical system real time
 Tuptime track and report historical and statistical real time of the
 system, keeping the uptime and downtime between shutdowns.
 Includes an Uptimed migration script.

Package: turing
Description-md5: 94ae82b4fca39c061d8130387c609a31
Description-en: assistant to learn algorithms and programming languages
 Turing is a free and cross-platform app whose main goal is to assist
 the learning of algorithms and programming languages by providing
 easy-to-use development tools to all.
 .
 It provides a lighter alternative to the well-known Algobox, which is
 the currently de-facto widely used solution.
 .
 It provides two work modes:
 .
 * Algorithm mode
     * Uses a "natural" pseudocode language similar to the one used in
       Algobox and school books.
     * Assisted development
 * Program mode
     * Uses Python, for the more experienced
 .
 In both modes, the code can be debugged and executed step-by-step to
 facilitate the problem-solving side of development.

Package: tutka
Description-md5: a030555aeddac862e6cfbffc6f0a360e
Description-en: tracker style MIDI sequencer
 This is a MIDI sequencer, songs are made of blocks. Each block
 represents a period in time. These blocks can be played in any order
 to form a complete song. Blocks are made of tracks on which the notes
 are placed. All tracks of a block are played simultaneously. Only
 one note can be played on one track at a time. Blocks can vary in
 length and may have different number of tracks.
 .
 Each note is played using an instrument. Each instrument can have
 properties such as name, MIDI channel, default volume, hold time and
 so on. Effects can also be applied to notes. In Tutka effects include
 things like volume, aftertouch, pitch bending, note delays, setting
 tempo and so on. MIDI controllers can also be used.
 .
 It uses a custom XML based file format for storing songs. Songs in
 OctaMED SoundStudio's MMD2 file format can also be loaded and saved.

Package: tuxcmd
Description-md5: 84ea6651823cf2acc1b44eec6608231f
Description-en: twin-panel (commander-style) file manager using GTK+ 2
 Tux Commander is a windowed file manager with 2 panels side
 by side similar to popular Total Commander or Midnight
 Commander file managers.
 .
 General features:
  * Two directory panels side by side (vertical)
  * Tabbed interface, buttons for quick access to favorite
    places
  * Configurable mounter bar for quick access to removable
    media and network shares
  * Multilingual user interface
  * Extendable via plugin system
  * Extension-based file type actions (associations)

Package: tuxcmd-modules
Description-md5: 6597dea93b6277922431449aefb0a559
Description-en: VFS modules for tuxcmd file manager
 This package contains additional VFS modules for tuxcmd. It
 provides the modules for GVFS and zip plugins.
 .
  * GVFS plugin: read/write access to network resources (FTP,
    SSH/SFTP, SMB, WebDAV)
  * zip plugin:
    - full read/write support for ZIP archives
    - full support for Unix file and directory permissions
    - password protection support (read/write)
  * libarchive plugin:
    - read-only support for tar, tar.gz, tar.bz2, iso (CD
      images/ISO9660), cpio, ar and deb archives

Package: tuxfootball
Description-md5: 2749df6986fb0f3b0e0863d598b09289
Description-en: great 2D soccer (sometimes called football) game
 It's bringing old style gameplay from DOS times back to the desktop with up
 to date graphics! Its gameplay is similar to old classics such as Amco's
 Kick Off and Sensible Software's Sensible Soccer.
 .
 The gameplay is designed to be quick, responsive and fun. You are always
 in control of the player closest to the ball. The ball is controlled via
 two different kick buttons - one for pass, and one for shoot. Aftertouch
 can be applied to shots by quickly pressing and holding the direction you
 want the ball to bend towards. Pushing in the opposite direction to what
 you kicked the ball makes it raise into the air, pushing in the same
 direction as the ball makes it dip towards the ground.

Package: tuxguitar
Description-md5: 005c914346bfc7295da2915cce8056b8
Description-en: Multitrack guitar tablature editor and player (gp3 to gp5)
 TuxGuitar is a multitrack Guitar tablature editor and player.
 It can open GP3, GP4, and GP5 files and exports in MIDI and PDF.

Package: tuxguitar-alsa
Description-md5: adddf5121617ec31d1ae52655055ceff
Description-en: tuxguitar plugin for sound playback using ALSA
 Use Tuxguitar along this plugin if your Sound Device is supported by ALSA.
 A MIDI expander is required (Hardware or Software).

Package: tuxguitar-fluidsynth
Description-md5: c4acbf41ddf894c73de855faf82d9a66
Description-en: tuxguitar plugin for sound playback using fluidsynth
 Use Tuxguitar along this plugin if your audio system is configured for
 fluidsynth as MIDI expander.

Package: tuxguitar-jack
Description-md5: b9d99c2d6f180da098d6e0573d91fa22
Description-en: tuxguitar plugin for sound playback using JACKD
 Use Tuxguitar along this plugin if your Sound Device is supported by JACK.
 A MIDI expander is required (Hardware or Software).

Package: tuxguitar-jsa
Description-md5: a482bc10254e0e171cfe780645b480f5
Description-en: tuxguitar plugin for sound playback using Java Sound API
 Use Tuxguitar along this plugin if unsure, other sounds can be used too.

Package: tuxguitar-oss
Description-md5: e66a5e2ab6263909dfd811037f8f7de6
Description-en: tuxguitar plugin for sound playback using OSS
 Use Tuxguitar along this plugin if your Sound Device is supported by OSS.
 A MIDI expander is required (Hardware or Software).

Package: tuxmath
Description-md5: 159f06317d778ade2cf689f3cf200ed8
Description-en: math game for kids with Tux
 "Tux, of Math Command" ("TuxMath," for short) is an
 educational arcade game starring Tux, the Linux mascot!
 Based on the classic arcade game "Missile Command," Tux
 must defend his cities. In this case, though, he must do
 it by solving math problems.

Package: tuxmath-data
Description-md5: 28575c9c1316e98a10f7be2339e59dad
Description-en: math game for kids with Tux -- data files
 "Tux, of Math Command" ("TuxMath," for short) is an
 educational arcade game starring Tux, the Linux mascot!
 Based on the classic arcade game "Missile Command," Tux
 must defend his cities. In this case, though, he must do
 it by solving math problems.
 .
 This package provides arch-independent data files.

Package: tuxonice-userui
Description-md5: 8b2a635fbea47418e777113af9b18558
Description-en: user-space interfaces for TuxOnIce
 TuxOnIce is an alternative implementation to suspend a Linux machine
 by writing its state to memory for later resuming. A kernel patch for
 TuxOnIce is available separately.
 .
 This package contains several user interfaces which improve the user's
 experience during the suspend and resume processes. It also adds TuxOnIce
 support to initramfs and provides a way to configure TuxOnIce settings.

Package: tuxpaint
Description-md5: a18d303e0d44faaa67c88245f6a961d1
Description-en: Paint program for young children
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 Tux Paint is extensible.  Brushes and "rubber stamp" shapes can be
 dropped in and pulled out.  For example, a teacher can drop in a
 collection of animal shapes and ask their students to draw an
 ecosystem.  Each shape can have a sound which is played, and
 textual facts which are displayed, when the child selects the shape.
 .
 There is no direct access to the computer's underlying intricacies.
 The current image is kept when the program quits, and reappears when
 it is restarted.  Saving images requires no need to create filenames
 or use the keyboard.  Opening an image is done by selecting it from
 a collection of thumbnails.

Package: tuxpaint-config
Description-md5: b3d1e742b77251bca0437a183d5f7ba7
Description-en: Configuration tool for Tux Paint
 Tux Paint has a rich set of configuration options, controllable via
 command-line options or configuration files.  This configuration tool
 provides a point-and-click interface for administrators to tailor Tux
 Paint to suit the needs of their users.

Package: tuxpaint-data
Description-md5: f4e09153eb3d5a0a07af0102266f4b8e
Description-en: Data files for Tux Paint, a paint program for children
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 Tux Paint is extensible.  Brushes and "rubber stamp" shapes can be
 dropped in and pulled out.  For example, a teacher can drop in a
 collection of animal shapes and ask their students to draw an
 ecosystem.  Each shape can have a sound which is played, and
 textual facts which are displayed, when the child selects the shape.
 .
 There is no direct access to the computer's underlying intricacies.
 The current image is kept when the program quits, and reappears when
 it is restarted.  Saving images requires no need to create filenames
 or use the keyboard.  Opening an image is done by selecting it from
 a collection of thumbnails.
 .
 This package contains sounds, images, fonts and other data for
 Tux Paint.

Package: tuxpaint-dev
Description-md5: 9bdd5cd1dc7d93dea43d7f7a1c9eb656
Description-en: Development files for Tux Paint
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 This package contains development files for writing "Magic" plugins
 for Tux Paint.

Package: tuxpaint-plugins-default
Description-md5: 83cf7dadd53d046b4a2f5c4e3d917328
Description-en: Magic tool plugins for Tux Paint
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 Tux Paint is extensible.  Brushes and "rubber stamp" shapes can be
 dropped in and pulled out.  For example, a teacher can drop in a
 collection of animal shapes and ask their students to draw an
 ecosystem.  Each shape can have a sound which is played, and
 textual facts which are displayed, when the child selects the shape.
 .
 There is no direct access to the computer's underlying intricacies.
 The current image is kept when the program quits, and reappears when
 it is restarted.  Saving images requires no need to create filenames
 or use the keyboard.  Opening an image is done by selecting it from
 a collection of thumbnails.
 .
 This package contains the default "Magic" plugins for Tux Paint.

Package: tuxpaint-stamps-default
Description-md5: f5e169abc43cf6bffd80485010cbe5b7
Description-en: Stamp files for Tux Paint, a paint program for children
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 Tux Paint is extensible.  Brushes and "rubber stamp" shapes can be
 dropped in and pulled out.  For example, a teacher can drop in a
 collection of animal shapes and ask their students to draw an
 ecosystem.  Each shape can have a sound which is played, and
 textual facts which are displayed, when the child selects the shape.
 .
 There is no direct access to the computer's underlying intricacies.
 The current image is kept when the program quits, and reappears when
 it is restarted.  Saving images requires no need to create filenames
 or use the keyboard.  Opening an image is done by selecting it from
 a collection of thumbnails.
 .
 This package contains sounds, images and other data for Tux Paint.

Package: tuxpuck
Description-md5: 78ba43d2530156eaf22ee3b0b2de93a5
Description-en: air hockey game for single players
 TuxPuck is an air hockey game and clone of the Amiga/Atari ST game Shufflepuck
 Café. The player moves a bat around a table and tries to knock the puck past
 the opponent's defense. After either party has reached 15 points, the match is
 over.

Package: tuxtype
Description-md5: 88e74d797488f65de7dea1a20782cfd6
Description-en: Educational Typing Tutor Game Starring Tux
 TuxTyping is an educational typing tutorial game starring
 Tux, the Linux Penguin. The player guides Tux to eat fish
 which are falling from the top of the screen. Each fish has a
 letter written on it. When the player presses the
 corresponding key, Tux will position himself to eat the fish.
 The game is intended for children learning to type, though it
 does have higher difficulty levels which even experienced
 typists may find challenging.

Package: tuxtype-data
Description-md5: 04b78274755f8c2f4bfa6d127960d3b0
Description-en: Data files for the Educational Typing Tutor Game Starring Tux
 This package contains graphics, sound, themes and wordlist files for tuxtype.
 .
 TuxTyping is an educational typing tutorial game starring
 Tux, the Linux Penguin.

Package: tv-fonts
Description-md5: b8884307edad5d7e32d4dbcb4f5c3cbe
Description-en: X11 fonts for TV applications
 Includes:
  * big fonts for on-screen displays.
  * fonts for teletext pages (including the special graphic
    characters used for teletext graphics).

Package: tvc
Description-md5: a03b342acfe36935ca1e8e84f12de711
Description-en: genetic variant caller for Ion Torrent sequencing platforms
 Torrent Variant Caller (TVC) is a genetic variant caller for
 Ion Torrent sequencing platforms, and is specially optimized to exploit the
 underlying flow signal information in the statistical model
 to evaluate variants. Torrent Variant Caller is designed to call
 single-nucleotide polymorphisms (SNPs), multi-nucleotide polymorphisms (MNPs),
 insertions, deletions, and block substitutions.

Package: tvnamer
Description-md5: 9f99a131196fe8058d4f3d8a6f0a5f02
Description-en: utility to rename TV-show episodes files
 Automatically names downloaded/recorded TV-episodes, by parsing filenames and
 retrieving show-names from www.thetvdb.com

Package: tvoe
Description-md5: eea15daf7f4572425212e73bb8ddb00c
Description-en: Lightweight DVB-S/S2 TV streaming server
 tvoe is a small DVB-S/DAB-S streaming server inspired by the getstream
 utility, but with support for managing multiple tuners by one process and
 dynamically assigning available tuners to new clients.

Package: tvtime
Description-md5: 73115daed04c26a54895f396cd1f971f
Description-en: analog television display application
 This package provides a high quality analog television application
 for use video capture cards. It processes the input from a capture
 card and displays it on a computer monitor or projector.
 .
 Main features:
  * deinterlaced output at a full interlaced rate of 59.94 frames per
    second for NTSC sources, or 50 frames per second for PAL sources.
    This gives smoothness of motion and high visual quality;
  * multiple deinterlacing algorithms for finding the optimal mode for
    the video content and available processor speed;
  * 16:9 aspect ratio mode for the highest available resolution when
    processing input from an external DVD player or digital satellite
    receiver;
  * super-slick on-screen-display for the complete television
    experience, with a featureful menu system;
  * "2:3 pulldown" detection for optimal quality viewing of film content
    from NTSC sources.
 .
 Digital television (DVB, ATSC, ISDB, DTMB) is not supported.

Package: twatch
Description-md5: 6f2417a6a67aff6a1dafc0d3d004d871
Description-en: watch torrent trackers and automatically download new torrents
 twatch is a simple and flexible watcher torrent trackers, based on regular
 expressions. It can download new torrent files and information about them by
 customizable filters.

Package: twclock
Description-md5: 68983a3bf4fffe09127baf5c8f9b62f6
Description-en: World clock for ham radio operators
 It also has an ID timer to generate a CW ID via your soundcard and
 pulseaudio.  Connect the output of your soundcard to the audio-in pin
 of your rig's accessory jack to have it transmitted.
 .
 This program is a clock designed for ham radio operators, anyone who
 needs the time of day someplace else in the world, or anyone tired of
 the same old look of their clock.  It can display the current time and
 date in hundreds of cities around the world.

Package: tweak
Description-md5: 4813ec3e9d638da2b630446492761006
Description-en: Efficient text-mode hex editor
 Tweak is a hex editor. It allows you to edit a file at very low
 level, letting you see the full and exact binary contents of the
 file. It can be useful for modifying binary files such as
 executables, editing disk or CD images, debugging programs that
 generate binary file formats incorrectly, and many other things.
 .
 Tweak runs under any terminal emulator using the curses library.  It
 has customizable keybindings, but the default keybindings are similar
 to emacs.

Package: tweeper
Description-md5: 85b9c7eac27f52b0bb5241c7632e1295
Description-en: web scraper to convert supported websites like Twitter.com to RSS
 tweeper is a web scraper which can be used to conveniently follow the
 public activity of social network users without the need to log in or even be
 subscribed to the social network; tweeper converts the public information to
 RSS so that it can be accessed and collected by a feed reader.
 .
 tweeper started as the TWitter fEEd scraPER but support for other web sites
 has been added.
 .
 The sites that tweeper is able to scrape and convert to RSS are:
 .
  * Twitter.com
  * Pump.io based websites, like Identi.ca
  * Dilbert.com
  * Instagram.com
  * Facebook.com (public pages)
 .
 tweeper can be used as:
 .
  1. a command line tool;
  2. a filter for feed readers;
  3. a web based tool when used with a PHP-enabled web server.

Package: twiggy
Description-md5: 363cf6ed26e996ef19231c2272da6da7
Description-en: AnyEvent HTTP server for PSGI (like Thin)
 Twiggy is a lightweight and fast HTTP server with unique features:
  * Can run any PSGI applications. Fully supports psgi.nonblocking
    and psgi.streaming interfaces.
  * This server uses AnyEvent and runs in a non-blocking event loop,
    so it's best to run event-driven web applications that runs I/O bound
    jobs or delayed responses such as long-poll, WebSocket or streaming
    content (server push).
  * Uses XS/C based HTTP header parser for the best performance. (optional)
  * The memory required to run twiggy is 6MB and it can serve more than
    4500 req/s with a single process on Perl 5.10 with MacBook Pro 13"
    late 2009.
  * Supports Server::Starter for hot deploy and graceful restarts.

Package: twine
Description-md5: 85dc96f3482fa75a4f41796dff720d43
Description-en: utility for interacting with PyPI
 Twine is a tool for uploading distributions (in the Python meaning) to PyPi.
 .
 Why should twine be used over the traditional approach?
 .
 The biggest reason to use twine is that python setup.py upload uploads files
 over plaintext. This means anytime you use it you expose your username and
 password to a MITM attack. Twine uses only verified TLS to upload to PyPI
 protecting your credentials from theft.
 .
 Secondly it allows you to precreate your distribution files. python setup.py
 upload only allows you to upload something that you’ve created in the same
 command invocation. This means that you cannot test the exact file you’re
 going to upload to PyPI to ensure that it works before uploading it.
 .
 Finally it allows you to pre-sign your files and pass the .asc files into the
 command line invocation (twine upload twine-1.0.1.tar.gz
 twine-1.0.1.tar.gz.asc). This enables you to be assured that you’re typing
 your gpg passphrase into gpg itself and not anything else since you will be
 the one directly executing gpg --detach-sign -a <filename>.
 .
 Features:
 .
  - Verified HTTPS Connections
  - Uploading doesn’t require executing setup.py
  - Uploading files that have already been created, allowing testing of
    distributions before release
  - Supports uploading any packaging format (including wheels).

Package: twinkle
Description-md5: ab6d4e0fe60d22e0cdf2aab010f9085e
Description-en: Voice over Internet Protocol (VoIP) SIP Phone (GUI)
 Twinkle is a soft-phone for making telephone calls over an IP network
 using the SIP protocol. You can use it for direct IP phone to IP phone
 communication or in a network using a SIP proxy to route your calls.
 Notable features include multiple active identities, call transfer,
 call rejection, 2 simultaneous calls and 3-way conference calls.
 .
 This package contains the graphical interface.

Package: twinkle-common
Description-md5: 08a9cfc3391b16aaecdc94b17b9fa805
Description-en: Voice over Internet Protocol (VoIP) SIP Phone (common files)
 Twinkle is a soft-phone for making telephone calls over an IP network
 using the SIP protocol. You can use it for direct IP phone to IP phone
 communication or in a network using a SIP proxy to route your calls.
 Notable features include multiple active identities, call transfer,
 call rejection, 2 simultaneous calls and 3-way conference calls.
 .
 This package contains common files for both interfaces.

Package: twinkle-console
Description-md5: 25d7415f4490f7211121ffe1ff8297db
Description-en: Voice over Internet Protocol (VoIP) SIP Phone (console)
 Twinkle is a soft-phone for making telephone calls over an IP network
 using the SIP protocol. You can use it for direct IP phone to IP phone
 communication or in a network using a SIP proxy to route your calls.
 Notable features include multiple active identities, call transfer,
 call rejection, 2 simultaneous calls and 3-way conference calls.
 .
 This package contains the console interface. Note that the console
 interface provides a reduced set of features; in particular, the
 graphical interface is needed to create the configuration files.

Package: twinvoicerecalc
Description-md5: 42c39218d55d6cdef34001473086800d
Description-en: Taiwan invoice reverse calculator
 In Taiwan, the customer usually get the gross price. But on the invoice we
 have to write the net price with tax. This program helps you to reverse
 calculate the gross price to net price and tax. And automatically adjust the
 net price if needed.

Package: twittering-mode
Description-md5: c63dd6b6955c82e35f414efd0e804244
Description-en: Twitter client for Emacs
 You can check friends timeline, and update your status on Emacs.
 .
 The feature of twittering-mode:
  * Activities on Twitter
    * Viewing various timelines
      * Friends' timeline
      * Replies
      * User's timeline
      * Public timeline
    * Posting tweets
      * Direct message
      * ReTweet
      * Hash tag
      * Signiture
    * Following and removing users
    * Marking tweets as favorites
  * HTTP Proxy support
  * Secure connection via HTTPS (cURL is required)

Package: twitterwatch
Description-md5: 2b10081976954e064a0ec726255c7292
Description-en: Simple Twitter bot detecting if no tweet was posted recently on a timeline
 Twitterwatch watches a user timeline in order to check if tweets
 are tweeted on a regular schedule. If not, Twitterwatch warns you
 by sending emails.

Package: twm
Description-md5: 68a4936c620d35f590b904c66528006f
Description-en: Tab window manager
 twm is a window manager for the X Window System.  It provides title bars,
 shaped windows, several forms of icon management, user-defined macro
 functions, click-to-type and pointer-driven keyboard focus, and
 user-specified key and pointer button bindings.

Package: twms
Description-md5: 44b7c1a35e708f9d00100b146ecd351d
Description-en: tiny web map service
 twms is a WMS/TMS server for exporting your map
 tiles to WMS-enabled applications.
 .
 twms can export a set of raster tiles as a WMS service
 so GIS applications that support WMS protocol can access
 this tile set. Also, twms can act as a proxy and perform
 WMS requests to external services and serve the tile cache
 to WMS and TMS clients.

Package: twoftpd
Description-md5: 40e2575444bc677dd3a1e68a33a36a2d
Description-en: a simple secure efficient FTP server (programs)
 This is twoftpd, an FTP server that strives to be secure, simple, and
 efficient.  None of the commands can cause execution of other programs,
 and the normal model of execution does a chroot to the logged in user's
 directory immediately after authentication.
 .
 The name "twoftpd" comes from the fact that there were two parts to the
 server -- an authenticating front end, which contains no file or data
 transfer code, and a back end, which contains all the data transfer
 code.
 .
 This package contains the twoftpd programs.

Package: twoftpd-run
Description-md5: e8295415f6644db92d2168f9466b7777
Description-en: a simple secure efficient FTP server
 This is twoftpd, an FTP server that strives to be secure, simple, and
 efficient.  None of the commands can cause execution of other programs,
 and the normal model of execution does a chroot to the logged in user's
 directory immediately after authentication.
 .
 This package sets up the twoftpd service to listen on 0.0.0.0:21, and
 additionally provides a service directory to run an anonymous twoftpd
 service.

Package: twolame
Description-md5: 31f25f2a86804d7eb479e0d6c9ed5608
Description-en: MPEG Audio Layer 2 encoder (command line frontend)
 TwoLAME is an optimized MPEG Audio Layer 2 encoder. It is based on tooLAME by
 Mike Cheng, which in turn is based upon the ISO dist10 code and portions of
 LAME.
 .
 Because of patent issues surrounding the MPEG Audio Layer 3 (MP3) encoders,
 the ability of most MPEG Audio players to play layer 2 files, and the
 similarity in command-line syntax and API, TwoLAME makes a very good
 drop-in replacement for LAME or other layer 3 encoders.
 .
 This package contains the command line frontend encoder.

Package: tworld
Description-md5: c2f70485c53db04379c7816cfd365a78
Description-en: Chip's Challenge Game Engine Emulation
 Tile World is an emulation of the game "Chip's Challenge".  "Chip's
 Challenge" was originally written for the Atari Lynx by Chuck Sommerville,
 and was later ported to MS Windows by Microsoft (among other ports).
 .
 Please note: Tile World is an emulation of the game engine(s) only.  It does
 not come with the chips.dat file that contains the original level set.  This
 file is copyrighted and cannot be freely distributed.  The chips.dat file
 was originally part of the MS version of "Chip's Challenge".  If you have a
 copy of this version of the game, you can use that file to play the game in
 Tile World.  If you do not have a copy of this file, however, you can still
 play Tile World with the many freely available level sets created by fans of
 the original game, including CCLP2.  Because the version that Microsoft
 released introduced a number of changes to the rules of the game, Tile World
 is capable of emulating either the MS version or the original Atari Lynx
 version of the game.

Package: tworld-data
Description-md5: 1041847566d18b640bb1f3df11473f83
Description-en: Chip's Challenge Game Engine Emulation - level data files
 Tile World is an emulation of the game "Chip's Challenge".  "Chip's
 Challenge" was originally written for the Atari Lynx by Chuck Sommerville,
 and was later ported to MS Windows by Microsoft (among other ports).
 .
 This package contains the architecture independent data files.

Package: twpsk
Description-md5: be8f1e24124d2d9b894611da74c20e95
Description-en: Soundcard-based X program for operating PSK31
 Twpsk uses a soundcard to receive and transmit PSK31, an extremely
 narrow band HF-mode. PSK31 is a mode for keyboard QSO's. It offers
 narrow signal width and gives excellent results with low power
 and minimal antenna systems.

Package: txt2html
Description-md5: 789c33d00ea58269ed9a7f2d665a6790
Description-en: Text to HTML converter
 txt2html is a Perl program that converts plain text to HTML.
 .
 It supports headings, lists, simple character markup, and hyperlinking, and is
 highly customizable. It recognizes some of the apparent structure of the
 source document (mostly whitespace and typographic layout), and attempts to
 mark that structure explicitly using HTML.
 .
 The purpose for this tool is to provide an easier way of converting existing
 text documents to HTML format, giving something nicer than just whapping the
 text into a big PRE block. txt2html can also be used to aid in writing new
 HTML documents, but there are probably better ways of doing that.

Package: txt2man
Description-md5: a24d4cd8facf3ee27fed253cc72153de
Description-en: convert flat ASCII text to manpage format
 txt2man is program that converts simple texts to manpages easily.
 The syntax of the ASCII text should looks like the output provided
 by man(1) program. So, you need write a text file using a visual
 approach of a manpage and txt2man will convert it. The txt2man(1)
 manpage is short and sufficient to understand how the program works.
 .
 A command to extract comments from source code (src2man) and a
 command to build an indexed volume from a set of manpages (bookman)
 are also provided by this package.
 .
 txt2man is very useful for programmers and Debian packaging.

Package: txt2pdbdoc
Description-md5: fbb4da3157b273bb86f0d2ed7f06f39a
Description-en: convert plain text files to Palm DOC (for PalmOS) and back
 This utility converts plain text files (or HTML files) to the de facto
 PalmOS standard DOC format for use in document readers (such as "C Spot
 Run") and editors (such as "ZDOC").  DOC files are compressed by
 default, and txt2pdbdoc can also convert DOC files back to plain text.

Package: txt2regex
Description-md5: 9264bcd1f7ef66d1fdaca4161d055766
Description-en: A Regular Expression "wizard", all written with bash2 builtins
 ^txt2regex$ is a Regular Expression "wizard", all written with bash2
 builtins, that converts human sentences to RegExs. With a simple
 interface, you just answer to questions and build your own RegEx for a
 large variety of programs, like awk, emacs, grep, perl, php, procmail,
 python, sed and vim. There are more than 20 supported programs.

Package: txt2tags
Description-md5: 611e496015c7109695efcf3c7d903b11
Description-en: conversion tool to generating several file formats
 txt2tags is a document generator written in Python that
 generates HTML, XHTML, SGML, LaTeX, Lout, man page, Wikipedia,
 Google Code Wiki, DokuWiki, MoinMoin, Magic Point and PageMaker
 documents from a plain text file with little marks.
 .
 Different from other conversion tools, it is generic, and not
 target-specific (as a txt2html tool). This way, you can keep just
 one source text file and one tool for all your formatting needs.
 .
 Supports header, title, bold/italic/underline/strike, preformatted,
 quote, link, lists, bar, image and table.
 .
 With txt2tags, you can focus your mind on the document content, and
 forget about formatting. Just let the program do this dirty job.

Package: typecatcher
Description-md5: ecd4dc9bc34f1bb9035e571fd52c285b
Description-en: Download Google webfonts for off-line use
 TypeCatcher allows you to search, browse, and download Google webfonts
 for off-line use. You can preview fonts with adjustable size and text.

Package: typespeed
Description-md5: e96cff21f40c078569c1ce9622c18e62
Description-en: Zap words flying across the screen by typing them correctly
 Typespeed is a game in which words fly across your screen. Your task is to
 zap the words by typing them before they cross the screen. If too many words
 get by you, the game is over.

Package: tz-converter
Description-md5: 68ba00e4339624c6bcdde81c9bbdcf8e
Description-en: Convert the time and date across time zones
 This tool provides a simple interface for converting the time and date between
 two time zones. Written in Python3 and using QtPy5, this interface allows
 the user to save a certain time zone and restore it after further changes. The
 timezone information is taken from pytz, supplying seven different regions:
 Africa, America, Asia, Australia, Europe, Pacific, and US.

Package: tzc
Description-md5: ad9cbba7265506519cf4816430878d8b
Description-en: Trivial Zephyr Client
 tzc is a trivial zephyr client program which talks to the zephyr servers
 (via the "zephyr host manager").   It is primarily used by the gnu-emacs
 zephyr-mode package.

Package: tzdiff
Description-md5: e6bb361db9923d3a4c5c318fab42dbac
Description-en: Show Timezone differences with local time in command-line interface
 Features:
 .
  - Support TIMEZONE completion
  - Support multiple timezone comparison
  - Support daylight saving time
  - Support multiple output formats

Package: tzwatch
Description-md5: 9b30c1bc1c9490e810be9c1342e29265
Description-en: Displays time and date in specified time zones on console
 This shell script displays the time and date of time zones specified by the
 user.  The time zones may be entered by hand in TZ format or chosen from a
 list prepared from /usr/share/zoneinfo/zone.tab.  The zone list is kept in a
 format consistent with the GTK+ application gworldclock.

Package: u-boot-menu
Description-md5: 01c1723346b914a474717c338bc0d2a7
Description-en: u-boot menu update
 Automatically create extlinux-style boot menu for u-boot. Boot menu
 entries are automatically created for every kernel package when they are
 installed.
 .
 When /boot is on a separate partition, the package flash-kernel may help
 ensure that device-tree (dtb) files are made available to u-boot.

Package: u2f-host
Description-md5: 237819570c3e299e687665f1f0cba2cc
Description-en: Command line tool to do Universal 2nd Factor (U2F) operations
 Libu2f is a package for doing Universal 2nd Factor (U2F) host communication
 and has functionality for the Registration and Authentication operations.
 The package contains a C library, a command line tool, and documentation.
 .
 This is a command line tool to do registration and authentication
 with a U2F device.

Package: u2f-server
Description-md5: f743efc486b0e360e50efc75267a0988
Description-en: Command line tool to do Universal 2nd Factor (U2F) operations
 Libu2f-server is a package for doing Universal 2nd Factor (U2F) server
 communication and has functionality for the Registration and
 Authentication operations.  The package contains a C library, a
 command line tool, and documentation.
 .
 This is a command line tool to do registration and authentication
 with a U2F device.

Package: u3-tool
Description-md5: 1a4a4dd76954f417a03721db75f1940e
Description-en: tool for controlling the special features of a U3 USB flash disk
 Tool for controlling USB flash devices that conform to the U3 specifications.
 You can do the following with your U3 flash:
  * Replace the CD image
  * Change the size of the virtual CD or completely remove it
  * Enable and disable security
  * Unlock and change the password of secured U3 device
  * Obtain various device information

Package: uacme
Description-md5: d0769f20d9a62179f741a37955c91e55
Description-en: Lightweight client for the RFC8555 ACMEv2 protocol
 uacme is a client for the ACMEv2 protocol described in RFC8555,
 written in plain C code with minimal dependencies (libcurl and
 GnuTLS or mbedTLS).  The ACMEv2 protocol allows a Certificate
 Authority (<https://letsencrypt.org> is a popular one) and an
 applicant to automate the process of verification and certificate
 issuance.  The protocol also provides facilities for other
 certificate management functions, such as certificate revocation.
 For more information see <https://tools.ietf.org/html/rfc8555>

Package: uanytun
Description-md5: 5817893d1b6bd52ce3894d20df4393fe
Description-en: tiny implementation of the secure anycast tunneling protocol
 uAnytun is a tiny implementation of SATP (Secure Anycast Tunneling
 Protocol). Unlike Anytun which is a full featured implementation
 uAnytun has no support for multiple connections or synchronisation.
 It is a small single threaded implementation intended to act as a
 client on small platforms. SATP defines a protocol used for
 communication between any combination of unicast and anycast tunnel
 endpoints. It has less protocol overhead than IPSec in Tunnel mode
 and allows tunneling of every ETHER TYPE protocol (e.g. ethernet,
 ip, arp ...). SATP directly includes cryptography and message
 authentication based on the methodes used by SRTP (Secure Real-time
 Transport Protocol). It is intended to deliver a generic,
 scalable and secure solution for tunneling and relaying of packets
 of any protocol.

Package: uap-core
Description-md5: 66ecd7c65629de85a8c812a4da8e0acb
Description-en: User Agent Parser core - collection of regular expressions
 A collection of regular expressions for parsing web User Agents strings.
 .
 This package does not include a parser, only the data necessary to build
 one.
 .
 The regular expressions are stored in a YAML file and is usable by
 implementations in any programming language.

Package: uapevent
Description-md5: c1b59fe5eca6b7716465fec1d89a9ae3
Description-en: event monitoring tool for Marvell uAP wireless driver
 A small utility for receiving and interpreting event notifications from
 the Marvell uAP wireless driver.

Package: uaputl
Description-md5: a7d5b0ed7c7270fc9dbb874ea85e4745
Description-en: admin utility for Marvell uAP wireless driver
 A small utility for configurating the Marvell uAP wireless driver.

Package: ubertooth
Description-md5: e9d23bf7e51113be21211ef84d38ce22
Description-en: 2.4 GHz wireless development platform for Bluetooth experimentation
 Project Ubertooth is an open source wireless development platform suitable for
 Bluetooth experimentation. This package contains everything necessary to use
 the hardware dongle.
 .
 Ubertooth is capable of sniffing BLE (Bluetooth Smart) connections and it also
 has some ability to sniff some data from Basic Rate (BR) Bluetooth Classic
 connections.
 .
 In addition to the Bluetooth specific capabilities, there is also a simple
 spectrum analyzer for the 2.4 GHz band included (ubertooth-specan-ui) which
 can be used to also observe other things in this frequency band.

Package: ubertooth-firmware
Description-md5: 1d015076c18f704562ef7aab0e22d054
Description-en: Firmware for Ubertooth
 The Ubertooth hardware needs some firmware to run. The firmware is built with
 arm-none-eabi-gcc. This package contains a number of firmware images that
 may be programmed into the Ubertooth hardware using the 'ubertooth-dfu'
 command.
 .
 The firmware images are installed in /usr/share/ubertooth/firmware/

Package: ubertooth-firmware-source
Description-md5: 5c0d7d506120a2780c7ab413f99c985f
Description-en: Source code for the Ubertooth firmware
 The Ubertooth hardware needs some firmware to run. The firmware is built with
 arm-none-eabi-gcc. This package contains the source code for the firmware that
 is found in the ubertooth-firmware package.
 .
 The firmware source may be found in /usr/src/ubertooth-firmware-source.tar.gz
 after installing this package.

Package: ubiquity-frontend-gtk-panel
Description-md5: adc661c01a312711524a0826ddc057c6
Description-en: GTK+ frontend panel implementation for ubiquity-dm UI
 This package suplements ubiquity-dm GTK+ with a panel that renders
 indicators for the Ubiquity live CD installer.

Package: ubiquity-frontend-kde
Description-md5: 18525a27bf09029b1b67c008f57f3d0b
Description-en: KDE frontend for Ubiquity live installer
 This package provides a KDE-based user interface frontend for the Ubiquity
 live CD installer.

Package: ubiquity-slideshow-edubuntu
Description-md5: 41ab9626feda9ac247c07f1c1bb3ae05
Description-en: Ubiquity slideshow for Edubuntu
 A slideshow intended for the Ubiquity installer, designed as a quick
  introduction to Edubuntu.

Package: ubiquity-slideshow-kubuntu
Description-md5: 6cf95b6a231fe40b88afa5beaa30676e
Description-en: Ubiquity slideshow for Kubuntu
 A slideshow intended for the Ubiquity installer, designed as a quick
 introduction to Kubuntu.

Package: ubiquity-slideshow-ubuntu-budgie
Description-md5: 81fe5b0fa4ff37993d4ee84e255931e8
Description-en: Ubiquity slideshow for Ubuntu Budgie
 A slideshow intended for the Ubiquity installer, designed as a quick
 introduction to Ubuntu Budgie.

Package: ubiquity-slideshow-ubuntu-mate
Description-md5: a05e4010c946259d63aa951b0419de00
Description-en: Ubiquity slideshow for Ubuntu MATE
 A slideshow intended for the Ubiquity installer, designed as a quick
 introduction to Ubuntu MATE.

Package: ubiquity-slideshow-ubuntukylin
Description-md5: 4e9d56bd8b7c8cce64e0a708efdcf4b4
Description-en: Ubiquity slideshow for Ubuntu Kylin
 A slideshow intended for the Ubiquity installer, designed as a quick
 introduction to Ubuntu Kylin.

Package: ubiquity-slideshow-ubuntustudio
Description-md5: 78f43f953b327b222ca6e8b0b7486fea
Description-en: Ubiquity slideshow for Ubuntu Studio
 A slideshow intended for the Ubiquity installer, designed as a quick
  introduction to Ubuntu Studio.

Package: ubiquity-slideshow-xubuntu
Description-md5: d6dad0ead0d2a1145858994cf16fe612
Description-en: Ubiquity slideshow for Xubuntu
 A slideshow intended for the Ubiquity installer, designed as a quick
 introduction to Xubuntu.

Package: ublock-origin-doc
Description-md5: 8f37229300dc41c30a0c20bf958696ef
Description-en: general-purpose lightweight ads, malware, trackers blocker - documentation
 uBlock is a small footprint blocker for against web ads, malware, trackers,
 analytics and similar invasive items.
 .
 Compared to other blockers like AdBlock and Ghostery, µBlock is focused on
 having a smaller memory and CPU footprint.
 .
 This package contains larger files that are part of µBlock's documentation.

Package: ubufox
Description-md5: 58b4596f8372b020607ef9cb2b32a594
Description-en: transitional dummy package
 This is a transitional dummy package to ease the migration
 from the ubufox to the new xul-ext-ubufox package.
 You can remove it safely.

Package: ubumirror
Description-md5: a0036de72b7cf69cb11aa7eb0faa2667
Description-en: Ubuntu mirror scripts
 This package contains scripts for mirroring various parts of the Ubuntu
 infrastructure.
 .
 This includes archives packages, ISO files for releases, daily builds for ISO
 files from cdimage and DVD images.

Package: ubuntu-advantage-pro
Description-md5: 0955f8932fb5fd228c2859fd480ba115
Description-en: utilities and services for Ubuntu Pro images
 The Ubuntu Pro package delivers additional utilities for use on authorised
 Ubuntu Pro machines.

Package: ubuntu-app-launch
Description-md5: 513c05392fe6681ecb647eb3180f16a9
Description-en: Session init system job for Launching Applications
 Upstart Job file and associated utilities that is used to launch
 applications in a standard and confined way.

Package: ubuntu-app-launch-tools
Description-md5: 098c4f917c8ea50f02f77080ec430652
Description-en: Tools for working wtih launched applications
 Upstart Job file and associated utilities that is used to launch
 applications in a standard and confined way.
 .
 This package provides tools for working with the Upstart App Launch.

Package: ubuntu-app-test
Description-md5: 5fdf8e1b4ae3f73cc2bbe28e11391b6a
Description-en: Small application to help test apps
 Uses trusted prompt sessions to provide a way to easily
 run a command on the command line to look at stdout or
 stderr for developers.

Package: ubuntu-artwork
Description-md5: 0170465a4767bae89e6586555a311676
Description-en: Ubuntu themes and artwork
 This package contains the Distributor Logo and pulls in all the
 other components via Depends.

Package: ubuntu-budgie-desktop
Description-md5: 3bf8192a6ed7c410dbf56ed37faf2fc4
Description-en: Ubuntu Budgie metapackage
 Ubuntu Budgie is an Ubuntu flavor that offers a desktop
 experience using the Budgie-Desktop. This package depends on all of the
 core Ubuntu Budgie packages.
 .
 It is also used to help ensure proper upgrades, so it is recommended that
 it not be removed.

Package: ubuntu-budgie-themes
Description-md5: f1c9560fe2196b9ed1173accc1df1caa
Description-en: GTK+3.24 based themes for Ubuntu Budgie
 Package contains GTK+3.24 based themes written specifically for
 Ubuntu Budgie and its desktop environment Budgie Desktop.
 .
 Pocillo is an adaptive GTK+ theme based on Material Design Guidelines.
 Using Arc based colors, the theme is designed to complement the
 Pocillo icon theme.

Package: ubuntu-business-defaults
Description-md5: ba6d0711ca6a5d9e2bf72366cd07551e
Description-en: Default settings for Ubuntu customizations
 This package contains customized default settings.

Package: ubuntu-cloudimage-keyring
Description-md5: 28f3bedf31c3ea5ed993cc71055121d7
Description-en: dummy transitional package for GnuPG keys of cloudimage keyring
 This package can be safely removed, as cloudimage keyring is now
 shipped in the ubuntu-keyring package

Package: ubuntu-core-launcher
Description-md5: 3223c275ff89224fda1b277eec5e7ffe
Description-en: Transitional package for snapd
 This is a transitional dummy package. It can safely be removed.

Package: ubuntu-defaults-builder
Description-md5: add8d6d8ce217beb06d5c16ac3ff8caf
Description-en: create Ubuntu customization packages
 This tool allows you to create a "default settings" package for Ubuntu. The
 "ubuntu-defaults-template" script will generate a source package with the
 customizable settings (e. g.  desktop/background.jpg and
 webbrowser/bookmarks-menu.txt). When built and installed, it will take the
 necessary actions to modify the system-wide defaults for desktops, programs,
 etc.
 .
 The main purpose for this is to provide a standard and safe way to create
 localized Ubuntu images, or OEM custom projects.
 .
 You can customize the following settings:
 .
  * Web browser start page, default search engine, and bookmarks (supports
    Firefox right now)
  * Unity/Unity 2D launchers
  * Desktop background (for GNOME right now)
  * Default desktop session in LightDM/gdm
  * Default radio stations in Rhythmbox and Banshee
  * Extra dependencies (Depends: and Recommends:), i. e. packages that are
    installed by default on the customized image
  * Internationalization (default locale, keyboard layout, language support)
    (only for built images, not configured by the defaults package itself)

Package: ubuntu-defaults-it
Description-md5: 1469a5dbf45204ffccefc6c220213ccb
Description-en: Default settings for Ubuntu Italian customizations
 This package contains customized default settings for the Italian CD.

Package: ubuntu-defaults-nl
Description-md5: 74496953d17c286cc1df2408b61c9c77
Description-en: Dummy transitional package
 This package is transitional to ubuntu-defaults-nl-nl.
 It can be safely removed after upgrade to Ubuntu 14.04 LTS.

Package: ubuntu-defaults-nl-nl
Description-md5: 3fd70d7361d6ad3773237e1054cd9b81
Description-en: Default settings for Ubuntu customizations
 This package contains customized default settings for Dutch.

Package: ubuntu-defaults-zh-cn
Description-md5: a2d1c368d44702c197350f3b4f3b8e23
Description-en: Ubuntu Default settings for Chinese/China
 This package contains customized default settings for the Chinese Ubuntu
 Edition.

Package: ubuntu-dev-tools
Description-md5: 5f90ca37b63ddc5d713f258d78687994
Description-en: useful tools for Ubuntu developers
 This is a collection of useful tools that Ubuntu developers use to make their
 packaging work a lot easier.
 .
 Such tools include:
 .
  - backportpackage - helper to test package backports
  - bitesize - add the 'bitesize' tag to a bug and comment that you are
    willing to help fix it.
  - check-mir - check support status of build/binary dependencies
  - check-symbols - will compare and give you a diff of the exported symbols of
    all .so files in a binary package.
  - dch-repeat - used to repeat a change log into an older release.
  - grab-merge - grabs a merge from merges.ubuntu.com easily.
  - grep-merges - search for pending merges from Debian.
  - hugdaylist - compile HugDay lists from bug list URLs.
  - import-bug-from-debian - copy a bug from the Debian BTS to Launchpad
  - merge-changelog - manually merges two Debian changelogs with the same base
    version.
  - mk-sbuild - script to create LVM snapshot chroots via schroot and
    sbuild.
  - pbuilder-dist, cowbuilder-dist - wrapper script for managing several build
    chroots (for different Ubuntu and Debian releases) on the same system.
  - pull-debian-debdiff - attempts to find and download a specific version of
    a Debian package and its immediate parent to generate a debdiff.
  - pull-debian-source - downloads the latest source package available in
    Debian of a package.
  - pull-lp-source - downloads source package from Launchpad.
  - pull-lp-debs - downloads debs package(s) from Launchpad.
  - pull-lp-ddebs - downloads dbgsym/ddebs package(s) from Launchpad.
  - pull-lp-udebs - downloads udebs package(s) from Launchpad.
  - pull-debian-* - same as pull-lp-* but for Debian packages.
  - pull-uca-* - same as pull-lp-* but for Ubuntu Cloud Archive packages.
  - pull-pkg - common script that provides above pull-* functionality.
  - pull-revu-source - downloads the latest source package from REVU
  - requestbackport - file a backporting request.
  - requestsync - files a sync request with Debian changelog and rationale.
  - reverse-depends - find the reverse dependencies (or build dependencies) of
    a package.
  - seeded-in-ubuntu - query if a package is safe to upload during a freeze.
  - setup-packaging-environment - assistant to get an Ubuntu installation
    ready for packaging work.
  - sponsor-patch - Downloads a patch from a Launchpad bug, patches the source
    package, and uploads it (to Ubuntu or a PPA)
  - submittodebian - automatically send your changes to Debian as a bug report.
  - syncpackage - helper to prepare .changes file to upload synced packages
  - ubuntu-build - give commands to the Launchpad build daemons from the
    command line.
  - ubuntu-iso - output information of an Ubuntu ISO image.
  - ubuntu-upload-permission - query / list the upload permissions for a
    package.
  - update-maintainer - script to update maintainer field in ubuntu packages.

Package: ubuntu-developer-tools-center
Description-md5: e6a2f40ac4f1017a71559ed2792bba92
Description-en: transitional dummy package
 This is a transitional dummy package only providing previous udtc binary
 instead of umake. It can safely be removed.

Package: ubuntu-gnome-default-settings
Description-md5: f3794dc09161a64a3bf4a6b1dd3abc31
Description-en: default settings for the Ubuntu desktop (transitional package)
 This is a transitional package depending on the default Ubuntu settings.
 .
 Install vanilla-gnome-default-settings for settings similar to what
 were provided by Ubuntu GNOME before it was discontinued.

Package: ubuntu-gnome-desktop
Description-md5: 59d0b504eddb4a1a382f39dcfed2543c
Description-en: The Ubuntu desktop system (transitional package)
 This package depends on all of the packages in the Ubuntu desktop system.
 .
 Before Ubuntu 17.10, this was the metapackage for the Ubuntu GNOME flavor.
 .
 Install vanilla-gnome-desktop instead if you prefer a full GNOME desktop
 with minimal Ubuntu branding.

Package: ubuntu-gnome-wallpapers
Description-md5: 6e3e74c4e3fc76f25f3437a5327aa26c
Description-en: Ubuntu GNOME Wallpapers
 This is a metapackage depending on  the community contest wallpapers
 for the current Ubuntu GNOME release.

Package: ubuntu-gnome-wallpapers-trusty
Description-md5: fed4f60fd0fe8cd0602308c4aeb4c0a4
Description-en: Ubuntu GNOME 14.04 Wallpapers
 This package contains the winning entries from the Ubuntu GNOME 14.04
 community wallpaper contest.

Package: ubuntu-gnome-wallpapers-utopic
Description-md5: 3a2bb6bc34126c8780eb638627537bfc
Description-en: Ubuntu GNOME 14.10 Wallpapers
 This package contains the winning entries from the Ubuntu GNOME 14.10
 community wallpaper contest.

Package: ubuntu-gnome-wallpapers-xenial
Description-md5: d819bbb2a09caae26537c689c5b4f65e
Description-en: Ubuntu GNOME 16.04 Wallpapers
 This package contains the entries from the Ubuntu GNOME 16.04
 Google Code-in Wallpaper contest.

Package: ubuntu-gnome-wallpapers-yakkety
Description-md5: a72b65448260bc00bf37028bc10a05e0
Description-en: Ubuntu GNOME 16.10 Wallpapers
 This package contains the winning entries from the Ubuntu GNOME 16.10
 community wallpaper contest.

Package: ubuntu-kylin-docs
Description-md5: b3c7ede48b68ffa71bb1e7335e66703b
Description-en: Ubuntu Kylin Desktop Guide
 This package holds the official Ubuntu Kylin documentation, maintained by
 the Ubuntu Kylin Documentation Team. The documentation is based on the Ubuntu
 documentation, and can be viewed using Yelp, the GNOME help browser.

Package: ubuntu-kylin-software-center
Description-md5: 2cd5ac4f15479d8b4c2d7ec193f5fc5f
Description-en: Software maintenance management tools
 Ubuntu Kylin Software Center is a newly built software center client
 for Ubuntu Kylin. The aim is to improve localization experience. The
 applications and related data come from both Ubuntu and Ubuntu Kylin
 repositories.
 .
 Features:
  * Categorized and selected applications for users
  * Newly designed user interface
  * Work with Ubuntu software center and hold special packages from
    Ubuntu Kylin archive

Package: ubuntu-kylin-wizard
Description-md5: b856996a8ac76828c5ee24ef564ae832
Description-en: Setup wizard for Ubuntu Kylin
 The Ubuntu Kylin wizard provides a friendly guide when user first logs in. It
 shows them some basic information about the launcher, dash, indicators,
 control center, and so on.

Package: ubuntu-make
Description-md5: cf1642e507e03f09817cefb7378dce48
Description-en: setup your development environment on ubuntu easily
 Ubuntu Make provides a set of functionality to setup,
 maintain and personalize your developer environment easily. It will handle
 all dependencies, even those which aren't in Ubuntu itself, and install
 latest versions of the desired and recommended tools.
 .
 For now, you can configure a complete android studio environment.

Package: ubuntu-mate-artwork
Description-md5: 0114d46e1343237f6946b0eb80b2f567
Description-en: Ubuntu MATE themes and artwork
 This package contains Ubuntu MATE themes and artwork.
 .
 It is a metapackage.

Package: ubuntu-mate-core
Description-md5: d2139d33587e77e8eb2a7caab650e38e
Description-en: Ubuntu MATE - core system
 This package is the minimal MATE desktop environment.
 .
 It is safe to remove this package if some of these packages are not desired.

Package: ubuntu-mate-default-settings
Description-md5: fc1e30804dc5a571d5193cb2f821b894
Description-en: Default settings for Ubuntu MATE
 Various system settings tailored for Ubuntu MATE.

Package: ubuntu-mate-desktop
Description-md5: d6031444d231b6109d4db7f1bafbff47
Description-en: Ubuntu MATE - full desktop
 This package is the complete Ubuntu MATE desktop environment.
 .
 It is safe to remove this package if some of these packages are not desired.

Package: ubuntu-mate-guide
Description-md5: 4fac2ca1b2769d755735df7b54c1f070
Description-en: Official Ubuntu MATE Guide
 The official Ubuntu MATE guide, to help new users navigate their system.
 It's written in XML and is viewable by using Yelp (default) or other tools.

Package: ubuntu-mate-icon-themes
Description-md5: fd241ad252a39eec3d62b0d5411b4f0d
Description-en: Icon themes for Ubuntu MATE
 This package contains icon themes for Ubuntu MATE.

Package: ubuntu-mate-lightdm-theme
Description-md5: 222620f97320b9b6f2a551ab7713ddd4
Description-en: LightDM theme and default configuration for Ubuntu MATE
 This is the lightdm greeter theme and configuration
 used for Ubuntu MATE.
 .
 This package can also be installed on other Ubuntu desktops desiring
 to use this theme, but it will replace the LightDM theme.

Package: ubuntu-mate-live-settings
Description-md5: 6274fb1931d3a975f69455fd6fac1394
Description-en: Default settings for Ubuntu MATE live CD
 Various system settings tailored for Ubuntu MATE live CD.

Package: ubuntu-mate-themes
Description-md5: 8273ebc6ac305b9bc441976092029db7
Description-en: GTK2 and GTK3 themes for Ubuntu MATE
 Includes matching Ambiant and Radiant themes
 .
 * Ambiant-MATE is a light-on-dark theme
 * Ambiant-MATE-Dark is a dark-on-dark theme
 * Radiant-MATE is a dark-on-light theme

Package: ubuntu-mate-wallpapers
Description-md5: 860950888a2bf65952fcfe246e06a4c9
Description-en: Wallpaper and background images for Ubuntu MATE
 The default Ubuntu MATE wallpapers. This is also a metapackage
 depending on the other default wallpapers for the current Ubuntu MATE
 release.
 .
 It is a metapackage.

Package: ubuntu-mate-wallpapers-artful
Description-md5: b3449149ccec04ab76caa16d43927315
Description-en: Ubuntu MATE 17.10 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 17.10

Package: ubuntu-mate-wallpapers-bionic
Description-md5: 4b868457434b949be000cca7dd264cf8
Description-en: Ubuntu MATE 18.04 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 18.04

Package: ubuntu-mate-wallpapers-common
Description-md5: 8a32e46d556fcc698f7f4daf2e1cebc3
Description-en: Common Ubuntu MATE wallpapers
 Common wallpapers for Ubuntu MATE contributed by the community.

Package: ubuntu-mate-wallpapers-complete
Description-md5: 8789a405d60ba526ad2f99c5cd47b39a
Description-en: Complete set of all Ubuntu MATE wallpapers
 A complete set of all the wallpapers contributed to Ubuntu MATE by the
 Ubuntu MATE community.
 .
 It is a metapackage.

Package: ubuntu-mate-wallpapers-cosmic
Description-md5: a85561a12963dd4c25e166955048ff7f
Description-en: Ubuntu MATE 18.10 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 18.10

Package: ubuntu-mate-wallpapers-disco
Description-md5: 4bc1128adb60134eb022939ffd088dbb
Description-en: Ubuntu MATE 19.04 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 19.04

Package: ubuntu-mate-wallpapers-eoan
Description-md5: 47533b963be802afc03c42275d93afd9
Description-en: Ubuntu MATE 19.10 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 19.10

Package: ubuntu-mate-wallpapers-focal
Description-md5: 0429e8f4a14ed6514f53572e286478f6
Description-en: Ubuntu MATE 20.04 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 20.04

Package: ubuntu-mate-wallpapers-legacy
Description-md5: 85f8d3ae3aa0a97e8a5c2131aeb0d3e7
Description-en: Legacy Ubuntu MATE wallpapers
 Wallpapers for Ubuntu MATE contributed by the community that were once
 default.

Package: ubuntu-mate-wallpapers-photos
Description-md5: b61598cd19847952fb93bac3753386c5
Description-en: Selection of photos for Ubuntu MATE wallpapers
 Photos used for Ubuntu MATE wallpapers.

Package: ubuntu-mate-wallpapers-utopic
Description-md5: 3319913f7eca3188e43a09b1b0be7a22
Description-en: Ubuntu MATE 14.10 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 14.10

Package: ubuntu-mate-wallpapers-vivid
Description-md5: 8581e95a278f0dd254472c0912e20eb6
Description-en: Ubuntu MATE 15.04 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 15.04

Package: ubuntu-mate-wallpapers-wily
Description-md5: 104cff485cdeb60b9fd08ba8dec5c265
Description-en: Ubuntu MATE 15.10 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 15.10

Package: ubuntu-mate-wallpapers-xenial
Description-md5: bdc5965c1d0223937b77bbeb3318c507
Description-en: Ubuntu MATE 16.04 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 16.04

Package: ubuntu-mate-wallpapers-yakkety
Description-md5: e9ae98494d8c8100627c8daf2dbadb43
Description-en: Ubuntu MATE 16.10 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 16.10

Package: ubuntu-mate-wallpapers-zesty
Description-md5: c1d230ab3afece116fe988f27afd67b6
Description-en: Ubuntu MATE 17.04 Wallpapers
 Wallpapers from the Ubuntu MATE community for Ubuntu MATE 17.04

Package: ubuntu-mate-welcome
Description-md5: ef1c00a434b62a8a977b0458c6bf6046
Description-en: Welcome screen for Ubuntu MATE
 A welcome screen for Ubuntu MATE that provides links to helpful
 resources, utilities and a selection of software packages.
 .
 This is a launcher for Ubuntu MATE Welcome.

Package: ubuntu-mobile-icons
Description-md5: d169f7d5bf731e4bbecf87763b363fdb
Description-en: Ubuntu Mobile Icon theme
 Ubuntu mobile icons used for phone and tablet applications and shell.

Package: ubuntu-packaging-guide
Description-md5: e068ad6953d0f5ba85233ab3fec614fb
Description-en: Ubuntu Packaging Guide - metapackage
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This is a metapackage, depending only on
 ubuntu-packaging-guide-html.

Package: ubuntu-packaging-guide-common
Description-md5: ca586f2cefd56f86362ac195d3e068c3
Description-en: Ubuntu Packaging Guide - common files
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains some common files that are needed by HTML versions of
 the guide.

Package: ubuntu-packaging-guide-epub
Description-md5: a52b9156e84a71a3f811252a12c8e0c6
Description-en: Ubuntu Packaging Guide - EPUB guide
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the EPUB version of the guide.

Package: ubuntu-packaging-guide-epub-de
Description-md5: 943dbad9e0b88221840accd6fccf1ff7
Description-en: Ubuntu Packaging Guide - EPUB guide - German version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the EPUB version of the guide.
 .
 This is the German version.

Package: ubuntu-packaging-guide-epub-es
Description-md5: 0b6dfdd692312931df0665f4d5058e41
Description-en: Ubuntu Packaging Guide - EPUB guide - Spanish version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the EPUB version of the guide.
 .
 This is the Spanish version.

Package: ubuntu-packaging-guide-epub-fr
Description-md5: 42efdb54517df820d38ce55bdd4c76c3
Description-en: Ubuntu Packaging Guide - EPUB guide - French version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the EPUB version of the guide.
 .
 This is the French version.

Package: ubuntu-packaging-guide-epub-pt-br
Description-md5: c06a3c4d032516cf61772ff27e737e30
Description-en: Ubuntu Packaging Guide - EPUB guide - Brazilian Portuguese version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the EPUB version of the guide.
 .
 This is the Brazilian Portuguese version.

Package: ubuntu-packaging-guide-epub-ru
Description-md5: 6cc9b848c9235e50fed46993fa06c6dd
Description-en: Ubuntu Packaging Guide - EPUB guide - Russian version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the EPUB version of the guide.
 .
 This is the Russian version.

Package: ubuntu-packaging-guide-epub-uk
Description-md5: 8d5b65f1acc58cdd434068f04a7d5be3
Description-en: Ubuntu Packaging Guide - EPUB guide - Ukrainian version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the EPUB version of the guide.
 .
 This is the Ukrainian version.

Package: ubuntu-packaging-guide-html
Description-md5: aead54fe3b939ff64b94c8fb1b2ad858
Description-en: Ubuntu Packaging Guide - HTML guide
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the HTML version of the guide.

Package: ubuntu-packaging-guide-html-de
Description-md5: d6b4e67c8baf44ce0d4811b482db8b2e
Description-en: Ubuntu Packaging Guide - HTML guide - German version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the HTML version of the guide.
 .
 This is the German version.

Package: ubuntu-packaging-guide-html-es
Description-md5: 9feb11096c32fcb98159c8ee281b9013
Description-en: Ubuntu Packaging Guide - HTML guide - Spanish version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the HTML version of the guide.
 .
 This is the Spanish version.

Package: ubuntu-packaging-guide-html-fr
Description-md5: 1800f41c3cc27debcb3e65055cd5eb9f
Description-en: Ubuntu Packaging Guide - HTML guide - French version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the HTML version of the guide.
 .
 This is the French version.

Package: ubuntu-packaging-guide-html-pt-br
Description-md5: e8a5860bc60253065de24aad412f4ef7
Description-en: Ubuntu Packaging Guide - HTML guide - Brazilian Portuguese version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the HTML version of the guide.
 .
 This is the Brazilian Portuguese version.

Package: ubuntu-packaging-guide-html-ru
Description-md5: d1dca18b99227d7f7e0e281ef250cd63
Description-en: Ubuntu Packaging Guide - HTML guide - Russian version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the HTML version of the guide.
 .
 This is the Russian version.

Package: ubuntu-packaging-guide-html-uk
Description-md5: 2863d5c0b84c6a53c2840a37749a1ba2
Description-en: Ubuntu Packaging Guide - HTML guide - Ukrainian version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the HTML version of the guide.
 .
 This is the Ukrainian version.

Package: ubuntu-packaging-guide-pdf
Description-md5: 83defabd310e3bbbe0a4b055b2b6f127
Description-en: Ubuntu Packaging Guide - PDF guide
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the PDF version of the guide.

Package: ubuntu-packaging-guide-pdf-de
Description-md5: e16839da5a74f61a99d7166282317000
Description-en: Ubuntu Packaging Guide - PDF guide - German version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the PDF version of the guide.
 .
 This is the German version.

Package: ubuntu-packaging-guide-pdf-es
Description-md5: 18a82e41fc56d9d19e3d963d801671ed
Description-en: Ubuntu Packaging Guide - PDF guide - Spanish version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the PDF version of the guide.
 .
 This is the Spanish version.

Package: ubuntu-packaging-guide-pdf-fr
Description-md5: 64d0d8bc9df5fa1d2e61d351ce461fd8
Description-en: Ubuntu Packaging Guide - PDF guide - French version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the PDF version of the guide.
 .
 This is the French version.

Package: ubuntu-packaging-guide-pdf-pt-br
Description-md5: 70923106ff33957a9bbb9605deeb0665
Description-en: Ubuntu Packaging Guide - PDF guide - Brazilian Portuguese version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the PDF version of the guide.
 .
 This is the Brazilian Portuguese version.

Package: ubuntu-packaging-guide-pdf-ru
Description-md5: e3f111ebc37c932b8b978c58576dc6b0
Description-en: Ubuntu Packaging Guide - PDF guide - Russian version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the PDF version of the guide.
 .
 This is the Russian version.

Package: ubuntu-packaging-guide-pdf-uk
Description-md5: 8747c9054887051ab50ed826ac729289
Description-en: Ubuntu Packaging Guide - PDF guide - Ukrainian version
 The Ubuntu Packaging Guide is a set of articles that should help you to get
 involved with packaging and development of Ubuntu. It's not meant to replace
 other great documentation like the Debian New Maintainer's Guide or the
 Debian policy, but serve as a starting point with easy and simple to
 understand articles.
 .
 This package contains the PDF version of the guide.
 .
 This is the Ukrainian version.

Package: ubuntu-policy
Description-md5: f52ed0b573927a455a91714447d577bb
Description-en: Debian Policy Manual and related documents
 This package contains:
    - Debian Policy Manual
    - Linux Filesystem Hierarchy Standard (FHS)
    - Authoritative list of virtual package names
    - Paper about libc6 migration
    - Policy checklist for upgrading your packages
 It also replaces the old Packaging Manual; most of the still-relevant
 content is now included as appendices to the Policy Manual.

Package: ubuntu-release-upgrader-qt
Description-md5: 1a5354b00256cd2e8841649e89979f00
Description-en: manage release upgrades
 This is the Qt frontend of the Ubuntu Release Upgrader

Package: ubuntu-snappy
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: ubuntu-snappy-cli
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: ubuntu-software
Description-md5: 635a93bcd1440d16621693fe064c2aa9
Description-en: transitional dummy package
 This is a transitional dummy package, it can be safely removed.

Package: ubuntu-sounds
Description-md5: e6c6df93fbd40b265448be70e35e5927
Description-en: Ubuntu's GNOME audio theme
 Sounds to spruce up the GNOME desktop environment.

Package: ubuntu-system-service
Description-md5: 8cf38bb8f33a85cc1effac46d40ae28f
Description-en: Dbus service to set various system-wide configurations
 This package implements system wide proxy settings.

Package: ubuntu-touch-settings
Description-md5: 4133be4d518d0733744b36407ddc7134
Description-en: default settings for Ubuntu Touch
 This package contains the default settings used by Ubuntu Touch.

Package: ubuntu-touch-sounds
Description-md5: 76efb476609016c26fc12e184df97a8b
Description-en: sounds for the Ubuntu Touch image
 That package provides notification and ringtones sound effects for Ubuntu
 Touch.

Package: ubuntu-unity-desktop
Description-md5: 955c7a122c63c3ce6c1388a0e818071c
Description-en: The Ubuntu Unity desktop system
 This package depends on all of the packages in the Ubuntu Unity desktop system
 .
 It is also used to help ensure proper upgrades, so it is recommended that
 it not be removed.

Package: ubuntu-wallpapers-artful
Description-md5: 757a6fd669a4b403486f6f3aee2eff7f
Description-en: Ubuntu 17.10 Wallpapers
 Wallpapers from the Ubuntu 17.10 community contest

Package: ubuntu-wallpapers-bionic
Description-md5: 556772075739d8f679f25adbaa33e37a
Description-en: Ubuntu 18.04 Wallpapers
 Wallpapers from the Ubuntu 18.04 community contest and a Canonical-created
 greyscale wallpaper.

Package: ubuntu-wallpapers-cosmic
Description-md5: 09450831a1b4ccc918d5f8a39ef0f159
Description-en: Ubuntu 18.10 Wallpapers
 Wallpapers from the Ubuntu 18.10 community contest and a Canonical-created
 greyscale wallpaper.

Package: ubuntu-wallpapers-disco
Description-md5: 08e73f303720724dbe8f818d310152c6
Description-en: Ubuntu 19.04 Wallpapers
 Wallpapers from the Ubuntu 19.04 community contest and a Canonical-created
 greyscale wallpaper.

Package: ubuntu-wallpapers-eoan
Description-md5: d8a8d767246962fec1a4b425e1733dfb
Description-en: Ubuntu 19.10 Wallpapers
 Wallpapers from the Ubuntu 19.10 community contest and a Canonical-created
 greyscale wallpaper.

Package: ubuntu-wallpapers-karmic
Description-md5: f9d2a102a5cd4c16455c3583f813fcc4
Description-en: Ubuntu 9.10 Wallpapers
 Wallpapers from the Ubuntu 9.10 community contest

Package: ubuntu-wallpapers-lucid
Description-md5: 4d34539b72e4e27f7a0270460169a743
Description-en: Ubuntu 10.04 Wallpapers
 Wallpapers from the Ubuntu 10.04 community contest

Package: ubuntu-wallpapers-maverick
Description-md5: 86b5385e0e586c2f377dd698662dfba5
Description-en: Ubuntu 10.10 Wallpapers
 Wallpapers from the Ubuntu 10.10 community contest

Package: ubuntu-wallpapers-natty
Description-md5: 0493db83568002e88302deed52f89766
Description-en: Ubuntu 11.04 Wallpapers
 Wallpapers from the Ubuntu 11.04 community contest

Package: ubuntu-wallpapers-oneiric
Description-md5: 1c8c34f0aefb3d8072cd72a0823e0ed5
Description-en: Ubuntu 11.10 Wallpapers
 Wallpapers from the Ubuntu 11.10 community contest

Package: ubuntu-wallpapers-precise
Description-md5: 9773af9320b7758013321f0e9292fac6
Description-en: Ubuntu 12.04 Wallpapers
 Wallpapers from the Ubuntu 12.04 community contest

Package: ubuntu-wallpapers-quantal
Description-md5: f9099c429ebc3049cb49900f4942bd38
Description-en: Ubuntu 12.10 Wallpapers
 Wallpapers from the Ubuntu 12.10 community contest

Package: ubuntu-wallpapers-raring
Description-md5: d3850ace3d9c8322955c4378ae66c63a
Description-en: Ubuntu 13.04 Wallpapers
 Wallpapers from the Ubuntu 13.04 community contest

Package: ubuntu-wallpapers-saucy
Description-md5: b27880e312bf21f59b2c685a6f109ace
Description-en: Ubuntu 13.10 Wallpapers
 Wallpapers from the Ubuntu 13.10 community contest

Package: ubuntu-wallpapers-trusty
Description-md5: d961f7bd015ace8f39e0eb8d65f69715
Description-en: Ubuntu 14.04 Wallpapers
 Wallpapers from the Ubuntu 14.04 community contest

Package: ubuntu-wallpapers-utopic
Description-md5: 7c65e3b02786d8edd37dbc5bf6006559
Description-en: Ubuntu 14.10 Wallpapers
 Wallpapers from the Ubuntu 14.10 community contest

Package: ubuntu-wallpapers-vivid
Description-md5: 3a984cd7c2a95fe441aa18d027eba6bf
Description-en: Ubuntu 15.04 Wallpapers
 Wallpapers from the Ubuntu 15.04 community contest

Package: ubuntu-wallpapers-wily
Description-md5: 1a411767d94d4d38a7b1c8e709abcba5
Description-en: Ubuntu 15.10 Wallpapers
 Wallpapers from the Ubuntu 15.10 community contest

Package: ubuntu-wallpapers-xenial
Description-md5: 6bb7e688f6c70d5a1c626df60320ad15
Description-en: Ubuntu 16.04 Wallpapers
 Wallpapers from the Ubuntu 16.04 community contest and a Canonical-created
 greyscale wallpaper.

Package: ubuntu-wallpapers-yakkety
Description-md5: 20755db5ee78e22e24bc1a083da37a10
Description-en: Ubuntu 16.10 Wallpapers
 Wallpapers from the Ubuntu 16.10 community contest and a Canonical-created
 greyscale wallpaper.

Package: ubuntu-wallpapers-zesty
Description-md5: 7de0f8c565d5f0a3b822627cbc6a0fb8
Description-en: Ubuntu 17.04 Wallpapers
 Wallpapers from the Ubuntu 17.04 community contest and a Canonical-created
 greyscale wallpaper.

Package: ubuntukylin-default-settings
Description-md5: ba694cb3f5064c2d20c848b91a1fec41
Description-en: Default settings for the Ubuntu Kylin desktop
 This package contains the default settings used by Ubuntu Kylin.

Package: ubuntukylin-desktop
Description-md5: 2d97fbbdb04353a7877c71b7333bff48
Description-en: The Ubuntu Kylin desktop system
 This package depends on all of the packages in the Ubuntu Kylin desktop system
 .
 It is also used to help ensure proper upgrades, so it is recommended that
 it not be removed.

Package: ubuntukylin-keyring
Description-md5: 9bb7c64f38bd3dc35984cb7aad1490a5
Description-en: GnuPG keys of the Ubuntu Kylin archive
 The Ubuntu project digitally signs its Release files. This package
 contains the archive keys used for the Ubuntu Kylin archive.

Package: ubuntukylin-theme
Description-md5: 3dc04a69e30d68a5f03423b4eae8bd9a
Description-en: theme for Ubuntu Kylin
 This package contains the default theme for Ubuntu Kylin,
 which is to be a flavor of Ubuntu.

Package: ubuntukylin-wallpapers
Description-md5: 47129b1056f7b32d1cbcf716e571b8d7
Description-en: Ubuntu Kylin Wallpapers
 The default Ubuntu Kylin wallpaper. This is also a metapackage depending on
 the other default wallpapers for the current Ubuntu Kylin release.

Package: ubuntukylin-wallpapers-artful
Description-md5: ef4d790468549d3839b237c474a8ef86
Description-en: Ubuntu Kylin 17.10 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 17.10 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-bionic
Description-md5: f4211ec7afbe81aa52a9820ff8e32a44
Description-en: Ubuntu Kylin 18.04 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 18.04 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-cosmic
Description-md5: d400616f6e553250655eefaf72028916
Description-en: Ubuntu Kylin 18.10 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 18.10 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-disco
Description-md5: 1f2a2c8fb106939f83b87876b2afd5c5
Description-en: Ubuntu Kylin 19.04 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 19.04 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-eoan
Description-md5: 8c617a8c5d9f61495f143c7541b1e101
Description-en: Ubuntu Kylin 19.10 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 19.10 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-focal
Description-md5: b2551221e6ee9b25c888846862c6c77f
Description-en: Ubuntu Kylin 20.04 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 20.04 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-saucy
Description-md5: 6ad705ae26f6d45b34309c5ddfb0ca4a
Description-en: Ubuntu Kylin 13.10 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 13.10 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-trusty
Description-md5: 014be24b29406939adc47f2115279c17
Description-en: Ubuntu Kylin 14.04 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 14.04 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-utopic
Description-md5: e536a0cd90c59d7c4485f6d77fb2e810
Description-en: Ubuntu Kylin 14.10 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 14.10 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-vivid
Description-md5: cbc26a4399e87efd82922d364b4e4408
Description-en: Ubuntu Kylin 15.04 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 15.04 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-wily
Description-md5: 4f7a12f5e9aadd83cc1271eb978cf99d
Description-en: Ubuntu Kylin 15.10 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 15.10 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-xenial
Description-md5: 4304bddb697dcdfb370f7fe1177d8f0f
Description-en: Ubuntu Kylin 16.04 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 16.04 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-yakkety
Description-md5: b3057be2e2fd971de7ad2813ae073c84
Description-en: Ubuntu Kylin 16.10 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 16.10 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntukylin-wallpapers-zesty
Description-md5: db7628e19aa912ebd0335d3a486ee000
Description-en: Ubuntu Kylin 17.04 Wallpapers
 Outstanding wallpapers selected from Ubuntu Kylin 17.04 Wallpaper Contest.
 These wallpapers are expected to show wonderful Chinese style.

Package: ubuntume-gdm-themes
Description-md5: bec8d5f04cd86975a6615e4f1d4aa45a
Description-en: Sabily GDM themes (transitional package)
 The default GDM themes for Sabily. At the moment the package contains:
  * HumanME
  * Sabily-green
  * Shahada
 .
 This is a dummy transitional package that will ensure a proper upgrade path.
 This package may be safely removed after upgrading.

Package: ubuntume-themes
Description-md5: d05ab2e6c70afe518b74d8840acd74d0
Description-en: Sabily themes (transitional package)
 The default HumanME theme. At the moment the package contains:
  * The theme definitions
  * Metacity theme elements
  * The icon theme
  * green-human and HumanME GTK+ themes
  * Animated cursor themes
  .
 This is a dummy transitional package that will ensure a proper upgrade path.
 This package may be safely removed after upgrading.

Package: ubuntustudio-audio
Description-md5: bc0a8966eb6b2339dd0dca02252c4978
Description-en: Ubuntu Studio Audio Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 The full Ubuntu Studio collection of applications for audio production.

Package: ubuntustudio-audio-core
Description-md5: 0bbff0eab9a39b2d20b6202fa1b89738
Description-en: Ubuntu Studio Audio Core Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 A core set of applications for audio production.

Package: ubuntustudio-audio-plugins
Description-md5: 7981892e2dd1d4f20802fbecadf03741
Description-en: Ubuntu Studio audio plugins Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 A collection of LADSPA, LV2, and DSSI plugins.

Package: ubuntustudio-branding-common
Description-md5: c72973629f39a144f6dbd4f0415c9d88
Description-en: Adds Ubuntu Studio branding to Ubuntu flavors
 This optional package adds Ubuntu Studio branding to official Ubuntu flavors.
 This is for those who like their installed Ubuntu flavor but want to rebrand it
 as Ubuntu Studio.

Package: ubuntustudio-controls
Description-md5: 01226a96bb2789066352635d4b75c028
Description-en: Ubuntu Studio Controls
 Ubuntu Studio Controls is a small application that enables/disables realtime
 privilege for users and controls jackdbus. It allows Jackdbus to be run from
 session start. It also will detect USB audio devices getting plugged in after
 session start and optionally connect them to jackdbus as a client or switch
 them in as jackdbus master.

Package: ubuntustudio-default-settings
Description-md5: 33596d83f87e0d018f953b8b7549a820
Description-en: default settings for the Ubuntu Studio desktop
 This package contains the default settings used by Ubuntu Studio. It sets
 the session name, menu icon, backdrops for lightdm and the session. It
 sets grub to default to the latest lowlatency kernel even if a newer
 generic kernel exists. It also sets various audio related system settings.

Package: ubuntustudio-desktop
Description-md5: 60eace4d8c84ebce0c06411788764964
Description-en: Ubuntu Studio Desktop Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 This package will install the full Ubuntu Studio desktop environment.

Package: ubuntustudio-desktop-core
Description-md5: 950fd8c4578fc21296dfc237818e5154
Description-en: Ubuntu Studio Desktop Core Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 This package will install the core components for a Ubuntu Studio
 desktop environment.

Package: ubuntustudio-fonts
Description-md5: 848df8284ecb3e2ec4a7929e140d9161
Description-en: Ubuntu Studio fonts Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 A collection of fonts to help with graphic design

Package: ubuntustudio-graphics
Description-md5: b534d30046fb25ec5b18046ce75055b4
Description-en: Ubuntu Studio graphics Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 A collection of applications aimed at 2D/3D creation and editing.

Package: ubuntustudio-icon-theme
Description-md5: be4086638ecee8024af2268d71252fdf
Description-en: Ubuntu Studio Icon Theme
 This package adds the Ubuntu Studio icon theme. This package adds the few
 missing icons ubuntustudio needs that no other theme provides. These
 include, The distribution icon and a few icons for packages that come
 with a desktop file but no icon.

Package: ubuntustudio-installer
Description-md5: b9f1101cf23e1fe4ed65e1a92a48f8fb
Description-en: Software installer for Ubuntu Studio
 Takes a list of packages on the command line and allows the user choose
 which package to install. The desktop file should determine the list
 of packages. More than one desktop file can allow each menu category to
 have extra software installable.

Package: ubuntustudio-lightdm-theme
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: ubuntustudio-live
Description-md5: a122b45b7e70738dca4ff1f40e7afdc7
Description-en: Ubuntu Studio live media support
 Provides helper scripts and plugins required
 for any Ubuntu Studio live media.

Package: ubuntustudio-look
Description-md5: 42f35f4b1f2ca4c6fca5a02d810f2bdc
Description-en: Ubuntu Studio look
 The Ubuntu Studio theme metapackage.
 .
 This package depends upon the constituent parts of the Ubuntu Studio theme,
 whilst also providing the theme descriptor to the GNOME desktop, and the
 distributor logo.

Package: ubuntustudio-lowlatency-settings
Description-md5: 929eeb853dd56d5a2d97301be9fe1299
Description-en: Adds lowlatency kernel as boot default if available
 This package makes the lowlatency kernel the default kernel in GRUB.
 Also adds a second entry for the generic kernel if available.

Package: ubuntustudio-menu
Description-md5: 5d968f38d0e898aaf145fb5303dd7a07
Description-en: Menu for Ubuntu Studio
 Menu for Ubuntu Studio's use case. This menu has new Audio production,
 Video production, and Graphics subsections for artistic creation. This
 menu is designed to be merged into any xdg (open desktop) menu, such as
 KDE or Xfce.

Package: ubuntustudio-menu-add
Description-md5: d5f236eb569cc24c2d0ef9a62deae163
Description-en: Menu item creator for Ubuntu Studio
 Utility for creating a menu item within the XDG menu for
 a user. It allows adding a script or other application to
 the XDG menu. It can also modify a menu item by creating
 a new version for the user. This utility does not change
 any of the system menu files.

Package: ubuntustudio-performance-tweaks
Description-md5: 6da1ca96aa96ef777e1b8bb9eaae3e7d
Description-en: Under-the-hood tweaks for Ubuntu Studio performance
 This package makes the necessary system performance tweaks for Ubuntu
 users that wish to gain the benefits of Ubuntu Studio, such as realtime
 audio access for members of the audio group.

Package: ubuntustudio-photography
Description-md5: 70ef27f25a6189da86c2a6f7e37d59cc
Description-en: Ubuntu Studio Photography Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 A collection of applications forming a photograph touchup and editing
 suite.

Package: ubuntustudio-publishing
Description-md5: ab46031b8bf1e48fbaa5f32b65b8a444
Description-en: Ubuntu Studio Publishing Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 A collection of applications aimed at publishing.

Package: ubuntustudio-video
Description-md5: 5c8232a1ef33a1ddaba4e6a3e00d9831
Description-en: Ubuntu Studio video Package
 Ubuntu Studio is a multimedia creation flavor of Ubuntu for the
 Linux audio, video, and graphic enthusiast or professional.
 .
 A collection of applications aimed at video creation and editing.

Package: ubuntustudio-wallpapers
Description-md5: 1bc9d0589d0577bb19483624718ac8df
Description-en: Ubuntu Studio - Wallpapers (Meta/Current)
 The Ubuntu Studio theme.
 .
 This metapackage contains the most-current Ubuntu Studio Wallpapers.
 These are desktop backgrounds or backdrops with Ubuntu Studio branding or
 otherwise thought to be of interest to artists.

Package: ubuntustudio-wallpapers-bionic
Description-md5: a23cc9301e4d30df75a8ce4d2b2afa4d
Description-en: Ubuntu Studio - Wallpapers (Bionic)
 The Ubuntu Studio theme.
 .
 This package contains the Ubuntu Studio Wallpapers that were intended to be included
 with 18.04 Bionic Beaver. These are desktop backgrounds or backdrops with Ubuntu
 Studio branding or otherwise thought to be of interest to artists.

Package: ubuntustudio-wallpapers-cosmic
Description-md5: 44b07e33b6e2b1c957c6aeb14e3dec6f
Description-en: Ubuntu Studio - Wallpapers (Cosmic)
 The Ubuntu Studio theme.
 .
 This package contains the Ubuntu Studio Wallpapers included with 18.10 Cosmic
 Cuttlefish. These are desktop backgrounds or backdrops with Ubuntu Studio branding or
 otherwise thought to be of interest to artists.

Package: ubuntustudio-wallpapers-disco
Description-md5: 6906056fe66077e726d888d393907a03
Description-en: Ubuntu Studio - Wallpapers (Disco)
 The Ubuntu Studio theme.
 .
 This package contains the Ubuntu Studio Wallpapers included with 19.04 Disco
 Dingo. These are desktop backgrounds or backdrops with Ubuntu Studio branding or
 otherwise thought to be of interest to artists.

Package: ubuntustudio-wallpapers-eoan
Description-md5: 3388ec4ad06ba0d4276ea6834717525a
Description-en: Ubuntu Studio - Wallpapers (Eoan)
 The Ubuntu Studio theme.
 .
 This package contains the Ubuntu Studio Wallpapers included with 19.10 Eoan
 Ermine. These are desktop backgrounds or backdrops with Ubuntu Studio branding or
 otherwise thought to be of interest to artists.

Package: ubuntustudio-wallpapers-focal
Description-md5: 2f9fd84c91b35f5b13acd54c0b3a1352
Description-en: Ubuntu Studio - Wallpapers (Focal)
 The Ubuntu Studio theme.
 .
 This package contains the Ubuntu Studio Wallpapers included with 20.04 Focal
 Fossa. These are desktop backgrounds or backdrops with Ubuntu Studio branding or
 otherwise thought to be of interest to artists.

Package: ubuntustudio-wallpapers-legacy
Description-md5: cf340b5cdc97574033c5eb8ecafbb59f
Description-en: Ubuntu Studio - Wallpapers (Legacy)
 The Ubuntu Studio theme.
 .
 This package contains the Ubuntu Studio Wallpapers from before 16.04 Xenial
 Xerus. These are desktop backgrounds or backdrops with Ubuntu Studio branding or
 otherwise thought to be of interest to artists.

Package: ubuntustudio-wallpapers-xenial
Description-md5: 85142d8e5145440451d4bb42b37e4136
Description-en: Ubuntu Studio - Wallpapers (Xenial)
 The Ubuntu Studio theme.
 .
 This package contains the Ubuntu Studio Wallpapers from 16.04 Xenial Xerus.
 These are desktop backgrounds or backdrops with Ubuntu Studio branding or
 otherwise thought to be of interest to artists.

Package: uc-echo
Description-md5: 2b8d605b1e0d9655e1b24a1bc58abf3f
Description-en: error correction algorithm designed for short-reads from NGS
 ECHO is an error correction algorithm designed for short-reads
 from next-generation sequencing platforms such as Illumina's
 Genome Analyzer II. The algorithm uses a Bayesian framework to
 improve the quality of the reads in a given data set by employing
 maximum a posteriori estimation.

Package: ucarp
Description-md5: 36fd006163fed0383d5b8b48eaccd4c3
Description-en: user-space replacement to VRRP -- automatic IP fail-over
 UCARP allows a pair of hosts to share common virtual IP addresses in
 order to provide automatic fail-over. It is a portable user-land
 implementation of the secure and patent-free Common Address Redundancy
 Protocol (CARP, OpenBSD's alternative to the VRRP).
 .
 Strong points of the CARP protocol are: very low overhead,
 cryptographically signed messages, interoperability between different
 operating systems and no need for any dedicated extra network link
 between redundant hosts.

Package: ucblogo
Description-md5: 99e89cc64ba7189b05a6892726ddc53b
Description-en: dialect of lisp using turtle graphics famous for teaching kids
 This is the UC Berkeley implementation of logo written primarily by
 Daniel Van Blerkom, Brian Harvey, Michael Katz, and Douglas Orleans.  This
 version of logo is featured in Brian Harvey's book
 _Computer_Science_Logo_Style, _Volume_1: _Symbolic_Computing_
 (ISBN 0-262-58151-5).  This version provides the following special features:
 .
  - Random-access arrays.
  - Variable number of inputs to user-defined procedures.
  - Mutators for list structure (dangerous).
  - Pause on error, and other improvements to error handling.
  - Comments and continuation lines; formatting is preserved when
    procedure definitions are saved or edited.
  - Terrapin-style tokenization (e.g., [2+3] is a list with one member)
    but LCSI-style syntax (no special forms except TO).  The best of
    both worlds.
  - First-class instruction and expression templates.
  - Macros.

Package: ucd-generate
Description-md5: 0973add24b5672b41ea37930cfdf544c
Description-en: Generate searchable packs of the Unicode character database
 A command line tool to generate Unicode tables in Rust source code.
 .
 Tables can typically be generated in one of three formats: a sorted sequence of
 character ranges, a finite state transducer or a compressed trie. Full support
 for name canonicalization is also provided. This tool also supports serializing
 regular expressions as DFAs using the regex-automata crate.
 .
 This package contains the following binaries built from the Rust crate
 "ucd-generate":
  - ucd-generate

Package: uchardet
Description-md5: 9d493654a1ea072f1e8a8a49710038df
Description-en: universal charset detection library - cli utility
 uchardet is a C language binding of the original C++ implementation
 of the universal charset detection library by Mozilla.
 .
 uchardet is a encoding detector library, which takes a sequence of
 bytes in an unknown character encoding without any additional
 information, and attempts to determine the encoding of the text.
 .
 This package contains the cli detection utility.

Package: uci2wb
Description-md5: 69899144fe5e062a8d06dffc9dd9f704
Description-en: protocol adapter between XBoard/CECP and UCI/USI/UCCI engines
 This adapter allows a GUI using the Chess Engine Control Protocol
 (aka. CECP, or XBoard protocol) to communicate with computer players
 using USI (Universal Shogi Interface) or UCCI (Universal Chinese
 Chess Interface) protocols.
 .
 It also support the UCI (Universal Chess Interface) protocol, but for
 this one the "polyglot" package is a recommended alternative from the
 same author.

Package: ucimf
Description-md5: d9455d96f87d7d8bed4a1229b3f03e1b
Description-en: Unicode console input method framework
 Ucimf provides an input method framework for the Linux Unicode frame
 buffer console.
 .
 This package contains the application.

Package: ucimf-chewing
Description-md5: 0bfed1b87509824a758848a90e75e466
Description-en: ucimf wrapper for Chewing input method
 ucimf-chewing is a wrapper for Chewing input method for the
 Linux framebuffer console input method framework.
 .
 Chewing is an intelligent phonetic input method for Chinese.

Package: ucimf-openvanilla
Description-md5: d8d2006499d87c190f4a7940f4ca7360
Description-en: openvanilla input method collection for ucimf
 This package contains a collection of openvanilla input method
 for ucimf, which can provide a recommended working input method
 for users.

Package: ucimf-sunpinyin
Description-md5: 79fc09dcc27fe3efdc15370101076791
Description-en: ucimf wrapper for Sunpinyin IM engine
 ucimf-sunpinyin is a wrapper for Sunpinyin IM engine for the
 Linux framebuffer console input method framework.
 .
 Sunpinyin is a statistical language model (SLM) based input
 method engine for Simplified Chinese, it features full sentence
 input.

Package: ucommon-doc
Description-md5: 98b3c7db07f36554cfcf2cb73d7873c9
Description-en: lightweight C++ threading and sockets - documentation
 GNU uCommon C++ is a lightweight library to facilitate using C++ design
 patterns even for very deeply embedded applications, such as for
 systems using uClibc along with POSIX threading support. For this
 reason, uCommon disables language features that consume memory or
 introduce runtime overhead. uCommon introduces some design patterns
 from Objective-C, such as reference counted objects, memory pools, and
 smart pointers.  uCommon introduces some new concepts for handling of
 thread locking and synchronization.
 .
 This package contains the API documentation.

Package: ucommon-utils
Description-md5: 6272f28c456a571b8537cf7dfe8288cd
Description-en: lightweight C++ threading and sockets - utilities
 GNU uCommon C++ is a lightweight library to facilitate using C++ design
 patterns even for very deeply embedded applications, such as for
 systems using uClibc along with POSIX threading support. For this
 reason, uCommon disables language features that consume memory or
 introduce runtime overhead. uCommon introduces some design patterns
 from Objective-C, such as reference counted objects, memory pools, and
 smart pointers.  uCommon introduces some new concepts for handling of
 thread locking and synchronization.
 .
 This package contains the following utilities included as part of the
 GNU uCommon C++ project:
  * args
  * car
  * keywait
  * mdsum
  * scrub
  * sockaddr
  * zerofill

Package: ucrpf1host
Description-md5: bdafb3c498a347bfea3bb44a3b8c510b
Description-en: host program for Panowin F1 3D printer
 ucrpf1host is a host program for a 3D printer called Panowin F1. It can also
 be used on other 3D printers but beware that it might not work perfectly.

Package: ucspi-proxy
Description-md5: 9c5795c81ded8e3566ec2cb846da07be
Description-en: Connection proxy for UCSPI tools
 This package contains a proxy program that passes data back and forth
 between two connections set up by a UCSPI server and a UCSPI client.
 .
 See http://cr.yp.to/proto/ucspi.txt for more information on UCSPI.  See
 http://untroubled.org/ucspi-proxy/ for recent information.

Package: ucspi-tcp
Description-md5: 82875864414a7b3635f3dd400c43801e
Description-en: command-line tools for building TCP client-server applications
 tcpserver waits for incoming connections and, for each connection, runs a
 program of your choice. Your program receives environment variables showing
 the local and remote host names, IP addresses, and port numbers.
 .
 tcpserver offers a concurrency limit to protect you from running out of
 processes and memory. When you are handling 40 (by default) simultaneous
 connections, tcpserver smoothly defers acceptance of new connections.
 .
 tcpserver also provides TCP access control features, similar to
 tcp-wrappers/tcpd's hosts.allow but much faster. Its access control rules
 are compiled into a hashed format with cdb, so it can easily deal with
 thousands of different hosts.
 .
 This package includes a recordio tool that monitors all the input and output
 of a server.
 .
 tcpclient makes a TCP connection and runs a program of your choice. It sets
 up the same environment variables as tcpserver.
 .
 This package includes several sample clients built on top of tcpclient:
 who@, date@, finger@, http@, tcpcat, and mconnect.
 .
 tcpserver and tcpclient conform to UCSPI, the UNIX Client-Server Program
 Interface, using the TCP protocol. UCSPI tools are
 available for several different networks.

Package: ucspi-tcp-ipv6
Description-md5: e9df6ed58358be8741f9b0e390fece1f
Description-en: command-line tools for building TCP client-server applications (IPv6)
 ucspi-tcp-ipv6 is the ucspi-tcp package with IPv6 support added.
 .
 tcpserver waits for incoming connections and, for each connection, runs a
 program of your choice. Your program receives environment variables showing
 the local and remote host names, IP addresses, and port numbers.
 .
 tcpserver offers a concurrency limit to protect you from running out of
 processes and memory. When you are handling 40 (by default) simultaneous
 connections, tcpserver smoothly defers acceptance of new connections.
 .
 tcpserver also provides TCP access control features, similar to
 tcp-wrappers/tcpd's hosts.allow but much faster. Its access control rules
 are compiled into a hashed format with cdb, so it can easily deal with
 thousands of different hosts.
 .
 This package includes a recordio tool that monitors all the input and output
 of a server.
 .
 tcpclient makes a TCP connection and runs a program of your choice. It sets
 up the same environment variables as tcpserver.
 .
 This package includes several sample clients built on top of tcpclient:
 who@, date@, finger@, http@, tcpcat, and mconnect.
 .
 tcpserver and tcpclient conform to UCSPI, the UNIX Client-Server Program
 Interface, using the TCP protocol. UCSPI tools are
 available for several different networks.

Package: ucspi-unix
Description-md5: 6160a76dcecc90fe8a187695e4b8b088
Description-en: UNIX-domain socket client-server command-line tools
 unixclient and unixserver are command-line tools for building UNIX
 domain client-server applications.  unixclient connects to a UNIX domain
 socket and runs a program of your choice.  unixserver creates a UNIX
 domain socket, waits for incoming connections and, for each connection,
 runs a program of your choice.
 .
 unixclient and unixserver conform to UCSPI, the UNIX Client-Server
 Program Interface, using UNIX domain sockets.  UCSPI tools are available
 for several different networks.
 .
 See http://cr.yp.to/proto/ucspi.txt for more information on UCSPI.

Package: ucto
Description-md5: 8b1b6d90953bdae9b31730a938818dbd
Description-en: Unicode Tokenizer
 Ucto can tokenize UTF-8 encoded text files (i.e. separate words from
 punctuation, split sentences, generate n-grams), and  offers several other
 basic preprocessing steps that make your text suited for further processing
 such as indexing, part-of-speech tagging, or machine translation.
 .
 This package provides the command-line tool itself.
 .
 Ucto was written by Maarten van Gompel and Ko van der Sloot.  Work on Ucto
 was funded by NWO, the Netherlands Organisation for Scientific Research,
 under the Implicit Linguistics project, the CLARIN-NL program, and the
 CLARIAH project.
 .
 Ucto is a product of the Centre of Language and Speech Technology (Radboud
 University Nijmegen), and previously the ILK Research Group
 (Tilburg University, The Netherlands).
 .
 If you are interested in machine parsing of UTF-8 encoded text files, e.g. to
 do scientific research in natural language processing, ucto will likely be of
 use to you.

Package: uctodata
Description-md5: 326d0c83d662261436640b152622f83f
Description-en: Data files for Ucto
 Ucto can tokenize UTF-8 encoded text files (i.e. separate words from
 punctuation, split sentences, generate n-grams), and  offers several other
 basic preprocessing steps that make your text suited for further processing
 such as indexing, part-of-speech tagging, or machine translation.
 .
 This package provides necessary language-specific datafiles for running Ucto.
 .
 Ucto was written by Maarten van Gompel and Ko van der Sloot.  Work on Ucto
 was funded by NWO, the Netherlands Organisation for Scientific Research,
 under the Implicit Linguistics project, the CLARIN-NL program, and the
 CLARIAH project.
 .
 Ucto is a product of the Centre of Language and Speech Technology (Radboud
 University Nijmegen), and previously the ILK Research Group (Tilburg
 University, The Netherlands).

Package: udav
Description-md5: 41de96def3fd7f213494f10cd0198de7
Description-en: library for scientific graphs (window interface)
 A free cross-platform library of fast C++ routines for plotting data in up
 to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF
 files. There are simple window interfaces based on GLUT, FLTK and/or Qt.
 MathGL can also be used in the console. There are interfaces to a set of
 languages, such as, C, Fortran, Pascal, Forth, Python, Octave.
 .
 This package contains the udav window environment based on mathgl.

Package: udevil
Description-md5: a615ca9b1affb28800b58d0af1396eb1
Description-en: Alternative storage media interface
 udevil mounts and unmounts removable devices and network shares
 without requiring a password (set suid), shows device information
 and monitors device changes. It includes the devmon automounting
 script.

Package: udfclient
Description-md5: a1ba1fb9b8e207c77d42d43184676f1d
Description-en: userland implementation of the UDF filesystem
 UDFclient is a userland implementation of the UDF filesystem as defined by the
 OSTA group. UDFclient is designed to be a study platform and a run-up to a full
 read and write kernel level implementation.
 .
 Except udfclient it contains additional utilities:
 .
  cd_disect - Display report of disc
  cd_sessions - Show sessions on disc
  mmc_format - Format optical disc
  newfs_udf - Create UDF filesystem on file or a formatted disc
  udfclient - FTP-like client for UDF filesystem
  udfdump - Dump information from UDF filesystem

Package: udftools
Description-md5: da8b93d75b25a1fdfa162eeeec523800
Description-en: tools for UDF filesystems and DVD/CD-R(W) drives
 This package contains a number of user-space tools related to
 creating filesystems in the UDF (Universal Disk Format), which is
 primarily used for DVDs, but sometimes also CD-ROMs and HDDs:
 .
  mkudffs - Format a device, creating an empty UDF filesystem
  udflabel - show or change UDF filesystem label
  udfinfo - show information about UDF filesystem
  wrudf - Maintains a UDF filesystem
  cdrwtool - Low-level drive management (e.g. set writing speed, format)
  pktsetup - Set up a packet writing device (/dev/pktcdvd0) for a drive

Package: udhcpc
Description-md5: e2345d2807e5393b509c38303e4ee886
Description-en: Provides the busybox DHCP client implementation
 Busybox contains a very small yet fully functional RFC compliant DHCP
 client formerly known as udhcpc.
 .
 This package contains the glue to use the busybox udhcpc as DHCP
 client in the system by providing the appropriate symbolic links and
 scripts.

Package: udhcpd
Description-md5: c737b2b2b9534ba766d99f6de6c31668
Description-en: Provides the busybox DHCP server implementation
 Busybox contains a very small yet fully function RFC compliant DHCP
 server formerly known as udhcpd.
 .
 This package contains the glue to use the busybox udhcpd as DHCP
 server in the system by providing the appropriate symbolic links and
 scripts.

Package: udiskie
Description-md5: 59a04110c167bcb768ed4beb35bbd479
Description-en: automounter for removable media for Python
 udiskie is a front-end for UDisks written in Python. Its main purpose is
 automatically mounting removable media, such as CDs or flash drives. It has
 optional mount notifications, a GTK+ tray icon and user level CLIs for manual
 mount and unmount operations. The media will be mounted in a new directory
 under /media or /run/media/USER/, using the device name if possible.
 .
 This package is needed to support automounting removable media when
 nautilus is not available to control UDisks2.

Package: udisks2-bcache
Description-md5: 8d49d73ebddecca7d60231bd36065052
Description-en: bcache support for udisks2
 The udisks daemon serves as an interface to system block devices,
 implemented via D-Bus. It handles operations such as querying, mounting,
 unmounting, formatting, or detaching storage devices such as hard disks
 or USB thumb drives.
 .
 This package provides support for bcache configuration.

Package: udisks2-btrfs
Description-md5: e3a6e47015948bca32be8aacaa0afcc4
Description-en: BTRFS support for udisks2
 The udisks daemon serves as an interface to system block devices,
 implemented via D-Bus. It handles operations such as querying, mounting,
 unmounting, formatting, or detaching storage devices such as hard disks
 or USB thumb drives.
 .
 This package provides support for BTRFS configuration.

Package: udisks2-lvm2
Description-md5: c1e16f4cc2cd50bc38bf13ed7ee4ce3d
Description-en: LVM2 support for udisks2
 The udisks daemon serves as an interface to system block devices,
 implemented via D-Bus. It handles operations such as querying, mounting,
 unmounting, formatting, or detaching storage devices such as hard disks
 or USB thumb drives.
 .
 This package provides support for LVM2 configuration.

Package: udisks2-vdo
Description-md5: 86ab96acaf9b39ca180984bc978cf59a
Description-en: VDO support for udisks2
 The udisks daemon serves as an interface to system block devices,
 implemented via D-Bus. It handles operations such as querying, mounting,
 unmounting, formatting, or detaching storage devices such as hard disks
 or USB thumb drives.
 .
 This package provides support for Virtual Data Optimizer (VDO) configuration.

Package: udisks2-zram
Description-md5: b0a8a666e5b6f88d420772529afc766d
Description-en: zram support for udisks2
 The udisks daemon serves as an interface to system block devices,
 implemented via D-Bus. It handles operations such as querying, mounting,
 unmounting, formatting, or detaching storage devices such as hard disks
 or USB thumb drives.
 .
 This package provides support for zram configuration.

Package: udns-utils
Description-md5: 9912125881edd7422f3221331283a418
Description-en: Several DNS-related utilities built on top of udns library
 This package includes the following utilities:
  dnsget - a simple DNS query tool, like `host' or `dig' for usage from
   a command line, and dnsip, dnsname etc for usage in scripts
  rblcheck - DNSBL (rbl) checker
 All the utilities are built using udns library

Package: udo
Description-md5: c4fc4bfbffa362a9a4884d8adf5e2608
Description-en: universal document - text processing utility
 UDO is a powerful and multipurpose utility for making documentation or any
 other text file that is needed in one text format or more. UDO documents can
 be converted to ASCII, HTML, LaTeX, nroff, PostScript, RTF and many more.
 Though UDO is powerful, it's quite easy to understand and to use.

Package: udo-doc-de
Description-md5: 0af1d1c7a20bea55807ab9ccbb7faa85
Description-en: universal document - German documentation
 UDO is a powerful and multipurpose utility for making documentation or any
 other text file that is needed in one text format or more. UDO documents can
 be converted to ASCII, HTML, LaTeX, nroff, PostScript, RTF and many more.
 Though UDO is powerful, it's quite easy to understand and to use.
 .
 This package provides the complete German documentation of UDO in the
 following formats: HTML, txt, udo.

Package: udo-doc-en
Description-md5: 3b300b3a03f5dfeb0ec23290212b1d04
Description-en: universal document - English documentation
 UDO is a powerful and multipurpose utility for making documentation or any
 other text file that is needed in one text format or more. UDO documents can
 be converted to ASCII, HTML, LaTeX, nroff, PostScript, RTF and many more.
 Though UDO is powerful, it's quite easy to understand and to use.
 .
 This package provides the complete English documentation of UDO in the
 following formats: HTML, txt, udo.

Package: udpcast
Description-md5: 0141336c5d8c233c6cad0e01092559f0
Description-en: multicast file transfer tool
 UDPcast can send data simultaneously to many destinations on a LAN.
 This can be used, for example, to install entire classrooms of PCs at
 once.  The advantage of UDPcast over other methods (such as NFS, FTP,
 etc.) is that UDPcast uses Ethernet’s multicast abilities, which means
 it won’t take longer to install 15 machines than it would to install
 just two.

Package: udptunnel
Description-md5: e4eef37ca6b3ff4e8e5a182e70f1adc7
Description-en: tunnel UDP packets over a TCP connection
 UDPTunnel is a small program which can tunnel UDP packets bi-directionally
 over a TCP connection. Its primary purpose (and original motivation) is to
 allow multi-media conferences to traverse a firewall which allows only
 outgoing TCP connections. UDPTunnel also can be used for security tests in
 networks.

Package: udunits-bin
Description-md5: be52bef37b68f39f66da8bd9d7252602
Description-en: Utility for handling units of physical quantities
 udunits is a utility  for handling units of physical quantities. It supports
 conversion between string and binary representations of units,
 arithmetic manipulation of units, and conversion of numeric values between
 compatible units. The package is written in the C programming language.

Package: uec-provisioning-common
Description-md5: 63624f902805cda371235a56be593c4c
Description-en: the UEC Provisioning common files
 This package provides the common files used by the UEC
 Provisioning suite.

Package: uec-provisioning-dhcpd
Description-md5: b4e2baa6bd354f4edb14d963bbe6fa26
Description-en: the UEC Provisioning DHCP server
 This package provides the dhcpd server and configuration for the UEC
 Provisioning suite.

Package: uec-provisioning-mirror
Description-md5: 5f718f5707701b52b1de8f02fff055db
Description-en: the UEC Provisioning Mirror
 This package provides the local deb mirror (cache) for the UEC
 Provisioning suite.

Package: uec-provisioning-server
Description-md5: d8dd641523383c35621d300df5e89912
Description-en: the complete UEC Provisioning Server suite
 The Ubuntu Enterprise Cloud can be a complex system to deploy, and can
 involve installing many systems.
 .
 This package includes the complete UEC Provisioning Server suite.

Package: uec-provisioning-tftpd
Description-md5: e69eda6d37980932ca0f902733709266
Description-en: the UEC Provisioning TFTP server
 This package provides the tftp/pxe server and configuration for the UEC
 Provisioning suite.

Package: uec-provisioning-webui
Description-md5: 7ba43d3f7aaaf1a88f0363ebd18ae2ab
Description-en: the UEC Provisioning Web Interface
 This package provides the web user interface and preseed configuration
 for the UEC Provisioning suite.

Package: uefitool
Description-md5: 979aec72d957b3eeb9aa5a229e609917
Description-en: UEFI firmware image viewer and editor
 UEFITool is a cross-platform C++/Qt program for parsing, extracting and
 modifying UEFI firmware images.
 .
 It supports parsing of full BIOS images starting with the flash descriptor or
 any binary files containing UEFI volumes.
 .
 This package contains the GUI utility, UEFITool. For the CLI versions, see
 uefitool-cli.

Package: uefitool-cli
Description-md5: 870ff0a666c56857ffa31987887da614
Description-en: UEFI firmware image viewer and editor - CLI version
 UEFITool is a cross-platform C++/Qt program for parsing, extracting and
 modifying UEFI firmware images.
 .
 It supports parsing of full BIOS images starting with the flash descriptor or
 any binary files containing UEFI volumes.
 .
 This package contains the CLI utilities, UEFIPatch and UEFIReplace. For the GUI
 version, see uefitool.

Package: ufiformat
Description-md5: 993a03a3c3f3d798203ce74d0b65292d
Description-en: disk formatter for USB floppy drives
 ufiformat is a command-line utility for formatting floppy disks in
 UFI-compatible USB floppy drives. It allows disks to be formatted
 in any format supported by the drive, and can also be used to determine
 what format a disk is currently using.

Package: ufo-core-doc
Description-md5: 7b8cc61d55b1024b4a79c1af718587b1
Description-en: Library for high-performance, GPU-based computing - documentation
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 A gobject-instrospection binding is also provided to write scripts or
 user interfaces.
 .
 This package provides the documentation for libufo.

Package: ufo-filters
Description-md5: 5a759380fe095d5aa25f0bf3cf9e6533
Description-en: Set of plugins for ufo-core - runtime
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 This package contains `average', `backproject', `bin', `blur', `buffer',
 `calculate', `camera', `clip', `contrast', `crop', `denoise', `duplicate',
 `fftmult', `fft', `filter', `flatten', `flip', `forwardproject', `gemm',
 `ifft', `interpolate', `loop', `measure', `merge', `metaballs', `monitor',
 `null', `opencl', `ordfilt', `pad', `read', `reduce', `refeed', `replicate',
 `rescale', `ringwriter', `sleep', `slice', `stack', `stdin', `stdout',
 `subtract', `transpose', `write' and `zeropad' plugins

Package: ufo-filters-data
Description-md5: 5843a26a1bfeb51254c2744ddab142d6
Description-en: Library for high-performance, GPU-based computing - data
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 This package provides the OpenCL kernel files for the plugins

Package: ufo-filters-doc
Description-md5: d2079d0036a51bc2bb778f5337336502
Description-en: Library for high-performance, GPU-based computing - documentation
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 This package provides the documentation for the plugins

Package: ufo2otf
Description-md5: ee40a6b474e4b25c7aeb2dae52745f66
Description-en: Take UFO font sources and generate OTF’s and webfonts
 Ufo2otf is a command line utility that takes UFO font sources
 and generates OTF’s and webfonts.

Package: ufoai
Description-md5: ce36f4215df39cd3048d1cb2ef49259c
Description-en: UFO: Alien Invasion -- build your team and stop the aliens
 UFO: Alien Invasion is a squad-based tactical strategy game in the tradition
 of the old X-COM PC games. It combines military realism with hard
 science-fiction and the weirdness of an alien invasion. The carefully
 constructed turn-based system gives you pin-point control of your squad while
 maintaining a sense of pace and danger.
 .
 UFO:AI has two main modes of play: Geoscape mode and Tactical mode.
 .
 In Geoscape mode you manage the activities and finances of PHALANX, a secret
 organisation charged with defending Earth from a brutal alien enemy. You
 control bases, installations, aircraft and squads of armed-response troops.
 You will research new technologies and use their results in battle against the
 aliens. You can build, buy and produce anything you like, as long as your
 technology level and your budget will allow it. Easy-to-use time buttons make
 it possible to control the passage of time.
 .
 In Tactical mode the game is about taking command of your team in various
 missions to combat the aliens wherever they might appear. Tactical mode uses a
 turn-based system, where your team and the aliens take turns to make moves.
 You can order your troops around, fire their weapons, throw grenades and use
 other equipment. All actions require Time Units to perform. Once a soldier is
 out of Time Units, he or she can't do anything more until the next turn. Your
 mission objectives will vary for each mission and there are many to perform as
 the aliens' terrifying plot unfolds. You'll have to watch your back, be quick
 on your feet and take the fight to them.
 .
 If you don't, humanity is doomed.

Package: ufoai-common
Description-md5: ea2fbb06f7df5590dd3b6e44726ee321
Description-en: UFO: Alien Invasion -- scripts and configuration files
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains scripts and configuration files for UFO: Alien Invasion
 which are useful for client and server.

Package: ufoai-data
Description-md5: d865a3b280f7e560e2d4d6bf9ebaf447
Description-en: UFO: Alien Invasion -- data files
 UFO: Alien Invasion is a squad-based tactical strategy game in the tradition
 of the old X-COM PC games. It combines military realism with hard
 science-fiction and the weirdness of an alien invasion. The carefully
 constructed turn-based system gives you pin-point control of your squad while
 maintaining a sense of pace and danger.
 .
 This package contains hundreds of models, images and shader files for the game
 UFO: Alien Invasion.

Package: ufoai-maps
Description-md5: 210579ddda5361ad1b95a0aa2ee5cdd8
Description-en: UFO: Alien Invasion -- maps
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains compiled maps for the game UFO: Alien Invasion.

Package: ufoai-misc
Description-md5: 405de2c3a1dcefafec6821ecc152e592
Description-en: UFO: Alien Invasion -- miscellaneous files and documentation
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains architecture-independent data for the game UFO: Alien
 Invasion like language files and documentation.

Package: ufoai-music
Description-md5: fea6796d4e1a400b82e49af02bce3241
Description-en: UFO: Alien Invasion -- music files
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains music files for the game UFO: Alien Invasion.

Package: ufoai-server
Description-md5: 1e6cd4e9f97e97169e51adc5011e7716
Description-en: UFO: Alien Invasion -- dedicated server
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains the dedicated game server for UFO: Alien Invasion. It is
 only needed if you want to setup a permanent game server.

Package: ufoai-sound
Description-md5: 744ccb30938d52b993298916fdbdd7d7
Description-en: UFO: Alien Invasion -- sound files
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains sound files for the game UFO: Alien Invasion.

Package: ufoai-textures
Description-md5: 9632accc79902dbffe62e5a617a2a3ef
Description-en: UFO: Alien Invasion -- textures
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains textures for the game UFO: Alien Invasion.

Package: ufoai-tools
Description-md5: 733607b7793f8fd2239a2f3fbfd864e6
Description-en: UFO: Alien Invasion -- developer tools
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains developer tools for UFO: Alien Invasion.
 .
  * ufo2map
  * ufomodel
  * ufoslicer

Package: ufoai-uforadiant
Description-md5: 8e4a0845033d2ddab248242ed48882c2
Description-en: UFO: Alien Invasion -- map-building tool
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains the map-building tool UFORadiant for UFO: Alien
 Invasion.

Package: ufoai-uforadiant-data
Description-md5: 1d06550677a6d7f53654e3caa2d5f99f
Description-en: UFO: Alien Invasion -- map-building tool data files
 It is the year 2084. You control a secret organisation charged with defending
 Earth from a brutal alien enemy. Build up your bases, prepare your team, and
 dive head-first into the fast and flowing turn-based combat.
 .
 This package contains architecture-independent data files for the map-building
 tool UFORadiant.

Package: ufod
Description-md5: 407dfe17d585455a5c99c3df9b870dbb
Description-en: Library for high-performance, GPU-based computing - daemon
 The UFO data processing framework is a C library suited to build
 general purpose streams data processing on heterogeneous
 architectures such as CPUs, GPUs or clusters. It is extensively used
 at the Karlsruhe Institute of Technology for Ultra-fast X-ray Imaging
 (radiography, tomography and laminography).
 .
 A gobject-instrospection binding is also provided to write scripts or
 user interfaces.
 .
 This package contains the ufod daemon that serves computation requests
 from a remote master node.

Package: ufonormalizer
Description-md5: 5ddfa41012866d48dc099906a0eef932
Description-en: Normalize the XML and other data inside of a UFO
 This tool normalizes the XML and other data inside of a UFO (Unified
 Font Object) file.
 .
 This package provides the executable program.

Package: uftp
Description-md5: b3007a5f177410618ca260b8ca8beb43
Description-en: Encrypted multicast file transfer program
 Utility for secure, reliable, and efficient file transfer to multiple
 receivers simultaneously.  This is useful for distributing large files to a
 large number of receivers, and is especially useful for data distribution over
 a satellite link where the inherent delay makes any TCP based communication
 highly inefficient.

Package: uftrace
Description-md5: 25809a3f45ec7a94394531798097f828
Description-en: Traces and analyzes execution of programs written in C/C++
 The uftrace tool is intended for tracing and analyzing the execution of
 programs written in C or C++. It was heavily inspired by the ftrace framework
 of the Linux kernel (especially the function graph tracer) and supports
 userspace programs. It supports various kinds of commands and filters to help
 analysis of the program's execution and performance.
 .
 It traces each function in the executable and shows time durations. It can
 also trace external library calls - but usually only entry and exit are
 supported. It is also possible to trace other (nested) external library calls
 and/or internal function calls in the library call.
 .
 It can show detailed execution flow at function level, and report which
 function has the highest overhead. It also shows various information
 related to the execution environment.
 .
 You can setup filters to exclude or include specific functions when tracing.
 In addition, function arguments and return values can be saved and shown
 later.
 .
 The uftrace tool supports multi-process and/or multi-threaded applications.
 It can also trace kernel functions as well, with root privileges and if the
 system enables the function graph tracer in the kernel
 (CONFIG_FUNCTION_GRAPH_TRACER=y).

Package: uget
Description-md5: 06b0431c1271b5ee4b240555ec0b8988
Description-en: easy-to-use download manager written in GTK+
 Uget (formerly urlgfe) is a simple, lightweight and easy-to-use
 download manager.
 It provides the following features:
  * Resume downloads.
  * Queue downloads.
  * Classify downloads in categories.
  * Mozilla Firefox integration (through Flashgot plugin).
  * Clipboard monitoring.
  * Import downloads import from HTML files.
  * Batch download.
 .
 It also can be launched from the command line.

Package: uglifyjs
Description-md5: a1eee2f86a02076b5f09eef2d3a7ec1e
Description-en: JavaScript parser, mangler/compressor and beautifier - CLI tool
 UglifyJS is a JavaScript compressor/minifier written in JavaScript. It
 also contains tools that allow one to automate working with JavaScript
 code:
 .
  * A parser which produces an abstract syntax tree (AST) from
    JavaScript code.
  * A code generator which outputs JavaScript code from an AST, also
    providing the option to get a source map.
  * A compressor (optimizer) - it uses the transformer API to optimize
    an AST into a smaller one.
  * A mangler - reduce names of local variables to (usually)
    single-letters.
  * A scope analyzer, which is a tool that augments the AST with
    information about where variables are defined/referenced etc.
  * A tree walker - a simple API allowing you to do something on every
    node in the AST.
  * A tree transformer - another API intended to transform the tree.
 .
 All the above utilities and APIs are defined in ~6500 lines of code
 (except for the effective generation of the source-map, which is
 handled by the source-map module). Compared to alternatives, UglifyJS
 is pretty small.
 .
 This package provides the command-line tool uglifyjs.

Package: uglifyjs.terser
Description-md5: 11f3c3e73ec05ee68d1a7bec285d4751
Description-en: parser/mangler/compressor for ES6+ - CLI tool
 Terser is a parser, mangler, optimizer and beautifier toolkit
 for ECMAScript 2015 and newer (ES6+).
 .
 terser is a fork of uglify-es
 that retains API and CLI compatibility with uglify-es
 (Debian packages node-uglify-js, libjs-uglify-js, and uglifyjs).
 .
 ECMAScript 2015 (ES2015) a.k.a. ECMAScript 6 (ES6)
 is the 6th formal definition of JavaScript -
 a high-level, interpreted programming language
 most notably used in web browsers and in Node.js.
 .
 This package provides command-line tool uglifyjs.terser.

Package: uhd-host
Description-md5: b195f823c5d84b2c18b8fd560af425ab
Description-en: universal hardware driver for Ettus Research products - host apps
 Host utilities for the Universal Hardware Driver for Ettus Research products.
 .
 The supported devices provide analog radio receiver and transmitter hardware
 along with digital interfaces for getting signals to and from a software
 defined radio running on the host computer.
 .
 This package includes the uhd_find_devices application to locate and
 configure attached peripherals, the uhd_usrp_probe application to
 display hardware configuration information and Doxygen generated
 documentation.

Package: uhd-soapysdr
Description-md5: 2fa9c7a5cf16ef4266f1cd23cccc170b
Description-en: SoapySDR device support for libuhd
 Both SoapySDR and the Universal Hardware Driver by Ettus Research projects
 provide libraries to access various software defined radio hardware through a
 common interface.
 .
 This UHD module makes all SoapySDR devices available to applications using
 libuhd. An interface in the other direction is available in the
 soapysdr-module-uhd package.

Package: uhub
Description-md5: 30ce74cac149dfb0ce74c4fe2237ea81
Description-en: High performance Advanced Direct Connect p2p hub
 uhub is a high performance peer-to-peer hub for the ADC network.
 Its low memory footprint allows it to handle several thousand users on
 high-end servers, or a small private hub on embedded hardware.
 .
 uhub uses the Advanced Direct Connect protocol, and is compatible with
 DC++, LinuxDC++, EiskaltDC++ and other ADC clients.
 .
 Key features:
  - High performance and low memory usage
  - IPv4 and IPv6 support
  - Experimental SSL support
  - Advanced access control support
  - Easy configuration

Package: uhubctl
Description-md5: 4fbea6f9fd276cb0b567e63a5ec63ffe
Description-en: USB hub per-port power control
 Utility to control USB power per-port on smart USB hubs.
 Smart hub is defined as one that implements per-port power switching.
 .
 Original idea for this code was inspired by hub-ctrl.c by Niibe Yutaka:
 http://www.gniibe.org/development/ac-power-control-by-USB-hub

Package: ui-auto
Description-md5: 95f2c550acbd8729dfcb915cbb625058
Description-en: Autotools library and development tools
 ui-auto is a set of shell tools to help manage software project development.

Package: uidmap
Description-md5: 5a75630915e85aa203a9f7c82c737da4
Description-en: programs to help use subuids
 These programs help unprivileged users to create uid and gid mappings in
 user namespaces.

Package: uif
Description-md5: ef0e7a2e4f0b7809c0ae3a8e35b0d9c3
Description-en: Advanced iptables-firewall script
 Complete package to create and simplify iptables packetfilter
 rules using perl. It was developed for a diskless router
 system that can store its configurations in regular files or
 LDAP databases.

Package: uil
Description-md5: 755197cc269806e2424d0115ccab7e0b
Description-en: Motif - UIL (User Interface Language) compiler
 Motif is the industry standard GUI component toolkit for *NIX.
 .
 This package contains uil, the User Interface Language compiler.

Package: uim
Description-md5: 7d5fc5c62a66ae896a4bce984f82125e
Description-en: Universal Input Method - main binary package
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package is a package designed to install the basic uim infrastructure.

Package: uim-anthy
Description-md5: 4c96f15472625d25585f2295d1eaeb6e
Description-en: Universal Input Method - Anthy support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Japanese
 input method Anthy.

Package: uim-byeoru
Description-md5: cf9ccf236e5aa929c2d1fa958f937420
Description-en: Universal Input Method - byeoru hangul input support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Byeoru input
 module for hangul.

Package: uim-chewing
Description-md5: 4df756a3d8c628df3255e74b97517808
Description-en: Universal Input Method - Chewing plugin
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Chinese
 input method Chewing.

Package: uim-data
Description-md5: 21997c6d30c950caa148f9266c51cf33
Description-en: Universal Input Method - data files
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains the data files for uim.

Package: uim-el
Description-md5: 41539f8605bc65a7a832e83863352a01
Description-en: Universal Input Method - Emacs front end
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an Emacs front end for uim.

Package: uim-fep
Description-md5: 1e7a34676ac7358ed943fa5c34e4f48a
Description-en: Universal Input Method - front end processor
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a curses Front End Processor to support the use of
 uim in a text terminal.

Package: uim-gtk2.0
Description-md5: 7cb874e258eb0bd7aeeb0ea9aef67b56
Description-en: Universal Input Method - GTK+2.x front end
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an GTK+2.0 front-end applications for uim.

Package: uim-gtk2.0-immodule
Description-md5: 4e49bcc79e9db481fcf61429b83bb113
Description-en: Universal Input Method - GTK+2.x IM-module
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an IM-module to support the use of uim on GTK+2.0
 applications.

Package: uim-gtk3
Description-md5: f90b22bfcbdcf4116ac1b37306fc35e2
Description-en: Universal Input Method - GTK+3.x front end
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an GTK+3.0 front-end applications for uim.

Package: uim-gtk3-immodule
Description-md5: b49d2f2f535f32de9f2f7a2f43ea56ba
Description-en: Universal Input Method - GTK+3.x IM module
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an IM-module to support the use of uim on GTK+3.0
 applications.

Package: uim-ipa-x-sampa
Description-md5: c2650de1193bcb2174f13bebef0e458d
Description-en: Universal Input Method - X-SAMPA IPA input support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for the International Phonetic Alphabet,
 using the 7-bit extended-SAMPA system - see
 http://www.phon.ucl.ac.uk/home/sampa/x-sampa.htm

Package: uim-latin
Description-md5: 458a67f604ed5eca4057f23301af4ac9
Description-en: Universal Input Method - Latin script input support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the (Emacs)
 Latin input method, which provides composing sequences for accented and
 otherwise modified Roman-alphabet letters.

Package: uim-look
Description-md5: 68692cad22e0b17f7a31936f7dc6d098
Description-en: Universal Input Method - Dictionary-based completion input support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains Dictionary-based completion input style for uim.

Package: uim-m17nlib
Description-md5: 6239c32fcae2a4525cfdab4595a432a6
Description-en: Universal Input Method - m17nlib plugin metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the
 general-purpose input method M17n (for "Multilingualization").

Package: uim-mozc
Description-md5: d356d87e8c6ad11ff55a4f9485240410
Description-en: Mozc engine for uim - Client of the Mozc input method
 uim is an input method module library supporting various languages, which
 become a frontend for Anthy, SKK, Canna, T-Code, TUT-Code,
 Pinyin (Chinese input method), Hangul (Korean input method),
 IPA (International Phonetic Alphabet), etc.
 Most of the functions are implemented with Scheme, so it's very simple
 and flexible.
 .
 Mozc is a Japanese Input Method Editor (IME) designed for multi-platform
 such as Chromium OS, Windows, Mac and Linux.
 .
 uim-mozc provides client part of the Mozc input method.

Package: uim-pinyin
Description-md5: 848296bfa329cbc741a26cccfa542a52
Description-en: Universal Input Method - pinyin input support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for the (Traditional and Simplified)
 Chinese input methods py, pyunihan, and pinyin-big5.

Package: uim-plugins
Description-md5: 582b7f16190017bfcf28569d682de7bd
Description-en: Universal Input Method - plugin files
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains the plugin files for uim.

Package: uim-qt5
Description-md5: 7d91f8f1272e6e081d0c070ad1b08aa1
Description-en: Universal Input Method - Qt 5.x front end
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains some graphical utilities (but not a full IM-module)
 using Qt 5.x.

Package: uim-qt5-immodule
Description-md5: f0c434e9ace644faf06922b724ab427d
Description-en: Universal Input Method - Qt 5.x IM module
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an IM-module to support the use of uim on Qt 5.x.

Package: uim-skk
Description-md5: fcebaaa8993b1d8d700443b7690fc17f
Description-en: Universal Input Method - SKK support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Japanese
 input method SKK.

Package: uim-tcode
Description-md5: 7ee452d7c01196941f21ac156ea14575
Description-en: Universal Input Method - T-Code support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for TUT-Code (or "T-Code"), a Japanese
 input method mapping pairs of alphanumeric codes to individual kanji - see
 http://openlab.jp/tcode/ (in Japanese).

Package: uim-viqr
Description-md5: ec62cccdb102bb883f5573b1e814975f
Description-en: Universal Input Method - Vietnamese Quoted-Readable support metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for VIQR (Vietnamese Quoted-Readable)
 input. VIQR is a mnemonic encoding of Vietnamese characters into US ASCII
 for use on 7-bit systems - see RFC1456.

Package: uim-xim
Description-md5: b0b88ca1ca136569ca748ae268f27b79
Description-en: Universal Input Method - XIM compatibility interface
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides a bridge allowing software that can only interface
 with the X Input Method to work with uim.

Package: uima-doc
Description-md5: 0cf7ed941b109531609571b11fab6eca
Description-en: Documentation for the Apache UIMA framework
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 All the documentations to run the UIMA framework.

Package: uima-examples
Description-md5: 82d6a88034c050a1864de23a8f2b9f54
Description-en: Examples of UIMA components
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This package provides some examples of UIMA components.

Package: uima-utils
Description-md5: 00d0cfa97cbd6e22458150f575b841bc
Description-en: UIMA tools
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: <http://www.oasis-open.org/committees/uima/>.
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This package provides the UIMA tools : annotationViewer, cpeGui,
 documentAnalyzer, jcasgen, runAE, runCPE, runPearInstaller, runPearMerger,
 runPearPackager

Package: uisp
Description-md5: 89aa5e40b09b6b205d587de670e97feb
Description-en: Micro In-System Programmer for Atmel's AVR MCUs
 This utility is required to program AVR chips with object code
 created by the ava assembler/linker, gas, or gcc.  It supports
 in-system programming, Atmel's prototype board/programmer (stk500),
 and many other extremely low-cost parallel port programmers.
 It can also be used to program Atmel's AT89S51 and AT89S52.

Package: ukopp
Description-md5: 6b93d5816d7f57e0364d2050ecc8bb36
Description-en: Full and incremental backup to disk or disk-like device
 Ukopp is used to copy or back-up disk files to a disk or disk-like device,
 such as a USB stick. It copies only new or modified files since the last
 backup, and is therefore quite fast. A GUI is used to navigate the file
 system to include or exclude files or directories at any level. These
 choices can be saved in a job file for repeated use. New files appearing
 within the included directories are handled automatically. Optionally,
 previous versions of the backup files can be retained instead of being
 overwritten. Files can be selectively restored using a GUI. Ownership
 and permissions are also restored, even if the target device uses a
 Microsoft file system.

Package: ukui-control-center
Description-md5: 41b5f0c9b0725407aebb54f2dd78907c
Description-en: utilities to configure the UKUI desktop
 The UKUI control center contains configuration applets for the UKUI desktop,
 allowing to set accessibility configuration, desktop fonts, keyboard
 and mouse properties, sound setup, desktop theme and background, user
 interface properties, screen resolution, and other UKUI parameters.

Package: ukui-desktop-environment
Description-md5: 82ba758ccfdfaa561d9eed7164910abd
Description-en: UKUI Desktop Environment (metapackage)
 The UKUI Desktop Environment is the continuation of MATE. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 UKUI is under active development to add support for new technologies while
 preserving a traditional desktop experience.
 .
 This package installs the standard set of applications that are
 part of the official UKUI release.

Package: ukui-desktop-environment-core
Description-md5: f74ce5c650af742ce06a6fe7428c4cdb
Description-en: UKUI Desktop Environment (essential components, metapackage)
 The UKUI Desktop Environment is the continuation of MATE. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 UKUI is under active development to add support for new technologies while
 preserving a traditional desktop experience.
 .
 This package depends on a very basic set of programs that are necessary to
 start a UKUI desktop environment session. The set of programs includes the
 UKUI file manager (Peony), the UKUI control center and a limited set of
 other obligatory UKUI desktop components.

Package: ukui-desktop-environment-extras
Description-md5: 71c3cbe295724ba146b3655febf065a5
Description-en: UKUI Desktop Environment (extra components, metapackage)
 The UKUI Desktop Environment is the continuation of MATE. It provides an
 intuitive and attractive desktop environment using traditional metaphors for
 Linux and other Unix-like operating systems.
 .
 UKUI is under active development to add support for new technologies while
 preserving a traditional desktop experience.
 .
 This package installs an extra set of UKUI components that are
 also part of the official UKUI release.

Package: ukui-greeter
Description-md5: 742d1da229a88a83951148939cea3d6f
Description-en: Lightdm greeter for UKUI
 A greeter for UKUI desktop environment written by Qt5.
 The greeter supports biometric authentication which is
 provided by biometric-authentication service.

Package: ukui-media
Description-md5: c0c89f8b2a809e29a833be9f2228794b
Description-en: UKUI media utilities
 UKUI media utilities are the audio mixer and the volume
 control applet.
 .
 This package utilizes the libmatemixer library which provides
 support for ALSA and Pulseaudio as audio backends.

Package: ukui-media-common
Description-md5: 9a915ebb9da8917ea467d8c639ee4c7d
Description-en: UKUI media utilities (common files)
 UKUI media utilities are the audio mixer and the volume
 control applet.
 .
 This package contains the common files.

Package: ukui-menu
Description-md5: 3aca7cd06d33ba60034b6092f89c3ec7
Description-en: Advanced ukui menu
 UKUI menu provides start menu development library and advanced
 graphical user interface.
 .
 The package contains executable file.

Package: ukui-menus
Description-md5: 9d01d8df0a3cc6c879d5138044196941
Description-en: implementation of the freedesktop menu specification for UKUI
 The package contains an implementation of the draft
 "Desktop Menu Specification" from freedesktop.org:
 .
 http://www.freedesktop.org/Standards/menu-spec
 .
 Also contained here are the UKUI menu layout configuration files, .directory
 files and assorted menu related utility programs.

Package: ukui-panel
Description-md5: 27a3f1addb3a1991b1c3d2ed491e6af5
Description-en: ukui desktop panel
 The ukui desktop panel is used on ukui desktop and has some plugins like
 starmenu, quicklaunch and other useful tools.
 .
 This package contains the ukui panel.

Package: ukui-polkit
Description-md5: a780ff72e2a847907f298c2c42b7d0b8
Description-en: UKUI authentication agent for PolicyKit-1
 The ukui-polkit package supports general authentication and
 biometric authentication that the service is provided by the
 biometric-auth package.

Package: ukui-power-manager
Description-md5: bdbda720ab021c66aa1ca7ce424298c2
Description-en: power management tool for the UKUI desktop
 UKUI Power Manager is a session daemon for the UKUI desktop
 that takes care of system or desktop events related to power, and
 triggers actions accordingly. Its philosophy is to completely hide
 these complex tasks and only show some settings important to the user.
 .
 The UKUI power manager displays and manages battery status, power plug
 events, display brightness, CPU, graphics card and hard disk drive
 power saving, and can trigger suspend-to-RAM, hibernate or shutdown
 events, all integrated to other components of the UKUI desktop.

Package: ukui-power-manager-common
Description-md5: 03002cdb35b57b5721c11343072dd8b6
Description-en: power management tool for the UKUI desktop (common files)
 UKUI Power Manager is a session daemon for the UKUI desktop
 that takes care of system or desktop events related to power, and
 triggers actions accordingly. Its philosophy is to completely hide
 these complex tasks and only show some settings important to the user.
 .
 The UKUI power manager displays and manages battery status, power plug
 events, display brightness, CPU, graphics card and hard disk drive
 power saving, and can trigger suspend-to-RAM, hibernate or shutdown
 events, all integrated to other components of the UKUI desktop.
 .
 This package contains the architecture independent files.

Package: ukui-screensaver
Description-md5: ea6e8e6494f1e5e9bcb8408207512bf4
Description-en: Screensaver for UKUI desktop environment
 A simple and lightweight screensaver written by Qt5.
 The screensaver supports biometric auhentication which is
 provided by biometric-auth service.

Package: ukui-session-manager
Description-md5: 14c6caee0255ecffce4f22bd0c7f34dd
Description-en: Session manager of the UKUI desktop environment
 This package contains a session that can be started from a display
 manager such as lightdm. It will load all necessary applications for
 a full-featured user session.
 .
 This package contain the session manager component.

Package: ukui-settings-daemon
Description-md5: 5792cbfed0159ee95815f8fbabbafa5e
Description-en: daemon handling the UKUI session settings
 This package contains the daemon which is responsible for setting the
 various parameters of a UKUI session and the applications that run
 under it. It handles the following kinds of settings:
 .
  * Keyboard: layout, accessibility options, shortcuts, media keys
  * Clipboard management
  * Theming: background, icons, GTK+ applications
  * Cleanup of unused files
  * Mouse: cursors, speed, accessibility options
  * Startup of other daemons: screensaver, sound daemon
  * Typing break
 .
 It also sets various application settings through X resources and
 freedesktop.org XSETTINGS.

Package: ukui-settings-daemon-common
Description-md5: 86879402700b72f011b7c48a5156dd7f
Description-en: daemon handling the UKUI session settings (common files)
 This package contains the daemon which is responsible for setting the
 various parameters of a UKUI session and the applications that run
 under it. It handles the following kinds of settings:
 .
  * Keyboard: layout, accessibility options, shortcuts, media keys
  * Clipboard management
  * Theming: background, icons, GTK+ applications
  * Cleanup of unused files
  * Mouse: cursors, speed, accessibility options
  * Startup of other daemons: screensaver, sound daemon
  * Typing break
 .
 It also sets various application settings through X resources and
 freedesktop.org XSETTINGS.
 .
 This package contains the architecture independent files.

Package: ukui-settings-daemon-dev
Description-md5: 19dda297be66768a54363e5ad2e611f4
Description-en: daemon handling the UKUI session settings (development files)
 This package contains the daemon which is responsible for setting the
 various parameters of a UKUI session and the applications that run
 under it. It handles the following kinds of settings:
 .
  * Keyboard: layout, accessibility options, shortcuts, media keys
  * Clipboard management
  * Theming: background, icons, GTK+ applications
  * Cleanup of unused files
  * Mouse: cursors, speed, accessibility options
  * Startup of other daemons: screensaver, sound daemon
  * Typing break
 .
 It also sets various application settings through X resources and
 freedesktop.org XSETTINGS.
 .
 This package contains the development files for building
 ukui-settings-daemon plugins.

Package: ukui-sidebar
Description-md5: 6e2864cb77780298beeb66808b80d550
Description-en: parallels toolbox for UKUI
 The ukui-sidebar is mainly used in the desktop operating system.
 It pops up from the right side of the desktop in the form of a tray,
 displaying some application notification messages and some cutting
 storage information.

Package: ukui-themes
Description-md5: 12035c810e4384b7c74da5b0cf3a8086
Description-en: Official themes for the UKUI desktop
 This package contains the official desktop themes of the UKUI desktop
 environment.

Package: ukui-window-switch
Description-md5: 2055d369b531e9c7b1bc7b563c2557ee
Description-en: Front of the window switch
 Front of the window switcher in UKUI desktop environment.
 Provides the display function(Display window thumbnails and application
 icons) when the window is switching (press Alt+Tab key).

Package: ukwm
Description-md5: e47e88b46a9d028d26f0e4bec26fbd95
Description-en: lightweight GTK+ window manager
 Ukwm is a small window manager, using GTK+ and Clutter to do
 everything.
 .
 Ukwm is the clutter-based evolution of Metacity, which, as the
 author says, is a "Boring window manager for the adult in you. Many
 window managers are like Marshmallow Froot Loops; Metacity is like
 Cheerios."
 .
 This package contains the core binaries.

Package: ukwm-common
Description-md5: 925bb0140b168623fcfda1faf19abcd3
Description-en: shared files for the Ukwm window manager
 Ukwm is a small window manager, using GTK+ and Clutter to do
 everything.
 .
 Ukwm is the clutter-based evolution of Metacity, which, as the
 author says, is a "Boring window manager for the adult in you. Many
 window managers are like Marshmallow Froot Loops; Metacity is like
 Cheerios."
 .
 This package contains the shared files.

Package: ulogd2
Description-md5: bdc041f49e8efc4d394fc331d603e5bc
Description-en: Netfilter Userspace Logging Daemon
 ulogd is an advanced netfilter logging daemon. It can act as a replacement for
 syslog for logging netfilter ruleset violations (via the NFLOG or ULOG iptables
 targets), can gather per-connection accounting using NFCT, or gather per-rule
 accounting using NFACCT. Output can be sent to plain text log files, a variety
 of SQL database formats, XML files, pcap files, syslog, and many other formats.
 .
 Support for the DBI database abstraction layer, MySQL, PostgreSQL and SQLite 3
 databases is in separate packages called ulogd2-dbi, ulogd2-mysql, ulogd2-pgsql
 and ulogd2-sqlite3 respectively. Support for writing to JSON files is the
 ulogd2-json package. Support for writing to pcap files is in the ulogd2-pcap
 package.

Package: ulogd2-dbi
Description-md5: a7b333c3adf43f39cdd0f7dfc59ff63c
Description-en: DBI extension to ulogd
 ulogd is an advanced netfilter logging daemon. It can act as a replacement for
 syslog for logging netfilter ruleset violations (via the NFLOG or ULOG iptables
 targets), can gather per-connection accounting using NFCT, or gather per-rule
 accounting using NFACCT. Output can be sent to plain text log files, a variety
 of SQL database formats, XML files, pcap files, syslog, and many other formats.
 .
 This module adds DBI support to ulogd. If you install this package, you can
 send netfilter logs or accounting data to an SQL database via the DBI database
 abstraction layer.

Package: ulogd2-json
Description-md5: 49ac5bf1f47b9d71a2c88e7b758123b9
Description-en: JSON extension to ulogd
 ulogd is an advanced netfilter logging daemon. It can act as a replacement for
 syslog for logging netfilter ruleset violations (via the NFLOG or ULOG iptables
 targets), can gather per-connection accounting using NFCT, or gather per-rule
 accounting using NFACCT. Output can be sent to plain text log files, a variety
 of SQL database formats, XML files, pcap files, syslog, and many other formats.
 .
 This module adds JSON support to ulogd. If you install this package, you can
 send netfilter logs or accounting data to a log file using JavaScript Object
 Notation (JSON).

Package: ulogd2-mysql
Description-md5: cdc38f03b6970f9544291f016341e71e
Description-en: MySQL extension to ulogd
 ulogd is an advanced netfilter logging daemon. It can act as a replacement for
 syslog for logging netfilter ruleset violations (via the NFLOG or ULOG iptables
 targets), can gather per-connection accounting using NFCT, or gather per-rule
 accounting using NFACCT. Output can be sent to plain text log files, a variety
 of SQL database formats, XML files, pcap files, syslog, and many other formats.
 .
 This module adds MySQL support to ulogd. If you install this package, you can
 send netfilter logs or accounting data to a MySQL database.

Package: ulogd2-pcap
Description-md5: 1918fdc8e27d2c7311c95e7843dcb526
Description-en: pcap extension to ulogd
 ulogd is an advanced netfilter logging daemon. It can act as a replacement for
 syslog for logging netfilter ruleset violations (via the NFLOG or ULOG iptables
 targets), can gather per-connection accounting using NFCT, or gather per-rule
 accounting using NFACCT. Output can be sent to plain text log files, a variety
 of SQL database formats, XML files, pcap files, syslog, and many other formats.
 .
 This module add pcap file support to ulogd. If you install this package, you
 can use ulogd to dump packets to a file for later analysis using tools such
 as tcpdump or wireshark.

Package: ulogd2-pgsql
Description-md5: a26b895d5cb968e7d545ca64e3715be5
Description-en: PostgreSQL extension to ulogd
 ulogd is an advanced netfilter logging daemon. It can act as a replacement for
 syslog for logging netfilter ruleset violations (via the NFLOG or ULOG iptables
 targets), can gather per-connection accounting using NFCT, or gather per-rule
 accounting using NFACCT. Output can be sent to plain text log files, a variety
 of SQL database formats, XML files, pcap files, syslog, and many other formats.
 .
 This module adds PostgreSQL support to ulogd. If you install this package, you
 can send netfilter logs or accounting data to a PostgreSQL database.

Package: ulogd2-sqlite3
Description-md5: 74d5bdd07aea19a3c1a30cc805e63522
Description-en: SQLite 3 extension to ulogd
 ulogd is an advanced netfilter logging daemon. It can act as a replacement for
 syslog for logging netfilter ruleset violations (via the NFLOG or ULOG iptables
 targets), can gather per-connection accounting using NFCT, or gather per-rule
 accounting using NFACCT. Output can be sent to plain text log files, a variety
 of SQL database formats, XML files, pcap files, syslog, and many other formats.
 .
 This module adds SQLite 3 support to ulogd. If you install this package, you
 can send netfilter logs or accounting data to an SQLite 3 database.

Package: ultracopier
Description-md5: 0c9eeacda85f9380ee1b62a810b1fb28
Description-en: advanced graphical file copy system
 Ultracopier is a graphical file copy system featuring:
  - transfer suspend
  - speed control
  - transfer list management
  - advanced name colision and error management
 .
 Ultracopier also supports multiple skins and languages.

Package: umbrello
Description-md5: 30aaae1c92062b322ab165ce25f6c379
Description-en: UML modelling tool and code generator
 Umbrello UML Modeller is a Unified Modelling Language diagram editor for KDE.
 It can create diagrams of software and other systems in the industry-standard
 UML format, and can also generate code from UML diagrams in a variety of
 programming languages.
 .
 This package is part of the KDE Software Development Kit module.

Package: umegaya
Description-md5: a7c2ac7eecd5b1a85837a28d1bad2477
Description-en: Umegaya is a MEtadata GAtherer using YAml
 Aggregator of meta information about the software packages. The central
 concept is that the metadata is accumlated by the package maintainer in a
 version control system containing the source package, in a file called
 debian/upstream/metadata, and collected by the web aggregator each time
 it is accessed.

Package: uml-utilities
Description-md5: b9fe2e3f4672c2b72febe8d15934ad00
Description-en: User-mode Linux (utility programs)
 User-mode Linux is a port of the Linux kernel to its own system call
 interface.  It provides a kind of virtual machine, which runs Linux
 as a user process under another Linux kernel.  This is useful for
 kernel development, sandboxing, jailing, experimentation, and
 many other things.
 .
 This package contains userspace utilities for use with User-mode
 Linux, including uml_mconsole, uml_moo, uml_switch, uml_net and
 tunctl.

Package: umlet
Description-md5: efd2ec019c190c9c110836a214dda2ae
Description-en: simple, text driven UML drawing tool
 UMLet is a UML tool aimed at providing a fast way of drawing
 UML diagrams. UML elements are modified using text input instead
 of pop-up dialogs. Elements can be modified and used as
 templates; this way, users can easily tailor UMLet to their
 modeling needs. UMLet supports a variety of UML diagram types:
 class diagrams, use case diagrams, sequence diagrams, state
 diagrams, deployment diagrams, activity diagrams, etc.
 .
 UMLet can only be used for drawing UML diagrams, it does not
 support code export or the XMI format. UMLet allows one to export
 diagrams as images or PDF.

Package: umoci
Description-md5: 3de86304c0593ba60d1399cca0948062
Description-en: Open Container Images manipulation tool
 umoci creates and modifies Open Container images.
 See the official website (https://umo.ci/) for more accessible
 documentation about how to use umoci.
 .
 umoci intends to be a complete manipulation tool for OCI images
 (https://github.com/opencontainers/image-spec).  In particular, it should
 be seen as a more end-user-focused version of the oci-image-tools provided
 by the OCI (https://github.com/opencontainers/image-tools). The hope is
 that all of this tooling will eventually be merged with the upstream
 repository, so that it is always kept up-to-date by the Open Container
 Initiative community.
 .
 umoci provides tooling that can abstract all of the internals of the OCI
 specification into a single CLI interface.

Package: umockdev
Description-md5: 286db1dc230b4374b7e4132a74169450
Description-en: record/mock hardware devices for bug reports and regression tests
 umockdev mocks hardware devices for creating unit tests for libraries and
 programs that handle Linux hardware devices.
 .
 This package provides tools to record the properties and behaviour of
 particular devices, and to run a program or test suite under a test bed with
 the previously recorded devices loaded.
 .
 Right now this covers sysfs, uevents, basic support for /dev devices, and
 recording/mocking usbdevfs ioctls (for PtP/MTP devices).
 .
 With this you can simulate particular hardware in virtual environments up to
 some degree, without needing any particular privileges or disturbing the whole
 system.

Package: ums2net
Description-md5: cfd85c9fadde1182a5191fd83f3c00f0
Description-en: USB Mass Storage to Network Proxy
 ums2net provides a way for a user to connect from a network connection to an
 USB mass storage device.

Package: umview
Description-md5: 53afff5ebb11abf77055b97088cacbd2
Description-en: View-OS in user space - Core and base modules
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains the UMView controlling daemon and some modules, both
 for testing and for real use.  Other modules are contained in separate
 packages because they depend on additional libraries.

Package: umview-mod-umdevtap
Description-md5: c9f52d255edee82d81ba8b6eb155d8d9
Description-en: View-OS in user space - Virtual TUN/TAP module
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains a sub-module for umdev.  umdev is contained in the main
 UMView package and allows virtualization of character and block devices.
 umdevtap creates a virtual TUN/TAP interface (i.e. /dev/net/tun).  On the
 outer side, UMView opens a connection to a VDE switch.

Package: umview-mod-umfuseext2
Description-md5: b5d8ceb3db3bac79e8d6460aa389753f
Description-en: View-OS in user space - ext2 module for UMFUSE
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains a sub-module for umfuse.  umfuse is contained in the
 main UMView package and allows almost complete source compatibility with FUSE
 modules.  umfuseext2 can be used to mount Extended 2 file system images
 inside an UMView instance.

Package: umview-mod-umfusefat
Description-md5: 1582b02087b029c291bf1fcfeaf6eb30
Description-en: View-OS in user space - FAT module for UMFUSE
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains a sub-module for umfuse.  umfuse is contained in the
 main UMView package and allows almost complete source compatibility with FUSE
 modules.  umfusefat can be used to mount FAT12/FAT16/FAT32 images inside an
 UMView instance.

Package: umview-mod-umfuseiso9660
Description-md5: 2b0d8be30683022f88425d7ea25fc900
Description-en: View-OS in user space - ISO9660 module for UMFUSE
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains a sub-module for umfuse.  umfuse is contained in the
 main UMView package and allows almost complete source compatibility with FUSE
 modules.  umfuseiso9660 can be used to mount ISO-9660 CD images inside an
 UMView instance.

Package: umview-mod-umlwip
Description-md5: 4c47eb9f21e215f9cb061c0589fa6612
Description-en: View-OS in user space - LWIPv6 gateway module
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains the umnewlwipv6 module, a gateway to the LWIPv6
 user-level networking library (see liblwipv6-2).  It makes possible for
 processes inside UMView to use virtual network interfaces that are connected
 to the external world through a TUN/TAP device or a VDE switch.

Package: umview-mod-viewfs
Description-md5: dbde568720487dbf36ba57d96a7c25e0
Description-en: View-OS in user space - Filesystem mangling modules
 View-OS is a novel approach to the process/kernel interface.  The semantics of
 each system call can be assigned process by process giving the user the right
 to decide which view of the system each process has to join.  Each process can
 "see" a different file system structure, networking resources, processor,
 devices.  Moreover some of the resources can be provided by the user
 him/herself, thus these resource can be private to the single process and are
 not known a priori by the system.  Groups of processes can share the same view
 but this is just an optimization to share management methods.
 .
 For more information, see http://wiki.virtualsquare.org
 .
 UMView is a user-mode implementation of View-OS.  Processes are run with a
 controlling daemon that captures all the system calls (at present using the
 ptrace() system call) and uses dynamically loadable modules to change their
 semantic.
 .
 This package contains (very experimental) modules that allow filesystem
 namespace mangling (as hiding some portions of the filesystem, creating COW
 subtrees and so on).

Package: unaccent
Description-md5: 624852d7c0f69b5e555a5d6eaa0fd7ae
Description-en: Replace accented letters by their unaccented equivalent
 read data from stdin, replace accented letters by their unaccented
 equivalent and write the result on stdout.

Package: unace
Description-md5: 9601a5e507d4360472e611f98c1a79f9
Description-en: extract, test and view .ace archives
 The unace utility is used for extracting, testing and viewing
 the contents of archives created with the ACE archiver.

Package: unadf
Description-md5: a342fa0c4d9aa888a7a5bef6b677fa2a
Description-en: Extract files from an Amiga Disk File dump (.adf)
 Tool for extracting and listing files in an Amiga Disk File.
 .
 Amiga Disk File aka ADF is a file format used by Amiga computers and emulators
 to store images of disks. ADF is not really a file format but actually a
 track-by-track dump of the disk data as read by the Amiga operating system,
 and so the "format" is really fixed-width AmigaDOS data tracks appended one
 after another and held in a file.

Package: unagi
Description-md5: ebff34b301a8f4782a2fbb406bbf54e1
Description-en: Modular X compositing manager
 Unagi is a modular compositing manager which aims to be efficient,
 lightweight and responsive. It is currently written in C programming
 language and based on XCB library client library. Any existing window
 manager (such as Awesome) can be used as long as it implements properly
 EWMH and ICCCM specifications (or at least needed), which is generally
 the case nowadays.
 .
 It also aims to provide a functional and stable software to improve
 window manager usability from an end-user point of view (for instance
 windows translucency, application-switcher using live thumbnails
 instead of plain icons, Exposé...), therefore it does not
 intend at all to provide useless eye-candy effects.

Package: unagi-dbg
Description-md5: 9e7fa4ca0ea0133e59d1b3a7f9d11d5f
Description-en: Modular X compositing manager - debugging symbols
 Unagi is a modular compositing manager which aims to be efficient,
 lightweight and responsive. It is currently written in C programming
 language and based on XCB library client library. Any existing window
 manager (such as Awesome) can be used as long as it implements properly
 EWMH and ICCCM specifications (or at least needed), which is generally
 the case nowadays.
 .
 It also aims to provide a functional and stable software to improve
 window manager usability from an end-user point of view (for instance
 windows translucency, application-switcher using live thumbnails
 instead of plain icons, Exposé...), therefore it does not
 intend at all to provide useless eye-candy effects.
 .
 This package contains the debugging symbols for unagi.

Package: unagi-dev
Description-md5: 31734db064e3082f29b427393935bda0
Description-en: Modular X compositing manager - development files
 Unagi is a modular compositing manager which aims to be efficient,
 lightweight and responsive. It is currently written in C programming
 language and based on XCB library client library. Any existing window
 manager (such as Awesome) can be used as long as it implements properly
 EWMH and ICCCM specifications (or at least needed), which is generally
 the case nowadays.
 .
 It also aims to provide a functional and stable software to improve
 window manager usability from an end-user point of view (for instance
 windows translucency, application-switcher using live thumbnails
 instead of plain icons, Exposé...), therefore it does not
 intend at all to provide useless eye-candy effects.
 .
 This package contains everything needed to compile third-party plugins
 or rendering backends.

Package: unalz
Description-md5: 5b344a60a4c4a8b41b8369a0dc3abd8f
Description-en: De-archiver for .alz files
 This is the utility used for decompressing ALZip format files. It
 mainly operates on files with names ending in '.alz'.
 .
 Note that it only support Alzip program's old (pre-2009) ALZ format.
 The new "EGG" format is completely different with ALZ and not
 supported.

Package: unar
Description-md5: 37d65eb2eb69dfafae7ecf5471c75313
Description-en: Unarchiver for a variety of file formats
 The Unarchiver is an archive unpacker program with support for the popular
 zip, RAR, 7z, tar, gzip, bzip2, LZMA, XZ, CAB, MSI, NSIS, EXE, ISO, BIN, and
 split file formats, as well as the old Stuffit, Stuffit X, DiskDouble, Compact
 Pro, Packit, cpio, compress (.Z), ARJ, ARC, PAK, ACE, ZOO, LZH, ADF, DMS, LZX,
 PowerPacker, LBR, Squeeze, Crunch, and other old formats.
 .
 This package contains the lsar tool which lists the contents of archives and
 the unar tool which extracts those contents.

Package: unbound
Description-md5: fbeecad8349f6b3042087b256bc69b68
Description-en: validating, recursive, caching DNS resolver
 Unbound is a recursive-only caching DNS server which can perform DNSSEC
 validation of results. It implements only a minimal amount of authoritative
 service to prevent leakage to the root nameservers: forward lookups for
 localhost, reverse for 127.0.0.1 and ::1, and NXDOMAIN for zones served by
 AS112. Stub and forward zones are supported.
 .
 This package contains the unbound daemon.

Package: unbound-anchor
Description-md5: f49fb3435120bde9cd4af959aeac719c
Description-en: utility to securely fetch the root DNS trust anchor
 unbound-anchor is a utility which securely fetches or updates the root DNS
 zone trust anchor. A copy of the current root anchor and root update
 certificate is embedded in unbound-anchor. RFC 5011 trust anchor tracking is
 performed, with fallback to an SSL fetch if this fails.

Package: unbound-host
Description-md5: 287e113772f55d3575bb8577560cb3eb
Description-en: reimplementation of the 'host' command
 This package provides the 'unbound-host' program that is bundled with the
 Unbound domain name server. This version differs from the one provided in the
 package called host, which is from NIKHEF, and bind9-host, which is from ISC,
 and has a similar but different set of features and options.

Package: unburden-home-dir
Description-md5: ed76db94c9f49864b759700c92e8b446
Description-en: Remove or move cache files automatically from user's home
 unburden-home-dir allows users to move cache files from browsers,
 etc. off their home directory, i.e. on a local harddisk or tmpfs and
 replace them with a symbolic link to the new location (e.g. on /tmp/
 or /scratch/) upon login. Optionally the contents of the directories
 and files can be removed instead of moved.
 .
 This is helpful at least in the following cases:
 .
 The idea-giving case are big workstation setups where $HOME is on NFS
 and all those caches put an unnecessary burden (hence the name) on
 the file server since caching over NFS doesn't have the best
 performance and may clog the NFS server, too.
 .
 A similar case, but with different purpose is reducing I/O on mobile
 devices like laptops or netbooks to extend the battery life or reduce
 the wearing down of CF or SD cards, e.g. in single board computers
 like the Raspberry Pi or Alix or APU boards: Moving browser caches
 etc. off the real disk into a tmpfs filesystem reduces the amount of
 disk I/O which reduces the power consumption of the disk.
 .
 Another possible solution for saving non-crucial I/O is using the
 package eatmydata to ignore a software's fsync calls.
 .
 The other type of use cases for unburden-home-dir is to reduce disk
 space usage, e.g. on devices with small disk space but a lot of RAM
 as seen often on boxes with flash disks or early netbooks, e.g.  the
 first EeePC with 4GB disk space and 2GB RAM. In this case you want to
 move off as many cache files, etc. as possible to some tmpfs
 filesystem, e.g. /tmp/.
 .
 It may also help to reduce the amount of needed backup disk space by
 keeping those files in places where they don't get backed up. In that
 case it's an alternative to keeping the blacklist in your backup
 software up-to-date.
 .
 For some users it may also be helpful to stay under their quota.
 .
 The package also contains an Xsession hook which calls this script on
 X login for every user. But by default no files or directories are
 configured to be moved elsewhere, so nothing will happen
 automatically without configuration.

Package: unburden-home-dir-doc
Description-md5: 99672913b6454fb71bdd9f4bc1271adc
Description-en: HTML documentation for unburden-home-dir
 This package contains the documentation for Unburden Your Home
 Directory in HTML format.
 .
 Alternatively you can also find the latest version of this
 documentation online at either
 https://unburden-home-dir.readthedocs.io/ or
 https://xtaran.gitbooks.io/unburden-home-dir/.

Package: unclutter
Description-md5: 36c3c874461f81c17f342795f48a80bb
Description-en: hides the mouse cursor in X after a period of inactivity
 unclutter hides your X mouse cursor when you don't need it, to prevent it
 from getting in the way. You have only to move the mouse to restore the
 mouse cursor.
 .
 This is the original unclutter implementation from the 90s which
 works well with classic X11 programs. For a more modern
 implementation which works better with some more modern applications
 and UI libraries, see unclutter-xfixes.

Package: unclutter-startup
Description-md5: 5fea20363082590ca43ca8043fb6f20a
Description-en: autostart infrastructure for unclutter and unclutter-xfixes
 This package contains the integration of unclutter respectively
 unclutter-xfixes into /etc/X11/Xsession.d/ so that it can be
 automatically started for all users of a system.

Package: unclutter-xfixes
Description-md5: 0fe5bdc436c0c506d83fb20259450987
Description-en: hide the X mouse cursor after a period of inactivity, using XFixes
 unclutter-xfixes is a rewrite of the popular tool unclutter, but
 using the x11-xfixes extension.  It has fewer bugs when used with
 modern applications and window managers.

Package: uncommons-watchmaker-doc
Description-md5: 38bfb45e7ba3da7683f5eab7933ee940
Description-en: Framework for Evolutionary Computation - Documentations
 The Watchmaker Framework for Evolutionary Computation is an extensible,
 high-performance, object-oriented framework for implementing
 platform-independent evolutionary algorithms in Java.
 .
 This package contains the API Javadoc.

Package: uncrustify
Description-md5: 70ebfc68c4b2bf4b0ec03f57e51f78ec
Description-en: C, C++, ObjectiveC, C#, D, Java, Pawn and VALA source code beautifier
 Uncrustify is a highly configurable source code formatter. It aligns
 preprocessor define's, assignments, arithmetics and is able to fix spacing
 between operators.

Package: undbx
Description-md5: 237d0367e7fe5c2f3ac2379d42f592a6
Description-en: tool to extract, recover and undelete e-mail messages from .dbx files
 UnDBX is a tool to extract, recover and undelete e-mail messages from MS
 Outlook Express .dbx files (or similar e-mail programs in MS Windows).
 Corrupted .dbx files can be parsed to try to recover messages from it. It
 can also try to undelete messages, not only from Deleted Items but also
 from fragments of deleted messages that were not overwritten.
 .
 UnDBX is useful in forensics investigations.

Package: undertime
Description-md5: 0905e9fff5588eedc6230cf8488df343
Description-en: pick a meeting time using a table of world clocks
 Undertime draws a simple 24 hour table of matching times across
 different timezones or cities, outlining waking hours. This allows
 picking an ideal meeting date across multiple locations for teams
 working internationally.

Package: undistract-me
Description-md5: 9bb3077ff82bf3c13f07fffb0d5c2dbc
Description-en: Notifies user when long-running terminal commands complete via libnotify
 If you are running an environment which supports notifications via
 libnotify, you can install this, and then you'll get a notification
 when any command finishes that took longer than ten seconds to finish.
 .
 This package installs a set of bash functions and settings which will
 be pulled into a bash login shell via /etc/profile.d

Package: unhide
Description-md5: 2b450c591220d6cd58e2fe58256d0e89
Description-en: Forensic tool to find hidden processes and ports
 Unhide is a forensic tool to find processes and TCP/UDP ports hidden by
 rootkits, Linux kernel modules or by other techniques. It includes two
 utilities: unhide and unhide-tcp.
 .
 unhide detects hidden processes using the following six techniques:
   * Compare /proc vs /bin/ps output
   * Compare info gathered from /bin/ps with info gathered by walking thru the
     procfs.
   * Compare info gathered from /bin/ps with info gathered from syscalls
     (syscall scanning).
   * Full PIDs space occupation (PIDs bruteforcing)
   * Reverse search, verify that all thread seen by ps are also seen by the
     kernel (/bin/ps output vs /proc, procfs walking and syscall)
   * Quick compare /proc, procfs walking and syscall vs /bin/ps output
 .
 unhide-tcp identifies TCP/UDP ports that are listening but are not listed in
 /bin/netstat through brute forcing of all TCP/UDP ports available.
 .
 This package can be used by rkhunter in its daily scans.
 .
 This package is useful for network security checks, in addition to forensics
 investigations.

Package: unhide.rb
Description-md5: 9478db7d98985687eafe79a91b92128d
Description-en: Forensics tool to find processes hidden by rootkits
 Unhide.rb is a forensics tool to find processes hidden by rootkits.
 .
 It looks for active processes in many different ways. Processes found by
 some means but not others are considered to be "hidden", and are reported
 to the user.
 .
 Unhide.rb is a tentative of rewrite in Ruby of the original Unhide, which
 is written in C. While being much faster, it does not implement all the
 diagnostics of the original version. It is also less secure as it cannot
 be statically compiled.
 .
 This package can be used by rkhunter in its daily scans.

Package: unhtml
Description-md5: b58a0713236bd7a82c180866cce26e62
Description-en: Remove the markup tags from an HTML file
 This program removes all HTML tags from an HTML file and directs its
 output to stdout. It can be used as a filter for getting the text
 content of an HTML file without the need of firing up a web browser.

Package: uni2ascii
Description-md5: 1e8e7a15567b8de4c7377ea0f4a3e0b8
Description-en: UTF-8 to 7-bit ASCII and vice versa converter
 This package provides conversion in both directions between UTF-8 Unicode and
 a variety of 7-bit ASCII equivalents, including HTML numeric character
 references, various escapes and hexadecimal. Such ASCII equivalents are useful
 when including Unicode text in program source, when debugging, and when
 entering text into web programs that can handle the Unicode character set but
 are not 8-bit safe.

Package: unibetacode
Description-md5: 5e788ef2f5f52536d1a56f7f249e410f
Description-en: convert classical Greek and Coptic between Beta Code and Unicode
 The unibetacode package contains two utilities primarily designed for ASCII
 transliteration of classical Greek: beta2uni converts Beta Code text to UTF-8
 Unicode, and uni2beta converts text from UTF-8 Unicode to Beta Code.  A third
 utility, unibetaprep, converts special codes for other characters (such as
 Byzantine musical symbols) into four- to six-digit Unicode code points.
 .
 Beta Code is an ASCII-only encoding scheme created in the 1970s as an
 efficient, intuitive digital input method for classical Greek.  It provides
 an easy way to enter classical Greek on a plain ASCII keyboard for conversion
 to UTF-8 Unicode text.  This package implements a subset of Beta Code as
 specified by the Thesaurus Linguae Graecae (TLG) Project at the University
 of California, Irvine.  The unibetacode package also is compatible with
 the Beta Code implementation of the Perseus Digital Library of Tufts
 University and other online repositories of classical Greek.
 .
 TLG Coptic support only includes the basic alphabet plus the jinma (grave)
 accent.  TLG Hebrew support only covers the basic alphabet, aleph (U+05D0)
 through tav (U+05EA).  To this base, unibetacode adds full Unicode coverage.
 The unibetacode(5) man page describes the Beta Code file format in detail.
 Files in the examples directory provide sample encodings and test data.

Package: unicode
Description-md5: 0f1df828585a5704027868f2e6ae18d7
Description-en: display unicode character properties
 unicode is a simple command line utility that displays
 properties for a given unicode character, or searches
 unicode database for a given name.

Package: unicode-cldr-core
Description-md5: 3b15f2bb15584de73ebc0d1a9a4765b7
Description-en: Common data from Unicode CLDR (core)
 Unicode Common Locale Data Repository (CLDR) provides key building blocks for
 software to support the world's languages, with the largest and most extensive
 standard repository of locale data available. This data is used by a wide
 spectrum of companies for their software internationalization and localization,
 adapting software to the conventions of different languages for such common
 software tasks.
 .
 CLDR uses the XML format provided by UTS #35: Unicode Locale Data Markup
 Language (LDML). LDML is a format used not only for CLDR, but also for general
 interchange of locale data, such as in Microsoft's .NET.
 .
 This unicode-cldr-core provides the core of the upstream CLDR data from the
 core.zip file under the /usr/share/unicode/cldr/common directory.

Package: unicode-data
Description-md5: 8ca2003962df648573522c5c3ca66f11
Description-en: Property data for the Unicode character set
 This package contains the property data, for the Unicode data set.

Package: unicode-screensaver
Description-md5: 6d3fff6afb0f291848a27e15ca577754
Description-en: screensaver displaying unicode characters
 The unicode-screensaver is a simple screensaver application that repeatedly
 randomly picks an unicode character and displays it in a very large font
 size together with its unicode code point and the character name.
 .
 It works with xscreensaver or gnome-screensaver.

Package: unicon-imc2
Description-md5: 757db6ce80ad72ecf5e521a9c76a3ea6
Description-en: Chinese Input Method Library
 General Chinese Input method interface and API. It is used by chinput and
 unicon now.

Package: uniconf-tools
Description-md5: 874d5f05e8d71c2290d8fb268964f927
Description-en: Tools to interface with UniConf
 UniConf is a configuration system that can serve as the centrepiece among
 many other, existing configuration systems.
 .
 This package contains utilities that allow users to interface with
 UniConf manually.

Package: uniconfd
Description-md5: 6ef89c1721dab97649d2c40897130b05
Description-en: Server that manages UniConf elements
 UniConf is a configuration system that can serve as the centrepiece among
 many other, existing configuration systems.
 .
 UniConf can also be accessed over the network, with authentication, allowing
 easy replication of configuration data via the UniReplicateGen.
 .
 This package contains the server that accepts incoming TCP or Unix
 connections, and gets or sets UniConf elements at the request of a
 UniConf client.

Package: unicorn
Description-md5: 0bc2cbf89f21a8e8b4787cc6ec2c30c3
Description-en: Rack HTTP server for fast clients
 Unicorn is an HTTP server for Rack applications designed to only serve fast
 clients on low-latency, high-bandwidth connections and take advantage of
 features in Unix and Unix-like kernels. Slow clients should only be served by
 placing a reverse proxy capable of fully buffering both request and response
 in between Unicorn and slow clients.

Package: unicycler
Description-md5: 0f68c8d94a4296faee946873e441867a
Description-en: hybrid assembly pipeline for bacterial genomes
 Unicycler is an assembly pipeline for bacterial genomes. It can assemble
 Illumina-only read sets where it functions as a SPAdes-optimiser. It can
 also assembly long-read-only sets (PacBio or Nanopore) where it runs a
 miniasm+Racon pipeline. For the best possible assemblies, give it both
 Illumina reads and long reads, and it will conduct a hybrid assembly.

Package: unicycler-data
Description-md5: 14649881a21e77c9e871f761375c1de0
Description-en: hybrid assembly pipeline for bacterial genomes (data package)
 Unicycler is an assembly pipeline for bacterial genomes. It can assemble
 Illumina-only read sets where it functions as a SPAdes-optimiser. It can
 also assembly long-read-only sets (PacBio or Nanopore) where it runs a
 miniasm+Racon pipeline. For the best possible assemblies, give it both
 Illumina reads and long reads, and it will conduct a hybrid assembly.
 .
 This package contains architecture independent data for unicycler.

Package: unidic-mecab
Description-md5: a08fcba2a9d9612b80a816aa30ca1fbb
Description-en: Dictionary for Mecab (Corpus of Contemporary Written Japanese)
 unidic-mecab is a dictionary for Mecab (Japanese morphological analysis
 implementation), based on corpus of Contemporary Written Japanese (upstream
 publish it as unidic-cwj).
 .
  * All entries are based on the definition of "SUW (short-unit word)" that is
    specified by NINJAL (The National Institute for Japanese Language and
    Linguistics), which provides word segmentation in uniform size suited for
    linguistic research.
  * It has three-layered structure with
     - lemma
     - form
     - spelling
    And it can provide a clear distinction of two types of word variant:
    spelling variant and form variant.
  * It is useful for research of Speech processing since it can be added
    accent and shift in sound information.
 .
 This package is huge.  You need more than 10GB of free space to download and
 install.

Package: unifdef
Description-md5: 7b3bda5f879077a2ca5094143738183d
Description-en: Remove cpp '#ifdef' lines from files
 The unifdef utility selectively processes conditional cpp(1) directives.
 It removes from a file both the directives and any additional text that
 they specify should be removed, while otherwise leaving the file alone.

Package: unifont-bin
Description-md5: 45b291e34ee92516e7fcc63c9a4f9e9a
Description-en: utilities for manipulating GNU Unifont
 This is a set of Perl scripts, C programs, and FontForge scripts
 to manipulate Roman Czyborra's GNU Unifont ".hex" format font
 files.  GNU Unifont has a Unicode-compatible font structure.
 These utilities allow editing ".hex" fonts with text and
 graphical editors, producing final versions of fonts in BDF,
 PCF, PSF, TrueType SBIT, and TrueType outline formats.
 .
 To build the TrueType fonts, install the package 'fontforge'.  To build
 the PCF fonts, use 'bdftopcf', which is in the 'xfonts-utils' package.
 To build the PSF font, use 'bdf2psf', which is in the 'console-setup'
 package.  To obtain the font sources, run 'apt-get source unifont'.
 .
 Building the main Unifont TrueType font will require at least 4 GB of
 main memory.  You only need texlive (~1 GB) if you want to rebuild the
 unifont.pdf file in doc/ (see doc/Makefile); this is not done by default.

Package: unionfs-fuse
Description-md5: 3cf52592fe13c3064499e6556589fea2
Description-en: Fuse implementation of unionfs
 This is another unionfs implementation using filesystem in
 userspace (fuse).

Package: unison
Description-md5: f895ae84f8a67077573eb8b814cb7cb9
Description-en: file-synchronization tool for Unix and Windows
 Unison is a file-synchronization tool for Unix and Windows, written
 in OCaml. It allows two replicas of a collection of files and
 directories to be stored on different hosts (or different disks
 on the same host), modified separately, and then brought up to
 date by propagating the changes in each replica to the other.
 .
 Unison offers several advantages over various synchronization methods
 such as CVS, Coda, rsync, Intellisync, etc. Unison can run on and
 synchronize between Windows and many UNIX platforms. Unison requires
 no root privileges, system access or kernel changes to function. Unison
 can synchronize changes to files and directories in both directions,
 on the same machine, or across a network using ssh or a direct
 socket connection.
 .
 Transfers are optimised using a version of the rsync protocol,
 making it ideal for slower links. Unison has a clear and precise
 specification, and is resilient to failure due to its careful
 handling of the replicas and its private structures.

Package: unison-all
Description-md5: 9cf22901b2de8c29199e16501ac18716
Description-en: file synchronization tool (all console versions)
 This is a metapackage that depends on all supported console versions
 of Unison, a file synchronization tool.
 .
 Each of the supported versions uses a different protocol version;
 installing this metapackage ensures the ability to synchronize with
 old systems.

Package: unison-all-gtk
Description-md5: c76b159fa0ae0be4e5bfae8c0ab34da4
Description-en: file synchronization tool (all GTK+ versions)
 This is a metapackage that depends on all supported GTK+ versions of
 Unison, a file synchronization tool.
 .
 Each of the supported versions uses a different protocol version;
 installing this metapackage ensures the ability to synchronize with
 old systems.

Package: unison-gtk
Description-md5: bf480c6d029a683c396a23f3dd9191d9
Description-en: file-synchronization tool for Unix and Windows with GTK+ interface
 Unison is a file-synchronization tool for Unix and Windows, written
 in OCaml. It allows two replicas of a collection of files and
 directories to be stored on different hosts (or different disks
 on the same host), modified separately, and then brought up to
 date by propagating the changes in each replica to the other.
 .
 Unison offers several advantages over various synchronization methods
 such as CVS, Coda, rsync, Intellisync, etc. Unison can run on and
 synchronize between Windows and many UNIX platforms. Unison requires
 no root privileges, system access or kernel changes to function. Unison
 can synchronize changes to files and directories in both directions,
 on the same machine, or across a network using ssh or a direct
 socket connection.
 .
 Transfers are optimised using a version of the rsync protocol,
 making it ideal for slower links. Unison has a clear and precise
 specification, and is resilient to failure due to its careful
 handling of the replicas and its private structures.
 .
 This package adds the optional GTK+ interface.

Package: units
Description-md5: 3f0e22e56efb4bbf67ee38b43e1392c8
Description-en: converts between different systems of units
 GNU 'units' program converts quantities expressed in various scales
 to their equivalents in other scales.  The 'units' program can only
 handle multiplicative scale changes directly.  It uses a functional
 notation for non-proportional conversions such a Fahrenheit
 temperature to Celsius temperature.
 .
 This package includes the units_cur script to update currency
 conversion information; this script requires a Python 3 interpreter
 and the python3-requests library.

Package: units-filter
Description-md5: ba84e63239655cb8e51f888634d9fe7a
Description-en: Parser for expressions concerning physical values
 Units-filter is a basic standalone filter written in C language,
 flex and bison. It inputs strings like "1.5e3 nN.m.s^-1" (it could
 be the time growth ratio of a torque) and outputs the value in
 standard SI unit, followed by the physical dimension of this value.
 .
  example :~/src$ echo 1.5e3 nN.m.s^-1 | units-filter
  1.5e-06   2   1  -3   0   0   0   0    0    0
 .
                                   2     -3
  which means : 1.5e-06 (SI unit) m .kg.s
 .
 This parser can be embedded in educational test systems, in order to
 analyze a student's answer to a problem of physics or chemistry.

Package: unity
Description-md5: dec4e0049e561b260175a841cf8a6410
Description-en: Interface designed for efficiency of space and interaction.
 Unity is a desktop experience that sings. Designed by Canonical and the Ayatana
 community, Unity is all about the combination of familiarity and the future. We
 bring together visual design, analysis of user experience testing, modern
 graphics technologies and a deep understanding of the free software landscape
 to produce what we hope will be the lightest, most elegant and most delightful
 way to use your PC.

Package: unity-accessibility-profiles
Description-md5: 669f028e30a42eac12722c5234bbe2f1
Description-en: Accessibility Profile Manager - Unity profile data
 This package contains accessibility profiles for use with Unity

Package: unity-asset-pool
Description-md5: 7a748f3e73c229d77eb2048991888278
Description-en: Unity Assets Pool
 Includes icons and other images for Unity

Package: unity-autopilot
Description-md5: f53b2e0f212f151ef7b47fd0e66bb27f
Description-en: Autopiloted tests for Unity
 Unity is tested automatically through autopilot, a framework which enables
 keyboard and mouse events to be triggered on the fly as well as object
 introspection.  This package contains the specific unity introspection
 bindings to be able to write tests in python as well as the full test suite
 for Unity.

Package: unity-china-video-scope
Description-md5: ec0e6d2102b6e135a0e329ceb1915b51
Description-en: China video scope for Unity
 This package contains a scope which allows Unity to search Youku for
 China videos.

Package: unity-control-center
Description-md5: 841ec7130e7cda8d105ccf44ab60b8fa
Description-en: utilities to configure the GNOME desktop
 This package contains configuration applets for the GNOME desktop,
 allowing to set accessibility configuration, desktop fonts, keyboard
 and mouse properties, sound setup, desktop theme and background, user
 interface properties, screen resolution, and other GNOME parameters.
 .
 It also contains a front end to these applets, which can also be
 accessed with the GNOME panel or the Nautilus file manager.

Package: unity-control-center-dev
Description-md5: ea55aa04a24ea847c61e4865c135347b
Description-en: utilities to configure the GNOME desktop
 This package contains the file required to get the keydirs location

Package: unity-greeter
Description-md5: 9877c73111a0ce6340336dbc65020a9e
Description-en: Unity Greeter
 The greeter for the Unity desktop.

Package: unity-greeter-badges
Description-md5: 957dde22eefb16f2e3ec54fd5f7801e2
Description-en: Additional badges for Unity Greeter
 This package adds additional badges, i.e., the icons that appear next to each
 desktop environment, in Unity Greeter, Ubuntu's default display manager.  It
 adds support for a wide variety of desktop environments not supported by the
 default Unity Greeter installation, e.g., MATE, Cinnamon, Window Maker, and
 more.

Package: unity-gtk-module-autopilot
Description-md5: 8f6eb26499cb4c6ae879a2aa3e7b5b67
Description-en: GtkMenuShell D-Bus Autopilot tests
 This package provides autopilot integration tests for the unity-gtk-module
 package.

Package: unity-gtk-module-common
Description-md5: a388f2f9c3b576a4a57a82c196e0c105
Description-en: Common files for GtkMenuShell D-Bus exporter
 This GTK+ module exports GtkMenuShells over D-Bus.
 .
 This package contains common data files.

Package: unity-gtk2-module
Description-md5: 6fe65134935f8a101553517ad8e7624b
Description-en: GtkMenuShell D-Bus exporter
 This GTK+ 2 module exports GtkMenuShells over D-Bus.

Package: unity-gtk3-module
Description-md5: 1ba8399e464abb249a31e76873666566
Description-en: GtkMenuShell D-Bus exporter
 This GTK+ 3 module exports GtkMenuShells over D-Bus.

Package: unity-lens-applications
Description-md5: 64b6580768b60728b82aa6db3048e181
Description-en: Application lens for unity
 This package contains the "application" lens which can be used
 inside Unity to launch and install applications.

Package: unity-lens-files
Description-md5: d1d799872a8b58da7405893b84280bc2
Description-en: File lens for unity
 This package contains the "file" lens which can be used
 inside Unity to browse your files.

Package: unity-lens-music
Description-md5: 67b302320475c10cd580e3ae23077992
Description-en: Music lens for unity
 This package contains the "music" lens which can be used
 into Unity to browse your media files.

Package: unity-lens-photos
Description-md5: 1d0a307ae2524e8d454a727cffbaa145
Description-en: Photos lens for Unity
 This package contains photos scopes which allow Unity
 to search for local photos using Shotwell.

Package: unity-lens-video
Description-md5: c3836456f570e65cc62b1f8cd7770f53
Description-en: Unity Video lens
 A plugin to search videos in the Dash.

Package: unity-mail
Description-md5: 6348c4ebe9bc295b7776e5ec93f82e3d
Description-en: Mail notifications and count for Unity/MATE/Xfce/LXDE/etc.
 Unity Mail is an application that integrates your mail into the Unity,
 MATE, Xfce, LXDE and other environments.
 .
 It displays notifications about incoming mail, shows the number of unread
 messages and displays subjects in the Messaging Menu.
 .
 The Launcher item also has a quicklist that provides quick access to your
 mail folders (configured for GMail by default). It also allows you to quickly
 compose a new message.
 .
 Unity Mail starts automatically, all you have to do is to enter your accounts
 settings in a configuration dialog.

Package: unity-schemas
Description-md5: 702708646d353f3ae8e0542be6af16c1
Description-en: Interface designed for efficiency of space and interaction.
 Unity is a desktop experience that sings. Designed by Canonical and the Ayatana
 community, Unity is all about the combination of familiarity and the future. We
 bring together visual design, analysis of user experience testing, modern
 graphics technologies and a deep understanding of the free software landscape
 to produce what we hope will be the lightest, most elegant and most delightful
 way to use your PC.
 .
 This package contains the configuration schemas used by unity.

Package: unity-scope-calculator
Description-md5: 8d93a11de0498325c6255d7deadca481
Description-en: Calculator scope for Unity
 This package contains the "calculator" scope which allows Unity
 to compute calculator results.

Package: unity-scope-devhelp
Description-md5: f4e7d29616d262cd36bcf50c7350d5e0
Description-en: devhelp scope for Unity
 This package contains the "devhelp" scope which allows Unity
 to search for devhelp content.

Package: unity-scope-gnote
Description-md5: 63add9902e00d77e9647c24bb453a7f4
Description-en: Gnote scope for Unity
 This package contains the "gnote" scope which allows Unity
 to search for gnote content.

Package: unity-scope-home
Description-md5: 294a8f7bb2c43ac24034217199d75858
Description-en: Home scope that aggregates results from multiple scopes
 A scope that aggregates results from multiple scopes and Server Scopes for
 Home Lens view in the Unity Dash.
 .
 It replaces the old implementation of Home Lens in Unity Dash.

Package: unity-scope-launchpad
Description-md5: 894376b2d415f91acf885d4a4512cee9
Description-en: Launchpad scope for Unity
 This package contains the "launchpad" scope which allows Unity
 to search for launchpad content.

Package: unity-scope-manpages
Description-md5: 357e355c23cf839ca9389de8e3655728
Description-en: Manual pages scope for Unity
 This package contains the "manpages" scope which allows Unity
 to search technical documents.

Package: unity-scope-soundcloud
Description-md5: 4dde738d8fd3641c1ff182848920c8fd
Description-en: SoundCloud scope for Unity
 This package contains the "soundcloud" scope which allows Unity
 to search for SoundCloud content.

Package: unity-scope-tomboy
Description-md5: 07730f5e1647a02b16f71903ce0e85a2
Description-en: Tomboy scope for Unity
 This package contains the "tomboy" scope which allows Unity
 to search for tomboy content.

Package: unity-scope-video-remote
Description-md5: 5aced980cde9880d8d415e4952813f23
Description-en: Remote videos engine
 This scope adds a remote videos search engine to the Video lens.

Package: unity-scope-virtualbox
Description-md5: 86d7702f416b56c757ed2108ed496f36
Description-en: VirtualBox scope for Unity
 This package contains the "virtualbox" scope which allows Unity
 to search for VirtualBox content.

Package: unity-scope-yahoostock
Description-md5: 0ae9c580d80c72f43bfcea1c2e88bf65
Description-en: Yahoo! Finance scope for Unity
 This package contains the "yahoostock" scope which allows Unity
 to search for Yahoo! Finance content.

Package: unity-scope-zotero
Description-md5: 4717cab06b3ce45071dea89e37046803
Description-en: Zotero scope for Unity
 This package contains the "zotero" scope which allows Unity
 to search academic research at zotero.org.

Package: unity-scopes-master-default
Description-md5: 46030d6e70539b545984910ffe58efe6
Description-en: Home scope that aggregates results from multiple scopes
 A scope that aggregates results from multiple scopes and Server Scopes for
 Home Lens view in the Unity Dash.
 .
 This package contains the master scopes definition that can be handled by the
 home scope service.

Package: unity-scopes-runner
Description-md5: 08e02b02866b8cee0b5d062879555ad8
Description-en: desktop runner for misceallenous scopes
 The scope runner is a handy tool for wrapping on a desktop the various
 scoped installed on the system.

Package: unity-services
Description-md5: 739e58e2989afe568092890f45df8b83
Description-en: Services for the Unity interface
 Unity is a desktop experience that sings. Designed by Canonical and the Ayatana
 community, Unity is all about the combination of familiarity and the future. We
 bring together visual design, analysis of user experience testing, modern
 graphics technologies and a deep understanding of the free software landscape
 to produce what we hope will be the lightest, most elegant and most delightful
 way to use your PC.
 .
 This package contains the D-Bus service used for unity.

Package: unity-session
Description-md5: 357be4a1e896419cfb54af3f67c8d356
Description-en: Unity session, previous ubuntu default experience.
 This package contains the required components for the Unity session.
 It can be started from a display manager such as LightDM.

Package: unity-settings-daemon
Description-md5: aea2dacf99fdb4c9af627c901ebc2b15
Description-en: daemon handling the Unity session settings
 This package contains the daemon which is responsible for setting the
 various parameters of a Unity session and the applications that run
 under it. It handles the following kinds of settings:
 .
  * Keyboard: layout, accessibility options, shortcuts, media keys
  * Clipboard management
  * Theming: background, icons, GTK+ applications
  * Cleanup of unused files
  * Mouse: cursors, speed, accessibility options
  * Startup of other daemons: screensaver, sound daemon
  * Typing break
 .
 It also sets various application settings through X resources and
 freedesktop.org XSETTINGS.

Package: unity-settings-daemon-dev
Description-md5: 49b4ff9931ee8b6c680c024f7fcce33b
Description-en: Headers for building applications communicating with unity-settings-daemon
 This package contains header files required to build applications that
 communicate with the Unity settings daemon over D-Bus.

Package: unity-settings-daemon-schemas
Description-md5: 55dce566058f8fcc2aa2910ca149b947
Description-en: Shared schemas for gnome-settings-daemon
 This package contains the gsettings schemas used by
 unity-settings-daemon.

Package: unity-tweak-tool
Description-md5: 03fe374b7c5423fb415fda866ee7d016
Description-en: configuration tool for the Unity desktop environment
 Unity Tweak Tool is a settings manager for the Unity desktop.
 It provides users with a fast, simple and easy-to-use interface
 with which to access many useful and little known features and settings
 of the desktop environment that one may want to configure.

Package: uniutils
Description-md5: 3358701f991bedb73c45b867a04eb060
Description-en: Tools for finding out what is in a Unicode file
 Useful tools when working with Unicode files when one doesn't know
 the writing system, doesn't have the necessary font, needs to inspect
 invisible characters, needs to find out whether characters have been
 combined or in what order they occur, or needs statistics on which
 characters occur.
 .
  * uniname defaults to printing the character offset of each character,
    its byte offset, its hex code value, its encoding, the glyph itself,
    and its name. It may also be used to validate UTF-8 input.
  * unidesc reports the character ranges to which different portions of the
    text belong. It can also be used to identify Unicode encodings
    (e.g. UTF-16be) flagged by magic numbers.
  * unihist generates a histogram of the characters in its input.
  * ExplicateUTF8 is intended for debugging or for learning about Unicode.
    It determines and explains the validity of a sequence of bytes as a UTF8
    encoding.
  * utf8lookup provides a handy way to look up Unicode characters from the
    command line.
  * unireverse reverse each line of UTF-8 input character-by-character.

Package: universal-ctags
Description-md5: d7d8368b5930ac9ff9104de57ea85615
Description-en: build tag file indexes of source code definitions
 ctags parses source code and produces a sort of index mapping
 the names of significant entities (e.g. functions, classes,
 variables) to the location where that entity is defined.  This
 index is used by editors like vi and emacsen to allow moving to
 the definition of a user-specified entity.
 .
 Universal Ctags is a fork of Exuberant Ctags that adds additional
 features as well as support for more languages.

Package: unixodbc
Description-md5: dbef25e3cf8e980a394982ef6927a9ca
Description-en: Basic ODBC tools
 UnixODBC is an implementation of the Open Database Connectivity standard,
 a database abstraction layer that allows applications to be used with
 many different relational databases by way of a single library.
 .
 This package contains isql, a command-line tool that allows SQL commands
 to be entered interactively.

Package: unknown-horizons
Description-md5: 0f7f05bccb8cdb029e520b2897cdaf42
Description-en: 2D realtime strategy simulation
 Unknown Horizons is a 2D realtime strategy simulation with an
 emphasis on economy and city building. Expand your small settlement
 to a strong and wealthy colony, collect taxes and supply your
 inhabitants with valuable goods. Increase your power with a well
 balanced economy and with strategic trade and diplomacy.

Package: unlambda
Description-md5: 467159498122ef78d21b09169785610d
Description-en: interpreter for the Unlambda language
 It is an interpreter of the Unlambda language, written in the pure,
 lazy, functional language Haskell.

Package: unmass
Description-md5: 79ee2321f4722a5415eebc126b884553
Description-en: Extract game archive files
 This is a tool to extract game archives. It supports the
 following archive types: Crismon Land, Baldur's Gate 2,
 Civilization 4, Doom (WADs), Dune 2, Etherlords 2,
 Final Fantasy 7 and 8, Flashpoint, Knights of Xentar,
 Metal Gear Solid (DARs), Moorhuhn 2 and 3, Megaman
 Legends, Oni, Operation Flashpoint, Princess Maker 2,
 Quake 1, RollCage, Swine, Unreal Tournament umods,
 Virtua Fighter bitmaps, MEA exe's, some economy file format.

Package: unmo3
Description-md5: 4b50567c94a3032717b79a96f4faab7d
Description-en: Uncompress and extract samples from MO3 modules
 The MO3 format means "MOdule with MP3", because the main initial idea was to
 reduce the size of a module (in .mod, IT, XM) by compressing the samples
 using MPEG audio layer 3. The samples can be compressed using OGG, MP3, and
 two kind of specific lossless algorithms.

Package: unoconv
Description-md5: a8f9b42f1b1a5a861d73f018c81d22ff
Description-en: converter between LibreOffice document formats
 This package provides a commandline utility which can convert from
 any document format that LibreOffice can import to any document format
 it can export. It uses LibreOffice's UNO bindings for non-interactive
 conversion of documents.
 .
 Supported document formats include Open Document format, MS Word, MS
 Office Open/MS OOXML, PDF, HTML, XHTML, RTF, DocBook, and more.

Package: unp
Description-md5: 339d115e832f891542157dac62fffa6b
Description-en: unpack (almost) everything with one command
 unp is a small perl script which makes extraction of any archive files
 a bit easier. It support several compressors and archiver programs,
 chooses the right one(s) automatically and extracts one or more files
 in one go.
 .
 You may also want to install some non-free packages like "unace-nonfree",
 "unrar-nonfree" and "lha" to extract archives of these types.

Package: unpaper
Description-md5: bf27e35249d49eb698fde81888dd19e3
Description-en: post-processing tool for scanned pages
 unpaper is a post-processing tool for scanned sheets of paper,
 especially for book pages that have been scanned from previously
 created photocopies.
 .
 The main purpose is to make scanned book pages better readable on
 screen after conversion to PDF. Additionally, unpaper might be useful
 to enhance the quality of scanned pages before performing optical
 character recognition (OCR).

Package: unrar-free
Description-md5: ec1032a402cf223268dffa022fd9536b
Description-en: Unarchiver for .rar files
 Unrar can extract files from .rar archives. Can't handle some archives in
 the RAR 3.0 format natively. Package "unar" can be used to extract those
 archives if installed.

Package: unrtf
Description-md5: d80aa81b95bbd55312fcf2127c79a7c1
Description-en: RTF to other formats converter
 UnRTF is a moderately complicated converter from RTF to other formats,
 including HTML, LaTeX, and text. Converting to HTML, it supports tables,
 fonts, colors, embedded images,  hyperlinks, paragraph alignment among other
 things. All other conversions are "alpha"--just begun.

Package: unscd
Description-md5: fe13a9ad3b8faeb76ebdeea0ca9d9ade
Description-en: Micro Name Service Caching Daemon
 A daemon which handles passwd, group and host lookups for running
 programs and caches the results for the next query. You only need
 this package if you are using slow Name Services like LDAP, NIS or
 NIS+.
 .
 This particular NSCD is a complete rewrite of the GNU glibc nscd
 which is a single threaded server process which offloads all NSS
 lookups to worker children; cache hits are handled by the parent,
 and only cache misses start worker children, making the parent immune
 to resource leaks, hangs, and crashes in NSS libraries.
 .
 It should mostly be a drop-in replacement for existing installs using
 nscd.

Package: unshield
Description-md5: 40b2f8b11d1efdfcb261e7f36386cf80
Description-en: extracts CAB files from InstallShield installers
 This software extracts CAB files from InstallShield installers
 used to be installed on Windows CE devices or Windows desktop
 machines.

Package: unsort
Description-md5: 2a2c9e7ec35008a150a5d8cb7f4bd809
Description-en: reorders lines in a file in semirandom ways
 This program reorders the lines in its input with no regard to the actual
 contents. Two permutation algorithms are available: randomization
 based on a good PRNG (the Mersenne Twister algorithm) and "shuffling",
 which permutes the lines in such a way that they're spread more or less
 evenly in the output. This mode is primarily intended for music playlists.

Package: untex
Description-md5: 7bc110668f441aefc765345150cdf56c
Description-en: remove LaTeX commands from input
 The program will remove “some LaTeX commands” from a file (it will
 optionally try to strip out all mathematics, too). It also has an
 option to honour \input and \include commands in the file. Input is
 read from files and results are sent to stdout.

Package: unworkable
Description-md5: ba481baddb7c432ca82687dbe7e9a89c
Description-en: efficient, simple and secure bittorrent client
 Unworkable is a BSD-licensed BitTorrent implementation.
 Goals of this project include efficiency, simplicity and high code quality.
 Unworkable is single threaded and asynchronous, written in portable ANSI C
 using libevent and mmap() for performance.

Package: unyaffs
Description-md5: 3fc6f8836118aa63cfe64377e7301ab3
Description-en: Extracts files from a YAFFS2 filesystem image
 Unyaffs is a program to extract files from a YAFFS2 filesystem image.
 Currently it can only extract images created by mkyaffs2image.

Package: uoa-integration-tests
Description-md5: 2eaf6f5a7504dccd087f3383cb38b586
Description-en: Ubuntu Online Accounts integration tests - Common
 Ubuntu Online Accounts integration tests

Package: upass
Description-md5: 3c19964ad9ba6f96af24ebc0d2d8a38e
Description-en: console UI for pass
 upass is a curses-based (more specifically urwid) interface for pass, the
 standard Unix password manager.  It provides a simple, but powerful view of
 the password store and can copy passwords or extended information to the
 clipboard interactively.
 .
 pass is the standard unix password manager, to be found in the pass package.
 .
 There is another implementation of a curses interface, gopass.pw, but it
 is a complete reimplementation. In contrast, upass simply wraps the standard
 pass implementation.

Package: upgrade-system
Description-md5: 962e2d91ed40f3ed6f9cdfc21aa9b6a8
Description-en: command for upgrading and sanitizing a Debian system
 Upgrade-system offers a convenient way to keep a Debian system up-to-date,
 yet free from accumulated cruft such as obsolete libraries.
 .
 It is particularly useful on systems that mix packages from different
 releases (stable/testing/unstable) and on desktop systems where packages
 are frequently installed or removed according to evolving user tastes.
 .
 By default, it is configured to purge all packages that are not listed
 as another package's dependency. Less drastic settings are possible by
 editing /etc/upgrade-system.conf(5).

Package: uphpmvault
Description-md5: 95c38a3397e4c00c122f3b5cdc7f3d61
Description-en: upload recovery images to HP MediaVault2 via Ethernet
 The HP MediaVault--generation 2 a.k.a MV2--implements a custom
 recovery protocol for rescuing a device when the operating system
 image is corrupt.  The uphpmvault application listens for broadcast
 Ethernet packets from an MV2 devices waiting for recovery.  On
 receipt of one of these 'beacon' packets, uphpmvault transmits a
 recovery image to the waiting device which will automatically boot
 the recovery image.
 .
 The primary purpose of this application is for installing Debian
 GNU/Linux on an MV2.

Package: uprightdiff
Description-md5: 2fc5fb30f89ef80d6a28fe8ab7f7adb2
Description-en: examine differences between two images
 This utility examines the differences between two images.
 It produces a visual annotation and reports statistics.
 .
 It is optimised for images which come from browser screenshots.
 It analyses the image for vertical motion, and annotates connected
 regions that have the same vertical displacement. Then it highlights
 any remaining ("residual") differences which are not explained by
 vertical motion on a pixel-by-pixel basis.

Package: upse123
Description-md5: 543ca61b4817f97c7e7269b3520a507f
Description-en: commandline player based on libupse
 UPSE is an advanced playstation sound emulator which
 concentrates on sound correctness. It plays PSF and
 MiniPSF format files.
 .
 This package contains a commandline player based on
 the upse emulation library.

Package: uptimed
Description-md5: 71fa1996535cf8984ac1ddc3ccbd8934
Description-en: daemon to track uptimes, especially the high ones
 The uptime daemon tracks a system's highest uptimes via boot IDs,
 using the system boot time to keep sessions apart from each other.
 It features a console program to display statistics, and can
 send mail if a milestone or a new record is reached.

Package: upx-ucl
Description-md5: d50abfb70c25ced5397287bae22f55c5
Description-en: efficient live-compressor for executables
 UPX is an advanced executable file compressor. UPX will typically
 reduce the file size of programs and DLLs by around 50%-70%, thus
 reducing disk space, network load times, download times etc. The
 current version can compress executables for DOS, Linux/ELF (i386,
 amd64, ppc32) and some other files for different OS.
 .
 NOTE: This package is based on the UCL library, which is licensed under GPL.

Package: uranium-plugins
Description-md5: e6d09bc623ca564c4d5d115c6a9be0e6
Description-en: application framework for Cura (plugins)
 Uranium is a Python framework for building 3D printing related applications.
 .
 It is mainly used by Cura.
 .
 This package contains GUI plugins.

Package: uranium-tools
Description-md5: 7ac4172f53954f6ce1fc3ac316b3e773
Description-en: application framework for Cura (development tools)
 Uranium is a Python framework for building 3D printing related applications.
 .
 It is mainly used by Cura.
 .
 This package contains related development tools and cmake scripts.

Package: urfkill
Description-md5: 7b4ecf2ac40d01ca10de89518bc2244b
Description-en: wireless killswitch management daemon for laptops
 The urfkill daemon allow managing the rfkill-related hotkeys
 and the killswitches in a more configurable way for the common RF
 devices (WiFi, Bluetooth, WWan...). It handles the various
 key codes (KEY_WLAN, KEY_BLUETOOTH, KEY_RFKILL...)
 and toggles the killswitches based on a pre-defined policy.

Package: urjtag
Description-md5: 231824303a385d621bca513f61cb5b7c
Description-en: JTAG programmer for various flash parts and boards
 A command line tool for communicating over JTAG (IEEE 1149.1) with various
 flash chips, CPUs, boards and JTAG adapters.
 .
 It has an open and modular architecture with the ability to write
 miscellaneous extensions (like board testers, or flash memory programmers).
 .
 This is a (more actively maintained) fork of the 'openwince-jtag' software.

Package: url-dispatcher
Description-md5: 420daee851fc8699d68050b6b2a2874d
Description-en: service to allow sending of URLs and get handlers started
 Allows applications to request a URL to be opened and handled by another
 process without seeing the list of other applications on the system or
 starting them inside its own Application Confinement.
 .
 This package provides a service for the URL Dispatcher

Package: url-dispatcher-testability
Description-md5: 37db005d9233114ac46406a496094fbe
Description-en: A fake URL dispatcher for use in testing.

Package: url-dispatcher-tools
Description-md5: 9c34bcdfc12d58d24b07067fc48ad717
Description-en: tools for working with the URL Dispatcher.
 Allows applications to request a URL to be opened and handled by another
 process without seeing the list of other applications on the system or
 starting them inside its own Application Confinement.
 .
 This package provides tools for working with the URL Dispatcher.

Package: url-dispatcher-tools-gui
Description-md5: cf97148027711213bf24736b92910d79
Description-en: GUI tools for working with the URL Dispatcher.
 Allows applications to request a URL to be opened and handled by another
 process without seeing the list of other applications on the system or
 starting them inside its own Application Confinement.
 .
 This package provides GUI tools for working with the URL Dispatcher.

Package: urlscan
Description-md5: d71d8abf86a3eb90843313c116b22d07
Description-en: Extract and browse the URLs contained in an email (urlview replacement)
 urlscan searches for URLs in email messages, then displays a list of
 them in the current terminal.  It is primarily meant as a replacement
 for urlview, which it improves upon in the following ways:
 .
  * urlscan understands email encodings such as quoted-printable;
    urlview does not.
  * urlscan extracts and displays the context surrounding each URL.

Package: urlview
Description-md5: 0b33b254fc7b706f187b1c30846d98b7
Description-en: Extracts URLs from text
 This utility is used to extract URL from text files, especially from mail
 messages in order to launch some browser to view them. This used to be a
 part of mutt but has now become an independent tool.

Package: urlwatch
Description-md5: 7dbfc683c8679da6e4f35195740f461d
Description-en: monitors webpages for you
 urlwatch is intended to help you watch changes in webpages and get notified
 (via e-mail, in your terminal or through various third party services) of any
 changes. The change notification will include the URL that has changed and a
 unified diff of what has changed.

Package: uronode
Description-md5: d50b03f676be5232cb8e6c8701ec4c01
Description-en: Node front end for AX.25, NET/ROM, Rose and TCP
 URONode is a packet radio node front end for amateur radio operators.
 It provides a shell modelled after those of LinuxNode, AWZNode and
 Flexnode.  It is capable of initiating connections to remote stations
 and exposing services installed on them to users.

Package: uruk
Description-md5: 338098811b1ef07e268e306780f68d4f
Description-en: Very small firewall script, for configuring iptables
 Uruk is a wrapper for Linux ip[6]tables.  A very simple shell script, but
 useful if you need similar (but not the same) packet filtering configurations
 on lots of hosts.  It uses a template file, which gets sourced as a shell
 script, to get lists of source addresses, allowed to use specific network
 services.  Listing these groups of allowed hosts and allowed services is all
 what's needed to configure your box.
 .
 Main difference with other firewall setup tools: uruk is just a very small
 (just 14K!) shell script, no gui, no interactive setup, no default
 configuration.  You'll like this if you'd rather not have lots of (probably
 buggy) code between you and your filtering rules.

Package: urweb
Description-md5: f4678788b56e20a382b6c87c3b407edc
Description-en: purely functional language for web programming
 Ur is a pure, functional, statically typed, strict programming language in the
 tradition of ML and Haskell; however, it features a significantly richer type
 system.  Ur/Web is Ur plus a special standard library and associated rules for
 parsing and optimization.  Ur/Web supports constructing dynamic web
 applications backed by SQL databases.
 .
 This package provides the urweb compiler and development libraries; you do not
 need to install it to run applications written in Ur/Web.

Package: urweb-doc
Description-md5: 235476b2ffd06a977c29499747980332
Description-en: Ur/Web reference manual
 Ur is a pure, functional, statically typed, strict programming language in the
 tradition of ML and Haskell; however, it features a significantly richer type
 system.  Ur/Web is Ur plus a special standard library and associated rules for
 parsing and optimization.  Ur/Web supports constructing dynamic web
 applications backed by SQL databases.
 .
 This package provides the Ur/Web reference manual in PDF format.

Package: urweb-mode
Description-md5: 13940920db7c3cf518f3968d053309fa
Description-en: Emacs mode for editing Ur/Web programs
 Ur is a pure, functional, statically typed, strict programming language in the
 tradition of ML and Haskell; however, it features a significantly richer type
 system.  Ur/Web is Ur plus a special standard library and associated rules for
 parsing and optimization.  Ur/Web supports constructing dynamic web
 applications backed by SQL databases.
 .
 This package provides an Emacs mode for editing Ur/Web source files.

Package: usb-creator-kde
Description-md5: cc3fb792d79d0b5fa10c1afdb5909782
Description-en: create a startup disk using a CD or disc image (for KDE)
 Startup Disk Creator converts a USB key or SD card into a volume from which you
 can start up and run Ubuntu. You can also store files and settings in any space
 left over.
 .
 The program also works for Debian, or any other Debian-based OS for which you
 have a CD or .iso image.
 .
 This package contains the KDE client frontend.

Package: usbauth
Description-md5: a08862f7c82ce50631e1df50a64eedda
Description-en: USB firewall against BadUSB attacks
 It is a firewall against BadUSB attacks. A config file describes in which way
 USB interfaces would be accepted or denied. To the kernel an interface
 authorization was developed with this firewall. The firewall sets the
 authorization mask according to the rules.

Package: usbauth-notifier
Description-md5: 124660cd27db8791c50059151a3353fe
Description-en: Notifier for USB Firewall to use with desktop environments
 A notifier for the usbauth firewall against BadUSB attacks. The user could
 manually allow or deny USB devices. Every user that wants use the notifier must
 be added to the usbauth group.

Package: usbguard
Description-md5: a6cec4108f73a10ab878fe530fbe674b
Description-en: USB device authorization policy framework
 The USBGuard software framework helps to protect your computer against rogue
 USB devices (a.k.a. BadUSB) by implementing basic allow- and blocklisting
 capabilities based on device attributes.

Package: usbmount
Description-md5: 665bf065788bff1ada4b796e26321438
Description-en: automatically mount and unmount USB mass storage devices
 This package automatically mounts USB mass storage devices (typically
 USB pens) when they are plugged in, and unmounts them when they are
 removed. The mountpoints (/media/usb[0-7] by default), filesystem types
 to consider, and mount options are configurable. When multiple devices
 are plugged in, the first available mountpoint is automatically
 selected. If the device provides a model name, a symbolic link
 /var/run/usbmount/MODELNAME pointing to the mountpoint is automatically
 created.
 .
 The script that does the mounting is called by the udev daemon.
 Therefore, USBmount requires a 2.6 (or newer) Linux kernel.
 .
 Firewire devices are also supported by USBmount.
 .
 USBmount is intended as a lightweight solution which is independent of
 a desktop environment. Users which would like an icon to appear when an
 USB device is plugged in should use the pmount and hal packages
 instead.

Package: usbredirserver
Description-md5: c4b79af65d11cf34aa7cdf935b857684
Description-en: Simple USB host TCP server
 usbredirserver is a small standalone server for exporting an USB device for
 use from another (virtual) machine through the usbredir protocol.

Package: usbrelay
Description-md5: e5ddc481ecfba0db0b64d0b8133206d7
Description-en: USB HID relay driver
 a small utility to control USB HID based relays that can be used for home
 automation or other switching needs. The devices are available from several
 sources and are able to handle up to 10A 250VAC.
 .
 An example application are USB controlable power switches. You may use such a
 switch to control the power supply of an external hard disk drive for backup
 purposes.

Package: usbtop
Description-md5: ac986801dc96c62501b6af62b8c174ca
Description-en: utility to show bandwidth on USB buses and devices
 Top-like utility that shows an estimated instantaneous bandwidth on USB
 buses and devices.

Package: usbview
Description-md5: e9058450899367676ea4b094042f2754
Description-en: USB device viewer
 USBView is a small GTK+ application to show what the device tree of
 the USB bus looks like. It shows a graphical representation of the
 devices that are currently plugged in, showing the topology of the
 USB bus. It also displays information on each individual device on
 the bus.

Package: usemod-wiki
Description-md5: aba5e59a1b8ed3a2e45b2e1a5dd54eae
Description-en: Perl-based Wiki clone
 UseMod is a reimplementation/clone of the original Wiki concept
 created by Ward Cunningham.  A "wiki" is a website that is
 collaboratively edited by its users, including the ability to alter
 text written by other users.
 .
 UseMod provides common wiki features as well as unique features:
 recent changes, conflict resolution, difference links, subpages,
 interwiki links, full text searching, free links (for free-form wiki
 names), administrative features.

Package: usepackage
Description-md5: 63cdb8f1562ef24e3f4ee89268f9ff58
Description-en: environment management program
 usepackage is an environment management program. It is based on the principle
 of "packages" that are "used". When a package is used, the information
 necessary to invoke it is drawn into the environment of the shell.

Package: user-manager
Description-md5: 8729084c333b8cbe7ec8d10ea5300ef6
Description-en: user management tool for the Plasma workspace
 A System Settings module for managing users on your system.

Package: user-mode-linux-doc
Description-md5: 9ecd5a03b45fc4fd454dbd8b60df340c
Description-en: User-mode Linux (Documentation)
 Additional documentation for the user-mode-linux package,
 it consists of a copy of the useful web pages of
 http://user-mode-linux.sourceforge.net/

Package: userinfo
Description-md5: ce66c28deb23057905823e2a15cfc08a
Description-en: display information about local users
 Userinfo is a small console utility to display as much information
 about a local user as possible. It supports the output of password
 file info, home directory mode, mail info, lastlog info and more.
 .
 After installation you can invoke userinfo by calling ui.

Package: usermode
Description-md5: 02f84e215bc9782397317caf5f01f864
Description-en: Graphical tools for certain user account management tasks
 The usermode package contains several graphical tools for users:
 userinfo, usermount and userpasswd.  Userinfo allows users to change
 their finger information.  Usermount lets users mount, unmount, and
 format filesystems.  Userpasswd allows users to change their
 passwords.
 .
 Install the usermode package if you would like to provide users with
 graphical tools for certain account management tasks.

Package: userv
Description-md5: cdfd24a760e90973fadb5fa934bf8a3a
Description-en: `user services' - program call across trust boundaries
 userv allows one program to invoke another when only limited trust
 exists between them.  It is a tool which can be used to avoid having
 to give other system services root privilege, and which allows users
 to more securely have programs provide services to others.
 .
 userv can be useful as `glue' for system administrators; there are
 not many full-blown userv-using applications yet.

Package: usrmerge
Description-md5: 10837a6ab3829ea00e1c00ee0d0394f0
Description-en: Convert the system to the merged /usr directories scheme
 This package will automatically convert the system to the merged
 /usr directory scheme, in which the /{bin,sbin,lib}/ directories are
 symlinked to their counterparts in /usr/.
 .
 There is no automatic method to restore the precedent configuration, so
 there is no going back once this package has been installed.

Package: ussp-push
Description-md5: 7da1bdda6bcbda0157dc6d7bf5030c34
Description-en: Client for OBEX PUSH
 This program allows you to send objects using the OBEX PUSH protocol.
 .
 The OBEX PUSH protocol is used to transfer files to a mobile device,
 generally via Bluetooth or IrDA. The protocol does not allow any other
 action than sending and generally requires less strict authentication,
 which is why it is sometimes preferred to the OBEX FTP protocol (which
 allows full filesystem access and is provided by the obexftp package).

Package: ussp-push-dbg
Description-md5: 1f72c38b957745e92e81d95f709efc13
Description-en: Client for OBEX PUSH (debugging information)
 This program allows you to send objects using the OBEX PUSH protocol.
 .
 The OBEX PUSH protocol is used to transfer files to a mobile device,
 generally via Bluetooth or IrDA. The protocol does not allow any other
 action than sending and generally requires less strict authentication,
 which is why it is sometimes preferred to the OBEX FTP protocol (which
 allows full filesystem access and is provided by the obexftp package).
 .
 This package contains the debugging information.

Package: uswsusp
Description-md5: e5f1f273e89494980e6ead7dcc99d670
Description-en: tools to use userspace software suspend provided by Linux
 This package (also known as µswsusp, suspend-utils or simply suspend)
 contains the programs to use the userspace software suspend facility
 available in Linux kernels 2.6.17-rc1 and higher. It allows the system
 to have its state saved to disk and be powered off. On restarting,
 it will be put back in the state it was left in (this is sometimes
 called hibernation).
 .
 It also includes a program to suspend the system to RAM after the
 state is saved to disk. In that state, the system still uses power,
 but resuming is faster. If the battery depletes, the state is
 resumed from disk without data loss.
 .
 Optional features include encrypting the system snapshot.
 .
 To use this package you need a Linux kernel version 2.6.17-rc1 or newer
 configured to use an initramfs. Hooks and scripts to integrate with
 initramfs-tools are provided.

Package: utalk
Description-md5: 95b5528a9a671878521f2a5e02059b08
Description-en: talk-like program with additional features
 utalk uses a protocol, based on UDP, which ensures a quick response
 even over links with high packet loss, allows editing of previously
 typed text, including scrollback, deletion and overstrike, and
 provides some additional features. It is not compatible with BSD talk
 program.

Package: utf8gen
Description-md5: 1345a418e17fbaf61609cde81c0197d2
Description-en: convert ASCII hexadecimal Unicode code points to UTF-8
 The utf8gen package contains one program, utf8gen, which reads
 hexadecimal numbers interpreted as Unicode code points and produces
 formatted output.  The numbers are provided one per line.  Each
 number is optionally followed by a space plus miscellaneous text.
 .
 The output is specified by providing printf(3)-style format strings
 on the command line.  This provides the flexibility to print UTF-8
 byte values in any desired base, echo the input value formatted as
 a comment for any desired programming language, or even to provide
 HTML-like table entries.  Optional miscellaneous text on each input
 line can be copied to the output.

Package: utfcheck
Description-md5: d2a72bc0ea8160250197f2e7fb95c9e2
Description-en: check validity of UTF-8 and ASCII files
 The utfcheck program examines a text file and prints a summary
 of what the file contains: ASCII, UTF-8, UTF-16 (either big-endian
 or little-endian based on an initial Byte Order Mark), or binary
 data.  ASCII and UTF-8 files are processed further; UTF-16 and
 binary files are not.  For a UTF-8 file, the summary includes
 whether or not the file begins with the Unicode Byte Order Mark
 (U+FEFF).  Any following data encountered that is not well-formed
 ASCII or UTF-8 Unicode is considered to be binary data; upon
 reading such data the input file is considered not to be a proper
 text file and the program exits with an error status.
 .
 The utfcheck program returns an exit status of EXIT_SUCCESS if
 the text file was well-formed, and EXIT_FAILURE otherwise.

Package: utfout
Description-md5: 0b683b5bc4ff94999fc3dcea3e13cef8
Description-en: Utility to produce UTF-8 (Unicode) output
 This package contains a command-line tool that can produce UTF-8
 (Unicode) strings in various ways and direct them to standard output,
 standard error or direct to the terminal without the need for shell
 support. Strings can be repeated, delayed, randomly-generated,
 written to arbitrary file descriptors, interspersed with other
 characters and generated using ranges. Printf(1)-style escape
 sequences are supported along with extended escape sequences.
 utfout(1) Sits somewhere between echo(1) and printf(1).

Package: uthash-dev
Description-md5: 751487e2b5b495992b2d915d49af8061
Description-en: hash table and linked list for C structures
 uthash-dev provides a hash table implementation using C preprocessor macros.
 This package also includes:
  * utlist.h provides linked list macros for C structures
  * utarray.h implements dynamic arrays using macros
  * utstring.h implements a basic dynamic string

Package: utop
Description-md5: b6ae5e6be88c36c83d9b4ddba01b46fc
Description-en: improved OCaml toplevel
 utop is an improved toplevel for OCaml. It can run in a terminal or
 in Emacs. It supports line edition, history, real-time and context
 sensitive completion, colors, and more.

Package: utox
Description-md5: 018aa308502b43c533d965c45c68b293
Description-en: lightest and fluffiest Tox client
 µTox is the lightweight client with minimal dependencies; it not only looks
 pretty, it runs fast! µTox is available for Windows, OS X, and Linux (Android
 support is experimental), with full support for chat, file transfers,
 audio/video calling, and desktop sharing (both as video and inline
 screenshots). It also supports text group chats with audio groups pending the
 next Toxcore update.

Package: uucp
Description-md5: e32a21cc3608590f8b15aa418cc347f7
Description-en: Unix to Unix Copy Program
 UUCP will copy files to or from another (usually *nix) system, which
 also runs uucp.
 .
 UUCP is used to transfer mail, news and random files between systems
 which are not connected by more modern networks.  The communication can
 be made via modems, direct (hard-wired) serial connections or via an IP
 connection.

Package: uucpsend
Description-md5: 152e50d2b51bcafe0cde226576cb6ba5
Description-en: Alternative Frontend for UUCP Batching with INN
 This package provides some neat features to do UUCP batching.
 Partially it is logically based on send-uucp and nntpsend which were
 included in early versions of INN.
 .
 It is tested with INN 1 and may require tweaking with INN 2.

Package: uudeview
Description-md5: ac51fc94db91c72567f94c7be07fe102
Description-en: Smart multi-file multi-part decoder (command line)
 This is a command-line decoder and encoder for multi-part MIME files
 encoded with the following formats: uuencode, xxencode, BASE64,
 yEncoding, quoted printable, and BinHex.
 .
 This package used to contain an X11-based GUI decoder called xdeview.
 That program is now in the xdeview package.

Package: uuid
Description-md5: 85e48fcdb4490302812e9eda53cc614f
Description-en: Universally Unique Identifier Command-Line Tool
 OSSP uuid is an ISO-C and Perl application programming interface (API)
 and corresponding command line interface (CLI) for the generation of
 DCE 1.1 and ISO/IEC 11578:1996 compliant Universally Unique Identifier
 (UUID). It supports DCE 1.1 variant UUIDs of version 1 (time and node
 based), version 3 (name based) and version 4 (random number based).
 .
 UUIDs are 128 bit numbers which are intended to have a high likelihood
 of uniqueness over space and time and are computationally difficult
 to guess. They are globally unique identifiers which can be locally
 generated without contacting a global registration authority. UUIDs
 are intended as unique identifiers for both mass tagging objects
 with an extremely short lifetime and to reliably identifying very
 persistent objects across a network.
 .
 This package contains the Universally Unique Identifier Command-Line Tool.

Package: uuidcdef
Description-md5: 1d910b1ba122bdc21c260082cb676050
Description-en: Universally Unique Identifier (UUID) generator
 This utility generates univerally unique identifiers (UUIDs).  It also
 manages to print them out in OSF form, or as a C structure when handling
 them in programs, as is the case for an XPLC-enabled library.

Package: uvccapture
Description-md5: 5ce732363508c4becc6126cd1480d39d
Description-en: USB UVC Video Class snapshot software
 The purpose of this software is to capture an image from a USB webcam at a
 specified interval, and save it to a JPEG file, no other formats are supported.
 .
 Right now this software is really a hack, since still image support is not yet
 available in the UVC driver. The program continually polls the UVC driver in
 MJPEG mode, and at a specified interval writes a JPEG header and a single frame
 to file, creating a JPEG image.

Package: uvcdynctrl
Description-md5: aa6fa6221ec193fe714fddbd435bd8d1
Description-en: Command line tool to control v4l2 devices
 This package provides the tools needed to add vendor specific
 controls to uvc devices.
 .
 uvcdynctrl is part of the Webcam Library.
 .
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.

Package: uvcdynctrl-data
Description-md5: f759d2993b915ed970814f99d7c79a95
Description-en: Command line tool to control v4l2 devices - data files
 This package provides data files needed by uvcdynctrl to add
 vendor specific controls to uvc devices
 .
 uvcdynctrl is part of the Webcam Library.
 .
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.

Package: uvcdynctrl-dbg
Description-md5: 6ccb1f9fff3e6f48151353e1af1c4368
Description-en: Debug Symbols for uvcdynctrl
 This package contains debug data for uvcdynctrl
 .
 Most people will not need this package. Please install it to produce useful
 stacktraces to help debugging the webcam library.
 .
 uvcdynctrl is part of the Webcam Library.
 .
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.

Package: uvicorn
Description-md5: 030424a3fb9dd973602e28b69738da0a
Description-en: ASGI server implementation, using uvloop and httptools
 Uvicorn is a fast ASGI server, built on uvloop and httptools. It currently
 supports HTTP/1.1 and WebSockets.
 .
 Uvicorn is designed with particular attention to connection and resource
 management, in order to provide a robust server implementation. It aims to
 ensure graceful behavior to either server or client errors, and resilience to
 poor client behavior or denial of service attacks.
 .
 This package contains the CLI script.

Package: uvp-monitor
Description-md5: cbfc4fbfa633da84265b8127eb90c1ce
Description-en: Virtual machine UVP/Xen monitoring program
 The UVP Monitor provides enhancements to VMs running on UVP/Xen by collecting
 and exporting recource usage data as well as VM hostnames.

Package: uvtool
Description-md5: ed207a0d6c87dd62889fa010a23e0f68
Description-en: Library and tools for using Ubuntu Cloud images
 This package provides tools, utilities and wrappers to make it easy to consume
 Ubuntu Cloud images. It is intended to support different subsystems such as
 libvirt and lxc. Use this package to pull in all the separate packages for
 all supported subsystems. This will configure all supported subsystems with
 sensible defaults. If you do not want this, consider installing the subsystem
 packages individually, instead.

Package: uvtool-libvirt
Description-md5: d0aa5d461c0c64a8fdea8adf3d7c6c7a
Description-en: Library and tools for using Ubuntu Cloud Images with libvirt
 This package provides libvirt-specific tools for consuming Ubuntu Cloud
 images. Since it depends on libvirt, installing this package will also
 install libvirt which by defaults configures it with a bridge on your system.

Package: uw-mailutils
Description-md5: 45e2e7554cd7cf146c8c6e3357a99140
Description-en: c-client support programs
 IMAP (Internet Message Access Protocol) is a method of accessing
 electronic messages kept on a (possibly shared) mail server.
 .
 The UW (University of Washington) IMAP toolkit provides the c-client
 mail-handling library supporting various network transport methods,
 file system storage formats, and authentication and authorization
 methods.
 .
 This package contains helper tools for the libc-client library:
  - mailutil: utility program which helps manage email mailboxes (both
              local and IMAP/POP3/NNTP);
  - dmail:    MDA (Mail Delivery Agent) for use with procmail;
  - tmail:    MDA for use with the system mailer (such as Sendmail or Postfix).

Package: uwsc
Description-md5: cabaa9e4b49303beb092c1ff47a6ee82
Description-en: Ulfius WebSocket Client
 Simple command-line application to connect to websocket services
 .
 Can connect to websocket services, both ws:// (http) or wss:// (https).
 When the websocket is connected, messages from the server are displayed
 in the terminal and messages can be sent to the service via a prompt >.

Package: uwsgi
Description-md5: 58463849d39022e9bde2acbbc728d93e
Description-en: fast, self-healing application container server
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It uses the uwsgi protocol for all the networking/interprocess communications.
 .
 uWSGI can be run in preforking, threaded, asynchronous/evented modes and
 supports various forms of green threads/coroutines (such as uGreen, Greenlet,
 Fiber). uWSGI provides several methods of configuration: via command line,
 via environment variables, via XML, INI, YAML configuration files, via LDAP
 and more.
 .
 On top of all this, it is designed to be fully modular. This means that
 different plugins can be used in order to add compatibility with tons of
 different technology on top of the same core.
 .
 This package depends on uWSGI core binary and installs:
  * init.d script for running uWSGI daemon(s) with options defined in custom
    user-created configuration files
  * infrastructure for running daemons (like common locations of communication
    sockets, logs)

Package: uwsgi-app-integration-plugins
Description-md5: 54bc2c98f890a2f027552bbf464825c2
Description-en: plugins for integration of uWSGI and application
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This dependency package provides plugins for integration of uWSGI and
 application:
  * GNU Go
  * Java (OpenJDK 11)
  * JWSGI (OpenJDK 11)
  * Lua WSAPI (Lua 5.1 and 5.2)
  * Mono/ASP.NET
  * Perl PSGI
  * Python WSGI (Python 3)
  * Rack (ruby2.7)
 .
 Java and Mono plugins are provided only on supported architectures.

Package: uwsgi-core
Description-md5: 06ca1aa3581c597e6eff2894ce625f66
Description-en: fast, self-healing application container server (core)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It uses the uwsgi protocol for all the networking/interprocess communications.
 .
 uWSGI can be run in preforking, threaded, asynchronous/evented modes and
 supports various forms of green threads/coroutines (such as uGreen, Greenlet,
 Fiber). uWSGI provides several methods of configuration: via command line,
 via environment variables, via XML, INI, YAML configuration files, via LDAP
 and more.
 .
 On top of all this, it is designed to be fully modular. This means that
 different plugins can be used in order to add compatibility with tons of
 different technology on top of the same core.
 .
 This package provides core uWSGI binary (built without any embedded
 plugins) and plugins with no or few dependencies:
 .
  * cache
  * carbon
  * cgi
  * cheaper_backlog2
  * cheaper_busyness
  * clock_monotonic
  * clock_realtime
  * corerouter
  * echo
  * emperor_amqp
  * emperor_zeromq
  * fastrouter
  * forkptyrouter
  * http
  * logcrypto
  * logfile
  * logpipe
  * logsocket
  * logzmq
  * mongrel2
  * msgpack
  * nagios
  * notfound
  * pam
  * ping
  * pty
  * rawrouter
  * redislog
  * router_basicauth
  * router_cache
  * router_expires
  * router_hash
  * router_http
  * router_memcached
  * router_metrics
  * router_radius
  * router_redirect
  * router_redis
  * router_rewrite
  * router_static
  * router_uwsgi
  * router_xmldir
  * rpc
  * rrdtool
  * rsyslog
  * signal
  * spooler
  * ssi
  * sslrouter
  * stats_pusher_file
  * stats_pusher_socket
  * stats_pusher_statsd
  * symcall
  * syslog
  * systemd_logger
  * transformation_chunked
  * transformation_gzip
  * transformation_offload
  * transformation_template
  * transformation_tofile
  * transformation_toupper
  * tuntap
  * ugreen
  * webdav
  * xattr
  * zabbix
  * zergpool
 .
 forkptyrouter, systemd and tuntap are provided
 only on supported architectures.
 .
 Developing external uWSGI plugin requires the package uwsgi-dev.

Package: uwsgi-dbg
Description-md5: 2562a97100731f3ae3815a97cacf19f4
Description-en: debugging symbols for uWSGI server and it's plugins
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 .
 This package contains the debug symbols for uWSGI core binary and plugins.
 Install this package if you need to debug such a program or if you need a
 useful backtrace of a crash.

Package: uwsgi-dev
Description-md5: becd94640d027cc49f6f3ca8def26e6b
Description-en: fast, self-healing application container server (headers)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 .
 This package provides development headers and tools needed to develop
 external uWSGI plugins, either contained in this package or pulled in
 through depending on development packages of dependent projects.

Package: uwsgi-emperor
Description-md5: 64339e39f51b1bb021f154578159cd54
Description-en: fast, self-healing application container server (emperor scripts)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It uses the uwsgi protocol for all the networking/interprocess communications.
 .
 uWSGI can be run in preforking, threaded, asynchronous/evented modes and
 supports various forms of green threads/coroutines (such as uGreen, Greenlet,
 Fiber). uWSGI provides several methods of configuration: via command line,
 via environment variables, via XML, INI, YAML configuration files, via LDAP
 and more.
 .
 On top of all this, it is designed to be fully modular. This means that
 different plugins can be used in order to add compatibility with tons of
 different technology on top of the same core.
 .
 This package depends on uWSGI core binary and installs:
  * init.d script for running uWSGI Emperor daemon with options defined in
    custom user-created configuration files
  * basic configuration for running uWSGI Emperor daemon

Package: uwsgi-extra
Description-md5: 3e43c6bd4ae0c57e86fa9aed8818463a
Description-en: fast, self-healing application container server (extra files)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 .
 This package provides extra files from uWSGI distribution.

Package: uwsgi-infrastructure-plugins
Description-md5: d27e3c8f22a18c6987eb8122dfc8bb3b
Description-en: infrastructure plugins for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This dependency package provides infrastructure plugins for uWSGI:
  * alarm cURL
  * alarm XMPP
  * cURL cron
  * PostgreSQL emperor
  * graylog2
  * GeoIP
  * LDAP
  * router access
  * SQLite 3
  * XSLT request
  * GlusterFS storage
  * Ceph/RADOS storage
 .
 GlusterFS and Ceph/RADOS plugins are provided only on
 supported architectures.

Package: uwsgi-plugin-alarm-curl
Description-md5: c9945f01472d87a192b359c44b6f0685
Description-en: cURL alarm plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides alarm_curl plugin for uWSGI.

Package: uwsgi-plugin-alarm-xmpp
Description-md5: dbd9b2a3cbda003b2f928f7da81b4c11
Description-en: XMPP alarm plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides alarm_xmpp plugin for uWSGI.

Package: uwsgi-plugin-apparmor
Description-md5: f7b78eafdf58659e8158c36b1e45edd9
Description-en: apparmor plugin for uwsgi
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It uses the uwsgi protocol for all the networking/interprocess communications.
 .
 This plugin adds feature for applying apparmor profiles to uWSGI instances.
 .
 The plugin exposes the following features:
 .
  * applying a profile to the instance with the --apparmor-profile <name>
    option
  * setting apparmor profile from a custom hook with the apparmor:name action
  * applying a profile to vassals before they are exec'ed

Package: uwsgi-plugin-asyncio-python3
Description-md5: 7a378c007c03cccc19e02f845bccfa86
Description-en: asyncio plugin for uWSGI (Python 3)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides asyncio plugin for uWSGI
 with Python 3 WSGI interface.

Package: uwsgi-plugin-curl-cron
Description-md5: a46df795e8a7276a2f4bf68f0dbdfa1a
Description-en: cron cURL plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides curl_cron plugin for uWSGI.

Package: uwsgi-plugin-emperor-pg
Description-md5: cbe9a268a53bb8ddec94f5571b8d545f
Description-en: Emperor PostgreSQL plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides emperor_pg plugin for uWSGI.

Package: uwsgi-plugin-fiber
Description-md5: 7d7f61452af8175e04a7a2ca3b3342db
Description-en: Fiber plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Fiber plugin for uWSGI
 with Ruby (ruby2.7).
 .
 Fibers are a form of coroutine, useful for implementing cooperative
 multitasking.

Package: uwsgi-plugin-gccgo
Description-md5: 41db975384db959caa33744aab9bc7fa
Description-en: GNU Go plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides gccgo (GNU Go) plugin for uWSGI.

Package: uwsgi-plugin-geoip
Description-md5: b6599808704db6a575e47b5dfa474a37
Description-en: GeoIP plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides geoip plugin for uWSGI.

Package: uwsgi-plugin-gevent-python3
Description-md5: 25fe2e43e9d914bb79b68dd32242078a
Description-en: gevent plugin for uWSGI (Python 3)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides gevent plugin for uWSGI with Python 3 WSGI interface.

Package: uwsgi-plugin-glusterfs
Description-md5: cc2ced6db49a60fb4518e573aaaa93a5
Description-en: GlusterFS storage plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides GlusterfS storage plugin for uWSGI.

Package: uwsgi-plugin-graylog2
Description-md5: 9d2bdfa660c45ed3f72e19a121a4b7d3
Description-en: graylog2 plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides graylog2 plugin for uWSGI.

Package: uwsgi-plugin-greenlet-python3
Description-md5: f4f038375f5af611803749d95a2cd9a3
Description-en: greenlet plugin for uWSGI (Python 3)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides greenlet plugin for uWSGI with Python 3 WSGI interface.

Package: uwsgi-plugin-jvm-openjdk-11
Description-md5: ecc617a16e9dbdf7d6988b689f8f0c4e
Description-en: Java plugin for uWSGI (OpenJDK 11)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Java plugin for uWSGI
 (linked with OpenJDK 11 runtime).

Package: uwsgi-plugin-jwsgi-openjdk-11
Description-md5: 8e570ad328ebdce7a55dc6272bb723c6
Description-en: JWSGI plugin for uWSGI (OpenJDK 11)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides JWSGI plugin for uWSGI
 (linked with OpenJDK 11 runtime).

Package: uwsgi-plugin-ldap
Description-md5: 1e50c20e96d37c14871bcd9945bf5e9b
Description-en: LDAP plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides ldap plugin for uWSGI.

Package: uwsgi-plugin-lua5.1
Description-md5: 555a2f06821ef97d5c04eb87bd090431
Description-en: Lua WSAPI plugin for uWSGI (Lua 5.1)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Lua WSAPI plugin for uWSGI
 (linked with Lua 5.1 runtime).

Package: uwsgi-plugin-lua5.2
Description-md5: 93b340a7ca90415da200ab6c3620764a
Description-en: Lua WSAPI plugin for uWSGI (Lua 5.2)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Lua WSAPI plugin for uWSGI
 (linked with Lua 5.2 runtime).

Package: uwsgi-plugin-luajit
Description-md5: 0aa1a25650fd86dd6dac4ce81c89adf9
Description-en: Lua WSAPI plugin for uWSGI (LuaJIT)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Lua WSAPI plugin for uWSGI
 (linked with LuaJIT runtime).

Package: uwsgi-plugin-mongo
Description-md5: acae3ec2a0a657d67b83383f6f21710c
Description-en: MongoDB/GridFS plugins for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides plugins for using MongoDB as uWSGI backend:
  * emperor_mongodb (Emperor configuration)
  * gridfs (content storage)
  * mongodblog (logging)
  * stats_pusher_mongodb (statistics)

Package: uwsgi-plugin-mono
Description-md5: c49a81762fa3ef44c0a7027428be0eb7
Description-en: Mono/ASP.NET plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Mono/ASP.NET plugin for uWSGI.

Package: uwsgi-plugin-php
Description-md5: 752f8a7048f3ecf5db6479dceb9047e0
Description-en: PHP plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides PHP plugin for uWSGI.

Package: uwsgi-plugin-psgi
Description-md5: 828d6498efae772fa540e29787676fbe
Description-en: Perl PSGI plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides psgi plugin for uWSGI, to interface with Perl PSGI.

Package: uwsgi-plugin-python3
Description-md5: 11faa7d448ede3140d20ea26577cfb47
Description-en: WSGI plugin for uWSGI (Python 3)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Python 3 WSGI plugin for uWSGI
 (linked with Python 3 runtime).

Package: uwsgi-plugin-rack-ruby2.7
Description-md5: 6c1f7fea7480789f0d0b8ca5d4725410
Description-en: Rack plugin for uWSGI (ruby2.7)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Rack plugin for uWSGI
 (linked with ruby2.7 runtime).

Package: uwsgi-plugin-rados
Description-md5: c57a43a89ef56db2c702cadba6644987
Description-en: Ceph/RADOS storage plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Ceph/RADOS storage plugin for uWSGI.

Package: uwsgi-plugin-rbthreads
Description-md5: ac3d67276d8369ff047e324f935b1166
Description-en: Ruby native threads plugin for uWSGI (ruby2.7)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Ruby (ruby2.7) native threads plugin for uWSGI.

Package: uwsgi-plugin-ring-openjdk-11
Description-md5: dfea6b65389505741da58de29eb953fc
Description-en: Closure/Ring plugin for uWSGI (OpenJDK 11)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Closure/Ring plugin for uWSGI
 (linked with OpenJDK 11 runtime).

Package: uwsgi-plugin-router-access
Description-md5: 81c9fc541376c5a806ad37f769befa99
Description-en: Access router plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides router_access plugin for uWSGI.

Package: uwsgi-plugin-servlet-openjdk-11
Description-md5: 26db0cb1f40390726a43f5ccb86a7008
Description-en: JWSGI plugin for uWSGI (OpenJDK 11)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Servlet 2.5 plugin for uWSGI
 (linked with OpenJDK 11 runtime).

Package: uwsgi-plugin-sqlite3
Description-md5: 8dd97dba87fb4e4d4e93b258b0ce19bc
Description-en: SQLite 3 configurations plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides sqlite3 plugin for uWSGI, to load configurations
 from SQLite 3 database.

Package: uwsgi-plugin-tornado-python3
Description-md5: 0eefdf8accf8cf531f82994c01604c02
Description-en: tornado plugin for uWSGI (Python 3)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides tornado plugin for uWSGI with Python 3 WSGI interface.

Package: uwsgi-plugin-xslt
Description-md5: 1d0ff54facd7f55b2887b974fbb21b22
Description-en: XSLT request plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides xslt plugin for uWSGI.

Package: uwsgi-plugins-all
Description-md5: 80f80a112459421672e24533d7c5d230
Description-en: all available plugins for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This dependency package provides all available plugins for uWSGI:
  * infrastructure
    - HTTP serving, RPC, etc.
  * application integration
    - PSGI, Rack, WSGI, etc.
  * coroutines
    - Fiber (ruby2.7)
    - gevent (Python 3)
    - greenlet (Python 3)
    - asyncio (Python 3)
    - Ruby native threads (ruby2.7)
    - tornado (Python 3)
 .
 Greenlet and gevent plugin is provided only on supported architectures.

Package: uwsgi-src
Description-md5: fc8923e4824755e3250741e0673c1f4a
Description-en: sources for uWSGI plugins
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 .
 This package contains the sources for uWSGI plugins.

Package: v-sim
Description-md5: 2532e3549381acd688977b5176d346f4
Description-en: Visualize atomic structures
 V_Sim visualizes atomic structures such as crystals, grain boundaries,
 molecules and so on (either in binary format, or in plain text format).
 .
 The rendering is done in pseudo-3D with spheres (atoms) or arrows (spins).
 The user can interact through many functions to choose the view, set the
 bindings, draw cutting planes, compute surfaces from scalar fields,
 duplicate nodes, measure geometry... Moreover V_Sim allows one to export the
 view as images in PNG, JPG, PDF (bitmap), SVG (scheme) and other formats.
 Some tools are also available to colorize atoms from data values or to
 animate on screen many position files.

Package: v-sim-common
Description-md5: 64ee7dc49e8af5cd8eef8be1faa39018
Description-en: Visualize atomic structures (support files)
 V_Sim visualizes atomic structures such as crystals, grain boundaries,
 molecules and so on (either in binary format, or in plain text format).
 .
 This is the arch independent common parts of V_Sim.

Package: v-sim-doc
Description-md5: 538a052498c74c6dd62786f76fbf56a2
Description-en: Visualize atomic structures (example files)
 V_Sim visualizes atomic structures such as crystals, grain boundaries,
 molecules and so on (either in binary format, or in plain text format).
 .
 A comprehensive manual is available on the web site,
 see http://inac.cea.fr/L_Sim/V_Sim/user_guide.html.
 .
 This package contains several examples of files readable with V_Sim.

Package: v-sim-plugins
Description-md5: 3603358cb4c85e3bac73cf5bdc22b5e9
Description-en: Plugins for V_Sim (a 3D visualization package)
 This package contains the plugins to be used with V_Sim, a 3D
 visualization package for solid state physics. The available plugins are:
  - an input file plugin for the new ETSF file format (see http://www.etsf.eu).
  - an input file plugin using OpenBabel to import many formats, mainly from
    the chemistry software (see http://www.openbabel.org).
  - an input file plugin to read the XcrysDen File Format.
  - an input file plugin to read the Cube file format introduced by
    Gaussian and used by others like SIESTA.
  - an additional panel to load Python scripts.

Package: v4l-conf
Description-md5: d9d2c38ffcd7e1a9c3545c3ec2d025c0
Description-en: tool to configure video4linux drivers
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides a small tool to configure a video4linux device driver.
 It is required to make the video overlay work in fbtv and xawtv.

Package: v4l-utils
Description-md5: c4a8a3b92affa97c3ad675a648fb35d7
Description-en: Collection of command line video4linux utilities
 v4l-utils contains the following video4linux command line utilities:
 .
  decode_tm6000: decodes tm6000 proprietary format streams
  rds-ctl: tool to receive and decode Radio Data System (RDS) streams
  v4l2-compliance: tool to test v4l2 API compliance of drivers
  v4l2-ctl, cx18-ctl, ivtv-ctl: tools to control v4l2 controls from the cmdline
  v4l2-dbg: tool to directly get and set registers of v4l2 devices
  v4l2-sysfs-path: sysfs helper tool

Package: v4l2loopback-dkms
Description-md5: b822bc0a88412f52de27569a000ebb3c
Description-en: Source for the v4l2loopback driver (DKMS)
 This module allows you to create "virtual video devices".
 Normal (v4l2) applications will read these devices as if they were ordinary
 video devices, but the video will not be read from e.g. a capture card but
 instead it is generated by another application.
 .
 This package provides the source code for the v4l2loopback kernel modules
 to be built with dkms.

Package: v4l2loopback-source
Description-md5: adc0325ef5dbc3719d4dd98a70714e98
Description-en: Source for the v4l2loopback driver
 This module allows you to create "virtual video devices".
 Normal (v4l2) applications will read these devices as if they were ordinary
 video devices, but the video will not be read from e.g. a capture card but
 instead it is generated by another application.
 .
 This package provides the source code for the v4l2loopback kernel modules.

Package: v4l2loopback-utils
Description-md5: d4012d46cca371eab589dfd7688dc585
Description-en: Commandline utilities for the for the v4l2-loopback module
 This package contains applications to interact with v4l2-loopback devices
 ("virtual video devices").
 Currently there is only a single command line utility:
 .
 v4l2loopback-ctl: tool to set framerate, format and timeout image

Package: v86d
Description-md5: 2034d7c3d9e4a24b6306ee91acca4571
Description-en: daemon to run x86 code in an emulated environment
 v86d provides a backend for kernel drivers that need to execute
 x86 BIOS code. The code is executed in a controlled environment
 and the results are passed back to the kernel via the netlink
 interface.
 .
 v86d is needed by the uvesafb kernel module.

Package: va-driver-all
Description-md5: 248a9952f7ec04e7e87b2f47faa68b1c
Description-en: Video Acceleration (VA) API -- driver metapackage
 This package depends on the full suite of drivers for the Video Acceleration
 API (VA API). It does not provide any drivers itself, and may be removed if
 you wish to only have certain drivers installed.

Package: vacation
Description-md5: 5f22c904d74e4098bb56b9b4f9b22b2b
Description-en: email autoresponder
 Vacation returns a message to the sender of a message telling them that
 you are currently not reading your mail.
 .
 This program is derived from the NetBSD version and has most of the
 features present in the Linux, Solaris, AIX and *BSD versions.

Package: vagalume
Description-md5: f80a0b50007109f3e19d0d86870c29bb
Description-en: GTK+-based client for Last.fm-compatible radio services
 Vagalume is a Last.fm client designed for the GNOME desktop
 environment. It's small and provides the basic Last.fm features, such
 as scrobbling, tags, recommendations, etc.
 .
 Although Last.fm itself stopped providing its radio service in 2014,
 Vagalume can be used with other Last.fm-compatible services such as
 Libre.fm.

Package: vagrant
Description-md5: d6778864e039532f9a7c6b23859e3b58
Description-en: Tool for building and distributing virtualized development environments
 This package provides the tools to create and configure lightweight,
 reproducible, and portable virtual environments.
 .
 Vagrant uses Oracle’s VirtualBox to create its virtual machines and then
 uses Chef or Puppet to provision them.

Package: vagrant-bindfs
Description-md5: 579a81779faa6d2d22780e8f1092a463
Description-en: Vagrant plugin to automate bindfs mounts in the VM
 vagrant-bindfs is a Vagrant plugin to automate bindfs mounts in the VM. This
 allows you to change owner, group and permissions on files and, for example,
 work around NFS share permissions issues.

Package: vagrant-cachier
Description-md5: a2b6c7dede02c1ce12c7fb7d1233bc69
Description-en: share a common package cache among similar VM instances
 A Vagrant plugin that helps you reduce the amount of coffee you drink
 while waiting for boxes to be provisioned by sharing a common package
 cache among similar VM instances. Kinda like vagrant-apt_cache or
 this magical snippet but targeting multiple package managers and
 Linux distros.

Package: vagrant-digitalocean
Description-md5: a9d4da335c15bdf327e812aa8898394c
Description-en: Digital Ocean provider for Vagrant
 vagrant-digitalocean is a Vagrant provider plugin that supports the management
 of DigitalOcean Droplets (virtual machines).
 .
 Features include:
 .
  * Create and destroy Droplets
  * Power on and off Droplets
  * Rebuild a Droplet (destroys and ups with same IP address)
  * Provision a Droplet with shell
  * Setup a SSH public key for authentication
  * Create a new user account during Droplet creation

Package: vagrant-hostmanager
Description-md5: 11e90db33231c2ddfc6bc72cacd06af0
Description-en: Vagrant plugin for managing /etc/hosts on guests and host
 vagrant-hostmanager is a Vagrant plugin that manages the hosts file on guest
 machines (and optionally the host). Its goal is to enable resolution of
 multi-machine environments deployed with a cloud provider where IP addresses
 are not known in advance.

Package: vagrant-librarian-puppet
Description-md5: 6047ee063157a3fda1ff58cc49e36bb8
Description-en: Vagrant plugin to install Puppet modules using Librarian-Puppet
 vagrant-librarian-puppet is a Vagrant plugin that runs Librarian-Puppet
 before any provisioning step.
 .
 This makes sure that when you provision a guest machine with Puppet, the
 modules will be up to date and in the state you require them to be. This way,
 testing Puppet modules themselves or using Puppet modules to provision your
 guest machine will become easier.

Package: vagrant-libvirt
Description-md5: ed190ec18bd45760e72f5ad4c0240fbe
Description-en: Vagrant plugin that adds an Libvirt provider to Vagrant
 vagrant-libvirt allows Vagrant to control and provision machines via
 Libvirt toolkit.
 .
 It has many relevant features:
  * Control local Libvirt hypervisors.
  * Upload box image (qcow2 format) to Libvirt storage pool.
  * Create volume as COW diff image for domains.
  * Create private networks.
  * Create and boot Libvirt domains.
  * SSH into domains.
  * Setup hostname and network interfaces.
  * Provision domains with any built-in Vagrant provisioner.
  * Synced folder support via rsync, nfs or 9p.
  * Snapshots via sahara.
  * Package caching via vagrant-cachier.
  * Use boxes from other Vagrant providers via vagrant-mutate.

Package: vagrant-lxc
Description-md5: 9f2a434ce858e7f942b25ee477aa552b
Description-en: Linux Containers provider for Vagrant
 This package adds support using Vagrant with Linux Containers. The entire
 Vagrant workflow is exactly the same, except with vagrant-lxc it will use lxc
 containers instead of VirtualBox VMs.

Package: vagrant-mutate
Description-md5: d96deacdfd8c574dc4628e67978f1c00
Description-en: convert vagrant boxes to work with different providers
 Vagrant-mutate is a vagrant plugin to convert Vagrant .box files to
 work with different providers.  It works with URLs, files, and boxes
 that are already setup in Vagrant.
 .
 Supported Conversions:
 .
  * VirtualBox to KVM
  * VirtualBox to libvirt
  * VirtualBox to bhyve
  * libvirt to KVM
  * KVM to libvirt

Package: vagrant-sshfs
Description-md5: ea2276dc2ca3e85b06bf1ef92a8943d8
Description-en: vagrant plugin that adds synced folder support with sshfs
 This is a vagrant plugin that adds synced folder support for mounting
 folders from the Vagrant host into the Vagrant guest via FUSE
 SSHFS. In the default mode it does this by executing the SSHFS client
 software within the guest, which creates an SSH connection from the
 Vagrant guest back to the Vagrant host.
 .
 The benefits of this approach:
  - Works on any host platform and hypervisor type - Windows, Linux, Mac
    OS X - Virtualbox, Libvirt, Hyper-V, VMWare
  - Seamlessly works on remote Vagrant solutions - Works with vagrant
    aws/openstack/etc.. plugins
 .
 The drawbacks with this approach:
  - Performance is worse than an implementation like NFS
  - There must be `sftp-server` software on the Vagrant host

Package: vainfo
Description-md5: b61d85563f1aa759cd44c2c73277bd4f
Description-en: Video Acceleration (VA) API for Linux -- info program
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. The specification was originally designed by Intel for its GMA
 (Graphics Media Accelerator) series of GPU hardware, the API is however not
 limited to GPUs or Intel specific hardware, as other hardware and manufacturers
 can also freely use this API for hardware accelerated video decoding.
 .
 This package provides the vainfo program.

Package: val-and-rick
Description-md5: 27ba5bdb9cccd6b4bbbd6857c23ac223
Description-en: shooter game
 Val & Rick is probably the precursor to Gunroar, featuring similar controls
 but in a different environment. Only 2D sprites and backgrounds are used in
 what seems like a tribute to Xevious and Star Soldier.
 .
 Val & Rick is another gem among many by Kenta Cho.

Package: val-and-rick-data
Description-md5: ba8e88f32d699e648298d6ebd044ec72
Description-en: shooter game - game data
 Val & Rick is probably the precursor to Gunroar, featuring similar controls
 but in a different environment. Only 2D sprites and backgrounds are used in
 what seems like a tribute to Xevious and Star Soldier.
 .
 This package includes the architecture-independent data for Kenta Cho's game
 Val & Rick.

Package: vala-0.48-doc
Description-md5: de483b7159809ff1a4971ef10ee5d570
Description-en: C# like language for the GObject system - documentation
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This package contains the Vala Reference Manual.

Package: vala-mode-el
Description-md5: 16162bf70ac82bde1890695f9babd65e
Description-en: Transition Package, vala-mode-el to elpa-vala-mode
 Vala mode has been elpafied.  Ease transition from vala-mode-el to
 elpa-vala-mode with this dummy package.

Package: vala-panel
Description-md5: b3467ab7bcea49e9e66bd3c02b99a124
Description-en: Desktop panel written in Vala and GTK+ 3
 Vala Panel is a rewrite of SimplePanel. It is a GTK+ 3 desktop panel
 written in Vala and based on ideas from LXPanel.
 .
 Vala Panel can be extended with plugins that provide application menus,
 clock, tasklist, system tray, etc.

Package: vala-panel-appmenu
Description-md5: 1210e78b1cb361280a6379d8263c7f5f
Description-en: Application Menu plugin for vala-panel
 This is an Application Menu (Global Menu) plugin. It is built using
 the Unity protocol and libraries and provides all features found in
 the Unity implementation.

Package: vala-panel-appmenu-common
Description-md5: c38e5d937cfecea424d1bd4dc145414d
Description-en: Common files for Application Menu plugins
 This is an Application Menu (Global Menu) plugin. It is built using
 the Unity protocol and libraries and provides all features found in
 the Unity implementation.
 .
 This package provides common files.

Package: vala-panel-common
Description-md5: 61690b646f434334388471352ffef78a
Description-en: Vala Panel - common files
 Vala Panel is a rewrite of SimplePanel. It is a GTK+ 3 desktop panel
 written in Vala and based on ideas from LXPanel.
 .
 This package provides common files.

Package: vala-panel-plugins-base
Description-md5: c4962b00b15b49bd233eaeb31651d042
Description-en: Plugins for vala-panel - non-X11 plugins
 Vala Panel is a rewrite of SimplePanel. It is a GTK+ 3 desktop panel
 written in Vala and based on ideas from LXPanel.
 .
 This package contains main plugins for vala-panel: clock, launchbar,
 applications menu and so on.

Package: vala-panel-plugins-wnck
Description-md5: 2c049674916d557d7776ae93d784e912
Description-en: Plugins for vala-panel - X11 plugins
 Vala Panel is a rewrite of SimplePanel. It is a GTK+ 3 desktop panel
 written in Vala and based on ideas from LXPanel.
 .
 This package contains X11 plugins for vala-panel: tasklist,
 system tray, and others.

Package: vala-sntray-plugin
Description-md5: 11124d6c5463c614008dff4c3f590798
Description-en: plugin for xfce4-panel to show StatusNotifierItems - Vala
 Plugin for xfce4-panel to show StatusNotifierItems
 (also known as AppIndicators). Based on ValaPanel`s
 StatusNotifier and xfce4-snw-plugin by equiem.
 .
 Vala Panel Applet version of the plugin.

Package: valabind
Description-md5: c4b22950e3c47dd2a96281d9df1346b1
Description-en: converts vapi files to SWIG, C++, GIR or GEAR files
 Valabind is a tool to parse vala or vapi files and transform them into SWIG
 interface files, C++ source files, GIR or GEAR.
 .
 It allows easy, fully automatic generation of language bindings for vala code.

Package: valac
Description-md5: 218f3b0a7cade0003732a9717a7a8215
Description-en: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.

Package: valac-0.48-vapi
Description-md5: 14e61d74db9df4b1edc860ca4ff8ade6
Description-en: C# like language for the GObject system - vapi files
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.
 .
 This package contains the bundled vapi files, which make some
 common libraries available for vala development.

Package: valac-bin
Description-md5: 1f3b65eadc24355b9310b1ca8be2a2e4
Description-en: internal package for C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This particular package is an implementation detail of the vala packaging.
 It should not be installed directly and there should be no dependencies
 on it. Refer to the valac package instead.

Package: valadoc
Description-md5: 8a6549afda982e1d45dda89bddbfa8db
Description-en: API documentation generator for vala
 Valadoc is a documentation generator for generating API documentation
 in HTML format from Vala source code. It can be used for *.vala and
 *.vapi files.

Package: valentina
Description-md5: 1cdec032ea4f69ae21807157773eadf7
Description-en: pattern making program
 Valentina is a pattern drafting software tool
 for small-batch and custom-sized clothing manufacturing.
 .
 Valentina allows designers to create and model patterns of clothing,
 using either standard sizing tables
 or an individual’s set of measurements.
 It blends new technologies with traditional methods
 to create a unique pattern making tool.
 .
 The "tape" executable is provided as "valentina-tape".

Package: valentina-l10n
Description-md5: 0989bbfb518e26b0a8f84c64384bf969
Description-en: pattern making program - locale data
 Valentina is a pattern drafting software tool
 for small-batch and custom-sized clothing manufacturing.
 .
 Valentina allows designers to create and model patterns of clothing,
 using either standard sizing tables
 or an individual’s set of measurements.
 It blends new technologies with traditional methods
 to create a unique pattern making tool.
 .
 This package provides locale data for Valentina.

Package: valgrind-mpi
Description-md5: 8e5eaca3acd2c0d43c859974b2df64f5
Description-en: instrumentation framework for building dynamic analysis tools (MPI module)
 Valgrind is a system for debugging and profiling Linux programs. With its tool
 suite you can automatically detect many memory management and threading bugs,
 avoiding hours of frustrating bug-hunting and making your programs more stable.
 You can also perform detailed profiling to help speed up your programs and use
 Valgrind to build new tools.
 .
 This package provides the "mpiwrap" library for debugging distributed-memory
 applications which use the MPI message passing standard.

Package: validns
Description-md5: d7e64cf7ea0828951980d5f7bc1fe518
Description-en: high performance DNS/DNSSEC zone validator
 Validns is a standalone command line RFC 1034/1035 zone file validation tool
 that, in addition to basic syntactic and semantic zone checks, includes DNSSEC
 signature verification and NSEC/NSEC3 chain validation, as well a number of
 optional policy checks on the zone.
 .
 The utility was developed with the goal of it being the last verification step
 in the chain of production and publication of one or more zones containing up
 to many thousands (or millions) of signed records, making the speed of
 operation a primary focus, and reflect on validns’ design.
 .
 The utility is currently being used by several major DNS operators.
 .
 Currently, validns offers the following features:
  - parse RFC 1035-compliant zone files (so called “BIND” file format)
  - supports most of the standard record types
  - informs the user precisely where and what the errors are
  - verifies RRSIG signatures
  - NSEC/NSEC3 chain validation
  - supports signature validation in the future or in the past
  - built-in policy checks

Package: valinor
Description-md5: 9655d542818d56c40d00692c4a6c8425
Description-en: generate IDE project files to debug ELF files
 Valinor is a utility used to generate debugger project files and launch a
 debugger, when debugging an ELF file.
 .
 Valinor is designed to be used as a proxy debug command for yotta targets to
 provide as their scripts.debug command.

Package: vamp-examples
Description-md5: 7af3bdfe11f052c72c0b839f074d2f04
Description-en: example Vamp plugins, host and RDF generator
 Vamp is an audio processing plugin system for plugins that extract
 descriptive information from audio data - typically referred to as
 audio analysis plugins or audio feature extraction plugins.
 .
 This package contains the following example plugins:
 .
  * Zero Crossings calculates the positions and density of
    zero-crossing points in an audio waveform.
 .
  * Spectral Centroid calculates the centre of gravity of the
    frequency domain representation of each block of audio.
 .
  * Simple Power Spectrum calculates a power spectrum from the input
    audio.  Actually, it doesn't do any work except calculating power
    from a cartesian complex FFT output.  The work of calculating this
    frequency domain output is done for it by the host or host SDK; the
    plugin just needs to declare that it wants frequency domain
    input. This is the simplest of the example plugins.
 .
  * Amplitude Follower is an implementation of SuperCollider's
    amplitude-follower algorithm as a simple Vamp plugin.
 .
  * Simple Percussion Onset Detector: estimates the locations of
    percussive onsets using a simple method described in "Drum Source
    Separation using Percussive Feature Detection and Spectral
    Modulation" by Dan Barry, Derry Fitzgerald, Eugene Coyle and Bob
    Lawlor, ISSC 2005.
 .
  * Simple Fixed Tempo Estimator: calculates a single beats-per-minute
    value which is an estimate of the tempo of a piece of music that is
    assumed to be of fixed tempo, using autocorrelation of a frequency
    domain energy rise metric.  It has several outputs that return
    intermediate results used in the calculation, and may be a useful
    example of a plugin having several outputs with varying feature
    structures.
 .
 Also included is a simple host that can enumerate plugins, list their
 features, and process an audio file as well as a utility to generate
 Vamp plugin RDF templates.

Package: vamp-plugin-sdk
Description-md5: d51069005dc52979e43aae38615d8f82
Description-en: audio analysis and feature extraction plugins (SDK)
 Vamp is an audio processing plugin system for plugins that extract
 descriptive information from audio data - typically referred to as
 audio analysis plugins or audio feature extraction plugins.
 .
 Just like an audio effects plugin (such as a VST), a Vamp plugin is a
 binary module that can be loaded up by a host application and fed
 audio data. However, unlike an effects plugin, a Vamp plugin outputs
 not processed audio but some sort of symbolic information. Typical
 things that a Vamp plugin might calculate include the locations of
 moments such as note onset times, visual representations of the audio
 such as histograms, or curve data such as power or fundamental
 frequency.
 .
 Hosts using Vamp plugins include Audacity and Sonic Visualiser.
 .
 This package contains C and C++ header files for developing Vamp
 plugins and hosts as well as source code of example plugins and a
 simple host.

Package: vamp-plugin-sdk-doc
Description-md5: 84fed0dddd9fcf5c2bf424cc5306bb95
Description-en: audio analysis and feature extraction plugins (API documentation)
 Vamp is an audio processing plugin system for plugins that extract
 descriptive information from audio data - typically referred to as
 audio analysis plugins or audio feature extraction plugins.
 .
 This package contains API specification for Vamp plugins and
 documentation for libraries provided by the libvamp-hostsdk3 and
 libvamp-sdk2 packages.

Package: vamps
Description-md5: a5744d18c2379104d12ee57b2ac57a89
Description-en: Tool to recompress and modify the structure of a DVD
 Vamps reduces the size of DVD compliant MPEG2 program streams by
 selectively copying audio and subpicture tracks and by resizing
 the embedded elementary video stream.
 The shrink factor may be either specified for the video elementary
 stream only or for the video ES only or for the full PS.

Package: vanguards
Description-md5: 34e39c2ee6bbcb8507839b45ac06b9e8
Description-en: Additional protections for Tor Onion Services
 vanguards uses the Stem Tor control port library to connect to a Tor control
 port. It has three defense subsystems: Vanguards, Rendguard, and Bandguards.
 All three subsystems apply to both service-side and client-side onion service
 activity, but NOT to any client traffic that exits the Tor network to the
 normal Internet.

Package: vanilla-gnome-default-settings
Description-md5: d9728590e11574da56601abbc160ac08
Description-en: default settings for the Ubuntu GNOME desktop
 This package contains the default settings used by Ubuntu GNOME.

Package: vanilla-gnome-desktop
Description-md5: 83a1dd6d563c12f07a4543a65296ab87
Description-en: Vanilla GNOME metapackage
 This package depends on the core GNOME packages with minimal Ubuntu
 branding similar to what was offered by Ubuntu GNOME. Ubuntu GNOME
 was an Ubuntu flavor that offered a mostly pure GNOME experience until
 it was discontinued after the 17.04 release.
 .
 It is also used to help ensure proper upgrades, so it is recommended that
 it not be removed.

Package: variety
Description-md5: bbf78b51c77d87a4f54c571f6bbb99ba
Description-en: Wallpaper changer, downloader and manager
 Variety is a wallpaper manager for Linux systems. It supports numerous
 desktops and wallpaper sources, including local files and online
 services: Flickr, Wallhaven, Unsplash, and more.
 .
 Where supported, Variety sits as a tray icon to allow easy pausing and
 resuming. Otherwise, its desktop entry menu provides a similar set of
 options.
 .
 You can also install the following (suggested) packages to enhance Variety's
 functionality on certain desktop setups:
  * feh | nitrogen: used for wallpaper changing on i3, openbox, and dwm
  * gnome-shell-extension-appindicator | gnome-shell-extension-top-icons-plus:
    adds tray icon / indicator support to GNOME Shell, so that Variety's tray
    icon can be displayed

Package: varlink-go
Description-md5: c74de2573287dedc47b06fd9f54f44ce
Description-en: Varlink interface generator utility
 Utility to generate Varlink interfaces.

Package: varmon
Description-md5: cc05db2005fb6a0b7d2fc23db08b0d81
Description-en: VA RAID monitor
 A text-based tool to monitor DAC 960 RAID controllers. This
 includes Mylex RAID cards from the 960 and 1100 series,
 eXteremeRAID 2000 & 3000, AcceleRAID 352 & 170.

Package: varna
Description-md5: a661043a9860a3ba6816da885b563826
Description-en: Visualization Applet for RNA
 VARNA is Java lightweight Applet dedicated to drawing the secondary structure
 of RNA. It is also a Swing component that can be very easily included in an
 existing Java code working with RNA secondary structure to provide a fast and
 interactive visualization.
 .
 Being free of fancy external library dependency and/or network access, the
 VARNA Applet can be used as a base for a standalone applet. It looks
 reasonably good and scales up or down nicely to adapt to the space available
 on a web page, thanks to the anti-aliasing drawing primitives of Swing.

Package: varnish
Description-md5: ebbadfc1a0485abd58ba563250510a25
Description-en: state of the art, high-performance web accelerator
 Varnish Cache is a state of the art web accelerator written with
 performance and flexibility in mind.
 .
 Varnish Cache stores web pages in memory so web servers don't have to
 create the same web page over and over again. Varnish serves pages
 much faster than any application server; giving the website a
 significant speed up.
 .
 Some of the features include:
  * A modern design
  * VCL - a very flexible configuration language
  * Load balancing with health checking of backends
  * Partial support for ESI - Edge Side Includes
  * URL rewriting
  * Graceful handling of "dead" backends

Package: varnish-doc
Description-md5: f8286c594d80b32f748ffdd119cbac2f
Description-en: documentation for Varnish Cache
 This package contains HTML documentation for Varnish Cache.

Package: vaultlocker
Description-md5: e37e36f80e101bcee84aea29c108bcba
Description-en: Secure storage of dm-crypt keys in Hashicorp Vault
 vaultlocker provides a way to store and retrieve dm-crypt encryption
 keys in Vault, automatically retrieving keys and opening LUKS dm-crypt
 devices on boot.

Package: vbackup
Description-md5: 732a9eaca2c0bf41bcff6f5b772fe5ee
Description-en: modular backup utility
 vbackup constists of a set of scripts that handle the various aspects of
 a backup. It can be easily extended to support other backup methods too.
 It can be used for full or incremental backups. It also includes a wizard
 for basic (quick) configuration.
 .
 Currently it supports/backups:
  * XFS using xfsdump
  * Generic filesystem backups using tar
  * PostgreSQL
  * MySQL
  * dpkg and RPM database
  * Partition tables, disk MBRs, MD and LVM information
  * OpenLDAP database
 .
 It can work with local files, handle NFS mounts or scp backups to a remote
 location. It also supports encryption using x509 certificates.

Package: vbaexpress
Description-md5: feed39ec1dfe741ad1f1364e1594ae4c
Description-en: Front-End for VisualBoyAdvance
 Vbaexpress is a front-end for the VisualBoyAdvance
 Game Boy emulator. You can easily configure the emulator
 and start your games by clicking on some icons (rather
 than using a command line).

Package: vbetool
Description-md5: 25fb7adf077cdb98dd6d1022be48eba4
Description-en: run real-mode video BIOS code to alter hardware state
 vbetool uses lrmi in order to run code from the video BIOS. Currently, it
 is able to alter DPMS states, save/restore video card state and attempt to
 initialize the video card from scratch.

Package: vbindiff
Description-md5: 93d74b32e27f7cbdb907a5c5e6321a35
Description-en: visual binary diff, visually compare binary files
 Visual Binary Diff (VBinDiff) displays files in hexadecimal and ASCII (or
 EBCDIC). It can also display two files at once, and highlight the
 differences between them. Unlike diff, it works well with large files (up to
 4 GB).
 .
 VBinDiff was inspired by the Compare Files function of the ProSel utilities
 by Glen Bredon, for the Apple II. The single-file mode was inspired by the
 LIST utility of 4DOS and friends.

Package: vblade
Description-md5: fe793de0deb8ad57b766bf0f1b186c3f
Description-en: virtual AoE blade emulator
 The vblade is the virtual EtherDrive (R) blade, a program that makes a
 seekable file available over an ethernet local area network (LAN) via
 the ATA over Ethernet (AoE) protocol.
 .
 The seekable file is typically a block device like /dev/md0 but even
 regular files will work.  Sparse files can be especially convenient.
 When vblade exports the block storage over AoE it becomes a storage
 target.  Another host on the same LAN can access the storage if it has
 a compatible aoe kernel driver.

Package: vboot-kernel-utils
Description-md5: 9ea2f9771eacc87e2ef269dd3763d6eb
Description-en: Chrome OS verified boot utils required to sign kernels
 This package provides the futility program (including the vbutil_kernel
 program required to sign custom kernels in order to get them booted by Chrome
 OS devices (i.e. Chromebooks)).

Package: vboot-utils
Description-md5: c358a15acceb6ccfa0fee804bf5f1c1c
Description-en: Chrome OS verified u-boot utilities
 This package contains a set of tools to deal with Chromebook internals,
 and the verified version of u-boot. Namely:
 bmpblk_font bmpblk_utility chromeos-tpm-recovery crossystem dev_debug_vboot
 dev_make_keypair dumpRSAPublicKey eficompress efidecompress enable_dev_usb_boot
 load_kernel_test pad_digest_utility signature_digest_utility tpm-nvsize
 tpm_init_temp_fix tpmc vbutil_what_key verify_data.
 .
 The programs previously included in this package: dump_fmap dump_kernel_config
 futility gbb_utility  vbutil_firmware vbutil_key vbutil_keyblock, are now
 grouped in the futility program in the package vboot-kernel-utils.
 .
 Most users don't need this package, and should look for the cgpt and
 vboot-kernel-utils packages instead.

Package: vbrfix
Description-md5: 5674e258385b80cc1350086036f70d23
Description-en: corrects MP3 files that have incorrect VBR information
 In an average song there are points that require high quality and points
 that require low quality (i.e. silence).
 .
 Instead of having the whole file at, say, 160kbps CBR (Constant Bit Rate),
 we can use VBR (Variable Bit Rate). This allows us to have use low bitrates
 at points that does not require high quality (were a higher bitrate would
 not affect the sound heard) and high bitrates when needed. The result is
 usually a smaller MP3 file, with higher quality.
 .
 Unfortunately, the many MP3 decoders estimate the time of a MP3 file based
 on the first bitrate they find and the filesize. This means that the
 "prediction" used by such decoders is wildly wrong with VBR encoded files
 and, as a result, you can get fairly random times for such songs.
 .
 As most songs start with silence you usually get the song length being
 shown as much longer than it should be. Also when you jump through a
 file encoded in VBR, 50% through the file is usually not 50% through the
 song.
 .
 A VBR null frame is placed at the beginning of the file to tell the MP3
 player information about the song length and indexing through the song.
 .
 The problem arises because some poor encoders don't produce this null
 frame or do so incorrectly and this is what vbrfix attempts to fix.
 .
 Vbrfix can also fix other problems with MP3s as it deletes all non-MP3
 content (you can keep tags that you state, though).  It can also help
 when merging two VBR MP3s together with a merging tool and then needing
 a newly calculated VBR null frame.

Package: vc-dev
Description-md5: e2771b60ecbf08e3ca95801c531261a3
Description-en: Library to ease explicit vectorization of C++ code
 Vc is a free software library to ease explicit vectorization of C++
 code. It has an intuitive API and provides portability between
 different compilers and compiler versions as well as portability
 between different vector instruction sets. Thus an application
 written with Vc can be compiled for:
  - AVX and AVX2
  - SSE2 up to SSE4.2 or SSE4a
  - Scalar
  - MIC
  - AVX-512 (in development)
  - NEON (in development)
  - NVIDIA GPUs / CUDA (in development)

Package: vcdimager
Description-md5: d83ee37b30517af92174b268e519e583
Description-en: VideoCD (VCD) image mastering and ripping tool
 This package contains a collection of tools to master (Super)VideoCD,
 either directly from compliant MPEG streams with no PlayBack Control
 (PBC), or out of an XML description for a full-featured (S)VCD.
 .
 This package also contains a VideoCD ripping tool to rip mpeg streams
 from VideoCD images, and some debugging tools.

Package: vcftools
Description-md5: 3179ec0db39e479129b6ddfca3efc506
Description-en: Collection of tools to work with VCF files
 VCFtools is a program package designed for working with VCF files, such as
 those generated by the 1000 Genomes Project. The aim of VCFtools is to
 provide methods for working with VCF files: validating, merging, comparing
 and calculate some basic population genetic statistics.

Package: vcheck
Description-md5: 9181baecfebd909bbf21aba2ad0f981f
Description-en: Utility to check and download the most recent program version
 This is a tool for checking for latest versions of programs at HTTP
 and FTP locations given a list of URLs and (Perl-style) regular
 expressions to match, and to optionally download them automatically.

Package: vclt-tools
Description-md5: 5782ab09726ba38ef7493c0197171196
Description-en: Collection of tools to create and manipulate VCLT playlists
 This is a collection of tools to create and manipulate VCLT playlists.
 VCLT playlists are similar to M3U or PLS playlists just be abled
 to store a much larger set of information about entries.
 .
 This set of tools allow you to create and manipulate such playlists.

Package: vco-plugins
Description-md5: e1468a87da43cbcd24bb73290b14e56e
Description-en: LADSPA plugin sporting anti-aliased oscillators
 This plugin contains three anti-aliased oscillators, all based on the
 concept of using precomputed bandlimited Dirac pulses to construct the
 classical waveforms. They are both memory and CPU efficient. The first
 one produces a flat spectrum (impulses) and the second generates a
 sawtooth waveform. The third one (new in 0.3.0), provides a variable
 width rectangular waveform.

Package: vcsh
Description-md5: 8d78d2f486a937e3264db5d5a8e5f0dc
Description-en: Version Control System for $HOME - multiple Git repositories in $HOME
 vcsh allows you to have several git repositories, all maintaining their working
 trees in $HOME without clobbering each other. That, in turn, means you can have
 one repository per config set (zsh, vim, ssh, etc), picking and choosing which
 configs you want to use on which machine.

Package: vde2
Description-md5: c1d59c710a94c274459c01b82f926c5a
Description-en: Virtual Distributed Ethernet
 VDE is a virtual switch that can connect multiple virtual machines together,
 both local and remote.
 .
 Components of the VDE architecture are VDE switches (virtual counterpart of
 ethernet switches) and VDE cables (virtual counterpart of a crossed-cable used
 to connect two switches).
 .
 VDE 2 includes:
  - switch management both from console and from a "unix socket terminal"
  - VLAN 801.1q
  - FSTP (fast spanning tree)
  - distributed cable manager using a blowfish encrypted channel
  - wire packet filter to emulate delays and packet loss on virtual wires
 .
 Examples of VDE uses:
  - With VDE it is possible to create a virtual network of QEMU machines
    running on several real computer
  - VDE can be used to create tunnels (even crossing masqueraded networks)
  - VDE can provide mobility support
 .
 The VDE also provides bi-directional pipe command (dpipe) and remote terminal
 for unix sockets (unixterm).
 .
 The Debian package provides a nice extension for /etc/network/interfaces file
 for easy set up.

Package: vde2-cryptcab
Description-md5: f86f5caccbd540ff6f9787a095c0cb97
Description-en: Virtual Distributed Ethernet - CryptCab
 VDE is a virtual switch that can connect multiple virtual machines together,
 both local and remote.
 .
 Components of the VDE architecture are VDE switches (virtual counterpart of
 ethernet switches) and VDE cables (virtual counterpart of a crossed-cable used
 to connect two switches).
 .
 This package contains CryptCab, which can be used to send encrypted data
 over an UDP link.

Package: vdens
Description-md5: 0317a9609d8c37b06d8156b5f6c8e848
Description-en: Create User Namespaces.
 Create User Namespaces connected to VDE networks.

Package: vdesk
Description-md5: 7b649d4194dc0b21810cf289d68ed701
Description-en: manages virtual desktops for minimal window managers
 vdesk takes the task of managing virtual desktops away from the
 window manager and implements an easy to use interface to those
 desktops. Aimed to be used in lightweight window managers that
 do not provide the functionality natively.

Package: vdetelweb
Description-md5: 74530efcc34d472e691f72348e1a0c5f
Description-en: Telnet and Web interface for VDE 2.x
 vdetelweb creates a telnet and/or a web interface to a running VDE 2.x
 switch. The switch may then be managed via a telnet connection or a web
 browser.
 .
 vdetelweb depends on the LWIPv6 network stack.
 .
 vdetelweb, along with VDE, is part of the Virtual Square project:
 http://wiki.virtualsquare.org

Package: vdirsyncer
Description-md5: 77320482d504d204b04ae6343c062c96
Description-en: Synchronize calendars and contacts
 Vdirsyncer synchronizes your calendars and addressbooks between two storages.
 The most popular purpose is to synchronize a CalDAV/CardDAV server with a local
 folder or file. The local data can then be accessed via a variety of programs,
 none of which have to know or worry about syncing to a server.
 .
 It aims to be for CalDAV and CardDAV what OfflineIMAP is for IMAP.

Package: vdirsyncer-doc
Description-md5: 9745ee4c7e56ae3bb192df158dd663ec
Description-en: Synchronize calendars and contacts - documentation
 Vdirsyncer synchronizes your calendars and addressbooks between two storages.
 The most popular purpose is to synchronize a CalDAV/CardDAV server with a local
 folder or file. The local data can then be accessed via a variety of programs,
 none of which have to know or worry about syncing to a server.
 .
 It aims to be for CalDAV and CardDAV what OfflineIMAP is for IMAP.
 .
 This package contains the documentation for vdirsyncer.

Package: vdk2-tutorial
Description-md5: f321935760aa1577eba2acc563367f9d
Description-en: Tutorial for the Visual Development Kit C++ library 2
 The Visual Development Kit2 (VDK2) is a C++ library
 that wraps the GTK+ toolkit.
 .
 This package contains a tutorial for VDK2. It will be
 put in /usr/share/doc/vdk2-tutorial/.

Package: vdpauinfo
Description-md5: 12588f7845cb2b505fb247fe7b908aaf
Description-en: Video Decode and Presentation API for Unix (vdpauinfo utility)
 VDPAU (Video Decode and Presentation API for Unix) is an open source
 library (libvdpau) and API designed by NVIDIA originally for its GeForce
 8 series and later GPU hardware, targeted at the X Window System on Unix
 operating-systems (including Linux, FreeBSD, and Solaris). This VDPAU API
 allows video programs to offload portions of the video decoding process
 and video post-processing to the GPU video-hardware.
 .
 This package contains the vdpauinfo utility.

Package: vdr
Description-md5: cda7379e0e6f389a91e33bdf51a08b3f
Description-en: Video Disk Recorder for DVB cards
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV. It is also possible to watch DVDs
 (hardware accelerated) with some comfort and use an IR remote control.
 .
 This package contains the VDR main program which is controlled via the
 PC keyboard or a IR remote control.
 .
 NOTE: You should install compatible DVB drivers before using these
 programs. Also the standard VDR (without special plugins) requires
 a DVB-Card with an integrated mpeg-decoder, a so called
 Full-Featured Card.

Package: vdr-dev
Description-md5: e58a79ca67ec833e2c0dea0bded84e82
Description-en: Video Disk Recorder plugin development files
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV. It is also possible to watch DVDs
 (hardware accelerated) with some comfort and use an IR remote control.
 .
 This package contains the header files of VDR.
 You need this package to be able to build vdr-plugins!

Package: vdr-genindex
Description-md5: 84758cf35b45b7803086bea22daebe76
Description-en: Tool to generate a VDR index file
 Useful if you lost the original index file or if you want to create an index
 file for some other video stuff.

Package: vdr-plugin-dvbhddevice
Description-md5: bfe81778cf93b1fcac34638a8a9d3a28
Description-en: Plugin that adds support for full featured HD-DVB cards to VDR
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV.
 .
 This package contains the dvbhddevice-plugin, which implements the output
 device for the "Full Featured TechnoTrend S2-6400" DVB cards.

Package: vdr-plugin-dvbsddevice
Description-md5: 86cbaecff8919fb76c8f2c038987a372
Description-en: Plugin that adds support for full featured SD-DVB cards to VDR
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV.
 .
 This package contains the dvbsddevice-plugin, which implements the
 output device for the "Full Featured" DVB cards based on the
 TechnoTrend/Fujitsu-Siemens design.

Package: vdr-plugin-dvd
Description-md5: 3ef0923e72cac4e745c458ac37282c99
Description-en: DVD playback plugin for VDR
 This plugin for VDR allows one to play back DVD Video discs
 from within VDR.

Package: vdr-plugin-epgsearch
Description-md5: 2a70a4c7619cdbeba237af30991c026b
Description-en: VDR plugin that provides extensive EPG searching capabilities
 This plugin for the Linux Video Disc Recorder (VDR) allows searching the EPG
 (electronic programme guide) data by defining search terms that can
 permanently be stored in a list for later reuse. It supports regular
 expressions and is capable of doing fuzzy searches. EPG-Search scans the EPG
 in background and can automatically create timers for matching search terms.
 Besides this it supports searching for repetitions, detection of timer
 conflicts, sending emails on timer events and much more. Search terms can
 also be added and modified with vdradmin-am, a web frontend for VDR.

Package: vdr-plugin-epgsync
Description-md5: 94a6963fbf9589813d8b4e0abdd85da5
Description-en: VDR plugin for EPG synchronization between VDR systems
 With this plugin you can import the EPG of a remote VDR. It can either
 use SVDRP or streamdev's VTP to download the EPG.

Package: vdr-plugin-examples
Description-md5: 8f3199d59bb8914ace94fac531711ba9
Description-en: Plugins for vdr to show some possible features
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV.
 .
 This package contains the example-plugins hello, osddemo, svccli,
 svcsvr, skincurses, status and svdrpdemo from the vdr-source.
 These plugins do not have useful features, they only demonstrate
 how vdr-plugins work and what is possible to do with them.

Package: vdr-plugin-femon
Description-md5: 4dcbcc53b63d19b78159d2d863209942
Description-en: DVB frontend status monitor plugin for VDR
 DVB Frontend Status Monitor (femon) is a VDR plugin that displays
 signal quality parameters of the tuned channel on the OSD.

Package: vdr-plugin-fritzbox
Description-md5: fdcfd9eaff48e5dda3c246ffe92186a0
Description-en: VDR plugin to access certain functions of an AVM Fritz!Box
 This VDR plugin connects to the Fritz!Box to inform you about incoming
 calls. As an option, the plugin can automatically mute VDR when a call
 comes in.

Package: vdr-plugin-games
Description-md5: c6bd9edcbec2ad725bbdd9bc3a9c65bc
Description-en: VDR plugin providing OSD games like tetris, snake and more
 This plugin for VDR allows one to play Tetris, TicTacToe, Tron
 and Snake via VDR's OSD (On Screen Display).

Package: vdr-plugin-live
Description-md5: ac29fa5069f4235e7f913dc050484800
Description-en: Web administration plugin for VDR
 Live, the "Live Interactive VDR Environment", is a plugin providing the
 possibility to interactively control the Linux Video Disc Recorder VDR and
 some of it's plugins from a web interface.
 .
 Unlike external programs, like the VDR web frontend "VDRAdmin-AM", that
 communicate  with VDR via its SVDRP socket interface, Live has direct
 access to VDR's data structures and thus is very fast.

Package: vdr-plugin-mp3
Description-md5: a8fe744348e8049784d633cdb35ff977
Description-en: MP3 playback plugin for VDR
 This plugin for VDR allows one to play back mp3's, ogg-files and
 audio-cds.

Package: vdr-plugin-mplayer
Description-md5: cf98359c3cf2d9f45a7873fa97a0c90b
Description-en: MPlayer playback plugin for VDR
 This plugin for VDR allows one to play back any video format supported
 by MPLayer.

Package: vdr-plugin-osdserver
Description-md5: 3d9325bac62f03ba503fe3d5473df017
Description-en: VDR plugin to provide other programs access to the OSD
 The OSDServer plugin allows external programs to access VDR's OSD.
 OSDServer listens for commands on port 2010 and is mainly intended
 to be used by shell and perl scripts.

Package: vdr-plugin-osdteletext
Description-md5: 1219ccd3dc5851ca272d1072c9832167
Description-en: Teletext plugin for VDR
 This plugin for VDR displays teletext directly on the OSD.

Package: vdr-plugin-remote
Description-md5: 3ea8b91543b9cf27642ff70b1725676d
Description-en: VDR Plugin to support the built-in remote control port of DVB-Cards
 This plugin for VDR supports the built-in remote control
 port of some DVB-Cards or CI-Modules.

Package: vdr-plugin-satip
Description-md5: 3e881a5e28601ce35cf7abf721bf9fc5
Description-en: SAT>IP plugin for VDR
 This plugin integrates SAT>IP network devices seamlessly into VDR.
 You can use any SAT>IP channel like any other normal DVB channel for
 live viewing, recording, etc. The plugin also features full section
 filtering capabilities which allow for example EIT information to be
 extracted from the incoming stream.
 .
 This release does actually not contain any channelscan, pls.
 follow the README to setup entries manually for the channels.conf.

Package: vdr-plugin-skinenigmang
Description-md5: 60af0b5d80dc783e85063560575cb926
Description-en: Skin plugin for VDR
 "EnigmaNG" is a standalone skin based on the "Enigma" text2skin addon.

Package: vdr-plugin-streamdev-client
Description-md5: 4a0efbc6478ddc0b7947bdecc653ab46
Description-en: VDR Plugin to stream Live-TV to other VDR's - client part
 This plugin for vdr lets the software stream videos into
 the network. You can interconnect several vdrs that way
 or watch those streams with special client apps like video
 lan client or mplayer. This is the client part.

Package: vdr-plugin-streamdev-server
Description-md5: 9d5c50ffb42e8f7b4b2f8404eb91d9be
Description-en: VDR Plugin to stream Live-TV to other VDR's - server part
 This plugin for vdr lets the software stream videos into
 the network. You can interconnect several vdrs that way
 or watch those streams with special client apps like video
 lan client or mplayer. This is the server part.

Package: vdr-plugin-svdrpext
Description-md5: d77d9ef001f0ffb708af49b1b1ce4dfc
Description-en: Transitional dummy package
 Package was renamed from vdr-plugin-svdrpext to vdr-plugin-svdrposd

Package: vdr-plugin-svdrposd
Description-md5: 85266a5eae3dc390b64c8b309226b36e
Description-en: VDR plugin that extends the SVDRP command set of VDR
 This VDR plugin adds some new OSD related commands to VDR's
 remote SVDRP control interface.

Package: vdr-plugin-svdrpservice
Description-md5: 26d59d08ea4813cc9bbaab8cc90e580e
Description-en: VDR plugin that provides a SVDRP service for other plugins
 This VDR plugin offers SVDRP connections as a service to other plugins.
 Connecting to streamdev's VTP server port is possible too.

Package: vdr-plugin-vcd
Description-md5: 0d5167682d2a7b20dfcb7f676650c80c
Description-en: VDR Plugin for playing (S)VCD's
 This plugin for the Linux Video Disc Recorder VDR allows you to play your
 (S)VCD's within VDR.

Package: vdr-plugin-vnsiserver
Description-md5: 4f52782e9948bcf4da298a2715239395
Description-en: VDR plugin to provide PVR backend services for Kodi
 The vdr-plugin-vnsiserver provides PVR backend services for Kodi
 clients using the kodi-pvr-vdr-vnsi addon. Via VNSI
 (VDR-Network-Streaming-Interface) Kodi connects to this plugin and
 can access various VDR functions like timers, channels,
 live-TV-streaming and recordings.

Package: vdr-plugin-weather
Description-md5: 29241eb37997dfea594492c0a40fd677
Description-en: Weather plugin for VDR
 Displays the current weather conditions for a selected location.
 It gets the METAR data from ftp://weather.noaa.gov.

Package: vdr-plugin-xine
Description-md5: 65b6ef08976bf377e5f49b9bd9c2efa7
Description-en: allows xine to be a display for vdr, the Video Disk Recorder
 Plugin for vdr which allows you to use xine, gxine or some other frontend
 for libxine as a display, instead of relying on an MPEG decoder / TV
 output card.
 .
 xine >= 0.99.2 and gxine >= 0.4.0 have built-in support for vdr. Other
 frontends may need to be patched.
 .
 If you want to use the SVDRP 'grab' command, you'll need mjpegtools
 (from Christian Marillat's archive) and y4mscaler.

Package: vdr-plugin-xineliboutput
Description-md5: 4de7e97562ee4d927bb566485a6a9d92
Description-en: VDR plugin for Xine based sofdevice frontends
 This VDR plugin provides an audio, video and control stream, that several
 libxine based local and remote frontends can use.

Package: vdradmin-am
Description-md5: 8b6373c8bf4cf5c720125d96bc9a7a9d
Description-en: Web-based administration tool for vdr
 vdradmin-am provides a webinterface for managing
 the Linux Video Disk Recorder (vdr)

Package: vectoroids
Description-md5: 90f1f7761ca0ba95f696d7ee226a30be
Description-en: vector-based rock-shooting
 "Vectoroids" is a vector-based rock-shooting game similar to the
 arcade classic "Asteroids."
 Pilot a spaceship and destroy asteroids by shooting them into smaller and
 smaller pieces while trying to avoid being smashed or shot by UFOs.
 .
 "Vectoroids" is an SDL game based on the source for
 "Agendaroids," an X-Window game written for the Agenda VR3 Linux-based PDA
 written by the same author.

Package: velocity
Description-md5: 7de85d6527e36950dc1e78317cd8fc37
Description-en: Java-based template engine for web application
 Velocity is a Java-based template engine. It permits anyone to use
 the simple yet powerful template language to reference objects
 defined in Java code.
 When Velocity is used for web development, Web designers can work in
 parallel with Java programmers to develop web sites according to the
 Model-View-Controller (MVC) model, meaning that web page designers
 can focus solely on creating a site that looks good, and programmers
 can focus solely on writing top-notch code. Velocity separates Java
 code from the web pages, making the web site more maintainable over
 the long run and providing a viable alternative to Java Server Pages
 (JSPs) or PHP.

Package: velocity-doc
Description-md5: cae12072fd0df425ad6207a364a29e30
Description-en: Documentation for velocity
 Velocity is a Java-based template engine which is useful to develop
 Model-View-Controller (MVC) model web application. It permits anyone
 to use the simple yet powerful template language to reference objects
 defined in Java code. This package provides documents and examples for
 velocity.

Package: velvet
Description-md5: a5956a7ea4ec700abd1d8ef9244b6fe8
Description-en: Nucleic acid sequence assembler for very short reads
 Velvet is a de novo genomic assembler specially designed for short read
 sequencing technologies, such as Solexa or 454, developed by Daniel Zerbino and
 Ewan Birney at the European Bioinformatics Institute (EMBL-EBI), near
 Cambridge, in the United Kingdom.
 .
 Velvet currently takes in short read sequences, removes errors then produces
 high quality unique contigs. It then uses paired read information, if
 available, to retrieve the repeated areas between contigs.

Package: velvet-example
Description-md5: 96aa5b18836f348a6a34b5287da4deac
Description-en: Example data for the Velvet sequence assembler
 Velvet is a de novo genomic assembler specially designed for short read
 sequencing technologies, such as Solexa or 454, developed by Daniel Zerbino and
 Ewan Birney at the European Bioinformatics Institute (EMBL-EBI), near
 Cambridge, in the United Kingdom.
 .
 Velvet currently takes in short read sequences, removes errors then produces
 high quality unique contigs. It then uses paired read information, if
 available, to retrieve the repeated areas between contigs.
 .
 This package contains the example data distributed in the sources of Velvet,
 a de novo genomic assembler.

Package: velvet-long
Description-md5: b91bee1300e9da613109cee34692ae15
Description-en: Nucleic acid sequence assembler for very short reads, long version
 Velvet is a de novo genomic assembler specially designed for short read
 sequencing technologies, such as Solexa or 454, developed by Daniel Zerbino and
 Ewan Birney at the European Bioinformatics Institute (EMBL-EBI), near
 Cambridge, in the United Kingdom.
 .
 Velvet currently takes in short read sequences, removes errors then produces
 high quality unique contigs. It then uses paired read information, if
 available, to retrieve the repeated areas between contigs.
 .
 This package installs special long-mode versions of Velvet, as recommended
 in the Velvet tutorials.

Package: velvet-tests
Description-md5: ba1dbd3d1a3d56457282c03b63ceeb69
Description-en: Test data for the Velvet sequence assembler
 Velvet is a de novo genomic assembler specially designed for short read
 sequencing technologies, such as Solexa or 454, developed by Daniel Zerbino and
 Ewan Birney at the European Bioinformatics Institute (EMBL-EBI), near
 Cambridge, in the United Kingdom.
 .
 Velvet currently takes in short read sequences, removes errors then produces
 high quality unique contigs. It then uses paired read information, if
 available, to retrieve the repeated areas between contigs.
 .
 This package contains the test data to run the unit tests of Velvet, a de novo
 genomic assembler, that could be used as additional set of examples.

Package: velvetoptimiser
Description-md5: 2fd9f73eb8754456ca56f46e217e9799
Description-en: automatically optimise Velvet do novo assembly parameters
 VelvetOptimiser is a multi-threaded Perl script for automatically optimising
 the three primary parameter options (K, -exp_cov, -cov_cutoff) for the Velvet
 de novo sequence assembler.

Package: vera
Description-md5: 954c48a29e453dee2acab38fdf9602c2
Description-en: Dictionary of computer related acronyms -- info format
 The free edition of V.E.R.A. - Virtual Entity of Relevant Acronyms -
 is a comprehensive dictionary of computer related acronyms with more
 than 11800 entries.  This package contains the dictionary formatted
 as a single info file.
 .
 Note that this version is usually older than the one that is run on
 the V.E.R.A. homepage.

Package: vera++
Description-md5: b31d45d06bc7c2fd0f648bf12d2d5e05
Description-en: Programmable verification and analysis tool for C++
 Vera++ is a programmable tool for verification, analysis and transformation of
 C++ source code.
 Vera++ is mainly an engine that parses C++ source files and presents the
 result of this parsing to scripts in the form of various collections -
 the scripts are actually performing the requested tasks.

Package: verbiste
Description-md5: 5ea1cb4842f20633dcf7890ff32bdd96
Description-en: French and Italian conjugator
 Verbiste is a program that gives the complete conjugation for French and
 Italian verbs. The knowledge base contains over 6800 verbs.
 .
 This package contains the command line programs and the data.

Package: verbiste-el
Description-md5: 7ac0cf4fda11a762276e1a4cb82c790d
Description-en: transitional package, verbiste-el to elpa-verbiste
 Verbiste-el has been elpafied. This dummy transitional
 package facilitates the transition from verbiste-el to
 elpa-verbiste and is safe to remove.

Package: verbiste-gnome
Description-md5: 5c4b93f5f46992a0b603390a6e67f4c9
Description-en: French and Italian conjugator - GNOME interface
 Verbiste is a program that gives the complete conjugation for French and
 Italian verbs. The knowledge base contains over 6800 verbs.
 .
 This is a transitional package that simply installs verbiste-gtk. It can
 be safely removed.

Package: verbiste-gtk
Description-md5: a9823ee9602f7c244f2e72e39f44b7db
Description-en: French and Italian conjugator - GTK app
 Verbiste is a program that gives the complete conjugation for French and
 Italian verbs. The knowledge base contains over 6800 verbs.
 .
 This package contains a GTK interface.

Package: verdigris
Description-md5: 3da361f68b620365ac52ce6535a9ca32
Description-en: Header-only Qt moc replacement
 Verdigris is a header-only library that can be used with Qt.
 It uses macros to create a QMetaObject
 that is binary compatible with Qt's own QMetaObject without requiring moc.
 In other words, you can use Verdigris macros in your Qt or QML application
 instead of some of the Qt macros and then you do not need to run moc.
 .
 Moreover, Verdigris supports templated and nested QObject classes.
 It needs a modern C++ compiler with C++14 relaxed constexpr.

Package: verilator
Description-md5: 648a4acbea03c032658027a1f55bb2a8
Description-en: fast free Verilog simulator
 Verilator is the fastest free Verilog HDL simulator, and beats many commercial
 simulators. It compiles synthesizable Verilog (not test-bench code!), plus
 some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
 It is designed for large projects where fast simulation performance is of
 primary concern, and is especially well suited to generate executable models
 of CPUs for embedded software design teams.

Package: verse
Description-md5: 27e206037d17dab62f7e2feefbd45523
Description-en: Daily Devotional Verse from KJV Bible
 Verse displays a Bible Verse on each login. A verse from the King James
 Version of the Bible is assigned to each day.

Package: veusz
Description-md5: 31cb071ef5dd91b209e52551b37fab22
Description-en: 2D and 3D scientific plotting application with graphical interface
 Veusz is a 2D and 3D scientific plotting and graphing package,
 designed to produce publication-ready PDF, SVG, Postscript and bitmap
 output. Veusz provides a GUI, command line and scripting interface
 (based on Python) to its plotting facilities. The plots are built
 using an object-based system to provide a consistent interface.
 .
 This package includes the main executable, documentation and examples.

Package: veyon-configurator
Description-md5: 1c4e7ba9a53a2699667e1e8d0ee23e12
Description-en: Computer Monitoring and Classroom Management Software - configurator
 Veyon is a software for computer monitoring and classroom management
 supporting Windows and Linux. It enables teachers to view and control
 computer labs and interact with students. Veyon is available in many different
 languages and provides numerous features supporting teachers and
 administrators at their daily work:
 .
   - Overview: monitor all computers in one or multiple locations or classrooms
   - Remote access: view or control computers to watch and support users
   - Demo: broadcast the teacher's screen in realtime (fullscreen/window)
   - Screen lock: draw attention to what matters right now
   - Communication: send text messages to students
   - Start and end lessons: log in and log out users all at once
   - Screenshots: record learning progress and document infringements
   - Programs & websites: launch programs and open website URLs remotely
   - Teaching material: distribute and open documents, images and videos easily
   - Administration: power on/off and reboot computers remotely
 .
 Veyon is the continuation of iTALC.
 .
 This package contains a graphical configuration manager for Veyon.

Package: veyon-master
Description-md5: ce569ebe749f95c11e104e3144db9b0f
Description-en: Computer Monitoring and Classroom Management Software - master
 Veyon is a software for computer monitoring and classroom management
 supporting Windows and Linux. It enables teachers to view and control
 computer labs and interact with students. Veyon is available in many different
 languages and provides numerous features supporting teachers and
 administrators at their daily work:
 .
   - Overview: monitor all computers in one or multiple locations or classrooms
   - Remote access: view or control computers to watch and support users
   - Demo: broadcast the teacher's screen in realtime (fullscreen/window)
   - Screen lock: draw attention to what matters right now
   - Communication: send text messages to students
   - Start and end lessons: log in and log out users all at once
   - Screenshots: record learning progress and document infringements
   - Programs & websites: launch programs and open website URLs remotely
   - Teaching material: distribute and open documents, images and videos easily
   - Administration: power on/off and reboot computers remotely
 .
 Veyon is the continuation of iTALC.
 .
 This package contains the veyon-master software. With veyon-master a teacher
 or tutor can control other machines if they run Veyon's client service software
 (package: veyon-service).

Package: veyon-plugins
Description-md5: 71a533f3e7693219a6c5cf7b952e9fab
Description-en: Computer Monitoring and Classroom Management Software - plugins
 Veyon is a software for computer monitoring and classroom management
 supporting Windows and Linux. It enables teachers to view and control
 computer labs and interact with students. Veyon is available in many different
 languages and provides numerous features supporting teachers and
 administrators at their daily work:
 .
   - Overview: monitor all computers in one or multiple locations or classrooms
   - Remote access: view or control computers to watch and support users
   - Demo: broadcast the teacher's screen in realtime (fullscreen/window)
   - Screen lock: draw attention to what matters right now
   - Communication: send text messages to students
   - Start and end lessons: log in and log out users all at once
   - Screenshots: record learning progress and document infringements
   - Programs & websites: launch programs and open website URLs remotely
   - Teaching material: distribute and open documents, images and videos easily
   - Administration: power on/off and reboot computers remotely
 .
 Veyon is the continuation of iTALC.
 .
 This package provides Veyon's plugins.

Package: veyon-service
Description-md5: 810b4682a4c2bee9abef14b9ac630862
Description-en: Computer Monitoring and Classroom Management Software - client service
 Veyon is a software for computer monitoring and classroom management
 supporting Windows and Linux. It enables teachers to view and control
 computer labs and interact with students. Veyon is available in many different
 languages and provides numerous features supporting teachers and
 administrators at their daily work:
 .
   - Overview: monitor all computers in one or multiple locations or classrooms
   - Remote access: view or control computers to watch and support users
   - Demo: broadcast the teacher's screen in realtime (fullscreen/window)
   - Screen lock: draw attention to what matters right now
   - Communication: send text messages to students
   - Start and end lessons: log in and log out users all at once
   - Screenshots: record learning progress and document infringements
   - Programs & websites: launch programs and open website URLs remotely
   - Teaching material: distribute and open documents, images and videos easily
   - Administration: power on/off and reboot computers remotely
 .
 Veyon is the continuation of iTALC.
 .
 This package contains Veyon's client service software which allows one to
 control a machine using the veyon-master software.

Package: vflib3
Description-md5: d433273351c00f1ccc56ba364e6d9f3c
Description-en: Versatile Font Library
 VFlib3 is a font rasterizer library for multi-lingual information
 processing.  Using VFlib3, you can obtain bitmap data of characters(glyphs)
 from various fonts in a unified manner.
 .
 VFlib3 can handle almost all font formats available - it now supports
 PK, GF, VF, TFM, OFM level 0, OVF, PCF, BDF, HBF, Syotai-Club, JG, eKanji
 and more.  TrueType and Type 1 fonts are also supported via FreeType2.
 Font search via kpathsea is supported, too.
 .
 This package contains the shared library and configuration files
 needed to run programs using VFlib3.

Package: vflib3-bin
Description-md5: 64406dfcd2b3cdb8e464df1b5eba270a
Description-en: Sample programs using VFlib3
 VFlib3 is a font rasterizer library for multi-lingual information
 processing.  Using VFlib3, you can obtain bitmap data of characters(glyphs)
 from various fonts in a unified manner.
 .
 VFlib3 can handle almost all font formats available - it now supports
 PK, GF, VF, TFM, OFM level 0, OVF, PCF, BDF, HBF, Syotai-Club, JG, eKanji
 and more.  TrueType and Type 1 fonts are also supported via FreeType2.
 Font search via kpathsea is supported, too.
 .
 This package contains a few sample programs that come with VFlib3.

Package: vflib3-dev
Description-md5: 84dfb449ca19d74f037530118f4c1359
Description-en: Development files for VFlib3
 VFlib3 is a font rasterizer library for multi-lingual information
 processing.  Using VFlib3, you can obtain bitmap data of characters(glyphs)
 from various fonts in a unified manner.
 .
 VFlib3 can handle almost all font formats available - it now supports
 PK, GF, VF, TFM, OFM level 0, OVF, PCF, BDF, HBF, Syotai-Club, JG, eKanji
 and more.  TrueType and Type 1 fonts are also supported via FreeType2.
 Font search via kpathsea is supported, too.
 .
 This package contains the header file and static library for developing
 with VFlib3.

Package: vflib3-doc
Description-md5: bef30f8f5edf3309aa59cd597d0c5555
Description-en: Documentation for VFlib3
 VFlib3 is a font rasterizer library for multi-lingual information
 processing.  Using VFlib3, you can obtain bitmap data of characters(glyphs)
 from various fonts in a unified manner.
 .
 VFlib3 can handle almost all font formats available - it now supports
 PK, GF, VF, TFM, OFM level 0, OVF, PCF, BDF, HBF, Syotai-Club, JG, eKanji
 and more.  TrueType and Type 1 fonts are also supported via FreeType2.
 Font search via kpathsea is supported, too.
 .
 This package contains the VFlib3 documentation in various formats.

Package: vfu
Description-md5: e99af4bc9163e951bf214fb4b72b63ab
Description-en: Versatile text-based filemanager
 vfu is a nice filemanager using the ncurses
 library. It has many nice features:
 .
  * Fast one-key commands
  * Filename completion and wildcard expansion
  * Directory tree with sizes
  * File-type colorization
  * Archives support (TAR, TGZ, BZ2, and many more)
  * FTP support through archive-like interface
  * Internal text/hex file viewer and hex editor
  * Automount feature
  * Extensive user-defined external support/utils!

Package: vg
Description-md5: aa716bf1d6e03589adbce53037024686
Description-en: tools for working with genome variation graphs
 variation graph data structures, interchange formats, alignment, genotyping,
 and variant calling methods
 .
 Variation graphs provide a succinct encoding of the sequences of many genomes.
 A variation graph (in particular as implemented in vg) is composed of:
 .
  - nodes, which are labeled by sequences and ids
  - edges, which connect two nodes via either of their respective ends
  - paths, describe genomes, sequence alignments, and annotations (such as gene
   models and transcripts) as walks through nodes connected by edges
 .
 This model is similar to a number of sequence graphs that have been used in
 assembly and multiple sequence alignment. Paths provide coordinate systems
 relative to genomes encoded in the graph, allowing stable mappings to be
 produced even if the structure of the graph is changed.

Package: vg-docs
Description-md5: 7a8f531e2a5e5f890a38c22d735d0853
Description-en: tools for working with genome variation graphs -- docs
 variation graph data structures, interchange formats, alignment, genotyping,
 and variant calling methods
 .
 Variation graphs provide a succinct encoding of the sequences of many genomes.
 A variation graph (in particular as implemented in vg) is composed of:
 .
  - nodes, which are labeled by sequences and ids
  - edges, which connect two nodes via either of their respective ends
  - paths, describe genomes, sequence alignments, and annotations (such as gene
   models and transcripts) as walks through nodes connected by edges
 .
 This model is similar to a number of sequence graphs that have been used in
 assembly and multiple sequence alignment. Paths provide coordinate systems
 relative to genomes encoded in the graph, allowing stable mappings to be
 produced even if the structure of the graph is changed.
 .
 This package provides the documentation

Package: vgabios
Description-md5: afe312b1cc9d36b15e3ed6b3fcaa8c64
Description-en: VGA BIOS software for the Bochs emulated VGA card
 The goal of this project is to provide a Video BIOS for Bochs.
 This VGA BIOS is very specific to the bochs emulated VGA card.
 .
 WARNING: It is NOT meant to drive a physical vga card. You will probably
 fry it if you try. You have been warned.

Package: vgrabbj
Description-md5: 190c79805eb8cf25915125efd1c51c04
Description-en: grabs an image from a camera and puts it in jpg/png format
 vgrabbj is a program that will grab an image from a v4l compatible
 device (usually a webcam of some sort) and save it in a jpg or png
 file.

Package: videogen
Description-md5: b7fa2d37ca60e2f08331f53c241d20d6
Description-en: Create arbitrary-res modelines using hardware parameters
 Videogen is a small but nice utility to create modelines you can
 insert into your xorg.conf and fb.modes files.
 .
 Modeline is created by telling the program the resolution you want
 and your video hardware parameters (maximum video adapter
 bandwidth, maximum HCF and VCF of the monitor etc).
 .
 Note that for most modern installations, Xorg will handle its own modesetting
 automatically, and you don't need videogen to generate any Modelines.
 .
 The tool 'some_modes' may help you to create some common modes fast.

Package: view3dscene
Description-md5: 715ce44497fa110ddff34d1d37d8293e
Description-en: VRML / X3D browser, and a viewer for other 3D model formats
 view3dscene is a viewer for many 3D model formats:
  - X3D
  - VRML (1.0 and 2.0, aka VRML 97)
  - Collada
  - OpenInventor
  - 3DS
  - MD3
  - Wavefront OBJ
  - Videoscape GEO
  - KAnim (Castle Game Engine animations)
 .
 Various navigation modes are available, like Examine, Walk (with gravity), Fly.
 Collision detection is done. Models can be animated and interactive. Many
 graphic effects are possible, thanks to using Castle Game Engine underneath.
 .
 view3dscene may also be used to convert many 3D model formats to X3D
 (in classic and XML encoding). This package includes also a command-line
 tovrmlx3d program, that performs the same conversions as view3dscene,
 but doesn't use X or OpenGL (so it's nice to use in scripts to convert
 3D models in batch mode).

Package: viewmol
Description-md5: 382a574cfd4ee2b774af3b77b9b7e4cd
Description-en: graphical front end for computational chemistry programs
 Viewmol is able to graphically aid in the generation of molecular
 structures for computations and to visualize their results.
 .
 At present Viewmol includes input filters for Discover, DMol3, Gamess,
 Gaussian 9x/03, Gulp, Mopac, PQS, Turbomole, and Vamp outputs as well as
 for PDB files. Structures can be saved as Accelrys' car-files, MDL files,
 and Turbomole coordinate files. Viewmol can generate input files for
 Gaussian 9x/03. Viewmol's file format has been added to OpenBabel so that
 OpenBabel can serve as an input as well as an output filter for
 coordinates.

Package: viewnior
Description-md5: 043730e505fe8f68e3036aa662d8a628
Description-en: simple, fast and elegant image viewer
 This is Viewnior, an image viewer program. Created to be simple, fast
 and elegant. Its minimalistic interface provides more screenspace for
 your images. Among its features are:
 .
  * Fullscreen & Slideshow
  * Rotate, flip, crop, save, delete images
  * Animation support
  * Browse only selected images
  * Navigation window
  * Set image as wallpaper (under GNOME, Fluxbox and LXDE)
  * Simple interface
  * Configurable mouse actions

Package: viewpdf.app
Description-md5: be9afa650a993508c8e031af22114341
Description-en: Portable Document Format (PDF) viewer for GNUstep
 ViewPDF is an application to view and navigate in PDF documents.
 .
 Key Features
  * Zoom
  * Keyboard shortcuts for fast navigation

Package: vifm
Description-md5: d7b58c739f8c1019684e6dfe52e68ba4
Description-en: flexible vi-like file manager using ncurses
 Vifm is a file manager providing a vi-like usage experience. It has similar
 keybindings and modes (e.g. normal, command line, visual). The interface uses
 ncurses, thus vifm can be used in text-only environments.
 It supports a wide range of features, some of which are known from the
 vi-editor:
  - utf8 support
  - user mappings (almost like in vi)
  - ranges in command-line commands
  - user defined commands (with support for ranges)
  - registers
  - operation undoing/redoing
  - fuse file systems support
  - trash
  - multiple files renaming
  - support of filename modifiers
  - colorschemes support
  - file name color according to file type
  - path specific colorscheme customization
  - bookmarks
  - operation backgrounding
  - customizable file viewers
  - handy less-like preview mode
  - filtering out and searching for files using regular expressions
  - one or two panes view
 With the package comes a plugin to use vifm as a vim file selector.

Package: vigor
Description-md5: a5233aa1005895d6ac32e2d657c5334c
Description-en: nvi with the evil paperclip
 Vigor is a perpetration of the Microsoft Word "Office Assistant" paperclip,
 based on nvi. It was inspired by Pitr of the "User Friendly" comic strip
 (http://www.userfriendly.org/).
 .
 Some people have speculated that Vigor was created under the influence of
 the evil Crud Puppy. The maintainer of this Debian package would like to
 stress his firm belief that these foul rumours are entirely without
 foundation.

Package: viking
Description-md5: 3ed52b9722932ccbfaccb9c59dc2bf11
Description-en: GPS data editor, analyzer and viewer
 Viking aims to be easy to use, yet powerful in accomplishing a wide
 variety of GPS related tasks. It uses a hierarchical layering system
 to organize GPS data, maps, and other layer types with spatial data,
 such as coordinate lines.
 .
 Some of the things you can use Viking for are:
 .
  * Uploading and downloading waypoints, tracks to/from GPS.
  * Realtime GPS tracking and track recording.
  * Import and export of gpx files.
  * Preparing tracks and waypoints for trips using maps from services
    such as OpenStreetmap and Terraserver. You only need to upload the data
    to your GPS before you leave. The maps together with your tracks
    and waypoints can also be printed and used during the trip.
  * After trips, tracks and waypoints from GPS can be downloaded,
    stored, managed and reused in later trips.
  * Analyzing OHV and hiking trips, understanding where you went and
    how far you were from something.
  * Making waypoints and tracks to follow to easily get someplace
    you've never been before or don't have GPS data for but Terraserver
    maps exist for it.
  * Making maps with coordinate lines.
  * Analyzing speed at different places, adding waypoints where you forgot
    to mark one but did slow down or stop.

Package: vile
Description-md5: 1c2d6eec70bbbbe775b92dbf0a95f6dc
Description-en: VI Like Emacs - vi work-alike
 vile is a text editor which is extremely compatible with vi in terms of
 ``finger feel''.  In addition, it has extended capabilities in many areas,
 notably multi-file editing and viewing, syntax highlighting, key rebinding,
 an optional embedded perl interpreter, and real X window system support.
 .
 This package contains the character-mode binary.  Required support files
 are contained in vile-common.  Source code highlighting filters are in
 vile-filters.  An X11 binary is in the xvile package.

Package: vile-common
Description-md5: 1a3c3fb6398d1181edf47d779818f652
Description-en: VI Like Emacs - support files for vile/xvile
 Shared files for the vile and xvile editors.

Package: vile-filters
Description-md5: 08cb21d4d528cf0370e98bf92519c35f
Description-en: VI Like Emacs - highlighting filters for vile/xvile
 Text highlighting filters for various languages and file formats for
 the vile and xvile editors.

Package: vilistextum
Description-md5: 0255b8164946f65f5498004ee42c20f7
Description-en: a HTML to text converter
 Vilistextum is a small and fast HTML to text / ascii converter
 specifically programmed to get the best out of incorrect html. It is
 quite fault-tolerant and deals well with badly-formed or otherwise
 quirky HTML. It is able to optimize for ebook reading, collapse
 multiple blank lines, and create footnotes out of links.

Package: vim-addon-manager
Description-md5: eb72418233a1a18abd8c76554d9072a3
Description-en: manager of addons for the Vim editor
 vim-addon-manager is a tool for managing addons for the Vim
 editor.
 .
 Using the vim-addons command line the user can list the addons
 installed on its system (i.e. which are registered in the vim
 addons registry) and install or uninstall each of them in its
 per-user configuration directory (~/.vim).  Similar actions can
 be performed by the system administrator to affect all system
 users.
 .
 Override of addons which are enabled per default on the system,
 so that they are not enabled for the current user, is possible
 too.

Package: vim-addon-mw-utils
Description-md5: 595a67a5dbb22e9add23fd2fc8a97133
Description-en: Vim funcref library
 A vim library to interpret a file by function and cache file automatically.

Package: vim-airline
Description-md5: 0a3008175fcaacf7b9affed68b253d7d
Description-en: Lean & mean status/tabline for vim that's light as air
 vim-airline provides a themable vim status bar that makes use of the
 powerline font. It's similar to vim-powerline, but is much simpler
 since it uses pure vim configuration instead of scripting languages.
 .
 Some of its features:
 .
  * Tiny core written with extensibility in mind
  * Integrates with a variety of vim plugins
  * Looks good with regular fonts and provides configuration points
    so you can use unicode or powerline symbols
  * Optimized for speed; it typically loads in under a millisecond
 .
 To use the powerline fonts, add the following lines to your .vimrc:
    let g:airline_powerline_fonts = 1
 .
 To enable the top bar, you can add the following to your .vimrc:
    let g:airline#extensions#tabline#enabled = 1

Package: vim-airline-themes
Description-md5: 756e3c3d666a7d44d8b9def31436922a
Description-en: official theme collection for vim-airline
 Official collection of themes for vim-airline.
 .
 Once installed, use :AirlineTheme <theme> to set the theme,
   eg. :AirlineTheme badwolf
 To set in .vimrc, use let g:airline_theme='<theme>',
   eg. :let g:airline_theme='badwolf'

Package: vim-asciidoc
Description-md5: 671d78f555ae5e04988ab082530c64ff
Description-en: Vim syntax highlighting files for asciidoc
 AsciiDoc is a text document format for writing articles, books, manuals and
 UNIX man pages. AsciiDoc files can be translated to HTML (with or without
 stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc
 using the asciidoc command. AsciiDoc can also be used to build and maintain
 websites.
 .
 You write an AsciiDoc document the same way you would write a
 normal text document, there are no markup tags or weird format notations.
 AsciiDoc files are designed to be viewed, edited and printed directly or
 translated to other presentation formats
 .
 This package provides vim syntax highlighting for asciidoc.

Package: vim-athena
Description-md5: 1c61a087ff78396c79ad6890f632a2be
Description-en: Vi IMproved - enhanced vi editor - with Athena GUI
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a version of vim compiled with a Athena GUI
 and support for scripting with Lua, Perl, Python 3, Ruby, and Tcl.

Package: vim-autopep8
Description-md5: 3b07b3d7c3314df21f9345115040de1f
Description-en: vim plugin to apply autopep8
 This package provides vim-autopep8, a Vim plugin that applies autopep8 to your
 current file. autopep8 automatically formats Python code to conform to the
 PEP 8 style guide.

Package: vim-bitbake
Description-md5: 25317093c887cf594a94aafad3978187
Description-en: Vim plugin to interact with Yocto bitbake-based recipes
 This set of scripts helps in creating new yocto recipes from scratch,
 and adds highlighting on the already written ones.

Package: vim-command-t
Description-md5: c6cba8af91c9d1897c0dedb45cd5fad5
Description-en: open files with a minimum number of keystrokes
 Files are selected by typing characters that appear in their paths, and
 are ordered by an algorithm which knows that characters that appear in
 certain locations (for example, immediately after a path separator)
 should be given more weight.
 .
 Command-T is named so because it is inspired by the "Go to File" window
 bound to Command-T in TextMate.

Package: vim-ctrlp
Description-md5: 22a273592c14ef3f0359aa322591ef33
Description-en: fuzzy file, buffer, mru, tag, etc. finder for Vim
 CtrlP features:
  * written in pure Vimscript
  * full support for Vim's regexp as search patterns
  * built-in Most Recently Used (MRU) files monitoring and search
  * built-in project's root finder
  * open multiple files at once
  * create new files and directories
  * execute Ex commands on an opening file (jump to a line, to a string or do
    anything)
  * optional cross-sessions caching and history allow for fast initialization
  * mappings and usage conform to Vim's conventions
 .
 Invoke: `vam install ctrlp` to enable this plugin.

Package: vim-editorconfig
Description-md5: 678a397696db47878a35dc272c385244
Description-en: EditorConfig Plugin for Vim
 EditorConfig helps developers define and maintain consistent coding styles for
 their projects for different editors and IDEs. The EditorConfig project
 consists of a file format for defining coding styles and a collection of text
 editor plugins that enable editors to read the file format and adhere to
 defined styles. EditorConfig files are easily readibly and they work nicely
 with version control systems.
 .
 The EditorConfig Vim plugin supports the following EditorConfig properties:
  * indent_style
  * indent_size
  * tab_width
  * end_of_line
  * charset
  * insert_final_newline
  * trim_trailing_whitespace
  * max_line_length
  * root (only used by EditorConfig core)

Package: vim-fugitive
Description-md5: 24bff3d424702878b6634632246c8b18
Description-en: Vim plugin to work with Git
 vim-fugitive is a wrapper for Vim that complements the command
 line interface to Git. The plugin provides an interactive status
 window, where you can stage and review your changes before the
 next commit or run any Git arbitrary command.

Package: vim-gocomplete
Description-md5: 21621fc816f5443b8c842af822fdcefc
Description-en: gocode integration for Vim
 This package provides gocode integration with Vim.

Package: vim-gtk
Description-md5: 86dc6422648bf19178561819a13be252
Description-en: Vi IMproved - enhanced vi editor (dummy package)
 This is a transitional package to install the vim-gtk3 package.  You may
 remove this package if nothing depends on it.

Package: vim-gtk3
Description-md5: 7349d2c1bcc43fa49f9d456783dde67c
Description-en: Vi IMproved - enhanced vi editor - with GTK3 GUI
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a version of vim compiled with a GTK3 GUI
 and support for scripting with Lua, Perl, Python 3, and Tcl.

Package: vim-gui-common
Description-md5: f51060aa71b1aeaa83cfde145ca640c3
Description-en: Vi IMproved - Common GUI files
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 This package contains files shared by all GUI-enabled vim
 variants available in Debian.  Examples of such shared files are:
 gvimtutor, icons, and desktop environments settings.

Package: vim-haproxy
Description-md5: f0f210c34582537684988d90bc2d6337
Description-en: syntax highlighting for HAProxy configuration files
 The vim-haproxy package provides filetype detection and syntax highlighting
 for HAProxy configuration files.
 .
 As per the Debian vim policy, installed addons are not activated
 automatically, but the "vim-addon-manager" tool can be used for this purpose.

Package: vim-icinga2
Description-md5: f9189652054d1813888c073a189ddd0c
Description-en: syntax highlighting for Icinga 2 config files in VIM
 The vim-icinga2 package provides filetype detection and syntax
 highlighting for Icinga 2 config files.
 .
 As per the Debian vim policy, installed addons are not activated
 automatically, but the "vim-addon-manager" tool can be used for this
 purpose.

Package: vim-julia
Description-md5: 8fad3814134582167461342a67d15925
Description-en: Vim support for Julia language
 An overview of some of the features:
  * Latex-to-Unicode substitutions
  * Block-wise movements and block text-objects
  * Changing syntax highlighting depending on the Julia version
 .
 The full documentation is available from Vim: after installation,
 you just need to type :help julia-vim.
 .
 To enable this vim addon, simply issue the following command:
  $ vam install julia

Package: vim-khuno
Description-md5: e4138fc4b07cb2e2778e4d021b281747
Description-en: Python flakes Vim plugin
 Analyse your code with Flake8 in Vim - seamlessly.
 .
   - Non-blocking (syntax check is called asynchronously)
   - statusline helper to display when there are errors
   - Separate buffer to display errors and jump to them
 .
 `vim-addons install khuno` will create all needed symlinks in ~/.vim/

Package: vim-lastplace
Description-md5: bfe52e36c9436549a4cfab77fbcb72ea
Description-en: Vim script to reopen files at your last edit position
 Intelligently reopen files at your last edit position. By default git,
 svn, and mercurial commit messages are ignored because you probably
 want to type a new message and not re-edit the previous one.

Package: vim-latexsuite
Description-md5: f82c55eba2a61f94e460fad72cab15ba
Description-en: view, edit and compile LaTeX documents from within Vim
 vim-latexsuite attempts to provide a comprehensive set of tools to view,
 edit and compile LaTeX documents in Vim. Together, they provide tools starting
 from macros to speed up editing LaTeX documents to functions for forward
 searching .dvi documents.
 .
 This package also provides help to LaTeX in Vim.
 .
 By default, vim-latexsuite is not enabled. Please read
 /usr/share/doc/vim-latexsuite/README.Debian after installing the package.

Package: vim-ledger
Description-md5: f309c6dad554cc538848eb1a161a19c1
Description-en: Vim plugin for Ledger
 Ledger is a powerful and flexible double-entry accounting system run
 entirely from the command line. Your accounts ledger is stored in a
 plain-text files with a very simple and readable format.
 .
 This plugin provides Vim syntax highlighting and folding for Ledger
 files.
 .
 `vim-addons install ledger` will create all needed symlinks in ~/.vim/

Package: vim-migemo
Description-md5: 30855a24c63eef8afbd10e5e143d125c
Description-en: VIM plugin for C/Migemo
 Migemo is a tool that supports Japanese incremental search with Romaji.
 Originally migemo is written in Ruby. C/Migemo provides search tool and
 library written in C.
 .
 This package provides C/Migemo plugin for VIM.

Package: vim-nox
Description-md5: f840d0104436408f409898adc9a43d8c
Description-en: Vi IMproved - enhanced vi editor - with scripting languages support
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a version of vim compiled with support for
 scripting with Lua, Perl, Python 3, Ruby, and Tcl but no GUI.

Package: vim-pathogen
Description-md5: 55f0c8c07b238e7e382d6b5f72e68c06
Description-en: Manage your runtimepath with ease
 pathogen.vim makes it super easy to install plugins and runtime files in their
 own private directories.

Package: vim-puppet
Description-md5: fc0c47855b409883ecd2cca80024e2de
Description-en: syntax highlighting for puppet manifests in vim
 The vim-puppet package provides filetype detection and syntax
 highlighting for puppet manifests (files ending with ".pp").
 .
 As per the Debian vim policy, installed addons are not activated
 automatically, but the "vim-addon-manager" tool can be used for this
 purpose.

Package: vim-python-jedi
Description-md5: 88fff6bb0e1afdef347387dd502431eb
Description-en: autocompletion tool for Python - VIM addon files
 Jedi is an autocompletion tool for Python. It works. With and without syntax
 errors. Sometimes it sucks, but that's normal in dynamic languages. But it
 sucks less than other tools. It understands almost all of the basic Python
 syntax elements including many builtins.
 .
 `vim-addons install python-jedi` will create all needed symlinks in ~/.vim/

Package: vim-rails
Description-md5: 4ae7281fb688d25982f04e444bbdba8f
Description-en: vim development tools for Rails development
 vim-rails provides several tools for Rails development with vim:
 .
  * only affects buffers of files inside Rails projects.
  * fills key variables like 'makeprg', 'path' with appropriate values for your
    application.
  * enhanced syntax highlighting for files in Rails projects.
  * interface to Rails' scripts/*
  * automated partial extraction
  * integration with project and dbext vim addons, present in the vim-scripts
    package.

Package: vim-scripts
Description-md5: eca18bb801bebc698b1fa62257b2a5eb
Description-en: plugins for vim, adding bells and whistles
 Vim is a very capable editor. Its scripting support allows the use of plugins
 that enhance the functionality of it. Many people have written scripts, they
 are scattered all over the web; however, there's a central resource on
 https://www.vim.org/ to start with.
 .
 This is a collection of some of those scripts on a purely subjective and biased
 basis.  Users can thus have some nice scripts without having to go searching.
 The scripts can be installed globally or on a per user basis. Here is an
 abbreviated summary of some of the included scripts:
 .
 Filetype Plugins
     * po.vim - Easier editing of PO multi-lingual translation files
     * xml.vim - Helper for editing XML, HTML, and SGML documents
 .
 Games
     * VimSokoban_sokoban.vim - Sokoban Game for Vim
     * tetris.vim - Tetris for Vim
 .
 General Plugins
     * AlignPlugin.vim - Provides commands to help produce aligned text, eqns, declarations
     * EnhancedCommentify.vim - Quickly comment lines in a program
     * NERD_commenter.vim - easy code commenting
     * a.vim - Alternate Files quickly (.c --> .h etc)
     * bufexplorer.vim - Easily switch between buffers without knowing their numbers
     * calendar.vim - Calendar
     * cvsmenu.vim - CVS menu supporting most CVS commands
     * gnupg.vim - Transparent editing of gpg encrypted files
     * info.vim - GNU info documentation browser
     * minibufexpl.vim - Elegant buffer explorer - takes very little screen space
     * taglist.vim - Source code browser (supports dozens of langauges)
     * utl.vim - Univeral Text Linking - Execute URLs in plain text
     * vcscommand.vim - Commands for cvs, svn, git, hg, bzr, svk
     * vcssvn.vim - Subversion (svn) integration plugin
     * whatdomain.vim - Find out the meaning of any Top Level Domain
     * winmanager.vim - A windows style IDE for Vim 6.0
 .
 Colors
     * over 100 different color schemes e.g. autumn.vim, eclipse.vim, navajo.vim
 .
 Syntax Files
     * CVSAnnotate.vim, gitAnnotate.vim, .. - for version control files
     * deb.vim - for browsing debian packages

Package: vim-snipmate
Description-md5: b32d99279c72a1dbfce80f206863cd65
Description-en: Vim script that implements some of TextMate's snippets features.
 SnipMate.vim aims to be an unobtrusive, concise vim script that implements
 some of TextMate's snippets features in Vim. A snippet is a piece of
 often-typed text that you can insert into your document using a trigger word
 followed by a <tab>.
 .
 For instance, in a C file using the default installation of snipMate.vim, if
 you type "for<tab>" in insert mode, it will expand a typical for loop in C:
 .
 for (i = 0; i < count; i++) {
 .
 }
 .
 To go to the next item in the loop, simply <tab> over to it; if there is
 repeated code, such as the "i" variable in this example, you can simply start
 typing once it's highlighted and all the matches specified in the snippet will
 be updated.
 .
 snipMate.vim has the following features among others:
 .
  - The syntax of snippets is very similar to TextMate's, allowing easy
    conversion.
  - The position of the snippet is kept transparently (i.e., it does not use
    marks/placeholders inserted into the buffer), allowing you to escape out
    of an incomplete snippet, something particularly useful in Vim.
  - Variables in snippets are updated as-you-type.
  - Snippets can have multiple matches.
  - Snippets can be out of order. For instance, in a do...while loop, the
    condition can be added before the code.

Package: vim-snippets
Description-md5: f57c4805523bc95f078c4c32f1fb5760
Description-en: Snippets files for various programming languages.
 This repository contains many different snippets files for various programming
 languages.  Snipmate and UltiSnips formats are supported.

Package: vim-syntastic
Description-md5: 9ef8621c9eb29f0b214ac89f4fea772f
Description-en: Syntax checking hacks for vim
 Syntastic is a syntax checking plugin that runs files through external syntax
 checkers and displays any resulting errors to the user. This can be done on
 demand, or automatically as files are saved. If syntax errors are detected, the
 user is notified and is happy because they didn't have to compile their code or
 execute their script to find them.
 .
 At the time of this writing, syntastic has checking plugins for ACPI Source
 Language, ActionScript, Ada, Ansible configurations, API Blueprint,
 AppleScript, AsciiDoc, Assembly languages, BEMHTML, Bro, Bourne shell, C, C++,
 C#, Cabal, Chef, CMake, CoffeeScript, Coco, Coq, CSS, Cucumber, CUDA, D, Dart,
 DocBook, Dockerfile, Dust, Elixir, Erlang, eRuby, Fortran, Gentoo metadata,
 GLSL, Go, Haml, Haskell, Haxe, Handlebars, HSS, HTML, Java, JavaScript, JSON,
 JSX, Julia, LESS, Lex, Limbo, LISP, LLVM intermediate language, Lua, Markdown,
 MATLAB, Mercury, NASM, Nix, Objective-C, Objective-C++, OCaml, Perl, Perl 6,
 Perl POD, PHP, gettext Portable Object, OS X and iOS property lists,
 Pug (formerly Jade), Puppet, Python, QML, R, Racket, RDF TriG, RDF Turtle,
 Relax NG, reStructuredText, RPM spec, Ruby, SASS/SCSS, Scala, Slim, SML,
 Solidity, Sphinx, SQL, Stylus, Tcl, TeX, Texinfo, Twig, TypeScript, Vala,
 Verilog, VHDL, Vim help, VimL, Vue.js, xHtml, XML, XSLT, XQuery, YACC, YAML,
 YANG data models, YARA rules, z80, Zope page templates, and Zsh.

Package: vim-syntax-docker
Description-md5: fcdf3cc569fec99526c4f1c044063f82
Description-en: Docker container engine - Vim highlighting syntax files
 This package provides syntax files for the Vim editor for editing Dockerfiles
 from the Docker container engine.

Package: vim-syntax-gtk
Description-md5: eac6f76a330abb4c5fd9fe42ac45e953
Description-en: Syntax files to highlight GTK+ keywords in vim
 A collection of C extension syntax files for Xlib, Glib, GObject, GDK,
 GdkPixBuf, GTK+, ATK, Pango, Cairo, libgimp, libgnome, libgnomecanvas,
 libgnomeui, libglade, gtkglext, VTE, linc, GConf, ORBit, Evince, GIO
 libsoup, libunique, GStreamer, GObject-Introspection and Json-Glib.

Package: vim-tabular
Description-md5: 930da261f691c9917dfbccc297d582dc
Description-en: Vim script for text filtering and alignment
 Sometimes, it's useful to line up text. Naturally, it's nicer to have the
 computer do this for you, since aligning things by hand quickly becomes
 unpleasant. While there are other plugins for aligning text, the ones I've
 tried are either impossibly difficult to understand and use, or too simplistic
 to handle complicated tasks. This plugin aims to make the easy things easy and
 the hard things possible, without providing an unnecessarily obtuse interface.
 It's still a work in progress, and criticisms are welcome.

Package: vim-textobj-user
Description-md5: 7828468cd8aadec3ac68ad2654ee7b70
Description-en: Vim plugin for user-defined text objects
 Users can move the cursor around a document in vim using text objects. The
 built text objects include words and sentences, paragraphs, blocks and quoted
 strings.
 .
 This plugin provides an easy way to define new text objects using regular
 expressions for simple text objects or functions for more complex ones.
 .
 `vim-addons install textobj-user` will create all needed symlinks in ~/.vim/

Package: vim-tjp
Description-md5: 80b04b0d643b55b9e4e2246348fb73bf
Description-en: vim addon for TaskJuggler .tjp files
 TaskJuggler is project management software for project scoping, resource
 assignment, cost and revenue planing, risk and communication management. It is
 packaged in Debian as tj3.
 .
 This package provides a plugin for the vim editor prviding syntax highlighting
 for .tjp files.

Package: vim-tlib
Description-md5: 4e26ad32abad038190f5ffb8a84813a7
Description-en: Some vim utility functions
 This library provides some utility functions. There isn't much need to
 install it unless another plugin requires you to do so.

Package: vim-ultisnips
Description-md5: 5bd641c7047d68e9501886954169acbd
Description-en: snippet solution for Vim
 UltiSnips provides snippet management for the Vim editor. A snippet is a short
 piece of text that is either re-used often or contains a lot of redundant
 text. UltiSnips allows you to insert a snippet with only a few key strokes.
 Snippets are common in structured text like source code but can also be used
 for general editing like, for example, inserting a signature in an email or
 inserting the current date in a text file.
 .
 This plugin can utilize the snippets provided by the vim-snippets package.

Package: vim-vimerl
Description-md5: 50f392a3c8a1f597e0ce5e60f4acddf4
Description-en: Erlang plugin for Vim
 Vimerl is a plugin for programming in Erlang. It provides several nice
 features to make your life easier when writing code.
 .
 The plugin offers the following features:
  - Code indenting
  - Code folding
  - Code omni completion
  - Syntax checking with quickfix support
  - Code skeletons for the OTP behaviours
  - Uses configuration from Rebar

Package: vim-vimerl-syntax
Description-md5: 729b3b9ddf40d7d9d1aa5d4356045517
Description-en: Erlang syntax for Vim
 Vimerl is a plugin for programming in Erlang. It provides several nice
 features to make your life easier when writing code.
 .
 This package offers the syntax hilighting feature.

Package: vim-voom
Description-md5: 83ee74f822b9b954a1f1e013215b0c7f
Description-en: Vim two-pane outliner
 VOoM (Vim Outliner of Markups) is a plugin for Vim that emulates
 a two-pane text outlineer.
 .
 The following markup modes are available:
   - fmr(Default Mode)  -- Start fold markers with levels:
        {{{1, {{{2, {{{3, etc. Headline text is before the marker.
   - fmr1, fmr2 fmr3 -- start fold markers with levels. Variations of
                         the default mode.
   - wiki  -- MediaWiki, headlines are surrounded by '=';
   - vimwiki  -- vimwiki plugin
   - viki  -- Viki/Deplate plugin
   - dokuwiki  -- DokuWiki;
   - org  -- Emacs Org-mode;
   - rest  -- reStructuredText section titles;
   - markdown  -- Markdown headers, both Setext-style and Atx-style;
   - pandoc    -- Pandoc Markdown;
   - hashes  -- #, ##, ###, etc. (Atx-style headers, a subset of Markdown
                 format);
   - txt2tags  -- txt2tags titles and numbered titles;
   - asciidoc  -- AsciiDoc document and section titles, both styles;
   - latex  -- LaTeX sectioning and some other commands;
   - latexDtx  -- Same as LaTeX but for .dtx files (Documented LaTeX sources).
   - taskpaper  -- TaskPaper
   - thevimoutliner  -- The Vim Outliner plugin
   - vimoutliner  -- VimOutliner plugin
   - cwiki
   - inverseAtx -- inverse Atx-style headers;
   - html  -- HTML heading tags, single line only;
   - python  -- Python code browser, blocks between 'class' and 'def' are
                also nodes.
   - paragraphBlank, paragraphIndent, paragraphNoIndent  -- For working with
                             paragraphs. Handy for sorting blocks of lines.

Package: vim-youcompleteme
Description-md5: df78ec24f7f52e27ca1e3ffb2cfd1946
Description-en: fast, as-you-type, fuzzy-search code completion engine for Vim
 YouCompleteMe is a fast, as-you-type, fuzzy-search code completion engine
 for Vim. It has several completion engines: an identifier-based engine
 that works with every programming language, a semantic, Clang-based
 engine that provides native semantic code completion for
 C/C++/Objective-C/Objective-C++ and an omnifunc-based completer that uses data
 from Vim's omnicomplete system to provide semantic completions for many
 other languages (Ruby, PHP etc.).

Package: vinagre
Description-md5: 7b8120bed7cdcf52c73e679629d622fa
Description-en: remote desktop client for the GNOME Desktop
 Vinagre is a remote desktop client integrated into the GNOME Desktop.
 .
 With Vinagre you can have several connections open simultaneously,
 bookmark your servers thanks to the Favorites support, store the
 passwords in the GNOME keyring, and browse the network to look for
 VNC servers.
 .
 Supported protocols are VNC, SSH, and RDP.

Package: vinetto
Description-md5: 809beee4dfd2b14a9090a3ea5105b0e3
Description-en: forensics tool to examine Thumbs.db files
 vinetto is a console program to extract thumbnail pictures and their metadata
 from Thumbs.db files, that are generated under Microsoft Windows.
 .
 vinetto can help *nix-based forensics investigators to:
 .
   - easily preview thumbnails of deleted pictures on Windows systems;
   - obtain information (dates, path, ...) about deleted pictures.

Package: vip-manager
Description-md5: ec765ab519e05a1af471d1c957bd9d26
Description-en: Patroni virtual IP manager
 This package needs to be installed and configured on all nodes of a Patroni
 PostgreSQL cluster. Given a Patroni namespace and scope in etcd or consul,
 the vip-manager service monitors that namespace for leader changes due to
 failovers or switchovers and adds or removes the VIP on the local node
 accordingly.

Package: virgl-server
Description-md5: b58d25033b5d802ad9c4627099712a8b
Description-en: virtual GPU for KVM virtualization - vtest server used
 for testing and container virtualization
 .
 Virgl is a software to separate OpenGL rendering from the host GPU
 The vtest server provides the means to do this without going through
 full virtualization

Package: virt-manager
Description-md5: 9f7f584744b77cdacc2291f2a8ac220e
Description-en: desktop application for managing virtual machines
 It presents a summary view of running domains and their live performance &
 resource utilization statistics. A detailed view presents graphs showing
 performance & utilization over time. Ultimately it will allow creation of new
 domains, and configuration & adjustment of a domain's resource allocation &
 virtual hardware.  Finally an embedded VNC client viewer presents a full
 graphical console to the guest domain.
 .
 NOTE: the GUI is still considered experimental.

Package: virt-sandbox
Description-md5: 34219e4fa1771881ec510c2d518bc17f
Description-en: Application sandbox toolkit
 The Libvirt Sandbox project is an effort to facilitate the use of libvirt
 virtualization drivers for the purpose of sandboxing applications. It
 supports LXC and QEMU/KVM.
 .
 It provides a high level API to enable the construction of sandboxes
 and isolation from the need to understand the virtualization technology
 configuration.
 .
 It allows integration with systemd to setup service unit files inside a
 sandbox.
 .
 This package contains the binaries to run sandboxed applications and
 services.

Package: virt-top
Description-md5: 1d08cf8305bd2d2df0cebf08461c071a
Description-en: show stats of virtualized domains
 virt-top is a top-like utility for showing stats of virtualized domains. Many
 keys and command line options are the same as for ordinary top.
 .
 It uses libvirt so it capable of showing stats across a variety of different
 virtualization systems (virtual machines or VM). Some supported systems are
 KVM, XEN and QEMU.

Package: virt-viewer
Description-md5: e069ea142b048ba559fa8fd6ed02013d
Description-en: Displaying the graphical console of a virtual machine
 The console is accessed using the VNC or SPICE protocol. The guest can be
 referred to based on its name, ID, or UUID. If the guest is not already
 running, then the viewer can be told to wait until is starts before attempting
 to connect to the console The viewer can connect to remote hosts to lookup the
 console information and then also connect to the remote console using the same
 network transport.

Package: virt-what
Description-md5: 5d47386fbabb50c244e6526dada61a44
Description-en: detect if we are running in a virtual machine
 Virt-what is a shell script which can be used to detect if the program is
 running in a virtual machine (VM).
 .
 The program prints out a list of "facts" about the virtual machine, derived
 from heuristics. One fact is printed per line.

Package: virtaal
Description-md5: 90a78b36d48848e7189a8bd426e9165d
Description-en: graphical localisation editor and multi-format translation tool
 Virtaal is a graphical localisation editor that is designed to offer the
 translator an uncluttered interface for translating text. Virtaal supports a
 wide variety of common formats including PO and XLIFF files (and everything
 else that the translate-toolkit package supports).

Package: virtinst
Description-md5: 41bcb36d75eb8e647e72efe3cbb09498
Description-en: Programs to create and clone virtual machines
 Virtinst is a set of commandline tools to create virtual machines using
 libvirt:
 .
 virt-install: provision new virtual machines
 virt-clone: clone existing virtual machines
 virt-xml: edit libvirt XML using command line options.
 virt-convert: convert virtual machines between formats

Package: virtualenv
Description-md5: e05ced2880a5e4436e474731634f752f
Description-en: Python virtual environment creator
 The virtualenv utility creates virtual Python instances, each invokable
 with its own Python executable.  Each instance can have different sets
 of modules, installable via easy_install.  Virtual Python instances can
 also be created without root access.
 .
 This is a dependency package and may be safely removed.

Package: virtualenvwrapper
Description-md5: 29de2c2799a02bc581a13fd9176c536e
Description-en: extension to virtualenv for managing multiple environments
 virtualenvwrapper is a set of extensions to Ian Bicking's virtualenv
 tool. The extensions include wrappers for creating and deleting
 virtual environments and otherwise managing your development
 workflow, making it easier to work on more than one project at a time
 without introducing conflicts in their dependencies.

Package: virtualenvwrapper-doc
Description-md5: 9dc1ab295026bcbef53283d4c56664be
Description-en: extension to virtualenv for managing multiple environments (docs)
 virtualenvwrapper is a set of extensions to Ian Bicking's virtualenv
 tool. The extensions include wrappers for creating and deleting
 virtual environments and otherwise managing your development
 workflow, making it easier to work on more than one project at a time
 without introducing conflicts in their dependencies.
 .
 This is the common documentation package.

Package: virtualjaguar
Description-md5: 1fc3c2319298ee9ff6cc03c8c88a0ec4
Description-en: Cross-platform Atari Jaguar emulator
 Virtual Jaguar is a cross-platform emulator for Atari's infamous
 Jaguar console, the last video game system to be ever released by
 the now defunct company. The Jaguar was marketed as the first
 64-bit video game system despite the fact that it was actually
 a 32-bit system at heart, just the blitter operated in 64-bit
 mode. The system was a commercial failure and eventually lead
 to Atari leaving the market for video game systems for good.
 .
 This emulator features an intuitive user interface and emulation
 of all of the major subsystems of the Atari Jaguar console. This
 includes full GPU and DSP emulation as well as emulation of the
 Atari Jaguar CD. Most of the commercial Atari games are supported,
 albeit some of them have some minor glitches when running in the
 emulator.

Package: virtuoso-minimal
Description-md5: 26f5655bab71632430842408fbd1ab23
Description-en: high-performance database - core dependency package
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This is an empty package depending on the current "best" version of the
 core Virtuoso binaries, as recommended by the maintainers (currently
 virtuoso-opensource-6.1-bin). This should usually be depended on by
 all packages which use Virtuoso as an embedded database.

Package: virtuoso-opensource
Description-md5: 9dab89f8c6ac31fe5f957d6b5e07bc3f
Description-en: high-performance database
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 Virtuoso supports SPARQL embedded into SQL for querying RDF data stored
 in its database. SPARQL benefits from low-level support in the engine
 itself, such as SPARQL-aware type-casting rules and a dedicated IRI data
 type.
 .
 Install this metapackage for the full suite of packages that make up
 Virtuoso OSE ("Open-Source Edition").

Package: virtuoso-opensource-6.1
Description-md5: cc356c40fa5f4bc052cc54a0f5d1ed01
Description-en: high-performance database - support files
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package provides the Virtuoso server framework.

Package: virtuoso-opensource-6.1-bin
Description-md5: e059e75f64def36f5035aae84dec87f1
Description-en: high-performance database - binaries
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the core Virtuoso binaries.

Package: virtuoso-opensource-6.1-common
Description-md5: 964d57838657661e2d23406ac48d09eb
Description-en: high-performance database - common files
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains files common to all versions of Virtuoso.

Package: virtuoso-server
Description-md5: 2d340f61f401306700209f8cba94c8eb
Description-en: high-performance database - server dependency package
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This is an empty package depending on the current "best" version of the
 Virtuoso server framework, as recommended by the maintainers (currently
 virtuoso-opensource-6.1). Install this package if in doubt about
 which version you need.

Package: virtuoso-vad-bpel
Description-md5: 040bb873935a5522531fb5cdb5f297a4
Description-en: high-performance database - BPEL module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for Business Process Execution Language support.

Package: virtuoso-vad-conductor
Description-md5: 9cff784f4de3b42747ec249727db904e
Description-en: high-performance database - conductor module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the administration interface.

Package: virtuoso-vad-demo
Description-md5: 2947e40e8ec9aed522a0ca47696b47f2
Description-en: high-performance database - demo module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the demonstration application.

Package: virtuoso-vad-doc
Description-md5: e7e85859b446c34cf47f3c4709c62bbe
Description-en: high-performance database - documentation module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the documentation.

Package: virtuoso-vad-isparql
Description-md5: 54874502dd74360b8a81ebe3d018d40f
Description-en: high-performance database - iSPARQL module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for iSPARQL support.

Package: virtuoso-vad-ods
Description-md5: adb25762e65df1ffbcd817f6bd3ff20c
Description-en: high-performance database - Open Data Spaces module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for Open Data Spaces support.

Package: virtuoso-vad-rdfmappers
Description-md5: 87ca4734976ae4488623f3e7285b90b8
Description-en: high-performance database - RDF mappers module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the RDF mappers application.

Package: virtuoso-vad-sparqldemo
Description-md5: cecd82cf7964b334d3fa0fb33ed039c9
Description-en: high-performance database - SPARQL demo module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the SPARQL demo application.

Package: virtuoso-vad-syncml
Description-md5: 622d23d479f0d2c88772997fbbb943ba
Description-en: high-performance database - SyncML module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for Synchronization Markup Language support.

Package: virtuoso-vad-tutorial
Description-md5: 996110c61111eb085518b913a7522a39
Description-en: high-performance database - tutorial module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the tutorial application.

Package: virtuoso-vsp-startpage
Description-md5: af9e3e5b5a6f5594e661557d0930ab61
Description-en: high-performance database - web interface files
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the files for Virtuoso's web interface.

Package: virulencefinder
Description-md5: dca05860c4c858809d497a2883ce7288
Description-en: identify virulence genes in total or partial sequenced isolates of bacteria
 The VirulenceFinder service contains one Python script
 virulencefinder.py which is the script of the latest version of the
 VirulenceFinder service. VirulenceFinder identifies viruelnce genes in
 total or partial sequenced isolates of bacteria - at the moment only E.
 coli, Enterococcus, S. aureus and Listeria are available.

Package: virulencefinder-examples
Description-md5: 2ac8c856e69889c2242f576612f2e4c9
Description-en: example data for virulencefinder
 The VirulenceFinder service contains one Python script
 virulencefinder.py which is the script of the latest version of the
 VirulenceFinder service. VirulenceFinder identifies viruelnce genes in
 total or partial sequenced isolates of bacteria - at the moment only E.
 coli, Enterococcus, S. aureus and Listeria are available.
 .
 This package provides an example for virulencefinder including
 ecoli database.

Package: viruskiller
Description-md5: d3b18cbf905b74bbd1b545d471e94ddd
Description-en: Game about viruses invading your computer
 Your computer has been invaded! Dozens of little viruses are pouring in via
 security holes in Microsoft Internet Explorer, Microsoft Outlook, Microsoft
 MSN Messenger and Microsoft Recycle Bin! Using your trusty mouse you must
 shoot the buggers before they can destroy your files! Some will steal them
 from their home directories and take them back to their security hole. Others
 will just eat them right there on the spot! See how long you and your computer
 can survive the onslaught!

Package: vis
Description-md5: 6e1ab220c67e6b6ac5b025208c1f18b0
Description-en: Modern, legacy free, simple yet efficient vim-like editor
 Vis aims to be a modern, legacy free, simple yet efficient editor
 combining the strengths of both vi(m) and sam. It extends vi's modal
 editing with support for multiple cursors/selections and combines it
 with sam's structural regular expression based command language.
 .
 As an universal editor it has decent Unicode support and should cope
 with arbitrary files including: large, binary or single-line ones.
 Syntax highlighting is provided using Parsing Expression Grammars which
 can be conveniently expressed using Lua in the form of LPeg.
 .
 The editor core is written in a reasonable amount of clean (your mileage
 may vary), modern and legacy free C code, enabling it to run in resource
 constrained environments. The implementation should be easy to hack
 on and encourage experimentation. There also exists a Lua API for
 in-process extensions.
 .
 Vis strives to be simple and focuses on its core task: efficient text
 management. Clipboard and digraph handling as well as a fuzzy file open
 dialog are all provided by independent utilities.
 .
 The intention is not to be bug for bug compatible with vi(m), instead
 the aim is to provide powerful editing features based on an elegant
 design and clean implementation.

Package: vish
Description-md5: 1ff94d10fb8689538eb5ea933ed849a4
Description-en: Commandline interface for Virtual Instrument Software Architecture
 The VISA API provides a common interface to test and measurement equipment
 that can be accessed via GPIB, USB or VXI-11 interfaces.
 .
 This package contains a commandline interface that can be used to send raw
 commands.

Package: visidata
Description-md5: 3d6656c06ef460e88fc242fcf904eb3b
Description-en: rapidly explore columnar data in the terminal
 VisiData is a multipurpose terminal utility for exploring, cleaning,
 restructuring and analysing tabular data. Current supported sources are
 TSV, CSV, fixed-width text, JSON, SQLite, HTTP, HTML, .xls, and .xlsx
 (Microsoft Excel).

Package: visolate
Description-md5: f9e0eb17ff4c14b1d48b68ef4b80aedd
Description-en: tool for engraving PCBs using CNCs
 Visolate reads the gerber files describing printed circuit boards and converts
 them into the G-code (RS-274 code) needed to engrave the layout into a board
 using a CNC machine. Precise renditions of the original layout can be created
 as well as voronoi fillings of the original layout, shortening the toolpath.

Package: visp-images-data
Description-md5: e267a459d49c8527726c39def862ad3f
Description-en: visual servoing library - dataset reference files
 ViSP standing for Visual Servoing Platform is a modular cross
 platform library that allows prototyping and developing applications
 using visual tracking and visual servoing technics at the heart of the
 researches done by Inria Lagadic team. ViSP is able to compute control
 laws that can be applied to robotic systems. It provides a set of visual
 features that can be tracked using real time image processing or computer
 vision algorithms. ViSP provides also simulation capabilities.
 .
 ViSP can be useful in robotics, computer vision, augmented reality and
 computer animation.
 .
 This package contains reference data for ViSP tests and examples.

Package: vistrails
Description-md5: 4b051384847e7daeffe63410b656d008
Description-en: Science visualisation workflow toolkit
 VisTrails is an open-source scientific workflow and provenance management
 system developed at the University of Utah that provides support for
 data exploration and visualization. Whereas workflows have been traditionally
 used to automate repetitive tasks, for applications that are exploratory
 in nature, such as simulations, data analysis and visualization, very little
 is repeated---change is the norm. As an engineer or scientist generates and
 evaluates hypotheses about data under study, a series of different, albeit
 related, workflows are created while a workflow is adjusted in an interactive
 process. VisTrails was designed to manage these rapidly-evolving workflows.

Package: visual-regexp
Description-md5: 80243dd611d10d943fabe60c7ee901f7
Description-en: Interactively debug regular expressions
 This Tcl script shows the result of running a regular expression,
 making debugging relatively easy.  It also assists in the construction
 of regular expressions.

Package: visualboyadvance
Description-md5: c6c80d7180fba5710f07f487a8cbc57c
Description-en: full featured Game Boy Advance emulator
 VisualBoyAdvance is a Game Boy Advance emulator that works with
 many ROMs that are publically available. It features save states
 (like those that are available in ZSNES), full screen support,
 joystick support, the all-important 'speedup emulation' key for
 impatient gamers, and a lot more.
 .
 It also contains many useful tools for Game Boy Advance developers,
 such as powerful GDB and gprof integration.
 .
 This package contains a version of VisualBoyAdvance compiled with
 the original GUI-less SDL interface.

Package: visualvm
Description-md5: a7eebccbff6602e49964e71b25c6d1c6
Description-en: All-in-One Java Troubleshooting Tool
 VisualVM is a visual tool integrating several commandline JDK tools
 and lightweight profiling capabilities. Designed for both production
 and development time use, it further enhances the capability of
 monitoring and performance analysis for the Java SE platform.
 .
 VisualVM is designed for
  - Application Developers: Monitor, profile, take thread dumps,
    browse heap dumps.
  - System Administrators: Monitor and control Java applications
    across the entire network.
  - Java Application Users: Create bug reports containing all the
    necessary information.

Package: vit
Description-md5: d861d70ecf43741471969a5b0a1a889b
Description-en: full-screen terminal interface for Taskwarrior
 A minimalistic Taskwarrior full-screen terminal interface with Vim key
 bindings. Vit display all reports and all of the basic Taskwarrior
 functionality. It support almost all commands, and thus serve as a fully
 functional replacement for VITtk.

Package: vitables
Description-md5: 9ae636bbabbe1c2243caee00f672588d
Description-en: graphical tool to browse and edit PyTables and HDF5 files
 ViTables is a component of the PyTables family. It is a graphical
 tool for browsing and editing files in both PyTables and HDF5
 formats.
 .
 ViTables capabilities include easy navigation through the data
 hierarchy, displaying of real data and its associated metadata, a
 simple, yet powerful, browsing of multidimensional data and much
 more.
 .
 One of the greatest strengths of ViTables is its ability to display
 very large tables. Tables with one thousand millions of rows (and
 beyond) are navigated stunningly fast and with very low memory
 requirements. So, if you ever need to browse very large tables, don't
 hesitate, ViTables is your choice.

Package: vite
Description-md5: d944677bd5e700bac7422367b391928c
Description-en: Efficient visual trace explorer
 ViTE is a powerful portable and open source profiling tool to visualize
 the behaviour of parallel applications. Thanks to its scalable design,
 ViTE helps programmers to efficiently analyze the performance of
 potentially large applications.
 .
 ViTE currently enables the visualisation of traces using the Pajé format
 (open format, see http://www-id.imag.fr/Logiciels/paje/) and has various
 functionalities such as exporting a view in a svg format to integrate
 the results easily in a report, or viewing statistics.

Package: vitetris
Description-md5: 77919737b981391b0829d7bd3caf91ab
Description-en: Virtual terminal *tris clone
 Vitetris is a terminal-based Tetris game. It can be played by one or two
 players, over the network or on the same keyboard.
 .
 Vitetris comes with more features and options than might be expected from
 a simple text mode game. Full input control, customizable appearance,
 netplay where both players can choose difficulty (level and height) --
 unless you must have sound (or just don't like Tetris), you won't be
 disappointed.
 .
 Rotation, scoring, levels and speed should resemble the early Tetris games
 by Nintendo, with the addition of a short lock delay which makes it
 possible to play at higher levels. (It does not make it possible to
 prevent the piece from ever locking by abusing lock delay resets.)

Package: vitrage-api
Description-md5: 1fc725f8f65ddf0e26717231e7b38049
Description-en: OpenStack RCA as a Service - API server
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package provides the REST API server.

Package: vitrage-collector
Description-md5: 8cfdeda7d2a18bbb64bec0232f06fbbd
Description-en: OpenStack RCA as a Service - Collector service
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package provides Vitrage Collector service.

Package: vitrage-common
Description-md5: c37a122a1f2cc1f9f001c274355d5916
Description-en: OpenStack RCA as a Service - metapackage
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package is a metapackage that helps installing all of Vitrage at once.

Package: vitrage-doc
Description-md5: 0eeb216c4cba3e1605de90cf6a8c7fef
Description-en: OpenStack RCA as a Service - documentation
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package contains the documentation.

Package: vitrage-graph
Description-md5: bb452c79331a368f46228fefbc357a0a
Description-en: OpenStack RCA as a Service - Graph service
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package provides Vitrage Graph service.

Package: vitrage-ml
Description-md5: f3d207375d20438231bea1965d54f1a8
Description-en: OpenStack RCA as a Service - Machine Learning Service
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package provides Vitrage Machine learning service.

Package: vitrage-notifier
Description-md5: 61965cab3343d453d128ff2e6c50172e
Description-en: OpenStack RCA as a Service - Notifier Service
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package provides Vitrage Notifier service.

Package: vitrage-persistor
Description-md5: 54910fc393d991dbf47fdc38bba6a113
Description-en: OpenStack RCA as a Service - Persistor Service
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package provides Vitrage Persistor Service.

Package: vitrage-snmp-parsing
Description-md5: d42be402f4538d72231b8a6d23c8441b
Description-en: OpenStack RCA as a Service - SNMP Parsing Service
 Vitrage provides a root cause analysis service, which is used for analyzing
 the topology and alarms of the cloud, and providing insights about it.
 .
 This package provides Vitrage SNMP Parsing Service.

Package: vizigrep
Description-md5: 7769f640c619d2ab297f9e90c6fd7236
Description-en: graphical file contents search tool using regular expressions
 Vizigrep is a graphical user interface for performing fast and powerful
 searches inside a group of files.  Simply tell Vizigrep which folder you want
 to search and what you want to search for and it will quickly find all
 occurrences of your search string within the files and folders you have
 selected.  The search results are annotated to show you the lines containing
 your search term and color coding is used to help you quickly lock your eyes on
 to what you are searching for. If simple search strings are not powerful enough
 Vizigrep also understands regular expressions.

Package: vkd3d-demos
Description-md5: e11280ba9c1d371146d8895856e4e490
Description-en: Direct3D 12 to Vulkan translation - demos
 3D graphics library that provides an API similar to Direct3D 12 built on top
 of the Vulkan library.
 .
 This package provides a few demos that use the vkd3d libraries.

Package: vkeybd
Description-md5: 0d2d394ff06dcef1fd62dcb0f7ece48a
Description-en: Virtual MIDI Keyboard
 This program allows you to use the Linux AWE32 driver, OSS MIDI sequencer,
 or ALSA MIDI sequencer and provides "virtual" keyboard (the musical type),
 which can be "played" using the keyboard or mouse under X11.

Package: vlan
Description-md5: 514da32d98bf421e8b5c27f0f43242eb
Description-en: ifupdown integration for vlan configuration
 This package contains integration scripts for configuring vlan
 interfaces via ifupdown (/etc/network/interfaces).
 For further details see vlan-interfaces(5) man page in this package.
 .
 Please note that these integration scripts only supports a limited
 set of interface naming schemes, which means you might be better
 off with writing your own ifupdown hooks using ip(route2)
 directly in /etc/network/interfaces rather than using this package.
 .
 It currently also ships a wrapper script for backwards compatibility
 called vconfig, that replaces the old deprecated vconfig program
 with translations to ip(route2) commands.
 This compatibility shim might be dropped in future releases, please
 use ip(route2) commands directly.
 .
 Your kernel needs vlan support for this to work, see "modinfo 8021q".

Package: vlc
Description-md5: d96da6b991aa50928533b16fb11b3136
Description-en: multimedia player and streamer
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 VLC can also be used as a streaming server that duplicates the stream it
 reads and multicasts them through the network to other clients, or serves
 them through HTTP.
 .
 VLC has support for on-the-fly transcoding of audio and video formats, either
 for broadcasting purposes or for movie format transformations. Support for
 most output methods is provided by this package, but features can be added by
 installing additional plugins:
  * vlc-plugin-access-extra
  * vlc-plugin-fluidsynth
  * vlc-plugin-jack
  * vlc-plugin-notify
  * vlc-plugin-samba
  * vlc-plugin-skins2
  * vlc-plugin-svg
  * vlc-plugin-video-splitter
  * vlc-plugin-visualization

Package: vlc-bin
Description-md5: 95daedaad0e0f925e24501938e2ec38b
Description-en: binaries from VLC
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains the VLC's binaries.

Package: vlc-data
Description-md5: ba0fd1de1b53a2231022b0fff46b7280
Description-en: common data for VLC
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains HTTP interface files, and Lua scripts for VLC media
 player.

Package: vlc-l10n
Description-md5: d5f59a6e5491d82c57f09523ce6360ec
Description-en: translations for VLC
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains localisations for VLC media player.

Package: vlc-plugin-access-extra
Description-md5: eaf30470cf6ffebe05b13b2d1afe473a
Description-en: multimedia player and streamer (extra access plugins)
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains additional access plugins.

Package: vlc-plugin-base
Description-md5: 103cb021db8e172eaddc03ab0f07e203
Description-en: multimedia player and streamer (base plugins)
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains most plugins which are shipped in more specialied plugin
 packages.

Package: vlc-plugin-bittorrent
Description-md5: db5d6209f22d25e23629083aa11da2e1
Description-en: Bittorrent plugin for VLC
 VLC is the VideoLAN project's media player.
 .
 This plugin adds support streaming content using bittorrent, either by
 specifying a torrent file, or by providing a magnet link.

Package: vlc-plugin-fluidsynth
Description-md5: 987977beb56246deecee22dce0cacc10
Description-en: FluidSynth plugin for VLC
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This plugin adds support for playing MIDI file via the FluidSynth software
 synthesizer to the VLC media player.

Package: vlc-plugin-jack
Description-md5: c4d2077c730fa32d1421efc81f3cd32c
Description-en: JACK audio plugins for VLC
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 These plugins add support for JACK to the VLC media player. To
 activate the audio output module, use the `--aout jack' flag or
 select the `jack' audio output plugin from the preferences menu.
 For the jack input, use `vlc jack://channels=...:ports=...'

Package: vlc-plugin-notify
Description-md5: 41baa12a2792d2ee801f697598ebd183
Description-en: LibNotify plugin for VLC
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This plugin adds support for libnotify track change notification to the
 VLC media player.

Package: vlc-plugin-qt
Description-md5: b369abb6f163cfcb1cbc0011ed281468
Description-en: multimedia player and streamer (Qt plugin)
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains VLC's Qt interface.

Package: vlc-plugin-samba
Description-md5: 23d77f3b1665060dfae5f3cef669e2b3
Description-en: Samba plugin for VLC
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This plugin adds support to access Samba shares from the VLC media player.

Package: vlc-plugin-skins2
Description-md5: 9743a8607505f1e6a575e18a62e6e280
Description-en: multimedia player and streamer (Skins2 plugin)
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains VLC's Skins2 interface.

Package: vlc-plugin-svg
Description-md5: 0849a5da981125db8b598c3d38646f16
Description-en: SVG plugin for VLC
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This plugin allows you to render SVG graphics on top of the video. It is a text
 renderer, and must be activated through the '--text-renderer svg' option. When
 sent non-SVG data, it will convert it to SVG using a template that can be
 specified by the svg-template-file option.

Package: vlc-plugin-video-output
Description-md5: 304efe8a3dcaf595b6085adb45316c41
Description-en: multimedia player and streamer (video output plugins)
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains the video output plugins.

Package: vlc-plugin-video-splitter
Description-md5: 782ab557a4f2909b787f11ea7b8081ae
Description-en: multimedia player and streamer (video splitter plugins)
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains the video splitter plugins.

Package: vlc-plugin-visualization
Description-md5: e6dede36648e266b9d6e6bb8dd2556c8
Description-en: multimedia player and streamer (visualization plugins)
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains the visualization plugins.

Package: vlevel
Description-md5: 74a591d5e7010eea8dd3c85c8ab08c40
Description-en: Levelling audio filter as command-line tool and LADSPA/JACK plugin
 VLevel keeps your music from making you jump out of your seat, and it
 keeps you from having to fiddle with the volume constantly. It's
 different from other dynamic compressors because it looks ahead. You
 can think of VLevel as someone who knows your music by heart, and
 turns the volume up during quiet passages, but smoothly turns it back
 down when he knows a loud part is coming. It's great for making CDs
 to listen to in your car, or to play background music on your
 computer.
 .
 VLevel is currently a LADSPA plugin, a JACK plugin and a command-line
 filter. You can use it with many Linux audio programs including XMMS,
 GStreamer, GLAME, GDAM, and others, and you can use it in shell
 scripts to level your music before burning a CD.
 .
 The commandline filter is also useful for levelling podcasts before
 (encoding and) publishing.

Package: vlock
Description-md5: 5b12c8014ccd91f18df2454c332a5e1e
Description-en: Virtual Console locking program
 vlock either locks the current terminal (which may be any kind of terminal,
 local or remote), or locks the entire virtual console system, completely
 disabling all console access. vlock gives up these locks when either the
 password of the user who started vlock or the root password is typed.
 .
 vlock is interesting on multi user system environments. vlock allows users
 to lock their sessions while other users can still use the same system via
 other virtual terminal.
 .
 vlock is very useful for users security.

Package: vlogger
Description-md5: bb749eccea9410defae9f0189e573eaf
Description-en: virtual web logfile rotater/parser
 Vlogger is a little piece of code borned to handle dealing with large
 amounts of virtualhost logs.  It's bad news that apache can't do this
 on its own.  Vlogger takes piped input from apache, splits it off to
 separate files based on the first field.  It uses a file handle cache
 so it can't run out of file descriptors.  It will also start a new
 logfile every night at midnight, and maintain a symlink to the most
 recent file.  For security, it can drop privileges and do a chroot to
 the logs directory.

Package: vm
Description-md5: 164af13ec3672187475aa63d0d910f3f
Description-en: mail user agent for Emacs
 VM (View Mail) is an Emacs subsystem that allows UNIX mail to be read
 and disposed of within Emacs.  Commands exist to do the normal things
 expected of a mail user agent, such as generating replies, saving
 messages to folders, deleting messages and so on.  There are other
 more advanced commands that do tasks like bursting and creating
 digests, message forwarding, and organizing message presentation
 according to various criteria.  With smtpmail in modern emacsen, you do
 not need an MTA locally in order to use VM.
 .
 This package does not cater to XEmacs, since vm comes (by default)
 bundled in with XEmacs.

Package: vmatch
Description-md5: 9d62b1c9a56eb4258d2ebcd77f428bd9
Description-en: large scale sequence analysis software
 Vmatch is a versatile software tool for efficiently solving large scale
 sequence matching tasks. It subsumes the software tool REPuter, but is
 much more general, with a very flexible user interface, and improved space
 and time requirements.

Package: vmdb2
Description-md5: e16ea9087912df6e6fa120f7246e631a
Description-en: creator of disk images with Debian installed
 vmdb2 will be a successor of vmdebootstrap. It will create disk images
 for virtual machines and real hardware, with partitioning, and a boot
 loader, and a Debian installation.

Package: vmdk-stream-converter
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: vmfs-tools
Description-md5: 0cdea504f6c5a9da0070eeda2f011352
Description-en: Tools to access VMFS filesystems
 VMFS is a clustered filesystem designed to store virtual machine disks for
 VMware ESX or ESXi Server hosts. This set of tools allows to access these
 filesystems from some other non ESX/ESXi host for e.g. maintenance tasks.
 .
 Only read access is available at the moment, but write access is under
 works. Multiple extents are supported.
 .
 The VMFS can be accessed with a command line tool or mounted through a
 userspace filesystem (FUSE-based).

Package: vmfs6-tools
Description-md5: 2b7e7c664f42a3b931917a9adac24e38
Description-en: Tools to access VMFS6 filesystems
 VMFS6 is a clustered filesystem designed to store virtual machine disks for
 VMware ESX or ESXi Server hosts. This set of tools allows to access these
 filesystems from some other non ESX/ESXi host for e.g. maintenance tasks.
 .
 Only read access is available at the moment, but write access is under
 works. Multiple extents are supported.
 .
 The VMFS can be accessed with a command line tool or mounted through a
 userspace filesystem (FUSE-based).
 .
 This version of VMFS Tools is designed to work with VMFS6, it is not
 guaranteed to support VMFS5; if you need VMFS5 support, then use the
 vmfs-tools package instead.

Package: vmg
Description-md5: 877e3e79f1a664031a1d387d42fcdf08
Description-en: Virtual Magnifying Glass
 Virtual Magnifying Glass is designed for visually-impaired and others who need
 to magnify a part of the screen. Unlike most similar programs it does not open
 a separate window for the magnification but instead puts a movable magnifying
 glass on screen.

Package: vmpk
Description-md5: 598c1ef3c5d1e40960482ab6f235272f
Description-en: Virtual MIDI Piano Keyboard
 Virtual MIDI Piano Keyboard is a MIDI events generator and
 receiver. It doesn't produce any sound by itself, but can be used to
 drive a MIDI synthesizer (either hardware or software, internal or
 external). You can use the computer's keyboard to play MIDI notes,
 and also the mouse. You can use the Virtual MIDI Piano Keyboard to
 display the played MIDI notes from another instrument or MIDI file
 player.

Package: vmtouch
Description-md5: c9b73eefccb21061ac2ddc8354e11219
Description-en: Portable file system cache diagnostics and control
 vmtouch is a tool for learning about and controlling the file system cache of
 unix and unix-like systems. It can discover which files the OS is caching, tell
 the OS to cache or evict some files or regions of files, lock files into memory
 so the OS won't evict them, and more.

Package: vmware-nsx-common
Description-md5: 9fd089153221b488ae5fd557abfc2e74
Description-en: OpenStack virtual network service - VMWare NSX plugin config files
 Neutron provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (such as
 vNICs from Nova VMs). The Neutron API supports extensions to provide advanced
 network capabilities, including QoS, ACLs, and network monitoring.
 .
 This package provides the config files for the VMWare NSX plugin.

Package: vncsnapshot
Description-md5: 84e1bc947f573023a10586815b68680e
Description-en: A utility that takes JPEG snapshots from VNC servers
 VNC Snapshot is a command-line program for VNC. It will save a JPEG
 image of the VNC server's screen.
 .
 To use this you must be able to connect directly to the VNC server via
 a TCP connection.  It does not yet work for connections tunnelled over
 SSH.

Package: vnlog
Description-md5: 2ddacae068bdae0b90c35c7b4b209422
Description-en: Toolkit to read/write/manipulate whitespace-separated ASCII logs
 Vnlog (pronounced "vanillog") is a trivially-simple log format consisting of an
 ASCII table with comments and labelled fields. This package provides tools to
 process and manipulate such logs. The tools are:
 .
 vnl-filter selects a subset of the rows/columns in a vnlog and/or to
 manipulates the contents. This is effectively an awk wrapper.
 .
 vnl-sort, vnl-uniq, vnl-join, vnl-tail and vnl-ts are wrappers around the
 corresponding commandline tools
 .
 vnl-align aligns vnlog columns for easy interpretation by humans
 .
 vnl-make-matrix converts a one-point-per-line vnlog to a matrix of data

Package: vnstat
Description-md5: 08af52ede864e5ad37a762d616520683
Description-en: console-based network traffic monitor
 vnStat is a network traffic monitor for Linux. It keeps a log of
 daily network traffic for the selected interface(s). vnStat is not
 a packet sniffer. The traffic information is analyzed from the /proc
 filesystem, so vnStat can be used without root permissions.

Package: vnstati
Description-md5: 17a77d47fcbe480a23f93653659d15f0
Description-en: image output support for vnStat
 vnStati provides image output support for statistics collected using
 vnStat. The image file format is limited to png. All basic outputs
 of vnStat are supported excluding live traffic features.
 The image can be outputted either to a file or to standard output.

Package: vobcopy
Description-md5: bf6298903b1c423e4f6f4eda3469627c
Description-en: Tool to copy DVD VOBs to hard disk
 Copies DVD .vob files to harddisk and merges them into file(s)
 with the name extracted from the DVD. Checks for sufficient free space
 on the destination drive and compares the copied size to the size on DVD
 in case something went wrong.
 .
 Can also mirror the DVD movie content and copy single
 specified files.

Package: vocproc
Description-md5: 66bfd50ed05920ee4d54bc36aa7662e0
Description-en: LV2 plugin for pitch shifting and vocoding
 VocProc is a LV2 plugin for pitch shifting (with or without formant
 correction), vocoding, automatic pitch correction and harmonizing
 of singing voice.
 .
 This package contains the vocproc LV2 plugin.

Package: voctomix
Description-md5: d49dab4eba1194249048bed7108ac38b
Description-en: Full-HD Software Live-Video-Mixer
 The Voctomix Project by the C3Voc (the Chaos Communication Congress' Video
 Operation Crew) is their software implementation of a Live-Video-Mixer.
 .
 It is written in Python using GStreamer and consists of three parts:
  - Voctocore, the videomixer core-process that does the actual video- and
    audio crunching.
  - Voctogui, a GUI implementation in GTK+ controlling the core's functionality
    and giving visual feedback of the mixed video.
  - Voctomix Example Scripts, a collection of tools and examples for talking
    to the core-process, feeding and receiving video-streams and controlling
    operations from scripts or command-line.
 .
 This package depends on all the components and also contains the
 documentation and example scripts.

Package: voctomix-core
Description-md5: f7870c2a8e711ceafe57e98d8892fc53
Description-en: Full-HD Software Live-Video-Mixer (Core)
 The Voctomix Project by the C3Voc (the Chaos Communication Congress' Video
 Operation Crew) is their software implementation of a Live-Video-Mixer.
 .
 This package contains the core component, Voctocore, the videomixer
 core-process that does the actual video- and audio crunching.

Package: voctomix-gui
Description-md5: 75be51766d4173896a5916fd13e42ab6
Description-en: Full-HD Software Live-Video-Mixer (GUI)
 The Voctomix Project by the C3Voc (the Chaos Communication Congress' Video
 Operation Crew) is their software implementation of a Live-Video-Mixer.
 .
 This package contains the GUI component, Voctogui, a GUI implementation in
 GTK+ controlling the core's functionality.

Package: voctomix-outcasts
Description-md5: 98016eb5dc94ef761ab429904078120a
Description-en: Additional scripts to be used with Voctomix
 A collection of helper scripts for Voctomix, which have not been included
 in Voctomix itself.
 .
 This package does not depend on any of Voctomix, as it can be installed on
 any client machine that connects to a another machine running voctomix-core.

Package: vodovod
Description-md5: 6fcf63d43e6ef485057ed941ffb6ef4d
Description-en: puzzle game, you must lead the water to the storage tank
 Vodovod is a game similar to Pipe Mania and Pipe Dream, in which you have to
 lead the water from the house to the storage tank. You get a limited number
 of pipes on each level and need to combine them to lead the water from the
 house at the top of the screen to the storage tank at the bottom. It has
 joystick/joypad support.

Package: vokoscreen
Description-md5: 6b4603d7f4c1a9241c1c17d8620adf64
Description-en: easy to use screencast creator
 vokoscreen can be used to record educational videos, live recordings
 of browser, installation, videoconferences, etc. You can capture an
 alone video or video and sound (via ALSA or PulseAudio).
 .
 The program is very simple and uses a minimalistic GUI. It also can
 capture your face using a webcam in the same time, so this feature is
 especially suitable for screencasting purposes. Another feature is the
 direct capture from IEEE1394 digital cameras.
 .
 This program uses the ffmpeg features and saves the capture in some
 formats, as AVI, MP4, FLV and MKV for video and MP3 for audio.

Package: vokoscreen-ng
Description-md5: 7ab9a3b8c1476f39c21a4cea2b0a23f9
Description-en: easy to use screencast creator
 vokoscreenNG can be used to record videos from computers screen, webcams,
 external cameras, etc. This graphical tool can produce educational videos,
 live recordings of browser navigation, tutorials of installations, record
 videoconferences, etc. You can capture an alone video or video and sound.
 .
 This program can save files in some formats and use some codecs for video
 and audio:
 .
   - Video: x264, VP8, H.264 (Intel GPU) and MPEG-2 (Intel GPU).
   - Audio: vorbis, flac, opus and mp3.
   - File : mkv, webm, avi, mp4 and mov.
 .
 vokoscreenNG is a modern full replacement for vokoscreen, both written by
 Volker Kohaupt. The main difference is that vokoscreen uses ffmpeg as base,
 while vokoscreenNG has been rewritten from scratch and is based in GStreamer.

Package: voltron
Description-md5: 7c637fa745a06514878ed7944aa1560d
Description-en: Hacky debugger UI for hackers
 Voltron is an extensible debugger UI toolkit written in Python. It aims to
 improve the user experience of various debuggers (LLDB, GDB, VDB and WinDbg) by
 enabling the attachment of utility views that can retrieve and display data
 from the debugger host. By running these views in other TTYs, you can build a
 customised debugger user interface to suit your needs.

Package: volume-key
Description-md5: 9c9035dc4e20520c1db8fb01fd6db057
Description-en: Utility for manipulating storage encryption keys and passphrases
 This package provides a command-line tool for manipulating storage volume
 encryption keys and storing them separately from volumes.
 .
 The main goal of the software is to allow restoring access to an encrypted
 hard drive if the primary user forgets the passphrase.  The encryption key
 back up can also be useful for extracting data after a hardware or software
 failure that corrupts the header of the encrypted volume, or to access the
 company data after an employee leaves abruptly.

Package: volumecontrol.app
Description-md5: d3dff7e2d149c8521a07f419839552e8
Description-en: Audio mixer for GNUstep
 VolumeControl is a GNUstep program for adjusting the audio mixer on
 systems that use the ALSA or OSS APIs. It allows the sound level,
 left/right speakers, muting for master, PCM, bass, and treble levels
 to be controlled.

Package: volumeicon-alsa
Description-md5: 7636021b82373d8809bb7d8beddc79a0
Description-en: systray volume icon for alsa
 This is a lightweight volume control that sits in your systray. This
 version is compatible with ALSA.
 Features:
    * Change volume by scrolling on the systray icon
    * Ability to choose which channel to control
    * Configurable stepsize (percentage of volume
        increase/decrease per scrollwheel step)
    * Several icon themes (with gtk theme as default)
    * Configurable external mixer
    * Volume slider

Package: voms-clients
Description-md5: eacdd2800088a25529950a7118c5e694
Description-en: Virtual Organization Membership Service Clients
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package provides command line applications to access the VOMS
 services.

Package: voms-clients-java
Description-md5: f8f21b72391e1fb70cec40778f30f85c
Description-en: Virtual Organization Membership Service Java clients
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package provides the Java version of the command line clients for VOMS:
 voms-proxy-init, voms-proxy-destroy and voms-proxy-info.

Package: voms-dev
Description-md5: a260aae2606b46b82d54082016854d22
Description-en: Virtual Organization Membership Service C++ API Development Files
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package provides header files for programming with the VOMS libraries.

Package: voms-doc
Description-md5: 1d6cfb79ce5b7ed54f329eaebe3ae4eb
Description-en: Virtual Organization Membership Service Documentation Files
 Documentation for the Virtual Organization Membership Service.

Package: voms-mysql-plugin
Description-md5: 433b773c7d560ea9ed9f4980be233d28
Description-en: VOMS server plugin for MySQL
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package offers the MySQL implementation for the VOMS server.

Package: voms-server
Description-md5: 0671120c04669fa8fdad74b013f2bf63
Description-en: Virtual Organization Membership Service Server
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package provides the VOMS service.

Package: vonsh
Description-md5: 28b3569831cfcf97778ffbe05990e1bf
Description-en: Snake-like game with line of people running around to get more food
 Player has to pick up foodstuffs appearing randomly on the board,
 and avoid colliding with obstacles and the line itself. With each picked up
 food item, line grows in length, new obstacles appear,
 and difficulty explodes ;). Game is based on libSDL.

Package: vor
Description-md5: 98fa65af184ee6d608e037404d180e61
Description-en: 2D action game about dodging rocks in space
 VoR is a simple, fast-paced action game that will challenge your reflexes. It
 has excellent gameplay, great physics, good graphics, and a retro/synthoid
 thumpy beat to help put you in the mood for old-school 2D gaming.

Package: vorbis-tools
Description-md5: 645372e1792c85c9ec06c90372ff2026
Description-en: several Ogg Vorbis tools
 vorbis-tools contains oggenc (an encoder), ogg123 (a playback tool),
 ogginfo (displays ogg information), oggdec (decodes ogg files), vcut
 (ogg file splitter), and vorbiscomment (ogg comment editor).
 .
 ogg123 can play both Ogg Vorbis and FLAC audio streams.

Package: vorbisgain
Description-md5: bca6b88d93ad356d94059229d26baef1
Description-en: add Replay Gain volume tags to Ogg Vorbis files
 vorbisgain calculates a percieved volume of an Ogg Vorbis file using
 the Replay Gain algorithm. It then stores a per-track and per-album
 volume adjustment in the file's tags, to let songs play back  with a
 uniform volume. The process is non-destructive and does not change the
 audio data at all.
 .
 Many audio players in Debian support reading these tags, including XMMS,
 Quod Libet, amaroK, Muine, and Rhythmbox.

Package: voro++
Description-md5: b2496274f848eae5a88ce4fafc4fe768
Description-en: library for the computation of the Voronoi diagram
 Voro++ is a software library for carrying out three-dimensional computations
 of the Voronoi tessellation. A distinguishing feature of the Voro++ library is
 that it carries out cell-based calculations, computing the Voronoi cell for
 each particle individually. It is particularly well-suited for applications
 that rely on cell-based statistics, where features of Voronoi cells (eg.
 volume, centroid, number of faces) can be used to analyze a system of
 particles.

Package: voro++-dev
Description-md5: 157b0c59ed1ffdd3206c93154da3fe7b
Description-en: library for the computation of the Voronoi diagram (dev files)
 Voro++ is a software library for carrying out three-dimensional computations
 of the Voronoi tessellation. A distinguishing feature of the Voro++ library is
 that it carries out cell-based calculations, computing the Voronoi cell for
 each particle individually. It is particularly well-suited for applications
 that rely on cell-based statistics, where features of Voronoi cells (eg.
 volume, centroid, number of faces) can be used to analyze a system of
 particles.
 .
 This package contains the static library and header file to compile programs
 against the library.

Package: voro++-examples
Description-md5: e8307417bf55bbc30b026097aacf6b2c
Description-en: library for the computation of the Voronoi diagram (examples)
 Voro++ is a software library for carrying out three-dimensional computations
 of the Voronoi tessellation. A distinguishing feature of the Voro++ library is
 that it carries out cell-based calculations, computing the Voronoi cell for
 each particle individually. It is particularly well-suited for applications
 that rely on cell-based statistics, where features of Voronoi cells (eg.
 volume, centroid, number of faces) can be used to analyze a system of
 particles.
 .
 This package contains numerous example codes which use the library.

Package: voronota
Description-md5: 406a01a6edd5b72aa5a80fb00f49f33e
Description-en: Voronoi diagram-based tool to find atom contacts
 The analysis of macromolecular structures often requires a comprehensive
 definition of atomic neighborhoods. Such a definition can be based on the
 Voronoi diagram of balls, where each ball represents an atom of some van
 der Waals radius. Voronota is a software tool for finding all the
 vertices of the Voronoi diagram of balls. Such vertices correspond to the
 centers of the empty tangent spheres defined by quadruples of balls.
 Voronota is especially suitable for processing three-dimensional
 structures of biological macromolecules such as proteins and RNA.
 .
 Since version 1.2 Voronota also uses the Voronoi vertices to construct
 inter-atom contact surfaces and solvent accessible surfaces. Voronota
 provides tools to query contacts, generate contacts graphics, compare
 contacts and evaluate quality of protein structural models using
 contacts.

Package: votca-csg
Description-md5: d665eaefb4002c3b2219e77ebaba4345
Description-en: VOTCA's coarse-graining engine
 VOTCA is a software package which focuses on the analysis of molecular
 dynamics data, the development of systematic coarse-graining techniques as
 well as methods used for simulating microscopic charge transport in
 disordered semiconductors.
 .
 csg is Votca's coarse-graining engine.

Package: votca-csg-scripts
Description-md5: 38c05c2256c8422a5e5298e0577add68
Description-en: VOTCA's coarse-graining scripts
 VOTCA is a software package which focuses on the analysis of molecular
 dynamics data, the development of systematic coarse-graining techniques as
 well as methods used for simulating microscopic charge transport in
 disordered semiconductors.
 .
 This package contains architecture independent coarse-graining scripts.

Package: votca-csg-tutorials
Description-md5: 57f47dad417c60c08b4418f46fcbc419
Description-en: VOTCA's coarse-graining tutorials
 VOTCA is a software package which focuses on the analysis of molecular
 dynamics data, the development of systematic coarse-graining techniques as
 well as methods used for simulating microscopic charge transport in
 disordered semiconductors.
 .
 This package contains architecture independent tutorials..

Package: votca-tools
Description-md5: 8faa9a672c36504796c167b9955d89fc
Description-en: VOTCA's tools library, helper binaries
 VOTCA is a software package which focuses on the analysis of molecular
 dynamics data, the development of systematic coarse-graining techniques as
 well as methods used for simulating microscopic charge transport in
 disordered semiconductors.
 .
 VOTCA's tools library provides functions shared between all VOTCA packages.
 .
 This package contains helper binaries and shell RC file fragments.

Package: vowpal-wabbit
Description-md5: c504a867f6af312f4a199155e5d24098
Description-en: fast and scalable online machine learning algorithm
 Vowpal Wabbit is a fast online machine learning algorithm. The core
 algorithm is specialist gradient descent (GD) on a loss function
 (several are available). VW features:
  - flexible input data specification
  - speedy learning
  - scalability (bounded memory footprint, suitable for distributed
    computation)
  - feature pairing

Package: vowpal-wabbit-dbg
Description-md5: d729747f82339115be8b4572df9d67eb
Description-en: fast and scalable online machine learning algorithm - debug files
 Vowpal Wabbit is a fast online machine learning algorithm. The core
 algorithm is specialist gradient descent (GD) on a loss function
 (several are available). VW features:
  - flexible input data specification
  - speedy learning
  - scalability (bounded memory footprint, suitable for distributed
    computation)
  - feature pairing
 .
 This package contains debug symbols for the binaries shipped by vowpal-wabbit
 packages.

Package: vowpal-wabbit-doc
Description-md5: 8aee7daae566997b038f841c51eddf06
Description-en: fast and scalable online machine learning algorithm - documentation
 Vowpal Wabbit is a fast online machine learning algorithm. The core
 algorithm is specialist gradient descent (GD) on a loss function
 (several are available). VW features:
  - flexible input data specification
  - speedy learning
  - scalability (bounded memory footprint, suitable for distributed
    computation)
  - feature pairing
 .
 This package contains examples (tests) for vowpal-wabbit.

Package: vpb-driver-source
Description-md5: 79ea29067bf146206eda764ff8015a36
Description-en: Voicetronix telephony hardware driver source
 This package provides the source code for the Voicetronix kernel modules.
 Kernel source or headers are required to compile these modules.
 .
 To build a binary module package to suit your running kernel, do:
 m-a a-i vpb-driver

Package: vpb-utils
Description-md5: 71c012e221d5dcbecb4d9ba19b373150
Description-en: Voicetronix telephony hardware userspace tools
 This package provides the userspace support utilities for Voicetronix
 telephony hardware.

Package: vpcs
Description-md5: 890cc380064ec919ce3685816e6191f1
Description-en: virtual PC simulator for Dynamips
 VPCS can simulate up to 9 PCs. You can ping/traceroute to
 them or ping/traceroute to other hosts/routers from the VPCS.
 .
 VPCS is not intended to be a fully functional PC, but is
 a tool to be used together with Dynamips.

Package: vpnc
Description-md5: 058d74051c6bacb97d49087730615395
Description-en: Cisco-compatible VPN client
 vpnc is a VPN client compatible with cisco3000 VPN Concentrator (also
 known as Cisco's EasyVPN equipment). vpnc runs entirely in userspace
 and does not require kernel modules except for the tun driver to
 communicate with the network layer.
 .
 It supports most of the features needed to establish connection to the
 VPN concentrator: MD5 and SHA1 hashes, 3DES and AES ciphers, PFS and
 various IKE DH group settings.

Package: vpnc-scripts
Description-md5: 6ee77656b06ce0fb192272e3a77214eb
Description-en: Network configuration scripts for VPNC and OpenConnect
 This package contains scripts required to configure routing and name
 services when invoked by the VPNC or OpenConnect Cisco VPN clients.
 The primary script automatically configures network routes and name
 servers for the active VPN connection. It also provides hooks for
 executing custom actions at various stages of the connection and
 disconnection process.
 .
 An alternate replacement script is also provided that contains the VPN
 in a network namespace which is accessible via SSH.

Package: vprerex
Description-md5: 882275c1de9338a9aa7c938b75b6fe98
Description-en: Qt interface to prerex, a course prerequisite chart editor
 vprerex provides a Qt interface to prerex by integrating the prerex editor
 with a PDF viewer. This allows conveying coordinates of course boxes, arrows
 and background points back to prerex through the use of the clipboard.
 .
 prerex is an editor for creating esthetically pleasing course prerequisite
 charts using the TikZ LaTeX package.
 .
 Course prerequisite charts allow students to easily determine which
 prerequisites, corequisites and recommended prerequisites they need for a
 given course and their time slots. Course prerequisite charts also highlight
 the courses required by a degree.
 .
 prerex is used by departments in several universities, including Queen's
 University and Suffolk University.

Package: vpx-tools
Description-md5: 41cd6c10fc838ed5f4e38bb18b5f43df
Description-en: VP8 and VP9 video codec encoding/decoding tools
 VP8 and VP9 are open video codecs, originally developed by On2 and released
 as open source by Google Inc. They are the successor of the VP3 codec,
 on which the Theora codec was based.
 .
 This package contains the commandline tools vpxdec and vpxenc.

Package: vramsteg
Description-md5: 2e75f3b48650bf27dd1700e803e94595
Description-en: provide shell scripts with a full-featured progress indicator
 Vramsteg provide progress bar that can display elapsed time, remaining time
 estimate, percentage completed, and labels.  The progress bar can have
 user-specified colors, and be rendered in multiple styles.

Package: vrfy
Description-md5: 9677ffed1dca42f7840d6dc5a928cda4
Description-en: verify electronic mail addresses
 'vrfy' is a tool to verify e-mail addresses and mailing lists.  In its
 simplest form it takes an address "user@domain", figures out the MX hosts
 for "domain", and issues the SMTP command VRFY at the primary MX host
 (optionally all), or at "domain" itself if no MX hosts exist.  Without
 "domain" it goes to "localhost".
 .
 More complex capabilities are:
  * recursively expanding forward files or mailing lists,
  * detecting mail forwarding loops,
  * understanding full-blown RFC822 address specifications,
  * syntax checking that can be carried out either locally or remotely,
  * various options provided to exploit alternative protocol suites
    if necessary, and to print many forms of verbose output.
 .
 Obvious limitations exist (mostly due to a lousy VRFY command
 implementation on some hosts), but otherwise, it works pretty well.

Package: vrfydmn
Description-md5: 68e533c4b4d5ec9a6ffcef3712762483
Description-en: Milter for ensuring email message from matches mail from
 This milter is used with postfix or sendmail to either reject mail from/body
 from mismatches or to fix up the body from to match mail from.  This is
 intended for applications where local constraints on domains in use are
 required for sending mail.

Package: vrms
Description-md5: bdcf5add93c8b67b995f9310f6c389b5
Description-en: virtual Richard M. Stallman
 The vrms program will analyze the set of currently-installed packages
 on a Debian-based system, and report all of the packages from the
 non-free and contrib trees which are currently installed.
 .
 In some cases, the opinions of Richard M. Stallman and the Debian project
 have diverged since this program was originally written.  In such cases, this
 program follows the Debian Free Software Guidelines.
 .
 Note that vrms is not limited to Debian systems only (which means that
 it also works with Debian-derived distributions such as Ubuntu). It is
 also not limited to Linux-based systems.

Package: vrrender
Description-md5: 7ff65ef5075ff2ff5b92073a446b431a
Description-en: DICOM viewer
 Medical image viewer featuring negatoscope, multi-planar
 reconstruction, and volume rendering.
 .
 Many image formats are handled such as DICOM, mhd,
 inr.gz, vtk, vti,... Segmentations meshes can also
 be imported from DICOM and vtk formats, and visualized
 in mixed rendering with images.
 .
 Tools are provided to measure distances of
 structures in the image and to place landmarks.
 .
 Last, VRRender can also connect directly to a PACS, and
 then request, download or upload DICOM files.

Package: vrrpd
Description-md5: c3cea7fc70457d4ba7c273fb9890be53
Description-en: Virtual Router Redundancy Protocol user-space implementation
 This package provides an implementation of VRRPv2 as specified in rfc2338.
 It runs in the Linux kernel's userspace (as root).
 .
 VRRP can be used to setup high-availability services in Debian since two
 machines can use the same (virtual) IP address which is transfered upon
 failover. Some kernel features need to be enabled (please read the FAQ)

Package: vsd2odg
Description-md5: ce5b08db4273ed26a2473b11646bcf5f
Description-en: Visio to OpenDocument converter
 This package contains a utility for converting Visio files
 into OpenDocument draw documents.

Package: vsdump
Description-md5: 70785505d7e31e445abb6ea805bf8b8a
Description-en: Convert Microsoft Visio diagrams .vsd, .vss
 The VSdump utility converts Microsoft Visio 2000, 2002 and 2003
 diagrams to XML.

Package: vsearch
Description-md5: 3d5b978a4fdae86c2694b5e3775ea5a8
Description-en: tool for processing metagenomic sequences
 Versatile 64-bit multithreaded tool for processing metagenomic sequences,
 including searching, clustering, chimera detection, dereplication, sorting,
 masking and shuffling
 .
 The aim of this project is to create an alternative to the USEARCH tool
 developed by Robert C. Edgar (2010). The new tool should:
 .
  - have a 64-bit design that handles very large databases and much more
    than 4GB of memory
  - be as accurate or more accurate than usearch
  - be as fast or faster than usearch

Package: vspline-dev
Description-md5: ed996eaafc92bceab413396b2c4a9aa2
Description-en: header-only C++ template library for uniform b-spline processing
 vspline aims to be as fast as possible, it's main focus is processing
 of bulk raster data, especially images. vspline can handle
 .
  -  splines over real and integer data types and their aggregates
  -  a reasonable selection of boundary conditions
  -  spline degree up to 45
  -  arbitrary dimensionality of the spline
  -  using multithreaded code
  -  using the CPU's vector units if possible
 .
 On the evaluation side it provides
 .
  -  evaluation of the spline at point locations in the defined range
  -  evaluation of the spline's derivatives
  -  specialized code for degrees 0 and 1 (nearest neighbour and n-linear)
  -  mapping of arbitrary coordinates into the defined range
  -  evaluation of nD arrays of coordinates ('remap' function)
  -  coordinate-fed remap function ('index_remap')
  -  functor-based remap, aka 'transform' functions
  -  functor-based 'apply' function
  -  restoration of the original data from the coefficients
 .
 To produce maximum performance, vspline has a fair amount of collateral code,
 and some of this code may be helpful beyond vspline:
 .
  -  range-based multithreading with a thread pool
  -  functional constructs using vspline::unary_functor
  -  forward-backward n-pole recursive filtering
  -  separable convolution
  -  efficient access to the b-spline basis functions
  -  extremely precise precalculated constants
 .
 data handling is done with vigra data types, using vigra::MultiArrayView
 for handling strided nD arrays, and vigra::TinyVector for small aggregates.
 vspline optionally uses horizontal vectorization with Vc, but without Vc
 present, it attempts to trigger the compiler's autovectorization by producing
 deliberately vector-friendly inner loops.
 bulk data processing is automatically multithreaded.

Package: vstream-client
Description-md5: a04bc54ea30188b367bf174d93eecdf1
Description-en: library and utility to fetch media streams from a TIVO
 Vstream-client is a fork off of the vstream library from the
 tivo-mplayer project. It has been stripped down to just the
 client code, and includes an example client application. If
 you have vserver installed on your Tivo (which most tivo hackers
 do), then you can use this simple client to stream .ty files
 from it. MPlayer includes support for this library. This
 library can be used by MPlayer/MEncoder to watch/re-encode tivo
 streams live over a network.

Package: vstream-client-dev
Description-md5: f7f5b119e185a9ce5d7465a62b749828
Description-en: Development headers for vstream-client
 Vstream-client is a fork off of the vstream library from the
 tivo-mplayer project. It has been stripped down to just the
 client code, and includes an example client application. If
 you have vserver installed on your Tivo (which most tivo hackers
 do), then you can use this simple client to stream .ty files
 from it. MPlayer includes support for this library. This
 library can be used by MPlayer/MEncoder to watch/re-encode tivo
 streams live over a network.
 .
 This package contains the headers for development.

Package: vtable-dumper
Description-md5: 55db935368e8e7459e12c0affaec9050
Description-en: tool to list content of virtual tables in a C++ shared library
 It is intended for developers of software libraries and maintainers of Linux
 distributions who are interested in ensuring backward binary compatibility.
 .
 A virtual function table is a mechanism used in a programming language to
 support dynamic dispatch (or run-time method binding). Virtual tables
 are part of the ABI of a C++ shared library.

Package: vtgamma
Description-md5: ae29807f93a27b2729b15a69fbfe76d0
Description-en: gamma correction for terminals
 This tool can apply gamma correction to Linux virtual terminals and many
 graphical terminals.  The correction can use either a single gamma value,
 or separate ones for each color component (R/G/B).

Package: vtgrab
Description-md5: 1325ea7429f859c3c81fd386ed8ccde7
Description-en: A VNC like console monitoring
 This is a utility for monitoring the screen of another machine.
 It only works for text consoles: for X, the idea is to use VNC
 instead.

Package: vtk-dicom-tools
Description-md5: 5f26199a2971fcf800d26585333a79cf
Description-en: DICOM for VTK - tools
 This package contains a set of classes for managing DICOM
 files and metadata from within VTK, and some utility programs
 for interrogating and converting DICOM files.
 .
 Command line tools

Package: vtk7
Description-md5: 47fb3c3c6da56f9a2c018a4a0f29b12d
Description-en: Binaries for VTK7
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides binaries for VTK7

Package: vtk7-doc
Description-md5: b5033851e71487383f9729340f6070c3
Description-en: VTK class reference documentation
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides documentation for VTK7

Package: vtk7-examples
Description-md5: 05ea97b5de966cdaadac7810c0944a08
Description-en: VTK examples
 The Visualization Toolkit (VTK) is an open-source software system
 for 3D computer graphics, image processing, and visualization.
 .
 This package provides examples for VTK7

Package: vtkdata
Description-md5: ec87f73efda13ba0ba3fb27da2a8d3c8
Description-en: Example data for VTK
 The Visualization Toolkit comes with example C++, Tcl and
 Python code. These examples reference data files installed
 by this package.
 .
 During building of VTK packages this data is used in the
 testing stages.

Package: vtprint
Description-md5: 85c8f951d409d5ba0b20c4c34f96718a
Description-en: Prints to term emulator via ANSI codes
 This program allows users to print from a remote UNIX host to a
 printer attached to their local terminal or emulator, which makes it
 great for printing files at home, etc.  (It only does text files,
 though.)

Package: vttest
Description-md5: eaa214a2af2873e573781c6455127ac3
Description-en: tool for testing VT100 compatibility of terminals
 This package provides a program designed to test the functionality of
 a VT100 terminal (or emulator). It also supports analysis of VT220,
 VT420, and xterm.
 .
 The program is menu-driven and contains full on-line operating
 instructions. It tests both display (escape sequence) and keyboard
 handling.

Package: vtun
Description-md5: 2b930a6853ab1d9c769f3cde99e8a891
Description-en: virtual tunnel over TCP/IP networks
 VTun is the easiest way to create virtual tunnels over TCP/IP networks
 with traffic shaping and compression.
 .
 It supports IP, PPP, SLIP, Ethernet and other tunnel types.
 .
 VTun is easily and highly configurable, it can be used for various
 network tasks.
 .
 VTun requires the universal TUN/TAP kernel module which can be found at
 http://vtun.sourceforge.net/tun/index.html or in the 2.4 and newer Linux
 kernels.
 .
 Note: This program includes an "encryption" feature intended to protect the
 tunneled data as it travels across the network. However, the protocol it uses
 is known to be very insecure, and you should not rely on it to deter anyone
 but a casual eavesdropper. See the included README.Encryption file for more
 information.

Package: vtwm
Description-md5: 0ee5f9e412b126b89b6ed7f75b24821f
Description-en: Virtual Tab Window Manager
 VTWM is an enhanced version of the Tab window manager (TWM) that adds many
 features -- primarily a virtual desktop, meaning that what is currently on
 screen is just a portion of a larger workspace.  What portion of the virtual
 desktop that is displayed, and whatever windows might be visible within it,
 are simple point-and-click operations within a scaled representation of the
 workspace.

Package: vulkan-tools
Description-md5: c14a2931eed9160e792718fedb00082a
Description-en: Miscellaneous Vulkan utilities
 This package provides utilities for Vulkan, including vulkaninfo.

Package: vulkan-utils
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: vulkan-validationlayers
Description-md5: 6e6350dd28222834789e98371c98e950
Description-en: Vulkan validation layers
 This project provides the Khronos official Vulkan validation layers.
 .
 This package includes the loader library.

Package: vulkan-validationlayers-dev
Description-md5: b9807aa2da346a032ffef95ebe9ae938
Description-en: Vulkan validation layers -- development files
 This project provides the Khronos official Vulkan validation layers.
 .
 This package includes files needed for development.

Package: vuls
Description-md5: 2afbe0d54a55f10aa2223efbd68f0938
Description-en: Vulnerability scanner for Linux/FreeBSD, agentless, written in Go
 This is scanner which checks the package inventory against a local copy of
 the National Vunerabilities Database (NVD) of vulnerabilities according to
 their CVE (Common Vulnerabilities and Exposures) indentifiers. The backends
 supports a couple of OSs (Debian, RHEL, CentOS, Amazon Linux). Scanning servers
 over the network is possible.

Package: vulture
Description-md5: 6cfae03d460b1c4118c359ae1797bda5
Description-en: scans for unused ("dead") code in a Python program
 Vulture uses static code analysis to find unused classes, functions and
 variables in Python code. This helps to cleanup and find errors in
 programs. However, the user has to be aware that the static method has
 its limitations.

Package: vxi-dev
Description-md5: 8519f0d116da9c73fd4091f3a0009106
Description-en: Development files for the VXI-11 RPC protocol
 The VXI-11 protocol is used for communication with test and measurement
 equipment. It provides a "virtual" representation of the command, event
 and abort channels specified in the LXI protocol.
 .
 This package contains the headers and static libraries.

Package: vym
Description-md5: 861431496bc6e92eded57e3eedb854e4
Description-en: mindmapping tool
 Vym is a mind mapping program. It is useful to organize thoughts and
 do structure work. In addition to that it has a lot of helpful
 shortcuts.
 .
 A mindmap is a multicolored and image centered radial diagram that
 represents semantic or other connections between portions of learned
 material.  For example, it can graphically illustrate the structure
 of a thesis outline, a project plan, or the government institutions
 in a state.  Mindmaps have many applications in personal, family,
 educational, and business situations.  Possibilities include
 note-taking, brainstorming, summarizing, revising and general
 clarifying of thoughts.

Package: vzctl
Description-md5: ab06a7914d75d2eb3d6b53d756a30466
Description-en: server virtualization solution - control tools
 OpenVZ is an Operating System-level server virtualization solution, built
 on Linux. OpenVZ creates isolated, secure virtual private servers on a single
 physical server enabling better server utilization and ensuring that
 applications do not conflict. Each VPS performs and executes exactly like a
 stand-alone server; VPSs can be rebooted independently and have root access,
 users, IP addresses, memory, processes, files, applications, system libraries
 and configuration files.
 .
 This package contain the control tool to manipulate the virtual servers.
 .
 OBSERVE! You need a Linux kernel patched with openvz support.

Package: vzdump
Description-md5: 91d775ffd3dd4248d62dfda35c42319e
Description-en: OpenVZ backup scripts
 This package contains the vzdump script to backup and restore openvz images.

Package: vzquota
Description-md5: 66b1cc3ad2a5d7691be6af1b917a4b68
Description-en: server virtualization solution - quota tools
 OpenVZ is an Operating System-level server virtualization solution, built
 on Linux. OpenVZ creates isolated, secure virtual private servers on a single
 physical server enabling better server utilization and ensuring that
 applications do not conflict. Each VPS performs and executes exactly like a
 stand-alone server; VPSs can be rebooted independently and have root access,
 users, IP addresses, memory, processes, files, applications, system libraries
 and configuration files.
 .
 This package contain the control tool to manipulate quota for virtual servers.

Package: vzstats
Description-md5: 876865725a709462cf8b708790b80841
Description-en: OpenVZ component to gather statistics to improve the project
 This is an OpenVZ component to gather OpenVZ usage and hardware statistics,
 in order to improve the project.
 .
 Statistics gathered and reported include the following:
 1 Hardware info.
  - CPU, disk, memory/swap
 2 Software info.
  - host distribution, versions of OpenVZ components, kernel version
 3 Containers info.
  - number of containers existing/running/using ploop/using vswap
  - OS templates of containers
 For more details, check the scripts in /usr/libexec/vzstats/ directory.
 .
 All submissions are anonymous and are not including IP or MAC addresses,
 hostnames etc. Global data are available at http://stats.openvz.org
 .
 For more details, see:
  * http://openvz.org/vzstats
  * http://stats.openvz.org

Package: w-scan
Description-md5: 73c6a77b6ba405abcb60f0a991ab8098
Description-en: Channel scanning tool for DVB and ATSC channels
 w_scan scans for DVB-S/S2, DVB-T, DVB-C and ATSC channels and creates a
 channels.conf, that can be used directly by the Linux Video Disc Recorder VDR.
 It is also possible to output channels in a format readable by the
 czap/tzap tools provided by linuxtv-dvb-zap, xine, totem, kaffeine or me-tv.
 .
 Unlike the scan utility in linuxtv-dvb-apps, there is no need to specify
 an initial transponder and w_scan automatically detects the DVB or ATSC
 card to be used.

Package: w1retap
Description-md5: 243154d29b9527c12a5a38b664e60474
Description-en: Data logger for 1-Wire weather sensors
 The w1retap package reads weather sensors on a 1-Wire bus and logs the
 retrieved data to the configured databases or files. It supports a number of
 different 1-Wire host bus adapters and 1-Wire sensors designed by Dallas
 Semiconductor Corp (now Maxim Integrated) and compatible sensors including
 those from AAG Electrónica and other hobby sensors.

Package: w1retap-doc
Description-md5: 891a9bd0e172116795758f70ed3b9849
Description-en: Data logger for 1-Wire weather sensors (docs)
 The w1retap package reads weather sensors on a 1-Wire bus and logs the
 retrieved data to the configured databases or files. It supports a number of
 different 1-Wire host bus adapters and 1-Wire sensors designed by Dallas
 Semiconductor Corp (now Maxim Integrated) and compatible sensors including
 those from AAG Electrónica and other hobby sensors.
 .
 This provides the documentation.

Package: w1retap-mongo
Description-md5: 1fb3f783b96120ebf757bbf20f04ab71
Description-en: Data logger for 1-Wire weather sensors (MongoDB plugin)
 The w1retap package reads weather sensors on a 1-Wire bus and logs the
 retrieved data to the configured databases or files. It supports a number of
 different 1-Wire host bus adapters and 1-Wire sensors designed by Dallas
 Semiconductor Corp (now Maxim Integrated) and compatible sensors including
 those from AAG Electrónica and other hobby sensors.
 .
 This provides the capability to use a MongoDB database for storage.

Package: w1retap-mysql
Description-md5: a36ceed3d6951731827ef65ac31dfbe3
Description-en: Data logger for 1-Wire weather sensors (MySQL plugin)
 The w1retap package reads weather sensors on a 1-Wire bus and logs the
 retrieved data to the configured databases or files. It supports a number of
 different 1-Wire host bus adapters and 1-Wire sensors designed by Dallas
 Semiconductor Corp (now Maxim Integrated) and compatible sensors including
 those from AAG Electrónica and other hobby sensors.
 .
 This provides the capability to use a MySQL database for storage.

Package: w1retap-odbc
Description-md5: 6c97d8e12c8b4daefe18b759a1026132
Description-en: Data logger for 1-Wire weather sensors (ODBC plugin)
 The w1retap package reads weather sensors on a 1-Wire bus and logs the
 retrieved data to the configured databases or files. It supports a number of
 different 1-Wire host bus adapters and 1-Wire sensors designed by Dallas
 Semiconductor Corp (now Maxim Integrated) and compatible sensors including
 those from AAG Electrónica and other hobby sensors.
 .
 This provides the capability to use a ODBC database for storage.

Package: w1retap-pgsql
Description-md5: 0ceb23241f76029bf045d08a6772dfc2
Description-en: Data logger for 1-Wire weather sensors (PostgreSQL plugin)
 The w1retap package reads weather sensors on a 1-Wire bus and logs the
 retrieved data to the configured databases or files. It supports a number of
 different 1-Wire host bus adapters and 1-Wire sensors designed by Dallas
 Semiconductor Corp (now Maxim Integrated) and compatible sensors including
 those from AAG Electrónica and other hobby sensors.
 .
 This provides the capability to use a PostgreSQL database for storage.

Package: w1retap-sqlite
Description-md5: 98135d3d928597268d13de7279d81082
Description-en: Data logger for 1-Wire weather sensors (SQLite plugin)
 The w1retap package reads weather sensors on a 1-Wire bus and data the
 retrieved data to the configured databases or files. It supports a number of
 different 1-Wire host bus adapters and 1-Wire sensors designed by Dallas
 Semiconductor Corp (now Maxim Integrated) and compatible sensors including
 those from AAG Electrónica and other hobby sensors.
 .
 This provides the capability to use a SQLite database for storage.

Package: w2do
Description-md5: 742d4c1e0577cdb6db0aa2fc1566baac
Description-en: simple text-based todo manager
 w2do is a simple to use yet efficient command-line todo manager
 written in Perl.
 .
 Features:
  * Well-arranged, fully filterable, plain text or coloured tabular
    output.
  * Support for group, due date and priority categories.
  * Capable of managing multiple todo lists.
  * Detailed statistics with visual progress representation.
  * Completely command driven and therefore suitable for usage in
    scripts.
  * Export to HTML 4.01 Strict via w2html utility.
  * Export to plain text via w2text utility.

Package: w3-dtd-mathml
Description-md5: 4bdc6ae54962f44734e3d3c134fcadca
Description-en: Mathematical Markup Language V2.0 DTD
 The Mathematical Markup Language (MathML) V2.0 is an XML
 application for describing mathematical notation
 and capturing both its structure and content.
 The docbook-mathml package allows you to generate output
 documents from source files that use MathML markup.
 .
 Includes a prototype extension of the XHTML 1.1 DTD
 that incorporates MathML 2.0.

Package: w3c-linkchecker
Description-md5: 7c0ba59382077004056402b7cff7892a
Description-en: tool to verify the links in a web page are still valid
 The checklink tool is a program that reads an HTML or XHTML document,
 extracts a list of anchors and links and checks that no anchor is defined twice
 and that all the links are dereferenceable, including the fragments. It warns
 about HTTP redirects, including directory redirects, and can check recursively
 a part of a web site. The program can be used either as a command line tool or
 as a CGI script. The official site is available as
 http://validator.w3.org/checklink.

Package: w3c-markup-validator
Description-md5: 4af78262802a527f1142883ca70faa1f
Description-en: W3C Markup Validator
 The W3C Markup Validator is a CGI script which lets you enter the URL of a web
 page which will be then checked against a validating SGML parser for
 conformance to official W3C recommendations. Pages can also be uploaded.
 .
 These are the same scripts that are available on the W3C web site,
 http://validator.w3.org/.

Package: w3c-sgml-lib
Description-md5: a65daa080fe011a31a94de229d9b8acb
Description-en: w3.org DTD and catalog files
 This package consists of all the definition files used by the
 W3C Markup Validator. It consists of DTDs and modules, entity files
 and XML and SGML catalogs. The catalogs are registered with the root
 catalogs.

Package: w3cam
Description-md5: 69245ae954036a02f69947aa3afe7171
Description-en: a simple CGI to retrieve images from video4linux device
 w3cam is a simple CGI to retrieve images from video4linux device.
 In other words this program will only run on Linux machines
 which support a video4linux-device.
 .
 w3cam supports a plain mode and a gui mode. In the gui mode a html
 with a form is supplied to change some parameters with the mouse

Package: w3m-el
Description-md5: a73bf1631793b968b0674ea427792bca
Description-en: simple Emacs interface of w3m
 Emacs-w3m is an interface program of w3m, a pager with WWW capability.
 It can be used as a lightweight WWW browser on emacsen.
 .
 To use the optional features shimbun (interface with web newspapers),
 w3m-namazu (search files with Namazu), w3m-perldoc (view perl documents),
 etc., install the required packages flim, namazu2, perl-doc, etc.

Package: w3m-el-snapshot
Description-md5: 7d41827d286dd9d7a0825f74c7f7af57
Description-en: simple Emacs interface of w3m (development version)
 Emacs-w3m is an interface program of w3m, a pager with WWW capability.
 It can be used as a lightweight WWW browser on emacsen.
 .
 To use the optional features shimbun (interface with web newspapers),
 w3m-namazu (search files with Namazu), w3m-perldoc (view perl documents),
 etc., install the required packages flim, namazu2, perl-doc, etc.
 .
 This package provides a development snapshot version of emacs-w3m.

Package: w3m-img
Description-md5: d9733e0fd594b5491fc8db98e1e4b768
Description-en: inline image extension support utilities for w3m
 w3m-img provides some utilities to support inline images for w3m
 on terminal emulator in X Window System environments and Linux
 framebuffer.

Package: w9wm
Description-md5: 1d8d547754738e1487de139363bc1238
Description-en: enhanced window manager based on 9wm
 w9wm is a small window manager. It can be used to imitate the
 appearance expected from a plan9 conforming desktop.
 .
 It shares most of its appearance with the window manager 9wm,
 from which it descends. It provides some additional features
 such as support for virtual screens as well as keyboard bindings.

Package: wabt
Description-md5: c60655af7f47f03860e79fe5d4b5b9ec
Description-en: WebAssembly Binary Toolkit
 WABT (pronounced: "wabbit") is a suite of tools for WebAssembly, including:
 .
  * wat2wasm: translate from WebAssembly text format to the WebAssembly binary
    format
  * wasm2wat: the inverse of wat2wasm, translate from the binary format back
    to the text format (also known as a .wat)
  * wasm-objdump: print information about a wasm binary. Similar to objdump.
  * wasm-interp: decode and run a WebAssembly binary file using a stack-based
    interpreter
  * wat-desugar: parse .wat text form as supported by the spec interpreter
    (s-expressions, flat syntax, or mixed) and print "canonical" flat format
  * wasm2c: convert a WebAssembly binary file to a C source and header
 .
 These tools are intended for use in (or for development of) toolchains or
 other systems that want to manipulate WebAssembly files. Unlike the
 WebAssembly spec interpreter (which is written to be as simple, declarative
 and "speccy" as possible), they are written in C/C++ and designed for easier
 integration into other systems. Unlike Binaryen these tools do not aim to
 provide an optimization platform or a higher-level compiler target; instead
 they aim for full fidelity and compliance with the spec (e.g. 1:1 round-trips
 with no changes to instructions).

Package: wadc
Description-md5: 247a896258b3c81ec00f60a660532a3c
Description-en: programming environment for creating Doom maps
 WadC (Wad Compiler) is an Integrated Development Environment and
 functional programming language for developing Doom-engine maps.
 It can be used to generate complex levels which can be saved in
 Doom's WAD format.
 .
 The IDE includes a text-editor component; a debug output
 pane and a 2D drawing pane which previews the current
 script's output. Also included is an experimental command line
 interface, wadccli.
 .
 An external nodes builder (such as glbsp) is required before
 the resulting WAD file can be played by a doom-engine.

Package: waffle-utils
Description-md5: 7967386f38817ad1d0637c15cc9308e8
Description-en: Waffle library (utilities)
 A library for selecting an OpenGL API and window system at runtime
 .
 This package contains waffle utilities:
  * wflinfo: Creates an OpenGL context and prints information about
             the created context.

Package: wafw00f
Description-md5: 148fa4fd6738b1894460c655f60165c6
Description-en: identify and fingerprint Web Application Firewall products
 This package identifies and fingerprints Web Application Firewall (WAF)
 products using the following logic:
 .
  - Sends a _normal_ HTTP request and analyses the response; this identifies a
    number of WAF solutions.
  - If that is not successful, it sends a number of (potentially malicious)
    HTTP requests and uses simple logic to deduce which WAF it is.
  - If that is also not successful, it analyses the responses previously
    returned and uses another simple algorithm to guess if a WAF or security
    solution is actively responding to the attacks.

Package: wah-plugins
Description-md5: 6aa27c81c80efe7215ac3fe788e8ee50
Description-en: auto-wah LADSPA plugin
 This LADSPA plugin is the combination of a 'wah' pedal and
 a simple envelope follower.
 .
 Controls are:
  - Freq: the resonance frequency of wah filter.
    This would normally be controlled using a
    midi pedal.
  - Mix: crossfades between dry and wet signal, the
    first is the original input signal and the latter
    is the pure output of the resonant filter amplified
    by 18 dB.
 .
 The three remaining parameters control the envelope
 follower. This produces a control signal that is
 added to the 'Freq' setting.
  - Drive: input gain to the envelope follower (so
    this gain is not in the signal path).
  - Decay: controls the decay time of the envelope.
  - Range: controls how much the envelope is allowed
    to modify the basic frequency.

Package: wait-for-it
Description-md5: 66b3d0bfd565d688c2702aee6a036453
Description-en: script that will wait on the availability of a host and TCP port
 wait-for-it is a pure bash script that will wait on the availability
 of a host and TCP port. It is useful for synchronizing the spin-up of
 interdependent services, such as linked docker containers. Since it
 is a pure bash script, it does not have any external dependencies.

Package: wajig
Description-md5: 5d8ef56dc48e8baf67b749bb203996a2
Description-en: unified package management front-end for Debian
 A command-line wrapper around apt, apt-cache, dpkg, aptitude, and more.
 It's goal is to ease package management by unifying the main functions
 of these tools from one interface.
 .
 For a short guide, run this command:
 $ wajig help

Package: wallch
Description-md5: 8004ce1ca1dc196ede2ffea19fca4ecf
Description-en: wallpaper changer
 Wallch is a wallpaper changer for keeping your desktop
 fresh and new. It supports the following Desktop Environments:
 Gnome (with or without Unity integration), LXDE, XFCE and Mate.
 .
 Some random features:
  - Live Website - set as wallpaper any webpage you wish
  - Live Earth - a live picture of the earth
  - Picture Of The Day - taken from wikipedia, changing daily
  - Wallpaper Clocks - wallpapers that tell you the time
  - Folder Monitoring

Package: wallstreet
Description-md5: c4e9b1c77b1c2bc645ee7e651d7dcce5
Description-en: fill your console with Wall Street-like news and stats
 This utility will split your console into a multiple panes of news
 and statistics, like any good computer screen on Wall Street.

Package: wamerican-huge
Description-md5: 7b9e8c1686b63ee9ccfc218dd6bd1eb9
Description-en: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english-huge
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wamerican-large;
 nothing prevents you installing both (and others) at the same time.
 .
 There are also -small and standard versions of this word list,
 and there are wbritish* and wcanadian* packages as well.

Package: wamerican-insane
Description-md5: 2ef4d887ab1e548ed5cacf2a749a8479
Description-en: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english-insane
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wamerican-huge,
 and possibly contains invalid words (as well as words that are very
 uncommon). Nothing prevents you installing both (and others) at the
 same time.
 .
 There are also -small and standard versions of this word list,
 and there are wbritish* and wcanadian* packages as well.

Package: wamerican-large
Description-md5: 41b875a0e51934c13cde74f932a1a742
Description-en: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english-large
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a larger list than the one installed by wamerican; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -small and -huge version of this word list,
 and there are wbritish* and wcanadian* packages as well.

Package: wamerican-small
Description-md5: 1c81151a87971c46e958626cff3c1389
Description-en: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english-small
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a smaller list than the one installed by wamerican; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -large and -huge version of this word list,
 and there are wbritish* and wcanadian* packages as well.

Package: wand-doc
Description-md5: 883c4f09d39c40964f2c5292a9e1801e
Description-en: Python interface for ImageMagick library - documentation
 Wand is a ctypes-based simple ImageMagick binding for Python. It
 doesn't cover all functionalities of MagickWand API currently.
 .
 Wand provides Pythonic and modern interfaces, good documentation,
 binding through ctypes (which works on PyPy) and installation using
 pip or easy_install.
 .
 This package includes documentation for Wand.

Package: wannier90
Description-md5: ef212d111426465b57485a537703f7b6
Description-en: Maximally Localized Wannier Functions - executables
 Wannier90 is an electronic-structure software computing
 maximally-localized Wannier functions (MLWF). It works on top of other
 electronic-structure software, such as Abinit, FLEUR, and PwSCF.
 .
 This package provides Wannier90 executables.

Package: wannier90-data
Description-md5: d0681639c3b3bed50debce2896bd23a2
Description-en: Maximally Localized Wannier Functions - documentation and examples
 Wannier90 is an electronic-structure software computing
 maximally-localized Wannier functions (MLWF). It works on top of other
 electronic-structure software, such as Abinit, FLEUR, and PwSCF.
 .
 This package provides Wannier90 documentation, examples and a limited set of
 pseudo-potentials.

Package: wapiti
Description-md5: b13c5cbe215f396866461fbe6e26610d
Description-en: web application vulnerability scanner
 Wapiti allows you to audit the security of your web applications.
 It performs "black-box" scans, i.e. it does not study the source code of the
 application but will scan the web pages of the deployed web applications,
 looking for scripts and forms where it can inject data.
 Once it gets this list, Wapiti acts like a fuzzer, injecting payloads to see
 if a script is vulnerable.
 .
 Wapiti can detect the following vulnerabilities:
  - Database Injection (PHP/ASP/JSP SQL Injections and XPath Injections)
  - Cross Site Scripting (XSS) reflected and permanent
  - File disclosure detection (local and remote include, require, fopen,
    readfile...)
  - Command Execution detection (eval(), system(), passtru()...)
  - XXE (Xml eXternal Entity) injection
  - CRLF Injection
  - Search for potentially dangerous files on the server (thank to the Nikto db)
  - Bypass of weak htaccess configurations
  - Search for copies (backup) of scripts on the server
  - Shellshock
  - DirBuster like
  - Server Side Request Forgery (through use of an external Wapiti website)

Package: wapua
Description-md5: 4294bcb2d97fe4b3b02c29ae77543301
Description-en: Web browser for WAP WML pages
 wApua is a web browser for browsing WAP (Wireless Application
 Protocol) pages written in the Wireless Markup Language (WML;
 versions 1.1 and 1.2 are supported). Its main purpose is to test or
 surf WAP pages locally or over HTTP and HTTPS without connecting with
 a WAP capable mobile phone, which may be expensive or impossible,
 i.e. in case of WAP pages on the local filesystem.
 .
 wApua is written in Perl using libwww-perl and Perl/Tk.
 .
 Included in the package is the command line front-end wbmp2xbm to
 wApua's internal converter for decoding WAP Wireless Bitmaps (WBMP)
 into X Bitmaps (XBM).

Package: warmux
Description-md5: 44b653125a7fb5cf32db1967b7898462
Description-en: turn-based artillery game on 2D maps
 WarMUX is a free game in the genre of "turn-based artillery games"
 like Scorched Earth or Worms.
 Several players can play together, either locally or over the network.
 It is also possible to compete against computer-driven teams.
 The teams are styled after the mascots of various free software projects.

Package: warmux-data
Description-md5: 5428def63b0796fa1fcc27a47371b51d
Description-en: data files for the WarMUX game
 WarMUX is a free game in the genre of "turn-based artillery games"
 like Scorched Earth or Worms.
 Several players can play together, either locally or over the network.
 It is also possible to compete against computer-driven teams.
 The teams are styled after the mascots of various free software projects.
 .
 This package contains data like maps and teams. If you want to play
 the game, you need to install the warmux package.

Package: warmux-servers
Description-md5: 7ad2b23cf03e084bcc15c657e0e17320
Description-en: stand alone server and game index server for WarMUX
 WarMUX is a free game in the genre of "turn-based artillery games"
 like Scorched Earth or Worms.
 Several players can play together, either locally or over the network.
 It is also possible to compete against computer-driven teams.
 The teams are styled after the mascots of various free software projects.
 .
 This package contains the stand alone server and the index server for
 WarMUX. You will need this package if you want to host a standalone
 WarMUX server or a WarMUX index server which can be always available
 for anyone who might want to play.
 .
 Note that if you want to play a network game, this package is not
 absolutely necessary since any of the game players can host the game.
 .
 If you want to play the game, you need to install the warmux package.

Package: warzone2100
Description-md5: ee73db23fc6dca6f64a0d1c5fe0e9235
Description-en: 3D real time strategy game
 In Warzone 2100 you command the forces of “The Project” in a battle to
 rebuild the world after mankind has almost been destroyed by nuclear
 missiles.
 .
 The game offers campaign, tutorial, multi-player and single-player skirmish
 modes.
 .
 An extensive tech tree with over 400 different technologies, combined with
 the unit design system, allows for a wide variety of possible units and
 tactics.
 .
 Warzone 2100 was created by Pumpkin Studios, published by Eidos Interactive
 and is currently developed by the Warzone 2100 Project.
 .
 The campaign video sequences are not yet distributed here, please see the
 Warzone 2100 website for details on downloading and installing them.

Package: warzone2100-data
Description-md5: fb42db9e66d269fff4feb4d6066ab0b1
Description-en: data files for warzone2100
 This package contains data, docs and translations for the warzone2100 game.
 .
 It contains the campaign and multiplayer data, several mods (including
 a more advanced AI, different terrain textures, new maps and scavengers)
 and documentation for the game.

Package: warzone2100-music
Description-md5: 304dc701449244bdff61933a4d08069f
Description-en: official music for warzone2100
 This package contains official music for the warzone2100 game.
 .
 It contains the background music for the menu and during the game,
 which varies between abstract, atmospheric and post-apocalyptic beats,
 a perfect soundscape for a heated battle with the enemy.

Package: watch-maildirs
Description-md5: eb53fdbb3f1b2450a6f9004c26cc0689
Description-en: mswatch helper tools to watch maildirs and trigger on-demand syncs
 mswatch is a command line Unix program that keeps two mailboxes
 synchronized more efficiently and with shorter delays than periodically
 synchronizing them.
 .
 mswatch watches mailboxes for changes to know when to initiate mailbox
 syncs. Using mswatch, your mail synchronization program can be called
 on demand instead of through polling, resulting in prompter mail
 delivery and lower bandwidth usage and server load.
 .
 mswatch is designed to work in conjunction with mailbox synchronization
 programs, and currently supports watching Linux (2.4+) hosted Maildirs
 (including Maildir folders, Maildir++). Future support for additional
 mailbox formats, especially for mbox, is planned.
 .
 This package provides the watch_maildirs and inputkill tools for use on
 imap server hosts.

Package: watchcatd
Description-md5: db81a4d901976cfdec650aa77ea40e7a
Description-en: Process monitoring daemon
 A bug or malicious attacks to machine can lock up a process, leading to a
 deadlock or an unexpected condition. For example: an Apache httpd with
 mod_(php|perl|lua|your_preferred_script_language) running a bad script. When
 the monitored process locks up, the watchcat helps killing him. It is the best
 thing to do.

Package: watchdog
Description-md5: 17b4e0320ddbd8b16a811e06f3115872
Description-en: system health checker and software/hardware watchdog handler
 The watchdog program writes to /dev/watchdog every ten seconds. If
 the device is opened but not written to within a minute, the machine
 will reboot. This feature is available when the kernel is built with
 "software watchdog" support (standard in Debian kernels) or if the
 machine is equipped with a hardware watchdog (in which case this
 package can also be used to "pet" it, resetting its timer).
 .
 The kernel software watchdog's ability to reboot will depend on the
 state of the machine and interrupts.
 .
 The watchdog tool itself runs several health checks and acts
 appropriately if the system is not in good shape.

Package: watcher-api
Description-md5: 8d69cd513f338877cde03aead419f3ba
Description-en: OpenStack Cloud Optimization as a Service - API server
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a complete
 optimization loop-including everything from a metrics receiver, complex event
 processor and profiler, optimization processor and an action plan applier.
 This provides a robust framework to realize a wide range of cloud optimization
 goals, including the reduction of data center operating costs, increased
 system performance via intelligent virtual machine migration, increased energy
 efficiency-and more!
 .
 This package contains the Watcher API server.

Package: watcher-applier
Description-md5: f04b574b7613006cf1d9cce18e6a5a2b
Description-en: OpenStack Cloud Optimization as a Service - Applier
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a complete
 optimization loop-including everything from a metrics receiver, complex event
 processor and profiler, optimization processor and an action plan applier.
 This provides a robust framework to realize a wide range of cloud optimization
 goals, including the reduction of data center operating costs, increased
 system performance via intelligent virtual machine migration, increased energy
 efficiency-and more!
 .
 This package contains the Watcher Applier.

Package: watcher-common
Description-md5: bdf77067f4d8da3b13bc29b026778453
Description-en: OpenStack Cloud Optimization as a Service - common files
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a complete
 optimization loop-including everything from a metrics receiver, complex event
 processor and profiler, optimization processor and an action plan applier.
 This provides a robust framework to realize a wide range of cloud optimization
 goals, including the reduction of data center operating costs, increased
 system performance via intelligent virtual machine migration, increased energy
 efficiency-and more!
 .
 This package contains common files and configuration that are
 needed by all the daemon packages of Watcher.

Package: watcher-decision-engine
Description-md5: 5264d25f321d430ad92e66939f0fb7bd
Description-en: OpenStack Cloud Optimization as a Service - Decision Engine
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a complete
 optimization loop-including everything from a metrics receiver, complex event
 processor and profiler, optimization processor and an action plan applier.
 This provides a robust framework to realize a wide range of cloud optimization
 goals, including the reduction of data center operating costs, increased
 system performance via intelligent virtual machine migration, increased energy
 efficiency-and more!
 .
 This package contains the Watcher decision engine.

Package: watcher-doc
Description-md5: 94fbf921fb371b06da4d84580f6eed00
Description-en: OpenStack Cloud Optimization as a Service - doc
 OpenStack Watcher provides a flexible and scalable resource optimization
 service for multi-tenant OpenStack-based clouds. Watcher provides a complete
 optimization loop-including everything from a metrics receiver, complex event
 processor and profiler, optimization processor and an action plan applier.
 This provides a robust framework to realize a wide range of cloud optimization
 goals, including the reduction of data center operating costs, increased
 system performance via intelligent virtual machine migration, increased energy
 efficiency-and more!
 .
 This package contains the documentation.

Package: watchman
Description-md5: a05fb8e08ff16c624acb92ff1d9c9d4c
Description-en: File watching service
 Watchman can be used to watch files and record when they actually change.
 It can be used to trigger actions (such as rebuilding assets) when
 matching files change. If you require to perform an action based on
 whether a file changes or not, watchman may be the tool you need. By
 giving watchman a pattern and an action to take when the files change,
 you can trigger an activity to be taken.

Package: watson
Description-md5: a6019e209deb3cbf1406313a6d7986f1
Description-en: Helps you monitoring your time (Python 3)
 Watson is here to help you monitoring your time.
 .
 You want to know how much time you are spending on your
 projects? You want to generate a nice report for your
 client?
 .
 Watson is here for you.
 .
 This package installs the binary for Python 3.

Package: wav2cdr
Description-md5: 0be0054e5d01a13550c03c4fbadcec2b
Description-en: Converts wav files into CD-ROM audio file format
 This program converts wav sound files into a format suitable for CD-ROMs, and
 can perform some editing functions like cutting or volume change. It is rather
 rudimentary, the wav file must have the same sampling parameters as CD audio.
 Runs both on big and little endian machines.

Package: wavbreaker
Description-md5: d6be0c2331e98e1be75e657c0ddd0235
Description-en: tool to split wave files into multiple chunks
 This application's purpose in life is to take a wave file and
 break it up into multiple wave files.  It makes a clean break
 at the correct position to burn the files to an audio cd
 without any dead air between the tracks.

Package: wavemon
Description-md5: aad0f0741918bd83b59633f3ea484026
Description-en: Wireless Device Monitoring Application
 Wavemon allows you to watch signal and noise levels, packet
 statistics, device configuration and network parameters of your
 wireless network hardware.

Package: wavesurfer
Description-md5: ac2d31a013a894ce49e2b77ec383621a
Description-en: Sound Manipulation Program
 Wavesurfer is a sound manipulation program, it has been designed to suit both
 novice and advanced users. WaveSurfer has a simple and logical user interface
 that provides functionality in an intuitive way and which can be adapted to
 different tasks.

Package: wavpack
Description-md5: 2f6dc5f8dea0e19dbb79d23cf1e0eaca
Description-en: audio codec (lossy and lossless) - encoder and decoder
 WavPack is a completely open audio compression format providing lossless,
 high-quality lossy, and a unique hybrid compression mode. Although the
 technology is loosely based on previous versions of WavPack, the new version
 4 format has been designed from the ground up to offer unparalleled
 performance and functionality.
 .
 This package contains an encoder and decoder for wavpack files.

Package: wavtool-pl
Description-md5: 3a542c47d0a0d09f1a7442fb1988210c
Description-en: tool to concatenate wav files
 wavtool-pl is a program to concatenate wav files one by one. Offset, length,
 and volume can be controlled by parameters. Normally used together with
 Cadencii editor as one of the UTAU cores. It is a drop-in replacement for the
 proprietary wavtool.exe in UTAU.

Package: waybar
Description-md5: 976a25225ecea58d93157b89198bbb40
Description-en: Highly customizable Wayland bar for Sway and Wlroots based compositors
 Waybar is a highly customizable wayland bar for Sway and Wlroots based
 compositors. It features applets displaying information about Sway
 (Workspaces, Binding mode, Focused window name), Local time, Battery,
 Network, Pulseaudio, Memory, Cpu load average and custom scripts

Package: wayland-scanner++
Description-md5: 294c9a118861dec7dffb43457ff71d7d
Description-en: wayland compositor infrastructure - C++ protocol code generator
 Wayland is a protocol for a compositor to talk to its clients as well
 as a C library implementation of that protocol. The compositor can be
 a standalone display server running on Linux kernel modesetting and
 evdev input devices, an X application, or a wayland client
 itself. The clients can be traditional applications, X servers
 (rootless or fullscreen) or other display servers.
 .
 This package ships the C++ code generator binary.

Package: waylandpp-dev
Description-md5: e58275cb53ef426153c008c7c2c85e53
Description-en: wayland compositor infrastructure - C++ development files
 Wayland is a protocol for a compositor to talk to its clients as well
 as a C library implementation of that protocol. The compositor can be
 a standalone display server running on Linux kernel modesetting and
 evdev input devices, an X application, or a wayland client
 itself. The clients can be traditional applications, X servers
 (rootless or fullscreen) or other display servers.
 .
 This package ships the C++ bindings for the development libraries.

Package: wbar
Description-md5: ad25f5707f2d27021521e25e583b2547
Description-en: light and fast launch bar
 Wbar is a quick launch bar. It's fast, light and cool eye-candy.
 It was initially developed for Fluxbox, then tested on WindowMaker, Xfce
 and GNOME 2 and it works very well with other window managers, too.
 It can run on top of desktops such as xfdesktop or Nautilus with the
 -above-desk switch.

Package: wbar-config
Description-md5: 8842d1a936715c0838d09e94d8776d43
Description-en: GUI tool to configure wbar
 Wbar-config is a lightweight and easy to use GUI application to customize wbar.
 Among other things it is capable of changing icon size and distance and to
 adjust the position of the launch bar on the screen. You can also tune
 different graphical effects like transparency, color filter, zoom and jump
 factor of wbar.

Package: wbox
Description-md5: d4e227ddc208e8ac7a1306a287a6eeb8
Description-en: HTTP testing tool and configuration-less HTTP server
 Wbox aims to help you having fun while testing HTTP related stuff.
 You can use it to perform many tasks, including the following.
  * Benchmarking how much time it takes to generate content
    for your web application.
  * Web server and web application stressing.
  * Testing virtual domains configuration without the need to alter
    your local resolver.
  * Check if your redirects are working correctly emitting
    the right HTTP code.
  * Test if the HTTP compression is working and if it is actually
    serving pages faster.
  * Use it as a configuration-less HTTP server to share files!
    (it's as simple as % wbox servermode webroot /tmp)

Package: wbritish-huge
Description-md5: 85050475ad6caaedcae3ec7137c18a8d
Description-en: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english-huge
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wbritish-large;
 nothing prevents you installing both (and others) at the same time.
 .
 There are also -small and standard versions of this word list,
 and there are wamerican* and wcanadian* packages as well.

Package: wbritish-insane
Description-md5: e7a363036baff6823e2161a110e8d0be
Description-en: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english-insane
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wbritish-huge,
 and possibly contains invalid words (as well as words that are very
 uncommon). Nothing prevents you installing both (and others) at the
 same time.
 .
 There are also -small and standard versions of this word list,
 and there are wamerican* and wcanadian* packages as well.

Package: wbritish-large
Description-md5: be8efae2ff01a71eb958ffe5a188e4ee
Description-en: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english-large
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a larger list than the one installed by wbritish; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -small and -huge versions of this word list,
 and there are wamerican* and wcanadian* packages as well.

Package: wbritish-small
Description-md5: 8ab966a95d331c273ffb4958a33b8fa0
Description-en: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english-small
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a smaller list than the one installed by wbritish; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -large and -huge version of this word list,
 and there are wamerican* and wcanadian* packages as well.

Package: wcalc
Description-md5: cd64192f333277a136ad852d059d2bc4
Description-en: Flexible command-line scientific calculator
 Wcalc is a very capable calculator. It has standard functions
 (sin, asin, and sinh for example, in either radians or degrees),
 many pre-defined constants (pi, e, c, etc.), support for using
 variables, "active" variables, a command history, hex/octal/binary
 input and output, unit conversions, embedded comments, and an
 expandable expression entry field. It evaluates expressions using
 the standard order of operations.
 .
 Wcalc uses intuitive expressions. For example, Wcalc will evaluate:
 5sin 4!-7*2(4%6)^2 to be -221.96631678

Package: wcanadian
Description-md5: fda6495f146f251df0601393fe5b6c65
Description-en: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 There are also -small, -large and -huge versions of this word list,
 and there are wbritish* and wamerican* packages as well.

Package: wcanadian-huge
Description-md5: bfd8c06960d059f1fc5366da18d81a68
Description-en: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english-huge
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wcanadian-large;
 nothing prevents you installing both (and others) at the same time.
 .
 There are also -small and standard versions of this word list,
 and there are wbritish* and wamerican* packages as well.

Package: wcanadian-insane
Description-md5: e652878c082fb4d6f3bd4e72b9b81fa4
Description-en: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english-insane
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wcanadian-huge,
 and possibly contains invalid words (as well as words that are very
 uncommon). Nothing prevents you installing both (and others) at the
 same time.
 .
 There are also -small and standard versions of this word list,
 and there are wbritish* and wamerican* packages as well.

Package: wcanadian-large
Description-md5: b77ae35c331c70394593e78cb46ea3c7
Description-en: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english-large
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a larger list than the one installed by wcanadian; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -small and -huge versions of this word list,
 and there are wbritish* and wamerican* packages as well.

Package: wcanadian-small
Description-md5: eb9a6c60140f80628daa7833a15ed46a
Description-en: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english-small
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a smaller list than the one installed by wcanadian; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -large and -huge versions of this word list,
 and there are wbritish* and wamerican* packages as well.

Package: wcc
Description-md5: 41e691a290228e0d770b3b92da5ca5fc
Description-en: Collection of tools to manipulate binaries and shared objects
 This tool permits one to manipulate binaries and shared liraries to reuse
 their API into an external usage, as a relocatable object that
 can be linked to a new project, or through an interpreter (wsh)
 to execute internal API directly.

Package: wcd
Description-md5: 35ee373395c5d7cfdbaee0562a36fa5b
Description-en: saves time typing when you want to change directories
 Wcd (Wherever Change Directory) is a program to quickly change directories.
 It saves time typing at the keyboard. One needs to type only a part of a
 directory name and wcd will jump to it. By default, wcd searches for a
 directory with a name that begins with what has been typed, but the use of
 wildcards is also fully supported.

Package: wcslib-dev
Description-md5: 1cd21a072f3048eec0af049ea3483691
Description-en: Header files and static library for wcslib and pgsbox
 WCSLIB is a C library, supplied with a full set of Fortran wrappers, that
 implements the "World Coordinate System" (WCS) standard in FITS (Flexible
 Image Transport System).
 .
 PGSBOX draws and labels a curvilinear coordinate grid.  The caller
 must provide a separate external function, NLFUNC, to define the
 non-linear coordinate transformation.
 .
 This package contains the static libraries and the C header files.

Package: wcslib-doc
Description-md5: f54112d675d3354ec1f209292d1a33d6
Description-en: API documentation for wcslib
 WCSLIB is a C library, supplied with a full set of Fortran wrappers, that
 implements the "World Coordinate System" (WCS) standard in FITS (Flexible
 Image Transport System).
 .
 This package contains the API documentation for WCSLIB.

Package: wcslib-tools
Description-md5: c7b665ce714f4acadedb6d3c86cdf14a
Description-en: Command line tools utilizing wcslib
 WCSLIB is a C library, supplied with a full set of Fortran wrappers, that
 implements the "World Coordinate System" (WCS) standard in FITS (Flexible
 Image Transport System).
 .
 This package contains the utility programs fitshdr, wcsware, HPXcvt,
 and wcsgrid that are included in wcslib.

Package: wcstools
Description-md5: 397c0d50d35b328f9d1349538875a220
Description-en: Handle the WCS of a FITS image
 WCSTools is a set of software utilities, written in C, which create,
 display and manipulate the world coordinate system of a FITS or IRAF
 image, using specific keywords in the image header which relate pixel
 position within the image to position on the sky.  Auxiliary programs
 search star catalogs and manipulate images.
 .
 This package contains the binary tools.

Package: wdisplays
Description-md5: e3f02d8a895d77300e2539d3f27b24be
Description-en: graphical application for configuring displays in Wayland compositors
 wdisplays is a graphical application for configuring displays in Wayland
 compositors. It borrows some code from kanshi. It should work in any compositor
 that implements the wlr-output-management-unstable-v1 protocol, including sway.
 The goal of this project is to allow precise adjustment of display settings in
 kiosks, digital signage, and other elaborate multi-monitor setups.

Package: wdm
Description-md5: 5a85c6764495a23860747413d5636bd4
Description-en: WINGs Display Manager - an xdm replacement with a WindowMaker look
 This is an xdm replacement based on the WINGs widget set.  WINGs is the
 NeXT-like widget set used by WindowMaker and some other programs.  wdm
 provides a nice and versatile login panel along with xdm functionality.

Package: weasyprint
Description-md5: 83561aa02eca4759e3335b82384f7beb
Description-en: Document factory for creating PDF files from HTML
 WeasyPrint is a smart solution helping web developers to create PDF
 documents. It turns simple HTML pages into gorgeous statistical reports,
 invoices, tickets, etc.
 .
 From a technical point of view, WeasyPrint is a visual rendering engine for
 HTML and CSS that can export to PDF and PNG. It aims to support web standards
 for printing. WeasyPrint is free software made available under a BSD license.
 .
 It is based on various libraries but *not* on a full rendering engine like
 WebKit or Gecko. The CSS layout engine is written in Python, designed for
 pagination, and meant to be easy to hack on.

Package: weather-util
Description-md5: e00629b345bc244673b5178778585eca
Description-en: command-line tool to obtain weather conditions and forecasts
 This utility is intended to provide quick access to current weather conditions
 and forecasts. Presently, it is capable of providing data for localities
 throughout the United States of America and some select locations globally by
 retrieving and processing METAR data from the National Oceanic and Atmospheric
 Administration and forecasts from the National Weather Service.
 .
 The weather-util-data package is recommended to enable searches for nearby
 sources of weather data--otherwise you have to look up and build configuration
 for all the data source URIs yourself.

Package: weather-util-data
Description-md5: e9b5556d63cc2c9a91a62fb7e449af2e
Description-en: optional correlation data for weather-util search feature
 This package provides precomputed lookup tables used by the weather utility in
 the weather-util package when performing searches for proximal weather data.
 The included tables consist of great arc positional correlations between
 IATA/FAA (International Air Transport Association and USA Federal Aviation
 Agency) airport codes, ICAO (International Civil Aviation Organization)
 weather station codes, NWS (USA National Weather Service) alert/forecast
 zones, FIPS (USA Federal Information Processing Systems) location codes, USA
 Census Bureau Gazeteer place names and ZCTAs (USA Census ZIP Code Tabulation
 Areas). These tables can also be automatically rebuilt from updated public
 sources using the weather utility in the weather-util package.

Package: webalizer
Description-md5: 456cc4c45310085fa8f9646f690d7d87
Description-en: web server log analysis program
 The Webalizer was designed to scan web server log files in various formats
 and produce usage statistics in HTML format for viewing through a browser.
 The Webalizer produces yearly, monthly, daily and hourly statistics.  In
 the monthly reports, various statistics may be produced to show overall
 usage, usage by day and hour, usage by visiting sites, URLs, user agents
 (browsers), referrers and country.  The Webalizer is highly configurable by
 use of either command line options or a configuration file, allowing the
 program to be tailored to individual needs easily.

Package: webapps-metainfo
Description-md5: e458bf3bd42849502232d21fef5184ad
Description-en: Metadata for web applications
 This package contains metadata describing web applications for the AppStream
 metadata generator.
 It makes certain web applications show up in graphical software managers
 such as GNOME Software and KDE Discover.
 .
 You usually do not want to install this package, as its sole purpose is
 to be a single and easily accessible source to edit the web applications
 shown in software centers on Debian and its derivatives.

Package: webauth-tests
Description-md5: 0e5140bac708c422e79e104ee21400a6
Description-en: Tests for the WebAuth authentication modules
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains test HTML files and Apache configuration
 fragments to test a new installation of the WebAuth modules.

Package: webauth-utils
Description-md5: 97b879d2a8f23ba36787fa26fdb612fc
Description-en: Command-line utilities for WebAuth authentication
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains an additional command-line tool to manipulate
 WebAuth keyrings.

Package: webauth-weblogin
Description-md5: 1a0827715039c925f52bffda6336179a
Description-en: Central login server for WebAuth authentication
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains the CGI-based WebLogin server that handles initial
 user authentication and building authentication tokens for WebAuth
 servers.  Only one WebLogin server is needed to support a site WebAuth
 installation.  It is normally run on the same system as the WebKDC.

Package: webcam
Description-md5: f48e4f77bafcfb0db0f6a055b027341a
Description-en: image grabber and uploader
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides an utility that captures images from a
 video4linux device such as bttv, annotates them and uploads them to a
 webserver in an endless loop using FTP or SSH.

Package: webcamd
Description-md5: b16a6570bceeef674adcc8cc89ce7052
Description-en: Capture images from video devices
 webcamd take images from your webcam and put it in your
 local web directory or upload it by FTP.

Package: webcamoid
Description-md5: f572b386468b9a3ce3ad23b4c33caedf
Description-en: full featured webcam capture application
 webcamoid allows one to capture, save and view a video stream. It also can
 do a lot of funny things.
 .
 Features:
 .
  * Take pictures and record videos with the webcam.
  * Manages multiple webcams.
  * GUI interface.
  * Custom controls for each webcam.
  * Add funny effects to the webcam.
  * +60 effects available.
  * Effects with live previews.
  * Translated to many languages.
  * Use custom network and local files as capture devices.
  * Capture from desktop.
  * Many recording formats.
  * Virtual webcam support for feeding other programs.

Package: webcamoid-data
Description-md5: 49cfaf5d124f5a9473173ad57faf06ed
Description-en: icons and locale files for webcamoid
 webcamoid allows one to capture, save and view a video stream. It also can
 do a lot of funny things.
 .
 Features:
 .
  * Take pictures and record videos with the webcam.
  * Manages multiple webcams.
  * GUI interface.
  * Custom controls for each webcam.
  * Add funny effects to the webcam.
  * +60 effects available.
  * Effects with live previews.
  * Translated to many languages.
  * Use custom network and local files as capture devices.
  * Capture from desktop.
  * Many recording formats.
  * Virtual webcam support for feeding other programs.
 .
 This package contains the icons/locale files for webcamoid.

Package: webcamoid-plugins
Description-md5: 53aa7e50d4c64af45acef489bde7ac7e
Description-en: full featured webcam capture application - plugins
 webcamoid allows one to capture, save and view a video stream. It also can
 do a lot of funny things.
 .
 Features:
 .
  * Take pictures and record videos with the webcam.
  * Manages multiple webcams.
  * GUI interface.
  * Custom controls for each webcam.
  * Add funny effects to the webcam.
  * +60 effects available.
  * Effects with live previews.
  * Translated to many languages.
  * Use custom network and local files as capture devices.
  * Capture from desktop.
  * Many recording formats.
  * Virtual webcam support for feeding other programs.
 .
 This package contains the plugins for webcamoid.

Package: webdeploy
Description-md5: 50fedaf0f88c520b91bc4c5917259646
Description-en: Deploy files using FTP
 Deploys files in the working directory to the
 specified FTP server preserving directory
 structure and ignoring unchanged files.

Package: webdis
Description-md5: afc1675888bdf33add1f3dc4d089ca1b
Description-en: simple web server providing an HTTP interface to Redis
 Webdis is a simple web server providing HTTP interface to Redis. Some features
 include:
  * Support for GET and POST requests.
  * JSON output by default, optional JSONP parameter (?jsonp=myFunction).
  * Raw Redis 2.0 protocol output with .raw suffix
  * HTTP 1.1 pipelining (50,000 http requests per second on a desktop Linux
    machine.)
  * Restricted commands by IP range (CIDR subnet + mask) or HTTP Basic Auth,
    returning 403 errors.
  * Possible Redis authentication in the config file.
  * Pub/Sub using Transfer-Encoding: chunked, works with JSONP as well. Webdis
    can be used as a Comet server.
  * Custom Content-Type using a pre-defined file extension, or with
    ?type=some/thing.
  * URL-encoded parameters for binary data or slashes.
  * Logs, with a configurable verbosity.
  * Cross-origin XHR, if compiled with libevent2 (for OPTIONS support).
  * File upload with PUT.
  * With the JSON output, the return value of INFO is parsed and transformed
    into an object.

Package: webdruid
Description-md5: 4d77012dac33ab5db30ab41cc50a9094
Description-en: Web server log file analysis tool
 Fast, free, flexible web server log file analysis program.  Produces
 XHTML output for viewing with a web browser.  Features multiple language
 support, incremental processing capabilities, reverse DNS lookup support,
 export via tab separated ascii files to popular databases and spreadsheets,
 and much more.  Supports standard CLF and combined (Apache), as well as W3C
 (IIS) logs format.

Package: webext-browserpass
Description-md5: 9c24e9dd6ded727cba7fcb15ccd7e9d0
Description-en: web extension for the password manager pass
 webext-browserpass is a Firefox/Chromium extension for the password manager
 pass. It retrieves your decrypted passwords for the current domain and allows
 you to auto-fill login forms, as well as copy it to clipboard. If you have
 multiple logins for the current site, the extension shows you a list of
 usernames to choose from.

Package: webext-bulk-media-downloader
Description-md5: 2b5a97c743382528ec519a693eb5b00a
Description-en: Cross-browser extension to detect and download media resources
 Bulk Media Downloader is a browser extension (add-on) to detect all media
 (video, audio and image) sources by monitoring network activities. In oppose
 to the other similar extensions, Bulk Media Downloader has zero impact on your
 browser performance when the grabber window is closed. To grab a media, open
 the Media Tools window and refresh the browser tab that has the intended
 resource and wait for the resource to be fetched by browser one more time. You
 can use filters to declutter resources area and you can bulk download resources
 by selecting multiple items at once.

Package: webext-dav4tbsync
Description-md5: d5f2cc60ad2a6ea6b53cb1d5f887967f
Description-en: Provide CalDAV & CardDAV for TbSync
 The CalDAV & CardDAV Provider for TbSync to sync contacts, tasks and
 calendars to Thunderbird.
 .
 Most CalDAV & CardDAV servers provide a discovery service, which allows
 one to use just the plain server name (FQDN) like "cloud.server.com" as
 server URL. TbSync will find all available calendars and address books
 and there is no need to know any specific URLs for individual address
 books or calendars. If this does not work because your server does not
 provide the discovery service, you have to enter the full path to the
 dav server itself, like "cloud.server.com/SOGo/dav".
 .
 This provider also includes some pre-defined service profiles for easy
 setup of accounts of iCloud, Yahoo!, Fruux and others.

Package: webext-eas4tbsync
Description-md5: 263ed47042444eef50e55a6977f2f634
Description-en: Provide Exchange ActiveSync (EAS v2.5 & v14.0) synchronization capabilities
 The Exchange ActiveSync provider for TbSync to sync contacts, tasks and
 calendars to Thunderbird.

Package: webext-exteditor
Description-md5: 5df23e6640695c9d3190485c10cdb753
Description-en: Thunderbird addon to edit messages in an external editor
 Use any external editor, e.g. Emacs, to edit Thunderbird messages
 by pressing ctrl+e.
 .
 tbemail.el, a syntax highlighting mode for Emacs, is added for
 convenience.

Package: webext-form-history-control
Description-md5: fc1c4fb0660d683d9a105f81f529c966
Description-en: extension to manage form history
 An extension to View and Manage all form data that has been saved by the
 web browser giving you full control over what is stored, what is cleaned up
 or not, and when to perform a cleanup. This extension even allows you to
 control selectively for which webpages form history data is stored (either
 blacklist or whitelist). Also stores text from editor fields as you type
 for easy recovery in case of disaster.
 .
 This extension enables you to selectively delete privacy sensitive
 information without having to delete the entire history. With the help of
 powerful regular expressions, information can simply and effectively be
 retrieved, edited or deleted. Use it to correct misspelled entries, get rid
 of sensitive data like private banking info or delete passwords that were
 entered into the wrong formfield.
 .
 This plugin also stores text from editor fields as you type, so you never
 have to loose your work when disaster strikes. Recover your lost work after
 session timeouts, network failures, browser crashes, power failures and all
 other things that will destroy the hard work you just put into writing that
 important email, essay or blog post.
 .
 Form History Control can filter data either by keyword, active page, active
 field or cleanup criteria. Powerful advanced search options allows you to
 find information by name, value, timeframe, usage or host. Optional regular
 expressions provide a very effective way to retrieve any information you
 might look for. The advanced search option comes with a list of predefined
 regular expressions which can be altered or extended to fit any need.
 .
 The extension also offers the possibility to export/import form history
 data and configuration settings, allowing you to exchange data between
 multiple browser configurations or import history data into your own
 application of choice.
 .
 Form History Control can also be used to easily autofill textfields in a
 web form using either the most used or the last used formhistory data.

Package: webext-lightbeam
Description-md5: ae660bae5a7bfc72aac5af1bb7a85dbe
Description-en: visualize sites that may be tracking you around the internet
 Lightbeam is an add-on that allows you to see the third parties that are
 collecting information about your browsing activity, with and without your
 consent. Using interactive visualizations, Lightbeam shows you the
 relationships between these third parties and the sites you
 visit. This extension is created by Mozilla Foundation.

Package: webext-privacy-badger
Description-md5: 8bd24ef4b0eb6ceb02d62a37eb9f4540
Description-en: Privacy Badger automatically learns to block invisible trackers
 Instead of keeping lists of what to block, Privacy Badger learns by watching
 which domains appear to be tracking you as you browse the Web. Privacy Badger
 sends the Do Not Track signal with your browsing. If trackers ignore your
 wishes, your Badger will learn to block them. Privacy Badger starts blocking
 once it sees the same tracker on three different websites. Besides automatic
 tracker blocking, Privacy Badger removes outgoing link click tracking on
 Facebook, Google and Twitter, with more privacy protections on the way. To
 learn more, see the FAQ on Privacy Badger's homepage.

Package: webext-proxy-switcher
Description-md5: ece4305d2b6800ff71b3e2841e6406f6
Description-en: Modify Proxy Settings for your Browser
 Proxy Switcher lets you change your browser proxy settings (preferences) from
 a toolbar panel in a familiar UI. The panel allows you to access all proxy
 related settings and it also stores your configurations in different profiles
 for easy access. The extension supports importing and exporting feature in case
 profiles need to be used in another browser instance or you want to switch to a
 new clean profile.

Package: webext-tbsync
Description-md5: b7e47c52624edc36833ec726e5ec2f03
Description-en: Thunderbird/Lightning Add-On to support MS Exchange Calendar etc.
 Synchronize Exchange ActiveSync accounts (contacts, tasks and
 calendars) to Thunderbird, supports Office 365, Outlook.com,
 Freenet, Strato, Hotmail, Kopano and other EAS compatible servers.

Package: webext-ublock-origin
Description-md5: 8e5d9f1e1154a8218258770a3fde2676
Description-en: general-purpose lightweight ads, malware, trackers blocker (Web Extension)
 uBlock is a small footprint blocker for against web ads, malware, trackers,
 analytics and similar invasive items.
 .
 Compared to other blockers like AdBlock and Ghostery, µBlock is focused on
 having a smaller memory and CPU footprint.
 .
 This package provides the Web Extension version of the addon.

Package: webext-umatrix
Description-md5: 64eeee8e23eb0f0245a64af2c8e79f2a
Description-en: browser plugin to block requests and reduce data leakage
 A point-and-click matrix-based firewall for your browser, with many
 privacy-enhancing tools.
 .
 uMatrix put you in full control of where your browser is allowed to
 connect, what type of data it is allowed to download, and what it is
 allowed to execute. Nobody else decides for you: You choose. You are
 in full control of your privacy.
 .
 uMatrix is for advanced users, but aims for ease of use.

Package: webfs
Description-md5: 199ba99a895de05226c23ad6c18bf7c9
Description-en: lightweight HTTP server for static content
 This package provides a simple web server for mostly static content,
 with limited CGI support (GET requests only).
 .
 Example uses include giving HTTP access to the contents of an FTP
 site, or exporting some files by quickly starting a web server
 without any need to edit configuration files first.

Package: webhook
Description-md5: 9d758bbff9a3152bfecbb17464d6fcf0
Description-en: Small server for creating HTTP endpoints (hooks)
 Webhook is a lightweight configurable tool written in Go, that
 allows you to easily create HTTP endpoints (hooks) on your
 server, which you can use to execute configured commands. You
 can also pass data from the HTTP request (such as headers,
 payload or query variables) to your commands. webhook also
 allows you to specify rules which have to be satisfied in
 order for the hook to be triggered.
 .
 For example, if you're using Github or Bitbucket, you can
 use webhook to set up a hook that runs a redeploy script
 for your project on your staging server, whenever you push
 changes to the master branch of your project.

Package: webhttrack
Description-md5: f7c1c11c1bf49544d2f00c20bf8c4157
Description-en: Copy websites to your computer, httrack with a Web interface
 WebHTTrack is an offline browser utility, allowing you to download a World
 Wide website from the Internet to a local directory, building recursively
 all directories, getting html, images, and other files from the server to
 your computer, using a step-by-step web interface.
 .
 WebHTTrack arranges the original site's relative link-structure. Simply
 open a page of the "mirrored" website in your browser, and you can
 browse the site from link to link, as if you were viewing it online.
 HTTrack can also update an existing mirrored site, and resume
 interrupted downloads. WebHTTrack is fully configurable, and has an
 integrated help system.
 .
  Snapshots: http://www.httrack.com/page/21/

Package: webhttrack-common
Description-md5: 7c22061b1b7e6e730f1cd9c72a497577
Description-en: webhttrack common files
 This package is the common files of webhttrack, website copier and
 mirroring utility

Package: webissues
Description-md5: fea6ce2cddc55dbba7a91f33dcc7b3f7
Description-en: network system supporting team collaboration
 Webissues is a system supporting team collaboration
 across the Internet. It can be used for storing bugs
 and other information with customizable attributes,
 comments and file attachments.

Package: webkit2gtk-driver
Description-md5: b1b90b3877648e44017be43c33ecc59b
Description-en: WebKitGTK WebDriver support
 WebKit is a web content engine, derived from KHTML and KJS from KDE, and
 used primarily in Apple's Safari browser.  It is made to be embedded in
 other applications, such as mail readers, or web browsers.
 .
 It is able to display content such as HTML, SVG, XML, and others. It also
 supports DOM, XMLHttpRequest, XSLT, CSS, JavaScript/ECMAScript and more.
 .
 WebKit2 is an API layer for WebKit designed from the ground up to
 support a split process model, where the web content lives in a
 separate process from the application UI.
 .
 This build comes from the GTK port of WebKit (API version 4.0).
 .
 This package provides the WebDriver service implementation for
 WebKitGTK.

Package: weblint-perl
Description-md5: ecedf988b258399ed2ef7e0121a80161
Description-en: syntax and minimal style checker for HTML
 weblint is a perl script which picks fluff off html pages, much in the same
 way traditional lint picks fluff off C programs.
 .
 The script is a complete rewrite of Neil Bowers' original weblint script.
 It currently supports HTML 4.0 (and only HTML 4.0).

Package: webmagick
Description-md5: 8c16df78467f1fc2368e5063bb33f761
Description-en: create gallery thumbnails for website
 WebMagick provides a means of easily putting image collections on the
 Web. It recurses through directory trees, building HTML pages and
 imagemap (GIF or JPEG) files to allow the user to navigate through
 collections of thumbnail images (somewhat similar to 'xv') and select
 the images to view with a mouse click.

Package: weborf
Description-md5: 1da211bacdd13bf19221ad49eaec0329
Description-en: Fast and small webserver meant to be run without root privileges
 Weborf is a configurationless webserver mainly meant to allow users to
 easily share their directories over the web.
 Has limited support to webdav, allowing its use by GNOME and KDE filemanagers.
 It also supports cgi.

Package: weborf-daemon
Description-md5: 44cbf5dd02152bcac57c51b0088d747a
Description-en: init script for weborf
 Weborf is a configurationless webserver mainly meant to allow users to
 easily share their directories over the web.
 Has limited support to webdav, allowing its use by GNOME and KDE filemanagers.
 It also supports cgi.
 .
 This package provides a SystemV daemon to start weborf using init.

Package: webp
Description-md5: 3c2179355195b8d229435797374b0a61
Description-en: Lossy compression of digital photographic images.
 Image Compression format, based on the VP8 codec.
 WebP uses the modern VP8 compression format to deliver efficient
 compression of images for the web. More than 30% extra gain over
 optimized JPEG, for same quality, is not unusual.

Package: webpack
Description-md5: 45ab71b32d23b6f7e5aa80f15cc4fc2c
Description-en: Packs CommonJs/AMD modules for the browser
 Webpack takes code targeted at node.js and makes it run in the browser.
 Node.js comes with API of its own that is not available in the browsers.
 Webpack exposes this code to programs that are unaware they are running in a
 browser.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: websimba
Description-md5: a0eceb67cbf467a13ac2788a13a6bb94
Description-en: web interface for simba
 This package contains the web interface for simba.
 .
 Simba was created to be _the_ mirroring tool, to get more control
 over the mirrored content and (most importantly) more control over
 the reports you can generate using the mirrored content data.

Package: websocketd
Description-md5: 620d413bb1790a3a32cd9f3ee383a922
Description-en: Turn any program that uses STDIN/STDOUT into a WebSocket server
 websocketd is a small command-line tool that will wrap an existing
 command-line interface program, and allow it to be accessed
 via a WebSocket.
 .
 WebSocket-capable applications can now be built very easily. As long as
 you can write an executable program that reads STDIN and writes to STDOUT,
 you can build a WebSocket server. Do it in Python, Ruby, Perl, Bash,
 C, Go, PHP, Java, Clojure, Scala, Groovy, Expect, Awk, VBScript,
 Haskell, Lua, R, whatever! No networking libraries necessary.
 .
 websocketd will start a WebSocket server on a specified port, and listen
 for connections.
 .
 Upon a connection, it will fork the appropriate process, and disconnect
 the process when the WebSocket connection closes (and vice-versa).
 .
 Any message sent from the WebSocket client will be piped to the process's
 STDIN stream, followed by a \n newline.

Package: websockify
Description-md5: 337cbf4b14d9c875bd13ec09c109dd7e
Description-en: WebSockets support for any application/server
 websockify was formerly named wsproxy and was part of the noVNC project.
 .
 At the most basic level, websockify just translates WebSockets traffic to
 normal socket traffic. Websockify accepts the WebSockets handshake, parses it,
 and then begins forwarding traffic between the client and the target in both
 directions.
 .
 Websockify supports all versions of the WebSockets protocol (Hixie and HyBi).
 The older Hixie versions of the protocol only support UTF-8 text payloads. In
 order to transport binary data over UTF-8 an encoding must used to encapsulate
 the data within UTF-8.
 .
 With Hixie clients, Websockify uses base64 to encode all traffic to and from
 the client. This does not affect the data between websockify and the server.
 .
 With HyBi clients, websockify negotiates whether to base64 encode traffic to
 and from the client via the subprotocol header (Sec-WebSocket-Protocol). The
 valid subprotocol values are 'binary' and 'base64' and if the client sends
 both then the server (the Python implementation) will prefer 'binary'. The
 'binary' subprotocol indicates that the data will be sent raw using binary
 WebSocket frames. Some HyBi clients (such as the Flash fallback and older
 Chrome and iOS versions) do not support binary data which is why the
 negotiation is necessary.

Package: websploit
Description-md5: a33a0ed8cc7d394175205d616c760c18
Description-en: Web exploitation framework
 WebSploit is an open source project which is used to scan
 and analysis remote system in order to find various type of
 vulnerabilites. This tool is very powerful and supports
 multiple vulnerabilities.

Package: webvtt
Description-md5: 11c8184ff379d9d004a281e358f615ce
Description-en: Read, write and segment WebVTT caption files
 Python module for reading/writing WebVTT caption files. It also features
 caption segmentation useful when captioning HLS videos.
 .
 Converting captions from other formats is supported for:
  * SubRip (.srt)
  * YouTube SBV (.sbv)

Package: weechat
Description-md5: 49034d6f5708e15267581e194a3b3aa1
Description-en: Fast, light and extensible chat client (metapackage)
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 It is customizable and extensible with plugins/scripts, and includes:
  - support of IRC protocol (native)
  - support of XMPP/Jabber protocol (with additional script)
  - nicklist
  - smart hotlist
  - horizontal and vertical split
  - double charset support (decode/encode)
  - FIFO pipe for remote control
  - 256 colors support
  - incremental text search
  - dynamic filtering of buffer content
  - Perl, Python, Ruby, Lua, Tcl, Scheme and PHP scripting
  - script manager
  - spell checking
  - highly customizable and extensible
  - and much more!

Package: weechat-core
Description-md5: 190e3257327c71dfff2337b947edab14
Description-en: Fast, light and extensible chat client - core files
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides core plugins and locales files for WeeChat. It
 currently ships the following plugins: alias, buflist, charset, fset, irc,
 logger and xfer. It is useless without weechat-curses or weechat-headless.

Package: weechat-curses
Description-md5: d1d4c63df1cc2d0bc918a83f2682fb67
Description-en: Fast, light and extensible chat client - console client
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 It is customizable and extensible with plugins/scripts, and includes:
  - support of IRC protocol (native)
  - support of XMPP/Jabber protocol (with additional script)
  - nicklist
  - smart hotlist
  - horizontal and vertical split
  - double charset support (decode/encode)
  - FIFO pipe for remote control
  - 256 colors support
  - incremental text search
  - dynamic filtering of buffer content
  - Perl, Python, Ruby, Lua, Tcl, Scheme and PHP scripting
  - script manager
  - spell checking
  - highly customizable and extensible
  - and much more!
 .
 This package provides the console client (ncurses).

Package: weechat-dev
Description-md5: 0148c36c2fd0778d8d3fca9cfaebe4d1
Description-en: Fast, light and extensible chat client - development headers
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package contains the headers needed to build plugins.

Package: weechat-doc
Description-md5: 101025ab4c54d19e0032648e468468a4
Description-en: Fast, light and extensible chat client - documentation
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package contains the html documentation for WeeChat.

Package: weechat-guile
Description-md5: 6e0692a78089257b5b6e367e618cff90
Description-en: Fast, light and extensible chat client - Guile plugin
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides the Guile scripting API plugin.

Package: weechat-headless
Description-md5: a1f93a711cf6fa180dbb63af9ac023d9
Description-en: Fast, light and extensible chat client - headless client
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 It is customizable and extensible with plugins/scripts, and includes:
  - support of IRC protocol (native)
  - support of XMPP/Jabber protocol (with additional script)
  - nicklist
  - smart hotlist
  - horizontal and vertical split
  - double charset support (decode/encode)
  - FIFO pipe for remote control
  - 256 colors support
  - incremental text search
  - dynamic filtering of buffer content
  - Perl, Python, Ruby, Lua, Tcl, Scheme and PHP scripting
  - script manager
  - spell checking
  - highly customizable and extensible
  - and much more!
 .
 This package provides the headless client.

Package: weechat-lua
Description-md5: 0ff684d25debcaeb1057b4fac395f784
Description-en: Fast, light and extensible chat client - Lua plugin
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides the Lua scripting API plugin.

Package: weechat-perl
Description-md5: f675770cf2259cecdbf2d754dfad59ff
Description-en: Fast, light and extensible chat client - Perl plugin
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides the Perl scripting API plugin.

Package: weechat-php
Description-md5: 8d888f7bafc84b9c9ecabded3c5fe91c
Description-en: Fast, light and extensible chat client - PHP plugin
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides the PHP scripting API plugin.

Package: weechat-plugins
Description-md5: c80537b78b14214ea99644d1cf2a5cf7
Description-en: Fast, light and extensible chat client - plugins
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides some plugins to enhance WeeChat. It currently
 ships the following plugins:
  - script manager
  - Spell checking (thanks to aspell)
  - FIFO pipe for remote control
  - Relay (IRC proxy and WeeChat protocol)
  - Trigger

Package: weechat-python
Description-md5: c916b80cc7f1b670feb5b15df9b2846c
Description-en: Fast, light and extensible chat client - Python 3 plugin
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides the Python 3 scripting API plugin.

Package: weechat-ruby
Description-md5: 6c3406bb296d1d1b0c1aa1f3baa8429b
Description-en: Fast, light and extensible chat client - Ruby plugin
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides the Ruby scripting API plugin.

Package: weechat-scripts
Description-md5: 68496965b29dcfb0d821429e92643bc2
Description-en: script collection for the WeeChat IRC client
 This is a collection of scripts to use with the WeeChat IRC client. It is
 useful if you want to enhance its functionnalities. It includes scripts written
 in all languages supported by weechat.
 .
 These scripts can be downloaded individually at http://weechat.org/scripts/

Package: weechat-tcl
Description-md5: a30748125b67e77b9df22989ac53389c
Description-en: Fast, light and extensible chat client - Tcl plugin
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides the Tcl scripting API plugin.

Package: weevely
Description-md5: 7fa1664b87ff82ce7f0355fa222fd830
Description-en: Stealth tiny web shell
 Weevely is a stealth PHP web shell that simulate telnet-like
 connection. It is an essential tool for web application post
 exploitation, and can be used as stealth backdoor or as a
 web shell to manage legit web accounts, even free hosted
 ones.

Package: weex
Description-md5: ec33d109e8ecddf126bc980c6d0d78dc
Description-en: Non-interactive FTP and FTPS client for updating web pages
 Weex is an utility designed to automate the task
 of remotely maintaining a web page or other FTP archive.
 With weex, the maintainer of a web site or archive that must
 be administered through FTP interaction can largely ignore
 that process. FTP and FTPS protocols are supported.
 The archive administrator simply creates a local directory
 that serves as an exact model for the off-site data.
 All modifications and direct interaction is done locally to
 this directory structure. When the administrator wishes to
 coordinate the data on the remote site with that of the
 local model directory, simply executing weex accomplishes
 this in the most bandwidth-efficient fashion by only
 transferring files that need updating. The program will
 create or remove files or directories as necessary to
 accurately establish the local model on the remote server.

Package: weightwatcher
Description-md5: 0504dbac4f037b8b14ad99038dcd0e8f
Description-en: Combine maps and polygon data for astronomical image processing
 WeightWatcher is a program that combines weight-maps, flag-maps and polygon
 data in order to produce control maps which can directly be used in
 astronomical image-processing packages like Drizzle, Swarp or SExtractor.
 .
 Weight-thresholding and/or specific flag selections are applied by
 WeightWatcher through a configuration file: this alleviates other programs
 from such interpretation work. WeightWatcher will mostly be useful as part of
 an imaging survey pipeline. Its main features are:
 .
  * Processing speed: limited by the I/O performances of the machine
    (typically 50 Mpixel/s on a workstation),
  * Ability to work with very large images (up to, say, 10^8 × 10^9 pixels on
    a 64 bit system),
  * FITS format (including Multi-Extension) is used for input and
    output. Output flag-map format selection is automatic (8, 16 or 32bits),
  * Up to 30 weight-maps, 30 flag-maps, and thousands of polygons can be
    handled simultaneously.
  * Automatic rasterizing of DS9 .reg files,
  * Statistics of flagged and weighted areas,
  * Metadata output in XML-VOTable format.

Package: weka
Description-md5: f7b0cb5ee78d372ccf23e04524660343
Description-en: Machine learning algorithms for data mining tasks
 Weka is a collection of machine learning algorithms in Java that can
 either be used from the command-line, or called from your own Java
 code. Weka is also ideally suited for developing new machine learning
 schemes.
 .
 Implemented schemes cover decision tree inducers, rule learners, model
 tree generators, support vector machines, locally weighted regression,
 instance-based learning, bagging, boosting, and stacking. Also included
 are clustering methods, and an association rule learner. Apart from
 actual learning schemes, Weka also contains a large variety of tools
 that can be used for pre-processing datasets.
 .
 This package contains the binaries and examples.

Package: weka-doc
Description-md5: 08b28b3a5cf08cccf14461c871c136a1
Description-en: documentation for the Weka machine learning suite
 Weka is a collection of machine learning algorithms in Java that can
 either be used from the command-line, or called from your own Java
 code. Weka is also ideally suited for developing new machine learning
 schemes.
 .
 Implemented schemes cover decision tree inducers, rule learners, model
 tree generators, support vector machines, locally weighted regression,
 instance-based learning, bagging, boosting, and stacking. Also included
 are clustering methods, and an association rule learner. Apart from
 actual learning schemes, Weka also contains a large variety of tools
 that can be used for pre-processing datasets.
 .
 This package contains the documentation.

Package: welcome2l
Description-md5: 0d9f2562892fdead31ae0422fe545558
Description-en: Linux ANSI boot logo
 This is a little program that may run at login time to produce nice
 ANSI login logo.
 .
 Welcome2L intends to produce  the best looking ANSI screens by making
 full usage of PC graphic characters.
 .
 Therefore an architecture able to display those characters (i386, Alpha with
 TGA adapter,... ) is required to use it.  And, even if it will work on larger
 screens, it will only produce 80 column ANSI screens.

Package: welle.io
Description-md5: 970c8b667c49e4a599c0b0bdb7c61147
Description-en: DAB/DAB+ Software Radio
 This is an open source DAB and DAB+ software defined radio (SDR) with support
 for rtl-sdr (RTL2832U) and airspy. It supports high DPI and touch displays and
 it runs even on cheap computers like Raspberry Pi 2/3 and 100€ China Windows
 10 tablets.

Package: weplab
Description-md5: a9ae6b406e6fb94076b4e46727175f82
Description-en: tool designed to break WEP keys
 WepLab is a tool designed to teach how WEP works, what different
 vulnerabilities it has, and how they can be used in practice to
 break a WEP protected wireless network.
 .
 WepLab can dump network traffic, analyse it or crack the WEP key.

Package: weresync
Description-md5: 91fabae451c7ccb850e79f0cf7b31b8d
Description-en: incrementally clones running drives
 This package allows you to clone your drive to a target drive. It clones the
 drive incrementally, so after the first clone it will take a much shorter time.
 It provides both the "weresync" and "weresync-gui" commands, in order to run
 the program.
 .
 Why use WereSync?
 .
 WereSync is accessible to less-technical users. It comes with a simple
 interface and clone a drive with a single command while your computer is
 running. No booting to a live disk or pushing through a long initiation
 process. Unlike dd or CloneZilla, WereSync requires a low level of technical
 skill and has an easy learning curve
 .
 WereSync can run while the your main drive is being used, instead of blocking
 your computer up for hours at a time
 .
 WereSync will incrementally update clones, making subsequent clones much
 faster.
 .
 WereSync works quickly, a single command copies your entire drive, no booting
 to live CDs or managing MBRs.
 .
 WereSync can copy to a smaller drive, provided your drive's data will fit.
 .
 WereSync creates new UUIDs for the new partitions, allowing you to use the
 old and new drives alongside each other.

Package: weresync-doc
Description-md5: f9f6f176cd1f8c182005e0178604fb52
Description-en: incrementally clones running drives (documentation package)
 This package allows you to clone your drive to a target drive. It clones the
 drive incrementally, so after the first clone it will take a much shorter time.
 It provides both the "weresync" and "weresync-gui" commands, in order to run
 the program.
 .
 Why use WereSync?
 .
 WereSync is accessible to less-technical users. It comes with a simple
 interface and clone a drive with a single command while your computer is
 running. No booting to a live disk or pushing through a long initiation
 process. Unlike dd or CloneZilla, WereSync requires a low level of technical
 skill and has an easy learning curve
 .
 WereSync can run while the your main drive is being used, instead of blocking
 your computer up for hours at a time
 .
 WereSync will incrementally update clones, making subsequent clones much
 faster.
 .
 WereSync works quickly, a single command copies your entire drive, no booting
 to live CDs or managing MBRs.
 .
 WereSync can copy to a smaller drive, provided your drive's data will fit.
 .
 WereSync creates new UUIDs for the new partitions, allowing you to use the
 old and new drives alongside each other.
 .
 This is the documentation package.

Package: wesnoth
Description-md5: 2a71a7a2a2874f7f0bf25835fd6bebf3
Description-en: fantasy turn-based strategy game - complete suite (metapackage)
 This metapackage pulls in the complete set of the latest stable version, most
 helpful if you always want to have all the official campaigns installed. If
 you only want to play over the network with other players or want to play only
 a few campaigns you just need to install the wesnoth-core package and the
 wanted campaign packages.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14
Description-md5: 34d0bb834518a0f1df373df96dbd8b67
Description-en: fantasy turn-based strategy game - complete suite (branch 1.14)
 This package depends on the complete set of packages built from the wesnoth
 source package but the server (which can be found in the
 wesnoth-1.14-server package), most helpful if you always
 want to have all the official campaigns installed.  If you only want to play
 over the network with other players or want to play only a few campaigns you
 just need to install the wesnoth-1.14-core package and
 the wanted campaign packages.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-aoi
Description-md5: 82f526578e64ba97e1f88b71ff813756
Description-en: "An Orcish Incursion" official campaign for Wesnoth (branch 1.14)
 This package contains the "An Orcish Incursion" campaign for Wesnoth:
 "Defend the forests of the elves against the first orcs to reach the Great
 Continent, learning valuable tactics as you do so."
 (Novice level, 7 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-core
Description-md5: 02e36b2f682503329c57d6eaba79b6b7
Description-en: fantasy turn-based strategy game (branch 1.14)
 This package contains the main program for wesnoth. It can be used to play
 multiplayer games.  If you want to play campaigns you will have to install
 them individually, but if you prefer to have all the official campaigns
 installed please be advised to install the wesnoth-1.14 package which depends
 on all of them.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-data
Description-md5: 531ce1a41c30ded095d4cc9a6bd5f3c4
Description-en: data files for Wesnoth (branch 1.14)
 This package contains the sound files and graphics for Wesnoth. It is required
 for being able to play wesnoth or create maps with the editor.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-did
Description-md5: 8f60caa63deed4cf63809a491d4fe0f9
Description-en: "Descent Into Darkness" official campaign for Wesnoth (branch 1.14)
 This package contains the "Descent Into Darkness" campaign for Wesnoth:
 "Learn the dark arts of necromancy in order to save your people from an orcish
 incursion."
 (Intermediate level, 12 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-dm
Description-md5: 5d6a4cb73f628a9151a879d8b31aebc2
Description-en: "Delfador's Memoirs" official campaign for Wesnoth (branch 1.14)
 This package contains the "Delfador's Memoirs" campaign for Wesnoth:
 "Wesnoth seems to be slipping inexorably into chaos, as marauding orcs pour
 south across the Great River, and mysterious and deadly creatures roam the
 night. Who is the shadowy Iliah-Malal? Can you defeat him before he destroys
 all life in Wesnoth?"
 (Intermediate level, 19 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-dw
Description-md5: 758c65cea9a490d795c4063966b00f7d
Description-en: "Dead Water" official campaign for Wesnoth (branch 1.14)
 This package contains the "Dead Water" campaign for Wesnoth:
 "You are Kai Krellis, son and heir of the last merman king but only a child. A
 necromancer is turning your subjects into undead slaves! Lead your people on a
 mission to convince a powerful mer-sorceress to help you repel the invasion.
 The oceans near the Northern Lands are perilous, so you will need cunning and
 bravery to survive. But first you need to gain the respect of your troops!"
 (Intermediate level, 10 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-ei
Description-md5: 220eb84711c2f55440123730d9cdb483
Description-en: "The Eastern Invasion" official campaign for Wesnoth (branch 1.14)
 This package contains the "The Eastern Invasion" campaign for Wesnoth:
 "There are rumors of undead attacks on the eastern border of Wesnoth. You, an
 officer in the Royal Army, have been sent to the eastern front to protect the
 villagers and find out what is happening."
 (Intermediate level, 16 scenarios.)"
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-httt
Description-md5: 459c98b943982c80030841cc1bd79e42
Description-en: "Heir to the Throne" official campaign for Wesnoth (branch 1.14)
 This package contains the "Heir to the Throne" campaign for Wesnoth:
 "Fight to regain the throne of Wesnoth, of which you are the legitimate
 heir."
 (Novice level, 23 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-l
Description-md5: 9e35682fcb721d0f42a19f4ba3abbe2a
Description-en: "Liberty" official campaign for Wesnoth (branch 1.14)
 This package contains the "Liberty" campaign for Wesnoth:
 "As the shadow of civil war lengthens across Wesnoth, a band of hardy
 marchlanders revolts against the tyranny of Queen Asheviere. To win their way
 to freedom, they must defeat not just the trained blades of Wesnothian troops
 but darker foes including orcs and undead."
 (Intermediate level, 8 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-low
Description-md5: 6689420ad41af5c1f5cb820ff36a2c73
Description-en: "Legend of Wesmere" official campaign for Wesnoth (branch 1.14)
 This package contains the "Legend of Wesmere" campaign for Wesnoth:
 "The tale of Kalenz, the High Lord who rallied his people after the second
 orcish invasion of the Great Continent and became the most renowned hero in
 the recorded history of the Elves."
 (Intermediate level, 18 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-music
Description-md5: 8e6c313f50d406f94ec01eaca5b49bff
Description-en: music files for Wesnoth (branch 1.14)
 This package contains the music files for Wesnoth. It is not required but
 gives nice background music and encouraged.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-nr
Description-md5: 854a28604649872e7e8789f9b6e39d85
Description-en: "Northern Rebirth" official campaign for Wesnoth (branch 1.14)
 This package contains the "Northern Rebirth" campaign for Wesnoth:
 "For the people of Dwarven Doors the choice was stark: either drudge as
 downtrodden slaves for the orcs until the end of their brief and miserable
 lives, or risk all for freedom and rise up against their cruel overlords.
 Little did they suspect that their struggle would be the hinge of great events
 that might restore the Northlands to the glory they had once known."
 (Expert level, 13 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-server
Description-md5: 4852407eedfef6cc8f436fe485b783b1
Description-en: multiplayer network server for Wesnoth (branch 1.14)
 This package contains the multiplayer network server for Wesnoth.  You need it
 if you want to host multiplayer games on your computer and don't want to use
 the official servers.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-sof
Description-md5: 170337eeabd7f22fbec3d197d7246a6d
Description-en: "The Sceptre of Fire" official campaign for Wesnoth (branch 1.14)
 This package contains the "The Sceptre of Fire" campaign for Wesnoth:
  "The land of Wesnoth's banner bold
  Comes not from its own land;
  It comes from Dwarfdom, grim and old
  Made by a runesmith's hand.
  So now I tell from whence it came -
  The Fire-sceptre great -
  And of the makers of the same,
  Their tale I now relate..."
 (Expert level, 9 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-sota
Description-md5: 42771389c52504bc2306d907ea3cff96
Description-en: "Secrets of the Ancients" official campaign for Wesnoth (branch 1.14)
 This package contains the "Secrets of the Ancients" campaign for Wesnoth:
 "Rediscover the secrets known by the lich lords of the Green Isle. They knew
 how to live forever, so why can't you?"
 (Intermediate level, 21 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-sotbe
Description-md5: c4b266919b817c2c850efe56d660c5f9
Description-en: "Son of the Black-Eye" official campaign for Wesnoth (branch 1.14)
 This package contains the "Son of the Black-Eye" campaign for Wesnoth:
 "Your father Karun Black-Eye was the greatest orcish leader that ever lived.
 Now, as his son, it's up to you to thwart the selfish designs of the humans
 who have broken the old agreements with the orcs and are bent upon taking your
 lands. Unite the warring orcish tribes, bring together the Orcish Council and
 call up the Great Horde to send the human-worms and their wose-born allies to
 the land of the dead!"
 (Expert level, 18 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-thot
Description-md5: a07c732b26d84da3b4af41f735de568f
Description-en: "The Hammer of Thursagan" official campaign for Wesnoth (branch 1.14)
 This package contains the "The Hammer of Thursagan" campaign for Wesnoth:
 "In the first years of the Northern Alliance, an expedition from Knalga seeks
 out their kin at Kal Kartha and to learn the fate of the legendary Hammer of
 Thursagan. The perils of their journey through the wild Northern Lands, though
 great, pale beside the evil they will face at its end."
 (Intermediate level, 11 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-tools
Description-md5: e91efda812655ca23534524d0c3b89f8
Description-en: tools for campaign developers for Wesnoth (branch 1.14)
 This package contains various tools for Wesnoth that are especially useful for
 campaign developers, including but not limited to scripts supporting the
 generation and checking of WML (Wesnoth Markup Language).  You can find them
 in the directory /usr/share/games/wesnoth/1.14/data/tools
 after installation of the package.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-trow
Description-md5: c26d00c3f18b9d053c49811f63c8b4e1
Description-en: "The Rise of Wesnoth" official campaign for Wesnoth (branch 1.14)
 This package contains the "The Rise of Wesnoth" campaign for Wesnoth:
 "Lead Prince Haldric through the destruction of the Green Isle and across the
 Ocean to establish the very kingdom of Wesnoth itself. The confrontation with
 Lich-Lord Jevyan awaits..."
 (Intermediate level, 24 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-tsg
Description-md5: d71115c59945a60b6b243c5a1ab457e1
Description-en: "The South Guard" official campaign for Wesnoth (branch 1.14)
 This package contains the "The South Guard" campaign for Wesnoth:
 "A young Knight, Deoran, is dispatched to take command of the South Guard...
 Note: This campaign is designed as an introduction to Wesnoth. The 'Civilian'
 difficulty level is aimed at first-time players."
 (Novice level, 9 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-ttb
Description-md5: c01062c2b1b1cf692c9b0dd48f24f857
Description-en: "A Tale of Two Brothers" official campaign for Wesnoth (branch 1.14)
 This package contains the "A Tale of Two Brothers" campaign for Wesnoth:
 "An evil mage is threatening the small village of Maghre and its inhabitants.
 The village’s mage sends to his warrior brother for help, but not all goes as
 planned. Can you help?"
 (Novice level, 4 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.14-utbs
Description-md5: 197e27a5b632945bf30aa6160111ec7d
Description-en: "Under the Burning Suns" official campaign for Wesnoth (branch 1.14)
 This package contains the "Under the Burning Suns" campaign for Wesnoth:
 "In the distant future a small band of elves struggles to survive amidst the
 ruins of fallen empires. Lead your people out of the desert on an epic journey
 to find a new home."
 (Expert level, 10 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-core
Description-md5: 49034f8e7b5044fce1f03bd566a97f33
Description-en: fantasy turn-based strategy game (metapackage)
 This metapackage pulls in the core wesnoth package which allows you to play
 using the latest stable version.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-music
Description-md5: 708dd00f3fd6bc8016e6d16439e8132f
Description-en: music files for Wesnoth (metapackage)
 This metapackage pulls in the music package for use with the latest stable
 version of wesnoth.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesperanto
Description-md5: 8e3b5d30663022b73f9e5445eeb2e2b2
Description-en: Esperanto dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/esperanto,
 containing a list of Esperanto words in utf-8 encoding.
 This list can be used by spelling checkers, and by programs
 such as look(1).

Package: west-chamber-common
Description-md5: 1877521e4fe93c44ba7f7b13a40b5764
Description-en: iptable extension for bypassing content filtering firewall
 West-chamber is an iptable extension named after the famous Chinese ancient
 fiction - Romance of the West Chamber. It is based on the idea of
 T. Ptacek's paper in 1998 which allows users go through some
 content-filtered firewall without 3rd-party proxies.
 .
 This package contains the extension for iptables. It needs corresponding
 kernel modules to work properly.

Package: west-chamber-dkms
Description-md5: 3c286c304cf58ad78d195067644f8f5b
Description-en: iptable extension for bypassing content filtering firewall (dkms)
 West-chamber is an iptable extension named after the famous Chinese ancient
 fiction - Romance of the West Chamber. It is based on the idea of
 T. Ptacek's paper in 1998 which allows users go through some
 content-filtered firewall without 3rd-party proxies.
 .
 The dkms package will automatically compile the driver for your current
 kernel version.

Package: west-chamber-source
Description-md5: f021d8f15ccda839e293f56b9d4a6301
Description-en: iptable extension for bypassing content filtering firewall (module source)
 West-chamber is an iptable extension named after the famous Chinese ancient
 fiction - Romance of the West Chamber. It is based on the idea of
 T. Ptacek's paper in 1998 which allows users go through some
 content-filtered firewall without 3rd-party proxies.
 .
 This package provides the source code for the west-chamber kernel modules.
 The west-chamber-common package is also required in order to make use of these
 modules. Kernel source or headers are required to compile these modules.

Package: weston
Description-md5: a6199b5b4d9e6a3de92c2ec580664e79
Description-en: reference implementation of a wayland compositor
 Part of the Wayland project is also the Weston reference implementation
 of a Wayland compositor. Weston can run as an X client or under Linux
 KMS and ships with a few demo clients. The Weston compositor is a minimal
 and fast compositor and is suitable for many embedded and mobile use
 cases.

Package: wf-recorder
Description-md5: 4c147e5b86b4fd36c4653334b97c0b7d
Description-en: Utility program for screen recording of wlroots-based compositors
 wf-recorder is a utility program for screen recording of wlroots-based
 compositors (more specifically, those that support wlr-screencopy-v1 and
 xdg-output).

Package: wfut
Description-md5: 58b66b08389c1fecefe4d5794cb8bb20
Description-en: WorldForge Update Tool (executable)
 WFUT is a content distribution system initially intended to provide media
 updates for WorldForge. It can download and update game data and artwork for
 WorldForge gaming clients.
 .
 This package contains the wfut command line utility. With it a user can
 interactively download and update game data and artwork.

Package: wfuzz
Description-md5: 4aff4113a8656696fadfd5df42b58725
Description-en: Web application bruteforcer
 Wfuzz is a tool designed for bruteforcing Web Applications,
 it can be used for finding resources not linked
 directories, servlets, scripts, etc, bruteforce GET and
 POST parameters for checking different kind of injections
 (SQL, XSS, LDAP,etc), bruteforce Forms parameters
 (User/Password), Fuzzing, etc.

Package: wgaelic
Description-md5: 3b31f2842a5e93e57f073df0f4fe9689
Description-en: Scots Gaelic word list
 This package provides the file /usr/share/dict/gaelic containing a list
 of Scots Gaelic words.  This list can be used by spelling checkers, and by
 programs such as look(1).

Package: wgerman-medical
Description-md5: 6b74726ca12e91644d399642848f5349
Description-en: German medical dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/german-medical containing
 a list of German medical words. This list can be used by spelling checkers,
 and by programs such as look(1).

Package: wget2
Description-md5: 645e0d0ba9e191f2d558315b17fd49ef
Description-en: file and recursive website downloader
 GNU Wget is a network utility to retrieve files from the web
 using HTTP(S) and FTP, the two most widely used internet
 protocols. It works non-interactively, so it will work in
 the background, after having logged off. The program supports
 recursive retrieval of web-authoring pages as well as FTP
 sites -- you can use Wget to make mirrors of archives and
 home pages or to travel the web like a WWW robot.
 .
 Wget works particularly well with slow or unstable connections
 by continuing to retrieve a document until the document is fully
 downloaded. Re-getting files from where it left off works on
 servers (both HTTP and FTP) that support it. Both HTTP and FTP
 retrievals can be time stamped, so Wget can see if the remote
 file has changed since the last retrieval and automatically
 retrieve the new version if it has.
 .
 Wget supports proxy servers; this can lighten the network load,
 speed up retrieval, and provide access behind firewalls.
 .
 GNU Wget2 is the successor of GNU Wget.

Package: wget2-dev
Description-md5: 380fdcaca6778f07ceecdfce83609258
Description-en: development file for libwget2
 GNU Wget is a network utility to retrieve files from the web
 using HTTP(S) and FTP, the two most widely used internet
 protocols. It works non-interactively, so it will work in
 the background, after having logged off. The program supports
 recursive retrieval of web-authoring pages as well as FTP
 sites -- you can use Wget to make mirrors of archives and
 home pages or to travel the web like a WWW robot.
 .
 These are the development files to build software with libwget.

Package: whalebuilder
Description-md5: 11fd42d3a130f8ebedf1309c2d2f44c6
Description-en: Debian package builder using Docker
 Whalebuilder is a tool for building Debian packages in a minimal environment.
 It is inspired by pbuilder, but uses Docker to manage the build environment.

Package: wham-align
Description-md5: a0d56a1c39b574e36bc50ae90974b9a6
Description-en: Wisconsin's High-Throughput Alignment Method
 This package provides functionality analogous to BWA or
 bowtie in aligning reads from next-generation DNA sequencing
 machines against a reference genome.

Package: what-utils
Description-md5: 02846176d19e1b3caedf20b84e3bb2de
Description-en: simple package query utilities
 * what-provides - what package provides some file or executable
 * what-repo - what repository provides some package
 * what-source - what source package provides some binary package

Package: whatmaps
Description-md5: 7b42fb06896d834e58de5820aa11d2b7
Description-en: tool to find processes mapping shared objects
 After package upgrades (especially security fixes), services using a
 shared library need to be restarted to make use of the updated version.
 .
 Whatmaps looks for shared objects provided by upgraded packages, lists any
 running processes that map them, and can integrate with APT to restart
 services as needed after security upgrades.

Package: whatweb
Description-md5: 527dd387dbffc820d3a07cb41054b9a2
Description-en: Next generation web scanner
 WhatWeb identifies websites. It recognises web technologies including
 content management systems (CMS), blogging platforms, statistic/analytics
 packages, JavaScript libraries, web servers, and embedded devices.
 .
 WhatWeb has over 900 plugins, each to recognise something different.
 It also identifies version numbers, email addresses, account IDs,
 web framework modules, SQL errors, and more.

Package: when
Description-md5: 8a03d644ae4ebd4e5f141b596821a1df
Description-en: tiny personal calendar
 Minimalistic personal calendar. It runs from the command
 line, and it uses a plain text file format, which you can edit
 using your favorite editor.

Package: whereami
Description-md5: 3af57029a22562ecde2e4aa4ce0028b4
Description-en: Automatically reconfigure your (laptop) system for a new location
 whereami is a set of useful scripts and a coordinating system for
 automatically re-locating your computer within the current (network)
 environment.
 .
 Typically, you would use whereami to automatically detect and
 re-configure your laptop when you move between a variety of diverse
 networks and/or docking environments.
 .
 Although whereami will work best if all of your networks assign
 addresses through dhcp, this is not a pre-requisite and the system
 allows any technique to be used to ascertain the new location with
 as little ongoing user intervention as possible.
 .
 Having ascertained the correct location, whereami will run appropriate
 (user-configured) scripts to adjust the laptop operation to suit the
 current environment.
 .
 See the man pages for more information.  You may also get useful
 assistance from the debian-laptop mailing list, which is
 frequented by several of the users and contributors.

Package: whichman
Description-md5: 544ce06170526d5dad4c72a5d2ce739c
Description-en: Fault tolerant search utilities: whichman, ftff, ftwhich
 whichman uses a fault tolerant approximate matching algorithm to search
 for man-pages that match approximately the specified name.
 The fault tolerant matching is very useful in cases where you remember only
 roughly the name of a command.
 .
    Example: whichman netwhat
    This finds netstat.8: /usr/share/man/man8/netstat.8
 .
 ftff searches the directory tree. This is a case in-sensitive and fault
 tolerant way of 'find . -name xxxx -print'.
 .
 ftwhich finds files which are in one of the directories in your PATH
 and uses a fault tolerant search algorithm.

Package: whichwayisup
Description-md5: 6dba026922525eb8c9672f63e2ed068a
Description-en: 2D platform game with a slight rotational twist
 Which Way Is Up, a traditional and challenging 2D platform game with a
 slight rotational twist. Help a mysterious big-eared salaryman named Guy
 find his keys in a labyrinth of dangers and bad dialogue.

Package: whiff
Description-md5: 9335b789ae553f2ec7ed9d7f7760c291
Description-en: helper to find the first executable of a series of alternatives
 whiff command, which takes a number of command names and returns the first one
 that exists and is executable.
 .
 It assumes that all the arguments form a best-first list of
 alternatives, and only prints the path to the first alternative found in
 the path. If none is found, it prints an error (to stderr) and exits
 with an error code.
 .
 A programmer interface is available in the App::Whiff module.

Package: whitedb
Description-md5: a3ea2cffc15739e01083bcebd3a2cf09
Description-en: lightweight NoSQL database library
 WhiteDB is a lightweight NoSQL database library written in C, operating
 fully in main memory. There is no server process. Data is read and written
 directly from/to shared memory, no sockets are used between WhiteDB and the
 application program.

Package: whitedune
Description-md5: 13ee26fc7ac3cdd1b5cff47d2cee7cf9
Description-en: graphical VRML97/X3D viewer, editor, 3D modeller and animation tool
 Whitedune can read VRML97 files, display and let the user change the
 scenegraph and all fields of all nodes.
 .
 The most powerful 3D modelling features of whitedune support the
 VRML97 Amendment1 style NURBS nodes and Superformula based PROTOs.
 This is mainly convenient for building some rounded shapes.
 .
 Whitedune supports some 3D inputdevices like joysticks, gamepads
 or all devices supported via the Xinput protocol and also quadbuffer stereo
 visuals.

Package: whitedune-docs
Description-md5: 1cbb7ab618b346b8a08f42661655cfcf
Description-en: documentation for whitedune
 This package contains useful documentation for the whitedune VRML editor
 .
 VRML97 (Virtual Reality Modelling Language) is the ISO standard for
 displaying 3D data over the web.
 .
 VRML has support for animation, realtime
 interaction and multimedia (image, movie, sound). VRML97 can be written
 by popular programs like maya, catia, 3D Studio MAX, cinema4D, blender,
 wings3d and others, but (unlike whitedune) most of this programs support
 only a small part of the VRML97 features.

Package: whizzytex
Description-md5: d2aefacb521495654f2f54b44f128fe1
Description-en: WYSIWYG emacs environment for LaTeX
 WhizzyTeX is an emacs minor mode for incrementally
 (TeXing and) previewing a LaTeX file while editing at real-time.
 .
 It works with ghostview-based and xdvi-based previewers,
 but enhanced visual effects and wider variety of options
 will be available when using the advi DVI-previewer.

Package: whohas
Description-md5: 47ba4405d9490e5924de7595494cbf5b
Description-en: query multiple distributions' package archives
 whohas is a command line tool that allows you to query several package
 collections at once.
 .
 It supports Arch Linux, AUR, Debian, Fedora, Gentoo, openSUSE, Slackware,
 Source Mage, Ubuntu, FreeBSD, NetBSD, OpenBSD, Fink, Mandriva and MacPorts.
 .
 whohas was designed to help package maintainers find ebuilds, pkgbuilds, and
 similar package definitions from other distributions to learn from. However, it
 can also be used by normal users who want to know which distribution provides
 certain packages, and which version of a given package is in use in each
 distribution or in each release of a distribution.

Package: whowatch
Description-md5: 675c58632f5af08128927e726ca1e10e
Description-en: Real-time user logins monitoring tool
 whowatch is a ncurses who-like utility that displays information about the
 users currently logged on to the machine, in real-time.  Besides standard
 information (login name, tty, host, user's process), the type of the
 connection (ie. telnet or ssh) is shown.

Package: why3
Description-md5: 42102049a94ca7a372592f978ac2d805
Description-en: Software verification platform
 Why3 is a platform for deductive program verification. It provides a
 rich language for specification and programming, called WhyML, and
 relies on external theorem provers, both automated and interactive,
 to discharge verification conditions. Why3 comes with a standard
 library of logical theories (integer and real arithmetic, Boolean
 operations, sets and maps, etc.) and basic programming data
 structures (arrays, queues, hash tables, etc.). A user can write
 WhyML programs directly and get correct-by-construction OCaml
 programs through an automated extraction mechanism. WhyML is also
 used as an intermediate language for the verification of C, Java, or
 Ada programs.
 .
 Why3 is a complete reimplementation of the former Why platform. Among
 the new features are: numerous extensions to the input language, a
 new architecture for calling external provers, and a well-designed
 API, allowing to use Why3 as a software library. An important
 emphasis is put on modularity and genericity, giving the end user a
 possibility to easily reuse Why3 formalizations or to add support for
 a new external prover if wanted.

Package: why3-coq
Description-md5: fca3e9b7fd6d16509065220d24994dd5
Description-en: Coq support for the why3 verification platform
 This package contains the compiled coq files that are necessary to
 use the coq proof assistant together with the why3 deductive
 verification platform.

Package: why3-doc-html
Description-md5: dfe9f02c0fe254a61046f478fb97b4db
Description-en: HTML Documentation of the why3 verification platform
 This package contains the tutorial and reference manual of the
 why3 verification platform in HTML format.

Package: why3-doc-pdf
Description-md5: ac928d5570b2a03a847e92a88ede4040
Description-en: PDF Documentation of the why3 verification platform
 This package contains the tutorial and reference manual of the
 why3 verification platform in PDF format.

Package: why3-examples
Description-md5: 6e4dbbd0dec9f982a2b216d558fd2377
Description-en: Examples for the why3 verification platform
 This package contains examples, both of program verification tasks
 and pure logical verification tasks, for the why3 software verification
 platform.

Package: whysynth
Description-md5: 2256787a4d40ec5da5ee5cd24ac8b1fe
Description-en: DSSI Soft Synth Interface
 Disposable Soft Synth Interface (DSSI).  A brief list of features:
   - 4 oscillators, 2 filters, 3 LFOs, and 5 envelope generators per voice.
   - 10 oscillator modes minBLEP, wavecycle, asynchronous granular, three
     FM modes, waveshaper, noise, PADsynth, and phase distortion.
   - 6 filter modes.
   - flexible modulation and mixdown options.
 DSSI is a plugin API for software instruments (soft synths) with user
 interfaces, permitting them to be hosted in-process by audio applications.
 More information on DSSI can be found at: http://dssi.sourceforge.net/

Package: wide-dhcpv6-client
Description-md5: ebab3deaa1f1da5d3883339c1d127de0
Description-en: DHCPv6 client for automatic IPv6 hosts configuration
 This is the DHCPv6 client from WIDE project.
 .
 DHCPv6 allows prefix delagation and host configuration for the IPv6 network
 protocol.
 .
 Multiple network interfaces are supported by this DHCPv6 package.

Package: wide-dhcpv6-relay
Description-md5: 90c1ab4590972c6adb7bc27bc7ca2609
Description-en: DHCPv6 relay for automatic IPv6 hosts configuration
 This is the DHCPv6 relay from WIDE project.
 .
 DHCPv6 allows prefix delagation and host configuration for the IPv6 network
 protocol.
 .
 Multiple network interfaces are supported by this DHCPv6 package.

Package: wide-dhcpv6-server
Description-md5: fce4154eb8741327fc5336feca531eec
Description-en: DHCPv6 server for automatic IPv6 hosts configuration
 This is the DHCPv6 server from WIDE project.
 .
 DHCPv6 allows prefix delagation and host configuration for the IPv6 network
 protocol.
 .
 Multiple network interfaces are supported by this DHCPv6 package.

Package: widelands
Description-md5: 2aa8687bbb83b4fb4eff245b46d1c3eb
Description-en: fantasy real-time strategy game
 Widelands is a strategy game aiming for gameplay similar to Settlers II by
 BlueByte.
 .
 In this game, you start out on a small piece of land with nothing more than
 a few of useful resources. Using those, you can build yourself an empire
 with many thousands of inhabitants. On your way towards this goal, you will
 have to build up an economic infrastructure, explore the lands around you
 and face enemies who are trying to rule the world just like you do.

Package: widelands-data
Description-md5: 83a9c23053626f0f7c374fe1069eca20
Description-en: fantasy real-time strategy game (data files)
 This package contains the graphics and levels for Widelands.
 .
 Widelands is a strategy game aiming for gameplay similar to Settlers II by
 BlueByte.
 .
 In this game, you start out on a small piece of land with nothing more than
 a few of useful resources. Using those, you can build yourself an empire
 with many thousands of inhabitants. On your way towards this goal, you will
 have to build up an economic infrastructure, explore the lands around you
 and face enemies who are trying to rule the world just like you do.

Package: widemargin
Description-md5: 8d94196bf02d55455f4d94b37016ed3d
Description-en: bible reading and study application
 Wide Margin is a bible reading and study application. It has a focus on
 speed and simplicity. Features include, as you type searching and passage
 navigation, familiar browser based interface, full navigation history and
 a built in reading planner which will have you read the old testament
 once and the new testament twice every year.

Package: wifite
Description-md5: 622ed2a9fb4e4594258a78c0f53b96b0
Description-en: Python script to automate wireless auditing using aircrack-ng tools
 Wifite is a tool to audit WEP or WPA encrypted wireless networks.
 It uses aircrack-ng, pyrit, reaver, tshark tools to perform the audit.
 .
 This tool is customizable to be automated with only a few arguments
 and can be trusted to run without supervision.

Package: wig
Description-md5: 3787fbccc30f8881e026c2a2d6bcb53b
Description-en: WebApp Information Gatherer
 This package contains a web application information gathering tool, which can
 identify numerous Content Management Systems and other administrative
 applications.
 .
 The application fingerprinting is based on checksums and string matching of
 known files for different versions of CMSes. This results in a score being
 calculated for each detected CMS and its versions. Each detected CMS is
 displayed along with the most probable version(s) of it. The score calculation
 is based on weights and the amount of "hits" for a given checksum.
 .
 wig also tries to guess the operating system on the server based on the
 'server' and 'x-powered-by' headers. A database containing known header values
 for different operating systems is included in wig, which allows wig to guess
 Microsoft Windows versions and Linux distribution and version.

Package: wigeon
Description-md5: 17a324a72dfc1e0439b4af3a8cf0ac4c
Description-en: reimplementation of the Pintail 16S DNA anomaly detection utility
 WigeoN examines the sequence conservation between a query and a trusted
 reference sequence, both in NAST alignment format. Based on the sequence
 identity between the query and the reference sequence, there is an
 expected amount of variation among the alignment. If the observed
 variation is greater than the 95% quantile of the distribution of
 variation observed between non-anomalous sequences, then it is flagged
 as an anomaly.
 .
 WigeoN is a flexible command-line based reimplementation of the Pintail
 algorithm Appl Environ Microbiol. 2005 Dec;7112:7724-36.
 .
 WigeoN is useful for flagging chimeras and anomalies only in near
 full-length 16S rRNA sequences. WigeoN lacks sensitivity with sequences
 less than 1000 bp.
 .
 To run WigeoN, you need NAST-formatted sequences generated by the
 nast-ier utility.
 .
 WigeoN is  part of the microbiomeutil suite.

Package: wiggle
Description-md5: c26103566eee74886ea2d2fbbb4fc520
Description-en: apply patches with conflicting changes
 Wiggle is a program for applying patches that 'patch' cannot
 apply due to conflicting changes in the original.
 .
 Wiggle will always apply all changes in the patch to the original.
 If it cannot find a way to cleanly apply a patch, it inserts it
 in the original in a manner similar to 'merge', and report an
 unresolvable conflict.

Package: wikipedia2text
Description-md5: 10c9f3a9ef6f1c5e9152212331975849
Description-en: displays Wikipedia articles on the command line
 This script fetches Wikipedia articles (currently supports around 30
 Wikipedia languages) and displays them as plain text in a pager or
 just sends the text to standard out. Alternatively it opens the
 Wikipedia article in a (possibly GUI) web browser or just shows the
 URL of the appropriate Wikipedia article.

Package: wikitrans
Description-md5: ed62dc9c136d92814bbeec7f7229af46
Description-en: MediaWiki markup translator (utility)
 This package provides Python framework for translating WikiMedia articles to
 various formats. The present version supports conversions to plain text, HTML,
 and Texinfo formats.
 .
 This package contains the command line utility.

Package: wildmidi
Description-md5: b4b34070ae88e73e3289b751230cfc89
Description-en: software MIDI player
 Minimal MIDI player implementation based on the wildmidi library that
 can either dump to WAV or playback over ALSA. It is intended to
 demonstrate the features of libWildMidi, including: reberb, logarithmic
 volume and high-quality linear or gauss resampling.

Package: wiliki
Description-md5: cec1a4b73aa24f03dc3135215e5391c2
Description-en: Yet another Wiki clone written in Scheme
 WiLiKi is yet another Wiki-clone suitable for intra-net collaborative
 work in multilingual environment.  The features include multiple
 back-end databases, permission and version control, and easy access
 from other programs.

Package: wily
Description-md5: 8fd89c7251545d7a0de431aaadb342f7
Description-en: work-alike of the Acme programming environment for Plan 9
 Wily's basic functions are to edit and search for text and to run
 commands. It is intended to eventually be an integrated working
 environment for all tasks involving text. It uses the mouse heavily.
 .
 It emphasises speed for experienced users, but is probably simple
 to learn as it has very few concepts.

Package: wims
Description-md5: 0d669d4703fcf31fdf92745b0aaaf7b6
Description-en: server for educational content: courses, exercises, and exams
 The WWW Interactive Multipurpose Server is an educational platform
 originally developed for mathematics but now also supporting subjects such
 as physics, chemistry, biology, and languages.
 .
 WIMS features a rich set of resources and exercises either for
 autodidactical use or for training classes, including:
 .
  * exercises with automatic feedback and correction, allowing the
    learners to work at their own pace;
  * interactive exercises with randomly assigned data, allowing the
    learners to work on the same type of exercise but with different
    data and as often as they wish;
  * exercises with an automatic scoring system, which enhances learner
    motivation;
  * user-friendly graphics and calculation tools;
  * courses and documents with hyperlinks to the exercise pool;
    examples in the courses can be made random, so the student can get
    more than one instance of an illustration for tricky concepts;
  * support for exams with pre-published contents. As each individual
    exam will have randomly chosen data, training is possible but
    writing each response in advance is not.
 .
 This package contains the WIMS FastCGI server, serving Open Exercise
 Format (OEF) documents. OEF is a very high-level language which
 allows authors to focus on pedagogical issues.

Package: wims-help
Description-md5: 93fcc7464c8112663f95529bfa0bc452
Description-en: help files for wims
 Wims' modules implement every user interface beyond its main page,
 this package features the help modules.
 .
 WIMS is an acronym for WWW Interactive Mathematics Server. Nowadays
 WIMS serves much more than mathematic contents (physics, chemistry,
 biology, languages).
 .
 The WIMS educational platform features a rich set of resources and
 exercises either with free access or for personalised study.

Package: wims-java-applets
Description-md5: 4e4ab68f1c02f40e7665fae42d037587
Description-en: applets for modules used by WIMS
 WIMS uses modules to implement every user interface beyond its main page.
 Some of them depend on Java applets; this package provides the collection
 of free applets which are maintained upstream.
 .
 Some interesting applets like Jmol are missing, since they still cannot
 be built from their source with free/libre compilers. You can get them
 from their upstream developers.

Package: wims-modules
Description-md5: 723e95137419b5489fb54838a385106c
Description-en: minimal set of modules used by WIMS
 WIMS uses modules to implement every user interface beyond its main page,
 including administrative tasks, help, mathematic tools, libraries, and a
 few interactive exercises.
 .
 To get the thousands of exercises which give WIMS its power, consider
 installing the recommended package wims-extra-all (or other packages
 which provide wims-extra).
 .
 Other educational materials can be downloaded outside of the Debian
 system by automated updates. See http://wimsedu.info

Package: wims-moodle
Description-md5: 554605d64d124ae06152d8219449849b
Description-en: module for Moodle featuring Wims mini-classes
 Moodle (Modular Object-Oriented Dynamic Learning Environment) is a course
 management system - a software package designed to help educators create
 quality online courses.
 .
 Wims is an educational platform originally developed for mathematics
 but now also supporting subjects such as physics, chemistry, biology,
 and languages. Its more striking feature is to provide a huge quantity
 of interactive exercises with randomly assigned data, allowing the
 learners to work on the same type of exercise but with different
 data and as often as they want.
 .
 This module adds the possibility to define Wims classes as special
 assignments in Moodle. Wims classes come in two flavors: one is
 meant to contain a set of worksheets (interactive randomly generated
 exercices for the students), and the other one is meant to contain
 exams. The content of exams are based on previously published
 worksheets.
 .
 The students already logged in Moodle are automatically logged into Wims,
 and as they close the Wims session they entered, their scores in Moodle are
 updated.

Package: wimtools
Description-md5: 41ca2f36b8bce876dc32dd8b6aa86924
Description-en: Tools for manipulating Windows Imaging files
 WIM is an archive format designed primarily for archiving Windows
 filesystems. It features single-instancing and LZ77-based
 compression, and is used by Microsoft to distribute and deploy
 Windows Vista and later.
 .
 This package contains a free implementation of the ImageX utility
 that is used to create WIM files on Windows.

Package: win-iconv-mingw-w64-dev
Description-md5: d1c8c19d852012081603898224574bb2
Description-en: iconv implementation using Windows API (for cross-building)
 Windows systems have native character set conversion
 routines. win-iconv wraps those routines in a standard-looking iconv
 interface.  This package provides a means to cross-build tools for
 Windows that depend on libiconv.
 .
 On native Debian systems, iconv is provided directly by glibc; you
 should not need this package unless you are cross-building Windows
 tools.

Package: window-size
Description-md5: dcbcb2503741ec0a5960239c3544e144
Description-en: get the height and width of the terminal
 reliable way to get the height and width of the terminal/console in a node.js
 environment.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: windowlab
Description-md5: 5f199cf41f357b5acf6189ed102bdb6a
Description-en: small and simple Amiga-like window manager
 WindowLab is a Window Manager for the X Window System. Features include
 click-to-focus, a simple menu/taskbar combination and integration with
 Debian menu system and Xsessions subsystem.
 .
 WindowLab is incredibly fast and small. It is suitable for lightweight
 systems. Virtual desktops are easily constructed using the independent
 package "vdesk".

Package: windows-el
Description-md5: 2062931b49af4501ae4a9098e53f93c1
Description-en: window manager for GNU Emacs
 windows.el allows you to switch between window configurations in emacs,
 providing behaviour similar to virtual desktops that is common in several
 window managers.  In addition, you can save window configurations to a file
 and restore them at a later time.

Package: wine
Description-md5: 4ebadaf7bd4b6c9116b711ef450d53cd
Description-en: Windows API implementation - standard suite
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides essential wrappers and convenience tools for the
 standard Wine components. It also employs the Debian alternatives system to
 provide the usual command names, e.g. "wine" instead of "wine-stable".

Package: wine-binfmt
Description-md5: 4bba22bb33c88475063baee9894f3f54
Description-en: Register Wine as the interpreter for Windows executables
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package registers Wine as an interpreter for Windows executables, using
 the kernel binfmt_misc module.  This causes Wine to be invoked automatically
 whenever a Windows PE (Portable Executable) file is to be launched.
 .
 WARNING:  This increases the risk of inadvertently launching Windows
 malware, so please make sure that you understand the security risks before
 blindly installing this package.
 .
 This feature is probably most interesting for automatic software testing.
 Desktop users usually don't need it, so don't install wine-binfmt unless you
 know that you need it.  Refer to Wine's README.debian for more information.

Package: wine-development
Description-md5: 139da20fbef0c3dd79adf5ac1f0975f8
Description-en: Windows API implementation - standard suite
 Wine is a free MS-Windows API implementation.
 .
 This package provides essential wrappers and convenience tools for the
 standard Wine components. It also employs the Debian alternatives system to
 provide the usual command names, e.g. "wine" instead of "wine-development".

Package: wine-stable
Description-md5: ac6dfa2e8f663b2baddc976bc1f0af78
Description-en: Windows API implementation (transitional package)
 This is a transitional dummy package to complete the migration to the Debian
 wine packages. It can be safely removed.

Package: wine64
Description-md5: 2f2e7bcb65f9cc48805a9b8e3ffa77a9
Description-en: Windows API implementation - 64-bit binary loader
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides the binary loader for 64-bit Windows applications.

Package: wine64-development
Description-md5: 54c9838740378ccb14f94eb5ac802f63
Description-en: Windows API implementation - 64-bit binary loader
 Wine is a free MS-Windows API implementation.
 .
 This package provides the binary loader for 64-bit Windows applications.

Package: wine64-development-preloader
Description-md5: 69498f9ec1c6b32adf50641cc3a6ba4c
Description-en: Windows API implementation - prelinked 64-bit binary loader
 Wine is a free MS-Windows API implementation.
 .
 This package provides the prelinked loader for 64-bit Windows applications.

Package: wine64-development-tools
Description-md5: 7f1bd7babff1d0228d2a02bd58dedb25
Description-en: Windows API implementation - 64-bit developer tools
 Wine is a free MS-Windows API implementation.
 .
 This package provides Wine's 64-bit developer tools. They are capable of
 generating both 32- and 64-bit results.

Package: wine64-preloader
Description-md5: 0f66027b98a6d65188aa8969c268dd0c
Description-en: Windows API implementation - prelinked 64-bit binary loader
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides the prelinked loader for 64-bit Windows applications.

Package: wine64-tools
Description-md5: b2d5946494c3617f26f780d6fc0aa407
Description-en: Windows API implementation - 64-bit developer tools
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides Wine's 64-bit developer tools. They are capable of
 generating both 32- and 64-bit results.

Package: winetricks
Description-md5: 4efe4d2cbe110743095197ee41a22e2b
Description-en: simple tool to work around common problems in Wine
 Winetricks lets you install missing DLLs or tweak various Wine settings
 individually.  It also has a menu of supported games/apps for which it
 can do all the workarounds automatically.
 .
 It can be used via GUI or commandline, whichever you prefer; the
 commandline mode is particularly useful as a building block in fancier
 wine frontends and in automated regression testing.

Package: winff
Description-md5: d60cac047f374ba374e6ba8f3f30a420
Description-en: graphical video and audio batch converter using ffmpeg or avconv
 WinFF is a graphical user interface for FFmpeg or avconv. It will convert
 almost any video file that FFmpeg or avconv will convert. WinFF does multiple
 files in multiple formats at one time. You can, for example, convert
 mpeg's, flv's, and mov's into avi's (or DVD/VCD format or MPEG or 3gp
 etc.) all at once.
 .
 WinFF provides a variety of preset conversion settings for
 common formats and devices. These presets are intended to hit the
 "sweet spot" for each individual codec. They have been written with a
 tip of the balance to quality.
 .
 For most presets to work, it is necessary to have the unstripped version
 of the libavcodec package, which can be obtained by installing
 libavcodec-extra as suggested by the WinFF suite. It might be necessary
 to enable additional repositories to find that package.

Package: winff-data
Description-md5: 8c563411b3e43fdd5ba88e4612093ca5
Description-en: winff data files
 WinFF is a graphical user interface for FFmpeg or avconv. It will convert
 almost any video file that FFmpeg or avconv will convert. WinFF does multiple
 files in multiple formats at one time. You can, for example, convert
 mpeg's, flv's, and mov's into avi's (or DVD/VCD format or MPEG or 3gp
 etc.) all at once.
 .
 This package contains the shared files for the different WinFF flavors.

Package: winff-doc
Description-md5: 2d69ff863c19de22eb43139d69c9a0f0
Description-en: winff documentation
 WinFF is a graphical user interface for FFmpeg or avconv. It will convert
 almost any video file that FFmpeg or avconv will convert. WinFF does multiple
 files in multiple formats at one time. You can, for example, convert
 mpeg's, flv's, and mov's into avi's (or DVD/VCD format or MPEG or 3gp
 etc.) all at once.
 .
 This package contains the pdf-manuals for winff in several languages.

Package: winff-gtk2
Description-md5: 483757b6c23d0eb26c70bfce7077d606
Description-en: GTK+ variant of winff
 WinFF is a graphical user interface for FFmpeg. It will convert
 almost any video file that FFmpeg will convert. WinFF does multiple
 files in multiple formats at one time. You can, for example, convert
 mpeg's, flv's, and mov's into avi's (or DVD/VCD format or MPEG or 3gp
 etc.) all at once.
 .
 Users usually want to install the winff package and let their package
 manager decide which winff variant to use. If you want to override the
 packager's choice, you can additionally select the required variant.
 This package provides the GTK+ variant of winff.

Package: winff-qt
Description-md5: 1e3aa9fa47f1e106e28027b13e784ceb
Description-en: Qt variant of winff
 WinFF is a graphical user interface for FFmpeg. It will convert
 almost any video file that FFmpeg will convert. WinFF does multiple
 files in multiple formats at one time. You can, for example, convert
 mpeg's, flv's, and mov's into avi's (or DVD/VCD format or MPEG or 3gp
 etc.) all at once.
 .
 Users usually want to install the winff package and let their package
 manager decide which winff variant to use. If you want to override the
 packager's choice, you can additionally select the required variant.
 This package provides the Qt variant of winff.

Package: wing
Description-md5: 46c7f8925489651b812cd8a19ef1552e
Description-en: Galaga-like arcade game
 WING is a galaga knock-off arcade game. It features high quality
 pre-rendered graphics, dynamically generated stars in background, single
 player game against computer controlled opponents, 6 levels of play,
 digital sound effects, digital music streams, and a high score list.

Package: wing-data
Description-md5: 413a582e62db1434875015b2599e1f93
Description-en: graphics and audio data for wing
 WING is a galaga knock-off arcade game. It features high quality
 pre-rendered graphics, dynamically generated stars in background, single
 player game against computer controlled opponents, 6 levels of play,
 digital sound effects, digital music streams, and a high score list.
 .
 This package contains the architecture-independent data for wing. For more
 information, see the wing package.

Package: wings3d
Description-md5: 88ba36efe6a79eb7da891157b8a42d94
Description-en: Nendo-inspired 3D polygon mesh modeller
 Wings 3D is a polygon mesh modeller written entirely in Erlang.  The
 user interface was designed to be easy to use for both beginners and
 advanced users alike.  It was inspired by the famous Nendo modeller
 (from Izware.)
 .
 Unlike similar modelling programs (such as Blender), this program
 does not provide native support for doing animations (though you can
 output its models to an animation tool.)
 .
 Wings 3D supports the following import formats: Nendo (NDO),
 3D Studio (3DS), Wavefront (OBJ), and Adobe Illustrator 8 (AI).
 .
 Wings 3D supports the following export formats: Nendo (NDO),
 3D Studio (3DS), Wavefront (OBJ), VRML (WRL), Renderman (RIB),
 Hash:Animation Master (MDL), Renderware (RWX), Yafray, Toxic,
 and FBX via a third-party plug-in.
 .
 Open Source Erlang is a functional programming language designed at
 the Ericsson Computer Science Laboratory.

Package: wininfo
Description-md5: 9c7088b7c393fa3c24773db20cc86160
Description-en: dynamic window information viewer
 This package provides a window information utility for developers of
 applications, toolkits, and window managers. wininfo follows your
 pointer providing information about the windows below. The information
 presented includes:
  - a detailed description of the window hierarchy below the pointer;
  - parsed interpretations of standard properties from both the
    application window and the window manager;
  - information about X server resources used by the application.

Package: winregfs
Description-md5: 610b4161ed7302e41917c1962b3b6c15
Description-en: Windows registry FUSE filesystem
 Winregfs is a FUSE-based filesystem driver that enables accessing of Windows
 registry hive files as ordinary filesystems. Registry hive file editing can
 be performed with ordinary shell scripts and command-line tools once mounted.
 .
 fsck.winregfs scans a Windows registry hive file for problems that indicate
 the hive has been damaged by hardware or software issues, reading recursively
 the  key  and  value  data structures in the registry hive.
 .
 This package provides mount.winregfs and fsck.winregfs commands.
 Winregfs is useful for pentesters, ethical hackers and forensics experts.

Package: winrmcp
Description-md5: 0c87d55f298b75cd5bac8922667401d9
Description-en: tool for copying files to remote Windows machines using WinRM
 This is a tool which provides copyies files to remote Windows
 machines using Windows Remote Management (WinRM/WinRS). The remote
 system must be prepared for that.

Package: winwrangler
Description-md5: 63181208356e0f640a1bb336d9884034
Description-en: Daemon for manipulating windows (tiled layout, etc.)
 Manipulations not commonly found in window managers.
 This package contains support for the '2/3 layout', 'tile'
 and 'expand' actions.

Package: wipe
Description-md5: 1a506a423a5341cc02f3faef2f77580f
Description-en: secure file deletion
 Recovery of supposedly erased data from magnetic media is easier than
 what many people would like to believe. A technique called Magnetic
 Force Microscopy (MFM) allows any moderately funded opponent to recover
 the last two or three layers of data written to disk. Wipe repeatedly
 writes special patterns to the files to be destroyed, using the fsync()
 call and/or the O_SYNC bit to force disk access.
 .
 Wipe can permanently delete data in hard disks and flash drives (caution!
 several writes can damage solid medias).
 .
 This program is useful in anti-forensics and security activities.

Package: wire
Description-md5: 1f4fe313ddcd408fea1a3be28d404ef3
Description-en: Simple Wire encoding protocol command line tool
 This software implements Go bindings for the Wire
 encoding protocol. The goal of the Wire encoding
 protocol is to be a simple language-agnostic
 encoding protocol for rapid prototyping of
 blockchain applications.
 .
 This package provides the wire command line client.

Package: wiredtiger
Description-md5: ae981e31f0a9a656a8c288c83a066994
Description-en: high performance, scalable, NoSQL, extensible platform for data management
 Supports row-oriented storage (where all columns of a row are stored
 together), column-oriented storage (where columns are stored in groups,
 allowing for more efficient access and storage of column subsets) and
 log-structured merge trees (LSM), for sustained throughput under random
 insert workloads.
 .
 Includes ACID transactions with standard isolation levels and durability at
 both checkpoint and fine-grained granularity.
 .
 Can be used as a simple key/value store, but also has a complete schema
 layer, including indices and projections.

Package: wireguard
Description-md5: bd6dd7a30cf34800a40219e3d2df9dc3
Description-en: fast, modern, secure kernel VPN tunnel (metapackage)
 WireGuard is a novel VPN that runs inside the Linux Kernel and uses
 state-of-the-art cryptography (the "Noise" protocol). It aims to be
 faster, simpler, leaner, and more useful than IPSec, while avoiding
 the massive headache. It intends to be considerably more performant
 than OpenVPN. WireGuard is designed as a general purpose VPN for
 running on embedded interfaces and super computers alike, fit for
 many different circumstances. It runs over UDP.
 .
 This metapackage explicitly depends on both the kernel module and the
 userspace tooling.

Package: wireguard-dkms
Description-md5: 5fe9aeace9ae485b87e21f6a2a784845
Description-en: fast, modern, secure kernel VPN tunnel (DKMS version)
 WireGuard is a novel VPN that runs inside the Linux Kernel and uses
 state-of-the-art cryptography (the "Noise" protocol). It aims to be
 faster, simpler, leaner, and more useful than IPSec, while avoiding
 the massive headache. It intends to be considerably more performant
 than OpenVPN. WireGuard is designed as a general purpose VPN for
 running on embedded interfaces and super computers alike, fit for
 many different circumstances. It runs over UDP.
 .
 This package uses DKMS to automatically build the wireguard kernel
 module.

Package: wireguard-tools
Description-md5: a758c2cb66a089ccfb3c6f0639ffeb3c
Description-en: fast, modern, secure kernel VPN tunnel (userland utilities)
 WireGuard is a novel VPN that runs inside the Linux Kernel and uses
 state-of-the-art cryptography (the "Noise" protocol). It aims to be
 faster, simpler, leaner, and more useful than IPSec, while avoiding
 the massive headache. It intends to be considerably more performant
 than OpenVPN. WireGuard is designed as a general purpose VPN for
 running on embedded interfaces and super computers alike, fit for
 many different circumstances. It runs over UDP.
 .
 This package contains command-line tools to interact with the
 WireGuard kernel module.  Currently, it provides only a single tool:
 .
 wg: set and retrieve configuration of WireGuard interfaces

Package: wireless-crda
Description-md5: 2f50646a9cc27fe57c1e75312e35cbfb
Description-en: Wireless Central Regulatory Domain Agent
 This package depends on the CRDA agent for wireless drivers to
 determine the regulatory domain channel and power settings.

Package: wireshark
Description-md5: e2e26f45272009095cc47933b48129a6
Description-en: network traffic analyzer - meta-package
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This is a meta-package for Wireshark.

Package: wireshark-common
Description-md5: b1c29e0c6a4eee149d83574a64f12c2b
Description-en: network traffic analyzer - common files
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides files common to both wireshark and tshark
 (the console version).

Package: wireshark-dev
Description-md5: 7a344f6feb13aa3b18ede52debae4fe2
Description-en: network traffic analyzer - development tools
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides idl2wrs and other files necessary for developing
 new packet dissectors.

Package: wireshark-doc
Description-md5: ed8b1896882405b928131b74c90e0b57
Description-en: network traffic analyzer - documentation
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package contains Wireshark User's guide, Wireshark Developer's Guide
 and the Lua Reference.

Package: wireshark-gtk
Description-md5: 1f317b04f78374dba7d1e14def0b7f80
Description-en: transitional dummy package
 This is a transitional dummy package. It can safely be removed.

Package: wireshark-qt
Description-md5: 4aa78d5ff5497c82e96e6e723d3c5af6
Description-en: network traffic analyzer - Qt version
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides the Qt version of Wireshark.

Package: wiringpi
Description-md5: 0fb54ff99d43c54a72b5f4d525d57fd0
Description-en: Raspberry Pi tool for controlling GPIO devices
 A command line utility for querying and controlling the GPIO pins from the
 shell.

Package: wise
Description-md5: 05a1cea55c0eba36881cfc9891c0d3b1
Description-en: comparison of biopolymers, like DNA and protein sequences
 Wise2 is a package focused on comparisons of biopolymers, commonly DNA
 and protein sequences. There are many other packages which do
 this, probably the best known being BLAST package (from NCBI) and the
 Fasta package (from Bill Pearson). There are other packages, such as
 the HMMER package (Sean Eddy) or SAM package (UC Santa Cruz) focused
 on hidden Markov models (HMMs) of biopolymers.
 .
 Wise2's particular forte is the comparison of DNA sequence at the level
 of its protein translation. This comparison allows the simultaneous
 prediction of say gene structure with homology based alignment.
 .
 Wise2 also contains other algorithms, such as the venerable Smith-Waterman
 algorithm, or more modern ones such as Stephen Altschul's generalised
 gap penalties, or even experimental ones developed in house, such as
 dba. The development of these algorithms is due to the ease of developing
 such algorithms in the environment used by Wise2.
 .
 Wise2 has also been written with an eye for reuse and maintainability.
 Although it is a pure C package you can access its functionality
 directly in Perl. Parts of the package (or the entire package) can
 be used by other C or C++ programs without namespace clashes as all
 externally linked variables have the unique identifier Wise2 prepended.

Package: wise-data
Description-md5: f5c4745c26e437121d481b3ff974af56
Description-en: data files for the wise package
 Wise2 is a package focused on comparisons of biopolymers, commonly DNA
 and protein sequences. There are many other packages which do
 this, probably the best known being BLAST package (from NCBI) and the
 Fasta package (from Bill Pearson). There are other packages, such as
 the HMMER package (Sean Eddy) or SAM package (UC Santa Cruz) focused
 on hidden Markov models (HMMs) of biopolymers.
 .
 Wise2's particular forte is the comparison of DNA sequence at the level
 of its protein translation. This comparison allows the simultaneous
 prediction of say gene structure with homology based alignment.
 .
 Wise2 also contains other algorithms, such as the venerable Smith-Waterman
 algorithm, or more modern ones such as Stephen Altschul's generalised
 gap penalties, or even experimental ones developed in house, such as
 dba. The development of these algorithms is due to the ease of developing
 such algorithms in the environment used by Wise2.
 .
 Wise2 has also been written with an eye for reuse and maintainability.
 Although it is a pure C package you can access its functionality
 directly in Perl. Parts of the package (or the entire package) can
 be used by other C or C++ programs without namespace clashes as all
 externally linked variables have the unique identifier Wise2 prepended.
 .
 This package contains data files for Wise2, a package focused on
 comparisons of biopolymers, commonly DNA and protein sequences.

Package: wise-doc
Description-md5: 4ef5d5cfbae40072d426ca7311c3ba0a
Description-en: documentation for the wise package
 Wise2 is a package focused on comparisons of biopolymers, commonly DNA
 and protein sequences. There are many other packages which do
 this, probably the best known being BLAST package (from NCBI) and the
 Fasta package (from Bill Pearson). There are other packages, such as
 the HMMER package (Sean Eddy) or SAM package (UC Santa Cruz) focused
 on hidden Markov models (HMMs) of biopolymers.
 .
 Wise2's particular forte is the comparison of DNA sequence at the level
 of its protein translation. This comparison allows the simultaneous
 prediction of say gene structure with homology based alignment.
 .
 Wise2 also contains other algorithms, such as the venerable Smith-Waterman
 algorithm, or more modern ones such as Stephen Altschul's generalised
 gap penalties, or even experimental ones developed in house, such as
 dba. The development of these algorithms is due to the ease of developing
 such algorithms in the environment used by Wise2.
 .
 Wise2 has also been written with an eye for reuse and maintainability.
 Although it is a pure C package you can access its functionality
 directly in Perl. Parts of the package (or the entire package) can
 be used by other C or C++ programs without namespace clashes as all
 externally linked variables have the unique identifier Wise2 prepended.
 .
 This package contains the documentation for Wise2, a package focused on
 comparisons of biopolymers, commonly DNA and protein sequences.

Package: wit
Description-md5: 426b455faebf29a570c915672e00bbe6
Description-en: manipulate Wii and GameCube ISO images and WBFS containers
 Wiimms ISO Tools is a set of command line tools to manipulate Wii and GameCube
 ISO images and WBFS containers. The toolset consists of the following tools:
 .
 wit (Wiimms ISO Tool):
 This is the main ISO manipulation tool : It can list, analyze, verify,
 convert, split, join, patch, mix, extract, compose, rename and compare Wii and
 GameCube discs. It can create and dump different other Wii file formats.
 .
 wwt (Wiimms WBFS Tool):
 This is the main WBFS manipulation tool (WBFS manager) : It can create, check,
 repair, verify and clone WBFS files and partitions. It can list, add, extract,
 remove, rename and recover ISO images as part of a WBFS.
 .
 wdf (Wiimms WDF Tool):
 wdf is a support tool for WDF, WIA and CISO archives. It convert (pack and
 unpack), compare and dump WDF, WIA (dump and cat only) and CISO archives. The
 default command depends on the program file name (see command descriptions).
 Usual names are wdf, unwdf, wdf-cat, wdf-cmp and wdf-dump (with or without
 minus signs). »wdf +CAT« replaces the old tool wdf-cat and »wdf +DUMP« the old
 tool wdf-dump.
 .
 wfuse (Wiimms FUSE Tool):
 Mount a Wii or GameCube image or a WBFS file or partition to a mount point
 using FUSE (Filesystem in USErspace). Use 'wfuse --umount mountdir' for
 unmounting.

Package: wixl
Description-md5: e75f48ba4a1f1a688b7a46ae1ce08fc8
Description-en: Windows Installer creation tool
 wixl builds Windows Installer (.msi) packages from XML documents, in
 a similar fashion to the WiX toolset.
 .
 This package includes wixl-heat, which builds WiX XML fragments from
 lists of files and directories.

Package: wixl-data
Description-md5: 06f9ba2389df91f273d15a3d33209262
Description-en: Windows Installer creation tool — data files
 wixl builds Windows Installer (.msi) packages from XML documents, in
 a similar fashion to the WiX toolset.
 .
 This package contains the package descriptions.

Package: wizznic
Description-md5: a71f83450f7708153fa3a2faf211bd89
Description-en: implementation of the arcade classic Puzznic
 As in the original game your mission is to clear the level of
 bricks. This is done by moving the bricks around. When two or
 more bricks of the same kind are next to each other or on top
 of each other they will disappear and points will be given.
 The level has to be clear of bricks before the time runs out
 or you will lose a life.

Package: wizznic-data
Description-md5: 7bf46ba7eff15d3d9c351e812d9dc5d2
Description-en: implementation of the arcade classic Puzznic (data)
 This package contains all the level and sounds of the game
 .
 As in the original game your mission is to clear the level of
 bricks. This is done by moving the bricks around. When two or
 more bricks of the same kind are next to each other or on top
 of each other they will disappear and points will be given.
 The level has to be clear of bricks before the time runs out
 or you will lose a life.

Package: wkhtmltopdf
Description-md5: 947a2346cc860a8c65c6fbf1e104a122
Description-en: Command line utilities to convert html to pdf or image using WebKit
 wkhtmltopdf is a command line program which permits one to create a
 pdf or an image from an url, a local html file or stdin. It produces a pdf or
 an image like rendered with the WebKit engine.
 .
 This program requires an X11 server to run.
 .
 It is not built against a forked version of Qt hence some options are not
 supported.

Package: wks2ods
Description-md5: bb4714cc51b86ac359431957ab154cc7
Description-en: Works spreadsheet documents to OpenDocument converter
 This package contains a utility for converting Works spreadsheet document
 files and Works database files into OpenDocument spreadsheet documents.

Package: wl
Description-md5: 89d56214f0179673d1fe28606af4cfac
Description-en: mail/news reader supporting IMAP for emacsen
 Wanderlust is a mail/news management system on emacsen.  It supports
 IMAP4rev1 (RFC2060), NNTP, POP and local message files.
 .
 The main features of Wanderlust:
 .
  - Pure elisp implementation.
  - Supports IMAP4rev1, NNTP, POP (POP3/APOP), MH and Maildir format.
  - Unified access method to messages based on Mew-like Folder Specification.
  - Mew-like Key-bind and mark handling.
  - Manages unread messages.
  - Interactive thread display.
  - Folder Mode shows the list of subscribed folders.
  - Message Cache, Disconnected Operation.
  - MH-like FCC. (Fcc: %Backup and Fcc: $Backup is allowed).
  - MIME compliant (by SEMI).
  - Transmission of news and mail are unified by Message transmitting draft.
  - Graphical list of folders.
  - View a part of message without retrieving the whole message (IMAP4).
  - Server-side message look up (IMAP4). Multi-byte characters are allowed.
  - Virtual Folders.
  - Supports compressed folder using common archiving utilities.
  - Old articles in folders are automatically removed/archived (Expiration).
  - Automatic re-file.
  - Template function makes it convenient to send fixed form messages.

Package: wl-beta
Description-md5: d50de4139d2e7141fe9294629d1ce9a3
Description-en: mail/news reader supporting IMAP for emacsen (development version)
 Wanderlust is a mail/news management system on emacsen.  It supports
 IMAP4rev1 (RFC2060), NNTP, POP and local message files.
 .
 The main features of Wanderlust:
 .
  - Pure elisp implementation.
  - Supports IMAP4rev1, NNTP, POP (POP3/APOP), MH and Maildir format.
  - Unified access method to messages based on Mew-like Folder Specification.
  - Mew-like Key-bind and mark handling.
  - Manages unread messages.
  - Interactive thread display.
  - Folder Mode shows the list of subscribed folders.
  - Message Cache, Disconnected Operation.
  - MH-like FCC. (Fcc: %Backup and Fcc: $Backup is allowed).
  - MIME compliant (by SEMI).
  - Transmission of news and mail are unified by Message transmitting draft.
  - Graphical list of folders.
  - View a part of message without retrieving the whole message (IMAP4).
  - Server-side message look up (IMAP4). Multi-byte characters are allowed.
  - Virtual Folders.
  - Supports compressed folder using common archiving utilities.
  - Old articles in folders are automatically removed/archived (Expiration).
  - Automatic re-file.
  - Template function makes it convenient to send fixed form messages.
 .
 This package provides a development snapshot version of Wanderlust.

Package: wl-clipboard
Description-md5: 5a090dac3b8c2437a82fd3b00297e025
Description-en: command line interface to the wayland clipboard
 wl-clipboard implements two little Wayland clipboard
 utilities, wl-copy and wl-paste, that let you easily copy data
 between the clipboard and Unix pipes, sockets or files.

Package: wlc
Description-md5: 2d48573d77e122ab576aef76c5a002ee
Description-en: Command line utility for Weblate
 Weblate is web based translation with tight VCS integration supporting wide
 range of file formats and makes it easy for translators to contribute.
 .
 This package provides command line utility to work with Weblate remotely and
 python module.

Package: wlcs
Description-md5: 8cf638932a02ee8cd7dfc6cb1dcdaa0c
Description-en: Wayland Conformance Suite's
 wlcs aspires to be a protocol-conformance-verifying test suite usable by
 Wayland compositor implementations.
 .
 This package contains the headers necessary for a Wayland compositor to
 provide the integration module needed to run wlcs tests, and the test
 runner binary needed to run the tests against the compositor.

Package: wm-icons
Description-md5: 100cc3340964b7f96fdd309af6fe9a31
Description-en: Themed icon set that is Window Manager agnostic
 The Window Manager Icons is a set of generic icons for use by window
 managers in a graphical environment.  It is an efficient icon
 distribution designed to be standardized and configurable.  It
 includes several themed icon sets, a template icon set to help
 building new themed icon sets, scripts and configurations for several
 window managers.
 .
 wm-icons comes with different styles and common window manager sizes
 (small menu icons, larger desktop icons, etc.) as well as advice and
 setup for integrating them with various window managers such as FVWM,
 SCWM, etc.  Each set consists of an icon in that size and style for
 each of about 84 different generic categories ("game", "editor",
 "file-manager", etc).

Package: wm2
Description-md5: 6720cbabdbc341b046c764004ee22619
Description-en: small, unconfigurable window manager
 wm2 is a window manager for X.  It provides an unusual style of window
 decoration and as little functionality as Chris Cannam feels comfortable
 with in a window manager.  wm2 is not configurable, except by editing
 the source and recompiling the code, and is really intended for people
 who don't particularly want their window manager to be too friendly.

Package: wmacpi
Description-md5: 13ae1b92a34c1d7454a189a1bd5acece
Description-en: ACPI battery monitor for WindowMaker
 This is a battery monitor that uses ACPI to query the battery status.
 This version should work with all recent kernels (vanilla > 2.4.21), both
 2.4.x and 2.6.x.

Package: wmail
Description-md5: 8b7da8ad2440a3afd0e66c12060f744d
Description-en: WindowMaker docklet watching your inbox
 wmail is a Window Maker docklet watching your inbox, which is either a
 ordinary mbox or a directory conforming to qmail's Maildir format. It
 provides a nice little GUI displaying some useful pieces of information
 about your inbox (as many other nice wm-apps doing nearly the same
 thing...). Per default it uses the $MAIL environment-variable to locate
 the inbox you are using, other mailing mechanisms like POP or IMAP are
 not supported - use a tool like fetchmail to retrieve POP- or IMAP-based
 mail.

Package: wmaker
Description-md5: 781f2c4a40ddc49782034e8c4df71f98
Description-en: NeXTSTEP-like window manager for X
 Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look
 very closely, and it is now an official GNU project. Window Maker (originally
 named WindowMaker) is not overloaded with features, and it is easier to
 configure than most other window managers. Its final goal is to produce a
 window manager that doesn't require editing of configuration files.
 Window Maker is fast and doesn't require tons of memory to run.

Package: wmaker-common
Description-md5: f3cf3e4e2c0dddd99432dce9a9df9c48
Description-en: Window Maker - Architecture independent files
 Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look
 very closely, and it is now an official GNU project. Window Maker (originally
 named WindowMaker) is not overloaded with features, and it is easier to
 configure than most other window managers. Its final goal is to produce a
 window manager that doesn't require editing of configuration files.
 Window Maker is fast and doesn't require tons of memory to run.
 .
 This package contains the architecture independent files.

Package: wmaker-data
Description-md5: 74ee3d7ece01617600b92cf99b80c65b
Description-en: several free icons for use with WindowMaker and others
 They all have a basic NeXTSTEP-like look and feel. These icons are
 placed where the WindowMaker package expects them by default. In
 fact, the wmaker package is set up to use this icons by default.
 .
 These icons are free in the DFSG sense.

Package: wmaker-utils
Description-md5: 22e211c8cab9bf58239e50d9a19225d1
Description-en: Window Maker - Utilities
 Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look
 very closely, and it is now an official GNU project. Window Maker (originally
 named WindowMaker) is not overloaded with features, and it is easier to
 configure than most other window managers. Its final goal is to produce a
 window manager that doesn't require editing of configuration files.
 Window Maker is fast and doesn't require tons of memory to run.
 .
 This package contains wxcopy and wxpaste, two utilities ordinarily shipped with
 Window Maker but not depending on it or any of its libraries.  These
 utilities allow users to interact with cut buffers on the command line.

Package: wmanager
Description-md5: 34cde153564323ed6217561972e4c5ca
Description-en: window-manager selection tool used at X startup
 wmanager is a small X11 application for selecting a window manager
 at X startup. wmanager looks for a file named '.wmmanagerrc' in
 the user's home directory which contains a list of window managers;
 you can create such a file with wmanagerrc-update. You can also use
 wmanager-loop that runs window managers chosen by the user until
 told to exit.

Package: wmauda
Description-md5: 46e8c83f044c9cd7f64367e4836525e1
Description-en: remote-control dockapp for Audacious
 wmauda is a dockapp for WindowMaker and other window managers that support
 dockable applets. It acts as a remote control for the Audacious media player.
 .
 wmauda is a port of wmxmms to Audacious, itself seen as a replacement for
 XMMS.

Package: wmbattery
Description-md5: e00f3eeb363ea5aef45931b67944da9f
Description-en: display laptop battery info, dockable in WindowMaker
 wmbattery displays the status of your laptop's battery in a small icon.
 This includes if it is plugged in, if the battery is charging, how many
 minutes of battery life remain, and battery status (high - green, low -
 yellow, or critical - red).
 .
 There's nothing in the program that makes it require WindowMaker, except
 maybe the look. It can be docked in WindowMaker or AfterStep's dock.
 .
 wmbattery supports multi-battery machines, and can estimate how long
 it will take the battery to finish charging or discharging.

Package: wmbiff
Description-md5: 0dbc8d3b6816736876b8495146e01d6b
Description-en: Dockable app that displays information about mailboxes
 WMBiff is an WindowMaker docking utility, that displays the
 number of read and unread messages in (usually) five
 mailboxes. You can also define actions to execute on new
 mail arrival (for example, play a sound file),
 [auto]fetchmail from a remote server, execute your mail
 reader with a mouse click, or list subject lines from unread
 messages.
 .
 At this moment, wmbiff supports unix-style, maildir, pop3, APOP, IMAP
 and IMAPS mailboxes.
 .
 It also includes scripts to perform miscellaneous tasks. At
 the moment, these include a checker for Debian security updates.
 .
 wmbiff is similar to the wmmaiload package, but features an
 LED-style appearance, IMAPS support, and other minor differences.

Package: wmbubble
Description-md5: 88b46e4d7c2bc2094e09ff9e32e510b7
Description-en: system-load meter for Window Maker that features a duck
 A Window Maker dockapp (though it does not specifically require the Window
 Maker window manager) that indicates the system load.
 .
 The more profuse the bubbles, the higher the CPU usage. The higher the water,
 the more memory being used.
 .
 Up to two user-specified programs may be launched from this dockapp.

Package: wmbutton
Description-md5: 9903271efc81b69cf230832dfb30c4d1
Description-en: dockapp displaying nine configurable buttons
 Wmbutton displays nine buttons which can be configured through a config file.
 Each button can be assigned up to three commands (one per mouse button).
 It is intended as a dockapp for X window managers such as Window Maker
 (wmaker package), AfterStep, BlackBox, and Enlightenment.

Package: wmcalc
Description-md5: b2f84e556d4bf71694acb1b20a545d86
Description-en: dockable calculator application
 Wmcalc is a small calculator meant for the WindowMaker dock or
 Afterstep Wharf. It is a simple calculator with basic functions and
 memory slots, and can launch any external application for more
 complex calculations.

Package: wmcalclock
Description-md5: 329875c59a3915a367b63a9e65ceae15
Description-en: dock.app which simply tells time and date
 wmCalClock is a dock app specifically written for WindowMaker, but will work
 with other window managers.  It features antialiased text display with the
 ability to choose between different fonts and colors.  wmCalclock supports
 12, 24 and 30 hour time, Greenwich time, Greenwich Mean Sidereal Time, and
 Local Sidereal Time.

Package: wmcdplay
Description-md5: 72acf1fc92c80912e335194379db1102
Description-en: CD player based on ascd designed for WindowMaker
 There's nothing in the program that makes it *require* WindowMaker,
 except maybe the look. It's extremely customizable, provides great
 control, and runs on a 64x64 window. You can get it too look almost
 like anything, provided of course you READ the documentation.

Package: wmcliphist
Description-md5: 4afed3f4a0fc5128aa6851b6dc728abc
Description-en: Dockapp which provides a history for X11 selections
 wmcliphist is a dockable application for Window Maker. It keeps a
 history of clipboard operations and allows you to put previously
 copied items back to clipboard for pasting to other applications.

Package: wmclock
Description-md5: 8cd2238c068c0b72f0a4e8cbcc9e4f41
Description-en: dockable clock applet for Window Maker
 wmclock is an applet for Window Maker which displays the date and
 time in a dockable tile. It features multiple language support, 24h
 or 12h time display, and can run a user-specified program on mouse
 click.

Package: wmclockmon
Description-md5: f048deed0fe9f85df3193833cc40b717
Description-en: Displays a clock in 12/24h mode with alarm mode
 wmclockmon displays a clock like wmtime, but with an alarm timer and
 different styles (14 + user customized ones). There's also a "binary
 clock" style available.

Package: wmcoincoin
Description-md5: e424675d416327bddc96cb73b80a6758
Description-en: Humourous dockapp for browsing DaCode sites' news and board
 WMCoinCoin allows you to browse linuxfr.org and other sites based on
 DaCode 1.4, Templeet and many other webchat engines. It will:
  * display website news with new items displayed prominently;
  * display private messages, showing the appropriate web page when
    new messages are received;
  * allow tabbed browsing of multiple sites;
  * display the board/webchat and allow new messages to be posted, with the
    ability to detect, enhance or kill trolls.
 .
 WMCoinCoin, while being full of stupid things, is a real advanced
 chatting client, using HTTP, with low bandwidth requirements.

Package: wmcore
Description-md5: bc3ecc0fe6d98389f4d8a6b48127a400
Description-en: Dockapp that shows the usage of each core in the system
 The dockapp splits into two displays, the upper one showing the common usage
 of the system and the lower display showing one graph per each core.
 .
 It detects the number of cores and computes the usage to be represented as a
 bar graph.
 wmcore works with a variable number of cores.  The display has been tested
 with 1 up to 16 (simulated) cores.

Package: wmcpu
Description-md5: 7654daf5d13df638ab68a3e71cdd21d5
Description-en: Window Maker docking app similar to xosview
 Displays a 64x64 box with:
  * CPU
  * Mem
  * Swap
  * Uptime

Package: wmcpuload
Description-md5: 38093b5b3920c59944993f97031d81cc
Description-en: Dockapp that displays the current CPU usage
 WMCPULoad is a dockapp for X window managers such as WindowMaker,
 AfterStep, BlackBox and Enlightenment. It displays the current CPU
 usage as percentage value and chart with an LCD-like look. Its
 appearance can be configured from the commandline and it has a
 backlight mode which can be triggered manually or by an adjustable
 alarm percentage.

Package: wmctrl
Description-md5: d7813ae91d5041816b91b694fdf32050
Description-en: control an EWMH/NetWM compatible X Window Manager
 Wmctrl is a command line tool to interact with an
 EWMH/NetWM compatible X Window Manager (examples include
 Enlightenment, icewm, kwin, metacity, and sawfish).
 .
 Wmctrl provides command line access to almost all the features
 defined in the EWMH specification. For example it can maximize
 windows, make them sticky, set them to be always on top. It can
 switch and resize desktops and perform many other useful
 operations.

Package: wmcube
Description-md5: 3c613462fc9b40bef5a032f233128922
Description-en: spinning 3D object that shows the current CPU load
 wmcube is a dockapp that displays a realtime rotating 3D object which
 indicates  current CPU  load. The  higher  the load,  the faster  the
 object  spins. On  SMP  machines one  instance  can be  run for  each
 processor.
 .
 Originally wmcube could spin only a cube, hence the name.

Package: wmdate
Description-md5: 1a734cab53138c2ae35286082d46b788
Description-en: Calendar for window manager docking bars
 wmdate produces a 64x64 pixel calendar for use in the docking bars of
 window managers.  It was written specificly for Window Maker and goes well
 with docking bars that have a "clock-only" clock app such as pclock.

Package: wmdiskmon
Description-md5: 34909651ae39b84d4e857e86c6970b1b
Description-en: dockapp to display disk usage
 wmdiskmon is a dockapp to monitor disk usage. It can be used with X window
 managers like Window Maker, AfterStep, BlackBox and Enlightenment.
 .
 The dockapp has two modes. The default displays three devices at a time
 in a bargraph manner. The other displays  only  one  device,  but  with
 numeric percentage and a longer name over three lines.

Package: wmdocker
Description-md5: 032a37ba6228c22cbca1c0b960030eaa
Description-en: System tray for KDE3/GNOME2 docklet applications
 Docker is a docking application (WindowMaker dock app) which acts as a system
 tray for any desktop environment, allowing you to have a system tray without
 running the KDE/GNOME panel Docker was designed to work with Openbox 2, but it
 should work fine in any window manager.

Package: wmdrawer
Description-md5: 93123e5dc641e84d4626f14ac4bdbdfe
Description-en: Window Maker dockapp providing a drawer to launch applications
 Features include:
  * The drawer is retractable and animated.
  * Several columns of buttons can be defined in the drawer.
  * The configuration file is automatically reloaded if it changes.
  * Multiple instances of the program can run at the same time.
  * The buttons can be highlighted under the mouse cursor.
  * A tooltip can be defined for each button.
  * The drawer can be transparent.
  * Ability to launch several apps at once from the drawer. By holding down the
    key Shift or by using mouse buttons middle or right instead of left, you can
    keep the drawer opened.

Package: wmf
Description-md5: 5a1fa5744fd5f38792cafc0e585a272b
Description-en: Web Mail Folder
 This program converts emails to HTML and makes index files
 (by author, data, subject,thread). Of course there are other programs
 making the same, but here are some features which not all have:
        - correct threading without level limitation
        - quoted-printable text encoding
        - home page hyperlink (X-URL)
        - faces support
        - breaking indexes in different pages to make them smaller
          (don't break between threads)
        - index time limitation (older mail disappears from the index)
        - frame support

Package: wmfire
Description-md5: df2b72b972aabbc5e64d3c418a4b8fcb
Description-en: very cool fiery way of showing your CPU usage
 A Window Maker (or similar) dockapp which shows your current CPU usage as
 a roaring fire.
 .
 It can monitor the average cpu load, or individual cpu load on SMP computers.
 Additionally it can monitor the memory, network load, a file or just be set to
 show a pretty flame. On entering the dock a burning spot replaces the cursor,
 and after two seconds symbols to represent the current monitor are "burnt"
 onscreen. The flame colour can also be changed.
 .
 It uses the GDK library to improve its speed - using less than half the CPU of
 the original program.

Package: wmforecast
Description-md5: 05d322844d4f895614998c668625794a
Description-en: weather dockapp for Window Maker using the Yahoo Weather API
 wmforecast is a weather dockapp for Window Maker. It displays the current
 temperature and an icon representing the current conditions.  A balloon
 tooltip displays forecast information.  The weather information comes from
 the Yahoo Weather API.

Package: wmforkplop
Description-md5: e22279aa0e557f5d122fb0b306684fa6
Description-en: monitors forking activity and displays top CPU consuming processes
 Wmforkplop monitors the forking activity of the kernel and
 displays a list of the most CPU consuming processes.
 Although primarily aimed at Windowmaker, it will run on any
 window manager, either as a dockapp or as a standard X11
 application.

Package: wmfrog
Description-md5: 994f7d9eb092117292c036c2d3c79943
Description-en: dockapp for graphically indicating the weather
 This package provides a dockapp for weather reports. It shows:
 .
  - Cloud cover: clear, few, scattered, broken, overcast etc.
  - Precipitation: light, moderate, or heavy rain, hail, or snow.
  - Humidity as a percentage.
  - Wind speed, average and gusting, and direction.
  - Temperature: Celsius or Fahrenheit.
  - Special conditions such as gales, thunderstorms, or tornadoes.
 .
 The name of the reporting station can be configured and the time of the
 last update is displayed.

Package: wmfsm
Description-md5: 9c8d351cb7d43f7a77b77de4e0db399a
Description-en: WindowMaker dock app for monitoring filesystem usage
 Nice graphical 'df', showing you to what degree the mounted
 filesystems are used.
 .
 Another X11 dockable application designed for WindowMaker.
 It works with other window managers as well.

Package: wmget
Description-md5: 8a017d897c767437878713fa5bfb97e0
Description-en: Background download manager in a Window Maker dock app
 wmget is a dock app for the GNU Window Maker window manager
 (or one of the many other WM's which support dockapps) which
 makes it more convenient to perform long downloads in the background.
 It uses the excellent libcurl library, part of the cURL automated-download
 program, to perform file retrieval.

Package: wmgtemp
Description-md5: ea9e09e2291deaf68434a61c9290794a
Description-en: Temperature sensor dockapp for Window Maker
 wmgtemp is a dock app (a 64x64 window) which graphically displays the
 CPU and SYS temperatures of motherboards supported by lm_sensors.
 .
 To make wmgtemp work, one needs to set up lm_sensors.

Package: wmhdplop
Description-md5: 68f2198c7e0c451e379338833ca7ede0
Description-en: hard drive activity monitor dockapp
 It monitors your hard drives by sending visual stimuli to your cortex
 each time your /dev/hdx writes or reads anything. Try to launch
 openoffice and enjoy the wmhdplop show.
 .
 wmhdplop is a dockapp for Window Maker.

Package: wmifinfo
Description-md5: a1c70a876aafb8115a039b00b773e894
Description-en: Dockapp that shows information for all interfaces
 wmifinfo is a simple applet showing basic network info for all available
 interfaces. It shows IP address, netmask, gateway and MAC address in a
 similar way to ifconfig.

Package: wmifs
Description-md5: 850806c5b4f0eb5f3f8b3750cad20d5e
Description-en: Window Maker dockapp for monitoring network traffic
 wmifs is a dockable applet for X11 that can monitor all your network
 interfaces. It's designed for Window Maker's Dock and AfterStep's Wharf,
 but it's not dependent on these window managers and should work with any
 other.
 .
 WMiFS stands for "Window Maker InterFace Statistics".

Package: wmitime
Description-md5: ed8bfdcf19aa6c04086e79f870d8fa06
Description-en: clock dock app showing time and internet time
 WMitime is clock dock app, which shows standard time,
 date. The unique feature is that it can also show Internet time
 with notation @TIME. See <http://www.swatch.com/> for more about
 Internet time.

Package: wmix
Description-md5: c8ba753636c7bfe4da39047add7fb1b9
Description-en: Dockapp mixer for OSS
 Allows toggling record source, muting individual channels,
 adjusting volume and balance, all in a compact dockapp size,
 with TV-like on-screen-display for volume levels.
 .
 Supports mousewheel to adjust current channelvolume
 and can be controlled remotely with SIGUSR1 / SIGUSR2 to adjust the volume.
 Keeps a simple configuration file for user-defined settings.

Package: wml
Description-md5: 943471efbd2aa59eb1cf5018c40874aa
Description-en: off-line HTML generation toolkit
 WML (Website META Language) is a free and extensible Webdesigner's
 off-line HTML generation toolkit for Unix.  WML consists of a control
 frontend driving up to nine backends in a sequential pass-oriented
 filtering scheme. Each backend provides one particular core language.
 For maximum power WML additionally ships with a well-suited set of
 include files which provide higher-level features build on top of the
 backends core languages. While not trivial and idiot proof WML provides
 most of the core features real hackers always wanted for HTML
 generation.

Package: wmload
Description-md5: 8614626582ba202ad534a5b8d17530e6
Description-en: system load monitor designed for Window Maker
 wmload displays a graphical representation of the kernel/system
 statistics on a 64x64 miniwindow. There are three shades in the
 window: the light one, which corresponds to user statistics, second
 is the nice time statistics, and the darkest one is system statistics.
 .
 It works with other window managers, there isn't anything in the program to
 make it require Window Maker, except maybe the look.

Package: wmlongrun
Description-md5: a5647bb340888c2641d82e67b065893b
Description-en: program to monitor longrun status
 WMLongRun is a program to monitor LongRun. It displays the current
 LongRun(tm) settings and status: the frequency, the LongRun(tm) flags
 (performance or economy), and the performance level (expressed as a
 percentile). It is a dockapp (dockable application) that is supported by
 X window managers such as Window Maker, AfterStep, BlackBox, and
 Enlightenment.
 .
 It has two user interfaces: an LCD look-alike user interface and an
 LED-interface. If you select the LCD-interfaces, the back-light may be
 turned on/off by clicking the mouse button over the application.

Package: wmmatrix
Description-md5: 76d7cd259497849c2543c99ddefc1ad9
Description-en: View The Matrix in a Window Maker dock application
 wmMatrix displays The Matrix (from the film of the same name) in
 a Window Maker dock application. Based on the xscreensaver module
 created by Jamie Zawinski.
 .
 Although it works best with Window Maker, wmMatrix also works fine
 with other window managers.

Package: wmmemload
Description-md5: 4614c792a0f21f4e87cfd02df3419943
Description-en: WindowMaker dockapp to monitor memory and swap usage
 wmmemload displays the current memory and swap usage as a percentage
 value. It is based on wmcpuload and wmmemmon, and as such looks very
 nice alongside those.
 .
 wmmemload is designed to work with the WindowMaker dock, but will work with
 other window managers as well.

Package: wmmisc
Description-md5: 169d1e2647355672ce5beacc24863e5c
Description-en: dockapp that monitors your system
 wmmisc is a simple dockapp that monitors the following: the amount of
 users logged in, the total number of processes, the number of running
 (or 'alive') processes, the total number of forks and the system load
 average.

Package: wmmixer
Description-md5: 10dd97ed1358827d918ec7cb8e07f1e7
Description-en: mixer application designed for WindowMaker
 wmmixer displays the mixer status of your computer in a small icon.
 Most common channels are identified with an appropriate icon. Control
 include a stereo (mono where appropriate) volume control and a recording
 source toggle button.
 .
 It is possible to change between the different channels using two small
 buttons (previous channel, next channel) and to change the volume of the
 channel.
 .
 There's nothing in the program that makes it require WindowMaker, except maybe
 the NeXTStep look and the fact that it properly docks. It can be used with
 other window managers without problems.

Package: wmmon
Description-md5: 5b907f0d6e5dacf5c3f10548c4deecf5
Description-en: Window Maker dockapp for monitoring system information
 WMMon monitors the realtime CPU load as well as the average system load,
 and gives you some nice additional features too. It is intended for
 docking in Window Maker.
 .
 It currently provides:
 .
   * a realtime CPU stress meter;
   * an auto-scaled average system load meter, like xload and wmavgload;
   * a realtime disk I/O stress meter;
   * auto-scaled disk I/O load meter;
   * realtime memory and swap usage meters;
   * a display for system uptime;
   * three user-defined commands to launch.

Package: wmmoonclock
Description-md5: 95b530e5f9924e10244b2c361b2589b2
Description-en: Window Maker moon phase dockapp
 wmMoonClock displays a small pixmap of the current phase of the moon.
 You have to enter your latitude and longitude for it to work correctly.
 wmMoonClock is designed to work with the Window Maker dock, but will
 work with other window managers as well.

Package: wmnd
Description-md5: ce28bf6e9a8af337682a9790b0a9a9f2
Description-en: Dockapp monitoring network interfaces
 Shows a graph of incoming/outgoing traffic, activity indicators for rx/tx and
 current/maximum rate for rx/tx in bytes or packets.
 .
 Tailored for use with WindowMaker, it will as well work with any other window
 manager though.

Package: wmnd-snmp
Description-md5: 83fddd7a13584f8de56c83a436d90103
Description-en: Dockapp monitoring network interfaces with SNMP support
 Shows a graph of incoming/outgoing traffic, activity indicators for rx/tx and
 current/maximum rate for rx/tx in bytes or packets.
 .
 The SNMP input driver can use any IF-MIB compliant data source such as
 most routers and managed switches.
 .
 Tailored for use with WindowMaker, it will as well work with any other window
 manager though.

Package: wmnet
Description-md5: 4e9e8897c81b8c2d8970de2590826be1
Description-en: network monitor for WindowMaker
 This little  program polls network  statistics and does a  few things
 with the  data it  gets. The speedometer  keeps track of  the current
 speed per second and shows it in a color corresponding to which of rx
 or tx that has the highest speed at the moment.

Package: wmpinboard
Description-md5: c202f9cbb02626b24a9c2e27c5132343
Description-en: Window Maker dock applet resembling a miniature pinboard
 Themable Window Maker dock applet resembling a miniature pinboard.
 Icon is titled TODO.  Includes alarm functions.  Think of it as very
 high-tech post-its for your dockbar.

Package: wmpomme
Description-md5: b8a0bc26c5564a89e562803f9017a217
Description-en: WindowMaker dockapp client for pommed
 pommed handles the hotkeys found on the Apple MacBook Pro, MacBook Air,
 MacBook, PowerBook and iBook laptops and adjusts the LCD backlight, sound
 volume, keyboard backlight or ejects the CD-ROM drive accordingly.
 .
 wmpomme is a dockapp client for pommed. It displays the current level of
 each item controlled by pommed.

Package: wmppp.app
Description-md5: ee2663e3469a4847618808d4817da9aa
Description-en: PPP dial control and network load monitor w/ NeXTStep look
 wmppp.app provides a PPP activator and network load monitor on a 64x64 mini
 window.  With wmppp you can monitor the total traffic as well as the outbound
 and inbound traffic.  It is designed to work with the WindowMaker dock, but
 will work great with other window managers as well.
 .
 Now with experimental ippp device support.

Package: wmpuzzle
Description-md5: 0aeef4cb7ff222feb2c15c1bffcc951e
Description-en: WindowMaker dock app 4x4 puzzle
 wmpuzzle provides a 4x4 puzzle on a 64x64 mini window. You can puzzle any
 XPM image you wish. wmpuzzle is designed to work with the WindowMaker dock,
 but will work with other window managers as well. wmpuzzle's upstream
 homepage can be found at http://people.debian.org/~godisch/wmpuzzle/.

Package: wmrack
Description-md5: 12fbb8fa919e71330e1eb7c5c5832ccf
Description-en: Combined CD Player + Mixer designed for WindowMaker
 This dockapp combines a CD player and a mixer in one 48x48 window.
 Very efficient on screen real-estate.  Especially handy if you mainly
 want a mixer, and only rarely use a CD player, but want to have one
 around just in case.
 .
 It's designed with WindowMaker in mind, but it works fine with any
 window manager, and can be swallowed by afterstep/fvwm/gnome panel/etc.

Package: wmressel
Description-md5: 6d15171e4a9944c6dedd3ea10ed24739
Description-en: Dock app to change X11 resolutions
 wmressel is a simple dockable X11 resolution selector for Window
 Maker that displays the current X11 resolution and lets you change
 it through a popup menu.

Package: wmshutdown
Description-md5: 52cb04b139633f2ee2f7d3038166ebe7
Description-en: dockapp to shutdown or reboot your machine
 This is a dock applications for Window Maker that allows you to
 shutdown or reboot your machine just by clickig a button on desktop
 and confirming. It's useful just for desktop machines.

Package: wmstickynotes
Description-md5: 1ab3c68ce9b459d119a513e23adf4a3b
Description-en: Window Maker dockapp to create sticky notes on desktop
 This program is a Window Maker style dockapp which allows you to create note
 windows.  By default, the notes, along with their position, size, and color,
 are stored in files and will be restored when wmstickynotes is run again.

Package: wmsun
Description-md5: 784fc6b0f2076aa05a9fd159f768c94b
Description-en: Dockable WindowMaker SunRise/SunSet App
 wmSun displays the current day's Sun Rise and Set Times. You have to
 enter your Latitude and Longitude for it to work correctly. wmSun is
 designed to work with the WindowMaker dock, but will work with other
 window managers as well.

Package: wmsysmon
Description-md5: 85c99a37dd21ae14d2eb011f24ed3c58
Description-en: Window Maker dockapp for monitoring your system
 wmsysmon is a dockable application for Window Maker (and possibly AfterStep).
 It monitors system information on interrupt activity, memory use, swap use,
 and IO.

Package: wmsystemtray
Description-md5: 0f31f91a02e0cae6f9c4e899722ac057
Description-en: Freedesktop.org system tray as a Window Maker dock app
 wmsystemtray is a system tray using the freedesktop.org system tray
 protocol designed as a Window Maker dock app. It has the ability to
 display more than one dock window to make room for more tray icons, and the
 ability to scroll through the icons if more are present than will fit.

Package: wmtemp
Description-md5: 40f2ce5ef2958e76a36caeb88e579630
Description-en: WM dock applet displaying lm_sensors temperature values
 Nifty small dock applet for Window Maker that continuously displays
 CPU and case temperature by virtue of lm_sensors

Package: wmtime
Description-md5: ea011df103b7ef541155de12df3ca651
Description-en: Window Maker dockapp that displays the time and date
 WMTime displays the time and date and gives you some nice additional
 features too.  It is intended for docking in Window Maker.
 .
 It currently provides:
 .
   * the time and date;
   * a realtime morphing interface (analog <> digital mode);
   * auto-scaled and anti-aliased hands;
   * localization: displaying the day and date in various languages;
   * three user-defined commands to launch.

Package: wmtop
Description-md5: 7fadbe72b73f195c67832df265fdfd45
Description-en: dockapp that displays 3 top memory or CPU using processes
 wmtop is a windowmaker dock app to display the top 3 processes
 running on a unix box displaying the cpu usage or memory usage in an
 attractive graphical way using little screen real estate. It's a kind
 of mini 'top'.

Package: wmtv
Description-md5: f601757b82afa8b5f4786546a1d14ccb
Description-en: Dockable video4linux TV player for WindowMaker
 A miniature size dockable TV application. It currently supports
 channel presets, PAL/SECAM/NTSC, fine tuning, scanning of TV
 stations, use of external TV applications, and more.

Package: wmwave
Description-md5: 89979606bc92021bec6217e7dd47da2d
Description-en: Monitor status of an 802.11 wireless ethernet link
 wmwave is dockapp for Window Maker to display statistical information
 about a current wireless ethernet connection.  While made for Window
 Maker, it works with other window managers.

Package: wmweather
Description-md5: 29ad44e4a23f3bb201e9b55e5040ea4d
Description-en: WindowMaker dockapp that shows your current weather
 wmweather provides a monitor on a 64x64 mini window that displays the current
 weather. The weather reports are received from NOAA's National Weather
 Service at http://www.nws.noaa.gov/, that is the same source that pilots use.
 .
 wmweather is designed to work with the WindowMaker dock, but will work with
 other window managers as well. xbase-clients are required for the left click
 to bring up the complete METAR record.

Package: wmweather+
Description-md5: 8a1b02f9d90714745da8b04dc3406bbc
Description-en: WindowMaker dock app that shows your current weather
 This provides a monitor on a 64x64 mini window that displays the
 current weather conditions, forecast data (US only), and optionally a
 radar image. It will also watch for various warnings and display them
 using an external command.
 .
 It is designed to work with the WindowMaker dock, but will work with
 other window managers as well. Think wmweather with a smaller font,
 forecasts, a weather map, and a sky condition display.

Package: wmwork
Description-md5: 760b8068facd1499f98c6f3785feb24c
Description-en: Keep track of time worked on projects
 wmwork provides a monitor on a 64x64 mini window that helps you keeping
 track of your time. The idea is based on the program Worklog written
 by Truxton King Fulton II. In contrast to Worklog wmwork is a graphical
 application designed to work with the WindowMaker dock, but will work
 with other window managers as well. wmwork's upstream homepage can be
 found at http://www.godisch.de/debian/wmwork/.

Package: wmxmms2
Description-md5: ee3ccba676ed18e092463779ac776ddd
Description-en: remote-control dockapp for XMMS2
 wmxmms2 is a dockapp for WindowMaker and other window managers that support
 dockable applets. It acts as a remote control for the XMMS2 media player.

Package: wmxres
Description-md5: 5eb9a12140d6456bc03e2b0c1dc3e73a
Description-en: dock application to select your display mode among those possible
 wmxres is a tiny dockable application that comes in handy when you want a
 specific X mode.  Modes can be scrolled through until the desired one is
 active.

Package: wob
Description-md5: 9ce05f1f6eb51f9ff1b1dccf85bef8ed
Description-en: lightweight overlay volume/backlight/progress bar for wayland
 wob is a lightweight overlay volume/backlight/progress/anything bar for
 wayland, inspired by xob - the X overlay bar.

Package: wodim
Description-md5: 430a6da0f7f8a42f965bb87ea62dcc74
Description-en: command line CD/DVD writing tool
 wodim allows you to create CDs or DVDs on a CD/DVD recorder.
 It supports writing data, audio, mixed, multi-session, and CD+ disc and DVD
 data and video disks on DVD capable devices, on just about every type of
 CD/DVD recorder out there.
 .
 Please install cdrkit-doc if you want most of the documentation and
 README files.

Package: woff-tools
Description-md5: 747a34e3946699bf07bfaf4cacc92d9c
Description-en: tools to convert between OpenType and WOFF formats
 This package provides two tools:
  * sfnt2woff: convert OpenType fonts to WOFF fonts,
  * woff2sfnt: convert WOFF fonts to OpenType fonts.

Package: woff2
Description-md5: 2e33024bf3802f9b4f853949157489b6
Description-en: utilities for converting fonts to WOFF 2.0
 woff2 is a library for converting fonts from the TTF format to the WOFF 2.0
 format. The library also allows decompression from WOFF 2.0 to TTF.
 .
 The WOFF 2.0 format uses the Brotli compression algorithm to compress fonts
 suitable for use in CSS @font-face rules. WOFF 2.0 is a W3C Candidate
 Recommendation.
 .
 This package contains the woff2_compress, woff2_decompress, and woff2_info
 utilities.

Package: wofi
Description-md5: 307219fd01b787623e82556e30964113
Description-en: application launcher for wlroots based wayland compositors
 wofi is an application launcher and menu program for wlroots based wayland
 compositors such as sway. It brings support for three built-in modes:
  * drun - launch XDG Desktop files
  * run - launch executables from $PATH
  * dmenu - dmenu-compatabile mode to read lines from stdin
 .
 Wofi is easily themeable with the help of CSS style sheets.

Package: wondershaper
Description-md5: dbc6d7b0b724ba96552dba80e6891e7a
Description-en: Easy to use traffic shaping script
 An easy to use traffic shaping script that provides these improvements:
  * Low latency for interactive traffic (and pings) at all times
  * Allow websurfing at reasonable speeds while uploading / downloading
  * Make sure uploads don't hurt downloads
  * Make sure downloads don't hurt uploads
 .
 It does this by:
  * Limiting upload speed slightly, to eliminate queues
  * Limiting download speed, while allowing bursts, to eliminate queues
  * Interactive traffic skips the queue
  * ACKs and tiny packets skip the queue
 .
 Configuring the wondershaper requires you to accurately and precisely
 determine your consistent upload and download speeds.
 .
 The wondershaper is the simplest, easiest to use, entry level, traffic
 shaping script provided by Debian.
 .
 After installing this package, read highly the detailed instructions:
 /usr/share/doc/wondershaper/README.Debian

Package: wordgrinder
Description-md5: 0890a4c3d6a31dedb27b953483e59ae8
Description-en: simple word processor for writing first drafts
 This is a transitional dummy package. It can safely be removed.

Package: wordgrinder-doc
Description-md5: d3a381809dbb182b788c530756eaf614
Description-en: simple word processor for writing first drafts (documentation)
 WordGrinder is a simple, Unicode-aware word processor. It supports basic
 paragraph styles, character styles, just enough screen markup to be useful,
 and is driven via an easy to use menu interface.
 .
 This package contains the documentation.

Package: wordgrinder-ncurses
Description-md5: 7539472cf1c623ee27467793367d0755
Description-en: simple word processor for writing first drafts (terminal version)
 WordGrinder is a simple, Unicode-aware word processor. It supports basic
 paragraph styles, character styles, just enough screen markup to be useful,
 and is driven via an easy to use menu interface.
 .
 This version runs in a terminal.

Package: wordgrinder-x11
Description-md5: 6d1d953d3e1e24e8c1d303dfb6aa13f7
Description-en: simple word processor for writing first drafts (X11 version)
 WordGrinder is a simple, Unicode-aware word processor. It supports basic
 paragraph styles, character styles, just enough screen markup to be useful,
 and is driven via an easy to use menu interface.
 .
 This version runs on X.

Package: wordnet
Description-md5: 791162978c67c87be997340ea3bad976
Description-en: electronic lexical database of English language
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory at Princeton
 University under the direction of Professor George A. Miller (Principal
 Investigator).
 .
 WordNet is considered to be the most important resource available to
 researchers in computational linguistics, text analysis, and many
 related areas.
 .
 Binary and manpages of WordNet as well as general manpages.

Package: wordnet-base
Description-md5: 780ba37270d38405be0d5a622e626eb0
Description-en: electronic lexical database of English language (base data)
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory at Princeton
 University under the direction of Professor George A. Miller (Principal
 Investigator).
 .
 Dictionary data and manuals which describe them.

Package: wordnet-dev
Description-md5: 2d3b071fedc636631c6bbb7c77ae8b22
Description-en: electronic lexical database of English language (devel)
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory at Princeton
 University under the direction of Professor George A. Miller (Principal
 Investigator).
 .
 Header files and library as well as manpages for section 3 (development).

Package: wordnet-grind
Description-md5: f378d460c4910aa3b6d0e562ddcf4e8a
Description-en: WordNet lexicographer files processor
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory at Princeton
 University under the direction of Professor George A. Miller (Principal
 Investigator).
 .
 This package contains the grind processor for WordNet lexicographer
 files.  It can be used to process the lexicographer files from the
 Debian  source tarball into a WordNet binary database file or other
 lexicographer filese provided by the user.

Package: wordnet-gui
Description-md5: acc41796a869230478a9f03dff461e8b
Description-en: electronic lexical database of English language (GUI)
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory at Princeton
 University under the direction of Professor George A. Miller (Principal
 Investigator).
 .
 WordNet is considered to be the most important resource available to
 researchers in computational linguistics, text analysis, and many
 related areas.
 .
 This package contains a Tcl/Tk Gui to browse wordnet dictionary.

Package: wordnet-sense-index
Description-md5: 2ffca7e7c45e20f656d0323b731662df
Description-en: electronic lexical database of English language (index)
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory at Princeton
 University under the direction of Professor George A. Miller (Principal
 Investigator).
 .
 This package contains a large database index.sense which is not
 necessary for normal operation of the wordnet package but is useful
 when using the WordNet::QueryData module which is available at
 http://search.cpan.org/dist/WordNet-QueryData/ .

Package: wordplay
Description-md5: c4bbb6c277a7bb769e1717196d719397
Description-en: anagram generator
 Wordplay generates anagrams of words. For example,
 "Debian" = "Bendai" (with an Italian Dictionary) or
 "Debani" (with an Catalan Dictionary).
 .
 Does not support UTF-8, empty spaces, accents and
 non-Latin characters.

Package: wordpress
Description-md5: 3558d680fa97c6a3f32c5c5e9f4a182a
Description-en: weblog manager
 WordPress is a full featured web blogging tool:
    * Instant publishing (no rebuilding)
    * Comment pingback support with spam protection
    * Non-crufty URLs
    * Themable
    * Plugin support

Package: wordpress-civicrm
Description-md5: da1baef8ba605f4b103be54e2ebdf71a
Description-en: CiviCRM plugin for WordPress
 CiviCRM is web-based, Constituent Relationship Management (CRM) software
 geared toward meeting the needs of non-profit and other civic-sector
 organizations.
 .
 As a non profit committed to the public good itself, CiviCRM understands
 that forging and growing strong relationships with constituents is about
 more than collecting and tracking constituent data - it is about sustaining
 relationships with supporters over time.
 .
 With CiviCRM's robust feature set, organizations can further their mission
 through contact management, fundraising, event management, member
 management, mass e-mail marketing, peer-to-peer campaigns, case management,
 and much more.
 .
 This package proviced CiviCRM plugin for WordPress.

Package: wordpress-l10n
Description-md5: 7a442bfcb53dd9ab21ef52d5f75d013d
Description-en: weblog manager - language files
 WordPress is a full featured web blogging tool:
    * Instant publishing (no rebuilding)
    * Comment pingback support with spam protection
    * Non-crufty URLs
    * Themable
    * Plugin support
 This package contains WordPress language files

Package: wordpress-shibboleth
Description-md5: 3fa0375bc92730a73ad684b68f0d327b
Description-en: Shibboleth plugin for WordPress
 This plugin is designed to support integrating your WordPress blog into
 your existing identity management infrastructure using a Shibboleth
 Service Provider.
 .
 WordPress can be configured so that all standard login requests will be
 sent to your configured Shibboleth Identity Provider or Discovery Service.
 Upon successful authentication, a new WordPress account will be
 automatically provisioned for the user if one does not already exist.
 User attributes (username, first name, last name, display name, nickname,
 and email address) can be synchronized with your enterprise's system of
 record each time the user logs into WordPress.
 .
 Finally, the user's role within WordPress can be automatically set (and
 continually updated) based on any attribute Shibboleth provides. For
 example, you may decide to give users with an eduPersonAffiliation value
 of faculty the WordPress role of editor, while the eduPersonAffiliation
 value of student maps to the WordPress role contributor. Or you may choose
 to limit access to WordPress altogether using a special
 eduPersonEntitlement value.

Package: wordpress-theme-twentynineteen
Description-md5: 230ee375afd6f407364fc856d8ad98f3
Description-en: weblog manager - twentynineteen theme files
 WordPress is a full featured web blogging tool:
    * Instant publishing (no rebuilding)
    * Comment pingback support with spam protection
    * Non-crufty URLs
    * Themable
    * Plugin support
 This package contains WordPress twentynineteen theme files
 NOTE: This theme requires access to public CDNs for use of
 some font or CSS files.

Package: wordpress-theme-twentyseventeen
Description-md5: 94fc6741ab8204fdf6523bbc738e929a
Description-en: weblog manager - twentyseventeen theme files
 WordPress is a full featured web blogging tool:
    * Instant publishing (no rebuilding)
    * Comment pingback support with spam protection
    * Non-crufty URLs
    * Themable
    * Plugin support
 This package contains WordPress twentyseventeen theme files
 NOTE: This theme requires access to public CDNs for use of
 some font or CSS files.

Package: wordpress-theme-twentysixteen
Description-md5: 2c5d185670cdaf9e7f634b3caf1ecf77
Description-en: weblog manager - twentysixteen theme files
 WordPress is a full featured web blogging tool:
    * Instant publishing (no rebuilding)
    * Comment pingback support with spam protection
    * Non-crufty URLs
    * Themable
    * Plugin support
 This package contains WordPress twentysixteen theme files
 NOTE: This theme requires access to public CDNs for use of
 some font or CSS files.

Package: wordpress-xrds-simple
Description-md5: 5e8bad0e23b66f65bc7137dbb7915dfc
Description-en: XRDS-Simple plugin for WordPress
 XRDS-Simple is a profile of XRDS, a service discovery protocol which used in
 the OpenID authentication specification as well as OAuth. This plugin provides
 a generic framework to allow other plugins to contribute their own service
 endpoints to be included in the XRDS service document for the domain.

Package: wordwarvi
Description-md5: 51296122f149636baecb4f9ff0c71836
Description-en: retro-styled side-scrolling shoot'em up arcade game
 Word War vi is your basic side-scrolling shoot 'em up '80s style arcade
 game. You pilot your "vi"per craft through core memory, rescuing lost .swp
 files, avoiding OS defenses, and wiping out those memory hogging emacs
 processes.
 .
 Includes support for joysticks with force-feedback.

Package: wordwarvi-sound
Description-md5: 6e8decf1ec1fccf4c383e7c755821e6a
Description-en: retro-styled side-scrolling shoot'em up arcade game [Sound Files]
 Word War vi is your basic side-scrolling shoot 'em up '80s style arcade
 game. You pilot your "vi"per craft through core memory, rescuing lost .swp
 files, avoiding OS defenses, and wiping out those memory hogging emacs
 processes.
 .
 This package includes the sound files for wordwarvi.

Package: worker
Description-md5: 185acf24ca60962a0c2dd783e4fa064c
Description-en: highly configurable two-paned file manager for X
 Worker is a file manager much like the Amiga's DirOpus.  It can be
 controlled with mouse or keyboard.  There is no restriction on the number
 or type of button functions.  Files are recognized by both extension and
 content, content by recognizing common bytes in the sample files you show
 it.  Drag & Drop is supported.
 .
 If xli or imagemagick is installed, it quickly previews pictures in the
 opposite pane.  If x11-utils is installed, xmessage is used to display
 some informative messages.

Package: worker-data
Description-md5: 42f9ae264571f4d67b2199a7d7fda0fd
Description-en: data files for worker
 This package contains architecture independent data files for the
 worker file manager.

Package: worklog
Description-md5: a92e116e7d50bd05a26c32146b57de79
Description-en: Keep Track of Time worked on Projects
 Worklog is a program that helps you keep track of your time.
 .
 The author wrote this program because he had several projects
 that required to keep a timesheet, sometimes spending
 5 minutes here and there doing miscellaneous administrative tasks.
 .
 Rather than invoke an editor on a timesheet file for
 every little segment of time spent doing these tasks, this tool allows one to
 leave a clock running and indicate with one or two keystrokes the onset or
 change of any given task.
 .
 Worklog is a simple ncurses based program to do just that.

Package: workrave
Description-md5: 112395980743e876b4863e5a1d2a2b92
Description-en: Repetitive Strain Injury prevention tool
 Workrave is a program that assists in the recovery and prevention of
 Repetitive Strain Injury (RSI). The program frequently alerts you to
 take micro-pauses, rest breaks and restricts you to your daily limit.
 .
 It includes a system tray applet that works with GNOME and KDE
 and has network capabilities to monitor your activity even if
 switching back and forth between different computers is part of your
 job.
 .
 Workrave offers many more configuration options than other similar
 tools.

Package: workrave-data
Description-md5: 3b917b9e04b83cad4023780fb267402d
Description-en: Repetitive Strain Injury prevention tool (data files)
 Workrave is a program that assists in the recovery and prevention of
 Repetitive Strain Injury (RSI). The program frequently alerts you to
 take micro-pauses, rest breaks and restricts you to your daily limit.
 .
 It includes a system tray applet that works with GNOME and KDE
 and has network capabilities to monitor your activity even if
 switching back and forth between different computers is part of your
 job.
 .
 Workrave offers many more configuration options than other similar
 tools.
 .
 This package contains the required data files common to all architectures.

Package: wp2x
Description-md5: 17961baf0ccc84488022b7688fcd5948
Description-en: WordPerfect 5.x documents to whatever converter
 This program converts simple WordPerfect 5.0 and 5.1 files into any
 other document processing languages that use plain text files, like
 TeX, LaTeX, troff, GML and HTML.

Package: wpagui
Description-md5: ff6f6b78c6e933f8b6abafcd0fce9276
Description-en: graphical user interface for wpa_supplicant
 wpagui provides a Qt interface for choosing which configured network
 to connect to. It also provides a method for browsing 802.11 SSID scan
 results, an event history log of messages generated by wpa_supplicant,
 and a method to add or edit wpa_supplicant networks.

Package: wpan-tools
Description-md5: 91ae534d5b3c791ae2eab3627f931512
Description-en: Configure and test 802.15.4 devices
 This package contains two CLI tools for working with devices based on IEEE
 802.15.4 via the netlink interface.
 IEEE 802.15.4 describes the standards for Low-Rate Wireless Personal Area
 Networks (6LoWPAN).
 .
 * iwpan - configure a wpan network device to create a 6LoWPAN network
 .
 * wpan-ping - network testing tool like ping or ping6, especially for
               6LoWPAN nodes

Package: wpd2epub
Description-md5: 220c0bacfb19a30c6636f7796a7e13c3
Description-en: WordPerfect document to EPUB converter
 This package contains a utility for converting WordPerfect files
 into EPUB documents.

Package: wpd2odt
Description-md5: ac53f87f83096c24b76159dce15ad1cd
Description-en: WordPerfect to OpenDocument converter
 This package contains a utility for converting WordPerfect files
 into OpenDocument text documents.

Package: wpewebkit-driver
Description-md5: ae7771536adff27f56d493dbb82df2bf
Description-en: WPE WebKit WebDriver support
 WebKit is a modern web engine that is able to display content such as
 HTML, SVG, XML, and others. It also supports DOM, XMLHttpRequest,
 XSLT, CSS, JavaScript/ECMAScript and more.
 .
 WPE WebKit is a WebKit port optimized for embedded devices and,
 unlike the GTK port, it does not depend on any particular UI toolkit
 and can be adapted to different use cases. It is designed with
 hardware acceleration in mind, leveraging common 3D graphics APIs for
 best performance.
 .
 This package provides the WebDriver service implementation.

Package: wpg2odg
Description-md5: 9a2450447f272bebbaa447a851ab2c3a
Description-en: WordPerfect Graphics to OpenDocument converter
 This package contains a utility for converting WordPerfect graphic
 files into OpenDocument draw documents.

Package: wps2epub
Description-md5: 956428436b8479700e10cdcefa951d28
Description-en: Works text document to EPUB converter
 This package contains a utility for converting Works text document files
 into EPUB documents.

Package: wps2odt
Description-md5: 9d16080b078f3f9305e5081a6fae3ee1
Description-en: Works text documents to OpenDocument converter
 This package contains a utility for converting Works text document files
 into OpenDocument text documents.

Package: wput
Description-md5: 58cff037ee917cdcbfea7f1015b29f8e
Description-en: tiny wget-like ftp-client for uploading files
 Wput is a tiny ftp-client, that uploads files or directories to a
 remote ftp-server.
 .
 Main features are: resuming, time-stamping, wget-like interface,
 proxy-support and speed-limit.

Package: wraplinux
Description-md5: 8929a9919eda37c863542ef5dee0782b
Description-en: Utility to wrap a Linux kernel and initrd into an ELF or NBI file
 wraplinux is a tool to wrap an x86 Linux kernel and one or more initrd files
 into asingle file in ELF or NBI format, as required by some booting protocols.

Package: wrapperfactory.app
Description-md5: 079236d1cd161860774967e9a6ee5394
Description-en: Application wrappers configuration tool for GNUstep
 This provides an easy way to create GNUstep app-wrappers of non-GNUstep
 applications. It is the most useful in conjunction with GWorkspace
 environment.

Package: wrapsrv
Description-md5: bd7365d5d1e02b75390f71ef849b03bb
Description-en: DNS SRV record command line wrapper
 wrapsrv adds support for connecting to a network service based on DNS SRV
 record lookups to commands that do not support the DNS SRV record. wrapsrv
 implements the weighted priority client connection algorithm in RFC 2782.
 The specified command line will be invoked one or more times with %h and %p
 sequences in the command line substituted for the hostname and port elements
 of the selected SRV record.

Package: wreport-common
Description-md5: 0420a5febc0262464e85393fc650a705
Description-en: common data files for libwreport
 libwreport is a C++ library to read and write weather reports in BUFR and CREX
 formats.
 .
 This package contains common wreport data files, such as variable metadata and
 BUFR and CREX decoding tables.

Package: writeboost
Description-md5: c9363feb50bcc8ebba1a44d80fa7001e
Description-en: utility to manage mappings of dm-writeboost devices
 Writeboost is a utility to activate dm-writeboost device mappings.
 .
 dm-writeboost is an OS-level IO controller that builds logs from in-coming
 writes (data and metadata) and then writes the logs sequentially similar
 to log-structured filesystem. As a further extension, dm-writeboost
 supports read-caching which also writes data sequentially.

Package: writer2latex
Description-md5: 3682c8af6837fbfc499c94462e002f3a
Description-en: OpenOffice.org Writer/Calc to LaTeX/XHTML converter
 Writer2LaTeX is a java utility to convert OpenOffice.org/LibreOffice
 documents – in particular documents containing formulas – into other
 formats. It is actually a collection of four converters, i.e.:
  1) Writer2LaTeX converts documents into LaTeX 2e format for high quality
     typesetting.
  2) Writer2BibTeX extracts bibliographic data from a document and stores it in
     BibTeX format (works together with Writer2LaTeX).
  3) Writer2xhtml converts documents into XHTML 1.0 or XHTML 1.1+MathML 2.0 with
     CSS2.
  4) Calc2xhtml is a companion to Writer2xhtml that converts Calc documents
     to XHTML 1.0 with CSS2 to display your spreadsheets on the web.

Package: writer2latex-manual
Description-md5: 0d547334a0e57eeafe65cb19a86c37b9
Description-en: OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- manual
 Writer2LaTeX is a java utility to convert OpenOffice.org/LibreOffice
 documents – in particular documents containing formulas – into other
 formats. It is actually a collection of four converters, i.e.:
  1) Writer2LaTeX converts documents into LaTeX 2e format for high quality
     typesetting.
  2) Writer2BibTeX extracts bibliographic data from a document and stores it in
     BibTeX format (works together with Writer2LaTeX).
  3) Writer2xhtml converts documents into XHTML 1.0 or XHTML 1.1+MathML 2.0 with
     CSS2.
  4) Calc2xhtml is a companion to Writer2xhtml that converts Calc documents
     to XHTML 1.0 with CSS2 to display your spreadsheets on the web.
 .
 This package contains the manual.

Package: wsclean
Description-md5: 65506df9af16568e0f7261e953951213
Description-en: Fast generic widefield interferometric imager
 WSClean (w-stacking clean) is a fast generic widefield imager. It uses the
 w-stacking algorithm and can make use of the w-snapshot algorithm. As of
 Feb 2014, it is 2-12 times faster than CASA's w-projection, depending on the
 array configuration. It supports full-sky imaging and proper beam correction
 for homogeneous dipole arrays such as the MWA.
 .
 WSClean allows Hogbom and Cotton-Schwab cleaning, and can clean polarizations
 joinedly. All operations are performed on the CPU (it is not specialized for
 GPUs).

Package: wsclean-dev
Description-md5: ab23b1c1edfbac6bd40b0fafca1aa3a2
Description-en: Fast generic widefield interferometric imager (development files)
 WSClean (w-stacking clean) is a fast generic widefield imager. It uses the
 w-stacking algorithm and can make use of the w-snapshot algorithm. As of
 Feb 2014, it is 2-12 times faster than CASA's w-projection, depending on the
 array configuration. It supports full-sky imaging and proper beam correction
 for homogeneous dipole arrays such as the MWA.
 .
 WSClean allows Hogbom and Cotton-Schwab cleaning, and can clean polarizations
 joinedly. All operations are performed on the CPU (it is not specialized for
 GPUs).
 .
 This package contains the static library and the header file to
 compile own programs against the library.

Package: wsjtx
Description-md5: e448c10d5e0091cd27c2e66bb03c6c57
Description-en: Weak-signal amateur radio communications
 WSJT-X implements amateur radio communication protocols or "modes" called FT4,
 FT8, JT4, JT9, JT65, QRA64, ISCAT, MSK144, and WSPR, as well as one called
 Echo for detecting and measuring your own radio signals reflected from the
 Moon. These modes were all designed for making reliable, confirmed QSOs under
 extreme weak-signal conditions.
 .
 JT4, JT9, JT65, and QRA64 use nearly identical message structure and source
 encoding (the efficient compression of standard messages used for minimal
 QSOs). They use timed 60-second T/R sequences synchronized with UTC. JT65 and
 QRA64 were designed for EME ("moonbounce") on the VHF/UHF bands; JT65 has also
 proved popular and effective for worldwide QRP communication at HF. JT9 is
 optimized for the LF, MF, and HF bands. It is about 2 dB more sensitive than
 JT65 while using less than 10% of the bandwidth. With either JT9 or JT65,
 world-wide QSOs are possible with power levels of a few watts and compromise
 antennas. JT4 and QRA64 are optimized for EME on the VHF and higher bands, and
 especially the microwave bands from 2.3 to 24 GHz.
 .
 FT4 and FT8 are operationally similar but use T/R cycles only 7.5 and 15 s
 long, respectively. MSK144 is designed for Meteor Scatter on the VHF bands.
 These modes offer enhanced message formats with support for nonstandard
 callsigns and some popular contests.
 .
 WSPR mode implements a protocol designed for probing potential propagation
 paths with low-power transmissions. WSPR is fully implemented within WSJT-X,
 including programmable "band-hopping".

Package: wsjtx-data
Description-md5: 48f34c9b3a7e0a09ad2c8cd687553d85
Description-en: Weak-signal amateur radio communications (data files)
 WSJT-X implements amateur radio communication protocols or "modes" called FT4,
 FT8, JT4, JT9, JT65, QRA64, ISCAT, MSK144, and WSPR, as well as one called
 Echo for detecting and measuring your own radio signals reflected from the
 Moon. These modes were all designed for making reliable, confirmed QSOs under
 extreme weak-signal conditions.
 .
 This package contains architecture-independent data files.

Package: wsjtx-doc
Description-md5: 2347d577068db8eed50af4120e024414
Description-en: Documentation and examples for the WSJT-X package
 WSJT-X implements amateur radio communication protocols or "modes" called FT4,
 FT8, JT4, JT9, JT65, QRA64, ISCAT, MSK144, and WSPR, as well as one called
 Echo for detecting and measuring your own radio signals reflected from the
 Moon. These modes were all designed for making reliable, confirmed QSOs under
 extreme weak-signal conditions.
 .
 This package provides the software documentation.

Package: wsl
Description-md5: 9b0a82cf07de44ae3fa1380f1b14f273
Description-en: Wsman Shell Command Line "whistle"
 WSL (aka "whistle") contains various scripts that serve as a client interface
 to WSMAN or Web Services for Management protocol base on DMTF standard
 specification. WSMAN provides standards based messaging for systems management
 CIM-style objects.

Package: wsmancli
Description-md5: 0e0f871205067413b67f2362ac5f741a
Description-en: Opensource Implementation of WS-Management - Command line utility
 Part of Openwsman, an Opensource Implementation of WS-Management. This
 package contains the command line utility to interact with a wsman server.

Package: wsynth-dssi
Description-md5: dccfd03fdb59ce20de916cc0112a5139
Description-en: hack on Xsynth-DSSI to allow wavetable synthesis
 Wsynth-DSSI is a hack on Xsynth-DSSI to allow wavetable synthesis.

Package: wulf2html
Description-md5: b29eb5dd3f189c5f9b6fe24f8e5493f2
Description-en: filter for generating HTML logs from wulflogger data
 This package is part of the wulfware suite and contains a perl filter that
 runs behind wulflogger and transforms wulflogger output into a formatted
 html page that can then be viewed from any browser. wulf2html can be
 started from invoke-rc.d as a service on a webserver or host that mounts
 webspace after editing /etc/warewulf/wulfhosts to reflect the cluster or
 LAN to be monitored. This is still a bit experimental.
 .
  Homepage: http://www.phy.duke.edu/~rgb/Beowulf/wulfware.php

Package: wulflogger
Description-md5: 8d04befe7f8c2cdd4074f90d5747c647
Description-en: extract cluster node data from remote xmlsysd daemons
 This package is part of the wulfware suite. It contains a very simple
 raw-tty (stdout) UI that is suitable for extracting cluster/lan statistics
 from any of several useful clusters of data. This data can be piped into a
 file or other applications for post-processing, removing the burden from a
 programmer of writing an automated UI for managing the connections
 themselves. Alternatively, it can be used as a template for further UIs.
 .
  Homepage: http://www.phy.duke.edu/~rgb/Beowulf/wulfware.php

Package: wulfstat
Description-md5: 56116195413a904bbc5c8375cecce33c
Description-en: curses based wulfware for monitoring cluster nodes
 Wulfstat is a program designed to run on a tty interface and provide
 detailed monitoring information on a collection of networked cluster nodes
 or workstations.  It gathers the information from xmlsysd, a daemon that
 runs on the nodes or workstations, collects information from /proc files
 or systems calls, and returns it in an xml-based format via a tcp socket
 connection.
 This package is part of the wulfware suite.
 .
  Homepage: http://www.phy.duke.edu/~rgb/Beowulf/wulfware.php

Package: wuzz
Description-md5: ee6377bf61a4621be116397ebc549d23
Description-en: Interactive console tool for HTTP inspection
 Wuzz is an interactive tool for generating and sending HTTP requests,
 as well as viewing the responses. Instead of having to specify the HTTP
 headers, parameters, body etc. on the command-line, a simple and intuitive
 CUI (console user interface) can be used. Wuzz's command line arguments are
 similar to cURL's, so it can be used to inspect and modify requests copied
 from a browser's network inspector with the "copy as cURL" feature.

Package: wuzzah
Description-md5: eebe47d418a4a9f3fc4d21e146d49a20
Description-en: inobtrusively monitor your friends
 The idea: you have friends on a system, and you want to know when they
 log on and off when you're logged on. what's more, maybe you're tired
 of throwing together crappy/clunky who(1)/w(1)/finger(1) commands in
 obfuscated shell/perl scripts that only half do the job anyway.
 .
 wuzzah is a program that selectively scans a system's utmpx records (where
 logins are stored, among other things), keeping an eye out for your friends
 logging in and out, taking customizable actions on events. wuzzah is small,
 fast, efficient, and written in C.

Package: wv
Description-md5: ac3b8e93e79aa7cf0a031ee32b6f2e35
Description-en: Programs for accessing Microsoft Word documents
 wvWare (previously known as mswordview) is a library that allows access
 to Microsoft Word files.  It can load and parse the Word 2000, Word 97,
 Word 95, and Word 6 file formats.  (Work is underway to support reading
 earlier formats as well: Word 2 documents are converted to plain text.)
 .
 This package provides the following programs:
 .
  * wvWare: Converts to HTML and LaTeX.  It's used by a small army of
    helper scripts able to preview Word documents and convert them to
    various other formats, like PostScript, PDF, DVI, etc.
 .
  * wvRTF: Converts to Microsoft's Rich Text Format.
 .
  * wvSummary: Displays the summary information stream of all OLE2 files,
    i.e. Excel, PowerPoint, Visio, Access, etc.
 .
  * wvVersion: Outputs the version of the Word format a document is stored
    in.
 .
 Note that conversion to DVI, PostScript, or PDF requires the 'texlive'
 package; PDF conversion also requires Ghostscript.  Having ELinks, Links,
 or Lynx will greatly improve the plain text output.  ImageMagick may help
 with the quality of images.  If you wish to preview Word documents from
 the comfort of your mail reader, install a PostScript viewer like gv.

Package: wvdial
Description-md5: b8bf30c8dfd4d09e02af74bf497505d6
Description-en: intelligent Point-to-Point Protocol dialer
 WvDial sacrifices some of the flexibility of programs like "chat" in order
 to make dialup configuration easier. With WvDial,
 modems are detected automatically and only three additional parameters
 are required: the telephone number, username, and password. WvDial knows
 enough to dial with most modems and log in to most servers without any
 other help.
 .
 In particular, a "chat script" is not required to handle the most common
 situations.

Package: wwl
Description-md5: f00cf1d72948011411f447c57cc2498d
Description-en: Calculates distance and azimuth between two Maidenhead locators
 Given two Maidenhead locators, wwl calculates distance (qrb) and azimuth.

Package: wwwconfig-common
Description-md5: 7a4345fb7222122d9d33f9558342ead5
Description-en: Debian web auto configuration
 A package to provide common setup scripts for some
 packages that need apache, php and a database.

Package: wx-common
Description-md5: 9c1614231d7a621da2ed33561fdbc8e7
Description-en: wxWidgets Cross-platform C++ GUI toolkit (common support files)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides common support files not bound to any
 particular wxWidgets release, such as font metrics required by some
 ports, and miscellaneous developer aids and binary utilities.

Package: wx3.0-doc
Description-md5: e34113c9bc7b0e51fed2104e2c70cc24
Description-en: wxWidgets Cross-platform C++ GUI toolkit (documentation)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides a HTML version of the wxWidgets reference manual.

Package: wx3.0-examples
Description-md5: 0062f270c51b33a79052f1ed7fbbd5f2
Description-en: wxWidgets Cross-platform C++ GUI toolkit (examples)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package contains examples of using the wxWidgets toolkit in
 C++.

Package: wx3.0-headers
Description-md5: 0184fba378176058d996a54456f52722
Description-en: wxWidgets Cross-platform C++ GUI toolkit (header files)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides the common header files required to build applications
 using the wxWidgets toolkit.

Package: wx3.0-i18n
Description-md5: 9137d8d61097c85924014cd2519d6ceb
Description-en: wxWidgets Cross-platform C++ GUI toolkit (i18n support)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides the i18n message catalogs for wxWidgets.

Package: wxastrocapture
Description-md5: 495fad8e3a26241d861ff80923560fb7
Description-en: Windows linuX Astronomy Capture
 wxAstroCapture controls cameras for planetary/lunar/solar and deep sky
 imaging. Long exposures are supported for SC modified webcams with
 serial and parallel port long exposure control. Also supported are
 Artemis/ATK cameras for 16bit deep sky imaging and webcam autoguiding
 using normal or long exposures.

Package: wxglade
Description-md5: ad60257b587ee938068630cc522c8d57
Description-en: GUI designer written in Python with wxPython
 wxGlade is a GUI designer written in Python with the popular GUI toolkit
 wxPython, that helps you create wxWidgets/wxPython user interfaces.
 At the moment it can generate Python, C++, Perl, Lisp and XRC
 (wxWidgets' XML resources) code.

Package: wxhexeditor
Description-md5: 03c0b59d2d002c1e5a8eb676185a38e7
Description-en: hexadecimal editor for massive files
 wxHexEditor is a hexadecimal file editor suitable
 for editing very big files. Supported file size
 is up to 2^64 bytes.

Package: wxmaxima
Description-md5: e087de202a57faa38caffc7d9707d0b2
Description-en: GUI for the computer algebra system Maxima
 wxMaxima is a graphical user interface for the computer algebra system
 Maxima. It eases the use of Maxima by making most of its commands available
 through a menu system and by providing input dialogs for commands that
 require more than one argument. It also implements its own display engine
 that outputs mathematical symbols directly instead of depicting them with
 ASCII characters.
 .
 wxMaxima also features 2D and 3D inline plots, simple animations, mixing of
 text and mathematical calculations to create documents, exporting of input
 and output to TeX, and a browser for Maxima's manual including command index
 and full text searching.

Package: wxsqlite3-doc
Description-md5: d8a9e8c2c4f64841e24e2d29da604d9a
Description-en: Documentation files for wxSQLite3
 wxSQLite3 is a C++ wrapper around the public domain SQLite 3.x database
 and is specifically designed for use in programs based on the wxWidgets
 3.0 library.
 .
 This package contains the documentation files for wxSQLite3, which is
 also available at <https://utelle.github.io/wxsqlite3/docs/html/>.

Package: wyrd
Description-md5: dbd2a766d1a34c6834503ae68ac51e2c
Description-en: text-based calendar application
 Wyrd acts as an ncurses-based frontend for remind, a scheduler
 application featuring sophisticated date calculation, moon phases,
 sunrise/sunset, Hebrew calendar, alarms, multilingual messages, and
 proper handling of holidays.
 .
 Wyrd displays reminders on a browsable time table along with a
 calendar and lets the user create new timed or untimed reminders.
 Using the remind backend, it is possible to convert the calendar to
 PostScript for printing and to synchronize it with Palm handhelds.

Package: wzip
Description-md5: d0a5b734459ead5bc9f378e13c69120e
Description-en: Lossy compression and denoising
 The program wzip is a preprocessor for LOSSY data compression.
 A sequence of ascii floating-point numbers is transformed into a sequence
 of small integers ready for effective compression with e.g. gzip.
 The program can also be used for effective but time consuming denoising
 of measurement data. Wzip was originally written for the processing of
 EDX-linescans.

Package: x-face-el
Description-md5: e125ef4a51687f53b2af67a1cc579ae3
Description-en: utility for displaying X-Face on emacsen
 X-Face utility provides X-Face encoder/decoder for emacsen.
 .
 For GNU Emacs users: This package includes the x-face-e21 feature
 (x-face-e21.el).  It provides displaying an X-Face image inline.  Also,
 it supports the Face field which contains a base64 encoded PNG image.
 If you want to scale Face images, install the netpbm package.
 .
 For XEmacs users: Displaying an X-Face image inline is supported by
 the x-face-xmas feature (x-face-xmas.el).  It is enabled by default.

Package: x11-touchscreen-calibrator
Description-md5: c63fc4a3405c2e2ed85ff0ca72025b1d
Description-en: X Window System's Touchscreen Calibrator
 The purpose is to calibrate the touchscreen's coordinates automatically.
 .
 Install this package and it will run automatically in the background of X
 Window System.

Package: x11-xfs-utils
Description-md5: 5a898b5c3e2c778f4400d6652157d637
Description-en: X font server utilities
 x11-xfs-utils provides a set of utility programs useful on a system that uses
 an X font server.
 .
 The programs in this package include:
  - fslsfonts, a tool that lists fonts served by an X font server;
  - fstobdf, a tool which retrieves a font in BDF format from an X font server;
  - showfont, a font dumper for use with an X font server;
  - xfsinfo, an X font server information utility.

Package: x11vnc
Description-md5: 67be96e1210cc3b49a06639d40a86f6b
Description-en: VNC server to allow remote access to an existing X session
 x11vnc allows one to view remotely and interact with real X displays (i.e. a
 display corresponding to a physical monitor, keyboard, and mouse) with any
 VNC viewer. It has built-in SSL encryption and authentication, UNIX account
 and password support, server-side scaling, single port HTTPS and VNC, mDNS
 service advertising, and TightVNC and UltraVNC file-transfer.

Package: x264
Description-md5: c8b0af6be32fb079afa76f8ff014d453
Description-en: video encoder for the H.264/MPEG-4 AVC standard
 x264 is an advanced commandline encoder for creating H.264 (MPEG-4 AVC)
 video streams.
 .
 x264 supports the following features:
  * CABAC (context-based adaptive binary arithmetic coding) and CAVLC
    (context-based adaptive variable length coding
  * multiple reference frames
  * 16x16, 8x8 and 4x4 intra-predicted macroblocks
  * all P-frame inter-predicted macroblock types
  * B-Inter-predicted macroblock types from 16x16 down to 8x8
  * rate distortion optimization
  * multiple rate control modes (constant quantizer, constant quality, single
    or multipass ABR with the option of VBV)
  * scene cut detection
  * adaptive B-frame placement, with the option of keeping B-frames as
    references / arbitrary frame order
  * 8x8 and 4x4 adaptive spatial transform (high profile)
  * lossless mode (high 4:4:4 profile)
  * custom quantization matrices (high profile)
  * parallel encoding on multiple CPUs
  * interlaced streams

Package: x265
Description-md5: 7bc0e41d3b4cb4386d57d58b716ff7c1
Description-en: H.265/HEVC video stream encoder
 x265 is a commandline encoder for creating H.265/High Efficiency Video Coding
 (HEVC) video streams.
 .
 x265 supports the following features:
  * full prediction and transform quad-tree recursion supported
  * adaptive B-frame placement
  * B-frames as references / arbitrary frame order
  * CABAC (context-based adaptive binary arithmetic coding) entropy coding
  * supports all Intra block types
  * supports all Inter P partitions
  * supports all Inter B partitions from 64x64 down to 8x4
  * weighted prediction for P slices
  * multiple reference frames
  * scenecut detection
  * parallel encoding on multiple CPUs

Package: x2gobroker
Description-md5: 5c2106a824e3d63e1f47c7aa76f46dbe
Description-en: X2Go Session Broker (executable)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains the x2gobroker executable.

Package: x2gobroker-agent
Description-md5: bc3987a1115c36bc0cfe4795b6deab6c
Description-en: X2Go Session Broker (remote agent)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains a setuid agent command that extends X2Go Session Broker
 functionality. It has to be installed on X2Go Servers that shall be
 controlled via a session broker.
 .
 The broker agent provides means to the X2Go Session Broker for controlling
 the X2Go Server it is installed on (e.g. suspend/terminate sessions, deploy
 SSH login keys, detect server load, detect running/suspended sessions
 of connecting users, etc.).
 .
 WARNING: This package installs a setuid wrapper
 (/usr/lib/x2go/broker/x2gobroker-agent) on your system. This setuid wrapper
 aims to be a secure replacement for the deprecated suidperl executable that
 was removed from Perl (>= 5.12).
 .
 This wrapper is only able to execute the Perl script
 /usr/lib/x2go/broker/x2gobroker-agent.pl. For running properly,
 x2gobroker-agent.pl needs setuid root privileges.
 .
 If you hesitate to install this package, study the code of the named wrapper
 and the named Perl script beforehand. Note that the X2Go session broker will
 lack functionality, but it will work without this x2gobroker-agent component
 installed on your to-be-managed X2Go servers.

Package: x2gobroker-authservice
Description-md5: 6d3f615b878ca9380a8a15ddaba03f47
Description-en: X2Go Session Broker (PAM authentication service)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains the authentication service
 against the PAM system.

Package: x2gobroker-common
Description-md5: 212f333f82fadfa738073081f1c3a042
Description-en: X2Go Session Broker (common files)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains x2gobroker common files.

Package: x2gobroker-daemon
Description-md5: f0e09120287b3767829a8a2304bdb300
Description-en: X2Go Session Broker (standalone daemon)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains the start-stop script that
 installs the X2Go Session Broker as standalone
 daemon.

Package: x2gobroker-loadchecker
Description-md5: 52e003135ac051d8f6afb2ed6116ef7e
Description-en: X2Go Session Broker (load checker service)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains the load checker service required for broker setups
 with dynamic load balancing.

Package: x2gobroker-ssh
Description-md5: 379ea267b84064f4795a20bbdf1600e3
Description-en: X2Go Session Broker (SSH broker)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This add-on package provides fully-featured SSH brokerage
 support (with access to broker agents on remote X2Go
 Servers).

Package: x2gobroker-wsgi
Description-md5: 33390b8d83fb76ec047fab58127be77c
Description-en: X2Go Session Broker (WSGI)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - client side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 The session broker is a server tool for X2Go that tells your X2Go Client
 application in a terminal server cluster what servers and session types are
 most appropriate for the user in front of the X2Go terminal.
 .
 A session broker is most useful in load balanced X2Go server farms.
 .
 This package contains an Apache2 configuration that
 installs the X2Go Session Broker as a WSGI application
 into a running Apache2 httpd.

Package: x2goclient
Description-md5: 382c392ada5d061dcc91d9fd62249894
Description-en: X2Go Client application (Qt5)
 X2Go is a serverbased computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 X2Go Client is a graphical client (Qt5) for the X2Go system.
 You can use it to connect to running sessions and start new sessions.

Package: x2godesktopsharing
Description-md5: 155522a7f7e2340089b948c3d0e780f9
Description-en: Share X11 desktops with other users via X2Go
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session brokerage support
    - client side mass storage mounting support
    - audio support
    - authentication by smartcard and USB stick
 .
 X2Go Desktop Sharing is an X2Go add-on tool that allows a user to
 grant other X2Go users access to the current session (shadow session
 support). The current session may be an X2Go session itself or simply
 a local X11 session.

Package: x2goserver
Description-md5: 45d00ad020b6d29e0eaf5898993e6fd9
Description-en: X2Go Server
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 This package contains the main daemon and tools for X2Go server-side
 session administrations.

Package: x2goserver-common
Description-md5: d7d00e49d7e3fdc9a13fbd37adc2c53c
Description-en: X2Go Server (common files)
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 This package contains common files needed by the X2Go Server
 and the X2Go::Server Perl API.

Package: x2goserver-desktopsharing
Description-md5: e495e3809c5ff5d54bd9f4680b22d8c0
Description-en: Share X11 desktops with other users via X2Go
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 X2Go Desktop Sharing is an X2Go add-on feature that allows a user to
 grant other X2Go users access to the current session (shadow session
 support). The user's current session may be an X2Go session itself or
 simply a local X11 session.
 .
 This package contains all the integration and configuration logics
 of a system-wide manageable desktop sharing setup.

Package: x2goserver-extensions
Description-md5: a701d0e01c127ed3226342d165a3ca38
Description-en: X2Go Server (extension support)
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 The X2Go Server extension namespace offers contributors to add script
 functionality to X2Go that is not needed/ignored by the legacy X2Go
 client (x2goclient).

Package: x2goserver-fmbindings
Description-md5: 325408debc2fe9ce6e3237f9a172c81d
Description-en: X2Go Server (file manager bindings)
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 x2goserver-fmbindings contains generic MIME type information for X2Go's
 local folder sharing. It can be used with all freedesktop.org compliant
 desktop shells.
 .
 However, this package will be superseded by other, more specific destkop
 binding components, if installed and being used with the corresponding
 desktop shell:
 .
   - under LXDE by x2golxdebindings
   - under GNOMEv2 by x2gognomebindings
   - under MATE by x2gomatebindings
   - under KDE4/5 by plasma-widget-x2go

Package: x2goserver-printing
Description-md5: f7b009cfadcfa214f2938715c380736e
Description-en: X2Go Server (printing support)
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 The X2Go Server printing package provides client-side printing support
 for X2Go.
 .
 This package has to be installed on X2Go Servers that shall be able to
 pass X2Go print jobs on to the X2Go client.
 .
 This package co-operates with the cups-x2go CUPS backend. If CUPS server
 and X2Go Server are hosted on different machines, then make sure you
 install this package on the X2Go Server/s (and the cups-x2go package on
 the CUPS server).

Package: x2goserver-x2goagent
Description-md5: 64b6fd9e745f943a5d7ec629249168ce
Description-en: X2Go Server's X2Go Agent
 X2Go is a software suite that uses NX technology for remote desktop
 computing.
 .
 NX technology implements a very efficient compression of the X11
 protocol. This increases performance when using X applications over a
 network, especially a slow one.
 .
 X2Go Agent functionality has been completely incorporated into NX
 agent's code base. If the nxagent binary is executed under the name of
 `x2goagent', the X2Go functionalities get activated.
 .
 This package is a wrapper that activates X2Go branding in nxagent.
 Please refer to the nxagent package's description for more information
 on NX.

Package: x2goserver-xsession
Description-md5: e5b4355d735c72460ae04f09acddca28
Description-en: X2Go Server (Xsession runner)
 X2Go is a server based computing environment with
   - session resuming
   - low bandwidth support
   - session brokerage support
   - client-side mass storage mounting support
   - client-side printing support
   - audio support
   - authentication by smartcard and USB stick
 .
 This X2Go Server add-on enables Xsession script handling when starting
 desktop sessions with X2Go.
 .
 Amongst others the parsing of Xsession scripts will enable
 desktop-profiles, ssh-agent startups, gpgagent startups and many more
 Xsession related features on X2Go session login automagically.

Package: x2gothinclient-cdmanager
Description-md5: 0f8b88154bb4edeb501e16b4e810daa0
Description-en: clientside daemon enabling automatic CD-Rom mounting
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session broker support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2gothinclient-cdmanager:
 -------------------------
 IMPORTANT: Use this Package only for the x2go Thin Client Environment
 (This package is meant to be installed in a CHROOT environment!!!)
 .
 This package adds a client-side daemon to your X2Go Thin Client that enables
 automatic CD-ROM mounting within Thin Client X2Go sessions.

Package: x2gothinclient-chroot
Description-md5: abd2613b5a479b0b6ecfa56154005f3e
Description-en: Install X2Go Thin Client chroot (metapackage)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session broker support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2gothinclient-chroot:
 ----------------------
 This metapackage installs all X2Go TCE dependencies. This
 package is used in the X2Go Thin Client's chroot.

Package: x2gothinclient-common
Description-md5: c11526b5cc72c647d4b5ea521e72659a
Description-en: X2Go thin client environment (common files)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session broker support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2gothinclient-common:
 ----------------------
 Common files for X2Go TCE (display manager / mini desktop).

Package: x2gothinclient-displaymanager
Description-md5: eb7fa645181773d343caffd5f532017b
Description-en: login daemon starting x2goclient in displaymanager mode
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session broker support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2gothinclient-displaymanager:
 -----------------------------
 IMPORTANT: Use this Package only for the X2Go Thin Client Environment
 (This package is meant to be installed in a CHROOT environment!!!)
 .
 This package starts X2Go Client in fullscreen mode---without window
 decorations, without menu and toolbar and optionally with enabled
 session broker support.
 .
 This package ships the core X2Go Thin Client daemon that guards over
 X2Go Client functionality.
 .
 X2Go Client in thin-client-mode will behave like xdm, kdm or gdm.

Package: x2gothinclient-management
Description-md5: 9d3511252824892a6749e027c83b7f4a
Description-en: Management tools for X2Go Thin Client chroot server
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session broker support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2gothinclient-management:
 --------------------------
 IMPORTANT: Install this package on the chroot server that
 provides X2Go Thin Client images over PXE/Etherboot.
 .
 For chroot servers it is recommended to use a very separate machine (not
 your main server on your network) that only serves this purpose.

Package: x2gothinclient-minidesktop
Description-md5: 9470ab90ac785b01691e22d73d7f3ae6
Description-en: Minimal desktop for X2Go Thin Client chroot (based on MATE)
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session broker support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2gothinclient-minidesktop:
 ---------------------------
 This metapackage provides a minimal desktop (based on MATE) as the
 TCE's workspace area. From there you can launch X2Go Sessions, but
 you also have a set of basic applications that run on the thinclient
 CPU (e.g. Firefox (ESR) with Flash).

Package: x2gothinclient-smartcardrules
Description-md5: 9a493e4e03a10659a22b28c23532629c
Description-en: UDEV rules for smartcard readers
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session broker support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2gothinclient-smartcardrules:
 ------------------------------
 IMPORTANT: Use this Package only for the x2go Thin Client Environment
 (This package is meant to be installed in a CHROOT environment!!!)
 .
 This package provides UDEV rules for smartcard readers (devices that
 serve the purpose of ID-card based authentication).

Package: x2gothinclient-usbmount
Description-md5: 8ca363032cbea697274eb64c665774f7
Description-en: clientside usb mass-storage device mounting
 X2Go is a server based computing environment with
    - session resuming
    - low bandwidth support
    - session broker support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2gothinclient-usbmount:
 ------------------------
 IMPORTANT: Use this Package only for the x2go Thin Client Environment
 (This package is meant to be installed in a CHROOT environment!!!)
 .
 This package adds client-side USB mass storage device mounting using
 UDEV rules to your X2Go Thin Client.

Package: x2vnc
Description-md5: 18d0504a7dbfc1cf93743b234a7886a4
Description-en: Link a VNC and an X display together, simulating a multiheaded display
 This program merges the capabilities of x2x and vncviewer.  It will allow a
 machine with an X display and a machine with a VNC server running on its main
 screen to act as if they were two displays connected to one machine. When you
 move your mouse pointer off the screen in a direction of your choosing, the
 pointer will appear on the other screen instead.

Package: x2x
Description-md5: 0c0f522a9cf3677090894f2fd315c443
Description-en: Link two X displays together, simulating a multiheaded display
 x2x joins a pair of X displays together, as if they were a single
 multiheaded display.  The pointer can be warped between displays, or,
 depending on how you start x2x, can slide from one display to the
 other when it runs off the edge of the screen.  Keyboard focus also
 moves between displays in the way you'd expect, and the X selection
 propagates around.  This is useful when you have two displays on the
 same desk, as you can use a single keyboard and mouse to control
 both.  (The displays being controlled remotely must support the XTEST
 extension.  The servers shipped by Debian support this.)

Package: x3270
Description-md5: 1b04e13e7325804aa3a858e261497525
Description-en: X11 program for telnet sessions to IBM mainframes
 x3270 opens a telnet connection to an IBM mainframe host in an X11
 window.  It can also connect to an IBM AS/400 as a 5250 terminal
 using the AS/400's 3270 emulation.  The window created by x3270 can
 use its own font for displaying characters, so it is a fairly
 accurate representation of an IBM 3278 or 3279.
 .
 x3270 is similar to tn3270(1) and c3270(1) except that it is X-based,
 not curses-based.
 .
 x3270 implements RFCs 2355 (TN3270E), 1576 (TN3270),
 and 1646 (LU name selection), and supports IND$FILE file transfer.
 .
 You will need to install x3270's fonts locally, or on your font-server -
 they are required.

Package: x3270-doc
Description-md5: 8cc2800e0da08dbb1bc9cbe9f800ad4f
Description-en: X11 program for telnet sessions to IBM mainframes - documentation
 x3270-doc contains the HTML documentation for the x3270 series of programs
 that allows one to connect to IBM mainframes via telnet.

Package: x42-plugins
Description-md5: 2359dd0a9385891da7ac94a5fddab4f0
Description-en: Collection of LV2 plugins
 Package contain these plugins:
  * balance.lv2
    - a stereo balance control plugin
  * controlfilter.lv2
    - filter/process Control Parameters, intended to be used with modular
      synthesizers, in particular ingen
  * convoLV2
    - a convolution plugin
  * darc.lv2
    - a general purpose audio signal compressor
  * dpl.lv2
    - a look-ahead digital peak limiter intended but not limited to the final
      step of mastering or mixing
  * fat1.lv2
    - auto-tuner based on Fons Adriaensen's zita-at1
  * fil4.lv2
    - a 4-band parametric EQ with graphical display
    - additional High/Low shelfs and Hi/Lo Pass filters
  * matrixmixer.lv2
    - a matrix mixer
  * meters.lv2
    - a collection of plugins for audio-level metering
  * mididebug.lv2
    - an instrumention tool to generate arbitrary MIDI messages
  * midifilter.lv2
    - a collection of MIDI filters
  * midigen.lv2
    - simple test-sequence generator
  * midimap.lv2
    - rule based MIDI mapper plugin
  * mixtri.lv2
    - a matrix mixer and trigger processor intended to be used with sisco.lv2
  * nodelay.lv2
    - an audio delay line with latency reporting - test & instrumentation tool
  * onsettrigger.lv2
    - an audio to midi converter currently intended for Bass/Kick-drums
  * sisco.lv2
    - a simple audio oscilloscope with variable time scale
  * spectra.lv2
    - a lollipop graph spectrum analyzer
  * stepseq.lv2
    - simple step sequencer
  * stereoroute.lv2
    - stereo routing plugin
  * testsignal.lv2
    - signal-test generator
  * tuna.lv2
    - a musical instrument tuner with strobe characteristics
  * xfade.lv2
    - a stereo DJ X-fade plugin

Package: x4d-icons
Description-md5: b0dea6eeb0d24ad9e4cb332551ffe4d7
Description-en: X4D Icon set for various online document types
 Icon set indicating document types and target versions of those
 specifications e.g. HTML, XHTML, CSS, MathML, etc. These are metric
 compatible & naming scheme compatible with other logos used for
 similar purposes.

Package: x509-util
Description-md5: 767ddb6731b311aba17d5596f886b9f1
Description-en: utility for X.509 certificates and chains
 Utility to parse, show, validate, sign and produce X.509 certificates
 and chains.

Package: x86dis
Description-md5: 1ec2434d6edee1690a9d32119aaf20e8
Description-en: Frontend to libdisasm
 Libdisasm is a disassembler for Intel x86-compatible object code. It compiles
 as a shared and static library on Linux, FreeBSD, and Win32 platforms. The
 core disassembly engine is contained in files with the prefix "i386", and is
 shared with the x86 ARCH extension of the bastard disassembler.
 .
 This package contains the x86dis command-line frontend.

Package: x86info
Description-md5: 981f3bcb55c410c500927ec0d6769c04
Description-en: Display diagnostic information about i386 compatible CPUs
 x86info displays diagnostic information about the CPUs fitted to i386
 compatible systems.  This includes information on the CPU cache
 configuration, speed and supported features.
 .
 Some features require the kernel cpuid driver to be available.

Package: xa65
Description-md5: 35aa0f45c9cb2d85b7020aeaf6f9b1a6
Description-en: cross-assembler and utility suite for 65xx/65816 processors
 xa65 is a cross-assembler and utility suite for the 65xx series of
 8-bit processors, and the 65816, a 16-bit version of the processor.
 The 6502 was used in computers such as the Commodore 64, Apple II,
 and many more.

Package: xabacus
Description-md5: 082cc483048c5ab0c2b318780d963939
Description-en: simulation of the ancient calculator (plain X version)
 This program is an implementation of the original abacus, it provides
 the Chinese, Japanese, Korean, Roman and Russian version and can be
 modified to allow others.
 .
 This version was compiled without the Motif GUI widget library and thus
 shows limited user interface functionality. See xmabacus for the
 extended version.

Package: xalan
Description-md5: 1050391f55c9e4c83a8aae040238c171
Description-en: XSLT processor utility
 This package provides a command line utility to transform XML documents
 to other formats using XSLT templates.  It uses the Xalan XSLT processing
 library from the Apache Software Foundation.

Package: xandikos
Description-md5: 871d954a8cd14ee0558b791d0df477f5
Description-en: Git-backed CalDAV/CardDAV server
 Xandikos is a standards-compliant CalDAV/CardDAV server that backs onto a
 Git repository.
 .
 It supports the following standards:
 .
  * RFC4918/RFC2518 (Core WebDAV) - implemented, except for COPY/MOVE/LOCK
  * RFC4791 (CalDAV) - fully implemented
  * RFC6352 (CardDAV) - fully implemented
  * RFC5397 (Current Principal) - fully implemented
  * RFC3253 (Versioning Extensions) - partially implemented
  * RFC3744 (Access Control) - partially implemented
  * RFC5995 (POST to create members) - fully implemented
 .
 Xandikos (Ξανδικός) takes its name from the name of the February month in the
 ancient Macedonian calendar, used in Macedon in the first millennium BC.

Package: xaos
Description-md5: f83e0e40e328b62d7e02ea008135bed2
Description-en: real-time interactive fractal zoomer
 XaoS allows you to zoom and pan around a fractal in
 real time. It can display the animated fractals in graphical
 or even plain text mode.
 .
 It displays the Mandelbrot set or many other fractals and
 allows you to zoom smoothly into the fractal. Various coloring
 modes are provided for both the points inside and outside the
 selected set. In addition, switching between Mandelbrot and Julia
 fractal types is provided.
 .
 Other features include autopilot mode, palette changing,
 image saving, fractal inversion, filters, and a built in fractal
 tutorial.

Package: xapers
Description-md5: cafcec1b68ec9c5e0e6f6099f3485158
Description-en: Personal journal article management and indexing system
 Xapers is a personal document indexing system, geared towards
 academic journal articles.  Think of it as your own personal document
 search engine, or a local cache of online libraries.  It provides
 fast search of document text and bibliographic data and simple
 document and bibtex retrieval.
 .
 Document files (in PDF format) and source identifiers (e.g. DOI) are
 parsed and indexed into a Xapian search engine.  Document text is
 extracted from the PDF and fully indexed.  Bibliographic information
 downloaded from online libraries is indexed as prefixed search terms.
 Existing bibtex databases can be easily imported as well, including
 import of pdf files specified in Jabref/Mendeley format.  Documents
 can be arbitrarily tagged.  Original document files are easily
 retrievable from a simple curses search UI.  The command line
 interface allows for exporting bibtex from arbitrary searches,
 allowing seemless integration into LaTeX work flows.

Package: xapian-doc
Description-md5: e76855e484cd6d937e71c4db76b80458
Description-en: Core Xapian documentation
 This package contains general documentation about Xapian, and more detailed
 API documentation.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.

Package: xapian-examples
Description-md5: 0c45197954382af4e64ed4768737a697
Description-en: Xapian simple example programs
 This package contains source code for some example programs which use the
 Xapian library.  The three "simple" examples are also included in binary
 form; binaries for the other examples can be found in the xapian-tools
 package (since they're useful tools in their own right).
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.

Package: xapian-omega
Description-md5: 167f8a0b6d55b54255f102183a939b27
Description-en: CGI search interface and indexers using Xapian
 This package contains:
  - the "omega" CGI application which provides a customisable web interface for
    searching Xapian databases.
  - the "omindex" tool for indexing a directory tree of documents into a Xapian
    database in a form suitable for searching with omega.
  - the "scriptindex" indexer, which takes a simple text input format
    representing documents as a set of fields, together with an "index script"
    file specifying actions to be performed on each field, and indexes the
    documents into a Xapian database.
  - some example scripts for converting data from different sources into a form
    suitable for processing with "scriptindex".

Package: xapian-tools
Description-md5: a4e9d8b956a6ab5d2ffd8875247ee21d
Description-en: Basic tools for Xapian search engine library
 This package contains several tools related to Xapian.
  - copydatabase: Copy one or more Xapian databases.
  - delve: Inspect the contents of a Xapian database.
  - quest: Command line search of a Xapian database.
  - xapian-check: Check the validity of a Xapian database.
  - xapian-compact: Compact a quartz database, or merge and compact several.
  - xapian-progsrv: stdin/stdout based server, for searching databases remotely.
  - xapian-tcpsrv: TCP based server, used for searching databases remotely.
  - xapian-replicate: Replication client.
  - xapian-replicate-server: Replication server.
  - xapian-metadata: Inspect or set user metadata in a database.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.

Package: xapps-common
Description-md5: 3a648c859d7de6ee3c693c3b80fed421
Description-en: Files that are common across Xapp desktop applications
 Xapp provides components which are common to multiple desktop environments
 and required to implement cross-DE solutions.
 .
 This package includes files that are shared between several XApp
 apps (i18n files and configuration schemas).

Package: xapps-doc
Description-md5: 18c7eb78310e3cb326eeac412a24b414
Description-en: Libxapp documentation
 Xapp provides components which are common to multiple desktop environments
 and required to implement cross-DE solutions.
 .
 This package contains documentation for Xapp library

Package: xarchiver
Description-md5: 7ecc737afebbd4c70638514c4b262b72
Description-en: GTK+ frontend for most used compression formats
 Xarchiver is a lightweight desktop independent GTK+ frontend for manipulating
 xz, 7z, lzma, arj, bzip2, gzip, rar, tar, zip, rpm, lz4, compress, zstd, lzip,
 lrzip, lzop, lha and deb files. It allows you to create archives and add,
 extract, and delete files from them. Password protected archives in the arj,
 7z, rar, zip and lrzip formats are supported.

Package: xarclock
Description-md5: 8a65dc9fb5ae7d22ab06148e26a4b932
Description-en: reversed xclock
 If clocks had been invented in Argentina (southern hemisphere), they
 would run counter-clockwise. Down there a sundial runs the other way
 around!
 .
 The program is an extension of the standard X clock widget.  New
 features are: Configurable direction of rotation and font support in
 analog mode.

Package: xastir
Description-md5: a4cd1e8ccacbd273ba783304d62cefd7
Description-en: X Amateur Station Tracking and Information Reporting
 Xastir is an APRS client for X. APRS is the Automatic Position Reporting
 System, a system where objects report their position (usually obtained from
 GPS) on the air; Xastir displays this information graphically.

Package: xastir-data
Description-md5: 06c3e8bdc40472ab45cd1897ed60a7bc
Description-en: X Amateur Station Tracking and Information Reporting
 Xastir is an APRS client for X. APRS is the Automatic Position Reporting
 System, a system where objects report their position (usually obtained from
 GPS) on the air; Xastir displays this information graphically.
 .
 This package contains the architecture-independent data files.

Package: xattr
Description-md5: 4abd01adaa5bda608a89908277aefdf9
Description-en: tool for manipulating filesystem extended attributes
 xattr tool allows manipulation of the filesystem extended attributes present
 in some operating systems (GNU/Linux included).
 .
 This package contains the xattr cli tool.

Package: xautolock
Description-md5: 2589584ae9ec776d5d2ca89d7ad0fb5f
Description-en: Program launcher for idle X sessions
 Xautolock monitors input devices under the X Window System, and launches a
 program of your choice if there is no activity after a user-configurable
 period of time.  You can use this to automatically start up a screen locker
 if you have left your computer unattended for some period of time.  The
 program launched need not be a screen locker such as xlock.

Package: xautomation
Description-md5: d2bec26e92de3b2cb7a2f956796de20b
Description-en: Control X from the command line, and find things on the screen
 Control X from the command line for scripts, and do "visual scraping" to
 find things on the screen. The control interface allows mouse movement,
 clicking, button up/down, key up/down, etc, using the XTest extension.
 The visgrep program find images inside of images and reports the
 coordinates, allowing programs to find buttons, etc, on the screen to click
 on.
 .
 If you just want to control X, you may find xdotool easier to use.

Package: xavante
Description-md5: 9ec7056f1c9647b72a6229e6302306ea
Description-en: Lua HTTP 1.1 Web server
 This package contains xavante, a Lua HTTP 1.1 Web server that uses a modular
 architecture based on URI mapped handlers. Xavante currently offers a file
 handler, a redirect handler and a CGILua handler. Those are used for general
 files, URI remapping and CGILua scripts respectively.
 .
 The CGILua handler is considered optional thus xavante just recommends the
 packages needed for that handler.

Package: xavante-doc
Description-md5: c8785a270b36a9bfbfc9e146666d796d
Description-en: Documentation files for the Xavante web server
 This package contains the documentation for the Xavante web server as well
 as some examples of configuration files.

Package: xaw3dg
Description-md5: 10202d316ae3ffe0456d7091286d9be5
Description-en: Xaw3d widget set
 Xaw3d is a set of 3-D widgets based on the Athena Widget set, which
 adds a three dimensional appearance on some of the widgets of X11
 applications linked with this library.
 .
 Please note that this package no longer adds a three dimensional
 appearance on applications dynamically linked against libXaw.

Package: xaw3dg-dev
Description-md5: 0ff76680f649c459f9d2e589e210abec
Description-en: Xaw3d widget set development package
 Xaw3d is a set of 3-D widgets based on the R6.1 Athena Widget set, which
 adds a three dimensional appearance on some of the widgets of X11
 applications linked with this library.
 .
 This is the development package (i.e. include files and static library)
 of the xaw3dg library.

Package: xawtv
Description-md5: 1376024fc0a4685b1525a85cac4efc1a
Description-en: television viewer - X11 application
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 XawTV is an X11 application which displays television channels. It
 supports video4linux devices and the Xvideo extension.

Package: xawtv-plugin-qt
Description-md5: 3dbbe8504702d1ac807c288f2e8011dd
Description-en: television viewer - QuickTime plugin
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides a plugin to record QuickTime movies with
 xawtv and motv.

Package: xawtv-plugins
Description-md5: dee848d5c8762280b2be8e1dc9ebec1d
Description-en: television viewer - plugins
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides plugins for xawtv and motv: driver interfaces for
 hardware access (video4linux API, etc.), plugins for reading/writing
 movie files and some image processing filters.

Package: xawtv-tools
Description-md5: 5b7bd2cddbcd9593c147fee47a7f1b36
Description-en: television viewer - tools
 XawTV is a set of software for watching and recording television channels and
 webcams.
 .
 This package provides some tools that may be useful with xawtv:
  - propwatch:    monitors properties of X11 windows. Helps to
                  keep track of xawtv's _XAWTV_STATION property;
  - dump-mixers:  dumps mixer settings to stdout;
  - record:       console sound recorder. It has a simple input
                  level meter which might be useful for
                  troubleshooting sound problems;
  - showriff:     display the structure of RIFF files (avi, wav).

Package: xbacklight
Description-md5: ee1583980cceaf891cd733578507adf6
Description-en: simple utility to set the backlight level
 xbacklight is a simple command-line utility to set the backlight level
 using the RandR 1.2 Backlight output property.

Package: xball
Description-md5: 44d3465de86f5e438e0c34b1cccbac61
Description-en: Simulate bouncing balls in a window
 A simple educational game that simulates bouncing balls in a window. Gravity,
 elasticity, and ability to collide can all be adjusted.
 .
 Young children enjoy watching the balls bounce around the screen and are
 fascinated by the program. This is an ideal program for teaching rudimentary
 mouse skills to very young children.

Package: xbase-clients
Description-md5: 75540abae709b67c947a07dccbdb40d8
Description-en: miscellaneous X clients - metapackage
 An X client is a program that interfaces with an X server (almost always via
 the X libraries), and thus with some input and output hardware like a
 graphics card, monitor, keyboard, and pointing device (such as a mouse).
 .
 This package provides a miscellaneous assortment of several dozen X clients
 that ship with the X Window System.
 .
 This package is provided for transition from earlier Debian releases, the
 programs formerly in xutils and xbase-clients having been split out in smaller
 packages.

Package: xbattbar
Description-md5: 3362d1f69b93496a2d4c53f2af44488b
Description-en: Display battery status in X11
 Xbattbar displays the current (laptop) battery status in the X window
 environment. Battery status is obtained through the APM interface.
 .
 The battery indicator of this program is very simple: a simple bar
 at the top/bottom of your display. With its color, it indicates the
 AC-line status (plugged in or off-line), and battery charging/remaining
 level. Also, if the mouse cursor enters the indicator window, a popup
 diagnosis window comes up in the center of your display showing the
 current AC line status and battery level.
 .
 This package supports ACPI and APM checks for battery status.

Package: xbill
Description-md5: d77da6f3f0e2e729cfea53f9c58bcf4d
Description-en: Get rid of those Wingdows Viruses!
 Ever get the feeling that nothing is going right?  You're a sysadmin, and
 someone's trying to destroy your computers.  The little people running
 around the screen are trying to infect your computers with Wingdows [TM],
 a virus cleverly designed to resemble a popular operating system.  Your
 objective is to click the mouse on them, ending their potential threat.
 If one of the people reaches a computer, it will attempt to replace your
 operating system with the virus it carries. It will then attempt to run off
 the screen with your vital software.

Package: xbindkeys
Description-md5: 235a684b27d5f0dc5c32177094854d66
Description-en: Associate a combination of keys or mouse buttons with a shell command
 xbindkeys is a program that allows you to launch shell commands with
 your keyboard or your mouse under the X Window System.
 It links commands to keys or mouse buttons, using a configuration file.
 It's independent of the window manager and can capture all keyboard keys
 (ex: Power, Wake...).
 .
 It optionally supports a guile-based configuration file layout, which enables
 you to access all xbindkeys internals, so you can have key combinations,
 double clicks or timed double clicks take actions. Also all functions that work
 in guile will work for xbindkeys.

Package: xbindkeys-config
Description-md5: 2e705243f5bf83d61bb4c6db452310d8
Description-en: an easy to use gtk program for configuring Xbindkeys
 GTK+ Configure program for xbindkeys.

Package: xblast-tnt
Description-md5: c9844145f648a419e302712af439c264
Description-en: multiplayer blast-the-others game inspired by Dynablaster
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster).
 .
 Features:
   - 2 to 6 players
   - 1 or 2 players per X-Server (or up to 6 with joysticks)
   - about 1000 levels
   - about 20 player models
   - PoV-Ray rendered color graphics
   - central server support with statistics
 .
 If you want to host games you need to install the xblast-tnt-levels package,
 and you might want to install xblast-tnt-sounds for sound support. The
 xblast-tnt-musics package contains background musics for the game, if you
 like.

Package: xblast-tnt-images
Description-md5: f3ff5e9ba839d32be2a988259e65bba2
Description-en: image files for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster). This package contains the image files needed for
 the game; both for the regular version and the mini version.

Package: xblast-tnt-levels
Description-md5: e63fd66154d9fbd7b424fbf7c22d3218
Description-en: level files for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster). This package contains the level files which you
 need when you want to host games. If you just want to join other people's
 games you don't need this package.

Package: xblast-tnt-models
Description-md5: 99b2305df68d7bc9c8df64e5e2c8749c
Description-en: player models for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster). This package contains the player models needed for
 the game; both for the regular version and the mini version.

Package: xblast-tnt-musics
Description-md5: 54bee2bfc9a57972278a2c81bc1cea5f
Description-en: music files for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster).  This package contains the music files.  You only
 need them if you want to listen to background musics during the game.

Package: xblast-tnt-sounds
Description-md5: d8f8c101a0c4c95d0941345d3ed83faf
Description-en: sound files for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster). This package contains the sound files. You only
 need them if you want to listen to sound effects during the game.

Package: xboard
Description-md5: 21c31c49b973c741ce593433a81e4671
Description-en: X Window System Chess Board
 XBoard is a graphical chessboard that can serve as a user interface
 for chess programs, for the Internet Chess Server, or for electronic
 mail correspondence chess. It supports all major forms of chess,
 such as international chess, xiangqi and shogi. XBoard can also be
 used by itself as viewer for stored chess games.
 .
 XBoard uses the Helvetica font (located in the xfonts-75dpi and
 xfonts-100dpi packages) by default.  However, other fonts can be
 specified via a command line option or an X resource.

Package: xbomb
Description-md5: d1449fdd9376b4ac2865788139b856ec
Description-en: 'minesweeper' game with squares, hexagons or triangles
 A test of speed and logic to find the location of bombs hidden in a grid.
 It offers the standard grid of squares, plus grids of hexagons or triangles,
 each in 3 difficulty levels. All games are played against the clock with a
 high-score table for each combination.

Package: xboxdrv
Description-md5: 91865deadfb329402f0c369f603b3380
Description-en: Xbox360 gamepad driver for the userspace
 This is a Xbox/Xbox360 gamepad driver for Linux that works in
 userspace. It is an alternative to the xpad kernel driver and has
 support for Xbox1 gamepads, Xbox360 USB gamepads and Xbox360 wireless
 gamepads. The Xbox360 guitar and some Xbox1 dancemats might work
 too. The Xbox 360 racing wheel is not supported, but shouldn't be to
 hard to add if somebody is interested.
 .
 This driver is only of interest if the xpad kernel driver doesn't
 work for you or if you want more configurabity. If the xpad kernel
 driver works for you there is no need to try this driver.
 .
 In addition to all the Xbox related stuff, the driver also supports
 the Thrustmaster Dual Power 3 gamepad, including rumble, which isn't
 supported by the normal kernel driver.

Package: xbs
Description-md5: 2830012a45c290ad454cc0a98a39680c
Description-en: 3-d models and movies of molecules
 xbs ball-and-sticks plotting program can create still
 and moving three dimensional models of molecules. X11 and
 PostScript output are available.  Models can be rotated,
 scaled, etc.  Various labeling, shading, lighting,
 coloring options are available.

Package: xbubble
Description-md5: 20a067a91846d8c29fb5772db71f329e
Description-en: A nice Puzzle Bubble clone
 XBubble is a Puzzle Bubble / Bust-A-Move clone.
 .
 Your goal is to remove all the bubbles from the game board. To do this
 you must aim and shoot bubbles. When you manage to match 3 or more
 bubbles of the same color, they burst and disappear. All bubbles which
 hang free now, will fall down and disappear, too.
 .
 XBubble features single player mode, two player mode and
 player vs. computer (five difficulty levels of the AI) and has nice
 graphics, but no sound.

Package: xbubble-data
Description-md5: bf49703251f7578eef6f28a4452cb80d
Description-en: Data files for XBubble, a nice Puzzle Bubble clone
 This package contains the data files for XBubble.
 .
 XBubble is a Puzzle Bubble / Bust-A-Move clone.
 .
 Your goal is to remove all the bubbles from the game board. To do this
 you must aim and shoot bubbles. When you manage to match 3 or more
 bubbles of the same color, they burst and disappear. All bubbles which
 hang free now, will fall down and disappear, too.
 .
 XBubble features single player mode, two player mode and
 player vs. computer (five difficulty levels of the AI) and has nice
 graphics, but no sound.

Package: xbuffy
Description-md5: 019828e11cd9fc51d34dd268b9112bf0
Description-en: monitor mailboxes and/or newsgroups
 Xbuffy is a program that displays how many unread mails you have in
 your different mailboxes.  You can have different titles for the
 mailboxes, and define what shall happen when a new mail arrives.  It
 can beep and invoke a shell command, for example.
 .
 If you press mouse button 1 on the title associated with a mail box,
 a list of From: and Subject: lines of the mails in the mail spool file
 is shown.  If you press mouse button 2, an arbitrary command is
 executed.
 .
 Xbuffy can similarly watch newsgroups via NNTP.

Package: xbuilder
Description-md5: ffd8f562479478e2cff3acb42f8a5f7b
Description-en: Tool to cross-build a list of packages using sbuild, xdeb or pdebuild-cross
 This package contains scripts to run a batch-job of cross-builds,
 using various methods, on a list of source packages. It also has a
 simple cross-bootstraping helper (using build-profiles), and scripts
 for generating html tables summarising the results of a build run, and
 generating the packagelists to build.
 .
 It is not a proper buildd, or smart in any way, just really a
 collection of scripts wrtten for a particular task. Nevertheless they
 remain useful for this particular job.

Package: xc3sprog
Description-md5: 6dca0acfb1408613b4770656a7d1f3e0
Description-en: JTAG flashing tool for FPGAs, CPLDs and EEPROMs
 xc3sprog is a suite of utilities for programming Xilinx FPGAs, CPLDs, and
 EEPROMs with the Xilinx Parallel Cable and other JTAG adapters under Linux.
 xc3sprog runs as a command-line application.
 .
 The main features include:
  - Reading a .BIT file from Xilinx design tools and programming it into an
    FPGA.
  - Reading a JEDEC file and programming it into a CPLD.
  - Programming a .BIT file into an on-board configuration PROM.
  - Programming a binary image into on-board SPI flash memory.
  - Reading the contents of a PROM chip back to a file.
  - Programming AVR microcontrollers.
 .
 The functionality of xc3sprog is similar to that of Xilinx IMPACT. There are
 also similarities with other free JTAG tools, such as UrJTAG. However,
 xc3sprog has a number of advantages:
 .
  - xc3sprog is free software.
  - It is a command-line tool.
  - It works on Linux without the need to install binary "cable-drivers".
    (Although some types of JTAG cables need to load firmware.)
  - It uses an optional configuration file to recognize new JTAG devices.
  - It contains programming algorithms for the supported devices, enabling
    the direct use of binary files (.BIT / JEDEC) from design tools (as opposed
    to intermediate SVF/STAPLE files).

Package: xca
Description-md5: db82d1221dacff719c800bc29695a864
Description-en: x509 Certification Authority management tool based on QT
 XCA creates and manages Certificate authorities and helps the user to
 create and manage keys, certificates, certificate sign requests,
 certificate revocation lists etc.
 .
 All data is saved in an encrypted, portable database, and can be exported
 in various standard formats. XCA is also available for MacOS X and
 Windows systems.
 .
 For a good workflow, certificate templates can be defined to make the
 creation of new certificates an easy task.

Package: xcal
Description-md5: dde6f6a34977a78d2ad9f918728f514d
Description-en: a graphical calendar with memos and reminder alarms
 The "classic" calendar app with memos and alarms, xcal normally
 sits idle and displays the date.  When clicked on, pops up a `strip'
 for this month.  Clicking on a day allows you to enter details.
 Automatically parses times entered, and reminds you 5 minutes
 before your appointment.
 .
 Supports daily and weekly repeating events and context-sensitive help.

Package: xcalib
Description-md5: 700bf571a80d2cc9691ed453302df1eb
Description-en: Tiny monitor calibration loader for Xorg
 xcalib loads 'vcgt'-tag of ICC profiles to the X-server
 using the XVidMode Extension in order to calibrate your
 display.

Package: xcape
Description-md5: bef33c4c8c7ffd6f5edbd32d5f56a3fd
Description-en: Configure modifier keys to act as other keys when pressed and released
 xcape allows you to use a modifier key as another key when pressed and
 released on its own.  Note that it is slightly slower than pressing the
 original key, because the pressed event does not occur until the key is
 released.  The default behaviour is to generate the Escape key when Left
 Control is pressed and released on its own.

Package: xcas
Description-md5: 38daa4fa80e5d5588e637bf7505272a5
Description-en: Computer Algebra System - console and graphical calculator
 Giac is a computer algebra system, following the development of the CAS for HP
 calculators. It has fast implementation of algorithms for polynomial
 operations, and compatibility mode with Maple or Mupad CAS as well as TI
 calculators.
 .
 This package contains the user-facing giac programs: xcas, icas, and pgiac;
 as well as the cas_help program for providing user-oriented help.

Package: xcb
Description-md5: 7895e26424027678bead235abd98dd98
Description-en: Pigeon holes for your cut and paste selections
 xcb provides easy access to the cut buffers built into every X server.
 It allows the buffers to be manipulated either via the command line,
 or with the mouse in a point and click manner. This version is also
 utf-8 capable.

Package: xcb-proto
Description-md5: 0a6da0d45e213f3227fca0058bf59393
Description-en: X C Binding - protocol descriptions
 xcb-proto contains descriptions of the X Window System core protocol and
 selected extensions in an XML-based data format. The X C Binding (XCB)
 library uses these descriptions to generate much of its code. You only need
 this package if you want to compile XCB or otherwise make use of these
 protocol descriptions.
 .
 The XCB library provides an interface to the X Window System protocol,
 designed to replace the Xlib interface.  XCB provides several advantages over
 Xlib:
 .
  * Size: small library and lower memory footprint
  * Latency hiding: batch several requests and wait for the replies later
  * Direct protocol access: one-to-one mapping between interface and protocol
  * Thread support: access XCB from multiple threads, with no explicit locking
  * Easy creation of new extensions: automatically generates interface from
    machine-parsable protocol descriptions

Package: xcfa
Description-md5: 5ca2efaa3ab26dbfe52d5fbb50955e97
Description-en: X Convert File Audio
 XCFA is a tool to extract the contens of Audio-CDs and convert musical
 audio files conversion to FLAC, WAV, OGG, M4A, MPC, MP3, WavPack and
 many other formats.
 .
 Some features follow:
  * Handling of frequency, track and bits.
  * Handling of files shared volume before a recording.
  * Web retrieval of Audio-CD information during treatment.
  * Small cover creation for Audio-CDs.

Package: xcfa-dbg
Description-md5: 3acd17905024df603ff7711d92364300
Description-en: X Convert File Audio - debugging symbols
 XCFA is a tool to extract the contens of Audio-CDs and convert musical
 audio files conversion to FLAC, WAV, OGG, M4A, MPC, MP3, WavPack and
 many other formats.
 .
 This package contains the debugging symbols for XCFA.

Package: xcftools
Description-md5: 15b23f24e4b6797375e99f4cabe9dd06
Description-en: command-line tools for extracting data for XCF files
 This is a set of fast command-line tools for extracting information
 from the Gimp's native file format XCF.
 The tools are designed to allow efficient use of layered XCF files as
 sources in a build system that use 'make' and similar tools to manage
 automatic processing of the graphics.
 These tools work independently of the Gimp engine and do not require
 the Gimp to even be installed.
 .
 "xcf2pnm" converts XCF files to ppm, pgm or pbm format, flattening
 layers if necessary.
 If the image contains transparency, an alpha map can be written to a
 separate file, or a background color can be specified on the command
 line.
 .
 "xcf2png" converts XCF files to PNG format, flattening layers if
 necessary.
 Transparency information can be kept in the image, or a background
 color can be specified on the command line.
 .
 "xcfinfo" lists information about layers in an XCF file.
 .
 "xcfview" is a wrapper script that flattens an XCF image and displays
 it using an external PNG/PPM viewer.
 To use this script, you must make sure also to install an appropriate
 external viewer, as well as the mime-support package which provides the
 mailcap database.
 .
 The tools can either flatten an XCF file as given, or extract
 specific layers named on the command line.

Package: xchain
Description-md5: 0f7c789421744a3218886baf871a112c
Description-en: strategy game for 2-4 players
 Chain Reaction is a classic strategy game for 2-4 players. Players take turns
 to place tokens on an 8x8 board. When a square exceeds its maximum value, it
 explodes, setting off the surrounding squares.

Package: xchat
Description-md5: 0370c60ddc73ff6adff8453648bc7334
Description-en: IRC client for X similar to AmIRC
 XChat is a graphical IRC Client with a GTK+ GUI. It has a look and feel
 similar to AmIRC for the Amiga. Special features include the mIRC extension
 DCC RESUME and mIRC color, multiple server/channel windows, dialog
 windows, and a plugin API.

Package: xchat-common
Description-md5: cb3e9defb68cce086ff279319855e5ce
Description-en: Common files for X-Chat
 This package includes documentation, examples, and locale files for
 the Debian X-Chat packages that are common for all architectures.

Package: xchm
Description-md5: 253034264cb4a95514606bef7f623291
Description-en: Compiled HTML Help (CHM) file viewer for X
 xCHM is a viewer for Compiled HTML Help (CHM) files. It can show the contents
 tree if one is available, print the current page and do the usual history
 stunts. It allows one to change fonts and search for text in all the pages of
 the file, or in the page's titles.
 .
 xCHM does not support JavaScript in books.

Package: xcircuit
Description-md5: 02ae1bdc5ec46ee48122003e74da3633
Description-en: Draw circuit schematics or almost anything
 xcircuit is a generic drawing program tailored for making circuit
 diagrams.
 .
 The graphical interface attempts to maintain consistency between
 X11 window rendering and the pure PostScript output.
 .
 xcircuit is mouse, menu and keyboard driven. The emphasis is on
 single character macros.

Package: xcite
Description-md5: 6cffe291c73ac8102646332ba8c3b75a
Description-en: Transition Package, xcite to elpa-xcite
 The xcite emacs addon has been elpafied.  This dummy package
 helps ease transition from xcite to elpa-xcite.

Package: xclip
Description-md5: 7de32c567d0e0ae3e774a47b7dbea945
Description-en: command line interface to X selections
 xclip is a command line utility that is designed to run on any system with an
 X11 implementation. It provides an interface to X selections ("the clipboard")
 from the command line. It can read data from standard in or a file and place
 it in an X selection for pasting into other X applications. xclip can also
 print an X selection to standard out, which can then be redirected to a file
 or another program.

Package: xcolmix
Description-md5: 87406f24aa84bb69f17081484723bb63
Description-en: an RGB colour mixer
 xcolmix enables you to mix your own colours in order to determine three
 values (the R/G/B code, or red-green-blue mix) of a colour. You can use
 those colours to, e.g., define the foreground or background of X
 applications which support it. Furthermore, xcolmix lets you retrieve RGB
 values from the X system's database of `predefined' colours.

Package: xcolors
Description-md5: 2b92923f44979286f6dcd507881ca609
Description-en: display all X11 color names and colors
 Reads the rgb.txt file that defines the color names the X server
 knows and displays the colors found.

Package: xcolorsel
Description-md5: bddc87bb4d14655abfffe508bf40e623
Description-en: display colors and names in X
 xcolorsel is an X utility that displays the contents of the X color list
 file (/etc/X11/rgb.txt) together with tiles showing how the color looks on
 your screen.  You can cut the color names/definitions in various formats
 (suitable for resource files or C source) so they can be pasted directly
 into source codes or resources.

Package: xcompmgr
Description-md5: 440e89397bbfb8d694f7eb100b8100e1
Description-en: X composition manager
 xcompmgr is the standard composition manager for the X Composite extension,
 which allows clients to modify what is drawn to the screen before it
 happens.  This composition manager implements shadows, fading, proper
 translucency, and more.

Package: xcowsay
Description-md5: 5ad476649702edef6f6e576dd48dce12
Description-en: Graphical configurable talking cow
 A GTK+ version of the classic cowsay Perl script. It displays a cute
 pop-up cow on your desktop with a speech bubble and some customizable
 text. There's also a dream mode where the cow can display images. It
 comes with a fortune(6) wrapper script, xcowfortune, which you can
 cron to deliver periodic fortune cookies via the cow.

Package: xcrysden
Description-md5: 66101c4258dd4668b5e408c837cf252b
Description-en: Crystalline and Molecular Structure Visualizer
 XCrySDen is a crystalline and molecular structure visualisation
 program, which aims at display of isosurfaces and contours, which can
 be superimposed on crystalline structures and interactively rotated
 and manipulated. It can run on most UNIX platforms, without any
 special hardware requirements.
 .
 XCrySDen allows for real-time capture of display. Several movie encoders
 are supported, in particular for Animated-GIF convert (imagemagick),
 gifsicle, or whirlgif are necessary. For AVI/MPEG mencoder or
 ppmtompeg (netpbm) is required. For window dumps either imagemagick or
 xwd (x11-apps) needs to be present.

Package: xcrysden-data
Description-md5: ef69b0594205b68bd88f3ee4c6ee3dfd
Description-en: Crystalline and Molecular Structure Visualizer (Data Files)
 XCrySDen is a crystalline and molecular structure visualisation
 program, which aims at display of isosurfaces and contours, which can
 be superimposed on crystalline structures and interactively rotated
 and manipulated. It can run on most UNIX platforms, without any
 special hardware requirements.
 .
 This package contains the architecture independent files.

Package: xcscope-el
Description-md5: 559e9a71c22db5db1a42a4be3ce0a990
Description-en: Interactively examine a C program source in emacs
 Cscope is a program that generates index tables from C and C++ source
 files. It is also a client program that knows how to use the
 generated index tables to answer questions such as where is a symbol
 defined, where is a symbol referenced, etc.
 .
 xcscope-el contains the Emacs interfaces to cscope

Package: xcwcp
Description-md5: e3814990e5d9d8e22110911e200459f2
Description-en: Morse code tutor - graphical user interface
 The unixcw project provides support for learning to use Morse code.
 .
 This package provides an interactive Morse code tutor with a Qt4
 user interface. It allows choosing from a number of options for
 practice, including sending random characters, random words, and
 characters from the keyboard. It will also receive Morse code sent
 using the keyboard or mouse as a Morse keyer, and display the
 characters it sees.

Package: xcwd
Description-md5: 4cadb60cbe312b309a10096d2d3cd75e
Description-en: print the current working directory of the focused window
 xcwd is a simple tool which prints the current working directory of the
 focused window. It's useful for launching applications directly into the
 same directory as the focused application.

Package: xd
Description-md5: e59aa1a12830a931ce84823431f6a4d7
Description-en: smart directory change utility
 The program xd is a program smart directory changer. It allows you to change
 to a directory specifying only its initial characters or a subset of the
 initial characters allowing commands like 'xd ulb' to do a 'chdir
 /usr/local/bin'.
 .
 xd can be used in combination with the standard tab-filename completion as
 offered by most shells. But as xd is very easy to use, one is quickly
 addicted to xd when changing to directories that are not close to the current
 working directory. Small changes required thereafter are in practice always
 performed using the facilities offered by the command shell.
 .
 When multiple expansions are available xd offers a list of alternatives from
 which the user may select an option by simply pressing an associated
 key. Directories that are never selected by the user may be ignored using
 directives in xd's configuration file.

Package: xdaliclock
Description-md5: bc018b21eab68ea19582b733da99bac4
Description-en: Melting digital clock
 The xdaliclock program displays a digital clock; when a digit changes, it
 "melts" into its new shape.
 .
 It can display in 12 or 24 hour modes, and displays the date when a mouse
 button is held down. It has two large fonts built into it, but it can animate
 most other fonts that contain all of the digits. It can also do some funky
 psychedelic colormap cycling, and can use the "shape" extension so that the
 window is shaped like the digits.

Package: xdelta
Description-md5: 752ef8f98afff45a4a200cc6ff55037e
Description-en: A diff utility which works with binary files
 Xdelta is an application program designed to compute changes between
 files.  These changes (deltas) are similar to the output of the
 "diff" program in that they may be used to store and transmit only the
 changes between files.  However, unlike diff, the output of Xdelta is
 not expressed in a human-readable format--Xdelta can also apply
 these deltas to a copy of the original file.  Xdelta uses a fast,
 linear algorithm and performs well on both binary and text files.

Package: xdelta3
Description-md5: ee691dc32e90c3bd989ad440d2196fcc
Description-en: Diff utility which works with binary files
 Xdelta3 is a set of tools designed to compute changes between
 binary files.  These changes (delta files) are similar to the output of the
 "diff" program, in that they may be used to store and transmit only the
 changes between files.  The "delta files" that Xdelta3 manages are
 stored in RFC3284 (VCDIFF) format.

Package: xdemineur
Description-md5: 9847ff3d2e16ee40e16367be4ac0a4ba
Description-en: Yet another minesweeper for X
 The object of this game is to find the location of the mines
 hidden in the minefield and to mark their location by placing a
 warning flag.
 .
 There are many minesweeper clones. This one is the most similar to the
 Windows one in terms of look and feel.

Package: xdemorse
Description-md5: b6d620bdcecaae17f9ee7ae24f4ed31d
Description-en: decode Morse signals to text
 X/GTK+ application for decoding Morse code signals into text. xdemorse
 detects the "dihs" and "dahs" that make a Morse code character via the
 computer's sound card, which can be connected to a radio receiver tuned
 to a CW Morse code transmission or to a tone generator.
 .
 The input signal is processed by a Goertzel tone detector algorithm which
 produces "mark" or "space" (signal/no signal) outputs and the resulting
 stream of Morse code "elements" is decoded into an ASCII character for
 printing to the Text viewer.
 .
 xdemorse has a certain level of tolerance towards operator errors (bad
 "fist") regarding deviation from the standard duration of the various
 elements that make up the Morse code.There is a "Waterfall" (audio
 spectrum) display derived from an integer-arithmetic FFT of the receiver's
 audio output.
 .
 This program has built-in CAT capability but only for the Yaesu FT847 or
 FT857 and Elecraft K2 or K3.

Package: xdesktopwaves
Description-md5: f16227e08a957e0733b09c58177cb4f6
Description-en: Simulation of water waves on the X Window System
 xdesktopwaves is a cellular automata setting the background of your
 X Window System under water. Windows and mouse are like ships on
 the sea. Each movement of these ends up in moving water waves. You
 can even have rain and/or storm stirring up the water.

Package: xdeview
Description-md5: 5eff3fca141dd9c8b50795f105a5b5aa
Description-en: Smart multi-file multi-part decoder (X11 GUI)
 This is an X11-based decoder for files encoded with the following
 formats: uuencode, xxencode, BASE64, yEncoding, quoted printable, and BinHex.

Package: xdg-dbus-proxy-tests
Description-md5: 208475b386041e7578d2599d41c67314
Description-en: filtering D-Bus proxy - as-installed tests
 xdg-dbus-proxy is a filtering proxy for D-Bus connections. It was
 originally part of the Flatpak project, but it has been broken out as
 a standalone module to facilitate using it in other contexts, for
 example in WebKit-GTK's optional bubblewrap-based sandbox.
 .
 This package contains as-installed tests, which can be run using
 autopkgtest or gnome-desktop-testing-runner, or directly.

Package: xdg-desktop-portal-kde
Description-md5: 85f9c7683ceeee9a23bab8e1e1840663
Description-en: backend implementation for xdg-desktop-portal using Qt
 xdg-desktop-portal-kde provides a Qt implementation for the
 desktop-agnostic xdg-desktop-portal service. This allows sandboxed
 applications to request services from outside the sandbox using KDE
 GUIs (app chooser, file chooser, print dialog) or using KDE services
 (session manager, screenshot provider).

Package: xdg-desktop-portal-tests
Description-md5: 90c16dc002ccbefb1d97879a1101ca0d
Description-en: desktop integration portal - automated tests
 xdg-desktop-portal provides a portal frontend service for Flatpak, Snap,
 and possibly other desktop containment/sandboxing frameworks. This service
 is made available to the sandboxed application, and provides mediated
 D-Bus interfaces for file access, URI opening, printing and similar
 desktop integration features. See the xdg-desktop-portal package's
 description for more details.
 .
 This package contains automated tests.

Package: xdiagnose
Description-md5: ceca96828e411b7ecc73f81dd97854fb
Description-en: X.org diagnosis tool
 This package is a friendly GUI application for diagnosing several
 common X.org problems on Ubuntu.

Package: xdiskusage
Description-md5: dd580482d37ef8b2c65a5d63b25374d5
Description-en: Displays a graphic of your disk usage with du
 xdiskusage is a user-friendly program to show you what is using up
 all your disk space. It is based on the design of the "xdu" program
 written by Phillip C. Dykstra. Changes have been made so it runs "du"
 for you, and can display the free space left on the disk, and produce
 a PostScript version of the display.

Package: xdm
Description-md5: 694e41e6a6a3354a405a31d15199acb2
Description-en: X display manager
 xdm manages a collection of X servers, which may be on the local host or
 remote machines.  It provides services similar to those provided by init,
 getty, and login on character-based terminals: prompting for login name and
 password, authenticating the user, and running a session.  xdm supports XDMCP
 (X Display Manager Control Protocol) and can also be used to run a chooser
 process which presents the user with a menu of possible hosts that offer
 XDMCP display management.

Package: xdms
Description-md5: c0805de2776a6513c4702f4996477277
Description-en: Uncompressor for Amiga DMS archives
 This a tool for decompressing Amiga DMS files. DMS files contain disk
 images that are these days mainly useful for Amiga emulation. It can
 unpack DMS images to ADF images.

Package: xdmx
Description-md5: 9e6fe3aa398121b6dd37b516ff31f0fc
Description-en: distributed multihead X server
 Xdmx is a proxy X server that uses one or more other X servers as its
 display device(s). It provides multi-head X functionality for displays that
 might be located on different machines. Xdmx functions as a front-end X server
 that acts as a proxy to a set of back-end X servers. All of the visible
 rendering is passed to the back-end X servers. Clients connect to the Xdmx
 front-end, and everything appears as it would in a regular multi-head
 configuration. If Xinerama is enabled (e.g., with +xinerama on the command
 line), the clients see a single large screen.
 .
 More information about X.Org can be found at:
 <URL:https://www.x.org>
 .
 This package is built from the X.org xserver module.

Package: xdmx-tools
Description-md5: 7c73525ee7871b6febd440ea9a0a08b9
Description-en: Distributed Multihead X tools
 This package provides a collection of tools used for administration of
 the Xdmx server; see the xdmx package for more information.
 .
 More information about X.Org can be found at:
 <URL:https://www.x.org>
 .
 This package is built from the X.org xserver module.

Package: xdo
Description-md5: adf583dbb5faeb67c46f7fbecdc380c0
Description-en: small console-based utility to perform elementary actions on windows
 xdo it's useful to manipulate windows. Using it you will be able to do many
 things with your windows, like: close, kill, hide, show, raise, lower, move
 resize, activate and others, using the console on X window based systems.

Package: xdot
Description-md5: eb58f25a628b48a744f1b904af3b9282
Description-en: interactive viewer for Graphviz dot files
 xdot is an interactive viewer for graphs written in Graphviz's dot language.
 It uses internally the graphviz's xdot output format as an intermediate
 format, and PyGTK and Cairo for rendering. xdot can be used either as a
 standalone application from command line, or as a library embedded in your
 Python 3 application.
 .
 Features:
  * Since it doesn't use bitmaps it is fast and has a small memory footprint.
  * Arbitrary zoom.
  * Keyboard/mouse navigation.
  * Supports events on the nodes with URLs.
  * Animated jumping between nodes.
  * Highlights node/edge under mouse.

Package: xdotool
Description-md5: e598dcea54625951b2e5858c18b33f2f
Description-en: simulate (generate) X11 keyboard/mouse input events
 xdotool lets you programmatically (or manually) simulate keyboard
 input and mouse activity, move and resize windows, etc. It does this
 using X11's XTEST extension and other Xlib functions.

Package: xdrawchem
Description-md5: 22ab77754c50fc6ab380de625d355059
Description-en: Chemical structures and reactions editor
 Xdrawchem is a 2D editor for chemical structures and reactions.  It
 mirrors the abilities of the commercial ChemDraw suite and has file
 compatibility with it as well as other chemical formats through
 OpenBabel.

Package: xdu
Description-md5: f82dafda3dbb599b451df312e2cd0ec7
Description-en: display the output of "du" in an X window
 XDU is a program for displaying a graphical tree of disk space
 utilization as reported by the UNIX utility "du".  You can
 navigate up and down in the tree, sort things, and print out
 information.

Package: xdvik-ja
Description-md5: 2cae60c564ab8a15a83dcc311753200f
Description-en: Japanized DVI Previewer for the X Window System
 xdvi is a program which is used to preview DVI files, such as are produced
 by TeX. This localized version of xdvi can handle Japanese DVI files,
 called pxdvi.

Package: xdx
Description-md5: eeff33286bdd394b349bad65e67a6239
Description-en: DX-cluster tcp/ip client for amateur radio
 Xdx is a client to connect to a DX-cluster. Dx messages will be displayed
 in a list, announcements will go to a text display.
 .
 As well as the usual functions, if you have hamlib installed it can control
 the radio and set the frequency simply by double clicking a DX-spot
 (using rigctl).

Package: xe
Description-md5: c4c4b287e1e5d625420e261ee33294cf
Description-en: simple alternative to xargs and apply
 xe is a tool for constructing command lines from file listings or
 arguments, which includes the best features of xargs(1) and apply(1).
 .
 Its name means "execute for every ...".
 .
 It provides simple(r) parsing of its input, does not invoke a shell by
 default, can execute multiple commands in parallel, ...

Package: xemacs21
Description-md5: b58d01aa8cce6df233bac4a1277ab553
Description-en: highly customizable text editor metapackage
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package exists to cause the installation of the real XEmacs packages.

Package: xemacs21-basesupport
Description-md5: e6f966192f6de6e3a2f431fed021d37a
Description-en: Editor and kitchen sink -- compiled elisp support files
 This package contains the base extra elisp files in order to have a
 functioning xemacs21 package.
 .
 This package contains only the compiled elisp files.

Package: xemacs21-basesupport-el
Description-md5: 50ed4ba678ff3310865167aa3c9c817c
Description-en: Editor and kitchen sink -- source elisp support files
 This package contains the base extra elisp files in order to have a
 functioning xemacs21 package.
 .
 The xemacs21-basesupport package contains the
 compiled versions of these files.
 .
 The major reason to install this package is if you want to learn
 elisp or modify the libraries for your specific installation.

Package: xemacs21-bin
Description-md5: cd9d2687758c9d5efd80dd58a6a462cb
Description-en: highly customizable text editor -- support binaries
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 After starting it up peruse the Help menu and read more on its
 origins and what it can do.
 .
 You will need one of xemacs21-mule, xemacs21-nomule, or
 xemacs21-mule-canna-wnn to actually run xemacs.

Package: xemacs21-mule
Description-md5: e982957c5b6870387cb98401de47fc61
Description-en: highly customizable text editor -- Mule binary
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package contains the actual binary used to run XEmacs.  It
 depends on the xemacs21-support package for lisp and etc files, and
 the xemacs21-bin package for supporting binaries.  This binary has
 been compiled with support for mule, but no input extensions.
 .
 Mule is the extension to (x)emacs that allows for the display of
 non-European characters (for example Japanese and Korean characters).
 This version of the package is mainly useful for only the display and
 reading of international characters.  It does not have any mechanism
 for entering non-European characters (see the xemacs21-mule-canna-wnn
 package for that).
 .
 This package actually contains one of the three xemacs binaries
 available.  They are xemacs21-nomule, xemacs21-mule and
 xemacs21-mule-canna-wnn.

Package: xemacs21-mule-canna-wnn
Description-md5: 28362136fcd4b38889bed3563cdaf603
Description-en: highly customizable text editor -- Mule binary compiled with Canna and Wnn
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package contains the actual binary used to run XEmacs.  It
 depends on the xemacs21-support package for lisp and etc files, and
 the xemacs21-bin package for supporting binaries.  This binary has
 support for the input extensions Canna and WNN compiled in.
 .
 Mule is an extension to (x)emacs that allows for the display and
 input of non-European characters.  This binary allows for input and
 display whereas the xemacs21-mule package only does display.
 .
 This package actually contains one of the three xemacs binaries
 available.  They are xemacs21-nomule, xemacs21-mule and
 xemacs21-mule-canna-wnn.

Package: xemacs21-mulesupport
Description-md5: 81869c43f8a03d577f36da62f2539c07
Description-en: Editor and kitchen sink -- Mule elisp support files
 This package contains the mule elisp files in order to have a functioning
 mule xemacs21 package.
 .
 Mule is the extension to (x)emacs that allows for the display of
 non-European characters (for example Japanese and Korean characters).

Package: xemacs21-mulesupport-el
Description-md5: a5536dd3af13ea6750a2443b4130dc58
Description-en: Editor and kitchen sink -- source elisp support files
 This package contains the mule elisp files in order to have a functioning
 mule xemacs21 package.
 .
 Mule is the extension to (x)emacs that allows for the display of
 non-European characters (for example Japanese and Korean characters).
 .
 The xemacs21-mulesupport package contains the
 compiled versions of these files.
 .
 The major reason to install this package is if you want to learn
 elisp or modify the libraries for your specific installation.

Package: xemacs21-nomule
Description-md5: 84812058b8071dd5e1f82f954c1132c2
Description-en: highly customizable text editor -- Non-mule binary
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package contains the actual binary used to run XEmacs.  It
 depends on the xemacs21-support package for lisp and etc files, and
 the xemacs21-bin package for supporting binaries.  This binary does
 not have any of the mule stuff compiled into it.  It should be faster
 than the xemacs21-mule and xemacs21-mule-canna-wnn packages because
 it does not contain the fairly large bit of code for either the
 display or input of non-European characters.
 .
 This package actually contains one of the three xemacs binaries
 available.  They are xemacs21-nomule, xemacs21-mule and
 xemacs21-mule-canna-wnn.

Package: xemacs21-support
Description-md5: e9433a65c20735dd502bbadb7fb553a8
Description-en: highly customizable text editor -- architecture independent support files
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 Support and architecture independent files for XEmacs 21.4.22.  This
 includes the files found in etc and all required elisp library files
 (mostly compiled (.elc files), but a few uncompiled (.el files)).

Package: xemacs21-supportel
Description-md5: f7c28d957f3813cfabe08a899749cd02
Description-en: highly customizable text editor -- non-required library files
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package contains all the non-necessary library files for
 XEmacs.  The xemacs21-support package contains the compiled versions
 of these files.
 .
 The major reason to install this package is if you want to learn
 elisp or modify the libraries for your specific installation.

Package: xen-doc
Description-md5: 62bf1d8003566870a69d60328fea15b1
Description-en: XEN documentation
 Documentation for the Xen hypervisor and surrounding software,
 including descriptions of the hypercall interfaces and of some
 of the library APIs.
 .
 You do not need this package for the primary manpages for the
 Xen control utilities, as those are in the xen-utils-common package.

Package: xen-hypervisor-4.11-amd64
Description-md5: 30d22c56057f827a5cc3329925199782
Description-en: Xen Hypervisor on AMD64
 The hypervisor is the "core" for XEN itself.  It gets booted by the boot
 loader and controls cpu and memory, sharing them between your
 administrative domain (Domain 0) and the virtual guest systems.
 .
 In order to boot a XEN system along with this package you also need a
 kernel specifically crafted to work as the Domain 0, mediating hardware
 access for XEN itself.

Package: xen-hypervisor-4.9-amd64
Description-md5: 2ed3e92e0f6f48d0da5e1416c49dc0e5
Description-en: Transitional package for upgrade
 The hypervisor is the "core" for XEN itself.  It gets booted by the boot
 loader and controls cpu and memory, sharing them between your
 administrative domain (Domain 0) and the virtual guest systems.
 .
 In order to boot a XEN system along with this package you also need a
 kernel specifically crafted to work as the Domain 0, mediating hardware
 access for XEN itself.
 .
 This is a transitional package. You can safely remove it.

Package: xen-hypervisor-common
Description-md5: 3aa121948d73805f0e8ef72a0d40635a
Description-en: Xen Hypervisor - common files
 The configuration which arranges for an installed hypervisor to be booted
 as default, with the right command line arguments passed to both
 hypervisor and host (Domain 0) kernel.
 .
 This package is only required on the host system (Domain 0) and not on the
 virtual guest systems (Domain U).

Package: xen-system-amd64
Description-md5: 2b95965616b0a2c822b3fdb9d132e364
Description-en: Xen System on AMD64 (metapackage)
 This package depends on the latest Xen hypervisor for use on AMD64 and the
 Xen utils.

Package: xen-tools
Description-md5: c3da9eea0c66571fee394ecaba060312
Description-en: Tools to manage Xen virtual servers
 This package contains tools to manage Debian based Xen virtual servers.
 .
 Using the scripts you can easily create fully configured Xen guest
 domains (DomU) which can be listed, updated, or copied easily.
 .
 xen-tools currently can install:
 .
   * Debian 3.1 Sarge (i386 only)
   * Debian 4.0 Etch
   * Debian 5.0 Lenny
   * Debian 6.0 Squeeze
   * Debian 7 Wheezy
   * Debian 8 Jessie
   * Debian 9 Stretch
   * Debian 10 Buster (under development)
   * Debian 11 Bullseye (future release name)
   * Debian 12 Bookworm (future release name)
   * Debian Sid (Unstable)
   * Ubuntu 6.06 Dapper Drake (LTS)
   * Ubuntu 6.10 Edgy Eft
   * Ubuntu 7.04 Feisty Fawn
   * Ubuntu 7.10 Gutsy Gibbon
   * Ubuntu 8.04 Hardy Heron (LTS)
   * Ubuntu 8.10 Intrepid Ibex
   * Ubuntu 9.04 Jaunty Jackaplope
   * Ubuntu 9.10 Karmic Koala
   * Ubuntu 10.04 Lucid Lynx (LTS)
   * Ubuntu 10.10 Maverick Meerkat
   * Ubuntu 11.04 Natty Narwhal
   * Ubuntu 11.10 Oneiric Ocelot
   * Ubuntu 12.04 Precise Pangolin (LTS)
   * Ubuntu 12.10 Quantal Quetzal
   * Ubuntu 13.04 Raring Ringtail
   * Ubuntu 13.10 Saucy Salamander
   * Ubuntu 14.04 Trusty Tahr (LTS)
   * Ubuntu 14.10 Utopic Unicorn
   * Ubuntu 15.04 Vivid Vervet
   * Ubuntu 15.10 Wily Werewolf
   * Ubuntu 16.04 Xenial Xerus (LTS)
   * Ubuntu 16.10 Yakkety Yak
   * Ubuntu 17.04 Zesty Zapus
   * Ubuntu 17.10 Artful Aardvark
   * Ubuntu 18.04 Bionic Beaver (LTS)
   * Ubuntu 18.10 Cosmic Cuttlefish
   * Ubuntu 19.04 Disco Dingo (preliminary support, under development)
   * CentOS 5
   * CentOS 6

Package: xen-utils-4.11
Description-md5: 860315e97fd97c72059f629e03e27ed6
Description-en: XEN administrative tools
 The userspace tools to manage a system virtualized through the XEN virtual
 machine monitor.
 .
 qemu-utils and seabios are neded for "Xen HVM" (amd64 and i386)

Package: xen-utils-common
Description-md5: 1f4106fa79ae4eaa3fa5874a33091fc9
Description-en: Xen administrative tools - common files
 The userspace tools to manage a system virtualized through the Xen virtual
 machine monitor.
 .
 This package is only required on the host system (Domain 0) and not on the
 virtual guest systems (Domain U).

Package: xenomai-doc
Description-md5: dae066f5fadf51a184352e85995610f7
Description-en: Xenomai documentation
 Xenomai is a real-time development framework cooperating with the Linux
 kernel in order to provide a pervasive, interface-agnostic, hard real-time
 support to user-space applications, seamlessly integrated into the GNU/Linux
 environment. Xenomai provides its own API and emulation layers ("skins") to
 make migration from other RTOS easier. Examples are: pSOS+, VxWorks, VRTX,
 uiTRON, RTAI, POSIX.
 .
 Documentation for Xenomai realtime API.

Package: xenomai-kernel-source
Description-md5: 83060d72a2f644d16af457cdfaf9800d
Description-en: Linux kernel patches for Xenomai
 Xenomai is a real-time development framework cooperating with the Linux
 kernel in order to provide a pervasive, interface-agnostic, hard real-time
 support to user-space applications, seamlessly integrated into the GNU/Linux
 environment. Xenomai provides its own API and emulation layers ("skins") to
 make migration from other RTOS easier. Examples are: pSOS+, VxWorks, VRTX,
 uiTRON, RTAI, POSIX.
 .
 This package contains patches for 2.6 series kernels - These are intended for
 use with kernel-package and a virgin Linux source tree. Note: These patches
 include the base adeos-ipipe patch along with all the additional material
 normally added by the prepare-kernel.sh script.
 .
 This package contains the following patches:

Package: xenomai-system-tools
Description-md5: 709ba0123a727b8492e591dc81e4eda5
Description-en: Xenomai system tools utilities
 Xenomai is a real-time development framework cooperating with the Linux
 kernel in order to provide a pervasive, interface-agnostic, hard real-time
 support to user-space applications, seamlessly integrated into the GNU/Linux
 environment. Xenomai provides its own API and emulation layers ("skins") to
 make migration from other RTOS easier. Examples are: pSOS+, VxWorks, VRTX,
 uiTRON, RTAI, POSIX.
 .
 This package contains the runtime programs, testsuite for the Xenomai
 realtime system and system configuration scripts.

Package: xenstore-utils
Description-md5: 8a3900f30ba765bd8ee37129496e0d79
Description-en: Xenstore command line utilities for Xen
 This package contains command line utilities for interacting with
 XenStore.
 .
 XenStore is a shared database used for interdomain communication of
 configuration and status information.  It is accessible to all domains
 running on the same Xen host.  See https://wiki.xen.org/wiki/XenStore for
 more information.
 .
 In the common case these tools are used by the Xen toolstack running in
 domain0 (or a driver domain) however they may also be used in a guest
 domain to support local scripting which wants to communicate via XenStore.

Package: xevil
Description-md5: 1ebc2fdb4de0baed20e0e5d852592e17
Description-en: A violent side-scrolling game for X
 XEvil is a 3rd person, side-view, fast-action,
 kill-them-before-they-kill-you game. Fight against either
 computer-controlled enemies, or another player.
 .
 You sinned in life. And now you must pay. Satan pits the recently
 deceased against each other to fight for rank in Hell. Your skill
 determines your fate for all eternity. This contest is known as XEvil.

Package: xfaces
Description-md5: 8b42366bc2ba746fb29ab9bda8f0a69c
Description-en: Displays an image for each piece of mail in your mailbox
 XFaces is a program that will display an image for each
 piece of mail in your mail box. This lets you know at a
 glance who you have mail from. XFaces starts out (when you
 have no mail) looking like a color xbiff. As you receive
 mail XFaces becomes a column of mail images. Some of XFaces
 features:
  - Both mono and color images.
  - Optional Shaped window support with both xpm and xbm.
  - Sound support using the NetAudio protocol. The NetAudio server
    currently supports Sun's SparcStation (SunOS 4.1.X and Solaris
    2.2), SGI's Indigo and NCD MCX X terminals running NCDware 3.1 or
    later.
  - Face images can be "compressed" so that each image will only show
    up once on your display. This way, if you receive 30 messages from
    one user, list or whatever the image will only show up once.
  - You can use regular expressions to search any headers to choose
    images and sounds or ignore that mail message completely. This
    lets you specify an image/sound for mail that comes from a mailing
    list or ignore mail that you have already seen but left in your
    system mailbox.
  - Compatibility support for Rich Burridge's faces program. This
    includes the ability to run user command to generate the image
    list.
  - Support for POP mailboxes.
  - XFaces can kick off shell commands based upon mailbox contents.
    You could have yourself paged when a high priority item needs your
    attention.
  - XFaces can run external filters to convert images into Xpm so that
    image formats that XFaces does not understand can be used.
  - The annotations for mail items include username, hostname, count,
    user@host, the contents of any header.

Package: xfburn
Description-md5: ce8a24ab8509037449da10bb5e6bbaed
Description-en: CD-burner application for Xfce Desktop Environment
 Xfburn is a tool to help burning CDs and DVDs. It fits well in the Xfce
 Desktop Environment but can be used anywhere.

Package: xfce4
Description-md5: f37cad1cfd292998dfe3779a5a0cee60
Description-en: Meta-package for the Xfce Lightweight Desktop Environment
 Xfce is a lightweight desktop environment for unix-like operating systems.
 It aims to be fast and lightweight, while still being visually appealing and
 easy to use.  Designed for productivity, it loads and executes applications
 fast, while conserving system resources.
 .
 This package is a metapackage; it depends on the core packages of the Xfce4
 desktop environment and recommends some extra Xfce4 packages.  If you intend
 to use Xfce4 and want the full experience then installing this package and the
 packages it Recommends is a great place to start.
 .
 If you just want to pick and choose the core components then feel free to
 remove this package.

Package: xfce4-appfinder
Description-md5: 59c7b42299d9eb737811281b3a37daae
Description-en: Application finder for the Xfce4 desktop environment
 This is an application finder for the Xfce4 desktop environment.
 It will search for installed applications on your system. It can also be used
 to browse installed applications by category, run them or edit their launchers.
 .
 xfce4-appfinder can also be used with a shortcut command to quickly run
 applications, and also supports custom prefixes to execute custom commands on
 provided paramers.

Package: xfce4-appmenu-plugin
Description-md5: 374d31b56d141b7d3ae9100cfbd06b7b
Description-en: Application Menu plugin for xfce4-panel
 This is an Application Menu (Global Menu) plugin. It is built using
 the Unity protocol and libraries and provides all features found in
 the Unity implementation.
 .
 This package provides the plugin for Xfce.

Package: xfce4-battery-plugin
Description-md5: 003f9b922ce3f3f0388a60b17fe8e68d
Description-en: battery monitor plugin for the Xfce4 panel
 A battery monitor panel plugin for Xfce4, compatible with APM and ACPI, using
 either /proc files or the new sysfs files for recent kernels.  You can use this
 applet to monitor your battery level and be notified when your battery reaches
 low and critcal levels. The applet can even be programmed to execute a command
 on low and critcal levels.

Package: xfce4-clipman
Description-md5: 72e54b8bb6e40ccc1fa3a23321413d8f
Description-en: clipboard history utility
 Clipman enables you to store and recall X selections, as well as GTK+
 clipboard content. You can also define actions to be triggered by selecting
 some text pattern.
 .
 This package uses Xfce libraries but can be used without the Xfce panel
 and only requires a system tray (notification area).

Package: xfce4-clipman-plugin
Description-md5: 08e5266eb8ff5f998c3f476dfe233604
Description-en: clipboard history plugin for Xfce panel
 Clipman enables you to store and recall X selections, as well as GTK+
 clipboard content. You can also define actions to be triggered by selecting
 some text pattern.
 .
 This package contains the Xfce panel plugin.

Package: xfce4-cpufreq-plugin
Description-md5: be361bfa7f362959c08b548e549910c3
Description-en: cpufreq information plugin for the Xfce4 panel
 This plugin displays the current frequency of the CPU, in GHz or MHz as
 necessary. It also displays the frequency relative to the maximum CPU
 frequency as an accordingly colored progress bar.

Package: xfce4-cpugraph-plugin
Description-md5: 5df72cb0b5caa85ebe9ee676dd7980e9
Description-en: CPU load graph plugin for the Xfce4 panel
 CPU graph is a plugin for the Xfce desktop environment panel. It displays a
 graph of the recent system load using information provided by the kernel.

Package: xfce4-datetime-plugin
Description-md5: 6d96419798cc4cd74c5fa9299731da78
Description-en: date and time plugin for the Xfce4 panel
 This plugin shows the date and time in the panel, and a calendar appears when
 you left-click on it.

Package: xfce4-dev-tools
Description-md5: 44101e4b71800cae56aa82ffdd7e8589
Description-en: Script to help building Xfce from git
 xfce4-dev-tools provide an easy way to handle the setup and maintenance of a
 projects build framework. It's required to build Xfce applications from git.

Package: xfce4-dict
Description-md5: 17bf4a94145440c63210a04791fc8427
Description-en: Dictionary plugin for Xfce4 panel
 This program allows you to search different kinds of dictionary services for
 words or phrases and shows you the result. Currently you can query a Dict
 server (RFC 2229), any online dictionary service by opening a web browser or
 search for words using the aspell/ispell program.
 .
 xfce4-dict contains a stand-alone application called “xfce4-dict” and a panel
 plugin for the Xfce panel.

Package: xfce4-diskperf-plugin
Description-md5: e1ec7bc1bceb61058c510c729c575e6b
Description-en: disk performance display plugin for the Xfce4 panel
 DiskPerf is a plugin for the Xfce desktop environment panel. It displays disks
 and partitions performance statistics based on the rsect/wsect data provided
 by the Linux kernel.

Package: xfce4-equake-plugin
Description-md5: 854ddf38f9da81201dd17890461aa382
Description-en: Xfce panel plugin which monitors earthquakes
 xfce4-equake-plugin is a panel plugin for the Xfce panel which monitors
 earthquakes and displays an update each time a new earthquake occurs.
 In addition it can show alerts when heavy earthquakes have happened,
 display maps and webpages with more information, produce lists
 of hourly and daily earthquakes and update a handy signature file you
 can use  in your emails. Many features are user configurable.

Package: xfce4-eyes-plugin
Description-md5: 724b3fc65f894267ad93af3376e13cda
Description-en: eyes that follow your mouse for the Xfce4 panel
 This plugin adds eyes to the Xfce panel which follow your cursor, similar to
 the xeyes program.
 .
 It has several different choices of themes, so you can have something fairly
 normal watching you, or a bizarre monster staring at your mouse.

Package: xfce4-fsguard-plugin
Description-md5: 0aa5cef9382e4e70de280f8bd7105580
Description-en: filesystem monitor plugin for the Xfce4 panel
 The fsguard plugin checks free space on a chosen mount point
 frequently and displays an alarm if free space is less than
 given alarm limit.

Package: xfce4-genmon-plugin
Description-md5: ed098127eeb0d2f20d3f06422fead375
Description-en: Generic Monitor for the Xfce4 panel
 This plugin repeatedly spawns the indicated script/program, displaying
 its output as a string in the panel. It is useful for periodic status
 monitoring.

Package: xfce4-goodies
Description-md5: e84f219dfbafd7faad955f780b262891
Description-en: enhancements for the Xfce4 Desktop Environment
 The "Goodies for Xfce" project includes additional software and artwork that
 are related to the Xfce desktop, but not part of the official release.
 .
 This package will install the following Xfce4 related plugins:
   * Extra artwork (xfce4-artwork)
   * Battery levels monitor (xfce4-battery-plugin)
   * Clipboard history (xfce4-clipman-plugin)
   * CPU frequency management plugin (xfce4-cpufreq-plugin)
   * CPU utilisation graphs (xfce4-cpugraph-plugin)
   * Date and time plugin (xfce4-datetime-plugin)
   * Disk performance display (xfce4-diskperf-plugin)
   * Filesystem monitor (xfce4-fsguard-plugin)
   * Generic monitor, for displaying any command result (xfce4-genmon-plugin)
   * Mail watcher (xfce4-mailwatch-plugin)
   * Network load monitor (xfce4-netload-plugin)
   * Notes plugin (xfce4-notes-plugin)
   * Quick access to bookmarked folders, recent documents and removable
     media (xfce4-places-plugin)
   * Sensors plugin, frontend to lm-sensors (xfce4-sensors-plugin)
   * Smartbookmarks plugin (xfce4-smartbookmark-plugin)
   * System load monitor (xfce4-systemload-plugin)
   * Timer plugin (xfce4-timer-plugin)
   * Command line with history (xfce4-verve-plugin)
   * Wireless lan monitor (xfce4-wavelan-plugin)
   * Weather monitor (xfce4-weather-plugin)
   * Keyboard configuration (xfce4-xkb-plugin)
   * Archive management for Thunar (thunar-archive-plugin)
   * Media tags editor for Thunar (thunar-media-tags-plugin)
   * Alternate menu plugin (xfce4-whiskermenu-plugin)
 .
 It'll install some standalone applications too:
   * Tiny text editor (mousepad)
   * Images viewer (ristretto)
   * CD/DVD burner (xfburn)
   * Frontend to dictionaries (xfce4-dict)
   * Notification daemon (xfce4-notifyd)
   * Tool to take screenshots (xfce4-screenshooter)
   * Task manager (xfce4-taskmanager)
   * Terminal emulator (xfce4-terminal)
 .
 Some packages are only suggested because they bring too much dependencies,
 but you may find them interesting:
   * Cellular modem plugin (xfce4-cellmodem-plugin)
   * Indicator (conform to the Ubuntu MessagingMenu specification) plugin
     (xfce4-indicator-plugin)
   * Another commandline plugin (xfce4-minicmd-plugin)
   * Frontends to MPD (xfce4-mpc-plugin, xfmpc)
   * Radio plugin (xfce4-radio-plugin))
   * GIO/GVfs frontend to manage connections to remote filesystems (gigolo)
   * Media player (parole)
   * Power Manager (xfce4-power-manager)
 .
 This is a metapackage to ease upgrades, installations, and provide a
 consistent upgrade path from previous versions. It can safely be removed with
 no ill effects.

Package: xfce4-indicator-plugin
Description-md5: 9840efa394a05eab71be8c0a6217040f
Description-en: plugin to display information from applications in the Xfce4 panel
 A small plugin to display information from various applications consistently
 in the Xfce4 panel as described in Ubuntus MessagingMenu design specification.

Package: xfce4-mailwatch-plugin
Description-md5: 0ecd022efa4334e777ff0b9957918f9f
Description-en: mail watcher plugin for the Xfce4 panel
 Xfce4 Mailwatch Plugin is a multi-protocol, multi-mailbox mail watcher for the
 Xfce4 panel. It can display a notification when new mail is received.
 .
 It has support for local (mailbox, maildir and MH-maildir) mail, POP3/POP3S
 and IMAP/IMAPS servers as well as GMail.

Package: xfce4-mount-plugin
Description-md5: cd15eb8eafbe848d9c15d366f59be1c1
Description-en: mount plugin for the Xfce4 panel
 This plugin for Xfce displays a list of the various devices available, giving
 the opportunity to mount/umount them.

Package: xfce4-mpc-plugin
Description-md5: b1064b198a391c2603667462575c4a93
Description-en: Xfce panel plugin which serves as client for MPD music player
 This is a client for MPD music player which is added into a Xfce panel as a
 plugin. It can control the playback and show the currently playing song.

Package: xfce4-netload-plugin
Description-md5: e30cf5c9dfa99a3020b1bdf64bf0d7e8
Description-en: network load monitor plugin for the Xfce4 panel
 This plugin displays the current load of the network interfaces of your choice
 in the panel.

Package: xfce4-notes
Description-md5: 1245a3c1d72c1ae1d4e42ea637de0160
Description-en: Notes application for the Xfce4 desktop
 The notes tool provides you a simple text editor in a little window for the
 Xfce 4 desktop.  Notes are especially useful for temporary stuff.
 .
 It supports multiple notes through a notebook. Click on the upper left
 corner button to open a new note.

Package: xfce4-notes-plugin
Description-md5: 8adc07b34168f174ac10d58cb6b7a12c
Description-en: Notes plugin for the Xfce4 desktop
 The notes tool provides you a simple text editor in a little window for the
 Xfce 4 desktop.  Notes are especially useful for temporary stuff.
 .
 It supports multiple notes through a notebook. Click on the upper left
 corner button to open a new note.
 .
 This package contains the xfce4-panel plugin.

Package: xfce4-notifyd
Description-md5: 9b79b0e608011a9fec3e8be96fcc3c88
Description-en: simple, visually-appealing notification daemon for Xfce
 Xfce4-notifyd is a simple, visually-appealing notification daemon for Xfce
 that implements the Freedesktop.org Desktop Notifications Specification.
 .
 Features:
  * Themable using the GTK+ theming mechanism
  * Visually appealing: rounded corners, shaped windows
  * Supports transparency and fade effects

Package: xfce4-panel
Description-md5: 9b9a4e59c64e5736d25051813bfbaf43
Description-en: panel for Xfce4 desktop environment
 This is the panel provided by the Xfce4 desktop project.  If you want a
 multi-functional panel that can even handle plugins and the like, xfce4-panel
 might be worth a try.

Package: xfce4-panel-dev
Description-md5: 069cc5f53b683f3f1d29c47a064e7d8c
Description-en: Xfce4 panel development files
 In this package, you can find the development files distributed with the
 Xfce4 panel, the main panel of Xfce4 desktop environment. The files in this
 package are mainly going to be of interest for you if you intend to code
 applications which use some of the Xfce4 C panel functions.

Package: xfce4-panel-profiles
Description-md5: 1d9d79a8e6d811e0ddd4f2b93e348e57
Description-en: layout manager for the Xfce panel
 Xfce Panel Profiles (xfce4-panel-profiles) is a simple application to
 manage Xfce panel layouts. This tool makes it possible to backup,
 restore, import, and export these panel layouts.

Package: xfce4-places-plugin
Description-md5: 79bd56d5a439c01c76545be503816b77
Description-en: quick access to folders, documents and removable media
 This plugin brings much of the functionality of GNOME’s Places menu to Xfce.
 It puts a simple button on the panel. Clicking on this button opens up a menu
 with 4 sections:
  - System-defined directories (home folder, trash, desktop, file system)
  - Removable media (using thunar-vfs)
  - User-defined bookmarks (reads ~/.gtk-bookmarks)
  - Recent documents submenu

Package: xfce4-power-manager
Description-md5: d787e0c690737d22134cb5f3fa1e5b3b
Description-en: power manager for Xfce desktop
 This power manager for the Xfce desktop enables laptop users to set up
 a power profile for two different modes "on battery power" and "on ac
 power" while still allowing desktop users to at least change the DPMS
 settings and CPU frequency using the settings dialogue..
 .
 It features:
   * battery monitoring
   * cpu frequency settings
   * monitor DPMS settings
   * suspend/Hibernate
   * LCD brightness control
   * Lid, sleep and power switches control

Package: xfce4-power-manager-data
Description-md5: 5090950ae4d94b0bc610d2b9a4a291cc
Description-en: power manager for Xfce desktop, arch-indep files
 This power manager for the Xfce desktop enables laptop users to set up
 a power profile for two different modes "on battery power" and "on ac
 power" while still allowing desktop users to at least change the DPMS
 settings and CPU frequency using the settings dialogue..
 .
 This package contains architecture-independant files.

Package: xfce4-power-manager-plugins
Description-md5: 5fe61bb265ee6d81c4e9cd8c65357717
Description-en: power manager plugins for Xfce panel
 This power manager for the Xfce desktop enables laptop users to set up
 a power profile for two different modes "on battery power" and "on ac
 power" while still allowing desktop users to at least change the DPMS
 settings and CPU frequency using the settings dialogue..
 .
 This package contains the brightness plugin.

Package: xfce4-pulseaudio-plugin
Description-md5: 593a5fc80bc60dcff53951de88a17c3e
Description-en: Xfce4 panel plugin to control pulseaudio
 Xfce4 panel plugin icon to control Pulseaudio. You can use this applet to
 control your Pulseaudio volume levels with the media keys or using the
 scrollwheel. You can also open a Pulseaudio mixer via the plugin.

Package: xfce4-screensaver
Description-md5: 309c27efa81be242f0f16706cd0bf20b
Description-en: screen saver and locker that is integrated with the xfce4 desktop
 Xfce Screensaver is a screen saver and locker that aims to have simple,
 sane, secure defaults and be well integrated with the Xfce desktop.
 .
 It is a port of MATE Screensaver, itself a port of GNOME Screensaver.
 It has been tightly integrated with the Xfce desktop, utilizing Xfce
 libraries and the Xfconf configuration backend.

Package: xfce4-screenshooter
Description-md5: 9791b04c86071facab563c63f97672ef
Description-en: screenshots utility for Xfce
 Screenshooter is an utility for the Xfce Desktop Environment. It can take
 desktop, rectangles or selected window screenshots, and you can bind it to
 your "Print Screen" key. A panel plugin is provided too.

Package: xfce4-sensors-plugin
Description-md5: 88d9c7fae237b8224861a6ede83eefa9
Description-en: hardware sensors plugin for the Xfce4 panel
 The sensors plugin provides a handy way to monitor your hard disk,
 processor, fans, and other critical hardware right on your
 Xfce panel.

Package: xfce4-session
Description-md5: b3396e8338ba6ce2ade29815969a2fd4
Description-en: Xfce4 Session Manager
 xfce4-session is an X11-compliant "session manager" designed for use with
 the Xfce4 Desktop Environment. On log out, the session manager saves the
 state of all your running applications. When you log back in, the session
 manager restores the same applications with the same window positions.

Package: xfce4-settings
Description-md5: 460b529843c7cefa369f74751d6a3678
Description-en: graphical application for managing Xfce settings
 xfce4-settings is the Xfce settings manager front-end. It comes
 with several different components for configuring application-independent
 settings inside xfconf.
 It contains multiple tools:
  - xfce4-settings-manager (which replaces the old mcs settings manager),
    which executes the various (provided) settings dialogs
  - xfce4-settings-editor, a tool for editing ALL settings within xfconf, the
    graphical counterpart of xfconf-query.
  - xfsettingsd, a daemon for exporting XSettings to applications, and
    providing special features like keyboard shortcuts, AccessX notification
    and update of keyboard and mouse-pointer data.

Package: xfce4-smartbookmark-plugin
Description-md5: 12ba43397c87e5b7df69eab4bf40fd6c
Description-en: search the web via the Xfce4 panel
 This plugin adds a text field to the Xfce panel, allowing the user to search
 the web. The URL and the text field size are configurable options.
 .
 Typical use cases are: Google, Wikipedia, the Debian Bug Tracking System
 .
 xfce4-smartbookmark-plugin is based on the smart bookmark concept:
 http://en.wikipedia.org/wiki/Smart_Bookmark

Package: xfce4-sntray-plugin
Description-md5: 1f8ce095e5fe88128f92f0a5a6fe5d76
Description-en: plugin for xfce4-panel to show StatusNotifierItems - Xfce
 Plugin for xfce4-panel to show StatusNotifierItems
 (also known as AppIndicators). Based on ValaPanel`s
 StatusNotifier and xfce4-snw-plugin by equiem.
 .
 Xfce version of the plugin.

Package: xfce4-sntray-plugin-common
Description-md5: 8086b414fbdb63a77aeaa3937554638d
Description-en: plugin for xfce4-panel to show StatusNotifierItems - commoner
 Plugin for xfce4-panel to show StatusNotifierItems
 (also known as AppIndicators). Based on ValaPanel`s
 StatusNotifier and xfce4-snw-plugin by equiem.
 .
 This package contains common files.

Package: xfce4-statusnotifier-plugin
Description-md5: b69f264116b7683ef03002bff7c5072b
Description-en: plugin to display status notifiers in the Xfce4 panel
 A small plugin to display status notifiers, including application indicators,
 in the Xfce4 panel as described in freedesktop.org StatusNotifierItem
 specification. Status notifiers are a modern alternative to the system tray.

Package: xfce4-systemload-plugin
Description-md5: fd99e026fd5a335d169ed956d6cb278c
Description-en: system load monitor plugin for the Xfce4 panel
 This plugins displays the current CPU load, the memory in use, the swap space
 and the system uptime in the Xfce4 panel.

Package: xfce4-taskmanager
Description-md5: a0eac28273bef4d0107008a2cf9f5500
Description-en: process manager for the Xfce4 Desktop Environment
 A GUI application for monitoring and controlling running processes,
 written for Xfce. Its features include:
 .
  * support for Linux, OpenBSD, FreeBSD and OpenSolaris
  * monitors the CPU and memory usage
  * tree view columns can be reordered
  * display window icons/names.

Package: xfce4-terminal
Description-md5: c7f15da1a21224fc1e0dc4f645f7834f
Description-en: Xfce terminal emulator
 This package contains Terminal, which is a lightweight and easy to use
 terminal emulator for X11. It was created to fit nicely into the Xfce
 desktop environment, but it also fits nice with other environments.

Package: xfce4-time-out-plugin
Description-md5: a8beafb6f68a1f1423b5ef173e35c9d0
Description-en: time out plugin for the Xfce4 panel
 This plugin makes it possible to take periodical breaks from the computer
 every X minutes. During breaks it locks your screen.
 It optionally allows you to postpone breaks for a certain time.

Package: xfce4-timer-plugin
Description-md5: 01be0b0a5ca1280c7c37d9bc37c1adf8
Description-en: timer plugin for Xfce panel
 With this plugin you can add timers (alarm or countdown) to your Xfce
 panel. You can display a warning window and/or run a custom command when
 alarm rings, repeat alarms etc.
 The timer progress is shown as a progress bar in the Xfce panel, lasting
 time displayed on tooltip.

Package: xfce4-verve-plugin
Description-md5: 62480e41c5ea3b5e9595576f30e67510
Description-en: Verve (command line) plugin for Xfce panel
 Verve plugin is a command line plugin for Xfce panel, with autocompletion
 and command history

Package: xfce4-volumed
Description-md5: 187780d8f56770282b2c62db4321a602
Description-en: volume keys daemon
 This is a volume keys control daemon for Xfce Desktop environment. It controls
 the volume using multimedia keys, for the card configured in xfce4-mixer. It
 also provides volume change notifications.

Package: xfce4-wavelan-plugin
Description-md5: 9cc43ca5b3939fd77dc2334cac0cfae6
Description-en: wavelan status plugin for the Xfce4 panel
 The WaveLAN plugin displays information of a WaveLAN device.
 .
 Current features are:
  - Signal state: tells if a carrier signal was detected.
  - Signal quality: current quality of the carrier signal.
  - Network name: current SSID of the WaveLAN network.

Package: xfce4-weather-plugin
Description-md5: 75261edfb17dac04dbe7f9212ab0574b
Description-en: weather information plugin for the Xfce4 panel
 The weather plugin displays information about the current weather according
 your timezone and settings. It allows one to search weather location code in
 the same plugin and displays weather status in little icons.
 .
 Features include:
  - Temperature, atmospheric pressure and state.
  - Wind speed, gust, and direction.
  - Humidity, Visibility, Dew-point, UV Index.

Package: xfce4-whiskermenu-plugin
Description-md5: a2e0423c391333ad0bc792b03487c39a
Description-en: Alternate menu plugin for the Xfce desktop environment
 Whisker Menu is an alternate application launcher for Xfce. When you open it
 you are shown a list of applications you have marked as favorites. You can
 browse through all of your installed applications by clicking on the category
 buttons on the side. Top level categories make browsing fast, and simple to
 switch between. Additionally, Whisker Menu keeps a list of the last ten
 applications that you've launched from it.

Package: xfce4-xkb-plugin
Description-md5: dfb6f250975b1625cdc74cf20ec31a58
Description-en: xkb layout switch plugin for the Xfce4 panel
 The xkb plugin shows you the current keyboard layout and allows you to
 switch to different defined layouts.
 .
 The plugin itself cannot be used for defining the keyboard
 layouts one wants to use, this is done the XKB way - either
 in your X config or by setxkbmap tool.

Package: xfconf
Description-md5: d89f8e8958008e75b9a69c16f8dd1ad9
Description-en: utilities for managing settings in Xfce
 xfconf contains xfconfd and xfconf-query.
  - xfconfd handles the Xfce settings storage
  - xfconf-query enables users to tune settings from command line

Package: xfdashboard
Description-md5: 0734d94e021a9f63a1ec9097ba9aa108
Description-en: GNOME shell like dashboard for Xfce
 xfdashboard provides an overview of applications currently open enabling the
 user to switch between different applications. The search feature works like
 Xfce's appfinder which makes it convenient to search for and start
 applications.

Package: xfdashboard-plugins
Description-md5: 3626dc7d94988b34f9552662cf6a4010
Description-en: GNOME shell like dashboard for Xfce - plugins
 xfdashboard provides an overview of applications currently open enabling the
 user to switch between different applications. The search feature works like
 Xfce's appfinder which makes it convenient to search for and start
 applications.
 .
 This package contains the xfdashboard plugins.

Package: xfdesktop4
Description-md5: 8948a63eaa64b42cc49408214ed70ffb
Description-en: Xfce desktop background, icons and root menu manager
 xfdesktop4 sets the background image, provides a right-click menu to
 launch applications and can optionally show files (including application
 launchers) or iconified windows. It includes gradient support for
 background color, saturation support for background image, real multiscreen
 and xinerama support.

Package: xfdesktop4-data
Description-md5: acb6c293ed8ed9cb13ff8542ba63b067
Description-en: Xfce desktop background, icons and root menu (common files)
 This package contains architecture-independent files for xfdesktop4.

Package: xfe
Description-md5: bf3f5ac653d56c55048787a65c6c0803
Description-en: lightweight file manager for X11
 Xfe is based on the popular but discontinued X Win Commander. It is
 desktop independent and is written using the C++ Fox Toolkit. Its
 appearance is similar to the Windows file-manager Total Commander
 or Windows Explorer. It is very fast and simple.
 .
 The main features are: file associations, mount/umount devices,
 directory tree for quick cd, change file attributes, auto save
 registry, compressed archives view/creation/extraction, compatibility
 with GNOME/KDE/Xfce, and much more.
 .
 Containing a simple text editor (Xfwrite), image viewer (Xfimage) and
 package manager (Xfpack).

Package: xfe-i18n
Description-md5: 99173d258e1c26040fd651f438ebe63d
Description-en: lightweight file manager for X11 (i18n support)
 Xfe is based on the popular but discontinued X Win Commander. It is
 desktop independent and is written using the C++ Fox Toolkit. Its
 appearance is similar to the Windows file-manager Total Commander
 or Windows Explorer. It is very fast and simple.
 .
 This package provides support many locales for non-English interfaces.

Package: xfe-themes
Description-md5: 1ab16a7d7bb42e3706bd37dc78a69075
Description-en: lightweight file manager for X11 (themes)
 Xfe is based on the popular but discontinued X Win Commander. It is
 desktop independent and is written using the C++ Fox Toolkit. Its
 appearance is similar to the Windows file-manager Total Commander
 or Windows Explorer. It is very fast and simple.
 .
 This package contains all icon themes for xfe and its utils.

Package: xfig
Description-md5: 51e9309ad8bba8c3856a664fdf9d56fe
Description-en: Facility for Interactive Generation of figures under X11
 XFig is a menu-driven tool that allows the user to draw and
 manipulate objects interactively in an X window.  The resulting
 pictures can be saved.  If the fig2dev program is installed, which is
 contained in the fig2dev package, the pictures can be printed on
 postscript printers or converted to a variety of other formats (e.g.
 to allow inclusion in LaTeX documents or web pages).
 .
 This package contains the xfig program itself.
 .
 You should think about installing the fig2dev package to export the
 graphics to several common formats.  You should also think about
 installing xfig-doc, which contains the documentation and xfig-libs,
 which contains several clip art libraries.

Package: xfig-doc
Description-md5: ff3c322a38ff584f9bd0ac1fdc207582
Description-en: XFig on-line documentation and examples
 XFig is a menu-driven tool that allows the user to draw and
 manipulate objects interactively in an X window.  The resulting
 pictures can be saved.  If the fig2dev program is installed, which is
 contained in the fig2dev package, the pictures can be printed on
 postscript printers or converted to a variety of other formats (e.g.
 to allow inclusion in LaTeX documents or web pages).
 .
 This package contains on-line documentation in HTML and PDF formats
 as well as the graphics examples distributed with XFig.

Package: xfig-libs
Description-md5: 343b6900a7c243d792167352a52aac1f
Description-en: XFig image libraries and examples
 XFig is a menu-driven tool that allows the user to draw and
 manipulate objects interactively in an X window.  The resulting
 pictures can be saved.  If the fig2dev program is installed, which is
 contained in the fig2dev package, the pictures can be printed on
 postscript printers or converted to a variety of other formats (e.g.
 to allow inclusion in LaTeX documents or web pages).
 .
 This package contains several clip art libraries including arrows,
 flowcharts, charts, labels, knitting, GUI, chemical process
 flowsheets, logic, digital signal processing, Entity Relationship
 diagrams, UML, electronic, electrical, hospital equipment, structural
 analysis, optics, audiovisual objects, computers, networks,
 mechanical (DIN), music, welding, office equipment, furniture,
 buildings, flags, maps and several examples.

Package: xfireworks
Description-md5: f7ecffb7ac582923fab584cbc1b39daf
Description-en: Fireworks in your root window
 XFireworks makes fireworks in the root window on X.
 This is imitation of Japanese "Hanabi Taikai". It is very popular event
 in Japanese summer  and  performed  on  some rivers.

Package: xfishtank
Description-md5: da6faa60a72f1cb24c1b54b8b9c4bbed
Description-en: turns your X root into an aquarium
 Enjoy an animated aquarium background with a variety of tropical fish
 swimming in it on your screen.

Package: xflip
Description-md5: 5af89014d907b93fcad7f6d0f3975966
Description-en: programs to mirror-image or melt your display
 Flip your (or your "xhost +" using friend's) screen on either the vertical
 or horizontal axis or melt away the graphics on your display. Both commands,
 named xflip and meltdown, have a -planes option to independently act on
 individual bit planes. This version now comes with a new -oblic option which
 flips horizontally and vertically simultaneously.

Package: xfoil
Description-md5: 99579d9ab1ec036ff8947bf98335865e
Description-en: program for the design and analysis of subsonic airfoils
 XFOIL is an interactive program for the design and analysis of subsonic
 isolated airfoils. It consists of a collection of menu-driven routines
 which perform various useful functions such as:
   - Viscous (or inviscid) analysis of an existing airfoil
   - Airfoil design and redesign by interactive specification of
     a surface speed distribution via screen cursor or mouse
   - Airfoil redesign by interactive specification of new geometric
     parameters
   - Blending of airfoils
   - Drag polar calculation with fixed or varying Reynolds and/or
     Mach numbers.
   - Plotting of geometry, pressure distributions, and polars

Package: xfonts-100dpi
Description-md5: 5a8fef8eee1e827a5af1083889b303ad
Description-en: 100 dpi fonts for X
 xfonts-100dpi provides a set of bitmapped fonts at 100 dots per inch.  In
 most cases it is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
 .
 This package contains only fonts in the ISO 10646-1 and ISO 8859-1
 encodings, to conserve disk space.  For other encodings, see the
 xfonts-100dpi-transcoded package.
 .
 This package and xfonts-75dpi provide the same set of fonts, rendered at
 different resolutions; only one or the other is necessary, but both may be
 installed.  xfonts-100dpi may be more suitable for large monitors and/or
 large screen resolutions (over 1024x768).
 .
 This package requires the xfonts-utils package to prepare the font
 directories for use by an X server or X font server.

Package: xfonts-100dpi-transcoded
Description-md5: 778c673f3709b64f9bcb254185b201b3
Description-en: 100 dpi fonts for X (transcoded from ISO 10646-1)
 xfonts-100dpi-transcoded provides a set of bitmapped fonts at 100 dots per
 inch.  In most cases it is desirable to have the X font server (xfs)
 and/or an X server installed to make the fonts available to X clients.
 .
 This package contains fonts in several ISO 8859 encodings: -2, -3, -4, -9,
 -10, -13, -14, and -15.  For the ISO 10646-1 and ISO 8859-1 encodings, see
 the xfonts-100dpi package.
 .
 This package and xfonts-75dpi-transcoded provide the same set of fonts,
 rendered at different resolutions; only one or the other is necessary, but
 both may be installed.  xfonts-100dpi-transcoded may be more suitable for
 large monitors and/or large screen resolutions (over 1024x768).
 .
 This package requires the xfonts-utils package to prepare the font
 directories for use by an X server or X font server.

Package: xfonts-75dpi
Description-md5: fc0748166f83e8007508ef1c2c13c9b2
Description-en: 75 dpi fonts for X
 xfonts-75dpi provides a set of bitmapped fonts at 75 dots per inch.  In
 most cases it is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
 .
 This package contains only fonts in the ISO 10646-1 and ISO 8859-1
 encodings, to conserve disk space.  For other encodings, see the
 xfonts-75dpi-transcoded package.
 .
 This package and xfonts-100dpi provide the same set of fonts, rendered at
 different resolutions; only one or the other is necessary, but both may be
 installed.  xfonts-75dpi may be more suitable for small monitors and/or
 small screen resolutions (under 1024x768).
 .
 This package requires the xfonts-utils package to prepare the font
 directories for use by an X server or X font server.

Package: xfonts-75dpi-transcoded
Description-md5: 51843a9f70832413c7a6f4be2c340a44
Description-en: 75 dpi fonts for X (transcoded from ISO 10646-1)
 xfonts-75dpi-transcoded provides a set of bitmapped fonts at 75 dots per
 inch.  In most cases it is desirable to have the X font server (xfs)
 and/or an X server installed to make the fonts available to X clients.
 .
 This package contains fonts in several ISO 8859 encodings: -2, -3, -4, -9,
 -10, -13, -14, and -15.  For the ISO 10646-1 and ISO 8859-1 encodings, see
 the xfonts-75dpi package.
 .
 This package and xfonts-100dpi-transcoded provide the same set of fonts,
 rendered at different resolutions; only one or the other is necessary, but
 both may be installed.  xfonts-75dpi-transcoded may be more suitable for
 small monitors and/or small screen resolutions (under 1024x768).
 .
 This package requires the xfonts-utils package to prepare the font
 directories for use by an X server or X font server.

Package: xfonts-a12k12
Description-md5: b89f6723cedbfa4030d4e9e2fff10fdb
Description-en: 12-dot Kanji & ASCII fonts for X
 This package provides 12-dot fonts for Japanese (ASCII and Kana/Kanji).
 It provides:
  - a12: 12-dot ASCII fonts
  - k12: 12-dot Kanji fonts

Package: xfonts-ayu
Description-md5: 6006b27c7f71f2ef142c781c62fbfb02
Description-en: Gothic 18/20 dot Japanese and ISO-8859-1 X11 bitmap fonts
 This package includes Japanese (jisx0208.1990, jisx0201.1976) and
 ISO-8859-1 bitmap fonts for X Window System with Ayu gothic 18 dot, Ayu
 gothic 20 dot and Ayu mincho 18x18 dot fonts.

Package: xfonts-baekmuk
Description-md5: b53cd87463cc8e7ef8b58b01c53de3e9
Description-en: Baekmuk bitmap Korean fonts for X
 This package provides four 75 dpi bitmap Korean font families with
 various sizes.
 .
 The fonts are for use with the X Window System.

Package: xfonts-biznet-100dpi
Description-md5: 639318f7915017398470236317d5f504
Description-en: 100 dpi BIZNET ISO-8859-2 fonts for X servers
 The BIZNET ISO 8859-2 (Central European) X Window System Fonts
 are a set of ISO 8859-2 (sometimes called also ISO Latin2)
 X Window System bitmap typefaces.
 .
 ISO-8859-2 character set is the ISO standard character encoding for the
 following languages: Albanian, Croatian, Czech, Hungarian, Polish,
 Slovak, Slovene, and Serbian.
 .
 xfonts-biznet-100dpi provides a set of bitmapped fonts at
 100 dots per inch.  In most cases it is desirable to have the
 X server installed to make the fonts available to X clients.
 .
 This package and xfonts-biznet-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-biznet-100dpi
 may be more suitable for large monitors and/or large screen resolutions
 (over 1024x768).

Package: xfonts-biznet-75dpi
Description-md5: 639a35134c395e50dd03ef1747ba6043
Description-en: 75 dpi BIZNET ISO-8859-2 fonts for X servers
 The BIZNET ISO 8859-2 (Central European) X Window System Fonts
 are a set of ISO 8859-2 (sometimes called also ISO Latin2)
 X Window System bitmap typefaces.
 .
 ISO-8859-2 character set is the ISO standard character encoding for the
 following languages: Albanian, Croatian, Czech, Hungarian, Polish,
 Slovak, Slovene, and Serbian.
 .
 xfonts-biznet-75dpi provides a set of bitmapped fonts
 at 75 dots per inch.  In most cases it is desirable to have the
 X server installed to make the fonts available to X clients.
 .
 This package and xfonts-biznet-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-biznet-75dpi
 may be more suitable for small monitors and/or small screen resolutions
 (under 1024x768).

Package: xfonts-biznet-base
Description-md5: 136cb42fb890587c44662dc63883a04d
Description-en: Standard BIZNET ISO-8859-2 fonts for X servers
 The BIZNET ISO 8859-2 (Central European) X Window System Fonts
 are a set of ISO 8859-2 (sometimes called also ISO Latin2)
 X Window System bitmap typefaces.
 .
 ISO-8859-2 character set is the ISO standard character encoding for the
 following languages: Albanian, Croatian, Czech, Hungarian, Polish,
 Slovak, Slovene, and Serbian.
 .
 xfonts-biznet-base provides a standard set of low-resolution
 bitmapped fonts.  In most cases it is desirable to have the
 X server installed to make the fonts available to X clients.

Package: xfonts-bolkhov-75dpi
Description-md5: 7e58e49050f6ae75e912ec63afce4601
Description-en: 75 dpi Unicode Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are Unicode (ISO10646-1) fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-cp1251-75dpi
Description-md5: 1006dae6d03a04023d1d8bc93f875871
Description-en: 75 dpi CP1251 encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are CP1251 (microsoft-cp1251) fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-cp1251-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-cp1251-misc
Description-md5: c7b187be752bb7c74f93a1a68f142de8
Description-en: Character-cell CP1251 encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are CP1251 (microsoft-cp1251) fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-isocyr-75dpi
Description-md5: 255fd4194d3a85e1154353446267b11e
Description-en: 75 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are ISO 8859-5 fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-isocyr-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-isocyr-misc
Description-md5: 3a2d60e35d1152dea7e76c6eb673c70c
Description-en: Character-cell ISO-8859-5 encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are ISO-8859-5 fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-koi8r-75dpi
Description-md5: a917b3fa93946e836e4210b6ee01953c
Description-en: 75 dpi KOI8-R encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are KOI8-R fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-koi8r-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-koi8r-misc
Description-md5: 29c836829b2fb21a2fb74b72aa6668f4
Description-en: Character-cell KOI8-R encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are KOI8-R fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-koi8u-75dpi
Description-md5: de622effb0735cf94fe0b1aca26cd16e
Description-en: 75 dpi KOI8-U encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are KOI8-U fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-koi8u-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-koi8u-misc
Description-md5: a7057872b25ee6feae781b38ec5666aa
Description-en: Character-cell KOI8-U encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are KOI8-U fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-bolkhov-misc
Description-md5: 40b5f487a72fd2655ad1e65b457a676f
Description-en: Character-cell Unicode Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are Unicode (ISO10646-1) fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov <bolkhov@inp.ins.su>

Package: xfonts-cronyx-100dpi
Description-md5: bb1b56684f62f685e480e11229768a17
Description-en: 100 dpi Unicode Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are Unicode (ISO10646-1) fonts and support all
 current slavic Cyrillic letters as well as some Russian
 prerevolutionary letters.  In most cases it is desirable to have the
 X font server (xfs) and/or an X server installed to make the fonts
 available to X clients.
 .
 This package and xfonts-cronyx-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-75dpi
Description-md5: 010992959f5525084a35a60a5f394d97
Description-en: 75 dpi Unicode Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are Unicode (ISO10646-1) fonts and support all
 current slavic Cyrillic letters as well as some Russian
 prerevolutionary letters.  In most cases it is desirable to have the
 X font server (xfs) and/or an X server installed to make the fonts
 available to X clients.
 .
 This package and xfonts-cronyx-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-cp1251-100dpi
Description-md5: c669992b2ae3dfaa0b50392158b866ca
Description-en: 100 dpi CP1251 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are CP1251 (microsoft-cp1251) fonts
 and support all current slavic Cyrillic scripts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-cp1251-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-cp1251-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-cp1251-75dpi
Description-md5: 6b2c3b612111bcb04a1eff1e01548560
Description-en: 75 dpi CP1251 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are CP1251 (microsoft-cp1251) fonts
 and support all current slavic Cyrillic scripts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-cp1251-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-cp1251-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-cp1251-misc
Description-md5: b8ec7e1fe5ba2471a66c0947b291f276
Description-en: Character-cell CP1251 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are CP1251 (microsoft-cp1251) fonts
 and support all current slavic Cyrillic scripts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-isocyr-100dpi
Description-md5: 25ed7b337b3e1db9fa79726673698fd8
Description-en: 100 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are ISO 8859-5 fonts and support the Belarusian,
 Bulgarian, Macedonian, Russian and Serbian scripts.  In most cases it
 is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-isocyr-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-isocyr-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-isocyr-75dpi
Description-md5: 481d1fe29f0731a9b8b2a4aeeeb26ef7
Description-en: 75 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are ISO 8859-5 fonts and support the Belarusian,
 Bulgarian, Macedonian, Russian and Serbian scripts.  In most cases it
 is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-isocyr-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-isocyr-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-isocyr-misc
Description-md5: 7715fb596a093667aa6814ad601c7c1b
Description-en: Character-cell ISO-8859-5 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are ISO-8859-5 fonts and support the
 Belarusian, Bulgarian, Macedonian, Russian and Serbian scripts.  In
 most cases it is desirable to have the X font server (xfs) and/or an
 X server installed to make the fonts available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-koi8r-100dpi
Description-md5: 1b6579a46f0f9772cc1805de7284bcc6
Description-en: 100 dpi KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are KOI8-R fonts
 and are suitable for Russian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-koi8r-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-koi8r-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-koi8r-75dpi
Description-md5: d560c976f5db6c28b6f778c075c53a67
Description-en: 75 dpi KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are KOI8-R fonts
 and are suitable for Russian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-koi8r-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-koi8r-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-koi8r-misc
Description-md5: 03413d9b2c830570e80eaeb7935428b9
Description-en: Character-cell KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are KOI8-R fonts
 and are suitable for Russian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-koi8u-100dpi
Description-md5: c8b32c4c7d1b848c720a64fb5e6c7a03
Description-en: 100 dpi KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are KOI8-U fonts
 and are suitable for Ukrainian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-koi8u-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-koi8u-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-koi8u-75dpi
Description-md5: f4086ebe48934192adaf06593fd730d7
Description-en: 75 dpi KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are KOI8-U fonts
 and are suitable for Ukrainian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-koi8u-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-koi8u-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-koi8u-misc
Description-md5: fa3aef4a2a34263874b60233c69dbb7d
Description-en: Character-cell KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are KOI8-U fonts
 and are suitable for Ukrainian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cronyx-misc
Description-md5: 2d75fd6959e9b033fd03a32824982ed6
Description-en: Character-cell Unicode Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are Unicode (ISO10646-1) fonts and support all
 current slavic Cyrillic letters as well as some Russian
 prerevolutionary letters.  In most cases it is desirable to have the
 X font server (xfs) and/or an X server installed to make the fonts
 available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.

Package: xfonts-cyrillic
Description-md5: d37dd863bfba1ceffa3c5dca3731254f
Description-en: Cyrillic fonts for X
 xfonts-cyrillic provides a set of fonts using the Cyrillic alphabet for X
 servers.  In most cases it is desirable to have the X font server (xfs)
 and/or an X server installed to make the fonts available to X clients.

Package: xfonts-efont-unicode
Description-md5: 2f5cfb9781867de598bd9b73762fccfe
Description-en: /efont/ Unicode fonts for X which cover various scripts
 /efont/ is an X BDF font package for 10, 12, 14, 16, and 24 pixels
 which are encoded in Unicode.  Though they are still under development,
 they contain various scripts including Latin, IPA, Greek, Cyrillic,
 Armenian, Hebrew, Devanagari, Thai, Lao, Georgian, Runic, Hiragana,
 Katakana, Hangul Syllables, and part of Arabic, Bopomofo, CJK Ideogram,
 and various symbols.
 .
 This package contains normal (non-italic, medium) fonts only.
 xfonts-efont-unicode-ib package is available for italic and bold
 fonts.

Package: xfonts-efont-unicode-ib
Description-md5: 98aca72f3b2793c1987bd9619dc9a5c1
Description-en: /efont/ Unicode fonts for X (italic and bold)
 /efont/ is an X BDF font package for 10, 12, 14, 16, and 24 pixels
 which are encoded in Unicode.  Though they are still under development,
 they contain various scripts including Latin, IPA, Greek, Cyrillic,
 Armenian, Hebrew, Devanagari, Thai, Lao, Georgian, Runic, Hiragana,
 Katakana, Hangul Syllables, and part of Arabic, Bopomofo, CJK Ideogram,
 and various symbols.
 .
 This package contains italic, bold, and italic-bold fonts.  You may
 want to install xfonts-efont-unicode package for non-itallic medium
 fonts.

Package: xfonts-intl-arabic
Description-md5: c973c2ec60a0a5b23e411d323b0b267d
Description-en: international fonts for X - Arabic
 This package includes some Arabic fonts (digits and single and double
 column characters). You will need these fonts if you plan to view, print,
 or author documents written in an Arabic script.
 .
 The fonts are for use with the X Window System.

Package: xfonts-intl-asian
Description-md5: e2a82e26081a0b11fa7e98d38e4392e0
Description-en: international fonts for X - (south-east) Asian
 This package includes some Indian, Lao, Thai, and Vietnamese fonts. You
 will need these fonts if you plan to view, print, or author documents
 written in the above languages/scripts.
 .
 The fonts are for use with the X Window System.

Package: xfonts-intl-chinese
Description-md5: 5ee1d4a482781a7e28c06368f5bb3c05
Description-en: international fonts for X - Chinese
 This package includes some GB2312, GB8565-88, Big5 (ETen), and SiSheng
 Chinese fonts. You will need these fonts if you plan to view, print, or
 author documents written in Chinese using the above encodings.
 .
 The fonts are for use with the X Window System.

Package: xfonts-intl-chinese-big
Description-md5: 1205c3420da218230d09bcd8aabc304c
Description-en: international fonts for X - large Chinese
 This package includes some large GB2312 Chinese fonts. You will need
 these fonts if you plan to view, print, or author documents written in
 Chinese using the above encoding.
 .
 The fonts are for use with the X Window System.

Package: xfonts-intl-european
Description-md5: b753e710c73e7fd6693d9595ba4d6fd2
Description-en: international fonts for X - European
 This package includes some ISO 8859-1 (Latin-1), ISO 8859-2 (Latin-2),
 ISO 8859-3 (Latin-3), ISO 8859-4 (Latin-4), ISO 8859-5 (Cyrillic),
 ISO 8859-7 (Greek), ISO 8859-8 (Hebrew), ISO 8859-9 (Latin-5), and KOI
 (Cyrillic) fonts. One large ISO 8859-1 font is also included. You will
 need these fonts if you plan to view, print, or author documents using
 the above scripts/encodings.
 .
 The fonts are for use with the X Window System.

Package: xfonts-intl-japanese
Description-md5: d453efae710d28dc558fb2acb9d83279
Description-en: international fonts for X - Japanese
 This package includes some JISX0201 (Roman and Kana), JISX0208.1978,
 JISX0208.1983, JISX0208.1990, and JISX0212.1990 (HojoKanji) Japanese
 fonts. You will need these fonts if you plan to view, print, or author
 documents written in Japanese using the above encodings.
 .
 The fonts are for use with the X Window System.

Package: xfonts-intl-japanese-big
Description-md5: 3ccb65089eda0f68173fb009324e2222
Description-en: international fonts for X - large Japanese
 This package includes some large JISX0208.1983 and JISX0212.1990
 (HojoKanji) Japanese fonts. You will need these fonts if you plan to
 view, print, or author documents written in Japanese using the above
 encodings.
 .
 The fonts are for use with the X Window System.

Package: xfonts-intl-phonetic
Description-md5: 3e686484ab38aa04199aae009b66ed51
Description-en: international fonts for X - International Phonetic Alphabet
 This package includes some fonts of the International Phonetic Alphabet.
 You will need these fonts if you plan to view, print, or author documents
 using the International Phonetic Alphabet. IPA is used, for instance, in
 dictionaries to represent pronunciations in a non-language-specific way.
 .
 The fonts are for use with the X Window System.

Package: xfonts-jisx0213
Description-md5: 38d749125433da711a31f3772ea09a63
Description-en: JIS X 0213 Japanese Kanji bitmap fonts for X
 This package provides JIS X 0213 Japanese Kanji 12/14/16-dot fonts for
 X Window System.
 .
  - K12-2004-1: 12-dot Kanji fonts for JISX0213.2004-1
  - K12-2000-2: 12-dot Kanji fonts for JISX0213.2000-2
  - K14-2004-1: 14-dot Kanji fonts for JISX0213.2004-1
  - K14-2000-2: 14-dot Kanji fonts for JISX0213.2000-2
  - jiskan16-2004-1: 16-dot Kanji fonts for JISX0213.2004-1
  - jiskan16-2000-2: 16-dot Kanji fonts for JISX0213.2000-2

Package: xfonts-jmk
Description-md5: 9abb0ae2b2ecc09518dc1a8f574d6a59
Description-en: Jim Knoble's character-cell fonts for X
 These are character-cell fonts for use with the X Window System, created
 by Jim Knoble.  The fonts currently included in this package are:
 .
 Neep (formerly known as NouveauGothic): A pleasantly legible variation on
 the standard fixed fonts that accompany most distributions of the X
 Window System.  Comes in both normal and bold weights in small, medium,
 large, extra-large, and huge sizes, as well as an extra-small size that
 only comes in normal weight.  ISO-8859-1, ISO-8859-2, ISO-8859-9, and
 ISO-8859-15 encodings are available.  The 6x13, 8x15, and 10x20 sizes have
 an ISO-10646-1 (Unicode) variant, drawing glyphs missing in Neep from
 misc-fixed.
 .
 Modd: A fixed-width font with sleek, contemporary styling.  Normal and
 bold weights in a 12-point (6x13) size.  ISO-8859-1 encoding only.

Package: xfonts-kaname
Description-md5: a4f13be4308755470145e019855fc4bc
Description-en: Kaname Cho 12 dot Japanese Kanji, Latin 1 fonts for X11
 This package includes Kaname Cho 12dot Japanese Kanji, ISO-8859-1 fonts
 for the X Window System.  Its face has characteristic, and it is similar
 to Gothic.  It provides 4 weigh: Medium, Bold, Italic and Bold-Italic.
 It also provides 2 spc: -p- and -c- for Japanese fonts.

Package: xfonts-kapl
Description-md5: a34f9cd9b8d131f0d58526db8de8c0fb
Description-en: APL fonts for A+ development
 The A+ programming language development environment requires these APL fonts
 to properly display A+ code in an XTerm, XEmacs or a web browser.  They are
 not required to run an A+ program.

Package: xfonts-kappa20
Description-md5: e0de142e8f9ac6e7323bdee5403cb873
Description-en: X11 Kappa 20dot Fonts (ISO-8859-1..4/9/10/13..16, Japanese) with bold
 This package contains X11 Kappa 20dot Fonts including:
  * 10x20 ISO-8859 (-1, -2, -3, -4, -9, -10, -13, -14, -15, -16) Serif/Mincho
  * 20x20 Japanese (JIS X 0208:1983, 0208:1990, 0208:1997, 0213:2000) Mincho
  * 10x20 Mule IPA
 All fonts are provided with both medium and bold.  Its shape is high quality,
 high readability, and useful for looking at high-resolution monitor.

Package: xfonts-libdockapp
Description-md5: e884c588db53fe2df214da2ba2a3c34e
Description-en: Window Maker Dock App support (fonts)
 Simple library that eases the creation of Window Maker dock apps. It
 provides functions that set up a dock app in such a way that they can be
 properly docked. It also provides some event handlers and makes it very
 simple to write dockapps.
 .
 This package contains the X11 fonts which are included with libdockapp.

Package: xfonts-marumoji
Description-md5: e9ee9d58597dfade2302a9663f337037
Description-en: Roundish fonts (marumoji fonts) for X
 Japanese and ASCII roundish fonts (marumoji in Japanese) for
 X servers.
 It provides:
  maru14: JIS X0208.1983 Roundish Characters (14 dots)
  maru16: JIS X0208.1983 Roundish Characters (16 dots)
  7x14rkmr: JIS X0201.1976 Roman Roundish Characters (14 dots)
  7x14maru: ISO8859-1 Roundish Characters (14 dots)

Package: xfonts-mona
Description-md5: f0ab74e09f0d3319b0a5884cee8128d3
Description-en: Proportional X fonts for 2ch ASCII art
 These fonts are suitable for some ASCII arts like mona, gikoneko and so on.
 This package provides BDF (Bitmap Description / Display Format) font.

Package: xfonts-mplus
Description-md5: c8632e68f016ac17ff674ba894a1d18f
Description-en: M+ bitmap 10/12 dot Latin/Japanese fonts for X11
 M+ bitmap fonts were designed to be simple and highly readable.  It
 includes ISO-8859-1,15 (6/10/12 dot) fonts and all Japanese jisx0208.1990
 Kanji fonts (10/12 dot) as following:
 .
  - mplus-gothic: M+ Gothic fonts               (10/12dot, ISO-8859-1/Japanese)
  - mplus-goth_p: it's similar to mplus-gothic, but its Latin character part
                  is proportional fonts         (10/12dot, ISO-8859-1/Japanese)
  - mplus-fxd: yet another "fixed" fonts        (10/12dot, ISO-8859-1/15)
  - mplus-hlv: yet another "helvetica" fonts    (10/12dot, ISO-8859-1/15)
  - mplus-hlvw: 1 dot wide fonts than mplus-hlv (   12dot, ISO-8859-1/15)
  - mplus-sys: for window title/menu            (10dot,    ISO-8859-1/15)
  - mplus-qub: 6 dot very small font            (6dot,     ISO-8859-1/15)

Package: xfonts-nexus
Description-md5: 61a5a6c816f8f78993bf48a1fb1e568c
Description-en: Nexus font for X
 A sans-serif, fixed width font with iso8859 encoding.
 Only available as 10 point with medium weight.

Package: xfonts-shinonome
Description-md5: db844f6556d6715b09cc739123ebe739
Description-en: Various 12,14,16 dot Japanese Kanji, iso8859-1 fonts for X11
 xfonts-shinonome includes various 12,14,16 dot Japanese
 Kanji, and ISO-8859-1 fonts for X11. It consists of k14goth 14 dot fonts,
 Shinonome 12 and 16 dot fonts. Shinonome 18 dot fonts are also included only
 for ISO-8859-1.

Package: xfonts-terminus
Description-md5: 6c6aa79617c916206f6df45bdfcc5669
Description-en: Fixed-width fonts for fast reading
 These are fixed-width fonts suitable for terminals, editors, etc.
 If you have to work for extended time in front of monitor (i.e. over
 eight hours), you may find that using of these fonts reduces your
 eyes-fatigue.
 .
 This package contains normal and bold fonts in the following sizes:
 6x12, 8x14, 8x16, 10x20, 11x22, 12x24, 14x28 and 16x32 and supports the
 following encodings: ISO10646-1, ISO8859-1, ISO8859-2, ISO8859-5,
 ISO8859-9, ISO8859-13, ISO8859-15, ISO8859-16, KOI8-R, KOI8-U, CP1251
 and PT154.

Package: xfonts-terminus-dos
Description-md5: b29121970812fd799f71cb24aafb5212
Description-en: Fixed-width fonts for DOS encodings
 These are nice fixed-width fonts in various DOS encodings.  They are
 mostly suitable for use in Dosemu, but can be used also in
 terminals, editors, etc.
 .
 This package supports the following code-pages: CP437, CP850, CP852,
 CP855, CP860, CP863, CP865, CP866, MIK and RUSCII.

Package: xfonts-terminus-oblique
Description-md5: 3aef6342ff951604c27f93276218ce83
Description-en: Oblique version of the Terminus font
 This package contains oblique versions of the fonts in the package
 xfonts-terminus.  These fonts are automatically generated and at
 present are not supported by the upstream maintainer.  They have much
 lower quality than the original fonts.  Nevertheless they can be
 useful for some programs such as GNU Emacs and XEmacs.
 .
 This package contains normal and bold oblique fonts in the following
 in following sizes: 6x12, 8x14, 8x16, 10x20, 11x22, 12x24, 14x28 and 16x32
 and supports the following encodings: ISO10646-1, ISO8859-1,
 ISO8859-2, ISO8859-5, ISO8859-9, ISO8859-13, ISO8859-15, ISO8859-16,
 KOI8-R, KOI8-U, CP1251 and PT154.

Package: xfonts-thai
Description-md5: da00fd961209b7e271e8029cd58d8d8a
Description-en: Collection of Thai fonts for X (metapackage)
 This is a metapackage which depends on or recommends all available Thai
 fonts packages.

Package: xfonts-thai-etl
Description-md5: 1d5c5b7a0405ab31ae4b386ba55b9948
Description-en: Thai etl fonts for X
 This package provides 3 Thai TIS-620 monospace (with full-width combining
 characters) bitmap fonts for X developed by ETL.
 .
 Emacs/Mule needs these fonts to display Thai. Only normal weight is provided,
 though.

Package: xfonts-thai-manop
Description-md5: 0b948b63dc4a5d73f1e48cd7046a5b92
Description-en: Manop Wongsaisuwan's bitmap fonts for X
 This package provides 4 Thai TIS-620 pseudo-monospace (with zero-width
 combining characters) bitmap fonts for X developed by Dr. Manop Wongsaisuwan
 while he was in the US.
 .
 These fonts are good for xiterm+thai, but with only normal weight provided.

Package: xfonts-thai-nectec
Description-md5: ce8e9aa7f4519a95e3b52102decf3d7f
Description-en: Thai fixed fonts for X from Nectec
 This package provides one set of Thai TIS-620 pseudo-monospace (with
 zero-width combining character) bitmap fonts for X developed by NECTEC, with
 complete set of normal, bold, italic, and bold-italic faces.
 .
 This font looks good in xiterm+thai, with complete text styles support.

Package: xfonts-thai-poonlap
Description-md5: a080785510910e07587a07d0d7b3cd4f
Description-en: Poonlap Veerathanabutr's bitmap fonts for X
 This package provides 14 Thai TIS-620 pseudo-monospace (with zero-width
 combining characters) and monospace (with full-width combining characters)
 bitmap fonts for X and Emacs.
 .
 These fonts are good for xiterm+thai and Emacs, with complete text styles
 support for most fonts.

Package: xfonts-thai-vor
Description-md5: df698bf4ec1420b06ca7d1364f8315e8
Description-en: Voradesh Yenbut's bitmap fonts for X
 This package provides two TIS-620 pseudo-monospace (with zero-width combining
 characters) bitmap fonts for X developed by Voradesh Yenbut back in 1992.
 .
 These fonts are good for xiterm+thai, but with only normal weight provided.

Package: xfonts-tipa
Description-md5: ee36f2165a565497ef32f9a56162c978
Description-en: X11 PostScript Type 1 font for the Phonetic Alphabet
 TIPA is a system for processing IPA (International Phonetic
 Alphabet) symbols in LaTeX written by Fukui Rei.  TIPA stands for
 either TeX IPA or Tokyo IPA and derived from the tsipa package, made
 in 1992 by Kobayashi Hajime, Fukui Rei and Shirakawa Shun.
 .
 This package contains type 1 version of the TIPA fonts for the X
 Window system.  It contains the pfb and afm files.  The installed X
 font has the silipa encoding (see
 http://www.sil.org/computing/fonts/encore-ipa.html).

Package: xfonts-traditional
Description-md5: 392e0f565876168ee3969e38042338a9
Description-en: Traditional fixed-width fonts for X
 Provides "traditional" versions of fixed-width fonts.
 .
 These are a set of fonts (including 6x13 "fixed"), with foundry name
 "trad" instead of "misc", with several glyphs replaced with earlier
 versions from before Markus Kuhn's changes:
  - balanced  ` '
  - less tall  [ ] ( ) { }
  - centred  *
  - high  ~
  - slightly higher  &
  - traditional appearance of  W a
  - in 10x20, versions of ; : , . matching ` ' and each other
 .
 These changes make the fonts compatible with the US-ASCII character
 set.  (UTF-8 is not compatible with ASCII in its usage of the
 backquote and some other characters.)  With these fonts, pre-2000
 documents (including ASCII art and GNU manuals) will render
 correctly.
 .
 Additionally, we provide ASCII-compatible versions of several Neep
 fonts (replacing "jmk" with "trad.jmk" in the foundry name).
 .
 Optionally, this package will edit your system configuration to make
 the default fonts used by xterm refer to the traditional font.
 .
 (This package does not actually contain the bulk of the font data;
 rather, it automatically generates the required modified fonts for
 each relevant font you have installed.)

Package: xfonts-wqy
Description-md5: d1e014a5340b459b56600c368f86155a
Description-en: WenQuanYi Bitmap Song CJK font for X
 The Wen Quan Yi bitmap font is a manually fine-tuned multi-strike
 bitmap font for on-screen display of Chinese (traditional and simplified).
 It has arguably the most complete coverage for Chinese characters among
 all known open-source bitmap fonts, including CJK Unified Ideograph
 (U4E00 - U9FA5) and CJK Unified Ideograph Extension A (U3400 - U4DB5)
 glyphs at four different sizes (9pt-12x12 pixel, 10pt-13x13 pixel,
 11pt-15x15 pixel, 12pt-16x16 pixel) and two weights (medium and bold).
 .
 Use of this font in web pages and elsewhere eliminates the annoying
 "blurring" problems caused by the high stroke density of many Chinese
 characters and insufficient "hinting" of anti-aliased Chinese fonts.
 .
 This font also provides bitmap glyphs for Basic Latin,
 Japanese Hiragana (U3040 - U309F) and Katakana (U30A0 - U30FF).

Package: xfonts-x3270-misc
Description-md5: 8df398c835305ef0ab3d37446988aa04
Description-en: Font files for the x3270(1) IBM 3270 emulator
 x3270-fonts provides only the fonts required for x3270 operation, so that
 the fonts may be installed separately on a font-server.

Package: xfpanel-switch
Description-md5: bfe8ebc058cce6653c20fea6f85a4246
Description-en: transitional package
 This is a transitional package. It can safely be removed.

Package: xfpt
Description-md5: 4f18f0f9f6e01f72c3d25e523873f3ee
Description-en: generate XML from plain text
 xfpt is a program that reads a file of plain text that contains relatively
 simple markup, and outputs an XML file. It is intended to simplify the
 management of XML data. It is not a program that attempts to turn a plain text
 document into XML. Markup within text is introduced by ampersand characters,
 but is otherwise "soft". You can define what follows the ampersand, for
 example, &" to generate a "quote" element. There is also a macro facility that
 allows for higher level concepts such as chapters, displays, tables, etc.

Package: xfrisk
Description-md5: 1881eda0381ae2abbfd1c9f49e8ec081
Description-en: Server and X11 client for playing risk with humans or AIs
 Risk is a board game played on a map of the world.  You control a group
 of armies and attempt to capture large sections of the world and try to
 stop your opponents from doing the same thing.  You can also choose to
 play with a mission that you have to accomplish before your opponents do.
 .
 xfrisk allows you to play risk against other players over TCP/IP and
 includes 3 different AI players.

Package: xfstt
Description-md5: ff5831337a5ab3776591ed9befafe793
Description-en: X Font Server for TrueType fonts
 TrueType fonts are generally regarded to be the best scalable fonts
 for displays. Applications needing scalable fonts that are to be
 displayed on a screen benefit most.
 This server will allow X11 applications to use the exact same fonts
 as the TrueType fonts used on Windows operating systems.
 .
 Note: This package does not contain fonts. They must be obtained separately.

Package: xfwm4
Description-md5: 5e21d788349ef4b83df2c616d884f233
Description-en: window manager of the Xfce project
 This package contains xfwm4 which is the window manager for Xfce4.  It is
 designed to run with the rest of Xfce4 but makes a capable window manager
 for GNOME or KDE.
 .
 Install xfwm4 if you want a small, resource saving window manager which
 complies to the standards defined at http://www.freedesktop.org/. Without
 xfce4 installed this only gives you a window manager not the full
 environment.

Package: xfwm4-theme-breeze
Description-md5: 81659c9c0699597a89c08848bc3d3076
Description-en: Breeze theme files for xfwm4
 This package contains the Breeze theme files for xfwm4,
 the window manager of the Xfce4 project.

Package: xgalaga
Description-md5: da2b724ec8d6a721e509b312984b3e15
Description-en: X version of the famous Galaga game
 A clone of the classic game Galaga for the X Window System.
 Xgalaga is a space-invader like game with additional features to produce
 a more interesting game.

Package: xgalaga++
Description-md5: 01a642f9dadc5537702301963539774b
Description-en: classic single screen vertical shooter, inspired by xgalaga
 XGalaga++ is a classic vertical scrolling shoot'em up. It requires no X
 Window extension and its window is freely resizable. It is inspired by
 XGalaga, but rewritten from scratch, except for the graphics.

Package: xgammon
Description-md5: a566c5f262ff57a9cf233fafbec88d9e
Description-en: Implementation of backgammon under X
 This a nicely implemented backgammon with the following features:
    - X11/Xaw interface,
    - a simple file selector and saving dialog,
    - You can edit positions and perform rollouts.
    - Position dump to a mail file in the format used in backgammon newsgroups.
    - Easier movement of stones, by simple button clicks.
    - You can play against an opponent on a remote X-Terminal. (experimental).

Package: xgnokii
Description-md5: 5be14d4e8ed3f07d3713bef5a4768ef1
Description-en: Datasuite for mobile phone management (X interface)
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This package provides the X11 (xgnokii) interface.

Package: xgrep
Description-md5: 7f45b60387394b4f7ed62935ef2db405
Description-en: grep-like utility for XML files
 xgrep provides facilities for searching content in XML files. The
 search is specified either as an XPath via the -x flag, or a custom
 syntax, including extended regular expressions, via the -s flag.
 Multiple input files may be specified; if none are provided, input is
 read from stdin.

Package: xgridfit
Description-md5: 60d6f2968e1d85a707ea00c9d8ae7389
Description-en: program for gridfitting, or "hinting," TrueType fonts
 Xgridfit is a simple program that implements an XML-based language
 for gridfitting, or "hinting," TrueType fonts.

Package: xgridfit-doc
Description-md5: 2b040c2d78299870f2569aa6a71ecb5e
Description-en: Documentation for xgridfit
 Xgridfit is a simple program that implements an XML-based language
 for gridfitting, or "hinting," TrueType fonts.
 .
 This package contains document for xgridfit.

Package: xhk
Description-md5: 3424a1e9d03826a06be34491a8f7e93b
Description-en: XLib halfkey implementation
 Running xhk detaches the keyboard, and intercepts all input; processing it for
 space bar pushes as it goes. The space bar acts as a modifier if it is held at
 the same time as a standard letter. Modifying acts to mirror the input such
 that key presses are mirrored down the middle of the home row.
 Pressing space, and then releasing will still provide a single space character.

Package: xhtml-relaxng
Description-md5: 5abe58e1bbbaf3710ee7d2363ae3d1a6
Description-en: Implementation in RELAX NG of the modularization of XHTML
 This package contains an implementation in RELAX NG of the modularization of
 XHTML specified by the Modularization of XHTML Recommendation
 .
 The RELAX NG schema has not been mechanically derived from the DTDs.  Rather,
 it has been hand-crafted so as to produce a good quality RELAX NG schema.
 However, it may well contain bugs.

Package: xhtml2ps
Description-md5: d0f65a26f789320c3e66a595f1181376
Description-en: HTML to PostScript converter (Tcl/Tk GUI frontend)
 This program converts HTML directly to PostScript. The HTML code can be
 retrieved from one or more URLs or local files, specified as parameters
 on the command line. A comprehensive level of HTML is supported,
 including inline images, CSS 1.0, and some features of HTML 4.0.
 .
 This package provides an (outdated) GUI frontend to the html2ps
 converter.
 .
 This is our justification for the suggests list:
 .
 We suggest weblint-perl because it is the default method for checking
 HTML syntax (see Operations | Preferences).
 .
 We suggest lpr because it is the default printing command (see
 Operations | Preferences).
 .
 We suggest mime-support because see is the default command used to open
 the postscript viewer (see Operations | Preferences).
 .
 We suggest postscript-viewer because this package generates postscripts
 which can be viewed with postscript-viewer (see Operations |
 Preferences).

Package: xiccd
Description-md5: c9229bc8f66e24c46bddec94427a980e
Description-en: X color management daemon
 xiccd is a simple bridge between colord and X. It performs the following
 tasks:
 .
  * Enumerating displays and registering them in colord;
  * Creating default ICC profiles based on EDID data;
  * Applying ICC profiles provided by colord;
  * Maintaining the user's private ICC storage directory.
 .
 It does not depend on any particular desktop environment nor toolkit and it
 should not be used in desktop environments that support color management
 natively, like GNOME and KDE do.

Package: xidle
Description-md5: 8e3dc2f5ad0175ef54b1f4c7a127d712
Description-en: run program after inactivity or edge sensitive
 xidle runs a specific program after a certain amount of
 inactivity time, or when the mouse cursor is moved to an
 edge of the screen for a certain time, all of which is
 configurable. It is commonly used to run xlock.

Package: xindy
Description-md5: 040ee66ff8faf1c7eeae343e7b25a086
Description-en: index generator for structured documents like LaTeX or SGML
 xindy is an index processor that can be used to generate book-like
 indexes for arbitrary document-preparation systems. This includes
 systems such as TeX and LaTeX, the roff-family, SGML/XML-based systems
 (e.g. HTML) that process some kind of text and generate indexing
 information. The kernel system is not fixed to any specific system, but
 can be configured to work together with such systems.
 .
 In comparison to other index processors xindy has several powerful
 features that make it an ideal framework for describing and generating
 complex indices, addressing especially international indexing.

Package: xindy-rules
Description-md5: ba0c9e17245c497cbdd5a403a8918373
Description-en: rule files for xindy
 xindy is an index processor that can be used to generate book-like
 indexes for arbitrary document-preparation systems.
 .
 This package contains the rule files (the knowledge base) of xindy.

Package: xine-console
Description-md5: 5946504fb7cc12e41293c22b80b64b81
Description-en: the xine video player, user interface
 xine-ui is a frontend for the libxine video player library.
 .
 This package contains additional front ends, aaxine, cacaxine and fbxine
 which run even on the console, so you can use it to watch DVDs even in
 an xterm. ;-)

Package: xine-ui
Description-md5: 244efcf58308f5d937e2479af450ce2f
Description-en: the xine video player, user interface
 This is an X11 based GUI for the libxine video player library.
 It provides xine, a skin based media player that can play all the
 audio/video formats that libxine supports. Currently, this includes MPEG1/2,
 some AVI and Quicktime files, some network streaming methods and disc based
 media (VCD, SVCD, DVD). A more complete list can be found on
 http://xinehq.de/index.php/features/.
 .
 Most DVDs on the market today are play-protected by the Content Scrambling
 System (CSS). Xine does not provide any code to descramble those DVDs,
 because of legal uncertainties. Have a look at
 /usr/share/doc/xine-ui/README.Debian for more information!

Package: xineliboutput-fbfe
Description-md5: d596be84dc2b6d9650d5715ae6d73ef1
Description-en: Remote Framebuffer frontend for vdr-plugin-xineliboutput
 This frambuffer remote frontend plays back streams provided by
 vdr-plugin-xineliboutput.

Package: xineliboutput-sxfe
Description-md5: 9f683285237a4c27abd6268732a01cda
Description-en: Remote X-Server frontend for vdr-plugin-xineliboutput
 This X/Xv/XvMc remote frontend plays back streams provided by
 vdr-plugin-xineliboutput.

Package: xinetd
Description-md5: dc305c474ff901c52b71c07c0ec109d0
Description-en: replacement for inetd with many enhancements
 xinetd has access control mechanisms, extensive logging capabilities,
 the ability to make services available based on time, and can place
 limits on the number of servers that can be started, among other things.
 .
 It has the ability to redirect TCP streams to a remote host and port.
 This is useful for those of that use IP masquerading, or NAT, and want
 to be able to reach your internal hosts.
 .
 It also has the ability to bind specific services to specific interfaces.
 This is useful when you want to make services available for your internal
 network, but not the rest of the world. Or to have a different service
 running on the same port, but different interfaces.

Package: xininfo
Description-md5: 324e8a606012ee0e461bc664e853c948
Description-en: small helper program for monitor layouts
 xininfo is an X11 utility to query the current layout and size of
 each configured monitor. It is designed to be used by scripts.

Package: xinput-calibrator
Description-md5: c3271c6e807256e956a128caa7b4771a
Description-en: Generic touchscreen calibration program for X.Org
 xinput-calibrator is a tool to calibrate touchscreens under X.Org.
 Its features include:
  - work for any Xorg driver (use Xinput to get axis valuators);
  - output the calibration as Xorg.conf, HAL policy and udev rule;
  - support advanced driver options, such as Evdev's dynamic calibration;
  - have a very intuitive GUI (normal X client);

Package: xinv3d
Description-md5: 1285ef797f92268cf3d5b5f395c6b75a
Description-en: 3D space invaders for X
 XInvaders 3D is a 3D vector-based space-invaders clone for
 the X Window System.

Package: xiterm+thai
Description-md5: 20dc33af6ddd117f3a71f5dca7456d47
Description-en: X terminal program with Thai languague support
 xiterm+thai is an X terminal emulator program with Thai language
 support. It has built-in Thai keyboard input support. You could
 also use the X11 XKB extension to input Thai characters.
 .
 A Thai TIS-620 font, such as one from xfonts-thai-nectec is needed
 to display Thai characters.

Package: xjadeo
Description-md5: 849dec7de7d089a36cba568b723d4e13
Description-en: Video player with JACK sync
 Simple video player that receives sync from jackd or MTC.
 It has applications in soundtrack creation, video monitoring or any task that
 requires to associate movie frames with audio events.
 .
 For instance when a jack-client (like Muse, Rosegarden or Ardour) acts as a
 timebase master, xjadeo will display the video synchronized to JACK transport.
 xjadeo is capable to read Midi Time Clock as an alternate sync source and comes
 along with an optional QT-GUI.
 .
 xjadeo reads only seekable media by default. Installing a transcoding utility
 like mencoder or transcode is highly recommended.
 .
 On-line documentation:
 http://xjadeo.sourceforge.net/main.html

Package: xjdic
Description-md5: 2b3f5eb3a929bab9658befc30f0a3f70
Description-en: Japanese-English dictionary search program
 XJDIC is an  electronic  Japanese-English  dictionary  program  designed  to
 operate  in  the  X11 window environment.  In particular,  it must run in an
 "xterm" environment which has Japanese language support such as provided  by
 "kterm" etc.

Package: xjed
Description-md5: decddfcf84e7558cb63bdec96e12749e
Description-en: editor for programmers (x11 version)
 Jed is a small, fast (faster startup than xterm with bash),
 and powerful text editor.
 .
 Completely customizable with prepared emulation modes for Emacs, CUA
 (similar to KDE/Gnome/Openoffice), Borland-IDE, Brief, and EDT. Extensible
 in the S-Lang scripting language (with a syntax resembling C).
 .
 Editing functions: folding support; rectangular cut/paste; regular
 expression search/replace; incremental searches; search/replace across
 multiple files; multiple windows; multiple buffers; ...
 .
 Special modes (syntax highlight, indention, compile, ...) for
 Basic, C, C++, DCL, FORTRAN, IDL, Java, NROFF, Pascal,
 Perl, PHP, PostScript, Python, SH.
 Modes for markup languages include HTML and (La)TeX (with AUC-TeX style
 editing and BibTeX)
 .
 Tools: directory editor (dired); info (browse GNU info files); mail;
 rmail; ispell; shell mode; ...
 .
 The native X11 version provides improved mouse support, key configuration
 and X selection interaction. If no display is available, xjed falls back to
 text mode, thus there is no need to install both jed and xjed packages.
 .
 Additional tools and modes can be found in the jed-extra package.

Package: xjig
Description-md5: 85da62a47bcbafa8698d79424261a48d
Description-en: X11 jigsaw puzzle
 xjig is a puzzle that tries to replicate a jigsaw puzzle on the screen
 as closely as possible. Any GIF, JPEG or PPM image may be loaded and cut
 into pieces. The goal (as with any jigsaw puzzle) is to reassemble the
 original picture.
 .
 Tiles may be freely rotated by use of the mouse, flipped (for
 double-sided puzzles) and even shown as shaped windows on the desktop
 (fast machine/video card recommended for the latter!). An example image
 is provided in /usr/share/games/xjig .

Package: xjobs
Description-md5: 429be280b4070f74e51eb1a705e76510
Description-en: reads job description line by line and executes them in parallel
 xjobs reads job descriptions line by line and executes them in parallel. It
 limits the number of parallel executing jobs and starts new jobs when jobs
 finish. Therefore, it combines the arguments from every input line with the
 utility and arguments given on the command line. If no utility is given as an
 argument to xjobs, then the first argument on every job line will be used as
 utility. To execute utility xjobs searches the directories given in the PATH
 environment variable and uses the first file found in these directories.
 .
 xjobs is most useful on multi-processor/core machines when one needs to execute
 several time consuming command several that could possibly be run in parallel.
 With xjobs this can be achieved easily, and it is possible to limit the load
 of the machine to a useful value. It works similar to xargs, but starts several
 processes simultaneously and gives only one line of arguments to each utility
 call.

Package: xjokes
Description-md5: 9e76ce82efeb13b0768edcec8d08d738
Description-en: X11 jokes
 Four small funny programs to tweak an X11 display:
 yasiti, blackhole, mori1, and mori2.

Package: xjump
Description-md5: af037c22dec141dc1b36fb8b9df3b12f
Description-en: jumping game for X
 The epitome of addictive and pointless games, xjump is simply a game
 where one jumps from one platform to a higher platform, over and over. If
 you can't keep up with the pace of jumping, the floor swallows you up and
 your game is over.

Package: xkbind
Description-md5: e02079e0eea75a666a77595eacef3ae9
Description-en: X Keyboard Extension Indicator
 The XkbInd program (X Keyboard Extension Indicator) is a minimal
 indicator of keyboard layout (XKB group) for the X Window System; it
 indicates current keyboard layout in the title of top-level windows via
 prefix to the original string.  It also allows to simulate independent
 keyboard layout for each handled window and it works with most of the
 window managers, including twm, mwm and fvwm. WARNING: This program
 assumes the standard X input focus handling, so it will not work with
 some GUI toolkits.

Package: xkbset
Description-md5: 58510602441a9f4fdf59f88f82bfac31
Description-en: Small utility to change the AccessX settings of XKEYBOARD
 AccessX is a set of features within the XKEYBOARD extension of the
 X Window System designed to make X more accessible to users with
 disabilities. XKEYBOARD is present in X11R6.1 and later. AccessX features
 are typically unknown, given that in many implementations no interface
 is provided to utilize their functionality.
 .
 Accessibility Features supported in AccessX include:
 .
  1. MouseKeys
     MouseKeys is a system whereby the numeric keypad can be used to control
     the mouse pointer.
 .
  2. SlowKeys
     SlowKeys is a feature to "slow down" keyboard input.
 .
  3. StickyKeys
     StickyKeys enables the user who is unable to press and hold multi-key
     combinations to enter them in a sequential format instead.
 .
  4. BounceKeys
     BounceKeys is similar to StickyKeys, except that instead of a delay
     affecting all keys pressed, the delay is only enabled for the key the
     user pressed last.
 .
  5. RepeatKeys
     RepeatKeys sets the same parameters as the global repeat settings, but
     it is important to make note of it as an accessibility feature as well.

Package: xkcdpass
Description-md5: b21be9318564a9fff0cd0a96dbee4f38
Description-en: secure passphrase generator inspired by XKCD 936
 A flexible and scriptable password generator which generates strong
 passphrases, inspired by XKCD 936:
 .
     $ xkcdpass
     > correct horse battery staple

Package: xkeycaps
Description-md5: 778d7921a31a2ed8f6775d74fc031366
Description-en: manipulate X11 keymaps (for xmodmap) graphically
 xkeycaps is a graphical front-end to xmodmap(1). It opens a window that looks
 like a keyboard; moving the mouse over a key shows what KeySyms and Modifier
 bits that key generates. Clicking on a key simulates KeyPress/KeyRelease
 events on the window of your choice. It is possible to change the KeySyms
 and Modifiers generated by a key through a mouse-based interface. This
 program can also write an input file for xmodmap to recreate your changes in
 future sessions.

Package: xl2tpd
Description-md5: e17f6f0796db6685c9eee34ae183866b
Description-en: layer 2 tunneling protocol implementation
 xl2tpd is an open source implementation of the L2TP tunneling
 protocol (RFC2661).  xl2tpd is forked from l2tpd and is maintained by
 Xelerance Corporation.
 .
 The main purpose of this protocol is to tunnel PPP frames through IP
 networks.  It implements both LAC and LNS role in the L2TP networking
 architecture.

Package: xlassie
Description-md5: 6f73348d71d378a764fd62b433dea7a8
Description-en: Dockable mail notifier w/ message count & POP3/APOP/IMAP support
 Enhanced pending mail notifier.
 Displays the number of waiting messages.
 Supports local mail spool and POP3/APOP3/IMAP mail servers.
 Can run a command when clicked upon.
 Uses plain xlib, so memory footprint is tiny.
 Can operate as plain app or WindowMaker/KDE dock applet.

Package: xlax
Description-md5: 85ebd4e5035b6669a1fa4c897a621140
Description-en: send keyboard input to multiple X11 windows
 xlax is an X11 input multiplexer: it sends your keyboard input
 from the xlax window to a group of windows simultaneously.
 The tool is designed for system administrators who typically
 have to do the same thing on several machines (or in several
 different directories). Windows can be added or deleted
 interactively, or temporarily disconnected and reconnected.
 xlax allows you to assign a custom string to each window
 (e.g. a hostname) that can be sent with a button click.
 An included script handles starting up and arranging windows,
 and allows you to set up commonly used window groupings
 in a config file.

Package: xless
Description-md5: b02c8fa6f1f5f6166090eaf1711b8875
Description-en: file browsing tool for the X Window System
 xless allows you to view information in an X window. It allows
 filename (or multiple filenames) arguments, or input via STDIN.
 It can print the current buffer and do regular expression searches.

Package: xletters
Description-md5: a559794f7bc2d5d64a8f5d471967e783
Description-en: Type falling words before they land
 A typing practice game for X similar to typespeed and tuxtype.
 Words chosen from the system's wordlist fall from the top of
 the screen and must be typed correctly to score.  In a challenge
 stage, random sequences of characters appear instead of words.
 Head-to-head networked play is possible with xletters-duel.

Package: xli
Description-md5: e739ab7176d488f8b09bf5db63369328
Description-en: command line tool for viewing images in X11
 xli can view the following image types under X11: FBM Image, Sun
 Rasterfile, CMU WM Raster, Portable Bit Map (PBM, PGM, PPM), Portable
 Network Graphics (PNG), Faces Project, GIF Image, JFIF style jpeg
 Image, Utah RLE Image, Windows, OS/2 RLE Image, Photograph on CD
 Image, X Window Dump, Targa Image, McIDAS areafile, G3 FAX Image, PC
 Paintbrush Image, GEM Bit Image, MacPaint Image, X Pixmap, X Bitmap.

Package: xloadimage
Description-md5: d42dc92691c864366b2f3547ca2f89a9
Description-en: Graphics file viewer under X11
 Can view png, jpeg, gif, tiff, niff, sunraster, fbm, cmuraster, pbm, faces,
 rle, xwd, vff, mcidas, vicar, pcx, gem, macpaint, xpm and xbm files. Can
 view images, put them on the root window, or dump them. Does a variety of
 processing, including: clipping, dithering, depth reduction, zoom,
 brightening/darkening and merging.
 .
 Includes xsetbg, xview and uufilter.

Package: xlog
Description-md5: 9c7c6c11b0b754cd8ce93d37f7f3fd6d
Description-en: GTK+ Logging program for Hamradio Operators
 xlog is a logging program for amateur radio operators which can be used
 for daily logging and contest. Logs are stored into a text file.
 .
 QSO's are presented in a list. Items in the list can be added, deleted or
 updated. For each contact, dxcc information is displayed and bearings and
 distance is calculated, both short and long path.
 .
 When hamlib is enabled through the menu, you can retrieve frequency, mode and
 signal-strength from your rig over the serial port.

Package: xlog-data
Description-md5: 601967abb68bd454e72629233ea24c43
Description-en: data for xlog, a GTK+ Logging program for Hamradio Operators
 xlog is a logging program for amateur radio operators which can be used
 for daily logging and contest. Logs are stored into a text file.
 .
 QSO's are presented in a list. Items in the list can be added, deleted or
 updated. For each contact, dxcc information is displayed and bearings and
 distance is calculated, both short and long path.
 .
 When hamlib is enabled through the menu, you can retrieve frequency, mode and
 signal-strength from your rig over the serial port.
 .
 This package contains the manual, locale files, pixmaps and more for xlog,
 a logging program for amateur radio operators.

Package: xlsx2csv
Description-md5: bd56676fc4d6d194ab9b4dc9cf918e4c
Description-en: convert xslx files to csv format
 xlsx files are zip archives where spreadsheet data is stored. In
 order to process a file, various bits inside the archive need to be
 located. This utility uses the Expat SAX parser to collect the strings
 into a simple dictionary, keyed by their relative position in the XML
 file. This makes it possible to process files of any size.

Package: xlunzip
Description-md5: c1af6d14888cdbad2c2853a2d2a7924e
Description-en: data compressor based on the LZMA algorithm (decompressor)
 Lzip is a lossless data compressor based on the LZMA algorithm, with very safe
 integrity checking and a user interface similar to the one of gzip or bzip2.
 .
 This package contains the decompressor using the lzip_decompress linux module
 as backend.

Package: xmabacus
Description-md5: 6c1e4e6dd1bc7ddea3f35d475b6e418e
Description-en: simulation of the ancient calculator (Motif version)
 This program is an implementation of the original abacus, it provides
 the Chinese, Japanese, Korean, Roman and Russian version and can be
 modified to allow others.
 .
 This is the Motif version which shows additional functionality. Motif
 is a GUI widget library for the X Window system.

Package: xmacro
Description-md5: c058582354d9f16472f0b42c038d8ed7
Description-en: Record / Play keystrokes and mouse movements in X displays
 xmacrorec can be used to record mouse and keyboard events on any X11 display.
 .
 xmacroplay can be used to playback recorded events or send any other
 mouse/keyboard events you choose. It is very handy for scripting an
 X display - for example controlling a presentation in mgp or ultrapoint
 from a script, network connection...
 .
 xmacroplay-keys is a script to help use the above.
 .
 Together these utils can be used to record and replay sessions
 for demonstrations etc.

Package: xmahjongg
Description-md5: 8ab41b27089f81443bdb4393d11c6f76
Description-en: tile-based solitaire game
 Xmahjongg is a simple implementation of the popular solitaire Mah Jongg game.
 The object is to remove all 144 tiles from the playing area by matching them
 two at a time. Xmahjongg is the classical version with little in the way of
 requirements and enough aesthetical and gameplay features to provide hours
 and hours of fun.

Package: xmakemol
Description-md5: d8eaeeb5122075d51c18b4b7d6de8f4a
Description-en: program for visualizing atomic and molecular systems
 XMakemol is a mouse-based program, written using the LessTif widget set, for
 viewing and manipulating atomic and other chemical systems.  It reads XYZ
 input and renders atoms, bonds and hydrogen bonds.
 .
 Features include:
  - Animating multiple frame files
  - Interactive measurement of bond lengths, bond angles and torsion angles
  - Control over atom/bond sizes
  - Exporting to Xpm, Encapsulated PostScript and XYZ formats
  - Toggling the visibility of groups of atoms
  - Editing the positions of subsets of atoms

Package: xmakemol-gl
Description-md5: 4f7fbb66072be990893565ba574e53cd
Description-en: program for visualizing atomic and molecular systems (OpenGL)
 XMakemol is a mouse-based program, written using the LessTif widget set, for
 viewing and manipulating atomic and other chemical systems.  It reads XYZ
 input and renders atoms, bonds and hydrogen bonds.
 .
 Features include:
  - Animating multiple frame files
  - Interactive measurement of bond lengths, bond angles and torsion angles
  - Control over atom/bond sizes
  - Exporting to Xpm, Encapsulated PostScript and XYZ formats
  - Toggling the visibility of groups of atoms
  - Editing the positions of subsets of atoms
 .
 This is the OpenGL-enabled XMakemol package. The images are rendered using
 true 3D graphics primitives, and can be exported using the Xpm format;
 red/blue stereo images can also be produced. The OpenGL package provides more
 display options, along with better support for displaying vectors. Ellipses
 can also be rendered.

Package: xmaxima
Description-md5: 832404665e6cce169548e4687edf6d5a
Description-en: Computer algebra system -- x interface
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 This package contains an X Windows interface using the tcl/tk
 libraries.

Package: xmbmon
Description-md5: 837b049433522bd2409ae61a1ceeb330
Description-en: Hardware monitoring without kernel dependencies (X client)
 (x)mbmon allows you to monitor hardware status using your motherboard's
 sensors. This information can be: temperatures, voltages and/or fan speeds.
 .
 This package contains the graphical client.

Package: xmedcon
Description-md5: b40c0fc98589850925479a578c1a440f
Description-en: Medical Image (DICOM, ECAT, ...) conversion tool (GUI)
 This project stands for Medical Image Conversion. Released under the
 (L)GPL, it comes with the full C-source code of the library, a
 flexible command line utility and a neat graphical front-end using
 the GTK+ toolkit. The currently supported formats are: Acr/Nema 2.0,
 Analyze (SPM), DICOM 3.0, InterFile 3.3 and PNG.
 .
 The program also allows one to read unsupported files without
 compression, to print pixel values or to extract/reorder specified
 images. It is possible to retrieve the raw binary/ascii image arrays
 or to write PNG for desktop applications.
 .
 This is the program version for X based on GTK+. Processes only one
 file at a time.

Package: xmille
Description-md5: 87159c062a76476f42f565206f8baf73
Description-en: The classic game of Mille Bournes
 A card game against the computer in which each player tries to reach 1000
 miles.  Each player tries to avoid accidents, flat tires, running out of
 gas, and break downs while trying to cause these same maladies in the
 opponent.

Package: xmix
Description-md5: f6337f07f71fe4d59cd086fd7cd2e937
Description-en: X11-based interface to the Linux sound driver mixer
 This interface to the sound driver mixer allows easy adjustment of the
 sound card's input and output levels, and selection of sound source
 for recording.

Package: xml-rs
Description-md5: 691154ac4c1d89a56e45267660192938
Description-en: Show various statistics about XML files
 This package contains the following binaries built from the Rust crate
 "xml-rs":
  - xml-analyze

Package: xml-security-c-utils
Description-md5: 8e1e7760d5a0f5dba4453a96106693c2
Description-en: C++ library for XML Digital Signatures (utilities)
 Apache XML Security for C++ is a library for the XML
 Digital Security specification.  It provides processing and handling of XML
 Key Management Specifications (XKMS) messages.
 .
 This package contains the Apache XML Security for C++ utilities, which
 support signing and verifying XML files from the command line among
 other operations.

Package: xml-twig-tools
Description-md5: 0e5b95fa4b1d41197ced985aae3f5aa7
Description-en: command line tools for processing XML documents
 These tools are included:
  * xml_spellcheck : spellcheck XML files skipping tags
  * xml_merge : merge back files created by xml_split
  * xml_pp : XML pretty printer
  * xml_grep : grep XML files using XML::Twig's subset of XPath
  * xml_split : split big XML files

Package: xml2
Description-md5: b6310a9821b216ae20d3dd2c6b066327
Description-en: Convert between XML, HTML, CSV and a line-oriented format
 xml2 tools are used to convert XML, HTML and CSV to and from a
 line-oriented format more amenable to processing by classic Unix
 pipeline processing tools, like grep, sed, awk, cut, shell scripts,
 and so forth.

Package: xmlbeans
Description-md5: 94577c9b377068084fbb2feee6146b37
Description-en: Java library for accessing XML by binding it to Java types - tools
 XMLBeans is a technology for accessing XML by binding it to Java types.
 .
 XMLBeans provides several ways to get at the XML, including:
  * Through XML schema that has been compiled to generate Java types that
    represent schema types. In this way, you can access instances of the
    schema through JavaBeans-style accessors after the fashion of "getFoo"
    and "setFoo". The XMLBeans API also allows you to reflect into the XML
    schema itself through an XML Schema Object model.
  * A cursor model through which you can traverse the full XML infoset.
  * Support for XML DOM.
 .
 This package provide some command-line tools:
  * dumpxsb: XSB File Dumper
  * inst2xsd: Instance to Schema Tool
  * scomp: Schema Compiler
  * sdownload: Schema Downloader
  * sfactor: Schema Factoring Tool
  * svalidate: Streaming Instance Validator
  * xsdvalidate: Instance Validator
  * xpretty: XML Pretty Printer
  * xsd2inst: Schema to Instance Tool
  * xsdtree: Schema Type Hierarchy Printer
  * xstc: Invoke XSTC

Package: xmlcopyeditor
Description-md5: d9849df641d50b4016fc33a623e25f26
Description-en: fast, free, validating XML editor
 XML Copy Editor is an XML editor focusing on editing document markup
 languages like DITA, DocBook, WordprocessingML. It features DTD/XML
 Schema/RELAX NG validation, XSLT, XPath, pretty-printing, syntax
 highlighting, folding, tag completion/locking, and a spelling/style check.

Package: xmlcopyeditor-dbg
Description-md5: bc2849b96324568c84a17158667bf4e8
Description-en: fast, free, validating XML editor - debug
 XML Copy Editor is an XML editor focusing on editing document markup
 languages like DITA, DocBook, WordprocessingML. It features DTD/XML
 Schema/RELAX NG validation, XSLT, XPath, pretty-printing, syntax
 highlighting, folding, tag completion/locking, and a spelling/style check.
 .
 This package contains the debugging symbols.

Package: xmldiff
Description-md5: 8b94011505002d34745df87f28dc545a
Description-en: library and command line utility for diffing xml files
 xmldiff is a library and a command-line utility for making diffs
 out of XML. This may seem like something that doesn't need a
 dedicated utility, but change detection in hierarchical data is
 very different from change detection in flat data. XML type formats
 are also not only used for computer readable data, it is also often
 used as a format for hierarchical data that can be rendered into human
 readable formats. A traditional diff on such a format would tell you
 line by line the differences, but that would not be readable by a human.
 xmldiff provides tools to make human readable diffs in those situations.
 .
 This package provides two possible commands: xmldiff and xmlpatch.
 .
 The diff algorithm is based on _Change detection in hierarchically
 structured - information_, by S. Chawathe, A. Rajaraman,
 H. Garcia-Molina and J. Widom, - Stanford University, 1996.

Package: xmlformat-doc
Description-md5: 00b93e1be48e35da8d5fa66e5049210d
Description-en: XML Document Formatter documentation
 xmlformat is a configurable formatter (or "pretty-printer") for XML documents.
 It provides control over indentation, line-breaking, and text wrapping. These
 properties can be defined on a per-element basis.
 .
 xmlformat provides improved diagnostic information when a document is not
 well-formed. (Prints line and token number, and stack trace).
 .
 This is the documentation for xmlformat

Package: xmlformat-perl
Description-md5: 87f0f8b322f51a7a3c1ef95747a3f7eb
Description-en: XML Document Formatter
 xmlformat is a configurable formatter (or "pretty-printer") for XML documents.
 It provides control over indentation, line-breaking, and text wrapping. These
 properties can be defined on a per-element basis.
 .
 xmlformat provides improved diagnostic information when a document is not
 well-formed. (Prints line and token number, and stack trace).
 .
 This is the perl implementation

Package: xmlformat-ruby
Description-md5: d60e971df845fd96bbd2a9c28d5abb6e
Description-en: XML Document Formatter
 xmlformat is a configurable formatter (or "pretty-printer") for XML documents.
 It provides control over indentation, line-breaking, and text wrapping. These
 properties can be defined on a per-element basis.
 .
 xmlformat provides improved diagnostic information when a document is not
 well-formed. (Prints line and token number, and stack trace).
 .
 This is the ruby implementation

Package: xmlindent
Description-md5: 4c053734ba2a321150b43f615876d58b
Description-en: XML stream reformatter
 XML Indent is a XML stream reformatter written in ANSI C. It is analogous
 to GNU indent.

Package: xmlroff
Description-md5: eedee92a51ecc0eb2fbffd4c10a8f187
Description-en: XSL formatter mainly for DocBook
 xmlroff is a fast, free, high-quality, multi-platform XSL formatter
 that aims to excel at DocBook formatting and that integrates easily
 with other programs and with scripting languages.

Package: xmlrpc-api-utils
Description-md5: 1389f2e2e1188c4e475252cb0576ebff
Description-en: Generate C++ wrapper classes for XML-RPC servers
 XML-RPC is a quick-and-easy way to make procedure calls over the Internet.
 It converts the procedure call into an XML document, sends it to a remote
 server using HTTP, and gets back the response as XML.
 .
 This package contains two programs:
  * xml-rpc-api2cpp, a utility for generating C++ wrapper classes based
    on an XML-RPC API, obtained by interrogating an XML-RPC server.
  * xml-rpc-api2txt, a utility for printing out an XML-RPC API as a
    text file.  The API is obtained by interrogating an XML-RPC server.

Package: xmlstarlet
Description-md5: be00bff9e891b2ff3b48cc61e09c040f
Description-en: command line XML toolkit
 XMLStarlet is a set of command line utilities (tools) which can be used to
 transform, query, validate, and edit XML documents and files using simple set
 of shell commands in similar way it is done for plain text files using
 UNIX grep, sed, awk, diff, patch, join, etc commands.
 .
 This set of command line utilities can be used by those who deal with many XML
 documents on UNIX shell command prompt as well as for automated XML processing
 with shell scripts.
 .
 The toolkit's feature set includes options to:
  Check or validate XML files (simple well-formedness check, DTD, XSD, RelaxNG)
  Calculate values of XPath expressions on XML files (such as running sums, etc)
  Search XML files for matches to given XPath expressions
  Apply XSLT stylesheets to XML documents (including EXSLT support, and passing
 parameters to stylesheets)
  Query XML documents (ex. query for value of some elements of attributes,
 sorting, etc)
  Modify or edit XML documents (ex. delete some elements)
  Format or "beautify" XML documents (as changing indentation, etc)
  Fetch XML documents using http:// or ftp:// URLs
  Browse tree structure of XML documents (in similar way to 'ls' command for
 directories)
  Include one XML document into another using XInclude
  XML c14n canonicalization
  Escape/unescape special XML characters in input text
  Print directory as XML document
  Convert XML into PYX format (based on ESIS - ISO 8879), and vice versa

Package: xmlsysd
Description-md5: b8f093f1a1f9f0d9f65db03ccf2981ca
Description-en: wulfware daemon to extract data from cluster nodes
 xmlsysd is a daemon that runs on the nodes or workstations, collects
 information from /proc files or systems calls, and returns it in an
 xml-based format via a tcp socket connection.
 This package is part of the wulfware suite.
 .
 When installing, be sure to read /usr/share/xmlsysd/README.Debian
 .
  Homepage: http://www.phy.duke.edu/~rgb/Beowulf/wulfware.php

Package: xmlto
Description-md5: b2240e3783aa2cfe8124aad28dfb519c
Description-en: XML-to-any converter
 xmlto is a front-end to an XSL toolchain. It chooses an appropriate
 stylesheet for the conversion you want and applies it using an external
 XSLT processor (currently, only xsltproc is supported). It also performs
 any necessary post-processing.
 .
 It supports converting from DocBook XML to DVI, XSL-FO, HTML and XHTML
 (one or multiple pages), epub, manual page, PDF, PostScript and plain
 text. It also supports converting from XSL-FO to DVI, PDF and PostScript.
 .
 DVI output requires dblatex or PassiveTeX. Other formats can be produced
 with any of the supported toolchains - dblatex, PassiveTeX or
 docbook-xsl/fop (but may require some extensions).

Package: xmltoman
Description-md5: b361414227db117d1ded552796462a85
Description-en: simple XML to man converter
 xmltoman and xmlmantohtml are two small scripts to convert xml to man
 pages in groff format or html. It features the usual man page items such a
 "description", "options", "see also" etc.

Package: xmltooling-schemas
Description-md5: 7624e00a7d9956e68d0da7b6d7f43902
Description-en: XML schemas for XMLTooling
 The XMLTooling library contains generic XML parsing and
 processing classes based on the Xerces-C DOM.  It adds more powerful facilities
 for declaring element- and type-specific API and implementation classes, as
 well as signing and encryption support.
 .
 This package contains the XML schema files used by the XMLTooling
 library.

Package: xmltv
Description-md5: cb3687743de7aed9ab675306d23c2691
Description-en: functionality related to the XMLTV file format for TV listings
 Gather television listings, process them and organize your viewing.
 XMLTV is a file format for storing TV listings, defined in xmltv.dtd.
 This is a metapackage that installs all of the XMLTV pieces.
 .
 This metapackage is intended for end-users who will run XMLTV
 programs directly.  Maintainers of other packages that rely on XMLTV
 functionality should consider depending on one or more of the related
 packages (libxmltv-perl, xmltv-util, xmltv-gui) as needed.

Package: xmltv-gui
Description-md5: d012a8754d77396e5d127a2cfe0ef687
Description-en: graphical user interface for XMLTV tv_check utility
 Gather television listings, process them and organize your viewing.
 XMLTV is a file format for storing TV listings, defined in xmltv.dtd.
 .
 This package includes the GUI (graphical user interface) front-end
 program tv_check.

Package: xmltv-util
Description-md5: cffb6af19cb78982bec3eac60356e8e6
Description-en: utilities related to the XMLTV file format for TV listings
 Gather television listings, process them and organize your viewing.
 XMLTV is a file format for storing TV listings, defined in xmltv.dtd.
 .
 This package includes general programs that are part of the XMLTV
 package, such as various "grabbers" to get TV listings from online
 providers and utilities to manipulate such listings.
 .
 The upstream CGI (web) front-end example is included as part of
 the documentation.

Package: xmms2
Description-md5: 28fbd79137c1063d256d9ca8eadabad9
Description-en: Client/server based media player system
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package is a metapackage depending on various other XMMS2 packages.
 Installing this package gets you a command line client and enables XMMS2
 playback of Ogg Vorbis and MP3 files from local and remote sources.

Package: xmms2-client-avahi
Description-md5: 26d06e9d830861b63c8d47959d30d79f
Description-en: XMMS2 - avahi client
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the avahi client.

Package: xmms2-client-cli
Description-md5: db7b979c365a7979c4c7bf112e995032
Description-en: XMMS2 - cli client
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the readline powered text user interface for XMMS2.

Package: xmms2-client-medialib-updater
Description-md5: 39bd3ab13ef8dea2dc56a1cfed7220d7
Description-en: XMMS2 - medialib-updater client
 The media library is where XMMS2 stores metadata about files as it plays them.
 Having a media library allows XMMS2 to 'remember' the songs it has played, and
 thus allows users to easily re-create their favorite playlists.
 .
 This package contains the medialib-updater client which monitors given
 directories and updates the media library with new and changed files.

Package: xmms2-client-nycli
Description-md5: 06928861ea31db001d0a64972b543fce
Description-en: XMMS2 - new cli client
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains only the symlink from nyxmms2 to xmms2. nyxmms2 was
 renamed to xmms2 in 0.8 DrO_o.

Package: xmms2-core
Description-md5: 44b55e5598f45637bf91f9521ba3e0cf
Description-en: XMMS2 - core package
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package just contains the daemon that loads plug-ins and allows clients to
 connect.

Package: xmms2-dev
Description-md5: 6634ead4d938c7ab4e0c977b5a8b6a03
Description-en: XMMS2 - plug-in development files
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains headers required for developing XMMS2 plug-ins.

Package: xmms2-icon
Description-md5: 6233fc73576874a1e1f89a2d76e579a8
Description-en: XMMS2 - icon package
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package just contains the icon files.

Package: xmms2-plugin-airplay
Description-md5: 40ed086186d94b90e4c54bde42296292
Description-en: XMMS2 - airplay output plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables airplay output for XMMS2.

Package: xmms2-plugin-all
Description-md5: 6d6cb547b4d2be3d60211ff49ef4bcff
Description-en: XMMS2 - all plug-ins
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This is a metapackage depending on all available XMMS2 plug-ins to make
 installation easier.

Package: xmms2-plugin-alsa
Description-md5: c909566c19d85793a6b516bab55f890a
Description-en: XMMS2 - ALSA output
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables ALSA output for XMMS2.

Package: xmms2-plugin-ao
Description-md5: 73294194df81fb2450399e509e541164
Description-en: XMMS2 - libao output plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables libao output for XMMS2.

Package: xmms2-plugin-apefile
Description-md5: 43ae7b1df2495de814b9796acac2ed17
Description-en: XMMS2 - Monkey's Audio decoder plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables Monkey's Audio file decoding for XMMS2.

Package: xmms2-plugin-asf
Description-md5: 2bf626fcae9cca06a7d22756ffb87f1b
Description-en: XMMS2 - ASF plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This plug-in enables Advanced Systems Format decoding for XMMS2.

Package: xmms2-plugin-asx
Description-md5: 29a31a8443d99a4ed7667ba17e810882
Description-en: XMMS2 - ASX playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read ASX playlists.

Package: xmms2-plugin-avcodec
Description-md5: 45415c8f2af612aafd0fdb06eb383d77
Description-en: XMMS2 - avcodec decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables avcodec decoding for XMMS2. Together with
 xmms2-plugin-asf it's possible to decode .wma files. Combined with
 xmms2-plugin-mp4 you can decode .mp4 files.

Package: xmms2-plugin-cdda
Description-md5: c0c875f322e64444db02156a94face25
Description-en: XMMS2 - CDDA plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables audio CD playback for XMMS2.

Package: xmms2-plugin-cue
Description-md5: d9fabdd1ced87194c3bf315315d92dfa
Description-en: XMMS2 - CUE playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read cue files.

Package: xmms2-plugin-curl
Description-md5: 4dfee2630afc29e245b52cc7e96e9c87
Description-en: XMMS2 - curl transport for HTTP
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a HTTP transport for XMMS2.

Package: xmms2-plugin-daap
Description-md5: fd87a0f0f204e3ed3b9abf12b5982500
Description-en: XMMS2 - daap plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a plug-in which allows you to share your media
 library over their network via DAAP (Digital Audio Access Protocol).

Package: xmms2-plugin-faad
Description-md5: 21778fa23e94f02a7cb4f586fe728387
Description-en: XMMS2 - faad decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables decoding of faad encoded AAC files for XMMS2. Together
 with xmms2-plugin-mp4 it also can process faad encoded data inside of a mp4
 container.

Package: xmms2-plugin-flac
Description-md5: 88dae57352a2630773af9e4d1540b64d
Description-en: XMMS2 - FLAC decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables FLAC decoding for XMMS2.

Package: xmms2-plugin-flv
Description-md5: dc536ffe646ece307bed6fd522d28948
Description-en: XMMS2 - Flash Video plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables audio extraction from Flash Video files for XMMS2.

Package: xmms2-plugin-gme
Description-md5: 7164173f8acdebfef368b8eab93dfd21
Description-en: XMMS2 - gme plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the Game Music Emulator music decoder for
 XMMS2, that support the following formats:
  * AY        ZX Spectrum/Amstrad CPC
  * GBS       Nintendo Game Boy
  * GYM       Sega Genesis/Mega Drive
  * HES       NEC TurboGrafx-16/PC Engine
  * KSS       MSX Home Computer/other Z80 systems (doesn't support FM sound)
  * NSF/NSFE  Nintendo NES/Famicom (with VRC 6, Namco 106, and FME-7 sound)
  * SAP       Atari systems using POKEY sound chip
  * SPC       Super Nintendo/Super Famicom
  * VGM/VGZ   Sega Master System/Mark III, Sega Genesis/Mega Drive,BBC Micro

Package: xmms2-plugin-gvfs
Description-md5: 29d73ef82b5fcedd27d4e2f91686e334
Description-en: XMMS2 - gvfs plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the Glib virtual file system transport for XMMS2.

Package: xmms2-plugin-html
Description-md5: 40b573a57b050a76e3704848c97d49e6
Description-en: XMMS2 - HTML playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables loading HTML playlists for XMMS2.

Package: xmms2-plugin-ices
Description-md5: 2c04e5688a93523758c1adcfede19fb5
Description-en: XMMS2 - Ogg streaming output
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains an output plug-in for Ogg/Vorbis streaming.

Package: xmms2-plugin-icymetaint
Description-md5: 73078ccb5d1d4b3d05b3d1ca86d96917
Description-en: XMMS2 - shoutcast metadata plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package allows you to decode and use shoutcast stream metadata in XMMS2.

Package: xmms2-plugin-id3v2
Description-md5: edea7b98940ada18a6e682d97343cb37
Description-en: XMMS2 - ID3v2 plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables handling of ID3v2 tag containers.

Package: xmms2-plugin-jack
Description-md5: 3baa6dd356e852dc9ac12ab9021d8163
Description-en: XMMS2 - JACK output
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables JACK output for XMMS2.

Package: xmms2-plugin-karaoke
Description-md5: 120a8a1cb47bd9d0e48537895425000e
Description-en: XMMS2 - karaoke plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the voice removal effect plug-in for XMMS2.

Package: xmms2-plugin-m3u
Description-md5: ac1d3e6539b021865a529f3a246f3729
Description-en: XMMS2 - M3U playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read M3U playlists.

Package: xmms2-plugin-mad
Description-md5: d908fba0019870028440608250c23a03
Description-en: XMMS2 - libmad based mp3 decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables mp3 decoding for XMMS2 based on libmad.

Package: xmms2-plugin-mms
Description-md5: ddd04f1be740faf127006bd8e84dd491
Description-en: XMMS2 - MMS transport
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the MMS transport for XMMS2.

Package: xmms2-plugin-modplug
Description-md5: 13d76ffb3158ef3295b247e6ca161b2c
Description-en: XMMS2 - modplug decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables modplug decoding for XMMS2.

Package: xmms2-plugin-mp4
Description-md5: f1fd3c034475ee21caa13b38caf7b670
Description-en: XMMS2 - MPEG-4 plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables decoding of data inside of mp4 containers for XMMS2.
 Together with xmms2-plugin-faad it's possible to decode .mp4 files. Combined
 with xmms2-plugin-avcodec you can decode ALAC files.

Package: xmms2-plugin-mpg123
Description-md5: 49ff646d0526a245f85b6222302b14cb
Description-en: XMMS2 - libmpg123 based mp3 decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables mp3 decoding for XMMS2 based on libmpg123.

Package: xmms2-plugin-musepack
Description-md5: aed71dacdb016f45befd9ef83ce31ff5
Description-en: XMMS2 - mpc decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables musepack (mpc) decoding for XMMS2.

Package: xmms2-plugin-normalize
Description-md5: 5fc587df854c1f2255498caa4664664d
Description-en: XMMS2 - Normalize plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This plug-in enables volume normalization for XMMS2.

Package: xmms2-plugin-ofa
Description-md5: c3ee51804be8ad1754e591603bf09172
Description-en: XMMS2 - Open Fingerprint Architecture plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to calculate Open Fingerprint Architecture (OFA)
 fingerprints.

Package: xmms2-plugin-oss
Description-md5: 69e9f18a02c2a9be5333f3831b6b8873
Description-en: XMMS2 - OSS output
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables OSS output for XMMS2.

Package: xmms2-plugin-pls
Description-md5: 00fbec07d9593eaaf2a3ec24adbbb3af
Description-en: XMMS2 - PLS playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read PLS playlists.

Package: xmms2-plugin-pulse
Description-md5: bd417fa268b8f946123612882a6fa9a5
Description-en: XMMS2 - PulseAudio output plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables PulseAudio output for XMMS2.

Package: xmms2-plugin-rss
Description-md5: f0bf56945ddfaead2331659543f7da5a
Description-en: XMMS2 - RSS podcast plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to use podcast RSS feeds as playlists.

Package: xmms2-plugin-sid
Description-md5: bb067d81285cceaea179da11433d9f35
Description-en: XMMS2 - libsidplay2 based decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables decoding for tunes from C64 and C128.

Package: xmms2-plugin-smb
Description-md5: f274de3b9d8f1de636c8827a29151bc9
Description-en: XMMS2 - Server Message Block (SMB) transport
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a Server Message Block (SMB) transport for XMMS2.

Package: xmms2-plugin-sndfile
Description-md5: e7424e6b501032546e31d0c1cbfe35d7
Description-en: XMMS2 - sndfile decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables Audio Interchange File Format (AIFF), Au, Core Audio
 Format (CAF), and Paris Audio File (PAF) decoding for XMMS2 using the sndfile
 library.

Package: xmms2-plugin-speex
Description-md5: 745bcab282232be6c97f6f31d0934113
Description-en: XMMS2 - Speex decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables Speex decoding for XMMS2.

Package: xmms2-plugin-tta
Description-md5: 4722308ef3da8d53407342dd012e469b
Description-en: XMMS2 - TTA decoder plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables True Audio file decoding for XMMS2.

Package: xmms2-plugin-vocoder
Description-md5: e12b922c38c4aa96e18cba85f341e2cc
Description-en: XMMS2 - vocoder plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a phase vocoder effect plug-in.

Package: xmms2-plugin-vorbis
Description-md5: 0de531ceb13837870dc60d4f8e9359dc
Description-en: XMMS2 - vorbis decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables ogg-vorbis decoding for XMMS2.

Package: xmms2-plugin-wavpack
Description-md5: 94428bf9733512a966aac038b742f006
Description-en: XMMS2 - WavPack decoder plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables WavPack decoding for XMMS2.

Package: xmms2-plugin-xml
Description-md5: 480307020a11cc6242ce05a6eb5b4e4b
Description-en: XMMS2 - XML plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package allows XMMS2 to read XML based formats. This package is used by
 other plug-ins that implement support for specific XML formats like
 xmms2-plugin-xspf and xmms2-plugin-rss.

Package: xmms2-plugin-xspf
Description-md5: b7e766eeec75f291d338c51c17b7d29d
Description-en: XMMS2 - XSPF playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read XSPF playlists.

Package: xmms2-scrobbler
Description-md5: c93486de4477ad11f3394904bbe1475c
Description-en: Audioscrobbler/Last.FM client for XMMS2
 The scrobbler client sends information about the tracks you play with XMMS2 to
 the Last.FM service to build a profile of the type of music you listen to and
 find people that have similar tastes in music. For more information on
 AudioScrobbler see http://www.last.fm/

Package: xmobar
Description-md5: 32ac199e8dddf9c37d0de0d4037fb8b3
Description-en: lightweight status bar for X11 window managers
 xmobar is a lightweight text-based status bar for X11 desktops written in
 Haskell and designed to work with (but not limited to) xmonad. It has a
 variety of plugins allowing the display of system-related data and an
 extensible architecture that makes it easy to write one's own plugins. xmobar
 supports displaying UTF-8 text and using TrueType fonts via Xft.

Package: xmonad
Description-md5: a827bdbc621fa78a6624eb347265b935
Description-en: Lightweight X11 window manager written in Haskell
 Xmonad is a minimalist tiling window manager for X, written in
 Haskell.  Windows are managed using automatic layout algorithms,
 which can be dynamically reconfigured. At any time windows are
 arranged so as to maximise the use of screen real estate. All
 features of the window manager are accessible purely from the
 keyboard: a mouse is entirely optional.  Xmonad is configured in
 Haskell, and custom layout algorithms may be implemented by the user
 in config files. A principle of Xmonad is predictability: the user
 should know in advance precisely the window arrangement that will
 result from any action.
 .
 This package comes pre-configured with the default configuration. If
 you want to build your custom-configured version, make sure that
 libghc-xmonad-dev is installed and put your configuration in
 ~/.xmonad/xmonad.hs

Package: xmorph
Description-md5: 820c4de6503a5312360d59df6aeab982
Description-en: digital image warp and morph (x11)
 Xmorph loads, saves, warps, and dissolves images, and loads, saves, creates,
 and manipulates control meshes which determine the warping.  The technique
 was invented and first used by Industrial Light and Magic, and is commonly
 called "morphing".
 .
 Xmorph uses the Targa image format exclusively.  Other software is needed to
 convert to other image formats or to movies.  (Due to a bug, Xmorph's images
 are unreadable by John Bradley's XV.)
 .
 xmorph is a GUI for libmorph, using the X Toolkit; the package also contains
 a text-only program called morph.

Package: xmotd
Description-md5: 75baa542d16ceb7ee78670e3ebe2f966
Description-en: message of the day browser for X
 Xmotd is a message-of-the-day browser for X11 (with additional
 sysvnews-like support for dumb terminals).  It displays a customizable
 message box which displays each message-of-the-day until the user has
 read them all, and then creates a stamp-file.

Package: xmoto
Description-md5: 44490060759ce788769bd743b617c42c
Description-en: 2D motocross platform game
 X-Moto is a challenging 2D motocross platform game, where physics play
 an all important role in the gameplay. You need to control your bike to
 its limit, if you want to have a chance finishing the more difficult of
 the challenges.

Package: xmoto-data
Description-md5: 0c3dabe2fd68adaf7b38815729a4e661
Description-en: 2D motocross platform game - data files
 X-Moto is a challenging 2D motocross platform game, where physics play
 an all important role in the gameplay. You need to control your bike to
 its limit, if you want to have a chance finishing the more difficult of
 the challenges.
 .
 This package contains the data files needed by xmoto.

Package: xmount
Description-md5: 44c11fa4c04f7ce2ce1b88d2f97b76c9
Description-en: tool to crossmount between multiple input and output harddisk images
 xmount allows you to convert on-the-fly between multiple input
 and output harddisk image types. xmount creates a virtual file
 system using FUSE (Filesystem in Userspace) that contains a
 virtual representation of the input harddisk image. The virtual
 representation can be in raw DD, VirtualBox's virtual disk file
 (VDI) format, Microsoft's Virtual Hard Disk Image format (VHD)
 or in VMware's VMDK format. Input harddisk images can be raw DD
 or EWF (Expert Witness Compression Format) or AFF (Advanced
 Forensic Format) files. In addition, xmount also supports
 virtual write access to the output files that is redirected to a
 cache file. This makes it for example possible to boot acquired
 harddisk images using QEMU, KVM, VirtualBox, VMware or alike.

Package: xmountains
Description-md5: 765db811f4e4489ebd7f9f9ae88b1bf4
Description-en: Fractal landscape generator for X
 Generates random fractal mountains and displays them in a window (even in the
 X root window).
 .
 While the program is running the fractal is continuously extended on the right
 and the image is scrolled from right to left to expose the newly generated
 area.

Package: xmp
Description-md5: d567c319899b63601bd152bacfda1271
Description-en: module player supporting AWE32, GUS, and software-mixing
 xmp is a multi-format module player for UNIX. In machines with GUS or
 AWE cards xmp takes advantage of the OSS sequencer to play modules with
 virtually no system load. Using software mixing, xmp plays at sampling
 rates up to 48 kHz in mono or stereo, 8 or 16 bits, signed or unsigned,
 little or big endian samples with 32 bit linear interpolation. xmp
 currently supports more than 40 module formats.

Package: xmpi
Description-md5: 9b3843cf915d897828cda7d5aa1431a5
Description-en: Graphical user interface for MPI program development
 MPI is a standard for writing parallel processing programs using
 a well defined Message Passing Interface.
 .
 XMPI can acquire and display extensive detail on an application's MPI
 communication, including the following:
  o process synchronization status: running, system or blocked
  o message queue contents
  o source, destination, root ranks
  o MPI function name
  o communicator
  o data type
  o message count
  o tag
 The above information is acquired from on-demand snapshots of
 application status using debugging hooks in the MPI implementation or
 from a trace log of communication activity.

Package: xmpuzzles
Description-md5: b009e6a92cf6d20f7b304f66141aa745
Description-en: collection of puzzles for X (Motif version)
 The following puzzles are included:
 .
  - Rotational 3D Puzzles: xmrubik, xmpyraminx, xmoct, xmskewb, xmdino, xmmball
  - Sliding Block Puzzles: xmcubes, xmtriangles, xmhexagons, xmpanex
  - Combination: xmbarrel, xmmlink
 .
 This is the Motif version which shows additional functionality.
 Motif is a GUI widget library for the X Window  system.

Package: xnav
Description-md5: 0148c0dcadbc2dc32389aef2ae9d839e
Description-en: Automatically construct web site navigation links
 XNav adds a wrapper with CSS style and top and side navigation bars
 to a directory tree of XHTML documents. It also provides a mechanism
 for automatic construction of XHTML documents from user defined XML
 document types, using user supplied XSL.

Package: xnec2c
Description-md5: d097aac92ab50e8cb5b12d69f41c31a0
Description-en: calculate and display radio antenna properties
 The original nec2c is a non-interactive command-line application that reads
 standard NEC2 input files and produces an output file with data requested
 by "commands" in the input file.
 .
 In contrast xnec2c is a GUI interactive application that (in its current form)
 reads NEC2 input files but presents output data in graphical form, e.g. as
 wire frame drawings of the radiation pattern or near E/H field, graphs of
 maximum gain, input impedance, vswr etc against frequency and simple rendering
 of the antenna structure, including color code representation of currents or
 charge densities. These results are only calculated and drawn on user demand
 via menu items or buttons, e.g. xnec2c is interactive and does not execute
 NEC2 "commands" in batch style as the original does.

Package: xnecview
Description-md5: da9c24cb10d19f7970996240c50c1b1e
Description-en: NEC structure and gain pattern viewer
 xnecview allows a representation of a NEC (Numerical Electromagnetics
 Code) structure, such as an antenna which is to be modelled to be
 displayed on the screen.  After an NEC run the gain pattern in various
 formats can also be superimposed.  This can be rotated and translated for
 viewing from different angles.  Plots of SWR and gain as a function of
 frequency can also be produced.  In addition to on screen display,
 Postscript or PNG output can also be produced.

Package: xnee
Description-md5: 0926fc5828bc5703a810f77ebc4b7b40
Description-en: X event recorder/replayer - metapackage
 GNU Xnee is a suite of programs that can record, replay and
 distribute user actions under the X11 environment. Think of it as a
 robot that can imitate the job you just did.
 .
 Xnee can be used to
  - automate tests
  - demonstrate programs
  - distribute actions
  - record and replay 'macro'
  - retype a file
 .
 This package contains a metapackage depending on one of the
 implementation of Xnee (command line, graphical user interface or
 GNOME panel).

Package: xnee-doc
Description-md5: 6599482985a9ec056b31e13fb0eb1637
Description-en: X event recorder/replayer - documentation
 GNU Xnee is a suite of programs that can record, replay and
 distribute user actions under the X11 environment. Think of it as a
 robot that can imitate the job you just did.
 .
 Xnee can be used to
  - automate tests
  - demonstrate programs
  - distribute actions
  - record and replay 'macro'
  - retype a file
 .
 This package contains the complete documentation of Xnee.

Package: xnest
Description-md5: 8fb9e95ed5f9c018992a8cdc83935474
Description-en: Nested X server
 Xnest is a nested X server that simply relays all its requests to another
 X server, where it runs as a client.  This means that it appears as another
 window in your current X session.  Xnest relies upon its parent X server
 for font services.
 .
 Use of the Xephyr X server instead of Xnest is recommended.
 .
 More information about X.Org can be found at:
 <URL:https://www.x.org>
 .
 This package is built from the X.org xserver module.

Package: xneur
Description-md5: cddb199e88dad2b045b1dfa320cc79b8
Description-en: in-place conversion of text typed in with a wrong keyboard layout
 When users work in multilingual environment (e.g. Russian+English), they
 sometimes type in text with wrong keyboard layout. In auto mode XNeur can
 automatically detect language of word user typed, switch keyboard layout and
 convert word from one keyboard layout into another. In manual mode user has
 ability to convert last typed word or some selected text with hot-keys.
 The main idea of this utility is similar to Punto Switcher for MS Windows.
 For now XNeur support English, Russian, Ukrainian, Belarusian, French,
 Romanian, German, Kazakh and many other languages.

Package: xonix
Description-md5: c1d4b9e987419d9395e6309c51e7472e
Description-en: game to carve up the screen whilst dodging monsters
 A clone of the Xonix game for DOS. Start with a rectangle, and munch
 corners off until you get below the winning area: then proceed to the
 next level. But beware of the roaming aliens!

Package: xonsh
Description-md5: b488bc52fe3339413578fc2eb8a678fa
Description-en: Python-powered, cross-platform, Unix-gazing shell
 Xonsh is a Python-ish shell language and command prompt. Unlike other shells,
 xonsh is based on Python, with additional syntax added that makes calling
 subprocess commands, manipulating the environment, and dealing with the file
 system easy. Xonsh supports all normal Python constructs and a subset of
 those available in bash.

Package: xonsh-doc
Description-md5: d99de1a219f3071e796f739aa582e3a5
Description-en: Python-powered, cross-platform, Unix-gazing shell (documentation)
 Xonsh is a Python-ish shell language and command prompt. Unlike other shells,
 xonsh is based on Python, with additional syntax added that makes calling
 subprocess commands, manipulating the environment, and dealing with the file
 system easy. Xonsh supports all normal Python constructs and a subset of
 those available in bash.
 .
 This package contains the documentation.

Package: xorg-server-source
Description-md5: 6be9dae6db2bfd4321ce1a5c689cbeb4
Description-en: Xorg X server - source files
 This package provides original Debian (with Debian patches already
 applied, and autotools files updated) sources for the X.Org ('Xorg')
 X server shipped in a tarball.  This enables other projects re-using
 X server codebase (e.g. VNC servers) to (re-)use officially
 Debian-supported version of the X xserver for their builds.
 .
 Unless you are building a software product using X server sources,
 you probably want xserver-xorg and/or xserver-xorg-core instead.

Package: xorg-server-source-hwe-18.04
Description-md5: c41d16579ecff7635c1e58f33731aa20
Description-en: Transitional package for xorg-server-source-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xorgxrdp
Description-md5: 56d1a375c74aae2447ed22baec7b766a
Description-en: Remote Desktop Protocol (RDP) modules for X.org
 xorgxrdp is a set of drivers (screen device, keyboard, and mouse)
 for X.org enabling use through an RDP session with xrdp. For full
 operation, most standard X11 fonts and tools need to be installed;
 the Recommended xorg metapackage is a superset of what’s actually
 needed but will do.

Package: xorp
Description-md5: 3467c5e18e9e6bfd8a51b9e0fc6d2722
Description-en: eXtensible Open Router Platform
 XORP is the eXtensible Open Router Platform. It implements a number of
 routing protocols for IPv4 and IPv6 and a unified means to configure them. It
 is stable and fully featured enough for production use, and flexible and
 extensible enough to enable network research.
 .
 The following network protocols are currently
 supported: BGP, OSPF, RIP/RIPng, IGMP/MLD and PIM-SM.

Package: xorriso
Description-md5: 4538911b3f3a5e4314b9eefecd4579e9
Description-en: command line ISO-9660 and Rock Ridge manipulation tool
 xorriso is a command line and dialog application, which creates, loads,
 manipulates, and writes ISO-9660 file system images with Rock Ridge
 extensions.
 .
 It maps file objects from POSIX compliant file systems into Rock Ridge
 enhanced ISO-9660 file systems and features session-wise manipulation
 of such file systems. It can load the management information of existing
 ISO images and write the resulting session to optical medium or as
 file system objects.
 .
 Supported optical media types:
  - CD-R, CD-RW
  - DVD-R, DVD-R DL, DVD-RW, DVD+R, DVD+R DL, DVD+RW, DVD-RAM
  - BD-R, BD-RE
 .
 Some interesting features:
  - Emulation of the mkisofs and cdrecord programs.
  - Data backup and restore capabilities - compression, ACLs, and filters.
  - Isohybrid MBR with partition offset - features booting ISOLINUX from
    USB sticks, or from other devices that appear to PC-BIOS as hard disks.
    The images carry a conventional partition table for a USB stick;
    the first partition reports the size of the ISO image, but starts at a
    non-zero address. It is nevertheless still mountable.
  - Jigdo Template Export - jigdo representation of the resulting ISO-9660
    image, generated on the fly.
 .
 Test suite:
  xorriso source code comes with a release engineering test-suite called
  `releng', which aims to cover most of the functionality of the xorriso
  and the underlying libraries of libburn, libisofs, and libisoburn.

Package: xorriso-tcltk
Description-md5: 226d3ee6cbae42c0a4bd2ad2e81391d6
Description-en: educational GUI frontend for ISO-9660 manipulation tool xorriso
 xorriso is a command line and dialog application, which creates, loads,
 manipulates, and writes ISO-9660 file system images with Rock Ridge
 extensions.
 .
 xorriso-tcltk offers the most important features in a single GUI window
 together with help texts and references to xorriso's man page.
 The xorriso commands which get triggered by GUI components are shown in a
 scrollable text field or optionally may get logged to a file.
 Click on any GUI component by the rightmost mouse button to see the
 component's help text.

Package: xoscope
Description-md5: 357f2c295b4c7a2960dff9fb9855e4f0
Description-en: digital oscilloscope
 Xoscope is a oscilloscope using input from a sound card or EsounD server
 and/or a COMEDI hardware. Includes 8 signal displays, variable time scale,
 math, memory, measurements, and file save/load.

Package: xosd-bin
Description-md5: 9e2525205d93ab49cff0efae09748e87
Description-en: X On-Screen Display library - binary files
 This package contains binary files shipped with the X OSD library:
 osd_cat - a 'cat' like program which will display its output in an
 OSD-like window

Package: xosview
Description-md5: b8c7213c55875d6888d0885de572acdc
Description-en: X based system monitor
 Xosview is a monitor which displays the status of several system based
 parameters. These include CPU usage, load average, memory usage, swap space
 usage, network usage, Wireless LAN meter, interrupts and serial port status.
 Appearance is fully configurable via command line or X resources.

Package: xotcl
Description-md5: 12ca79084cdb9a7f55a6baf48a82dd94
Description-en: Extended Object Tcl (XOTcl): Object orientation for Tcl - shared library
 Extended Object Tcl (for short: XOTcl, pronounced exotickle) is an
 object-oriented scripting language based on Tcl. It was originally
 designed for providing language support for design patterns and
 provides novel constructs such as filters or transitive mixin
 classes. The language is designed for empowering rather than
 constraining system developers. The basic object model is highly
 influenced by CLOS.

Package: xotcl-dev
Description-md5: dede2a8c9a0bcbdb1f732f4cb814cf2b
Description-en: Extended Object Tcl (XOTcl): Object orientation for Tcl - development files
 Header files and static libraries for libxotcl. You will need this
 package in place if you plan to develop using the XOTcl C interface
 and/or if you want to create custom builts of XOTcl C extensions.

Package: xotcl-doc
Description-md5: 6d47072e0465215f152ccb109f0a1b73
Description-en: Extended Object Tcl (XOTcl): Object orientation for Tcl - manual
 This package brings the official XOTcl language reference and a
 comprehensive tutorial to you. They are registered with the doc-base
 family of tools. Moreover, you will find code examples on how to use
 various XOTcl features and packages.

Package: xotcl-shells
Description-md5: da9c2ba888af361d57fa7dad58c4d48f
Description-en: Extended Object Tcl (XOTcl): Object orientation for Tcl - shells
 This package provides you with two Tcl/Tk shell wrappers, xotclsh and
 xowish. These shells offer ready-made environments for
 XOTcl-empowered scripting.

Package: xournal
Description-md5: c690a5b9c0fda28f39980bbdd9f35b29
Description-en: GTK+ Application for note taking
 Xournal is a GTK+ application for notetaking, sketching and
 keeping a journal using a stylus. It can also be used to
 add annotations to PDF files.

Package: xpa-tools
Description-md5: e5ee53c35802d2ee48d5f8ae1a880db2
Description-en: Tools for seamless communication between Unix programs
 The XPA messaging system provides seamless communication between many kinds
 of Unix programs, including X programs and Tcl/Tk programs. It also provides
 an easy way for users to communicate with these XPA-enabled programs by
 executing XPA client commands in the shell or by utilizing such commands in
 scripts. Because XPA works both at the programming level and the shell level,
 it is a powerful tool for unifying any analysis environment: users and
 programmers have great flexibility in choosing the best level or levels at
 which to access XPA services, and client access can be extended or modified
 easily at any time.
 .
 This package contains the additional tools.

Package: xpad
Description-md5: d775099d3f53ac7abfc924f1ce70e32d
Description-en: sticky note application for X
 This program consists of independent pad windows, each is basically a text box
 in which notes can be written. Xpad attempts to emulate the look of postit
 notes, although the look of the notes can be customized any way you like.

Package: xpaint
Description-md5: 0241d7a95217cd5801ad826003f874ad
Description-en: simple paint program for X
 XPaint is suitable for producing simple graphics. It does offer some
 advanced features such as image processing functions and gradient
 fill.
 .
 In the C Script Editor of XPaint, the External editor in the File menu
 will invoke x-terminal-emulator -e sensible-editor.
 .
 The gv package will be needed for PDF/PS reading, netpbm will be needed
 for external conversion, lpr for printing.

Package: xpaint-dev
Description-md5: 45f11a3c975329d21566905cee501daf
Description-en: simple paint program for X (development files)
 XPaint is a program suitable for producing simple graphics.  This
 package contains the headers and other development files not included
 in the main xpaint package. Install this if you wish to compile
 programs that use the libxaw3dxft library.

Package: xpat2
Description-md5: 522406e7c2f4a1c00a9babfb11c03d6e
Description-en: Generic patience game for X11
 xpat2 is a generic patience game which can be used with different rule
 sets. It does understand the rules of the well-known Spider game, as
 well as Klondike and others.
 .
 This program may have difficulties to start if you have an 8-bit or
 monochrome display.

Package: xpenguins
Description-md5: 648f693c7a18b44b5bfa4a83d583f1a6
Description-en: little penguins walk on your windows
 Ever wanted cute little penguins walking along the tops of your
 windows? Ever wanted to send an army of cute little penguins to invade
 the screen of someone else on your network? Probably not, but why not
 try this program out anyway, it's cool!

Package: xphoon
Description-md5: ccc5a762bb261f6140779d288cdc7648
Description-en: sets the root window to a picture of the moon
 xphoon sets the X root window to a picture of the moon in its current
 phase, including the partial lighting of the dark side by reflected
 earthlight. Picture is a monochrome dithered bitmap which is calculated
 via mathematical formulae. It keeps running on background updating the
 picture accordingly as time goes by.

Package: xpilot-extra
Description-md5: 294661da8b196532556a6594eeef6390
Description-en: Maps, utilities and configs for XPilot
 XPilot is a multi-player tactical manoeuvring game for X.  Each
 player controls their own fighter equipped with a variety of weapons
 and power-ups.  Players compete as teams or as individuals;  the
 object of the game is to score points by blasting the opposition,
 stealing their treasure or by racing round a circuit.
 WARNING: This game is very addictive.
 .
 This package contains all the maps available from ftp.xpilot.org
 (plus a few others), a useful command line utility for interrogating
 the metaserver and a few configuration files submitted by players to
 help get you started.

Package: xplanet
Description-md5: e57a84e70397a086809e6ea4dc86d1f5
Description-en: planetary body renderer
 Xplanet renders an image of a planet into an X window or a file. All
 of the major planets and most satellites can be drawn, and different
 map projections are also supported, including azimuthal, hemisphere,
 Lambert, Mercator, Mollweide, Peters, polyconic, and rectangular.
 .
 In order to run xplanet, you'll need at least one map file. Some maps
 are included in the xplanet-images package.

Package: xplanet-images
Description-md5: 021d53f74f4f4e634d0b9a66a1ca489c
Description-en: imagery for xplanet
 Xplanet renders an image of a planet into an X window or a file.
 .
 In order to run xplanet, you'll need at least one map file. This package
 includes some map files that can be used with xplanet.

Package: xplot
Description-md5: f0e73b0caaad486c2790473782f6a235
Description-en: simple on-screen x-y column data plotter
 XPlot is a small program to plot one- or two-dimensional datasets, which are
 present either in a file or are generated `on-the-fly' and piped to XPlot.
 XPlot lets you display one or more datasets and lets you zoom to different
 sections of the sets. Also. `blowups' of the currently shown portion of the
 data can be made.
 .
 XPlot is meant for on-screen data exploration.  It does not have a print
 button, nor is it meant for final output or publication-quality figures.

Package: xplot-xplot.org
Description-md5: beb7e295cad8f8165ffab9f075129c0a
Description-en: fast tool to graph and visualize lots of data
 xplot is a fast visualization tool for examining multiple data sets in
 parallel plots.  It supports easy zoom-in and zoom-out capabilities, and
 synchronized views into multiple data sets (with the -x, -y, and -tile
 options).

Package: xpmutils
Description-md5: ea0411509eadbac55a3fcaaded41b449
Description-en: X11 pixmap utilities
 The X PixMap image format is an extension of the monochrome X BitMap
 format specified in the X protocol, and is commonly used in traditional
 X applications.
 .
 This package provides two tools: cxpm (to check the integrity of an
 XPM file) and sxpm (to view XPM files).

Package: xpp
Description-md5: 6683ffb7084ae588b2b8753182c78cb4
Description-en: X Printing Panel
 Graphical substitute for the lp/lpr command. With xpp, you can control
 every printing option known to the CUPS print system (the cups package).
 Best results are available with usage of the appropriate PPD file for your
 printer. Each user can save their own customized printing preferences.

Package: xppaut
Description-md5: 29d69432fe7c49693a97dad985793dbf
Description-en: Phase Plane Plus Auto: Solves many kinds of equations
 XPPAUT is a tool for solving
    * differential equations,
    * difference equations,
    * delay equations,
    * functional equations,
    * boundary value problems, and
    * stochastic equations.
 .
 The code brings together a number of useful algorithms and is
 extremely portable. All the graphics and interface are written
 completely in Xlib which explains the somewhat idiosyncratic and
 primitive widgets interface.

Package: xpra
Description-md5: 90e0935f2f12bec4f49f079128ce5530
Description-en: tool to detach/reattach running X programs
 Xpra gives you the functionality of GNU Screen for X applications.
 .
 It allows the user to view remote X applications on their local machine, and
 disconnect and reconnect from the remote machine without losing the state of
 the running applications.
 .
 Unlike VNC, these applications are "rootless".  They appear as individual
 windows inside your window manager rather than being contained within a single
 window.

Package: xprintidle
Description-md5: 4afdf1ba83e348f72f3d794a11dd89ea
Description-en: Small utility that prints user's idle time in X
 xprintidle is a utility that queries the X server for the user's idle
 time and prints it to stdout (in milliseconds).

Package: xprobe
Description-md5: a123ddd3af1ec97c84979e39417658a6
Description-en: Remote OS identification
 Xprobe2 allows you to determine what operating system is running on a
 remote host. It sends several packets to a host and analyses the
 returned answers.
 .
 Xprobe2's functionality is comparable to the OS fingerprinting feature
 in nmap (written by a different Fyodor):
  - Outputs its level of confidence about the OS on the remote host.
  - Remains usable even if intermediate systems (routers, firewalls) make
    slight modifications to the packets.
  - Can list the type of intermediate device (e.g. "Linux IP masquerading").
  - Modular architecture allows new fingerprinting tests and new OS
    signatures to be added.

Package: xpuzzles
Description-md5: 333369018f11e8ac6ec18f4e228fa79e
Description-en: collection of puzzles for X (plain X version)
 The following puzzles are included:
 .
  - Rotational 3D Puzzles: xrubik, xpyraminx, xoct, xskewb, xdino, xmball
  - Sliding Block Puzzles: xcubes, xtriangles, xhexagons, xpanex
  - Combination: xbarrel, xmlink
 .
 This version was compiled without the Motif GUI widget library and thus
 shows limited user interface functionality. See xmpuzzles for the
 extended version.

Package: xqf
Description-md5: ef3568382b0b4b1f8065906f8189de26
Description-en: X-based Quake Server Browser
 XQF is a GTK+ frontend for Qstat, a tool for querying first-person
 action game servers like Quake, Unreal Tournament, etc. It displays
 information about the servers and allows configuring and launching some
 of the games from it.

Package: xqilla
Description-md5: d8aad0b8fbf38982312dec606158e073
Description-en: XQuery and XPath 2.0 command line interpreter
 XQilla is a XQuery and XPath 2 library and command line utility
 written in C++, implemented on top of the Xerces-C library. It is
 made available under the terms of the Apache License v2.
 .
 This package contains the command line xqilla utility

Package: xracer
Description-md5: aaa55dcc7a55a5e0bfeeb68c0b212a5f
Description-en: Futuristic racing game
 Xracer is a Wipeout clone, distributed under the GPL. It should be able to use
 any OpenGL 1.1 compliant library. A 3D accelerator card is required.
 .
 This is the game data and binary.
 .
 If you want to build new tracks or other game data, you may also want to
 install the xracer-tools package.

Package: xracer-tools
Description-md5: e501889291393c74fd843b5a4bee7c2a
Description-en: Futuristic racing game - developer tools
 Xracer is a Wipeout clone, distributed under the GPL. It should be able to use
 any OpenGL 1.1 compliant library. A 3D accelerator card is required.
 .
 These are a few tools to design your own game data. In order to play the game,
 you will need the xracer package.

Package: xrdp
Description-md5: 330bdf2ad3e2031a5164fd15aa25e2e9
Description-en: Remote Desktop Protocol (RDP) server
 xrdp offers a graphical login to a remote client using
 RDP (the Remote Desktop Protocol). xrdp can connect to
 a locally created X.org session with the xorgxrdp drivers,
 to a VNC X11 server, and forward to another RDP server.
 .
 xrdp accepts connections from freerdp, rdesktop, and the
 built-in terminal server / remote desktop clients of
 Microsoft Windows operating systems.
 In the xorgxrdp (which replaces X11RDP) and VNC modes,
 it provides a fully functional Linux terminal server,
 offering an X-Window desktop to the user. In the RDP
 or VNC forwarding mode, any sort of desktop can be used.

Package: xrestop
Description-md5: 485b910ad2e3fba713493a06516c2b82
Description-en: X11 server resource usage monitor
 xrestop uses the X-Resource extension to provide top(1)-like statistics
 for each connected X11 client's server-side resource usage. It is intended
 as a developer tool to aid more efficient server resource usage and debug
 server-side resource leakage.
 .
 xrestop requires the X-Resource extension, supported by XFree86 4.3 and
 above, and by the freedesktop.org X server.

Package: xringd
Description-md5: ee6406ed0069b03fe310869a26a8e6d2
Description-en: extended ring daemon - monitor phone rings and take action
 xringd will monitor a serial line for RING signals and activate various
 commands when specific "ring-delay sequences" are detected.

Package: xrootconsole
Description-md5: 11c6eb136b3c96833449a28752c2b778
Description-en: Fancy X console display
 Xrootconsole redirects its input to a transparent (and optionally
 shaded) window on the root window. It supports displaying UTF-8 and
 ANSI-colors, e.g. those generated with "ccze -A" or "loco".

Package: xsane
Description-md5: 0f073896563cfffa695f69e298c230d0
Description-en: featureful graphical frontend for SANE (Scanner Access Now Easy)
 xsane can be run as a stand-alone program or through the GIMP image
 manipulation program.  In stand-alone mode, xsane can save an image
 to a file in a variety of image formats, serve as a frontend to a
 fax program, or send an image to a printer.
 .
 SANE stands for "Scanner Access Now Easy" and is an application
 programming interface (API) that provides standardized access to any
 raster image scanner hardware (flatbed scanner, hand-held scanner,
 video- and still-cameras, frame-grabbers, etc.). The SANE standard is
 free and its discussion and development are open to everybody. The
 current source code is written to support several operating systems,
 including GNU/Linux, OS/2, Win32 and various Unices and is available
 under the GNU General Public License (commercial applications and
 backends are welcome, too, however).

Package: xsane-common
Description-md5: 8360dd3bf93c8161ec8a40360d3121a2
Description-en: xsane architecture independent files
 xsane can be run as a stand-alone program or through the GIMP image
 manipulation program.  In stand-alone mode, xsane can save an image
 to a file in a variety of image formats, serve as a frontend to a
 fax program, or send an image to a printer.
 .
 This package contains architecture-independent files needed by xsane
 (locales, help).

Package: xscavenger
Description-md5: febdea9497bd56d1d26718a25e4481c6
Description-en: Lode-runner-like platform game for X
 XScavenger is a platform game for the X Window System. From the README:
 .
 Scavenger is like Lode Runner. You've got to run around gathering objects
 while avoiding enemies. You can dig down through some of the blocks to get
 at buried objects. After you've collected everything, ladders may appear.
 To finish the level you've got to exit through the top of the screen. If
 an enemy falls into a dug brick, he is stunned for a while. If the brick
 fills in with him in it, he is killed, and he will reappear at the top of
 the screen.
 .
 This version has a level editor for designing your own levels, and a
 graphics editor for drawing your own artwork.

Package: xschem
Description-md5: c107f72dd1eb01b048cb21ebfeb0d5da
Description-en: schematic capture program
 Xschem is a schematic capture program, it allows creation of hierarchical
 representation of circuits with a top down approach. By focusing on
 interfaces, hierarchy and instance properties a complex system can be
 described in terms of simpler building blocks. A VHDL or Verilog or Spice
 netlist can be generated from the drawn schematic, allowing the simulation
 of the circuit. Key feature of the program is its drawing engine written in
 C and using directly the Xlib drawing primitives; this gives very good speed
 performance, even on very big circuits. The user interface is built with the
 Tcl-Tk toolkit, tcl is also the extension language used.

Package: xscorch
Description-md5: 7af70b168257997d12133007d7497d08
Description-en: Clone of Scorched Earth
 Xscorch is a clone of the classic DOS game, "Scorched Earth". The basic goal
 is to annihilate enemy tanks using overpowered guns :).  Basically, you buy
 weapons, you target the enemy by adjusting the angle of your turret and
 firing power, and you hope to destroy their tank before they destroy yours.

Package: xscreensaver
Description-md5: f28418049591dc7ea8833c590fe2406a
Description-en: Screensaver daemon and frontend for X11
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package includes the bare minimum needed to blank and lock your
 screen. Install this package if you want to use the xscreensaver engine
 to control screen blanking and launching display modes ("hacks"). If
 you only want to use other screensaver engines, you don't need to
 install this package.
 .
 The graphical display modes are in the xscreensaver-data,
 xscreensaver-data-extra, xscreensaver-gl and xscreensaver-gl-extra
 packages.

Package: xscreensaver-data
Description-md5: 69c16e268e5c2d578fd043420cf467de
Description-en: Screen saver modules for screensaver frontends
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package contains a small selection of graphical screen saver
 modules (listed below) from the xscreensaver collection. This package
 can be used by xscreensaver and also some other screensaver engines.
 .
 This is the selected set of non-GL screensavers shipped by default:
 abstractile, binaryring, cwaves, deco, distort, fiberlamp, fuzzyflakes,
 galaxy, hexadrop, m6502, metaballs, penrose, ripples, shadebobs,
 slidescreen, swirl, tessellimage, xlyap.
 .
 More display modes can be found in xscreensaver-data-extra,
 xscreensaver-gl and xscreensaver-gl-extra packages.

Package: xscreensaver-data-extra
Description-md5: 415ac153f66e39460a903304da98a948
Description-en: Extra screen saver modules for screensaver frontends
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package contains the rest of the 2D screen saver modules from
 the xscreensaver collection. This package can be used by
 xscreensaver and also some other screensaver engines.
 .
 This is the set of non-GL screensavers not shipped by default:
 anemone, anemotaxis, apollonian, apple2, attraction, barcode,
 blaster, blitspin, bouboule, boxfit, braid, bumps, ccurve, celtic,
 cloudlife, compass, coral, crystal, cynosure, decayscreen, deluxe,
 demon, discrete, drift, epicycle, eruption, euler2d, fadeplot,
 filmleader, fireworkx, flame, flow, fluidballs, fontglide, glitchpeg,
 goop, grav, greynetic, halftone, halo, helix, hopalong, ifs, imsmap,
 interaggregate, interference, intermomentary, julia, kaleidescope,
 kumppa, lcdscrub, ljlatest, loop, maze, memscroller, moire2, moire,
 mountain, munch, nerverot, noseguy, pacman, pedal, penetrate, petri,
 phosphor, piecewise, polyominoes, pong, pyro, qix, rd-bomb, rocks,
 rorschach, rotzoomer, sierpinski, slip, speedmine, spotlight,
 squiral, starfish, strange, substrate, thornbird, triangle, truchet,
 twang, vermiculate, vfeedback, vidwhacker, wander, whirlwindwarp,
 wormhole, xanalogtv, xflame, xjack, xmatrix, xrayswarm, xspirograph,
 zoom.
 .
 More display modes can be found in the xscreensaver-data,
 xscreensaver-gl and xscreensaver-gl-extra packages.

Package: xscreensaver-gl
Description-md5: 77c94b3990075d2bd0e76233cceef54d
Description-en: GL(Mesa) screen saver modules for screensaver frontends
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package contains a small selection of 3D (OpenGL) screen saver
 modules from the xscreensaver collection. This package can be used by
 xscreensaver and also some other screensaver engines.
 .
 This is the set of GL screensavers shipped by default:
 antinspect, antspotlight, atunnel, blinkbox, bubble3d, circuit,
 cityring, crumbler, cubestack, cubestorm, cubetwist, discoball,
 dymaxionmap, endgame, energystream, engine, esper, flipflop,
 flipscreen3d, flyingtoasters, gears, geodesic, geodesicgears, gflux,
 glblur, glcells, gleidescope, glknots, glmatrix, glschool,
 glslideshow, glsnake, gltext, hexstrut, hydrostat, hypertorus,
 jigglypuff, kaleidocycle, lavalite, lockward, maze3d, mirrorblob,
 moebius, moebiusgears, molecule, morph3d, peepers, pipes, polyhedra,
 polytopes, projectiveplane, pulsar, quasicrystal, queens, raverhoop,
 razzledazzle, romanboy, sierpinski3d, sonar, spheremonics, splitflap,
 splodesic, stonerview, superquadrics, topblock, unicrud, vigilance,
 voronoi, winduprobot.
 .
 More display modes can be found in the xscreensaver-data,
 xscreensaver-data-extra and xscreensaver-gl-extra packages.

Package: xscreensaver-gl-extra
Description-md5: f9c3544c29eb8b1a47c13c9c40437843
Description-en: Extra GL(Mesa) screen saver modules for screensaver frontends
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package contains the rest of the 3D (OpenGL) screen saver
 modules from the xscreensaver collection. This package can be used by
 xscreensaver and also some other screensaver engines.
 .
 This is the set of GL screensavers not shipped by default with
 xscreensaver-gl:
 antmaze, atlantis, blocktube, boing, bouncingcow, boxed, cage,
 carousel, companioncube, crackberg, cube21, cubenetic, cubicgrid,
 dangerball, extrusion, fliptext, flurry, glhanoi, glplanet, handsy,
 hilbert, hypnowheel, jigsaw, juggler3d, klein, lament, menger, noof,
 photopile, pinion, providence, rubik, rubikblocks, sballs, skytentacles,
 sproingies, stairs, starwars, surfaces, tangram, timetunnel, tronbit,
 unknownpleasures.
 .
 More display modes can be found in the xscreensaver-data,
 xscreensaver-data-extra and xscreensaver-gl packages.

Package: xscreensaver-screensaver-bsod
Description-md5: 5121b9ed12328a3984b2b9690b68a805
Description-en: BSOD screen saver module from XScreenSaver
 This package ships the mode BSOD that shows the popular Blue Screens of Death
 from several OSes including BSD, Windows, Linux, Solaris, Apple and much more.
 .
 WARNING: This screensaver could be confused with a real BSOD and could lead an
 user to reboot the machine with consequences like data loss.

Package: xscreensaver-screensaver-dizzy
Description-md5: 727d50e1548a9dca90dbf5b09d7e666c
Description-en: Graphics demo that makes you dizzy (XScreenSaver hack)
 dizzy is a graphics demo that rotates planes of patterns on a colored
 background to make you dizzy. Textures can be cross-faded and there is a mode
 that automatically changes textures, allowing dizzy to be run as a
 screensaver.
 .
 This package integrates dizzy with XScreenSaver, but it cannot be done
 automatically. See /usr/share/doc/xscreensaver-screensaver-dizzy/README.Debian
 for details on the configuration.

Package: xscreensaver-screensaver-webcollage
Description-md5: 98b8867f7f079391ec91896cc6ea46c5
Description-en: Webcollage screen saver module from XScreenSaver
 This package ships the mode webcollage which displays images from random
 web searches on the Internet.
 .
 WARNING: The Internet being what it is, absolutely anything might show up
 in the collage of search results including -- quite possibly -- pornography,
 or even nudity. Please act accordingly.

Package: xsdcxx
Description-md5: 576f0b569dfbc5b1cb8f0da0343c6d98
Description-en: XML Data Binding for C++
 CodeSynthesis XSD is an open-source, cross-platform W3C XML Schema to
 C++ data binding compiler. Provided with an XML instance specification
 (XML Schema), it generates C++ classes that represent the given
 vocabulary as well as parsing and serialization code.
 You can then access the data stored in XML using types and functions
 that semantically correspond to your application domain rather than
 dealing with intricacies of reading and writing XML.

Package: xsddiagram
Description-md5: 7be77fb250abfc4221c2dd72b27648f2
Description-en: XML Schema Definition (XSD) diagram viewer
 XSD Diagram is a XML Schema Definition (XSD) diagram viewer
 .
 Features:
  - Display the elements, the groups and the attributes
  - Show the text/HTML documentation of element and attribute when available
  - Print the diagram
  - Export the diagram to SVG, PNG, JPG and EMF (EMF only with Windows)
  - Zoom the diagram with the mouse wheel while holding the control key
  - XML validation based on the loaded XSD file
  - Registration in the Windows Explorer contextual menu (for Windows only)
  - Drag'n drop a xsd file or url on the main window header
  - Command line image generation

Package: xsecurelock
Description-md5: 56bbd195527aecc778ec456ebfe94dbb
Description-en: X11 screen lock utility with the primary goal of security
 Screen lock utilities are widespread. However, in the past they often had
 security issues regarding authentication bypass (a crashing screen locker
 would unlock the screen), information disclosure (notifications may appear on
 top of the screen saver), or sometimes even worse.
 .
 In XSecureLock, security is achieved using a modular design to avoid the usual
 pitfalls of screen locking utility design on X11.

Package: xsel
Description-md5: 1b3da90ee371818f1298d56f0ca8017a
Description-en: command-line tool to access X clipboard and selection buffers
 XSel is a command-line program for getting and setting the contents of
 the X selection.  It can also append and have it follow a growing file
 (similar to tail -f).

Package: xsensors
Description-md5: b155013dc5e2111a89f98dcb026a39da
Description-en: hardware health information viewer
 xsensors reads data from the libsensors library regarding hardware health such
 as temperature, voltage and fan speed and displays the information in a digital
 read-out.

Package: xserver-xephyr-hwe-18.04
Description-md5: 7e5657470f51a5980e2b949d257593dc
Description-en: Transitional package for xserver-xephyr-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-core-hwe-18.04
Description-md5: 61cf151a04e0f0c47f4a50291b59349d
Description-en: Transitional package for xserver-xorg-core-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-dev-hwe-18.04
Description-md5: b7b43eb06417691ffd39ccde83e9ef85
Description-en: Transitional package for xserver-xorg-dev-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-hwe-18.04
Description-md5: 2084bedd24ff177f4adb71003dfed743
Description-en: Transitional package for xserver-xorg-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-all-hwe-18.04
Description-md5: b991f90fd1f6917ce13effdfeee11cc7
Description-en: Transitional package for xserver-xorg-input-all-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-evdev
Description-md5: 31de8b9a43f10be01a9c9a8d0805f00a
Description-en: X.Org X server -- evdev input driver
 This package provides the driver for input devices using evdev, the Linux
 kernel's event delivery mechanism.  This driver allows for multiple keyboards
 and mice to be treated as separate input devices.
 .
 This package is built from the X.org xf86-input-evdev driver module.

Package: xserver-xorg-input-evdev-dbg
Description-md5: 0fa0bb33c7d0457ceed0d5dc40a212e1
Description-en: X.Org X server -- evdev input driver (debug symbols)
 This package provides the development headers for the evdev input driver
 found in xserver-xorg-input-evdev. Non-developers likely have little use
 for this package.
 .
 This package is built from the X.org xf86-input-evdev driver module.
 .
 This package provides debugging symbols for this Xorg X driver.

Package: xserver-xorg-input-evdev-dev
Description-md5: b291128a75b9290de45fc73147113fba
Description-en: X.Org X server -- evdev input driver (development headers)
 This package provides the development headers for the evdev input driver
 found in xserver-xorg-input-evdev. Non-developers likely have little use
 for this package.
 .
 This package is built from the X.org xf86-input-evdev driver module.

Package: xserver-xorg-input-evdev-dev-hwe-18.04
Description-md5: 645e6a4e3bfc0796c0e21cea7a6bc315
Description-en: Transitional package for xserver-xorg-input-evdev-dev-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-evdev-hwe-18.04
Description-md5: 3bbfdd81fe3beb8670851772feafd9dd
Description-en: Transitional package for xserver-xorg-input-evdev-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-evdev-hwe-18.04-dbg
Description-md5: 0ee6a56b252506cddfde65d7d96d8e7b
Description-en: Transitional package for xserver-xorg-input-evdev-hwe-18.04-dbg
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-joystick
Description-md5: 46c1a7824699f25d846bd16af51c1cff
Description-en: X.Org X server -- joystick input driver
 This package provides the driver for joysticks.
 .
 More information about X.Org can be found at:
 <URL:http://www.X.org>
 .
 This package is built from the X.org xf86-input-joystick driver module.

Package: xserver-xorg-input-joystick-dev
Description-md5: f78556dd31ebdf971e9dc48d1682380f
Description-en: X.Org X server -- joystick input driver (development headers)
 This package provides the development headers for the joystick input
 driver found in xserver-xorg-input-joystick. Non-developers likely have
 little use for this package.
 .
 More information about X.Org can be found at:
 <URL:http://www.X.org>
 .
 This package is built from the X.org xf86-input-joystick driver module.

Package: xserver-xorg-input-joystick-dev-hwe-18.04
Description-md5: 12c26a31c068c3e9223e613f61171f21
Description-en: Transitional package for xserver-xorg-input-joystick-dev-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-joystick-hwe-18.04
Description-md5: 7b9c39ae59d0ab9087f3b862d01b40c9
Description-en: Transitional package for xserver-xorg-input-joystick-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-kbd
Description-md5: ff627827d2e5ea383fd7d1fdbc11d852
Description-en: X.Org X server -- keyboard input driver
 This package provides the driver for keyboard input devices.
 .
 More information about X.Org can be found at:
 <URL:http://www.X.org>
 .
 This package is built from the X.org xf86-input-keyboard driver module.

Package: xserver-xorg-input-libinput-dev-hwe-18.04
Description-md5: f6f1236ab6e5d9efcad18096c413f820
Description-en: Transitional package for xserver-xorg-input-libinput-dev-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-libinput-hwe-18.04
Description-md5: 431cc0300ab7d10daf9498b1a42eae37
Description-en: Transitional package for xserver-xorg-input-libinput-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-mouse
Description-md5: 6f2ede8f1a9c0661e53c621f80a340c7
Description-en: X.Org X server -- mouse input driver
 This package provides the driver for mouse input devices.  It is mainly used
 on non-Linux operating systems such as BSD and Solaris. Most
 configurations on Linux will use evdev, the kernel's event delivery
 mechanism, and do not need this package.
 .
 See the inputattach package if your mouse is not automatically
 detected by the Linux kernel, for example because it is a serial mouse.
 .
 More information about X.Org can be found at:
 <URL:http://www.X.org>
 .
 This package is built from the X.org xf86-input-mouse driver module.

Package: xserver-xorg-input-mtrack
Description-md5: bc940452779b159f95ae8b76ed85a15e
Description-en: Multitouch X input driver
 This X input driver provides gestures support for multitouch touchpads,
 in particular those with integrated button.
 .
 This fork from xf86-input-multitouch. Detailed setting of InputClass
 section comes to be possible in comparison with xf86-input-multitouch.

Package: xserver-xorg-input-mtrack-hwe-18.04
Description-md5: dd2e503ae2384a2ede9d73dcff968993
Description-en: Transitional package for xserver-xorg-input-mtrack-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-multitouch
Description-md5: 9c42ac3715bb2a44514c7c4afd7a2b10
Description-en: Multitouch X input driver
 This X input driver provides gestures support for multitouch touchpads,
 in particular those with integrated button.

Package: xserver-xorg-input-synaptics
Description-md5: 6f7a84d9a52f4dc44fd0ad7cc265853b
Description-en: Synaptics TouchPad driver for X.Org server
 This package provides an input driver for the X.Org X server to enable
 advanced features of the Synaptics Touchpad including:
 .
  * Movement with adjustable, non-linear acceleration and speed
  * Button events through short touching of the touchpad
  * Double-Button events through double short touching of the touchpad
  * Dragging through short touching and holding down the finger on the touchpad
  * Middle and right button events on the upper and lower corner of the touchpad
  * Vertical scrolling (button four and five events) through moving the finger
    on the right side of the touchpad
  * The up/down button sends button four/five events
  * Horizontal scrolling (button six and seven events) through moving the finger
    on the lower side of the touchpad
  * The multi-buttons send button four/five events, and six/seven events for
    horizontal scrolling
  * Adjustable finger detection
  * Multifinger taps: two finger for middle button and three finger for right
    button events. (Needs hardware support. Not all models implement this
    feature.)
  * Run-time configuration using shared memory. This means you can change
    parameter settings without restarting the X server (see synclient(1)).
  * It also provides a daemon to disable touchpad while typing at the keyboard
    and thus avoid unwanted mouse movements (see syndaemon(1)).

Package: xserver-xorg-input-synaptics-dev
Description-md5: 95eb93bc0953189d6cf98a189b43a0ca
Description-en: Synaptics TouchPad driver for X.Org server (development headers)
 This package contains the development headers for the Synaptics input
 driver found in xserver-xorg-input-synaptics. Non-developers likely have
 little use for this package.

Package: xserver-xorg-input-synaptics-dev-hwe-18.04
Description-md5: d1de27a7dd76c88372bfa1445a53f543
Description-en: Transitional package for xserver-xorg-input-synaptics-dev-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-synaptics-hwe-18.04
Description-md5: a68411e7b7f88bee81688fa9bb13327a
Description-en: Transitional package for xserver-xorg-input-synaptics-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-void
Description-md5: c1f2dfee72e5d90aa9febdfaa8f146c1
Description-en: X.Org X server -- void input driver
 This package provides the void input driver, which never actually registers
 any input events -- it is, as such, a 'fake' driver.
 .
 More information about X.Org can be found at:
 <URL:http://www.X.org>
 .
 This package is built from the X.org xf86-input-void driver module.

Package: xserver-xorg-input-wacom-hwe-18.04
Description-md5: 5db18676c9b80b7bd1053a801907f6ee
Description-en: Transitional package for xserver-xorg-input-wacom-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-input-xwiimote
Description-md5: cc60c05177d1506b0b2d152e62e60c82
Description-en: X.Org Wii remote input driver
 This includes an X.Org input driver for Nintendo Wii Remotes
 based on XWiimote.

Package: xserver-xorg-legacy-hwe-18.04
Description-md5: 79bd167ef52db905a0565cef84b9082f
Description-en: Transitional package for xserver-xorg-legacy-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-all-hwe-18.04
Description-md5: 5f32521d3b8ee513954b944fabac7aae
Description-en: Transitional package for xserver-xorg-video-all-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-amdgpu-hwe-18.04
Description-md5: 2dcdf586965ba110544d9b2786e918bc
Description-en: Transitional package for xserver-xorg-video-amdgpu-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-ati-hwe-18.04
Description-md5: a9b903cc70134df9e71aea734ad4944d
Description-en: Transitional package for xserver-xorg-video-ati-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-dummy-hwe-18.04
Description-md5: 36b20d35285c3a8c68a33a889b2cb8d2
Description-en: Transitional package for xserver-xorg-video-dummy-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-fbdev-hwe-18.04
Description-md5: 1fd5e6a21291b94d42ce14fa2f65f26b
Description-en: Transitional package for xserver-xorg-video-fbdev-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-intel-hwe-18.04
Description-md5: f0e79bf2e24f4cbdc0d04541709651f8
Description-en: Transitional package for xserver-xorg-video-intel-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-mga
Description-md5: 9e03c6239df4004a61d1e9cd0ebe535b
Description-en: X.Org X server -- MGA display driver
 This package provides the driver for the Matrox MGA family of chipsets,
 including Matrox Millennium and Mystique cards.
 .
 This package is built from the X.org xf86-video-mga driver module.

Package: xserver-xorg-video-nouveau-hwe-18.04
Description-md5: 3c3c584eff72f5d0931d6579b6f4ebac
Description-en: Transitional package for xserver-xorg-video-nouveau-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-openchrome
Description-md5: eb7e8a0af39146be33a143f7aa500d95
Description-en: X.Org X server -- OpenChrome display driver
 This package provides the 'openchrome' driver for the VIA Technologies
 UniChrome and Chrome9 IGPs chipsets. The following chips should be
 supported: CLE266, KM400(A), KN400(A), P4M800, K8M800, K8N800, PM800,
 PN800, PM880, CN333, CN400, P4M800 Pro, VN800, CN700, CX700, VX700,
 P4M890, VN890, CN800, K8M890, K8N890, P4M900, VN896, CN896, VX800, VX820,
 VX855, VX875, VX900.
 .
 This package is built from the FreeDesktop.org xf86-video-openchrome driver.

Package: xserver-xorg-video-openchrome-hwe-18.04
Description-md5: 246272d061d8b49356a41472f0694d94
Description-en: Transitional package for xserver-xorg-video-openchrome-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-qxl-hwe-18.04
Description-md5: 7d154320dec9f1a0dd0ddaf9271cb4ce
Description-en: Transitional package for xserver-xorg-video-qxl-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-radeon-hwe-18.04
Description-md5: e2b719eb6bebb6f9b214f1af2fa0dd7b
Description-en: Transitional package for xserver-xorg-video-radeon-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-vesa-hwe-18.04
Description-md5: 37167d37c736a69160c25122033ee600
Description-en: Transitional package for xserver-xorg-video-vesa-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xorg-video-vmware-hwe-18.04
Description-md5: 661afb1d84954d1f12ded2f9b6a143bf
Description-en: Transitional package for xserver-xorg-video-vmware-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xserver-xspice
Description-md5: 67b8ba3a04acd461e301e3ba4a166346
Description-en: Xspice X server
 Xspice is an X server and Spice server in one. It consists of a wrapper script
 for executing Xorg with the right parameters and environment variables, a
 module names spiceqxl_drv.so implementing three drivers: a video mostly
 identical code to the guest qxl X driver, and keyboard and mouse reading from
 the spice inputs channel.
 .
 Xspice allows regular X connections, while a spice client provides the keyboard
 and mouse and video output.

Package: xserver-xspice-hwe-18.04
Description-md5: 8bb2d82c7f561fc3d16dd87c40187d1c
Description-en: Transitional package for xserver-xspice-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xsettingsd
Description-md5: 7b9a9f2c8c42bae0f357cc3e2dd0fcfe
Description-en: Provides settings to X11 applications
 xsettingsd is a daemon that implements the XSETTINGS specification.
 It is intended to be small, fast, and minimally dependent on other
 libraries. It can serve as an alternative to gnome-settings-daemon
 for users who are not using the GNOME desktop environment but who
 still run GTK+ applications and want to configure things such as
 themes, font antialiasing/hinting, and UI sound effects.

Package: xshisen
Description-md5: 021b6e56892470854f6009793b856522
Description-en: Shisen-sho puzzle game for X11
 XShisen is a puzzle game for X11.  Similar to the famous "Shang-hai", your
 objective is to remove all the pieces on the board.
 .
 This game allows you to use either "original" xshisen images, or - at your
 choice - images from kshisen.

Package: xshogi
Description-md5: 745f0a20eae81a4dd758bfe0ddd4e22c
Description-en: X11 frontend to gnushogi
 Gnushogi is a computer player for Shogi (Japanese chess).
 .
 XShogi provides an X Window interface to play Shogi against gnushogi,
 and can also be used as an interface for a game between two humans on
 the same computer.

Package: xskat
Description-md5: 796cfe9bb166db43443b2e13f8782bd0
Description-en: 3-player card game "Skat"
 Xskat lets you play the card game Skat as defined by the official
 German "Skatordnung".
 .
 You can play by sending a window to the other player's X display, or
 via an IRC server. The computer can also simulate players.
 .
 Many unofficial rules like "Ramsch" or "Bock" are supported.

Package: xsok
Description-md5: d842d405a99632bd748bb70a2e8376b6
Description-en: generic Sokoban game for X11
 xsok is a single player strategic game, a superset of the
 well-known Sokoban game.
 .
 The target of Sokoban is to push all the objects into the
 score area of each level using the mouse or the arrow
 keys. For the other level subsets, there are different
 kinds of objects, and special effect squares.

Package: xsol
Description-md5: de0a45ca6deafa6b7d24baaf9893789a
Description-en: Solitaire game for the X Window system
 This is a simple Motif/Lesstif version of the classic solitaire game:
 Klondike Solitaire.
 .
 This version of the game runs under X Window System.

Package: xsoldier
Description-md5: 39f13a014d5d9dbfd8dbec29018b3bf7
Description-en: shoot 'em up game with the "not shooting" bonus
 You control a space ship in a vertically scrolling window and shoot
 enemies.  If you kill the boss enemy which appears at the end of each stage,
 or if you can survive till the boss enemy gets bored with you and escapes,
 you can go to the next stage.
 .
 xsoldier has a unique feature --- the "not shooting" bonus.  You can get
 a pretty good score by not pressing the shoot button.  After all, if the
 game is a simple kill-everything and shooting is always a good thing, why
 do you have to press a shoot button?  You can play xsoldier as a simple
 kill-everything (and it is the best way to survive), but if you care your
 score, you need to kill all enemies with a minimum number of bullets.

Package: xss-lock
Description-md5: e33b1aaaa534ae890c20776f2f2b9fc2
Description-en: invoke external screen lock in response to XScreenSaver events
 Utility to listen for XScreenSaver (XSS) and login manager events and call
 out to an external screen locker in order to lock the screen.

Package: xssproxy
Description-md5: 4934355541f985d96ea9644b65395005
Description-en: Forward freedesktop.org Idle Inhibition Service calls to Xss
 xssproxy implements the org.freedesktop.ScreenSaver D-Bus interface described
 in the Idle Inhibition Service Draft by the freedesktop.org developers. The
 inhibition of the screensaver is then controlled using the XScreenSaverSuspend
 function from the Xss (X11 Screen Saver extension) library.
 .
 This package is useful when using a lightweight window manager with the X
 built-in screensaver. For example Firefox uses the org.freedesktop.ScreenSave
 D-Bus interface to disable the screensaver when playing videos. This package
 implements that interface and disables the X built-in screensaver in that
 case. A possible use case is to install this package with the xmonad window
 manager and the display locker tools xssstate and slock included in the
 suckless-tools package. All the major full-featured desktop environments like
 GNOME come with a built-in implementation of the beforementioned D-Bus
 interface and thus make no sense to be used with this package.

Package: xstarfish
Description-md5: 4af74bfa6386adc9639311dec9592195
Description-en: X wallpaper generator
 XStarfish generates colourful, tiled images for your background using random
 numbers fed through mathematical functions. It does not use source image
 files, so it can generate its images nearly forever without running out of
 material.

Package: xstow
Description-md5: 764a08756bfdcec7b3d41e06a795e072
Description-en: Extended replacement of GNU Stow
 XStow (like GNU Stow) is a program for managing the installation of
 software packages, keeping them separate (/usr/local/stow/emacs
 vs. /usr/local/stow/perl, for example) while making them appear
 to be installed in the same place (/usr/local).
 .
 The extended features include: creation of symlinks with absolute
 path names, traversal link support (create a link from
 /usr/share/man to /usr/man), multiple stow directories support,
 shell pattern matching, regular expressions support, and global
 settings via configuration files.

Package: xsunpinyin
Description-md5: 5ee6d42663d3eab27ee37fcaaa86031d
Description-en: Standalone XIM server for Sunpinyin
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package provides a XIM server with SunPinyin input method.

Package: xsynth-dssi
Description-md5: c94fa20e8dbb5ce0264dd5d3f6d0f158
Description-en: classic-analog (VCOs-VCF-VCA) style software synthesizer
 The xsynth-dssi  package contains the Xsynth-DSSI plugin, a classic-analog
 (VCOs-VCF-VCA) style software synthesizer with an editor GUI. Xsynth-DSSI was
 written by Sean Bolton, and was based on Steve Brooke's Xsynth code, but has
 since acquired polyphonic operation, band-limited oscillators, a better
 filter mode, and velocity-sensitive envelopes.
 .
 DSSI is an API for audio processing plugins, particularly useful for software
 synthesis plugins with user interfaces.

Package: xsysinfo
Description-md5: 579de97422ed9e31566946dfceb9d8e8
Description-en: display some Linux kernel parameters in graphical form
 This X client is like a mix of top, free and xload with the difference that
 the values are shown in form of a horizontal bar.  The displayed values are:
 CPU load, CPU idle, memory and swap sizes.

Package: xsystem35
Description-md5: e6f00f2e52ddf87fe9b40ed250343188
Description-en: System 3.5 games engine for X Window System
 xsystem35 is a free implementation of System 3.5, a games engine created
 by Alice Soft. It is able to run many existing System 3.5 games.

Package: xtables-addons-common
Description-md5: c81e3eaa1a348af85eba97ec0db4cb5e
Description-en: Extensions targets and matches for iptables [tools, libs]
 Xtables-addons provides extra modules for iptables not present in the
 kernel, and is the successor of patch-o-matic.
 Extensions includes new targets like TEE, TARPIT, CHAOS, or modules like
 geoip and account.
 .
 This package provides the userspace libraries for iptables to use extensions
 in the xtables-addons-modules package.
 .
 Note: this package is only useful with a corresponding xtables-addons-dkms
 package, which you may produce with module-assistant:
 .
   module-assistant auto-install xtables-addons-source

Package: xtables-addons-dkms
Description-md5: 9d1b1393e89def1795aa44a5fabb7306
Description-en: Extensions targets and matches for iptables
 Xtables-addons provides extra modules for iptables not present in the
 kernel, and is the successor of patch-o-matic.
 Extensions includes new targets like TEE, TARPIT, CHAOS, or modules like
 geoip and account.
 .
 The dkms package will automatically compile the driver for your current
 kernel version.

Package: xtables-addons-source
Description-md5: 3fdf075ea16dc6bc5c3702e7241e81f0
Description-en: Extensions targets and matches for iptables [modules sources]
 Xtables-addons provides extra modules for iptables not present in the
 kernel, and is the successor of patch-o-matic.
 Extensions includes new targets like TEE, TARPIT, CHAOS, or modules like
 geoip and account.
 .
 This package provides the source code for the xtables-addons kernel modules.
 The xtables-addons package is also required in order to make use of these
 modules. Kernel source or headers are required to compile these modules.

Package: xtail
Description-md5: 9aa631c9d9da2fabcc414190df0572f0
Description-en: like "tail -f", but works on truncated files, directories, more
 xtail watches the growth of files.  It's like running a "tail -f" on
 a bunch of files at once.  It notices if a file is truncated and starts
 from the beginning.  You can specify both filenames and directories on
 the command line.  If you specify a directory, it watches all the files
 in that directory.  It will notice when new files are created (and
 start watching them) or when old files are deleted (and stop watching
 them).
 .
 Note that xtail isn't a graphical (X11) program, it runs on a plain tty.
 The name likely comes from "eXtended tail" or such.

Package: xteddy
Description-md5: 3486734a114efb044fb40482d98d96ed
Description-en: cuddly teddy bear (or other image) for your X desktop
 Normally, xteddy just sits around doing nothing. After all, that's what
 teddy bears are for. Look at him, talk to him, place heavy windows on
 top of him, zap him around until he becomes dizzy, do what you like;
 he will always be your true (albeit virtual) friend.
 Now you can load other pixmaps than the nice teddy, too.

Package: xtel
Description-md5: 30b8026dcd884d5a13afa0052d3e1ef3
Description-en: X emulator of the French Minitel
 This is a lesstif Minitel client that runs on color/black and white
 X Display and a xteld daemon that can make Minitel connection with
 one or more modems.
 .
 The Minitel is a dedicated terminal for accessing the Teletel,
 the French videotex network.
 Thus, this package is almost only for French users.
 This package now supports the 3622 I-Minitel protocol
 (more information on http://www.i-minitel.com).

Package: xterm
Description-md5: c1e47d60a01948be9aae7a2a4f63a0fe
Description-en: X terminal emulator
 xterm is a terminal emulator for the X Window System.  It provides DEC VT102
 and Tektronix 4014 compatible terminals for programs that cannot use the
 window system directly.  This version implements ISO/ANSI colors and most of
 the control sequences used by DEC VT220 terminals.
 .
 This package provides four commands: xterm, which is the traditional
 terminal emulator; uxterm, which is a wrapper around xterm that is
 intelligent about locale settings (especially those which use the UTF-8
 character encoding), but which requires the luit program from the x11-utils
 package; koi8rxterm, a wrapper similar to uxterm for locales that use the
 KOI8-R character set; and lxterm, a simple wrapper that chooses which of the
 previous commands to execute based on the user's locale settings.
 .
 A complete list of control sequences supported by the X terminal emulator
 is provided in /usr/share/doc/xterm.
 .
 The xterm program uses bitmap images provided by the xbitmaps package.
 .
 Those interested in using koi8rxterm will likely want to install the
 xfonts-cyrillic package as well.

Package: xtermcontrol
Description-md5: 1b20df263a13e0de05cc8e7d9579f6a0
Description-en: dynamic configuration of xterm properties
 xtermcontrol is an utility to dynamically query and modify xterm
 properties, making it easy to query and change colors, title, font and
 geometry of a running xterm.  Window manipulations such as de-/iconify,
 raise/lower, maximize/restore and reset are also supported.
 .
 xtermcontrol also lets advanced users issue any xterm control sequence.

Package: xtermset
Description-md5: b72b2358c69de1ec5bb43c550e0b862a
Description-en: change the characteristics of an xterm
 xtermset allows you to change the characteristics, such as title and
 geometry, of an xterm window from the command line. Most options have
 the same names as those that you would give xterm at startup.

Package: xtide
Description-md5: a20b6250420734f5135059ba03d04727
Description-en: provides tide and current predictions
 XTide is a package that provides tide and current predictions in a wide
 variety of formats. Graphs, text listings, and calendars can be generated,
 or a tide clock can be provided on your desktop.
 .
 XTide can work with the X window system, plain text terminals, or the
 web. This is accomplished with three separate programs: the interactive
 interface (xtide), the non-interactive or command line interface (tide),
 and the web interface (xttpd).
 .
 The algorithm that XTide uses to predict tides is used by the National
 Ocean Service in the U.S. It is significantly more accurate than the
 simple tide clocks that can be bought in novelty stores. However, it takes
 more to predict tides accurately than just a spiffy algorithm -- data are
 required for every tidal prediction location.  This package provides a
 sample data set for only one location so you can try out the package, but
 anything useful requires the data packaged in the xtide-data package (or
 downloaded from the XTide ftp site).

Package: xtide-coastline
Description-md5: d3be1bc1d64ac4ca019fd604df087a4f
Description-en: coastline data for xtide
 XTide is a package that provides tide and current predictions in a wide
 variety of formats.  This package enables the xtide package to display the
 world's coastlines (World Vector Shoreline data) when displaying the Earth
 to select tidal stations.
 .
 Works automatically with xtide 2.9.5-2; See README.Debian if using an earlier
 version.

Package: xtide-data
Description-md5: 393f719bb6cb96075f2cdc2fdebbf849
Description-en: Harmonics data for xtide
 XTide is a package that provides tide and current predictions in a wide
 variety of formats. Graphs, text listings, and calendars can be generated,
 or a tide clock can be provided on your desktop.
 .
 This package provides the harmonics data used by the xtide package to
 display tidal information for most parts of the world.  The data are
 packaged separately from xtide because they may be updated separately.
 .
 Some data omitted from the upstream harmonics file because of restrictions
 on commercial distribution and were included in the non-free package
 xtide-data-nonfree.

Package: xtightvncviewer
Description-md5: 3683efc64a127234958fc64dbbd3b31f
Description-en: virtual network computing client software for X
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 It is implemented in a client/server model. This package provides a client
 for X, with this you can connect to a vncserver somewhere in the network
 and display its content in a window. There are vncserver available for other
 operating systems.
 .
 The difference between the xtightvncviewer and the normal vncviewer is the
 data encoding, optimized for low bandwidth connections. If the client do not
 support jpeg or zlib encoding it can use the default one. Later versions of
 xvncviewer (> 3.3.3r2) support a new automatic encoding that should be equally
 good as the tightvnc encoding.

Package: xtitle
Description-md5: f097f45c5524ebd209b1c2eb1f87bc0f
Description-en: set window title and icon name for X terminal
 Xtitle sets the window title and/or the icon name of the terminal
 window in which it is run to be its command line arguments. It does
 this by outputting the appropriate xterm(1) control sequence charac
 characters. Unless messages are suppressed, xtitle will also report the
 settings it has made.
 .
 Note: In order to be able to change the terminal's title, the
 terminal must support ANSI escape codes.

Package: xtl-dev
Description-md5: ed547be2154acc9fc95cea09c7ce5585
Description-en: basic tools (containers, algorithms) used for xtensor and xeus
 This package contains additional containers and tools missing from
 STL C++14. This library is header-only.

Package: xtrace
Description-md5: 13e6fec4d3d9392d97b7f477413c04de
Description-en: trace communication between X client and server
 What strace is for system calls, xtrace is for X11 connections:
 you hook it between one or more X11 clients and an X server and
 it prints the requests going from client to server and the replies,
 events and errors going the other way.

Package: xtrkcad
Description-md5: 464530a33e7b501132e66bb8235edb91
Description-en: CAD program for designing model railroad layouts
 With XTrackCAD you can:
  Design layouts in any scale and gauge
  Use the predefined libraries for many popular brands of turnouts to
  help you get started easily
  Add your own favorite components
  Manipulate track much like you would with actual flex-track to modify
  extend and join tracks and turnouts
  Test your design by running trains, including picking them up and moving
  them with the mouse.
  At any point you can print the design in a scale of your choice. When
  printed in 1:1 scale the printout can be used as a template for laying
  the track to build your dream layout.
  Learning XTrackCAD is made easy with the extensive on-line help and
  demonstrations.

Package: xtrkcad-common
Description-md5: 5aecddd98c852a00c19ff060b4e46420
Description-en: CAD program for designing model railroad layouts (common files)
 With XTrackCAD you can:
  Design layouts in any scale and gauge
  Use the predefined libraries for many popular brands of turnouts to
  help you get started easily
  Add your own favorite components
  Manipulate track much like you would with actual flex-track to modify
  extend and join tracks and turnouts
  Test your design by running trains, including picking them up and moving
  them with the mouse.
  At any point you can print the design in a scale of your choice. When
  printed in 1:1 scale the printout can be used as a template for laying
  the track to build your dream layout.
  Learning XTrackCAD is made easy with the extensive on-line help and
  demonstrations.
 .
 This package contains the demo, examples, parameter and the help files.

Package: xtrlock
Description-md5: d1afec1dfa132a461bc6c2979129379e
Description-en: Minimal X display lock program
 xtrlock is a very minimal X display lock program, which uses nothing
 except the Xlib library. It doesn't obscure the screen, it is
 completely idle while the display is locked and you don't type at it,
 and it doesn't do funny things to the X access control lists.

Package: xtron
Description-md5: 43878be5db1905df18232cc2753078ad
Description-en: Tron game for X11
 Xtron is a simple one or two player version of the old classic TRON. The game
 is simple: avoid running into walls, your own tail, and that of your opponent.

Package: xttitle
Description-md5: 53886216310367ae3fcd28ed533259a1
Description-en: Changes X terminal emulator window titles
 This is a small program that generates escape sequences to change the title
 of terminal emulator windows under X. It should work with any program that
 emulates an xterm-like terminal.

Package: xtv
Description-md5: e1ec5172dd51f1549c61f416394909a6
Description-en: View the screen of a remote X11 display
 View a remote X11 display in a window on your own display, in a
 continuously updating fashion.  Just like watching the remote
 display on television!

Package: xubuntu-artwork
Description-md5: 8b2534715225c4451313156e5bb17fce
Description-en: Xubuntu themes and artwork
 This package contains the Xubuntu themes and artwork. Including themes
 are the GTK and icon themes from the Shimmer Project, as well as Xubuntu
 application themes. Xubuntu artwork consists of wallpapers and boot
 backgrounds.

Package: xubuntu-community-wallpapers
Description-md5: d4ab145b97e3d5619339113c79791059
Description-en: Xubuntu community wallpapers
 This package is a metapackage for the latest
 wallpapers from the Xubuntu community contest.

Package: xubuntu-community-wallpapers-bionic
Description-md5: 99aeba599d3756d7d3fcfc95315c7384
Description-en: Xubuntu community wallpapers (Bionic)
 This package contains the wallpapers from the
 Xubuntu 18.04 community contest.

Package: xubuntu-community-wallpapers-focal
Description-md5: fb13121a829afe72371b11e5903dda74
Description-en: Xubuntu community wallpapers (Focal)
 This package contains the wallpapers from the
 Xubuntu 20.04 community contest.

Package: xubuntu-community-wallpapers-trusty
Description-md5: dbc4698c2dd4ea80aee920610afabb6d
Description-en: Xubuntu community wallpapers (Trusty)
 This package contains the wallpapers from the
 Xubuntu 14.04 community contest.

Package: xubuntu-community-wallpapers-xenial
Description-md5: db4075ad5df31a10c395e4311fb7e478
Description-en: Xubuntu community wallpapers (Xenial)
 This package contains the wallpapers from the
 Xubuntu 16.04 community contest.

Package: xubuntu-core
Description-md5: d0a0dd692ab065db5ff76a6913cd5ff8
Description-en: Xubuntu core system
 This package depends on the core set of a functional Xubuntu desktop system
 .
 It is safe to remove this package if some of the included packages are
 not desired.

Package: xubuntu-default-settings
Description-md5: 5f43d586fb240f8a2333a5a68fe52ab4
Description-en: default settings for the Xubuntu desktop
 This package contains the default settings used by Xubuntu.

Package: xubuntu-desktop
Description-md5: 25eeb522d88fba23a532953cbbf1638e
Description-en: Xubuntu desktop system
 This package depends on all of the packages in the Xubuntu desktop system
 .
 It is safe to remove this package if some of the desktop system packages are
 not desired.

Package: xubuntu-docs
Description-md5: ccf5068753258302ef4653728b205015
Description-en: Xubuntu documentation
 This package holds the official Xubuntu-specific documentation, maintained
 by the Xubuntu Documentation Team. The documentation can be viewed using
 a web browser or a PDF reader.

Package: xubuntu-icon-theme
Description-md5: 7ccb9916ac7d62a945ba7ada01c32cbd
Description-en: Xubuntu icon theme (transitional package)
 This package is a transitional package for elementary-xfce-icon-theme.

Package: xubuntu-live-settings
Description-md5: c25018e5d00114675b8deacd5d4b58b1
Description-en: configuration for the Xubuntu live cd
 This package contains live cd customizations used by Xubuntu.

Package: xubuntu-wallpapers
Description-md5: 30e50001a635cd3d044df562a89fcb3f
Description-en: Xubuntu desktop wallpapers
 This package contains the default wallpapers for past and current
 versions of Xubuntu.
 .
 For community wallpapers, please see the xubuntu-community-wallpapers
 package.

Package: xul-ext-calendar-timezones
Description-md5: aa51586667f70f4444de07214c475b6d
Description-en: Calendar Extension for Thunderbird (transitional package)
 This is a transitional package to ensure that upgrades work correctly.
 It can be safely removed

Package: xul-ext-exteditor
Description-md5: d1d1c8b048e39e228dabeba3cabf6a5c
Description-en: Thunderbird addon to edit messages in an external editor - Transitional package
 This is a transitional package, it can be safely removed.

Package: xul-ext-gdata-provider
Description-md5: aa51586667f70f4444de07214c475b6d
Description-en: Calendar Extension for Thunderbird (transitional package)
 This is a transitional package to ensure that upgrades work correctly.
 It can be safely removed

Package: xul-ext-lightning
Description-md5: a0293e147dba3d166b3727a30a6634a0
Description-en: Calendar Extension for Thunderbird
 Lighting is the Mozilla Calendar extension for Thunderbird, based
 on Sunbird - the Mozilla Standalone Calendar application. It is tightly
 integrated with Thunderbird, allowing it to easily perform email-related
 calendaring tasks.

Package: xul-ext-pdf.js
Description-md5: d9b0d592d0bb0cd7366e6f17a5d83782
Description-en: Portable Document Format (PDF) viewer plugin for Iceweasel
 PDF.js brings Portable Document Format (PDF) reading capabilities to the
 browser using web standard technologies.
 .
 This extension supersedes the embedded PDF.js.

Package: xul-ext-ublock-origin
Description-md5: 0b7c5c367be05f84771bed68b3a7c608
Description-en: general-purpose lightweight ads, malware, trackers blocker
 This is a transitional package, it can be safely removed.

Package: xutils
Description-md5: 4253371d3b18cfeb922fd7c35747ab21
Description-en: X Window System utility programs metapackage
 xutils provides a set of utility programs shipped with the X Window System.
 Many of these programs are useful even on a system that does not have any X
 clients or X servers installed.
 .
 This package is provided for transition from earlier Debian releases, the
 programs formerly in xutils and xbase-clients having been split out in smaller
 packages.

Package: xutils-dev
Description-md5: 956cbf0a9d05ff058023a9db9744d941
Description-en: X Window System utility programs for development
 xutils-dev provides a set of utility programs shipped with the X Window System
 that do not require the X libraries; many of these programs are useful
 even on a system that does not have any X clients or X servers installed.
 .
 The programs in this package include:
  - imake, a C preprocessor interface to the make utility;
  - lndir, a tool that creates a shadow directory of symbolic links to
    another directory tree;
  - makedepend, a tool to create dependencies in makefiles;
  - makeg, a tool for making debuggable executables.
 .
 This package also contains xorg-macros.m4, a set of macros used in configure
 scripts of X.Org packages.

Package: xvattr
Description-md5: 3593f24e244a4cbd346420698e032428
Description-en: Utility to change Xv attributes
 This is a small command line program to change Xv attributes like
 brightness, contrast,...
 .
 This package also include a gtk front-end.

Package: xvfb
Description-md5: 1cd5b85acd06c23d376b7b5a7f30a0b8
Description-en: Virtual Framebuffer 'fake' X server
 Xvfb provides an X server that can run on machines with no display hardware
 and no physical input devices. It emulates a dumb framebuffer using virtual
 memory. The primary use of this server was intended to be server testing,
 but other novel uses for it have been found, including testing clients
 against unusual depths and screen configurations, doing batch processing with
 Xvfb as a background rendering engine, load testing, as an aid to porting the
 X server to a new platform, and providing an unobtrusive way to run
 applications that don't really need an X server but insist on having one
 anyway.
 .
 This package also contains a convenience script called xvfb-run which
 simplifies the automated execution of X clients in a virtual server
 environment. This convenience script requires the use of the xauth
 program.
 .
 More information about X.Org can be found at:
 <URL:https://www.x.org>
 .
 This package is built from the X.org xserver module.

Package: xvier
Description-md5: fc060d8377c7269a3fa62fee8d24630d
Description-en: a "Four in a row" game
 Xvier is a simple X game where you and your opponent alternately
 throw stones into free columns. The stones pile up in the columns,
 and the goal is to get four stones in a row, in a column or diagonally.

Package: xvile
Description-md5: f2a156500bd6b3f11b5bd46f642edc1e
Description-en: VI Like Emacs - vi work-alike (X11)
 vile is a text editor which is extremely compatible with vi in terms of
 ``finger feel''.  In addition, it has extended capabilities in many areas,
 notably multi-file editing and viewing, syntax highlighting, key rebinding,
 an optional embedded perl interpreter, and real X window system support.
 .
 This package contains the X11 binary.  Required support files are
 contained in vile-common.  Source code highlighting filters are in
 vile-filters.  A character-mode binary is in the vile package.

Package: xvkbd
Description-md5: b297ece13356bf3fb7fce91ed25c4f93
Description-en: software virtual keyboard for X11
 xvkbd is a virtual (graphical) keyboard program for X Window System
 which provides facility to enter characters onto other clients
 (software) by clicking on a keyboard displayed on the screen.  This
 may be used for systems without a hardware keyboard such as kiosk
 terminals or handheld devices.  This program also has facility to
 send characters specified as the command line option to another
 client.

Package: xwallpaper
Description-md5: 402511140c4965979774a73a0efbf705
Description-en: utility for setting image files as X wallpaper
 The xwallpaper utility allows you to set image files as your X wallpaper.
 JPEG, PNG, and XPM file formats are supported, all of them being configurable
 and therefore no fixed dependencies.
 .
 The wallpaper is also advertised to programs which support semi-transparent
 backgrounds.

Package: xwatch
Description-md5: f41c6f1ce672bd6526ec943fdc652655
Description-en: logfile monitor that displays in an X window.
 The displayed logs are colour-coded according to severity.  Basically, you
 get to see what's going on _during_ say a break-in, instead of having to
 check the logfiles after the damage is done. Of course, you need to have
 the syslog daemons configured right, so that the logfiles are
 created. Xwatch reads options from its commandline as well as from a
 app-defaults resource file.

Package: xwax
Description-md5: c00d4f3c7b029dedabe7e5fff4ce7377
Description-en: open-source vinyl emulation software for Linux
 xwax is open-source vinyl emulation software for Linux. It allows DJs and
 turntablists to playback digital audio files (MP3, Ogg Vorbis, FLAC, AAC and
 more), controlled using a normal pair of turntables via timecoded vinyls.
 .
 It's designed for both beat mixing and scratch mixing. Needle drops, pitch
 changes, scratching, spinbacks and rewinds are all supported, and feel just
 like the audio is pressed onto the vinyl itself.
 .
 The focus is on an accurate vinyl feel which is efficient, stable and fast.

Package: xwayland-hwe-18.04
Description-md5: cc9383bd1be0837fded955989dd1adba
Description-en: Transitional package for xwayland-hwe-18.04
 This is used for upgrading from 16.04 to 18.04.

Package: xwelltris
Description-md5: 8582bb84fa1eb12f653971e2328ac53a
Description-en: 3D Tetris like popular game similar to Welltris
 The idea of this game was by the Russian programmer Pogitnov. It has the same
 gameplay concept as Tetris.
 .
 Imagine that you are looking into a glass from the top. You see four walls and
 the bottom. The flat 2D figures falling down from the walls one by one. You can
 move these figures from one wall to another or rotate the figure. If the figure
 leave the wall it moves on the bottom while another figure is pushed. You need
 to form full rows and/or columns on the bottom. When you form such row it
 disappear and you receive additional empty space on the bottom and scores :).

Package: xwiimote
Description-md5: 41f68f11d56b4c4fee6f2af616131149
Description-en: Nintendo Wii Remote Linux Device Driver Tools
 This provides tools related to the open source Nintendo Wii
 Remote linux device driver.
 .
 This contains the tool for displaying Wii remote connected and the setting
 tool which uses operation of Wii remote as keyboard.

Package: xwit
Description-md5: 29cd5dc3adf20e14677646ca8f0706ef
Description-en: collection of simple routines to call some X11 functions
 xwit allows one to call some X11 functions from the command line or
 a shell script.
 .
 xwit will resize, iconify, pop, and move windows given
 by name or id, change an icon, title or name, set the screen saver
 going, and change individual key autorepeat settings, move the
 mouse cursor, etc.

Package: xwpe
Description-md5: 793a89f7df892c7934be6c2353a6f0f9
Description-en: Programming environment and editor for console and X11
 Xwpe is an integrated programming and debugging environment similar to
 Borland's Turbo C and Pascal family. It has many features including
 the ability to start many compilers, linkers and debuggers from a
 menu-based interface or using keystrokes.
 .
 Syntax highlighting is included for many programming languages, and
 any compiler and debugger can easily be used by the program. When
 compiling from within xwpe, errors in the source code can be jumped to
 and swiftly corrected. Variables and the stack can be easily displayed.
 Setting and unsetting breakpoints can be done directly within the
 source code.
 .
 Although it is designed to be a tool for programmers (when invoked as
 wpe or xwpe), it can also be used as an easy to learn text editor
 (when invoked as program 'we' or 'xwe').

Package: xwrited
Description-md5: b9494b2781bd59de8242e71b066805f5
Description-en: display write and wall messages as desktop notifications
 The xwrited utility displays write(1) and wall(1) messages as desktop
 notifications. A notification daemon compliant to the freedesktop.org
 Desktop Notification Specification draft needs to be running in order
 to display the notifications.
 .
 This utility is useful e.g. to send (forward) broadcast (wall) messages
 to all users from cronjobs or system daemons.
 .
 The xwrited daemon is automatically started for each new X session
 after the installation of this package.

Package: xwrits
Description-md5: 329763cc331c3d43b6697bb82118bea6
Description-en: reminds you to take a break from typing
 xwrits helps you prevent repetitive stress injury.
 .
 xwrits is a small reminder program designed to let you know it is time
 to take a break from typing to rest your wrists and prevent any damage
 to your wrists (or at least make them feel better if you've already
 damaged them). Normally works on the honor system, but if you find
 yourself unable to stop typing during your break, it can also lock your
 keyboard.

Package: xxgdb
Description-md5: 3bfda36542e8c682af6e97d0745b2385
Description-en: An X front-end to the GNU debugger gdb
 xxgdb is a simple but powerful graphical interface to the GNU
 debugger gdb.  A more powerful (but slower and much bigger) interface
 is available in the ddd package.

Package: xxhash
Description-md5: 0d30896624c051c6e3456c859245ce3b
Description-en: Extremely fast hash algorithm
 xxHash is an Extremely fast Hash algorithm, running at RAM speed limits.
 It successfully completes the SMHasher test suite which evaluates collision,
 dispersion and randomness qualities of hash functions. Code is highly portable,
 and hashes are identical on all platforms (little / big endian).

Package: xxkb
Description-md5: 55afd4682d9e285948ad9bfe5d7a1ac9
Description-en: Keyboard state indicator and switcher for xkb
 This program is a keyboard state indicator and switcher for xkb. Features:
  - shows current xkb group (pixmap in its own window)
  - allows switch group by mouse click
  - allows individual state for every window
  - can install its own button (indicator/mouse switcher) on every window's
    title bar
  - can restrict keyboard states for every window to only two ("main group" -
    "alternative group") if xkb set up for more than two groups.
 Bugs:
  - documentation is partially in Russian (koi8-r charset) only

Package: xye
Description-md5: 4e7716e334aca71da42b9275eb498c1e
Description-en: puzzle game - get all the gems in the room
 Xye is a puzzle game in which the objective is to help a character that looks
 like a green circle to get all the gems in the room. This is, of course, not as
 easy as it sounds, Xye must solve all sorts of puzzles while at the same time
 avoiding all sorts of traps and beasts.
 .
 Xye is similar to other puzzle games like sokoban or boulderdash, yet it also
 includes some arcade elements.
 .
 Xye is a derivative of a classic windows game called Kye, which is the base of
 the gameplay experience and visual elements. Xye is able to play level files
 that were made for Kye and Sokoban. It is also able to play custom .xye files,
 a richer level format that allows the new objects and features, you can make
 these levels by hand or by using the built-in editor that comes with the game.

Package: xye-data
Description-md5: 5c198abd0ad947f379f9fd1dc7a14302
Description-en: data files for xye
 Xye is a puzzle game in which the objective is to help a character that looks
 like a green circle to get all the gems in the room. This is, of course, not as
 easy as it sounds, Xye must solve all sorts of puzzles while at the same time
 avoiding all sorts of traps and beasts.
 .
 Xye is similar to other puzzle games like sokoban or boulderdash, yet it also
 includes some arcade elements.
 .
 Xye is a derivative of a classic windows game called Kye, which is the base of
 the gameplay experience and visual elements. Xye is able to play level files
 that were made for Kye and Sokoban. It is also able to play custom .xye files,
 a richer level format that allows the new objects and features, you can make
 these levels by hand or by using the built-in editor that comes with the game.
 .
 This package contains the data files for the game Xye.

Package: xygrib
Description-md5: 09aff194d07eac14858bb73d007fe531
Description-en: Weather data visualization,  GRIB file viewer
 This application, written with the Qt toolkit, enables:
  * Visualisation of meteo data from files in GRIB Format 1
  * Automatic GRIB data download
  * Automatic Download from IAC (fleetcode) Data

Package: xygrib-maps
Description-md5: 7fe645bf8d0489d917580cee8a40e7fe
Description-en: Maps for XyGrib weather visualization
 This contains maps and country names used by XyGrib.

Package: xymon
Description-md5: 6c378b0b8740b9c06232df19722e4942
Description-en: monitoring system for systems, networks and applications
 Xymon (previously called Hobbit) is a network- and applications-
 monitoring system designed for use in large-scale networks. But it will
 also work just fine on a small network with just a few nodes. It is
 low-overhead and high-performance, with an easy to use web front-end.
 .
 Network services are monitored remotely. Machine health and
 statistics are monitored through a locally installed client package
 (xymon-client). Additional (remote as well as local) checks are
 available in the package hobbit-plugins.
 .
 Alerts can trigger when monitoring detects a problem, resulting in
 e-mails or calls to your pager or mobile phone.
 .
 Xymon has a great deal of inspiration from the non-free Big Brother
 package, but does not include any Big Brother code.

Package: xymon-client
Description-md5: aecf1eddbb24018dd8eecc814af80e94
Description-en: client for the Xymon network monitor
 Client data collection package for Xymon (previously known as Hobbit).
 .
 This gathers statistics and data from a single system and reports it to
 the Xymon monitor. You should install this package on all systems if you
 have a Xymon server running.
 .
 Additional checks are available in the package hobbit-plugins.

Package: xymonq
Description-md5: 5102987b013e124519098e974c1e9454
Description-en: query cli for Xymon
 Xymonq makes the monitoring data and configuration gathered
 by the Xymon monitoring service available for use in shell scripts
 and on the command line. Multiple filtering possibilities give
 access to a vast amount of data in an easily usable format.

Package: xyscan
Description-md5: 766aa16d10f8ac0e928265164178944b
Description-en: data thief for scientists
 xyscan is a tool for the scientist in the need to extract data points,
 i.e. numeric values, from a plot. It allows you to scan the plots and
 extract data points including the size of the error bars (both in x
 and y). It can handle plots with linear and logarithmic scales. In
 fact xyscan can be used for extracting numeric values from any kind
 of 2D technical drawings.

Package: xzdec
Description-md5: 30227ffce3f42144b3b624776f996bbf
Description-en: XZ-format compression utilities - tiny decompressors
 XZ is the successor to the Lempel-Ziv/Markov-chain Algorithm
 compression format, which provides memory-hungry but powerful
 compression (often better than bzip2) and fast, easy decompression.
 .
 This package provides the xzdec and lzmadec utilities, which write
 the decompressed version of a compressed file to standard output. The
 binaries are very small, so they can be easily stored on small media
 with some compressed files, and they are linked statically against
 liblzma so they can be used on machines without a compatible version
 of liblzma installed. However, they have:
 .
   * no compression support;
   * no support for writing to a file other than standard output;
   * no translated messages;
   * been optimized for size rather than speed.
 .
 For a full-featured xzcat command without these limitations, use
 the xz-utils package instead.

Package: xzgv
Description-md5: 115ac4d91316aecf2f7478f10ce7e34d
Description-en: Picture viewer for X with a thumbnail-based selector
 xzgv is a picture viewer for X, with a thumbnail-based file selector.
 Most file formats are supported, and the thumbnails used are
 compatible with xv, zgv, and the Gimp. It can also be used with `xzgv
 file(s)', to effectively bypass the file selector.
 .
 xzgv differs from other picture viewers for X in that it uses one
 window for both the file selector and viewer, it (unlike xv) allows
 both scrolling and fit-to-window methods of viewing large pictures,
 and it (unlike xv and some others) doesn't ever mangle the picture's
 aspect ratio.
 .
 It also provides extensive keyboard support; if you prefer using the
 keyboard, this is almost certainly the best viewer for you. But it
 doesn't skimp on the mousey stuff, either.
 .
 Note that this program is written by the author of the svgalib-based
 "zgv", and has similar features.
 .
 Home Page: http://sourceforge.net/projects/xzgv

Package: xzip
Description-md5: 847596b3d3ffd0a0a895746d516d2e1d
Description-en: Interpreter of Infocom-format story-files
 Xzip interprets story-files (which are usually text adventure games)
 in the format used by Infocom.  This includes the games published by
 Infocom, as well as any games produced by compilers to this format,
 such as Inform.
 .
 This is an interpreter for X. For text mode, try frotz or jzip.

Package: xzoom
Description-md5: 0ce8a40bad0657d20295f4058f5e4493
Description-en: magnify part of X display, with real-time updates
 Like xmag, xzoom magnifies a section of the X display. Xzoom is different
 because it will continuously update the magnified area as the display
 changes. It is fast enough to enlarge small animations, for example.
 .
 It can also mirror or rotate a part of the screen.

Package: yabar
Description-md5: 5b82c56116992ff7863a9b2cc078d214
Description-en: modern and lightweight status bar for X window managers
 Yabar is a modern and lightweight status bar that is intended to be used
 along with minimal X window managers like bspwm and i3.
 .
 Yabar has the following features:
  - Extremely configurable with easy configuration system using a single
    config file.
  - A growing set of ready-to-use internal blocks developed in plain C.
  - Pango font rendering with support of pango markup language.
  - Support for icons and images.
  - Support for transparency.
  - Multi-monitor support using RandR.
  - Entirely clickable.
  - Support for several environment variables to help button commands.
  - Multiple bars within the same session.

Package: yabasic
Description-md5: 6cf1003072411cf1753490678194f35e
Description-en: Yet Another BASIC interpreter
 yabasic implements the most common and simple elements of the BASIC
 language; it comes with for-loops and goto with while-loops and
 procedures.  yabasic does monochrome line graphics, and printing
 comes with no extra effort.  yabasic runs under Unix and Windows;
 it is small (less than 200 KB) and free.

Package: yabause
Description-md5: 32992cd5f14e3af38060fbe14a981ddc
Description-en: beautiful and under-rated Saturn emulator
 Yabause is a Sega Saturn emulator. It has the following features:
  * booting games from CD-ROM and ISO files
  * booting games with either an emulated or original BIOS
  * screenshot support
  * savegame backups
  * cheat system
  * fullscreen playing
  * multiple debugging options
  * joystick support
  * region select
 .
 This package is a metapackage, which installs either the Qt or the Gtk
 version of Yabause.

Package: yabause-common
Description-md5: ed0e0b2cc270f29fd7a147b6a44098a0
Description-en: beautiful and under-rated Saturn emulator - common files
 Yabause is a Sega Saturn emulator. It has the following features:
  * booting games from CD-ROM and ISO files
  * booting games with either an emulated or original BIOS
  * screenshot support
  * savegame backups
  * cheat system
  * fullscreen playing
  * multiple debugging options
  * joystick support
  * region select
 .
 This package contains arch-independend files like images and translations.

Package: yabause-gtk
Description-md5: af716b0c566452b00ecd1473d35510ae
Description-en: beautiful and under-rated Saturn emulator - Gtk port
 Yabause is a Sega Saturn emulator. It has the following features:
  * booting games from CD-ROM and ISO files
  * booting games with either an emulated or original BIOS
  * screenshot support
  * savegame backups
  * cheat system
  * fullscreen playing
  * multiple debugging options
  * joystick support
  * region select
 .
 This package contains the Gtk version of Yabause.

Package: yabause-qt
Description-md5: 35831d8d5aa1e57acd46bb71db0605c8
Description-en: beautiful and under-rated Saturn emulator - Qt port
 Yabause is a Sega Saturn emulator. It has the following features:
  * booting games from CD-ROM and ISO files
  * booting games with either an emulated or original BIOS
  * screenshot support
  * savegame backups
  * cheat system
  * fullscreen playing
  * multiple debugging options
  * joystick support
  * region select
 .
 This package contains the Qt version of Yabause.

Package: yacas
Description-md5: 4aae435c80e1b77b47271afb623ec744
Description-en: Computer Algebra System
 Yacas is a small and highly flexible computer algebra language.
 .
 The syntax is very close to Mathematica. The distribution contains
 a small library of mathematical functions, but its real strength
 is in the language in which you can easily write your own symbolic
 manipulation algorithms. It supports arbitrary precision arithmetic.

Package: yacas-doc
Description-md5: 080cea0c8a6d031c4cf91ca638e8b080
Description-en: Documentation for Yacas
 Yet Another Computer Algebra System is a small and highly
 flexible computer algebra language.
 .
 The syntax is very close to Mathematica. The distribution contains
 a small library of mathematical functions, but its real strength
 is in the language in which you can easily write your own symbolic
 manipulation algorithms. It supports arbitrary precision arithmetic.
 This package contains the html documentation for yacas.

Package: yacpi
Description-md5: 76b032f5f4d3d006e7a8b8f187a49acf
Description-en: ncurses based acpi monitor for text mode
 yacpi (yet another configuration and power interface) is an ncurses based
 ACPI monitoring program for notebooks. There is also a text-only output
 so it is possible to include it in scripts.
 It displays various ACPI information like battery status, temperature,
 charging circuits and AC status.
 Additionally it displays CPU governor and current frequency.

Package: yad
Description-md5: 358c1ff3ad3137132140f88a1a6e3f64
Description-en: tool for creating graphical dialogs from shell scripts
 Yad allows you to display GTK+ dialog boxes from command line or shell
 scripts. It is similar to Zenity but with more dialog types and options.

Package: yade
Description-md5: 7c882e15f9d0575c6dd492ea8fd0dc66
Description-en: Platform for discrete element modeling
 Yet Another Dynamic Engine.
 .
 Extensible open-source framework for discrete numerical models,
 focused on Discrete Element Method.
 The computation parts are written in c++ using flexible object model,
 allowing independent implementation of new algorithms and interfaces.
 Python is used for rapid and concise scene construction,
 simulation control, postprocessing and debugging.
 .
 This package contains speed-optimized build of yade.

Package: yade-doc
Description-md5: 8459c3bafddecc08f3ba9a9bc2d4b841
Description-en: Platform for discrete element modeling. Documentation
 Yet Another Dynamic Engine.
 .
 Extensible open-source framework for discrete numerical models,
 focused on Discrete Element Method.
 The computation parts are written in c++ using flexible object model,
 allowing independent implementation of new algorithms and interfaces.
 Python is used for rapid and concise scene construction,
 simulation control, postprocessing and debugging.
 .
 This package contains examples, test scripts and documentation.

Package: yadifa
Description-md5: 686134d8824178ba5f7ed478ab5b2b82
Description-en: Internet Domain Name Server
 YADIFA is a lightweight authoritative Name Server with DNSSEC capabilities.
 Developed by the passionate people behind the .eu top-level domain, YADIFA
 has been built from scratch to face today's DNS challenges, with no
 compromise on security, speed and stability, to offer a better and safer
 Internet experience. YADIFA has a simple configuration syntax and can handle
 more queries per second while maintaining one of the lowest memory footprints
 in the industry. YADIFA also has one of the fastest zone file load times
 ever recorded on a name server.
 .
 This package provides the server and related configuration files.

Package: yadm
Description-md5: bb976cd3b550c49a316e78f43974f111
Description-en: Yet Another Dotfiles Manager
 yadm is a dotfile management tool with 3 main features: Manages files across
 systems using a single Git repository. Provides a way to use alternate files on
 a specific OS or host. Supplies a method of encrypting confidential data so it
 can safely be stored in your repository.

Package: yafc
Description-md5: 3d3690123740ae9757ccd2a80d4a7377
Description-en: yet another FTP client
 yafc is an FTP client intended to be a replacement for the standard
 ftp(1) program. Features include directory cache, remote filename
 completion, aliases, colored ls, recursive get/put/ls/rm, nohup mode
 transfers, tagging (queueing), background downloading, and more.

Package: yagf
Description-md5: 0435c6978930b874c81454ba73bfe4bc
Description-en: graphical interface for cuneiform and tesseract
 YAGF is a graphical interface for cuneiform and tesseract text recognition
 tools on the Linux platform. With YAGF you can scan images via XSane, import
 pages from PDF documents, perform images preprocessing and recognize texts
 using cuneiform from a single command centre. YAGF also makes it easy to scan
 and recognize several images sequentially.

Package: yaggo
Description-md5: 9cc686a2c0fecd1e9435456badaa7934
Description-en: generate command line parser using getopt_long
 Yaggo is a tool to generate command line parsers for C++. Yaggo stands
 for "Yet Another GenGetOpt" and is inspired by GNU Gengetopt.
 .
 It reads a configuration file describing the switches and argument for
 a C++ program and it generates one header file that parses the command
 line using getopt_long(3).

Package: yagiuda
Description-md5: 73e9369f3d7490b8ffcb8bae3e248310
Description-en: software to analyse performance of Yagi-Uda antennas
 You give the dimensions and positions of each element, and the
 program calculates gain, input impedance, front-to back ratio,
 beam-patterns etc. An optimisation program 'optimise' tries to
 optimise a design. The optimiser can also tell you the sensitivity
 of a good design, to small mechanical errors.

Package: yagv
Description-md5: 1a318e3e1ed13b7dd2b39d4ff4b5502a
Description-en: yet another G-code viewer
 yagv is a fast 3D Gcode Viewer for Reprap-style 3D printers, in Python and
 OpenGL (via pyglet)
 .
 It has the following features:
  - Load large files painlessly
  - Select specific layers to look at
  - Colour segments according to function
  - Shows a full 3D view for better undersrtanding the G-code.

Package: yaha
Description-md5: 93435da37e2ea580b6a7d1c2a5ccbafa
Description-en: find split-read mappings on single-end queries
 yaha is an open source, flexible, sensitive and accurate DNA aligner
 designed for single-end reads. It supports three major modes of
 operation:
  * The default “Optimal Query Coverage” (-OQC) mode reports the
    best set of alignments that cover the length of each query.
  * Using “Filter By Similarity” (-FBS), along with the best set of
    alignments, yaha will also output alignments that are highly similar
    to an alignment in the best set.
  * Finally, yaha can output all the alignments found for each query.
 The -OQC and -FBS modes are specifically tuned to form split read
 mappings that can be used to accurately identify structural variation
 events (deletions, duplications, insertions or inversions) between the
 subject query and the reference genome.

Package: yahtzeesharp
Description-md5: 3f12fd921cb0c39efc9337103928ebe1
Description-en: game similar to poker with dice and less money
 Yahtzeesharp is a sort of poker with dice and less money.
 You roll five dice three times and try to create the best hand.
 Your two rerolls may include any or all of your dice.

Package: yajl-tools
Description-md5: 7381074640194039b96bb2365d1bcadc
Description-en: Yet Another JSON Library - tools
 A small collection of tools that use the yajl library.
 .
 Current tools are:
  json_reformat - beautifies or minimizes format of JSON data
  json_verify - validates JSON data

Package: yakuake
Description-md5: abf3bd0113526a2750546b44894ec066
Description-en: Quake-style terminal emulator based on KDE Konsole technology
 YaKuake is inspired from the terminal in the Quake game: when you press a key
 (by default F12, but that can be changed) a terminal window slides down from
 the top of the screen. Press the key again, and the terminal slides back.
 .
 It is faster than a keyboard shortcut because it is already loaded into memory
 and as such is very useful to anyone who frequently finds themselves switching
 in and out of terminal sessions.

Package: yamdi
Description-md5: 269e2ddb4852a0eff3ce1b12521c6c91
Description-en: utility for adding metadata to flash video files
 yamdi is a fast console application for adding various metadata to flv files.
 The program buffers its input file, rather than loading into memory in its
 entirety.

Package: yamllint
Description-md5: 91331bed9398d85f8141cb68a6e45934
Description-en: Linter for YAML files
 yamllint does not only check for syntax validity, but for weirdnesses like key
 repetition and cosmetic problems such as lines length, trailing spaces,
 indentation, etc.

Package: yample
Description-md5: 5836e4ea21be9f5b0514aa8343f2911d
Description-en: Yet Another Mail Processing Language
 Yample is a processing language for email. It sorts email based on criteria
 you define. It can deliver email to Unix mailboxes (MBOX), Maildirs (used by
 Courier IMAP and others) or pipes.

Package: yang-tools
Description-md5: fdc769da03903182b8dfae207b816c19
Description-en: parser toolkit for IETF YANG data modeling - executable tools
 This package provides the "yanglint" and "yangre" tools which can be used
 during the creation of IETF YANG schemas.  The tools are not generally
 useful for normal operation where libyang primarily processes configuration
 data, not schemas.

Package: yangcli
Description-md5: 798d73acbede23d5aa6aaf819e8787fb
Description-en: NETCONF/YANG command line client application
 The NETCONF protocol and the YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yuma123 yangcli application allows the user to issue NETCONF requests
 to agents according to the specific YANG models of the agents.

Package: yangdump
Description-md5: 5ceba738f3f02347b57f900d97f4b13a
Description-en: Validate YANG modules and convert them to different formats
 The NETCONF protocol and the YANG modeling language provide a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The yangdump application allows the user to validate YANG models
 and convert YANG to different formats.

Package: yank
Description-md5: f2956ba7eeff9e0945d0d132eb1140ed
Description-en: interactively select and yank terminal output to stdout or xsel
 Read input from stdin and draw a selection interface where all fields
 in the given input are recognized by using a default or user-supplied
 set of delimiters.
 .
 Using ctrl-n and ctrl-p will move the field selection forward and
 backward, pressing the return key will invoke the yank command and
 write the selected field to its stdin. The yank command defaults to
 xsel, but could be anything that accepts input on stdin.

Package: yapet
Description-md5: 1667392a25684f36cc6c3594758eeb8a
Description-en: Yet Another Password Encryption Tool
 Yapet is a curses based password encryption tool using the Blowfish
 encryption algorithm to store password records encrypted on disk. Its
 primary aim is to provide a safe way to store passwords in a file on
 disk while having a small footprint.

Package: yapf3
Description-md5: f32af2d29f888a3d3c894c2744f3805a
Description-en: Python code formatter for different styles (Python 3)
 Yapf is a tool that reformats code to the best formatting that conforms to the
 style guide. It is not only concerned with lint errors, but also with the
 styilistic appearance of Python code. The idea is also similar to the 'gofmt'
 tool for the Go programming language. This package installs the tool for Python
 3.
 .
 This package provides the command-line interface for Python 3.

Package: yapps2
Description-md5: e0daec7e8417eea1a6ad27d120598d3d
Description-en: Yet Another Python Parser System
 YAPPS is an easy to use parser generator that is written in Python and
 generates Python code.  There are several parser generator systems
 already available for Python, but this parser has different goals:
 Yapps is simple, very easy to use, and produces human-readable parsers.
 .
 It is not the fastest or most powerful parser.  Yapps is designed to be
 used when regular expressions are not enough and other parser systems
 are too much: situations where you might otherwise write your own
 recursive descent parser.
 .
 This package contains several upward-compatible enhancements to the
 original YAPPS source:
 .
     - handles recursive input ("include files")
     - better error reporting
     - reads input incrementally

Package: yapra
Description-md5: 83f95e4d1bb1cb707881351ced8c0071
Description-en: Yet Another Pragger implementation
 Yapra is yet another Pragger implementation.  Pragger is a pluggable RSS/Atom
 feed aggregator written in Ruby. It has following advantages
 against Pragger.
 .
  * Class-based plugin mechanism
  * Loadpath-based plugin searching/reading
  * Import logger into system core
  * Use "Yapra" namespace for Plugin class
  * Support config file like Python habu

Package: yara
Description-md5: 5bc661c5fe8f0ebddb9615d71f1eb8e3
Description-en: Pattern matching swiss knife for malware researchers
 YARA is a tool aimed at helping malware researchers to identify and
 classify malware samples. With YARA, it is possible to create
 descriptions of malware families based on textual or binary patterns
 contained in samples of those families. Each description consists of
 a set of strings and a Boolean expression which determines its logic.
 .
 Complex and powerful rules can be created by using binary strings with
 wild-cards, case-insensitive text strings, special operators, regular
 expressions and many other features.

Package: yara-doc
Description-md5: 3937953e6f6b2875209c12036925a163
Description-en: HTML documentation for YARA
 YARA is a tool aimed at helping malware researchers to identify and
 classify malware samples. With YARA, it is possible to create
 descriptions of malware families based on textual or binary patterns
 contained in samples of those families. Each description consists of
 a set of strings and a Boolean expression which determines its logic.
 .
 Complex and powerful rules can be created by using binary strings with
 wild-cards, case-insensitive text strings, special operators, regular
 expressions and many other features.
 .
 This package contains the documentation in HTML format.

Package: yard
Description-md5: 0bb8565613560d1f29659fc327f96e39
Description-en: Ruby documentation tool
 YARD is a documentation generation tool for the Ruby programming language.
 It enables the user to generate consistent, usable documentation that can be
 exported to a number of formats very easily, and also supports extending for
 custom Ruby constructs such as custom class level definitions.

Package: yard-doc
Description-md5: c1b4138da54407cba922ae3ca91bf038
Description-en: Ruby documentation tool - documentation
 YARD is a documentation generation tool for the Ruby programming language.
 It enables the user to generate consistent, usable documentation that can be
 exported to a number of formats very easily, and also supports extending for
 custom Ruby constructs such as custom class level definitions.
 .
 This package contains the documentation for YARD.

Package: yaret
Description-md5: b69e9d25cc468c9b36e6543f32b78eab
Description-en: console tool to turn CDs into encoded music
 YaRET is a Perl script that automates the ripping, normalization, and
 encoding of CDs. It supports CDDB very well via the Perl Audio::CD
 module, and also can work with your favorite ripper/normalizer/encoder
 (e.g., cdparanoia, cdda2wav, normalize, etc.) It supports useful
 features like multiple concurrent encoders and an easy to use
 configuration file that lets you customize YaRET to your taste (such as
 file naming based on the CDDB information).

Package: yarnpkg
Description-md5: ad9b02e270f655a9146663f1e726ed35
Description-en: Fast, reliable and secure npm alternative
 Fast: Yarnpkg caches every package it has downloaded, so it never
 needs to download the same package again. It also does almost
 everything concurrently to maximize resource utilization.
 This means even faster installs.
 .
 Reliable: Using a detailed but concise lockfile format and a
 deterministic algorithm for install operations, Yarnpkg is able
 to guarantee that any installation that works on one system will
 work exactly the same on another system.
 .
 Secure: Yarnpkg uses checksums to verify the integrity of every
 installed package before its code is executed.
 .
 Node.js is an event-based server-side JavaScript engine.

Package: yaru-theme-unity
Description-md5: 38d4fdf56025e3ee97288c6b27473cbe
Description-en: Yaru Unity theme from the Ubuntu Community
 This is the theme, better than a burrito, that is shaped by the community
 on the Ubuntu discourse.
 .
 This package contains the Unity theme parts
 .
 If you want to follow development, more information here:
 https://community.ubuntu.com/c/desktop/theme-refresh.

Package: yasat
Description-md5: 6ec8d66582ab56b2ce36d0b095343c77
Description-en: simple stupid audit tool
 YASAT (Yet Another Stupid Audit Tool) goal is to be as simple as possible with
 minimum binary dependencies (only sed, grep and cut). Second goal is to
 document each test with maximum information and links to official
 documentation. It do many tests for checking security configuration issue or
 others good practice. It checks many software configurations like: Apache,
 Bind DNS, CUPS, PHP, kernel configuration, MySQL, network configuration,
 openvpn, Packages update, samba, snmpd, squid, syslog, tomcat,
 user accounting, vsftpd, xinetd.

Package: yash
Description-md5: 1c83cf89571401dd70bfe83b1148b33c
Description-en: yet another shell
 Yash is a command line shell that conforms to the POSIX.1 (IEEE Std
 1003.1, 2008 Edition) standard for the most part. Actually, it is much
 more POSIX-compliant than other shell like bash and zsh.
 .
 Yash also has its own features beyond POSIX, such as:
   * global aliases
   * random numbers
   * socket redirections and other special redirections
   * right prompt
   * command completion

Package: yaskkserv
Description-md5: bedfa76d8ec39c4e0ec46bf366ae0adb
Description-en: small and fast SKK dictionary server intended for embedded use
 yaskkserv is a dictionary server for the SKK Japanese input method
 system. yaskkserv is written in C++ from scratch in consideration of
 embedded environment, but is compatible with skkserv on the protocol
 behavior.
 .
 yaskkserv can use multiple dictionaries and also supports server
 completion.

Package: yasm
Description-md5: dea64a38f47da6fb51ac8a3e78582601
Description-en: modular assembler with multiple syntaxes support
 Yasm is a complete rewrite of the NASM assembler. It supports multiple
 assembler syntaxes (eg, NASM, GAS, TASM, etc.) in addition to multiple
 output object formats (binary objects, COFF, Win32, ELF32, ELF64) and
 even multiple instruction sets (including AMD64). It also has an
 optimiser module.

Package: yasnippet
Description-md5: 64ef6a8c7cda2d9d4e6c2328d177194d
Description-en: transition Package, yasnippet to elpa-yasnippet
 The yasnippet emacs addon has been elpafied.  This dummy package
 helps ease transition from yasnippet to elpa-yasnippet, and may
 safely be removed.

Package: yasr
Description-md5: 3b4652578ae3938f89acb5fcc29ac84c
Description-en: General-purpose console screen reader
 Yasr is a general-purpose console screen reader for GNU/Linux and
 other Unix-like operating systems.  The name "yasr" is an acronym that
 can stand for either "Yet Another Screen Reader" or "Your All-purpose
 Screen Reader".
 .
 Currently, yasr attempts to support the Speak-out, DEC-talk, BNS, Apollo,
 and DoubleTalk synthesizers.  It is also able to communicate with
 Emacspeak servers and can thus be used with synthesizers not directly
 supported, such as Festival Lite (via eflite) or FreeTTS.
 .
 Yasr is written in C and works by opening a pseudo-terminal and running a
 shell, intercepting all input and output.  It looks at the escape
 sequences being sent and maintains a virtual "window" containing what
 it believes to be on the screen.  It thus does not use any features
 specific to Linux and can be ported to other Unix-like operating
 systems without too much trouble.

Package: yasw
Description-md5: 7677ea84235f6424026ef50bcb5dd5a5
Description-en: Yet Another Scan Wizard
 YASW (Yet Another Scan Wizard) is an application used to correct images
 taken with a camera. It can be used to post process the scanning of a book
 or to correct an individual image taken with a camera.

Package: yatex
Description-md5: 4d155c9db2681c0c19b857d5ccd3690c
Description-en: Yet Another TeX mode for Emacs
 YaTeX is an intelligent, acquisitive and integrated package which reduces
 your efforts of composing LaTeX source on Emacs.
 .
 YaTeX automates typesetting and previewing of LaTeX and enables
 completing input of LaTeX mark-up command such as `\begin{}'..`\end{}'.
 .
 This package also includes yahtml mode, the honest and bright YaTeX-compatible
 major-mode for writing HTML. If you have noticed the power of YaTeX, you can
 drive yahtml over the HTML files quickly and steadily. And vice versa, of
 course.
 .
 YaTeX also supports Demacs which runs on MS-DOS(386), Mule
 (Multi Language Enhancement to GNU Emacs), and LaTeX on DOS.
 .
 For more information, please refer to http://www.yatex.org/

Package: yatm
Description-md5: 2f4715b98184cccf7a22c3172755125a
Description-en: Command line audio file player with time stretching capabilities
 Yatm (Yet Another Time Machine) is a very simple command line audio player.
 Its main purpose is to play audio files at a different tempo while retaining
 the original sounds pitch.  This is very useful for listening to audiobooks
 at a slightly higher speed than they were originally recorded with.  It can
 also be helpful when listening to musical passages at a slower speed to
 be able to distinguish the different notes more easily.
 .
 Changing the pitch while keeping the tempo is also supported.  Musical
 cents and semitones can be used to specify pitch change.  This makes it
 easy to use for musicians.  The notes you have are in G but the recording
 you'd like to play along with is in F?  No problem, transpose the recording
 up two semitones.  You'd like to play along with this baroque recording
 that uses original pitch?  Just tune the music up about 80 cents and you
 should be fine.

Package: yavta
Description-md5: 5b4942a5c6882a16ebc3f86b6bc3294c
Description-en: Yet Another V4L2 Test Application
 Tool to test Video4Linux2 devices with a very simple interface. It supports
 many of the latest V4L2 capabilities such as multi-plane capture, and many
 video formats.
 It also has capabilities to detect timing issues on the frame capture.

Package: yaws
Description-md5: 4a42180ab7f74c5b48d3710d8bb249a1
Description-en: High performance HTTP 1.1 webserver written in Erlang
 Yaws is a high performance HTTP 1.1 webserver written in Erlang. It is a
 multithreaded webserver where one Erlang light weight process is used to
 handle each client. Two separate modes of operation are supported.
 .
 This package includes binaries and config to run Yaws in standalone mode
 where it works as a regular webserver daemon.

Package: yaws-chat
Description-md5: 040f3ec20f88136348ea0630cfca863d
Description-en: Chat application for Yaws webserver
 Yaws-chat allows one to create a very simple web-based chatroom.

Package: yaws-doc
Description-md5: b5ca6822c9f717bbe6876f3ed02440ad
Description-en: Documentation and examples for Yaws webserver
 Yaws is a high performance HTTP 1.1 webserver written in Erlang. It is a
 multithreaded webserver where one Erlang light weight process is used to
 handle each client.
 .
 This package includes Yaws documentation and examples.

Package: yaws-mail
Description-md5: f94fa5f86783b21ca02a2c37ec3db801
Description-en: Webmail application for Yaws webserver
 Yaws-mail is a small stateless webmail application. It requires
 SMTP and POP3 servers to operate and does not store email locally.

Package: yaws-wiki
Description-md5: 7b0f26173741f05475a7f9fd12aa41bb
Description-en: Wiki application for Yaws webserver
 Yaws-wiki is a small wiki application, written in Erlang for Yaws
 webserver.

Package: yaws-yapp
Description-md5: 230e4021d21744a3f52910040a8d5a08
Description-en: Provides an easy way to deploy applications for Yaws webserver
 Yaws-yapp (Yaws application handler) is a helper application.
 It takes care of adding, and removing, the applications into a running
 server. When anyone access the server with an URL that matches the path
 for an added application, the docroot will be temporarily switched
 to where the web pages for that application are located.
 .
 This package provides Yapp config file which is intended to use along
 with fully installed Yaws webserver.

Package: yaz
Description-md5: 8cf433de75e4386bcd2ad5379b1ae7b8
Description-en: utilities for YAZ Z39.50 toolkit
 YAZ is a toolkit that allows you to develop software using the
 ANSI Z39.50/ISO23950 standard for information retrieval.
 .
 This package includes utility programs.

Package: yaz-doc
Description-md5: 4c2a36f35e7e0c949c3363dd6f4dbd67
Description-en: YAZ Z39.50 toolkit (documentation)
 YAZ is a toolkit that allows you to develop software using the
 Z39.50/ISO23950 standard for information retrieval.
 .
 This package includes documentation for YAZ in HTML and PDF.

Package: yaz-icu
Description-md5: b93bd4ef43444fce4eab7ca0896badf1
Description-en: command line utility for ICU utilities of YAZ
 YAZ is a toolkit that allows you to develop software using the
 Z39.50/ISO23950 standard for information retrieval.
 .
 The yaz-icu program is a command-line based client which exposes the ICU
 chain facility of YAZ.

Package: yaz-illclient
Description-md5: e9786621737ba8d25f77c3cff37947e3
Description-en: utility for ISO ILL of YAZ
 YAZ is a toolkit that allows you to develop software using the
 Z39.50/ISO23950 standard for information retrieval.
 .
 The yaz-illclient program is a command-line based client which sends ILL
 requests to a server and decodes the response.

Package: yazc
Description-md5: e7fe801d14a1bd8c83fe688cda383ba0
Description-en: Command line tool for the libzc library
 Yazc is the command line utility that comes bundled with libzc. It
 provides easy access to all of libzc attack modes namely: bruteforce,
 dictionary and plaintext attacks.

Package: yc-el
Description-md5: 9d59e89225a0a82ad031bb3b843da10d
Description-en: Yet another Canna client for Emacsen
 Canna client for emacs21 or xemacs with MULE support.
 yc.el is implemented in pure elisp, allowing use of Canna on
 emacsen without direct support for Canna.
 .
 Canna is a Japanese input method.

Package: ycmd
Description-md5: fab24b0f47f39c8116507023923cf782
Description-en: code-completion & comprehension server
 ycmd is a server that provides APIs for code-completion and other
 code-comprehension use-cases like semantic GoTo commands (and others). For
 certain filetypes, ycmd can also provide diagnostic errors and warnings.

Package: yeahconsole
Description-md5: f98dfd125e550e81cee69205a493b23c
Description-en: drop-down X terminal emulator wrapper
 Yeahconsole puts an X terminal emulator window on top of your screen that
 drops-down like a console found in many games (similar to quake). It's
 visibility can be toggled by a keyboard shortcut. Currently supports xterm,
 urxvt and mrxvt.

Package: yelp-tools
Description-md5: 67d34d4de561eee98dcba90001663b95
Description-en: Yelp documentation tools
 A set of command-line tools to build and check your documentation, as well
 as an M4 file to use in your autotools-based build chain.

Package: yersinia
Description-md5: 742c6ac12f3a7ac91b0222d814c51020
Description-en: Network vulnerabilities check software
 Yersinia is a framework for performing layer 2 attacks. It is designed
 to take advantage of some weakeness in different network protocols. It
 pretends to be a solid framework for analyzing and testing the deployed
 networks and systems.
 .
 Attacks for the following network protocols are implemented in this
 particular release:
  - Spanning Tree Protocol (STP).
  - Cisco Discovery Protocol (CDP).
  - Dynamic Trunking Protocol (DTP).
  - Dynamic Host Configuration Protocol (DHCP).
  - Hot Standby Router Protocol (HSRP).
  - 802.1q.
  - 802.1x.
  - Inter-Switch Link Protocol (ISL).
  - VLAN Trunking Protocol (VTP).

Package: yesod
Description-md5: 70aed0542a21f3740efb509a59cfb983
Description-en: Helper executables for the Haskell web framework Yesod
 Provides scaffolding, devel server, and some simple code generation helpers
 (yesod, yesod-ar-wrapper, yesod-ld-wrapper, yesod-ghc-wrapper).

Package: yforth
Description-md5: 640d8dafc662785fdcc3a0a1e49d0a72
Description-en: small freeware Forth environment in ANSI C
 yForth? is an interestingly small implementation of Forth for Linux.  It
 suffers several deficiencies.  For general Forth programming or learning
 under Linux, yForth? is not the best choice.
 .
 From the author's README:
 .
 yForth? is a Forth environment written entirely in ANSI C, making it
 extremely portable. The first thing I want to tell you about yForth? is
 that it seems a joke compared to other systems such as gForth or PFE.
 .
 Nevertheless, you could find yForth? nice, in which case you're invited to
 explore yForth?
 .
 It's yours, you can make anything you want with it. If you want an explanation
 of the words provided by yForth? please refer to the draft of ANS Forth or
 something equivalent.  The Net will help you.
 .
 Do not expect the prompt "ok" to come up when you run yForth?, the standard
 says that "ok" shall be printed AFTER every successful command execution...

Package: yi
Description-md5: 23e9274021aa48c689f7e53bb8219a66
Description-en: Haskell-Scriptable Editor
 Yi is a text editor written in Haskell and extensible in Haskell.  The goal
 of the Yi project is to provide a flexible, powerful, and correct editor
 for haskell hacking.
 .
 This package comes pre-configured with the default configuration. If
 you want to build your custom-configured version, make sure that
 libghc-yi-core-dev is installed and put your configuration in
 ~/.config/yi/yi.hs

Package: yiyantang
Description-md5: a6658a57e337de1d9083ca550df16c85
Description-en: Terminal-based Chinese automatic encoding converter
 yyt is a pseudo-tty program that converts Chinese terminal output
 encoded in GB/BIG5 to a preset encoding automatically. It is
 useful for users who have to work with multiple Chinese encoding
 in console applications. Now it also converts input to the incoming
 encoding if the incoming encoding is set explicitly.

Package: ykcs11
Description-md5: 43f810075d14298f1df001888b3b8b46
Description-en: PKCS#11 module for the YubiKey PIV applet
 The Yubico PIV tool is used for interacting with the Personal
 Identity Verification Card (PIV) application on a YubiKey.  With it
 you may generate keys on the device, import keys and certificates,
 create certificate requests, and other operations. A shared library,
 a PKCS#11 module a command-line tool are included.
 .
 This package contains the PKCS#11 module.

Package: ykush-control
Description-md5: 34fed379b7269b6bdd69576a255e581d
Description-en: control application for Yepkit YKUSH Switchable USB Hub board
 The Yepkit USB Switchable Hub (YKUSH) boards allow the user to
 selectively switch ON and OFF each of the USB devices connected to the
 hub downstream ports. This package provides the ykushcmd program to
 control the switches of all connected boards.

Package: yodl
Description-md5: 5bfcd7a4d4638235bb362e88e2bbe1a4
Description-en: Your Own Document Language (Yodl) is a pre-document language
 Yodl is a package that implements a pre-document language and tools to
 process it.  The idea of Yodl is that you write up a document in a
 pre-language, then use the tools (e.g. yodl2html) to convert it to some
 final document language.  Current converters are for HTML, man, LaTeX
 SGML and texinfo, a poor-man's text converter and an experimental xml
 converter. Main document types are
 "article", "report", "book", "manpage" and "letter".
 The Yodl document language was  designed to be easy to use and extensible.

Package: yodl-doc
Description-md5: 1268effb96710fdd018619ee3ceb6a77
Description-en: Documentation for Your Own Document Language (Yodl)
 Yodl is a package that implements a pre-document language and tools to
 process it.  The idea of Yodl is that you write up a document in a
 pre-language, then use the tools (e.g. yodl2html) to convert it to some
 final document language.  Current converters are for HTML, man, LaTeX
 SGML and texinfo, a poor-man's text converter and an experimental xml
 converter. Main document types are
 "article", "report", "book", "manpage" and "letter".
 The Yodl document language was  designed to be easy to use and extensible.
 .
 This package provides the supplemental documentation for Yodl.

Package: yokadi
Description-md5: cf492e4a45cb3398baf3b4639c5aa4a8
Description-en: commandline todo system
 Yokadi is a command-line oriented, SQLite powered, TODO list tool. It helps
 you organize all the things you have to do and you must not forget. It aims to
 be simple, intuitive and very efficient.
 .
 In Yokadi you manage projects, which contains tasks. At the minimum, a task
 has a title, but it can also have a description, a due date, an urgency or
 keywords. Keywords can be any word that help you to find and sort your tasks.

Package: yorick
Description-md5: b513b8d5ee0f47690595619a758fcf95
Description-en: interpreted language and scientific graphics
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The language features a compact syntax for many common array
 operations, so it processes large arrays of numbers very quickly and
 efficiently.  Superficially, yorick code resembles C code, but yorick
 variables are never explicitly declared and have a dynamic scoping
 similar to many Lisp dialects.  The yorick language is designed to be
 typed interactively at a keyboard, as well as stored in files for
 later use.
 .
 This package includes an emacs-based development environment, which
 you can launch by typing M-x yorick in emacs.
 .
 Many add-on packages and plug-ins are available for Yorick (the
 package names begin with "yorick-"). You may want to install the
 yorick-full metapackage which depends on the vast majority of them.

Package: yorick-av
Description-md5: 8827a097fc82b89d1cd456438a92931a
Description-en: write movies from Yorick in various formats
 This is a compiled add-on for yorick (an interpreted computer
 language specialized for numerical and scientific problems).
 Yorick-av adds support for writing movies in various formats (AVI,
 OGG, MP4...) using LibAV. It can be used as a replacement for
 yorick-mpeg which only produces MPEG1 movies.

Package: yorick-cubeview
Description-md5: 439fb98e5a43d11c648b705a8ef8b9f0
Description-en: 3D FITS data viewer specialized in spectro-imaging
 Cubeview is a viewer for 3D data store in FITS files, a file format
 extensively used among astronomers. It is specialized in displaying
 spectro-imaging data but can be used to do basic visualization on any
 FITS file containing a 3D array.
 .
 Cubeview features a stand-alone graphical user interface which
 adheres to the GTK+ look-and-feel. Being written in
 Yorick, it is inherently scriptable. A system of hooks allows custom
 actions to be repeated automatically when certain events occur.

Package: yorick-curses
Description-md5: 841548fdccc19414be824d7038c5fade
Description-en: interface to the (n)curses library for the Yorick language
 Yorick-curses enables programs written in Yorick (an interpreted computer
 language specialized for numerical and scientific problems) to use the
 (n)curses library, which allows fine control of the cursor and output in a
 terminal session.

Package: yorick-data
Description-md5: f85aa162f875333b01f196c5b148db19
Description-en: interpreted library for the Yorick language
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The yorick-data package contains all the architecture-independent data, which
 is mostly the standard interpreted library.

Package: yorick-dev
Description-md5: 47b2cc996f40b628ba977b2a1c16c7d1
Description-en: development files for the Yorick interpreted language
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The yorick-dev package contains the files needed to compile (or package)
 plug-ins or custom `yorick' executables.

Package: yorick-doc
Description-md5: d3adc0786e68e37cba87b35fa074316b
Description-en: documentation for the Yorick interpreted language
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The Yorick package ships with little documentation: a manpage, the
 Yorick Manual in info format, and its own "help" command. This
 package adds the Yorick Manual and Quick Reference in PDF and HTML
 format and a utility (update-yorickdoc) to build HTML documentation
 for the set of functions (from Yorick and add-on packages) installed
 on this machine. By default, these pages are built and updated
 automatically whenever necessary.
 .
 Once this package is installed, simply point your preferred web
 browser to file:///usr/share/doc/yorick-doc/README.html .

Package: yorick-full
Description-md5: d43165eef36bea99f357aa900a53d236
Description-en: full installation of the Yorick interpreter and add-ons
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The yorick-full metapackage installs Yorick together with the full set
 of add-ons packaged for Debian.
 .
 You may prefer to only install the yorick package and cherry-pick the
 yorick-* add-ons you need.
 .
 If you need MPY, the MPI parallel version of Yorick, please install
 either yorick-mpy-openmpi or yorick-mpy-mpich2 in addition.

Package: yorick-gl
Description-md5: be9feee9d0a00e8600a587e7d01eb5d3
Description-en: OpenGL 3D graphics support for the Yorick language
 This is a compiled add-on for yorick (an interpreted computer language
 specialized for numerical and scientific problems).  Yorick-gl adds
 support for OpenGL 3D graphics.

Package: yorick-gy
Description-md5: d258d61da27d3911689201c9a9cdc2b1
Description-en: GObject introspection and Gtk bindings for Yorick
 This plug-in allows using GObject-introspection.
 In turn, this allows writing Gtk graphical user interfaces directly
 in Yorick.
 .
 Special helpers are provided for building Gtk interfaces.

Package: yorick-gyoto
Description-md5: 6c114bf5237bfd11e89d2408d5f81423
Description-en: General relativistic geodesic integration for the Yorick language
 Gyoto aims at providing a framework for computing orbits and
 ray-traced images in General relativity.
 .
 This package provides a plug-in for the Yorick programming language
 exposing the Gyoto facilities. It allows using Gyoto interactively
 from the yorick prompt or running complex Gyoto scripts.

Package: yorick-hdf5
Description-md5: 8967fd04003de853d4c0d84693ccbbb8
Description-en: Hierarchical Data Format 5 interface for the Yorick language
 HDF5 is the yorick interface plugin to the NCSA Hierarchical Data Format
 version 5. It includes function for reading, writing, updating, getting
 information on HDF5 files.

Package: yorick-imutil
Description-md5: 69ecdbb0584fd812c8bf8ba8a65f104b
Description-en: fast image manipulation routines for the Yorick language
 Compiled routines for basic but fast image manipulation in yorick (an
 interpreted computer language specialized for numerical and scientific
 problems). Includes 2d bilinear and spline2 interpolation, clipping, 2d dist
 generator, binning, image rotation, cartesian to polar coordinate transform,
 gaussian and poisson random generator, fast sort and fast median. All of
 these functions, with the exceptions of spline2, exist in yorick or the
 yutils package, but these versions are 2 to 10x faster, being specialized for
 2d arrays (hence the name imutil). This plugin is 64bits safe.

Package: yorick-mira
Description-md5: e6eb442e211a539d03a39a80f78002ca
Description-en: optical interferometry image reconstruction within Yorick
 MiRA is an algorithm for image reconstruction from data provided by
 optical interferometers. It is written in the Yorick language and
 operated through the Yorick interpreter.
 .
 MiRA won the 2008' Interferometric Imaging Beauty Contest organized
 by International Astronomical Union (IAU) to compare the image
 synthesis algorithms designed for optical interferometry.  In a
 nutshell, MiRA proceeds by direct minimization of a penalized
 likelihood. This penalty is the sum of two terms: a likelihood term
 (typically a χ2) which enforces agreement of the model with the data,
 plus a regularization term to account for priors. The priors are
 required to lever the many degeneracies due to the sparseness of the
 spatial frequency sampling. MiRA implements many different
 regularizations (quadratic or edge-preserving smoothness, total
 variation, maximum entropy, etc.) and let the user defines his own
 priors. The likelihood penalty is modular and designed to account for
 available data of any kind (complex visibilities, powerspectra and/or
 closure phase). One of the strength of MiRA is that it is purely
 based on an inverse problem approach and can therefore cope with
 incomplete data set; for instance, MiRA can build an image without
 any Fourier phase information. Input data must be in OI-FITS format.

Package: yorick-ml4
Description-md5: 3efc313bdf50e77114d4ef5f17ee4849
Description-en: Matlab file format support for the Yorick language
 This is a compiled add-on for yorick (an interpreted computer
 language specialized for numerical and scientific problems).
 Yorick-ml4 adds read and write support for the file format produced by the
 commercial product Matlab 4.

Package: yorick-mpeg
Description-md5: a1439599badc10bdabb3c2d612cb2199
Description-en: MPEG output support for the Yorick language
 This is a compiled add-on for yorick (an interpreted computer
 language specialized for numerical and scientific problems).
 Yorick-mpeg adds support for writing MPEG1 movies. You may
 be interested in the yorick-av package instead, which adds support
 for more movie file formats.

Package: yorick-mpy-common
Description-md5: 5ed4fe07ed6c36fd129d705aa8a31ff2
Description-en: Message Passing Yorick (common files)
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The MPY is a parallel version of Yorick based on the Message Passing
 Interface (MPI). This package contains the files common to all
 builds of MPY.

Package: yorick-mpy-mpich2
Description-md5: 0cd25289b6693e01ed3592034e3baa1f
Description-en: Message Passing Yorick (MPICH2 build)
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The MPY is a parallel version of Yorick based on the Message Passing
 Interface (MPI). This package contains a build of MPY linked against
 MPICH2.

Package: yorick-mpy-openmpi
Description-md5: 84e01fbbf107f29e41cf73006b3c26f5
Description-en: Message Passing Yorick (OpenMPI build)
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The MPY is a parallel version of Yorick based on the Message Passing
 Interface (MPI). This package contains a build of MPY linked against
 OpenMPI.

Package: yorick-optimpack
Description-md5: b1873996e69f5e2c5d8c1af2e45ba0bb
Description-en: optimization of large scale problems for the Yorick language
 OptimPack is a portable C library which implements algorithms for
 optimization of large scale problems with bound constraints. Large
 scale means some million variables (e.g. pixel values) or more.
 .
 The most important algorithm is VMLM-B: a variable metric method with
 limited memory requirements and, possibly, bound constraints on the
 parameters. The algorithm is based on limited memory BFGS updates
 with Moré & Thuente inexact line search and gradient projection to
 account for bounds.
 .
 This package contains two Yorick plug-ins: one based on the newer
 OptimPackLegacy version and, for backward compatibility, one based on the
 previous OptimPack1 implementation.

Package: yorick-soy
Description-md5: f6bfffa5a9b66de0b2a3d2361ca812ca
Description-en: sparse matrix operations for the Yorick language
 Sparse Operations with Yorick is a plugin for Yorick (an interpreted computer
 language specialized for numerical and scientific problems) that allows
 performing efficient operations on sparse matrices. This software is also
 available for the commercial language IDL.
 .
 Features:
  * Utilizes a sparse row-wise format optimized for fast matrix-vector
    multiplication
  * Structure implementation for user-friendly interface on the Yorick/IDL
    scripting level
  * Explicit memory handling on the scripting level
  * As of v1.2 portable to 64-bit computing platforms
  * Offers basic matrix algebra and manipulation in single or double precision
  * Offers scripts for solving linear systems by e.g. conjugate gradients
  * Offers saving of sparse structures on a common FITS format or an internal
    binary format. Using the FITS format makes the saved matrices portable
    between the IDL/Yorick distributions.

Package: yorick-svipc
Description-md5: 92dad3749bac57c526d00ffb61444796
Description-en: interprocess communication (shared memory...) for Yorick
 This package allows one to access the System V Interprocess
 communication mechanisms from within Yorick:
   + message queues;
   + semaphore sets;
   + shared memory segments.
 It also exposes related functionality, in particular fork().
 .
 This plug-in serves primarily two use cases:
   + parallel (multiprocess) computing;
   + mixed applications (eg. yorick/python).
 .
 A sibling package is available for Python (python3-svipc).

Package: yorick-yeti
Description-md5: 7991d30503524de8a5c88a020ca795a3
Description-en: utility plugin for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti package implements:
 .
   * hash table objects
   * wavelet filtering ("a trou" method)
   * fast convolution along a chosen dimension with various border conditions
   * more string functions
   * memory hacking routines
   * more math functions (sinc, round, arc)
   * generalized matrix-vector multiplication (with possibly sparse matrix)
   * routines to query/check Yorick's symbols
   * morpho-math operators
   * ...

Package: yorick-yeti-fftw
Description-md5: dae26eee0efb4ee3fdf8427fddd7c4fc
Description-en: FFT plugin for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti-fftw package implements complex, real-complex and complex-real
 fast fourier transform using FFTW2 (the Fastest Fourier Transform in the West -
 version 2)

Package: yorick-yeti-regex
Description-md5: a87f973c091b759849e38d9ade4f0c7d
Description-en: POSIX regular expressions for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti-regex package implements support for POSIX regular
 expressions.

Package: yorick-yeti-tiff
Description-md5: f61d07faee3b9d1c25e03e98a1c5caf9
Description-en: TIFF image format input for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti-tiff package implements support for reading TIFF images.

Package: yorick-ygsl
Description-md5: a223b79a1adc7f60cbe659a54ecd3561
Description-en: GSL special functions plugin for the Yorick language
 YGSL is a Yorick plug-in to bring over 120 special functions of the
 GSL (GNU Scientific Library) into Yorick.
 .
 This package deprecates yorick-yeti-gsl and provides the yeti_gsl.i
 include file.

Package: yorick-ynfft
Description-md5: 973224730303d64ecc8bcbfc9f63cf0c
Description-en: nonequispaced fast Fourier transform for Yorick
 YNFFT is a Yorick plug-in around the NFFT library for nonequispaced
 fast Fourier transform. For the usual (equispaced) Fourier transform,
 see the yorick-yeti-fftw package.

Package: yorick-yutils
Description-md5: 986f50e11b9679d738a3c15c10401b5b
Description-en: various utilities for the Yorick language
 Yutils is a must-have collection of interpreted routines for yorick
 (an interpreted computer language specialized for numerical and scientific
 problems). Topics: astronomical data reduction, physical constants,
 search for extrema in arrays or functions, plots, and more.

Package: yorick-z
Description-md5: 6f7060f33bd605ba8eddf6ce03641432
Description-en: zlib, jpeg and png support for the Yorick language
 This is a compiled add-on for yorick (an interpreted computer language
 specialized for numerical and scientific problems).  Yorick-z adds
 support for zlib (the data compression system used by gzip), png (a
 lossless image compression format), and jpeg (a lossy image compression
 format).

Package: yoshimi
Description-md5: 48756e2b6798c06f77b093467b9de34e
Description-en: software synthesizer originally based on ZynAddSubFX2
 Yoshimi is a software synthesizer for GNU/Linux, based on
 ZynAddSubFX 2.4.0.
 .
 Yoshimi maintains sound and instrument compatibility
 but now has extended features and accessibility.

Package: yoshimi-data
Description-md5: 8e9b3a52d90b30f4dcf33af12d68de35
Description-en: Presets for Yoshimi
 Yoshimi is a software synthesizer originally based on ZynAddSubFX2
 .
 Yoshimi maintains sound and instrument compatibility
 but now has extended features and accessibility.
 .
 This package provides banks and presets for Yoshimi.

Package: yoshimi-doc
Description-md5: e83f8cd8f729caf0b764e42c6833ed26
Description-en: Documentation for Yoshimi
 Yoshimi is a software synthesizer originally based on ZynAddSubFX2
 .
 Yoshimi maintains sound and instrument compatibility
 but now has extended features and accessibility.
 .
 This package provides documentation for Yoshimi.

Package: yosys
Description-md5: b4a38d1bfabb13bedbd16816bf4105ab
Description-en: Framework for Verilog RTL synthesis
 This is a framework for Verilog RTL synthesis. It currently has extensive
 Verilog-2005 support and provides a basic set of synthesis algorithms for
 various application domains.
 .
 Yosys can be adapted to perform any synthesis job by combining the existing
 passes (algorithms) using synthesis scripts and adding additional passes as
 needed by extending the yosys C++ code base.

Package: yosys-dev
Description-md5: 1046b72bf5b8ccf517ca1661cff5d331
Description-en: Framework for Verilog RTL synthesis (development files)
 Yosys is a framework for Verilog RTL synthesis. It currently has extensive
 Verilog-2005 support and provides a basic set of synthesis algorithms for
 various application domains.
 .
 Yosys can be adapted to perform any synthesis job by combining the existing
 passes (algorithms) using synthesis scripts and adding additional passes as
 needed by extending the yosys C++ code base.
 .
 This package contains the headers and programs needed to build yosys plugins.

Package: yosys-doc
Description-md5: ced3c3037ab011576c88c28d5d806fe2
Description-en: Documentation for Yosys
 Yosys is a framework for Verilog RTL synthesis. It currently has extensive
 Verilog-2005 support and provides a basic set of synthesis algorithms for
 various application domains.
 .
 Yosys can be adapted to perform any synthesis job by combining the existing
 passes (algorithms) using synthesis scripts and adding additional passes as
 needed by extending the yosys C++ code base.
 .
 This package contains the manual.

Package: yotta
Description-md5: 3ca44171acbf8464a88fffc7c14b415e
Description-en: build tool for C/C++ projects using modular components
 yotta is a build tool to make it easier to build better C/C++ software by
 re-using modules. Modules can be published to the yotta registry to share
 with other people, or can be re-used privately in your own projects.
 .
 Whenever a project is built with yotta, a yotta build target is selected.
 Targets describe the platform that you're building for (such as an embedded
 IoT development board, or natively for Linux), and provide all the information
 that yotta and the modules you're using need to configure themselves correctly
 for that platform.
 .
 This package provides the yotta build system for Python 3.

Package: yotta-doc
Description-md5: 9fc7147dca8d5a5165147d1f5e98b62a
Description-en: build tool for C/C++ projects using modular components (documentation)
 yotta is a build tool to make it easier to build better C/C++ software by
 re-using modules. Modules can be published to the yotta registry to share
 with other people, or can be re-used privately in your own projects.
 .
 Whenever a project is built with yotta, a yotta build target is selected.
 Targets describe the platform that you're building for (such as an embedded
 IoT development board, or natively for Linux), and provide all the information
 that yotta and the modules you're using need to configure themselves correctly
 for that platform.
 .
 This package provides the yotta documentation.

Package: youker-assistant
Description-md5: be83c6a8b0213d4f9b386522f21919f7
Description-en: Integrated tool to aid in routine system maintenance tasks
 Kylin Assistant is a tool designed to help Ubuntu and Ubuntu Kylin
 desktop users manage and maintain many aspects of their working
 environment conveniently in a single application, providing a consistent
 user experience.
 .
 Features currently included:
  * Detecting and displaying general system information
  * Unity and Mate user interface customization
  * System garbage cleaning

Package: youtube-dl
Description-md5: 3ed8afd5cf4fe9cfd1f41476f639bef4
Description-en: downloader of videos from YouTube and other sites
 youtube-dl is a small command-line program to download videos from
 YouTube.com and other sites that don't provide direct links to the
 videos served.
 .
 youtube-dl allows the user, among other things, to choose a specific video
 quality to download (if available) or let the program automatically
 determine the best (or worst) quality video to grab. It supports
 downloading entire playlists and all videos from a given user.
 .
 Currently supported sites (or features of sites) are:
 .
 1tv,
 1up.com,
 20min,
 220.ro,
 23video,
 24video,
 3qsdn,
 3sat,
 4tube,
 56.com,
 5min,
 6play,
 7plus,
 8tracks,
 91porn,
 9c9media,
 9gag,
 9now.com.au,
 abc.net.au,
 abc.net.au:iview,
 abcnews,
 abcnews:video,
 abcotvs,
 abcotvs:clips,
 AcademicEarth:Course,
 acast,
 acast:channel,
 AddAnime,
 ADN,
 AdobeConnect,
 AdobeTV,
 AdobeTVChannel,
 AdobeTVShow,
 AdobeTVVideo,
 AdultSwim,
 aenetworks,
 afreecatv,
 AirMozilla,
 AliExpressLive,
 AlJazeera,
 Allocine,
 AlphaPorno,
 AMCNetworks,
 AmericasTestKitchen,
 anderetijden,
 AnimeOnDemand,
 Anvato,
 aol.com,
 APA,
 Aparat,
 AppleConnect,
 AppleDaily,
 appletrailers,
 appletrailers:section,
 archive.org,
 ARD,
 ARD:mediathek,
 ARDBetaMediathek,
 Arkena,
 arte.tv:+7,
 arte.tv:embed,
 arte.tv:playlist,
 AsianCrush,
 AsianCrushPlaylist,
 AtresPlayer,
 ATTTechChannel,
 ATVAt,
 AudiMedia,
 AudioBoom,
 audiomack,
 audiomack:album,
 AWAAN,
 awaan:live,
 awaan:season,
 awaan:video,
 AZMedien,
 BaiduVideo,
 bambuser,
 bambuser:channel,
 Bandcamp,
 Bandcamp:album,
 Bandcamp:weekly,
 bangumi.bilibili.com,
 bbc,
 bbc.co.uk,
 bbc.co.uk:article,
 bbc.co.uk:iplayer:playlist,
 bbc.co.uk:playlist,
 BBVTV,
 Beatport,
 Beeg,
 BehindKink,
 Bellator,
 BellMedia,
 Bet,
 bfi:player,
 Bigflix,
 Bild,
 BiliBili,
 BilibiliAudio,
 BilibiliAudioAlbum,
 BioBioChileTV,
 BIQLE,
 BitChute,
 BitChuteChannel,
 BleacherReport,
 BleacherReportCMS,
 blinkx,
 Bloomberg,
 BokeCC,
 BostonGlobe,
 Bpb,
 BR,
 BravoTV,
 Break,
 brightcove:legacy,
 brightcove:new,
 BRMediathek,
 bt:article,
 bt:vestlendingen,
 BusinessInsider,
 BuzzFeed,
 BYUtv,
 Camdemy,
 CamdemyFolder,
 CamModels,
 CamTube,
 CamWithHer,
 canalc2.tv,
 Canalplus,
 Canvas,
 CanvasEen,
 CarambaTV,
 CarambaTVPage,
 CartoonNetwork,
 cbc.ca,
 cbc.ca:olympics,
 cbc.ca:player,
 cbc.ca:watch,
 cbc.ca:watch:video,
 CBS,
 CBSInteractive,
 CBSLocal,
 cbsnews,
 cbsnews:embed,
 cbsnews:livevideo,
 CBSSports,
 CCMA,
 CCTV,
 CDA,
 CeskaTelevize,
 CeskaTelevizePorady,
 channel9,
 CharlieRose,
 Chaturbate,
 Chilloutzone,
 chirbit,
 chirbit:profile,
 Cinchcast,
 Cinemax,
 CiscoLiveSearch,
 CiscoLiveSession,
 CJSW,
 cliphunter,
 Clippit,
 ClipRs,
 Clipsyndicate,
 CloserToTruth,
 CloudflareStream,
 Cloudy,
 Clubic,
 Clyp,
 cmt.com,
 CNBC,
 CNBCVideo,
 CNN,
 CNNArticle,
 CNNBlogs,
 ComCarCoff,
 ComedyCentral,
 ComedyCentralFullEpisodes,
 ComedyCentralShortname,
 ComedyCentralTV,
 CommonMistakes,
 CondeNast,
 Corus,
 Coub,
 Cracked,
 Crackle,
 CrooksAndLiars,
 crunchyroll,
 crunchyroll:playlist,
 CSNNE,
 CSpan,
 CtsNews,
 CTVNews,
 cu.ntv.co.jp,
 Culturebox,
 CultureUnplugged,
 curiositystream,
 curiositystream:collection,
 CWTV,
 DailyMail,
 dailymotion,
 dailymotion:playlist,
 dailymotion:user,
 DaisukiMotto,
 DaisukiMottoPlaylist,
 daum.net,
 daum.net:clip,
 daum.net:playlist,
 daum.net:user,
 DBTV,
 DctpTv,
 DeezerPlaylist,
 defense.gouv.fr,
 democracynow,
 DHM,
 Digg,
 DigitallySpeaking,
 Digiteka,
 Discovery,
 DiscoveryGo,
 DiscoveryGoPlaylist,
 DiscoveryNetworksDe,
 DiscoveryVR,
 Disney,
 dlive:stream,
 dlive:vod,
 Dotsub,
 DouyuShow,
 DouyuTV,
 DPlay,
 DPlayIt,
 DRBonanza,
 Dropbox,
 DrTuber,
 drtv,
 drtv:live,
 DTube,
 Dumpert,
 dvtv,
 dw,
 dw:article,
 EaglePlatform,
 EbaumsWorld,
 EchoMsk,
 egghead:course,
 egghead:lesson,
 ehftv,
 eHow,
 EinsUndEinsTV,
 Einthusan,
 eitb.tv,
 EllenTube,
 EllenTubePlaylist,
 EllenTubeVideo,
 ElPais,
 Embedly,
 EMPFlix,
 Engadget,
 Eporner,
 EroProfile,
 Escapist,
 ESPN,
 ESPNArticle,
 EsriVideo,
 Europa,
 EveryonesMixtape,
 EWETV,
 ExpoTV,
 Expressen,
 ExtremeTube,
 EyedoTV,
 facebook,
 FacebookPluginsVideo,
 faz.net,
 fc2,
 fc2:embed,
 Fczenit,
 filmon,
 filmon:channel,
 Filmweb,
 FiveThirtyEight,
 FiveTV,
 Flickr,
 Flipagram,
 Folketinget,
 FootyRoom,
 Formula1,
 FOX,
 FOX9,
 Foxgay,
 foxnews,
 foxnews:article,
 FoxSports,
 france2.fr:generation-what,
 FranceCulture,
 FranceInter,
 FranceTV,
 FranceTVEmbed,
 francetvinfo.fr,
 FranceTVJeunesse,
 FranceTVSite,
 Freesound,
 freespeech.org,
 FreshLive,
 FrontendMasters,
 FrontendMastersCourse,
 FrontendMastersLesson,
 Funimation,
 Funk,
 Fusion,
 Fux,
 FXNetworks,
 Gaia,
 GameInformer,
 GameOne,
 gameone:playlist,
 GameSpot,
 GameStar,
 Gaskrank,
 Gazeta,
 GDCVault,
 generic,
 Gfycat,
 GiantBomb,
 Giga,
 GlattvisionTV,
 Glide,
 Globo,
 GloboArticle,
 Go,
 Go90,
 GodTube,
 Golem,
 GoogleDrive,
 Goshgay,
 GPUTechConf,
 Groupon,
 Hark,
 hbo,
 HearThisAt,
 Heise,
 HellPorno,
 Helsinki,
 HentaiStigma,
 hetklokhuis,
 hgtv.com:show,
 HiDive,
 HistoricFilms,
 history:topic,
 hitbox,
 hitbox:live,
 HitRecord,
 hketv,
 HornBunny,
 HotNewHipHop,
 hotstar,
 hotstar:playlist,
 Howcast,
 HowStuffWorks,
 HRTi,
 HRTiPlaylist,
 Huajiao,
 HuffPost,
 Hungama,
 HungamaSong,
 Hypem,
 Iconosquare,
 ign.com,
 imdb,
 imdb:list,
 Imgur,
 imgur:album,
 imgur:gallery,
 Ina,
 Inc,
 IndavideoEmbed,
 InfoQ,
 Instagram,
 instagram:tag,
 instagram:user,
 Internazionale,
 InternetVideoArchive,
 IPrima,
 iqiyi,
 Ir90Tv,
 ITTF,
 ITV,
 ITVBTCC,
 ivi,
 ivi:compilation,
 ivideon,
 Iwara,
 Izlesene,
 Jamendo,
 JamendoAlbum,
 JeuxVideo,
 Joj,
 Jove,
 jpopsuki.tv,
 JWPlatform,
 Kakao,
 Kaltura,
 KanalPlay,
 Kankan,
 Karaoketv,
 KarriereVideos,
 keek,
 KeezMovies,
 Ketnet,
 KhanAcademy,
 KickStarter,
 KinoPoisk,
 KonserthusetPlay,
 kontrtube,
 KrasView,
 Ku6,
 KUSI,
 kuwo:album,
 kuwo:category,
 kuwo:chart,
 kuwo:mv,
 kuwo:singer,
 kuwo:song,
 la7.it,
 laola1tv,
 laola1tv:embed,
 LCI,
 Lcp,
 LcpPlay,
 Le,
 Learnr,
 Lecture2Go,
 Lecturio,
 LecturioCourse,
 LecturioDeCourse,
 LEGO,
 Lemonde,
 Lenta,
 LePlaylist,
 LetvCloud,
 Libsyn,
 life,
 life:embed,
 limelight,
 limelight:channel,
 limelight:channel_list,
 LineTV,
 linkedin:learning,
 linkedin:learning:course,
 LinuxAcademy,
 LiTV,
 LiveJournal,
 LiveLeak,
 LiveLeakEmbed,
 livestream,
 livestream:original,
 livestream:shortener,
 LnkGo,
 loc,
 LocalNews8,
 LoveHomePorn,
 lrt.lt,
 lynda,
 lynda:course,
 m6,
 macgamestore,
 mailru,
 mailru:music,
 mailru:music:search,
 MakerTV,
 MallTV,
 mangomolo:live,
 mangomolo:video,
 ManyVids,
 Markiza,
 MarkizaPage,
 massengeschmack.tv,
 MatchTV,
 MDR,
 media.ccc.de,
 media.ccc.de:lists,
 Medialaan,
 Mediaset,
 Mediasite,
 MediasiteCatalog,
 MediasiteNamedCatalog,
 Medici,
 megaphone.fm,
 Meipai,
 MelonVOD,
 META,
 metacafe,
 Metacritic,
 Mgoon,
 MGTV,
 MiaoPai,
 Minhateca,
 MinistryGrid,
 Minoto,
 miomio.tv,
 MiTele,
 mixcloud,
 mixcloud:playlist,
 mixcloud:stream,
 mixcloud:user,
 Mixer:live,
 Mixer:vod,
 MLB,
 Mms,
 Mnet,
 MNetTV,
 MoeVideo,
 Mofosex,
 Mojvideo,
 Morningstar,
 Motherless,
 MotherlessGroup,
 Motorsport,
 MovieClips,
 MovieFap,
 Moviezine,
 MovingImage,
 MSN,
 mtg,
 mtv,
 mtv.de,
 mtv81,
 mtv:video,
 mtvservices:embedded,
 MuenchenTV,
 MusicPlayOn,
 mva,
 mva:course,
 Mwave,
 MwaveMeetGreet,
 MyChannels,
 MySpace,
 MySpace:album,
 MySpass,
 Myvi,
 MyVidster,
 MyviEmbed,
 MyVisionTV,
 n-tv.de,
 natgeo:video,
 NationalGeographicTV,
 Naver,
 NBA,
 NBC,
 NBCNews,
 nbcolympics,
 nbcolympics:stream,
 NBCSports,
 NBCSportsStream,
 NBCSportsVPlayer,
 ndr,
 ndr:embed,
 ndr:embed:base,
 NDTV,
 NerdCubedFeed,
 netease:album,
 netease:djradio,
 netease:mv,
 netease:playlist,
 netease:program,
 netease:singer,
 netease:song,
 NetPlus,
 Netzkino,
 Newgrounds,
 NewgroundsPlaylist,
 Newstube,
 NextMedia,
 NextMediaActionNews,
 NextTV,
 Nexx,
 NexxEmbed,
 nfl.com,
 NhkVod,
 nhl.com,
 nick.com,
 nick.de,
 nickelodeon:br,
 nickelodeonru,
 nicknight,
 niconico,
 NiconicoPlaylist,
 Nintendo,
 njoy,
 njoy:embed,
 NJPWWorld,
 NobelPrize,
 Noco,
 NonkTube,
 Noovo,
 Normalboots,
 NosVideo,
 Nova,
 NovaEmbed,
 nowness,
 nowness:playlist,
 nowness:series,
 Noz,
 npo,
 npo.nl:live,
 npo.nl:radio,
 npo.nl:radio:fragment,
 Npr,
 NRK,
 NRKPlaylist,
 NRKSkole,
 NRKTV,
 NRKTVDirekte,
 NRKTVEpisode,
 NRKTVEpisodes,
 NRKTVSeason,
 NRKTVSeries,
 NRLTV,
 ntv.ru,
 Nuvid,
 NYTimes,
 NYTimesArticle,
 NZZ,
 ocw.mit.edu,
 OdaTV,
 Odnoklassniki,
 OktoberfestTV,
 OnDemandKorea,
 onet.pl,
 onet.tv,
 onet.tv:channel,
 OnetMVP,
 OnionStudios,
 Ooyala,
 OoyalaExternal,
 Openload,
 OraTV,
 orf:fm4,
 orf:fm4:story,
 orf:iptv,
 orf:oe1,
 orf:tvthek,
 OsnatelTV,
 OutsideTV,
 PacktPub,
 PacktPubCourse,
 PandaTV,
 pandora.tv,
 ParamountNetwork,
 parliamentlive.tv,
 Patreon,
 pbs,
 pcmag,
 PearVideo,
 PeerTube,
 People,
 PerformGroup,
 periscope,
 periscope:user,
 PhilharmonieDeParis,
 phoenix.de,
 Photobucket,
 Picarto,
 PicartoVod,
 Piksel,
 Pinkbike,
 Pladform,
 Platzi,
 PlatziCourse,
 play.fm,
 PlayPlusTV,
 PlaysTV,
 Playtvak,
 Playvid,
 Playwire,
 pluralsight,
 pluralsight:course,
 plus.google,
 podomatic,
 Pokemon,
 PolskieRadio,
 PolskieRadioCategory,
 PopcornTV,
 PornCom,
 PornerBros,
 PornHd,
 PornHub,
 PornHubPagedVideoList,
 PornHubUser,
 PornHubUserVideosUpload,
 Pornotube,
 PornoVoisines,
 PornoXO,
 PornTube,
 PressTV,
 PromptFile,
 prosiebensat1,
 puhutv,
 puhutv:serie,
 Puls4,
 Pyvideo,
 qqmusic,
 qqmusic:album,
 qqmusic:playlist,
 qqmusic:singer,
 qqmusic:toplist,
 QuantumTV,
 Quickline,
 QuicklineLive,
 R7,
 R7Article,
 radio.de,
 radiobremen,
 radiocanada,
 radiocanada:audiovideo,
 radiofrance,
 RadioJavan,
 Rai,
 RaiPlay,
 RaiPlayLive,
 RaiPlayPlaylist,
 RayWenderlich,
 RayWenderlichCourse,
 RBMARadio,
 RDS,
 RedBullTV,
 RedBullTVRrnContent,
 Reddit,
 RedditR,
 RedTube,
 RegioTV,
 RENTV,
 RENTVArticle,
 Restudy,
 Reuters,
 ReverbNation,
 revision,
 revision3:embed,
 RICE,
 RMCDecouverte,
 RockstarGames,
 RoosterTeeth,
 RottenTomatoes,
 Roxwel,
 Rozhlas,
 RTBF,
 rte,
 rte:radio,
 rtl.nl,
 rtl2,
 rtl2:you,
 rtl2:you:series,
 Rtmp,
 RTP,
 RTS,
 rtve.es:alacarta,
 rtve.es:infantil,
 rtve.es:live,
 rtve.es:television,
 RTVNH,
 RTVS,
 RUHD,
 rutube,
 rutube:channel,
 rutube:embed,
 rutube:movie,
 rutube:person,
 rutube:playlist,
 RUTV,
 Ruutu,
 Ruv,
 safari,
 safari:api,
 safari:course,
 SAKTV,
 SaltTV,
 Sapo,
 savefrom.net,
 SBS,
 schooltv,
 screen.yahoo:search,
 Screencast,
 ScreencastOMatic,
 scrippsnetworks:watch,
 Seeker,
 SenateISVP,
 SendtoNews,
 ServingSys,
 Servus,
 Sexu,
 SeznamZpravy,
 SeznamZpravyArticle,
 Shahid,
 ShahidShow,
 Shared,
 ShowRoomLive,
 Sina,
 SkylineWebcams,
 SkyNews,
 skynewsarabia:article,
 skynewsarabia:video,
 SkySports,
 Slideshare,
 SlidesLive,
 Slutload,
 smotri,
 smotri:broadcast,
 smotri:community,
 smotri:user,
 Snotr,
 Sohu,
 SonyLIV,
 soundcloud,
 soundcloud:playlist,
 soundcloud:search,
 soundcloud:set,
 soundcloud:trackstation,
 soundcloud:user,
 soundgasm,
 soundgasm:profile,
 southpark.cc.com,
 southpark.cc.com:español,
 southpark.de,
 southpark.nl,
 southparkstudios.dk,
 SpankBang,
 SpankBangPlaylist,
 Spankwire,
 Spiegel,
 Spiegel:Article,
 Spiegeltv,
 sport.francetvinfo.fr,
 Sport5,
 SportBox,
 SportDeutschland,
 SpringboardPlatform,
 Sprout,
 sr:mediathek,
 SRGSSR,
 SRGSSRPlay,
 stanfordoc,
 Steam,
 Stitcher,
 Streamable,
 Streamango,
 streamcloud.eu,
 StreamCZ,
 StreetVoice,
 StretchInternet,
 stv:player,
 SunPorno,
 sverigesradio:episode,
 sverigesradio:publication,
 SVT,
 SVTPage,
 SVTPlay,
 SVTSeries,
 SWRMediathek,
 Syfy,
 SztvHu,
 t-online.de,
 Tagesschau,
 tagesschau:player,
 Tass,
 TastyTrade,
 TBS,
 TDSLifeway,
 Teachable,
 TeachableCourse,
 teachertube,
 teachertube:user:collection,
 TeachingChannel,
 Teamcoco,
 TeamTreeHouse,
 TechTalks,
 techtv.mit.edu,
 ted,
 Tele13,
 Tele5,
 TeleBruxelles,
 Telecinco,
 Telegraaf,
 TeleMB,
 TeleQuebec,
 TeleQuebecEmission,
 TeleQuebecLive,
 TeleTask,
 Telewebion,
 TennisTV,
 TestURL,
 TF1,
 TFO,
 TheIntercept,
 ThePlatform,
 ThePlatformFeed,
 TheScene,
 TheStar,
 TheSun,
 TheWeatherChannel,
 ThisAmericanLife,
 ThisAV,
 ThisOldHouse,
 TikTok,
 TikTokUser,
 tinypic,
 TMZ,
 TMZArticle,
 TNAFlix,
 TNAFlixNetworkEmbed,
 toggle,
 ToonGoggles,
 Tosh,
 tou.tv,
 Toypics,
 ToypicsUser,
 TrailerAddict (CURRENTLY BROKEN),
 Trilulilu,
 TruNews,
 TruTV,
 Tube8,
 TubiTv,
 Tumblr,
 tunein:clip,
 tunein:program,
 tunein:shortener,
 tunein:station,
 tunein:topic,
 TunePk,
 Turbo,
 Tutv,
 tv.dfb.de,
 TV2,
 tv2.hu,
 TV2Article,
 TV4,
 TV5MondePlus,
 TVA,
 TVANouvelles,
 TVANouvellesArticle,
 TVC,
 TVCArticle,
 tvigle,
 tvland.com,
 TVN24,
 TVNet,
 TVNoe,
 TVNow,
 TVNowAnnual,
 TVNowNew,
 TVNowSeason,
 TVNowShow,
 tvp,
 tvp:embed,
 tvp:series,
 TVPlayer,
 TVPlayHome,
 Tweakers,
 TwitCasting,
 twitch:chapter,
 twitch:clips,
 twitch:profile,
 twitch:stream,
 twitch:video,
 twitch:videos:all,
 twitch:videos:highlights,
 twitch:videos:past-broadcasts,
 twitch:videos:uploads,
 twitch:vod,
 twitter,
 twitter:amplify,
 twitter:card,
 udemy,
 udemy:course,
 UDNEmbed,
 UFCTV,
 UKTVPlay,
 umg:de,
 UnicodeBOM,
 Unistra,
 Unity,
 uol.com.br,
 uplynk,
 uplynk:preplay,
 Urort,
 URPlay,
 USANetwork,
 USAToday,
 ustream,
 ustream:channel,
 ustudio,
 ustudio:embed,
 Varzesh3,
 Vbox7,
 VeeHD,
 Veoh,
 verystream,
 Vessel,
 Vesti,
 Vevo,
 VevoPlaylist,
 VGTV,
 vh1.com,
 vhx:embed,
 Viafree,
 vice,
 vice:article,
 vice:show,
 Vidbit,
 Viddler,
 Videa,
 video.google:search,
 video.mit.edu,
 VideoDetective,
 videofy.me,
 videomore,
 videomore:season,
 videomore:video,
 VideoPremium,
 VideoPress,
 Vidio,
 VidLii,
 vidme,
 vidme:user,
 vidme:user:likes,
 Vidzi,
 vier,
 vier:videos,
 ViewLift,
 ViewLiftEmbed,
 Viewster,
 Viidea,
 viki,
 viki:channel,
 vimeo,
 vimeo:album,
 vimeo:channel,
 vimeo:group,
 vimeo:likes,
 vimeo:ondemand,
 vimeo:review,
 vimeo:user,
 vimeo:watchlater,
 Vimple,
 Vine,
 vine:user,
 Viqeo,
 Viu,
 viu:ott,
 viu:playlist,
 Vivo,
 vk,
 vk:uservideos,
 vk:wallpost,
 vlive,
 vlive:channel,
 vlive:playlist,
 Vodlocker,
 VODPl,
 VODPlatform,
 VoiceRepublic,
 Voot,
 VoxMedia,
 VoxMediaVolume,
 vpro,
 Vrak,
 VRT,
 VrtNU,
 vrv,
 vrv:series,
 VShare,
 VTXTV,
 vube,
 VuClip,
 VVVVID,
 VyboryMos,
 Vzaar,
 Wakanim,
 Walla,
 WalyTV,
 washingtonpost,
 washingtonpost:article,
 wat.tv,
 WatchBox,
 WatchIndianPorn,
 WDR,
 wdr:mobile,
 WDRElefant,
 WDRPage,
 Webcaster,
 WebcasterFeed,
 WebOfStories,
 WebOfStoriesPlaylist,
 Weibo,
 WeiboMobile,
 WeiqiTV,
 Wimp,
 Wistia,
 wnl,
 WorldStarHipHop,
 WSJ,
 WSJArticle,
 WWE,
 XBef,
 XboxClips,
 XFileShare,
 XHamster,
 XHamsterEmbed,
 XHamsterUser,
 xiami:album,
 xiami:artist,
 xiami:collection,
 xiami:song,
 ximalaya,
 ximalaya:album,
 XMinus,
 XNXX,
 Xstream,
 XTube,
 XTubeUser,
 Xuite,
 XVideos,
 XXXYMovies,
 Yahoo,
 yahoo:gyao,
 yahoo:gyao:player,
 yahoo:japannews,
 YandexDisk,
 yandexmusic:album,
 yandexmusic:playlist,
 yandexmusic:track,
 YandexVideo,
 YapFiles,
 YesJapan,
 yinyuetai:video,
 Ynet,
 YouJizz,
 youku,
 youku:show,
 YouNowChannel,
 YouNowLive,
 YouNowMoment,
 YouPorn,
 YourPorn,
 YourUpload,
 youtube,
 youtube:channel,
 youtube:favorites,
 youtube:history,
 youtube:live,
 youtube:playlist,
 youtube:playlists,
 youtube:recommended,
 youtube:search,
 youtube:search:date,
 youtube:search_url,
 youtube:show,
 youtube:subscriptions,
 youtube:truncated_id,
 youtube:truncated_url,
 youtube:user,
 youtube:watchlater,
 Zapiks,
 Zaq1,
 Zattoo,
 ZattooLive,
 ZDF,
 ZDFChannel,
 zingmp3,
 Zype

Package: yowsup-cli
Description-md5: 5a002fbf95b0c4b222d7b5c79be96d8b
Description-en: command line tool that acts as WhatsApp client
 WhatsApp Messenger is a cross-platform mobile messaging app which allows
 you to exchange messages, via Internet, without having to pay for SMS,
 using a mobile phone.
 .
 In addition to basic messaging, WhatsApp users can create groups, send
 each other unlimited images, video and audio media messages.
 .
 yowsup-cli is a command line program, based in python-yowsup library, that
 allows you to login and use the WhatsApp service, providing all capabilities
 of an official WhatsApp client, as encryption of messages. This program can
 be used for multiple purposes as e.g. to receive messages from network servers
 or appliances, notifying about issues, via direct command or by special agents
 (Zabbix, Nagios, iwatch, portsentry, etc.).
 .
 This package is a good example of the python-yowsup library implementation.

Package: yp-tools
Description-md5: a98e489caf0d4b0bd55e64d97b9a57d9
Description-en: Tools for working with Network Information System (NIS)
 This package provides a number of tools for working with NIS
 installations including ypbind, ypset, ypwhich, ypcat, ypmatch, yppoll,
 ypchfn, ypchsh and yppasswd.

Package: yrmcds
Description-md5: 5bbbb31373b43613af86aadae805c82f
Description-en: memcached compatible KVS with master/slave replication
 yrmcds is a memory object caching system with master/slave replication and
 server-side locking. Since its protocol is perfectly compatible with that of
 memcached, yrmcds can be used as a drop-in replacement for memcached.
 .
 A companion client library libyrmcds and a PHP extension are also available.

Package: ytcc
Description-md5: 98dcd8c58a368fc47e037cc428fe5734
Description-en: YouTube channel checker
 ytcc is a command Line tool to keep track of your favourite YouTube channels
 without signing up for a Google account.
 .
 ytcc manages your defined channels and lets you update, view (with mpv),
 download videos while keeping track of watched videos.
 .
 Videos can be filtered with different criteria (date, channel name) in a batch
 way or within a minimal gui.

Package: ytnef-tools
Description-md5: 194bf6cc97712208cc44c92a91e15baa
Description-en: ytnef decoder commandline tools
 Yerase's TNEF Stream Reader allows you to decode application/ms-tnef
 e-mail attachments, which are usually entitled "winmail.dat" and are
 generally a file container format that is only readable by Microsoft
 Outlook. Some TNEF streams also include RTF-formatted data.
 .
 These are the frontend programs for the libytnef library, which can be used
 to decode TNEF attachments on the commandline.

Package: ytree
Description-md5: 3a4d314d9913671a25b1da534654e86b
Description-en: File manager for terminals
 This is a file manager that separates files from directories and allows
 you to select and manage files from different directories. It works
 on black and white or color terminals and is UTF-8 locales aware.

Package: yubico-piv-tool
Description-md5: a35b58185a9510ef57b263a6f104a651
Description-en: Command line tool for the YubiKey PIV applet
 The Yubico PIV tool is used for interacting with the Personal
 Identity Verification Card (PIV) application on a YubiKey.  With it
 you may generate keys on the device, import keys and certificates,
 create certificate requests, and other operations. A shared library,
 a PKCS#11 module a command-line tool are included.
 .
 This package contains the command-line tool.

Package: yubikey-luks
Description-md5: d9a5e3ef4c28468a1d064c095ec6ffed
Description-en: YubiKey two factor authentication for LUKS disks
 With this extension to the initramfs-tools, you can unlock a LUKS encrypted
 disk using your YubiKey as a second factor.
 .
 The challenge-response mechanism of the YubiKey is used to generate a response
 based on a PIN/password you have to enter.
 .
 Only the combination of the correct password and the matching YubiKey will
 generate a response, that is a valid key of the LUKS disk. Alternatively
 you can use any other LUKS passphrase when the YubiKey is not present.

Package: yubikey-manager
Description-md5: 8fede102a1299ca0dc7b5a73ab983905
Description-en: Python library and command line tool for configuring a YubiKey
 YubiKey Manager (ykman) is a command line tool for configuring a YubiKey over
 all transports. It is capable of reading out device information as well as
 configuring several aspects of a YubiKey, including enabling or disabling
 connection transports an programming various types of credentials.
 .
 YubiKey is a specific series of personal Hardware Security Modules,
 meant to protect private keys tied to its users (for 2nd factor authentication,
 use with OpenPGP, ...).

Package: yubikey-personalization
Description-md5: 82f7bd05e2168cf6a93b92963aaab5a1
Description-en: Personalization tool for Yubikey OTP tokens
 YubiKeys are USB tokens that act like keyboards and generate one-time
 or static passwords.
 .
 This is a tool to customize the tokens with your own cryptographic
 key, user id and so on.

Package: yubikey-personalization-gui
Description-md5: ead8aea67c068c6ea35aca4fae2014de
Description-en: Graphical personalization tool for YubiKey tokens
 YubiKeys are USB tokens that act like keyboards and generate one-time
 passwords, static passwords or work in challenge-response mode.
 .
 This is a graphical tool to customize the token with your own
 cryptographic key and options.

Package: yubikey-server-c
Description-md5: 0f2e28b0040f34b4aa563451d55ed24d
Description-en: Yubikey validation server
 Yubikeys are USB tokens that act like keyboards and generate one-time
 passwords.  The tokens are produced and sold by Yubico
 .
 This is a server that checks the validity of those OTP tokens.  There
 are servers written in Java and PHP, while this one is written in C
 .
 It implements the server side of the API as described on
 http://www.yubico.com/developers/api/ and can be used with any client
 that implements the same API.

Package: yubioath-desktop
Description-md5: 7f12134b9ebfaaa3ed81698328695833
Description-en: Graphical interface for displaying OATH codes with a Yubikey
 The Yubico Authenticator is a graphical desktop tool for generating Open
 AuTHentication (OATH) event-based HOTP and time-based TOTP one-time password
 codes, with the help of a Yubikey that protects the shared secrets.

Package: yubiserver
Description-md5: 25adc2ac9637da3388deb300356914fd
Description-en: Yubikey OTP and HOTP/OATH Validation Server
 Simple and lightweight Yubikey OTP and HOTP/OATH validation server
 to be used with Yubico's Yubikey USB tokens including a powerful
 administration tool, yubiserver-admin, with which you can manage
 yubiserver's database by adding,deleting,activating and deactivating
 users that validate with OTP or HOTP/OATH tokens.
 .
 Yubiserver implements Yubico's server side API and can be used
 with Yubikey USB tokens and any other client that can implement
 the same API.

Package: yudit
Description-md5: fff604832e460cc6f75a26e74865e585
Description-en: Unicode text editor (arch-dependent binaries)
 yudit is a Unicode text editor for the X Window System. It does not need
 localized environment or Unicode fonts. It supports simultaneous processing
 of many languages, conversions for local character standards, bidirectional
 input, has its own input methods. The package includes conversion utilities,
 and it also has support for PostScript printing.

Package: yudit-common
Description-md5: d644ee3d88353da0ee8ac7ead5a5aeed
Description-en: Unicode text editor (arch-independent files)
 yudit is a Unicode text editor for the X Window System. It does not need
 localized environment or Unicode fonts. It supports simultaneous processing
 of many languages, conversions for local character standards, bidirectional
 input, has its own input methods. The package includes conversion utilities,
 and it also has support for PostScript printing.
 .
 This package contains the arch-independent files in /usr/share.

Package: yudit-doc
Description-md5: 1f6ca9f84c585c31999bdbc3384b9f3b
Description-en: Unicode text editor (Documentation)
 The unicode editor "yudit" has got a big documentation in lots of languages.
 After the installation, the documentation will be available in:
 /usr/share/doc/yudit-doc/

Package: yui-compressor
Description-md5: bd069b00e0a22b6335e050845844bcb7
Description-en: JavaScript/CSS minifier
 The YUI Compressor is a JavaScript compressor which, in addition to removing
 comments and white-spaces, obfuscates local variables using the smallest
 possible variable name. This obfuscation is safe, even when using constructs
 such as 'eval' or 'with' (although the compression is not optimal is those
 cases) Compared to jsmin, the average savings is around 20%.
 .
 The YUI Compressor is also able to safely compress CSS files. The decision
 on which compressor is being used is made on the file extension (js or css).

Package: yuyo-gtk-theme
Description-md5: 64d801388ade2f3bbcec159699f85773
Description-en: Yuyo GTK2 and GTK3 Theme
 Yuyo has light and dark variations and a flat style
 with crisp clean lines. It is the official theme for
 Ubuntu MATE.

Package: yydebug
Description-md5: 46744d8ace731bed1378de758eb7a107
Description-en: support library for the Java-based parser generator jay
 This is a library that supports tracing and animation for a Java-based parser
 generated by jay. It produces one-line messages by default to standard output.
 The messages are designed to be filtered by a program such as grep. The class
 jay.yydebug.yyAnim provides an animation of the parsing process.

Package: z-push
Description-md5: 62cf77daa794ade8199801e639d565e7
Description-en: open source implementation of the ActiveSync protocol - metapackage
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This is a metapackage depending on packages providing the basic
 syncronisation functionality.

Package: z-push-backend-caldav
Description-md5: bd155e72790d676d6df11540f836b5a1
Description-en: open source implementation of the ActiveSync protocol - CalDav backend
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package contains the backend that allows connecting your devices to
 a caldav server.

Package: z-push-backend-carddav
Description-md5: f755e0f0bb82a843a390eb4f5086172f
Description-en: open source implementation of the ActiveSync protocol - CardDav backend
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package contains the backend that allows connecting your devices to
 a carddav server.

Package: z-push-backend-combined
Description-md5: 226c32be4ae320bd55f133119ffa0e98
Description-en: open source implementation of the ActiveSync protocol - combine backends
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 The combined backend allows you to use a combination from different other
 backends (imap, maildir, vcarddir, kopano) to sync data to mobile devices.

Package: z-push-backend-galsearch-ldap
Description-md5: b7ccb9c4d9aed2c88a0e5aed6ff617e6
Description-en: open source implementation of the ActiveSync protocol - LDAP search backend
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package contains the possibility to search GAB entries on the mobile
 device within a LDAP server.

Package: z-push-backend-imap
Description-md5: 6efe8c0cdd0ae3a0ae14c7ef23c29741
Description-en: open source implementation of the ActiveSync protocol - IMAP backend
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 The backend contained in this package allows you to sync emails to mobile
 devices from an IMAP server.

Package: z-push-backend-kopano
Description-md5: dce82562003d33d490e56284f39727a1
Description-en: open source implementation of the ActiveSync protocol - Kopano backend
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package contains the ability that allows connecting your devices to
 a Kopano or ZCP server to sync email, calendar, contacts, notes, tasks and
 searches in the GAB.

Package: z-push-backend-ldap
Description-md5: 97bfe8a1293c004d55f4ce247e179b64
Description-en: open source implementation of the ActiveSync protocol - LDAP backend
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 The backend contained in this package allows you to provide alternative
 contact information to your mobile devices from an LDAP Server (e.g. Global
 Address Lists).

Package: z-push-common
Description-md5: 1c8533b864caeaddd8800713d68237c7
Description-en: open source implementation of the ActiveSync protocol - common files
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package contains the actual Z-Push software used by all the backends.

Package: z-push-ipc-memcached
Description-md5: cbacee9199a7d3467fd47888797906e8
Description-en: open source implementation of the ActiveSync protocol - IPC memory management
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package is a provider for Z-Push that provides the usage of IPC
 via memcached mainly used in multi hosted setups. IPC is a acronym for
 'Inter Process Communication'.

Package: z-push-kopano-gab2contacts
Description-md5: eabc84112b4927466cd075458e01bd4c
Description-en: open source implementation of the ActiveSync protocol - Kopano GAB to contacts
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package provides the GAB sync into a contacts folder for Kopano.

Package: z-push-kopano-gabsync
Description-md5: 46d4e4f9dcc77fb86989a13a6371a4dd
Description-en: open source implementation of the ActiveSync protocol - Kopano GAB sync
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package provides the GAB (Global Address Book) for the Kopano Outlook
 Extension.

Package: z-push-state-sql
Description-md5: 9ab8ec56a817092c4c1ae840f7a6620b
Description-en: open source implementation of the ActiveSync protocol - MySQL state backend
 Z-Push is an implementation of the ActiveSync protocol which is used
 'over-the-air' for multi platform ActiveSync devices, including Windows
 Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With Z-Push any
 groupware can be connected and synced with these devices.
 .
 This package provides the saving of states in a MySQL server.

Package: z3
Description-md5: a6bd00235e73a905421a3205528956bc
Description-en: theorem prover from Microsoft Research
 Z3 is a state-of-the art theorem prover from Microsoft Research. It can be
 used to check the satisfiability of logical formulas over one or more
 theories. Z3 offers a compelling match for software analysis and verification
 tools, since several common software constructs map directly into supported
 theories.
 .
 The Z3 input format is an extension of the one defined by the SMT-LIB 2.0
 standard.

Package: z80asm
Description-md5: 3d3dd04b6aa23c0ba04ea680ce1bb336
Description-en: assembler for the Zilog Z80 microprocessor
 The Z80 microprocessor is used in old home computers, such as the
 ZX spectrum and MSX, and in several newer devices, such as the TI-83
 graphical calculator and (a stripped down version) in the (original) GameBoy.
 .
 This assembler is meant for developers who write code (in assembly) for
 such devices.
 .
 Features include:
  * macros
  * including other sources
  * complex expressions (similar to bash)
  * labels of unlimited length
  * conditional compilation depending on expressions

Package: z80dasm
Description-md5: 2d2be19063e5e32dd37843ef99c6fdca
Description-en: disassembler for the Zilog Z80 microprocessor
 The Z80 microprocessor is used in some 1980s home microcomputers, such as the
 Sinclair ZX80, ZX81, Spectrum, Galaksija and in several newer devices, such
 as graphical calculators from Texas Instruments and the original GameBoy.
 .
 This disassembler is useful for reverse engineering programs and operating
 systems written for such devices. It produces assembly source code from binary
 ROM images and tries to guess locations of labels and symbols. Its output can
 be directly converted back to binary with a Z80 assembler, such as z80asm.

Package: z8530-utils2
Description-md5: 59c936e4df48d1c24b9a2455694697e7
Description-en: Utilities for Z8530 based HDLC cards for AX.25
 This package contains utilities to load, configure and modify
 the kernel driver for Z8530 based SCC cards.
 .
 It should at least work with the following boards and
 connected modems:
 .
 BayCom USCC.
 PA0HZP card with and without ESCC and/or external clock divider
 (up to 19200 baud (loopback)) and compatibles.
 TCM3105 modem, 4k8 modem, 9k6 DF9IC modem (loopback), HAPN 4k8
 modem (connected to a SCC board, of course!).

Package: z88
Description-md5: 08685514b2c1f485be4c4ed76e399e78
Description-en: Finite Element Analysis Program - runtime
 Z88 features 20 finite element types covering plane stress, plate bending,
 axial symmetric structures and spacial structures up to 20-node Serendipity
 hexahedrons. Z88 comes with a user-friendly interface, a powerful mesh
 generator, a DXF-converter, two plot programs and, of course, two powerful
 solvers. Import of COSMOS files from Pro/ENGINEER and Pro/MECHANICA is
 supported.

Package: z88-data
Description-md5: 49107d0193ccff57fb2536f35db85d19
Description-en: Finite Element Analysis Program - data
 Z88 features 20 finite element types covering plane stress, plate bending,
 axial symmetric structures and spacial structures up to 20-node Serendipity
 hexahedrons. Z88 comes with a user-friendly interface, a powerful mesh
 generator, a DXF-converter, two plot programs and, of course, two powerful
 solvers. Import of COSMOS files from Pro/ENGINEER and Pro/MECHANICA is
 supported.
 .
 This package contains some data files

Package: z88-doc
Description-md5: 02a105eb2a2b48aadb535f04663211aa
Description-en: Finite Element Analysis Program - documentation
 Z88 features 20 finite element types covering plane stress, plate bending,
 axial symmetric structures and spacial structures up to 20-node Serendipity
 hexahedrons. Z88 comes with a user-friendly interface, a powerful mesh
 generator, a DXF-converter, two plot programs and, of course, two powerful
 solvers. Import of COSMOS files from Pro/ENGINEER and Pro/MECHANICA is
 supported.
 .
 This package contains the documentation

Package: zabbix-agent
Description-md5: eac02cc4af79b30caae8c4f8fb05e53b
Description-en: network monitoring solution - agent
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to monitor a host using a
 Zabbix agent.

Package: zabbix-frontend-php
Description-md5: 36c43ced4bb30be0a5c7f387cab96480
Description-en: network monitoring solution - PHP front-end
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides a web-browsable front-end to the Zabbix server, which
 can display graphs of the data collected from clients.

Package: zabbix-java-gateway
Description-md5: 42a49756e8dbedace1379b450d6dc7f7
Description-en: network monitoring solution - Java gateway
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to monitor Java applications
 using a Zabbix Java gateway. It collects information from JMX agent.

Package: zabbix-proxy-mysql
Description-md5: d6a8c019aa291e10002c2e08656b469b
Description-en: network monitoring solution - proxy (using MySQL)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 proxy. It collects information from Zabbix agents, temporarily stores it
 in a MySQL database and then passes it to a Zabbix server.

Package: zabbix-proxy-pgsql
Description-md5: 244acb11335213634aa4a92d21024297
Description-en: network monitoring solution - proxy (using PostgreSQL)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 proxy. It collects information from Zabbix agents, temporarily stores it
 in a PostgreSQL database and then passes it to a Zabbix server.

Package: zabbix-proxy-sqlite3
Description-md5: 605c0c25b150b79a885b51e44078a990
Description-en: network monitoring solution - proxy (using SQLite3)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 proxy. It collects information from Zabbix agents, temporarily stores it
 in an SQLite3 database and then passes it to a Zabbix server.

Package: zabbix-server-mysql
Description-md5: 47873543449b5d6688721f179370dff6
Description-en: network monitoring solution - server (using MySQL)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 client. It collects information from Zabbix clients and stores it in a
 MySQL database.

Package: zabbix-server-pgsql
Description-md5: cadbb0c0ef272ba662fa7d04372e834b
Description-en: network monitoring solution - server (using PostgreSQL)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 client. It collects information from Zabbix clients and stores it in a
 PostgreSQL database.

Package: zalign
Description-md5: 17f0a06fceb938bd0daa713c9f91f7cf
Description-en: parallel local alignment of biological sequences
 zAlign is a local sequence aligner, especially intended for use with
 large biological DNA sequences, with more than 1Mbp (Millions of base
 pairs). It uses the Smith-Waterman exact algorithm with affine gap cost
 function to perform this task.
 .
 zAlign can be used both in distributed (clusters, for
 example) or standalone environments. Currently it has
 been tested on Linux and Sun Solaris, using both the MPICH
 (http://www.mcs.anl.gov/research/projects/mpi/mpich1/) and OpenMPI
 (http://www.open-mpi.org/) implementations. Ports for other Unix-like
 environments are highly considered.

Package: zam-plugins
Description-md5: 1fe76f27bfb710d0e26772e0ca37dc7a
Description-en: Collection of LV2, LADSPA, LINUX-VST and JACK plugins
 Plugins for high quality audio processing.
 .
 The purpose of these plugins is to provide high quality DSP without
 using an excessive amount of CPU.
 .
 There currently is no official documentation on how to use these plugins,
 but anyone who is familiar with outboard gear should be able to work it out.
  The default settings and almost every slider is calibrated to standard ranges.
 .
 Package contain these plugins:
  * ZamAutoSat
    Automatic saturator (mono)
  * ZaMaximX2
    Lightweight maximiser/limiter (stereo)
  * ZamComp
    Dynamic range compressor (mono)
  * ZamCompX2
    Dynamic range compressor (stereo)
  * ZamDelay
    Basic delay plugin (mono)
  * ZamEQ2
    Parametric equaliser (mono)
  * ZamGate
    Gate plugin (mono)
  * ZamGateX2
    Gate plugin (stereo)
  * ZamGEQ31
    31 band graphic equaliser (mono)
  * ZamHeadX2
    Acoustic HRTF stereo widener (stereo)
  * ZamTube
    Triode tube amp simulator (mono)
  * ZaMultiComp
    Multiband compressor (mono)
  * ZaMultiCompX2
    Multiband compressor (stereo)
  * ZamDynamicEQ
    Dynamic equaliser
  * ZamPhono
    A collection filters for restoring vinyl records.

Package: zanshin
Description-md5: 7ef5afdf8f14e0fbc094ee86648a8e3f
Description-en: to-do list manager
 Zanshin is a powerful yet simple application for managing your day to day
 actions and notes. It helps you organize and reduce the cognitive pressure of
 what one has to do in one's job and personal life. You'll never forget
 anything anymore, having a "mind like water".

Package: zaqar-common
Description-md5: 0f76b758a4a39adf86814dd9dbbe1c53
Description-en: OpenStack Queueing as a Service - common files
 Zaqar is a multi-tenant cloud messaging service for web developers. It
 combines the ideas pioneered by Amazon's SQS product with additional semantics
 to support event broadcasting.
 .
 The service features a fully RESTful API, which developers can use to send
 messages between various components of their SaaS and mobile applications, by
 using a variety of communication patterns. Underlying this API is an efficient
 messaging engine designed with scalability and security in mind.
 .
 Other OpenStack components can integrate with Zaqar to surface events to end
 users and to communicate with guest agents that run in the "over-cloud" layer.
 Cloud operators can leverage Zaqar to provide equivalents of SQS and SNS to
 their customers.
 .
 This package contains common files and configuration that are
 needed by all the daemon packages of Zaqar.

Package: zaqar-server
Description-md5: fe958c889efeb84a83d31900d936f016
Description-en: OpenStack Queueing as a Service - API server
 Zaqar is a multi-tenant cloud messaging service for web developers. It
 combines the ideas pioneered by Amazon's SQS product with additional semantics
 to support event broadcasting.
 .
 The service features a fully RESTful API, which developers can use to send
 messages between various components of their SaaS and mobile applications, by
 using a variety of communication patterns. Underlying this API is an efficient
 messaging engine designed with scalability and security in mind.
 .
 Other OpenStack components can integrate with Zaqar to surface events to end
 users and to communicate with guest agents that run in the "over-cloud" layer.
 Cloud operators can leverage Zaqar to provide equivalents of SQS and SNS to
 their customers.
 .
 This package contains the API server on which clients will connect.

Package: zatacka
Description-md5: eb458df8c5238adbbe1fbe2844399917
Description-en: arcade multiplayer game like nibbles
 This is an arcade multiplayer game for 2 - 6 players on one keyboard, clone
 of Achtung die Kurve classical dos game. You handle your line and you must
 sidetrack lines of other players and of course walls. When some player dies,
 survival gets one point. When only one player is left alive, the round is
 finished. Each game has eleven rounds.

Package: zathura
Description-md5: 29938b9168eb719385f28bbdb27e5397
Description-en: document viewer with a minimalistic interface
 zathura is a document viewer with a minimalistic and space saving interface.
 It offers a vim-like experience and has a focus on keyboard interaction.
 The key bindings, commands and most other settings can be customized.
 .
 Some of the features are:
  * bookmarking pages
  * printing the whole document or specific pages
  * following links
  * searching in the document
  * browsing the document index
  * SyncTex forward and backward synchronization
 .
 zathura can be extended to support other file formats via a plugin system.

Package: zathura-cb
Description-md5: e03273e4fa93d46a0b0ec6378cc12154
Description-en: comic book archive support for zathura
 zathura is a document viewer with a minimalistic and space saving interface.
 It offers a vim-like experience and has a focus on keyboard interaction.
 The key bindings, commands and most other settings can be customized.
 .
 Some of the features are:
  * bookmarking pages
  * printing the whole document or specific pages
  * following links
  * searching in the document
  * browsing the document index
  * SyncTex forward and backward synchronization
 .
 This package contains zathura's comic book archive plugin.

Package: zathura-dev
Description-md5: fdefd8c2d86f493271c858f9971cb810
Description-en: development files for zathura plugins
 zathura is a document viewer with a minimalistic and space saving interface.
 It can be extended to support other file formats via a plugin system.
 .
 This package contains the files to develop plugins.

Package: zathura-djvu
Description-md5: 2d991d2296aa94bcffca77808de1495e
Description-en: DjVu support for zathura
 zathura is a document viewer with a minimalistic and space saving interface.
 It offers a vim-like experience and has a focus on keyboard interaction.
 The key bindings, commands and most other settings can be customized.
 .
 Some of the features are:
  * bookmarking pages
  * printing the whole document or specific pages
  * following links
  * searching in the document
  * browsing the document index
  * SyncTex forward and backward synchronization
 .
 This package contains zathura's DjVu plugin.

Package: zathura-pdf-poppler
Description-md5: e0a8018988d9bb83531236e8c66c8309
Description-en: PDF support for zathura
 zathura is a document viewer with a minimalistic and space saving interface.
 It offers a vim-like experience and has a focus on keyboard interaction.
 The key bindings, commands and most other settings can be customized.
 .
 Some of the features are:
  * bookmarking pages
  * printing the whole document or specific pages
  * following links
  * searching in the document
  * browsing the document index
  * SyncTex forward and backward synchronization
 .
 This package contains zathura's PDF plugin.

Package: zathura-ps
Description-md5: d30083f8451f51adda39fdcc095b9c80
Description-en: PostScript support for zathura
 zathura is a document viewer with a minimalistic and space saving interface.
 It offers a vim-like experience and has a focus on keyboard interaction.
 The key bindings, commands and most other settings can be customized.
 .
 Some of the features are:
  * bookmarking pages
  * printing the whole document or specific pages
  * following links
  * searching in the document
  * browsing the document index
  * SyncTex forward and backward synchronization
 .
 This package contains zathura's PostScript plugin.

Package: zaz
Description-md5: 72b3f0433f27dc2b93a7f9993b6796d9
Description-en: arcade action puzzle game
 Zaz is an arcade action puzzle game where the goal is to get rid of
 all incoming balls by rearranging their order and making triplets.
 It currently includes 12 different levels. The game's name is recursive
 and stands for "Zaz ain't Z".
 .
 A 3D accelerator is needed for decent gameplay.

Package: zaz-data
Description-md5: fb2f1647edd8106fac5f3d86c81f6d49
Description-en: arcade action puzzle game - game data
 Zaz is an arcade action puzzle game where the goal is to get rid of
 all incoming balls by rearranging their order.
 .
 This package contains the game data.

Package: zbackup
Description-md5: 873b9a61be881af7fc5684ca6062b1aa
Description-en: Versatile deduplicating backup tool
 Uses a 64-bit modified Rabin-Karp rolling hash for data deduplication,
 and SHA256 for backup integrity check.
 .
 Also features LZMA compression & AES encryption.

Package: zbar-tools
Description-md5: 959b1192a3ec318c05f75aac21a76707
Description-en: QR code / bar code scanner and decoder (utilities)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains basic applications for decoding captured bar code images
 and using a video4linux device (e.g. webcam) as a bar code scanner.

Package: zbarcam-gtk
Description-md5: c8c564783498d2390e04ae29afe7bc92
Description-en: QR code / bar code scanner and decoder (Gtk camera tool)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the Gtk-based camara frontend tool.

Package: zbarcam-qt
Description-md5: 1f5d99b1953cd0b71fc64150955ff621
Description-en: QR code / bar code scanner and decoder (Qt camera tool)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the Qt-based camara frontend tool.

Package: zdbsp
Description-md5: 65e4a68ef66fc687c8d5635a472e81c4
Description-en: node builder library for OpenGL-based Doom-style games
 zdbsp is a node builder specially designed to be used with OpenGL ports of
 the DOOM game engine. It adheres to the "GL-Friendly Nodes" specification,
 which means it adds some new special nodes to a WAD file that makes it very
 easy (and fast!) for an OpenGL DOOM engine to compute the polygons needed
 for drawing the levels.

Package: zeal
Description-md5: 6eba64604ad34b2f375b7482e943fcde
Description-en: Simple offline API documentation browser
 Zeal is a simple offline API documentation browser inspired by Dash (OS X
 app), available for Linux and Windows.
 .
  * Quickly search documentation using Alt+Space (or customised) hotkey to
    display Zeal from any place in your workspace.
  * Search in multiple sets of documentation at once.
  * Don't be dependent on your internet connection.
  * Integrate Zeal with Emacs, Sublime Text, or Vim.

Package: zec
Description-md5: 98b52e8737f202d4eddb291d562ad4ea
Description-en: Z-Shell Empire client
 zec is a client for Empire (http://www.wolfpackempire.com/),
 a real time, multiplayer, internet-based game, featuring military,
 diplomatic, and economic goals.
 .
 Currently there are two incarnations of zec: zec, and zecsh.
 .
 zec itself is a traditional script in the style of pei.  While it is not
 as full-featured as pei, it does have the advantage of ZLE support.
 .
 zecsh is an experimental script, intended to be sourced from a running
 shell instance, in order to give the user the ability to intersperse
 normal shell constructs with Empire commands.
 .
 At this time, zecsh is considerably less stable than zec.

Package: zegrapher
Description-md5: c1317ee4c00941013cf5a3c8ce1b048d
Description-en: plotting mathematical functions and sequences on the plane
 ZeGrapher is developed with the idea that it must be as easy to use as
 possible, suitable for high school students.
 Here are features offered by Zegrapher:
 .
 * Visualize up to six functions simultaneously. All the usual functions
    can be called, they're all written on the virtual keyboard of the
    program. The name of these must be followed by an open parenthesis.
    Ex : cos(3x), sqrt(x) and not cos 3x or sqrt x. On a given function,
    others can be called, and so it's possible to associate or compose
    functions.
 * Numerical sequence plotting, they can be defined by recurrent relation,
   or explicitly. On the recurrent definition, you can put as much first
   values as you need to.
 * Parametric equation plotting, with the possibility to use a second
   parameter, which is "k". The curves can be animated, with adjustable
   smoothness (frame rate) and speed (ms per step).
 * Parametric function and sequence plotting. The parameter to use is "k".
   Once you put it on an function's expression, new widgets will appear
   on which you'll enter the range and the step of "k". You can also
   choose two colors and each curve would take a color between these two.
 * Draw tangents, they can be moved and resized simply with the mouse.
 * Draw derivatives and antiderivatives of functions.
 * Print the graph, with these options :
  °  Choose the basis scale in centimeters, so you can measure the graph
     on the sheet with a ruler.
  °  Choose the size and the position of the graph on the sheet.
  °  Print in color or gray-scale.
  °  Export on a PDF file.
 * Exporting, it's possible to use all the known formats (png, jpeg,
   tiff, gif, ...).
 * Display a table of values, you can do it with three different options:
  °  From current graph view, the program will display the different
     functions ,sequences and parametric equation values from the graph's
     scale. And if you move the graph, the table would update itself
     automatically.
  °  Manual, you choose a number of empty boxes, then you'll enter by
     yourself the values that you want to know.
  °  Semi-automatic, with a given start value, step and number of values
     to display.
 * Navigate on the graph :
  °  Select a curve to display the coordinates of its points.
  °  Zoom/unzoom on each axis separately or together, with the mouse
     on a point, or on a rectangular region.
  °  Move the graph.
 * Customize the presentation :
  °  Change all the colors: axes, background, functions...
  °  Adjust curves displaying precision, it'll also affect rendering speed.
  °  Show/hide the grid.
  °  Activate/deactivate curves' smoothing.
  °  Display the graph on an orthonormal basis.

Package: zeitgeist
Description-md5: c3a22cf4812c44cba8ff553657f1d168
Description-en: event logging framework
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations held with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This metapackage depends on the Zeitgeist engine and a set of packages
 (such as data providers) commonly used together with it.

Package: zeitgeist-core
Description-md5: 4709c129c4bf63ba0918f4c77885bbb2
Description-en: event logging framework - engine
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations held with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This package contains the main daemon (in its new Vala implementation,
 codenamed "Bluebird"). It also includes the FTS (Full Text Search)
 extension.

Package: zeitgeist-datahub
Description-md5: a558fc51a7ce31d526aca14f5680830c
Description-en: event logging framework - passive logging daemon
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This package contains zeitgeist-datahub, a daemon which starts together with
 the main engine and inserts information collected from GtkRecentlyUsed, KDE's
 Recent Documents and several other sources into it.

Package: zemberek-java-demo
Description-md5: eac90fe0eefa734d2bc2f1bca6730442
Description-en: Zemberek demo application
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains demo application with Swing interface.

Package: zemberek-server
Description-md5: b023c53cf776063d5e8d87104db053a6
Description-en: Turkish spellcheck server
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains spellchek server which works via TCP/IP and DBUS.

Package: zenlisp
Description-md5: 4c2c380c331792589510cfb327b6d90d
Description-en: Interpreter for purely symbolic, pure, lexically scoped dialect of LISP
 Zenlisp is an interpreter for a purely symbolic, side effect-free,
 lexically scoped dialect of LISP.  It may be considered an
 implementation of pure LISP plus global definitions. Zenlisp is
 derived from ArrowLISP.

Package: zephyr-clients
Description-md5: 33218b0e8cd9adfbbe13e52bb1dfdc40
Description-en: Project Athena's notification service - client programs
 Zephyr is derived from the original Project Athena 'Instant Message' system
 and allows users to send messages to other users or to groups of users.
 Users can view incoming Zephyr messages as windowgrams (transient X
 windows) or as text on a terminal.
 .
 This package provides client programs for the messaging service.

Package: zephyr-server
Description-md5: f69862b4d9d498663a10a3a7337a75a8
Description-en: Project Athena's notification service - non-Kerberos server
 Zephyr is derived from the original Project Athena 'Instant Message' system
 and allows users to send messages to other users or to groups of users.
 Users can view incoming Zephyr messages as windowgrams (transient X
 windows) or as text on a terminal.
 .
 This package provides the server for the messaging service, which
 maintains a location and subscription database for all the receiving
 clients. All zephyrgrams are sent to the server to be routed to the
 intended recipient. Only one server is required for a group of clients.

Package: zephyr-server-krb5
Description-md5: f6107ed4c08f843aa021baaf4cf80b12
Description-en: The original "Instant Message" system-server with Kerberos 5
 You probably only need one server for a group of clients.
 This can be a memory-intensive server, especially for very large sites.
 .
 The server maintains a location and subscription database for all the
 receiving clients. All zephyrgrams are sent to the server to be routed
 to the intended recipient.
 .
 This version of the server uses Kerberos V for message authentication.
 .
 This is the Project Athena Zephyr notification system.
 Zephyr allows users to send messages to other users or to groups of
 users.  Users can view incoming Zephyr messages as windowgrams
 (transient X windows) or as text on a terminal.

Package: zeroc-glacier2
Description-md5: 6d5c13f0fb248d9bc5b65bf71d1589f7
Description-en: Glacier2 router
 This package contains the Glacier2 router. A Glacier2 router allows you to
 securely route Ice communications across networks, such as the public Internet
 and a private network behind a firewall. With Glacier2, you only need to open
 one port in your firewall to make multiple back-end Ice servers reachable by
 remote Ice clients on the Internet.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-ice-all-dev
Description-md5: fd5a4382e6303d46142d1064499a19b5
Description-en: Ice development packages (metapackage)
 This is a metapackage that depends on all development packages for Ice.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-ice-all-runtime
Description-md5: 7ee93947e84fc077c2cc143bc29c5740
Description-en: Ice run-time packages (metapackage)
 This is a metapackage that depends on all run-time packages for Ice.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-ice-compilers
Description-md5: 0f63ac9908f148a36e69397ebec0d2f8
Description-en: Slice compilers for developing Ice applications
 This package contains Slice compilers for developing Ice applications.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-ice-slice
Description-md5: 8dc75941ba50d429ff937f9d5534d3db
Description-en: Slice files for Ice
 This package contains Slice files used by the Ice framework.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-ice-utils
Description-md5: ff214477773900d9f98861512e4c5b6b
Description-en: Ice utilities and admin tools
 This package contains Ice utilities and admin tools.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-ice-utils-java
Description-md5: 3085e2d0404156beef5b19edc6962888
Description-en: zeroc-icegridgui transitional package
 This is a transitional package for zeroc-icegridgui. It can safely be removed.

Package: zeroc-icebox
Description-md5: 0410968de5906debc8787220af9b56ba
Description-en: IceBox server, a framework for Ice application services
 This package contains the IceBox server, an easy-to-use framework for
 developing and deploying application services.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-icebridge
Description-md5: 3b1da3c995bbec01ac10dc2a134a001c
Description-en: Bridge service
 This package contains the Ice bridge. The Ice bridge allows you to bridge
 connections securely between one or multiple clients and a server. It
 relays requests from clients to a target server and makes every effort
 to be as transparent as possible.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-icegrid
Description-md5: 5fc2244b227d7027d35c3a26860d28c6
Description-en: Locate, deploy, and manage Ice servers
 This package contains the IceGrid service, the IceGrid service helps you
 locate, deploy and manage Ice servers.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-icegridgui
Description-md5: 6348b814b4c9693ea79392af1e3b598b
Description-en: IceGrid Admin graphical client
 The IceGrid service helps you locate, deploy and manage Ice servers.
 .
 The IceGrid GUI give you complete control over your deployed applications.
 Activities such as starting a server or modifying a configuration setting are
 just a mouse click away.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zeroc-icepatch2
Description-md5: 30f07973b8ebdac37edac86c2be16911
Description-en: File distribution and patching
 This package contains IcePatch2 service, with IcePatch2, you can easily
 distribute a large set of files to many clients, and keep these files
 synced with your source set.
 .
 Ice is a comprehensive RPC framework that helps you network your software
 with minimal effort. Ice takes care of all interactions with low-level
 network programming interfaces and allows you to focus your efforts on
 your application logic.

Package: zfcp-hbaapi-dev
Description-md5: 321f10a57d8861fbb4e2ac4aa8474c88
Description-en: FC-HBA implementation for the zfcp device driver (development package)
 The zfcp device driver is a FCP device driver for the Linux on System
 z. This package implements FC-HBA API for the zfcp device driver.
 .
 This package contains development headers, library and API documentation.

Package: zfcp-hbaapi-utils
Description-md5: 4f98c4d1d17a9f735cbbcc13b4369c2e
Description-en: FC-HBA implementation for the zfcp device driver (utils)
 The zfcp device driver is a FCP device driver for the Linux on System
 z. This package implements FC-HBA API for the zfcp device driver.
 .
 This package contains zfcp_ping and zfcp_show utilities.

Package: zfs-dkms
Description-md5: c1e6760fc57260785aae3a4a3780013b
Description-en: OpenZFS filesystem kernel modules for Linux
 The Z file system is a pooled filesystem designed for maximum data
 integrity, supporting data snapshots, multiple copies, and data
 checksums.
 .
 This DKMS package includes the SPA, DMU, ZVOL, and ZPL components of
 OpenZFS.

Package: zfs-dracut
Description-md5: 6056f06e0d79b6d5a6d2fc7c6d902628
Description-en: OpenZFS root filesystem capabilities for Linux - dracut
 The Z file system is a pooled filesystem designed for maximum data
 integrity, supporting data snapshots, multiple copies, and data
 checksums.
 .
 This package adds OpenZFS to the system initramfs with a hook
 for the dracut infrastructure.

Package: zfs-fuse
Description-md5: fbb8697249769e1d9a0fb64e53044783
Description-en: ZFS on FUSE
 ZFS is an advanced filesystem from Sun Microsystems, originally developed
 for solaris. It provides a number of advanced features, such as live
 integrity checks, atomic updates, atomic snapshots and clones, compression,
 and much more.
 .
 This package provides an implementation of Sun's ZFS filesystem in userspace,
 using FUSE.

Package: zfs-test
Description-md5: 5d17e8fb813bbb38aa6f974133694331
Description-en: OpenZFS test infrastructure and support scripts
 The Z file system is a pooled filesystem designed for maximum data
 integrity, supporting data snapshots, multiple copies, and data
 checksums.
 .
 This package provides the OpenZFS test infrastructure for destructively
 testing and validating a system using OpenZFS. It is entirely optional
 and should only be installed and used in test environments.

Package: zfsnap
Description-md5: 43c80483bf622b9e3c64221fe60f1f09
Description-en: Automatic snapshot creation and removal for ZFS
 zfSnap is a simple sh script to make rolling zfs snapshots with cron. The main
 advantage of zfSnap is it's written in 100% pure /bin/sh so it doesn't require
 any additional software to run.
 .
 zfSnap keeps all information about snapshot in snapshot name.
 .
 zfs snapshot names are in the format of Timestamp--TimeToLive.
 .
 Timestamp includes the date and time when the snapshot was created and
 TimeToLive (TTL) is the amount of time for the snapshot to stay alive before
 it's ready for deletion.

Package: zftp
Description-md5: 524217187d28e4444d6c437ddd37e4de
Description-en: CERNLIB data analysis suite - file transfer program
 CERNLIB is a suite of data analysis tools and libraries created for
 use in physics experiments, but also with applications to other
 fields such as the biological sciences.
 .
 ZFTP is a macro-extensible file transfer program which supports the
 transfer of formatted, unformatted and ZEBRA RZ files (CMZ, HBOOK, etc.).
 It provides a common interface on all systems and avoids the problems of
 file format conversion that occur when transferring CERNLIB files
 between different architectures.
 .
 Except for the special treatment of CERNLIB files and use of a different port,
 zftp is very similar to an FTP client. Unless you are already familiar with
 this program, it will almost certainly be useless to you.

Package: zgen
Description-md5: 22359749c2cb7c8a8569d6e76fe1e1dc
Description-en: Lightweight plugin manager for ZSH inspired by Antigen
 zgen is a lightweight plugin manager for ZSH inspired by Antigen. The goal is
 to have a minimal overhead when starting up the shell because nobody likes
 waiting. The script generates a static init.zsh file which does nothing but
 sources your plugins and appends them to fpath. The downside is that you have
 to refresh the init script manually with zgen reset whenever you update your
 ~/.zshrc.

Package: zh-autoconvert
Description-md5: 093a926cae3d717ad5dd8161f96c4fe6
Description-en: Chinese HZ/GB/BIG5/UTF-16/UTF-7/UTF-8 encodings auto-converter
 AutoConvert is an intelligent Chinese Encoding converter. It uses
 builtin functions to judge the type of the input file’s Chinese
 Encoding (such as GB/Big5/HZ), then converts the input file to any
 type of Chinese Encoding you want. You can use autoconvert to handle
 incoming mail, automatically converting messages to the Chinese
 Encoding you want.  It can alse handle Unicode (UTF-16)/UTF-7/UTF-8 now.
 .
 This package contains the binary tools autogb and autob5, as well as
 the corresponding autogb/autob5 plugins for XChat the IRC client.

Package: zhcon
Description-md5: 402a526bf42eba28abee5e29ec185f44
Description-en: Fast console CJK system using FrameBuffer (main program)
 Zhcon is a fast Linux console Chinese system which supports framebuffer
 device.  It can display Chinese, Japanese or Korean double byte characters
 on console.
 .
 Features include:
  * Full support for Linux FrameBuffer device (from 640x480x8bpp to
    1024x768x32bpp)
  * Multiple language support (GB2312, GBK, BIG5, JIS, KSC)
  * Auto-detect and convert between GB2312 and BIG5 encoding (like hztty)
  * Hot key to change language encoding on the fly
  * Can use input method from MS Windows 98 Chinese version or UCDOS for
    MS-DOS

Package: zhcon-data
Description-md5: 2ab62e0c0fe21b6fd5971c8e04bbffe1
Description-en: Fast console CJK system using FrameBuffer (data files)
 This package contains the fonts and input method engine files for zhcon.
 .
 Zhcon is a fast Linux console Chinese system which supports framebuffer
 device.  It can display Chinese, Japanese or Korean double byte characters
 on console.

Package: zile
Description-md5: 72dbe9494a52adffaa230752ecb71a7b
Description-en: very small Emacs-subset editor
 GNU Zile is a small Emacs clone.  Zile is a customizable,
 self-documenting real-time display editor.  Zile was written to
 be as similar as possible to Emacs; every Emacs user should feel
 at home with Zile.

Package: zim
Description-md5: cb2802579d1cba73992002139ffdc61c
Description-en: graphical text editor based on wiki technologies
 Zim is a graphical text editor used to maintain a collection of wiki pages.
 .
 Each page can contain links to other pages, simple formatting and inline
 images. Pages are stored in a folder structure, like in an outliner, and can
 have attachments. Creating a new page is as easy as linking to a nonexistent
 page.
 .
 All data is stored in plain text files with wiki formatting. Various
 plugins provide additional functionality, like a task list manager, an
 equation editor, a tray icon, and support for version control.
 .
 Zim can be used to:
  * Keep an archive of notes
  * Take notes during meetings or lectures
  * Organize task lists
  * Draft blog entries and emails
  * Do brainstorming

Package: zimpl
Description-md5: 0a6cb855a961f20c7baf3a12c08eb16a
Description-en: mathematical modeling language for optimization problems
 Zimpl allows the specification of certain optimization problems - linear
 programs (LPs) and mixed integer programs (MIPs) - in a high-level
 description language. These descriptions can be converted into the
 LP or MPS file formats which are understood by LP and MIP solvers.

Package: zimwriterfs
Description-md5: 93bf848b4c50d7b70d463be892b646ff
Description-en: creates ZIM files from a locally-stored directory
 zimwriterfs is a console tool to create ZIM files from a locally-stored
 directory containing "self-sufficient" HTML content (with pictures,
 javascript, and stylesheets). The result will contain all the files of the
 local directory compressed and merged in the ZIM file. Nothing more, nothing
 less. The generated file can be opened with a ZIM reader; Kiwix is one
 example, but there are others.

Package: zinnia-utils
Description-md5: aba7b1f1e2b67bcfb4b6aa743c49a1b3
Description-en: utils for the zinnia library
 Zinnia provides a simple, customizable, and portable dynamic OCR
 system for hand-written input, based on Support Vector Machines.
 .
 Zinnia simply receives user pen strokes as coordinate data and outputs
 the best matching characters sorted by SVM confidence. To maintain
 portability, it has no rendering functionality. In addition to
 recognition, Zinnia provides a training module capable of creating
 highly efficient handwriting recognition models.
 .
 This package provide utils for zinnia library.

Package: zipalign
Description-md5: 7f578e69f3707e2a97bd1690104188b1
Description-en: Zip archive alignment tool
 zipalign is an archive alignment tool that provides important optimization to
 Android application (.apk) files. The purpose is to ensure that all
 uncompressed data starts with a particular alignment relative to the start of
 the file.

Package: zipcmp
Description-md5: b5afa964f0a0ea99413a08675f8e3533
Description-en: compare contents of zip archives
 zipcmp compares two zip archives and checks if they contain the same files,
 comparing their names, uncompressed sizes, and CRCs. File order and compressed
 size differences are ignored.

Package: zipmerge
Description-md5: b31ef64d55e213c298b63e7fe1e9db4d
Description-en: merge zip archives
 zipmerge merges a source zip archives into a target zip archive.
 By default, files in the source zip archives overwrite existing files of the
 same name in the target zip archive.

Package: zipper.app
Description-md5: c842d3f9be72c5912314a72b6dfcccb5
Description-en: Archive manager for GNUstep
 Zipper is a tool for extracting and viewing archive files for GNUstep.
 It provides the following features:
 .
  * Decompression of Tar (.tar.gz, .tar.bz2, .tar.xz), LHA (.lha,
    .lzx), RAR (.rar), ZIP (.zip), 7z (.7z, .7za), ZOO (.zoo), ACE
    (.ace) and ARJ (.arj) archives
  * Archive creation (.tar.gz, .tar.bz2, .tar.xz, .lha, .zip, .7z/.7za
    and .zoo)
  * GNUstep "Create archive" service

Package: ziproxy
Description-md5: 1ccfd260c37bb901b45c8463f264757d
Description-en: compressing HTTP proxy server
 Ziproxy is a forwarding, non-caching and compressing HTTP proxy server.
 Basically it squeezes images by converting them to lower quality JPEGs
 and compresses (gzip) HTML and other text-like data. It also provides
 other features such as: HTML/JS/CSS optimization, preemptive hostname
 resolution, transparent proxying, IP ToS marking (QoS), Ad-Blocker,
 detailed logging and more.
 .
 Ziproxy may be installed in a number of different ways. Most common
 setup modes:
  1) As a remote proxy (by far, the most commonly used setup)
     Ziproxy accesses the remote www servers through a fast link,
     compresses the data, then send it to the client through a slow link.
     In such setups there's no additional software required by the client,
     Ziproxy acts much as a common network proxy.
  2) As a local and remote proxy
     Similar to setup #1, except that the client also runs its instance of
     Ziproxy. Although Ziproxy does not require a Ziproxy-specific client,
     there are circumstances where is desired to run Ziproxy-as-a-client:
       * Client does not support JPEG 2000.
       * Client supports JPEG 2000 but it is buggy and/or slow.
       * Client does not support gzip.
       * Need to support both JPEG 2000 and standard JPEG at the same proxy.

Package: ziptime
Description-md5: cd5fe196ce520fc753d9117721afac9f
Description-en: Zip archive timestamp remover
 ziptime normalizes the timestamps in the header of a Zip file.  It
 was created as part of the Android SDK to help make reproducible
 builds of Android application (.apk) files. It replaces all
 timestamps in the Zip headers with a static time (Jan 1 2008). The
 extra fields are not changed, so you'll need to use the -X option to
 zip so that it doesn't create the 'universal time' extra.

Package: ziptool
Description-md5: af7dd666a1c58fb99a8fce3b92e989da
Description-en: modify zip archives
 ziptool is a utility for working with zip archives.  It can modify
 details of zip archives according to the commands given, including
 timestamps and other metadata about the included files.

Package: zita-ajbridge
Description-md5: 3cc3630f808dd995034fe7f270775d80
Description-en: alsa to jack bridge
 This package provides two applications, zita-a2j and zita-j2a.
 They allow one to use an ALSA device as a Jack client, to provide
 additional capture (a2j) or playback (j2a) channels.
 .
 Functionally these are equivalent to the alsa_in and alsa_out
 clients that come with Jack, but they provide much better audio
 quality. The resampling ratio will typically be stable within
 1 PPM and change only very smoothly. Delay will be stable as
 well even under worse case conditions, e.g. the Jack client
 running near the end of the cycle.

Package: zita-alsa-pcmi-utils
Description-md5: c30525e29c761eb16922bcdc30615e93
Description-en: Two simple demo programs zita-alsa-pcmi library
 Two simple demo programs are provided.
 alsa_delay a ALSA version of jack_delay.
 And alsa_loopback

Package: zita-at1
Description-md5: 1111dd73d063056c71080119ae374c95
Description-en: JACK autotuner
 AT1 is an 'autotuner', normally used to correct the pitch of a voice
 singing (slightly) out of tune. Compared to 'Autotalent' it provides
 an improved pitch estimation algorithm, and much cleaner resampling.
 .
 AT1 does not include formant correction, so it should be used to
 correct small errors only and not to really transpose a song. The
 'expected' pitch can be controlled by Midi (via Jack only), or be a
 fixed set of notes. AT1 can probably be used on some instruments as
 well, but is primarily designed to cover the vocal range. It's also
 usable as a quick and dirty guitar tuner.

Package: zita-bls1
Description-md5: b9fe88bc92a653518cb96975d462a8a7
Description-en: binaural stereo signals converter
 zita-bls1 - The Blumlein Shuffler convert
 binaural stereo signals into a form suitable
 for reproduction using a convential stereo speaker pair.

Package: zita-dc1
Description-md5: 11ecf2bcad21f9e5c7d0690b6b52508b
Description-en: Dynamics Compressor
 Dynamic range compression reduces the volume of loud sounds or amplifies quiet
 sounds thus reducing or compressing an audio signal's dynamic range. DC1
 provides a dynamics compressor for use with JACK Audio Connection Kit. It can
 be used as master effect or as an effect on individual instrument tracks as
 well.

Package: zita-dpl1
Description-md5: a1e815b258abdef0cb68998193be3cb0
Description-en: digital peak level limiter
 DPL1 is an look-ahead digital peak limiter, the kind you would use as the
 final step to avoid clipping when mastering or mixing. It can be used as
 an effect on individual instrument tracks as well.
 .
 Latency is 1.2 ms rounded up to the nearest multiple of 8, 16 or
 32 samples depending on sampling frequency. This amounts to 56 samples at
 44.1 kHz, 64 samples at 48 kHz, and twice those values for 88.2 or 96 kHz.
 .
 In most cases you only need -k number_of_channels, which can be 1 to 16.
 The same gain reduction is applied to all channels.

Package: zita-lrx
Description-md5: dcc2c12e09dc7e732c481345c69859c5
Description-en: Command line jack application providing crossover filters
 Command line jack application providing 2, 3, or 4-band,
 4th order crossover filters.
 The filter type is continuously variable between Linkwitz-Riley
 (-6dB at the xover frequency) and Butterworth(-3 dB at the xover frequency).
 Outputs are exactly phase matched in the crossover regions.
 .
 The application supports up to 16 channels.
 .
 Configuration is by a text file using 'OSC' style syntax
 (similar to Ambdec and Jconvolver).
 Apart from the basic filter parameters,
 the following can be set:
  - Channel labels (used for naming Jack ports).
  - Frequency band names (used in output port names).
  - Optional output autoconnections.
  - For each channel: gain and delay (in ms).
  - For each frequency band: gain and delay.

Package: zita-mu1
Description-md5: ccb4f781ec7db99e19082f08245a2a89
Description-en: organise stereo monitoring for Jack Audio Connection Kit
 zita-mu1 is a simple Jack application used to organise stereo monitoring.
 .
 Main features:
  - Four stereo inputs.
  - K20 RMS/peak meters and stereo correlation meter.
  - Two monitoring outputs with individual volume controls.
  - Left / Right / Mono and Dim swithes.
  - Output of unmodified selected input, e.g. for metering.
  - Talkback level controls and buttons with automatic dimming of speakers.

Package: zita-njbridge
Description-md5: 833932e85026b53478f9ebb052887f4d
Description-en: Jack clients to transmit multichannel audio over a local IP network
 Command line Jack clients to transmit full quality multichannel audio
 over a local IP network, with adaptive resampling.
 .
 Main features:
  - One-to-one (UDP) or one-to-many (multicast).
  - Sender and receiver(s) can each have their own
    sample rate and period size.
  - Up to 64 channels, 16 or 24 bit or float samples.
  - Receiver(s) can select any combination of channels.
  - Low latency, optional additional buffering.
  - High quality jitter-free resampling.
  - Graceful handling of xruns, skipped cycles, lost
    packets and freewheeling.
  - IP6 fully supported.
  - Requires zita-resampler, no other dependencies.

Package: zita-resampler
Description-md5: ac7870aa9f4dd8eee9ec8c5732ab407e
Description-en: resampler application written with libzita-resampler
 Input format is any file readable by libsndfile, output is either WAV
 (WAVEX for more than 2 channels)  or CAF. Apart from resampling, users
 can change the sample format to 16-bit, 24-bit or float, and for 16-bit
 output, add dithering.
 .
 Available dithering types are rectangular, triangular and Lipschitz'
 optimised error feedback filter. Some examples of dithering can be seen
 here:
 https://kokkinizita.linuxaudio.org/linuxaudio/dithering.html

Package: zita-rev1
Description-md5: f82571b88c2151a28efb66a824297e34
Description-en: pro-audio reverb effect
 REV1 is a reworked version of the reverb originally developed for Aeolus.
 Its character is more 'hall' than 'plate', but it can be used on a wide
 variety of instruments or voices. It is not a spatialiser - the early
 reflections are different for the L and R inputs, but do not correspond
 to any real room. They have been tuned to match left and right sources to
 some extent.
 .
 In Stereo mode a dry/wet mix control is provided, so it can be used either
 as an insert or in send/return mode. For mono just connect one of the
 two channels.
 .
 In Ambisonic mode (selected by the -B command line option) the only option
 is the send/return mode.

Package: zkg
Description-md5: f3809a18826b6db8b64c7ac2408e763d
Description-en: Zeek Package Manager
 The Zeek Package Manager makes it easy for Zeek users to install and
 manage third party scripts as well as plugins for Zeek and ZeekControl.
 .
 This package installs the zkg executable and support files.

Package: zktop
Description-md5: 57993277a9775b178a811bda306f124c
Description-en: top for Apache ZooKeeper
 Provides a unix "top" like utility for Apache ZooKeeper.

Package: zlib-gst
Description-md5: 4339b951b93d10610604e46c2ef7bcb9
Description-en: Zlib bindings for GNU Smalltalk
 This package contains the Zlib bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: zlibc
Description-md5: b56ddd76a94c864123bbcb378869cedb
Description-en: An on-fly auto-uncompressing C library
 Zlibc is a preloadable shared library that allows executables to
 uncompress the data files that they need on the fly. No kernel patch,
 no recompilation of these executables and no recompilation of the
 libraries is needed.

Package: zmakebas
Description-md5: ecfb2f81f800944c0542a83c25cc71e6
Description-en: convert text files into ZX Spectrum Basic programs
 zmakebas converts a Spectrum Basic program written as a text file into
 an actual speccy Basic file (as a .TAP file, or optionally a raw
 headerless file).

Package: zmap
Description-md5: 6f403bbbd294b261413f2e8f9a4c858c
Description-en: network scanner for researchers
 ZMap is a network scanner that enables researchers to
 easily perform Internet-wide network studies. With a single
 machine and a well provisioned network uplink, ZMap is capable of
 performing a complete scan of the IPv4 address space in under 45
 minutes, approaching the theoretical limit of gigabit Ethernet.
 .
 ZMap can be used to study protocol adoption over time, monitor
 service availability, and help people better understand large systems
 distributed across the Internet.

Package: zmf2epub
Description-md5: b2e6d872eb7e3d25f2942122080b8429
Description-en: Zoner Draw to EPUB converter
 This package contains a utility for converting Zoner Draw documents
 to EPUB.

Package: zmf2odg
Description-md5: e6bbe209f609e823154c970af3f01cba
Description-en: Zoner Draw to OpenDocument converter
 This package contains a utility for converting Zoner Draw documents
 to OpenDocument

Package: znc
Description-md5: 9b4612c45a69774bb76ba3e438f750c7
Description-en: advanced modular IRC bouncer
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.

Package: znc-backlog
Description-md5: 1af07f79413fd777e0d8b5e1ff2ff6dd
Description-en: module for requesting backlog from znc bouncer
 znc-backlog is a third party ZNC module that makes it easy to request backlog.
 Its intended use is for when you have just launched your IRC client and gotten
 a few lines of backlog sent to you, but want to read more. Instead of having
 to deal with shelling into the box where you run ZNC and manually sifting
 through the logs, you can issue a short command in your IRC client to request
 any amount of the most recent lines of log.
 .
 For more information about ZNC, see the znc package.

Package: znc-dev
Description-md5: 52f474b711dc1ef1b5080ac619e4daa2
Description-en: advanced modular IRC bouncer (development headers)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the znc development headers for developing modules.

Package: znc-perl
Description-md5: 3b9cef928cf90174b5c7358eb9faff82
Description-en: advanced modular IRC bouncer (Perl extension)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the znc Perl extension.

Package: znc-push
Description-md5: 0946f488a8f925deb4c6d3c7e0799963
Description-en: znc plugin to send push notification to various services
 ZNC Push is a third party ZNC module that will send notifications to
 multiple push  notification services, or SMS for any private message or
 channel highlight that matches a configurable set of conditions.
 .
 ZNC Push current supports the following services:
  * Boxcar
  * Boxcar 2
  * Pushover
  * Pushsafer
  * Prowl
  * Supertoasty
  * PushBullet
  * Airgram
  * Faast
  * Nexmo
  * Pushalot
  * Pushjet
  * Telegram
  * Custom URL GET requests
 .
 For more information about ZNC, see the znc package.

Package: znc-python
Description-md5: 7850724d985b344f626787e4324ee8e1
Description-en: advanced modular IRC bouncer (Python extension)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the znc Python extension.

Package: znc-tcl
Description-md5: a9d7945551f2c91a0a26d0284e01f934
Description-en: advanced modular IRC bouncer (Tcl extension)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the znc Tcl extension.

Package: zoem
Description-md5: 13095df4775f5f627a6696d4b0897372
Description-en: general-purpose macro/programming language for transforming text
 Zoem is a language for transforming text. It supports the creation of
 light-weight mark-up languages as macro packages built on top of zoem.
 .
 Zoem submits text to a two-stage transformation process. Macro
 expansion and interpretation is followed by application of
 customizable character filtering rules. Zoem supports inside-out
 evaluation, comprehensive IO, control operators, iteration,
 dictionary stacks, multidimensional data storage, arithmetic
 expressions, regular expressions, system commands, and more.

Package: zomg
Description-md5: 1fbb41363edc7ea8c526a78a814f4542
Description-en: console-based libre.fm submission and radio client
 ZOMG is a console-based libre.fm client written in Z-Shell.
 It can submit the music tracks you play to libre.fm via the
 Audioscrobbler protocol, and it can play libre.fm radio stations.
 .
 It can also submit tracks to last.fm or any compatible GNU FM site.

Package: zonemaster-cli
Description-md5: 9fe5aafc959abe4a3b254749763b6708
Description-en: run Zonemaster tests from the command line
 Zonemaster is a utility for DNS validation of the quality of a DNS
 delegation.
 .
 This package contains the Zonemaster CLI utility.

Package: zoneminder
Description-md5: 29f69a7c7c0c0df78845ae5f4f2dcf64
Description-en: video camera security and surveillance solution
 ZoneMinder is intended for use in single or multi-camera video security
 applications, including commercial or home CCTV, theft prevention and child
 or family member or home monitoring and other care scenarios. It
 supports capture, analysis, recording, and monitoring of video data coming
 from one or more video or network cameras attached to a Linux system.
 ZoneMinder also support web and semi-automatic control of Pan/Tilt/Zoom
 cameras using a variety of protocols. It is suitable for use as a home
 video security system and for commercial or professional video security
 and surveillance. It can also be integrated into a home automation system
 via X.10 or other protocols.

Package: zoneminder-doc
Description-md5: 4a773479a5baca017df378eb5af4e073
Description-en: video camera security and surveillance solution (documentation)
 ZoneMinder is intended for use in single or multi-camera video security
 applications, including commercial or home CCTV, theft prevention and child
 or family member or home monitoring and other care scenarios. It
 supports capture, analysis, recording, and monitoring of video data coming
 from one or more video or network cameras attached to a Linux system.
 ZoneMinder also support web and semi-automatic control of Pan/Tilt/Zoom
 cameras using a variety of protocols. It is suitable for use as a home
 video security system and for commercial or professional video security
 and surveillance. It can also be integrated into a home automation system
 via X.10 or other protocols.
 .
 This package provides ZoneMinder documentation in HTML format.

Package: zookeeper
Description-md5: 0a45f324b8251b96598372f069b4c228
Description-en: High-performance coordination service for distributed applications
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains the shell scripts and an example configuration but does
 not automatically start up the service. The example configuration is installed
 with the update-alternatives mechanism.

Package: zookeeper-bin
Description-md5: 4d0c30ad317c8e46b0d41c9e894bf571
Description-en: Command line utilities for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains additional zookeeper command line utilities using the C
 binding. See the "zookeeper" package for command line utilities using the java
 binding.

Package: zookeeperd
Description-md5: 6d3e9e37f1bdfe054bad45084d910d36
Description-en: Init control scripts for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains init.d scripts to start and stop zookeeper and starts
 zookeeper on installation.

Package: zoom-player
Description-md5: 12553b773a927846efe8445f8af360e6
Description-en: player for Z-Code stories or games
 Zoom is a player for Z-Code stories or games. These are usually text
 adventures (also known as interactive fiction), made popular in the
 eighties by Infocom with its Zork series of games, and others.
 .
 Zoom emulates versions 3 through 8 of the Z-Machine; in particular it
 supports version 6 games properly (including Arthur, Journey, Shōgun
 and Zork Zero).

Package: zopfli
Description-md5: 806eda3e76763f8d633b39e21dd88404
Description-en: zlib (gzip, deflate) compatible compressor
 Zopfli Compression Algorithm is a new zlib (gzip, deflate) compatible
 compressor. This compressor takes more time (~100x slower), but compresses
 around 5% better than zlib and better than any other zlib-compatible
 compressor we have found.

Package: zoph
Description-md5: 95fb5a98491f395b9a54d04956d71da6
Description-en: Web based digital image presentation and management system
 Zoph (*Z*oph *O*rganizes *Ph*otos) is a web based digital image presentation
 and management system. In other words, a photo album. It is built with
 PHP and MySQL.
 .
 The backend database can relate an images to one of more albums, places,
 people, categories etc. Albums, places and categories can be hierarchical.
 Multiple users can be created, with individual access to albums.
 .
 Images can be imported via a web interface.

Package: zpaq
Description-md5: ccade89128e16be0f5fc0921301c091c
Description-en: maximum reference compressor for ZPAQ open standard
 The PAQ family is a series of open source data compression archivers
 that have evolved through collaborative development to top rankings
 on several benchmarks measuring compression ratio although at the
 expense of speed and memory usage.
 .
 This package includes ZPAQ, a proposed standard format for highly
 compressed data that allows new compression algorithms to be
 developed without breaking compatibility with older programs.

Package: zplug
Description-md5: 743ba415342fa6ca1a6a887e00be7605
Description-en: next-generation plugin manager for zsh
 zplug is a next-generation plugin manager for zsh, with the following
 features:
 .
  * Can manage everything
    * Zsh plugins/UNIX commands on GitHub and Bitbucket
    * Gist files (gist.github.com)
    * Externally managed plugins e.g., oh-my-zsh plugins/themes
    * Binary artifacts on GitHub Releases
    * Local plugins
    * etc. (you can add your own sources!)
  * Super-fast parallel installation/update
  * Support for lazy-loading
  * Branch/tag/commit support
  * Post-update, post-load hooks
  * Dependencies between packages
  * Unlike antigen, no ZSH plugin file (*.plugin.zsh) required
  * Interactive interface (fzf, peco, zaw, and so on)
  * Cache mechanism for reducing the startup time.

Package: zpspell
Description-md5: 7c8763163b70f3922ffabbd9478a319d
Description-en: Command line interface for zemberek-server
 zpspell is the command line interface for zemberek-server. It simulates the
 ispell interface for being compatible with programs using ispell interactive
 mode.

Package: zram-config
Description-md5: ee0297843455ae53aeb89e88f31cdbf8
Description-en: Upstart job to enable zram support
 This installs a simple Upstart job to enable zram support
 on kernels that have the module available.

Package: zram-tools
Description-md5: ec6912716a950f68b3695264db84a2bc
Description-en: utilities for working with zram
 zram is a Linux kernel module that allows you to set up compressed
 filesystems in RAM.
 .
 zram-tools uses this module to set up compressed swap space.
 This is useful on systems with low memory or servers
 running a large amount of services with data that's easily swappable
 but that you may wish to swap back fast without sacrificing disk
 bandwidth.
 .
 By default it allocates 100MB of RAM, you can configure this in
 /etc/default/zramswap.

Package: zsh-antigen
Description-md5: 4a93fd7058d13897e0f8739cd2671988
Description-en: manage your zsh plugins
 Antigen is a small set of functions that help you easily manage your shell
 (zsh) plugins, called bundles. The concept is pretty much the same as bundles
 in a typical vim+pathogen setup. Antigen is to zsh, what Vundle is to vim.

Package: zsh-autosuggestions
Description-md5: c6d7ddd2a3b2577bc4a3e0e8147af8e4
Description-en: Fish-like fast/unobtrusive autosuggestions for zsh
 As you type commands, you will see a completion offered after the cursor
 in a muted gray color. If you press the right-arrow key or End with the
 cursor at the end of the buffer, it will accept the suggestion, replacing
 the contents of the command line buffer with the suggestion. If you invoke
 the forward-word widget, it will partially accept the suggestion up to the
 point that the cursor moves to.

Package: zsh-static
Description-md5: 23f1b767f357b2c1b99e434a26490622
Description-en: shell with lots of features (static link)
 Zsh is a UNIX command interpreter (shell) usable as an
 interactive login shell and as a shell script command
 processor. Of the standard shells, zsh most closely resembles
 ksh but includes many enhancements. Zsh has command-line editing,
 built-in spelling correction, programmable command completion,
 shell functions (with autoloading), a history mechanism, and a
 host of other features.
 .
 This is the statically-compiled version of the shell.

Package: zsh-syntax-highlighting
Description-md5: ddd35175b69b8749729f2e3a5825e407
Description-en: Fish shell like syntax highlighting for zsh
 This package provides syntax highlighting for the shell zsh.  It enables
 highlighting of commands whilst they are typed at a zsh prompt into an
 interactive terminal.  This helps in reviewing commands before running
 them, particularly in catching syntax errors.
 .
 This feature is inspired by the Fish shell, which provides it by default.
 .
 There are numerous ways to configure the colors used for highlighting,
 and to configure what is highlighted.

Package: zsh-theme-powerlevel9k
Description-md5: 4b0f0d489278b1d7a256775e655f9730
Description-en: powerlevel9k is a theme for zsh which uses powerline fonts
 Get more out of your terminal. Be a badass. Impress everyone in
 'Screenshot Your Desktop' threads. Use powerlevel9k.
 .
 There are a number of Powerline ZSH themes available, now. The developers of
 this theme focus on four primary goals:
  - Give users a great out-of-the-box configuration with no additional
    configuration required.
  - Make customization easy for users who do want to tweak their prompt.
  - Provide useful segments that you can enable to make your prompt even more
    effective and helpful. It has prompt segments for everything from unit
    test coverage to your AWS instance.
  - Optimize the code for execution speed as much as possible. A snappy
    terminal is a happy terminal.
  - Powerlevel9k can be used to create both very useful and beautiful
    terminal environments.
 .
 To enable this theme for the current user, run:
   echo 'source  /usr/share/powerlevel9k/powerlevel9k.zsh-theme' >> ~/.zshrc

Package: zshdb
Description-md5: cbe348d8cae6720a30f6a1a3ddeadeeb
Description-en: debugger for Z-Shell scripts
 A zsh script to which arranges for another zsh script to be debugged. zshdb is
 a port of bashdb, a similar debugger for bash scripts, to zsh. The command
 syntax generally follows that of the trepanning debuggers and, more generally,
 GNU debugger gdb.

Package: zsnapd
Description-md5: ee391bd9f9e2859dc7517830f10d9ac4
Description-en: ZFS Snapshot Daemon written in python
 Python daemon that manages ZFS snapshots and send/receive backup.
 Based on zfs-snap-manager.
 .
   * Remote ZFS snapshoting/aging wnd replication back to central ZFS
     backup server. Only need to install zfs-utils, zsnapd-rcmd (for secure)
     remote ssh key login and sshd on client, and use root ssh key based
     log in.
   * Has connectivity test to skip scheduled actions when the remote site
     cannot be contacted.  Actually quite useful for ZFS backing up laptops.
     Reachability failures are logged of course!
   * Uses Python3
   * Native systemd support.
   * Configuration is stored in configuration files with the ini file
     format. Configuration .d parts directories also present
   * Triggers the configured actions based on time or a '.trigger' file
     present in the dataset's mountpoint.
   * Can take snapshots (with a yyyymmddhhmm timestamp format).
   * Can replicate snapshots to/from other nodes.
   * Push based when the replication source has access to the replication
     target.
   * Pull based when the replication source has no access to the replication
     target. Typically when you don't want to give all nodes access to the
     backup/replication target.
   * Cleans all snapshots with the yyyymmddhh timestamp schmea format based
     on a GFS schema (Grandfather, Father, Son).  Timestamp
     evaluation based on actual ZFS snapshot creation metadata.
   * Supports pre and post commands.

Package: zsnapd-rcmd
Description-md5: a0cf528cccd501b75b937038ea930c64
Description-en: Remote sshd command checker for ZFS Snapshot Daemon
 ZFS Snapshot Daemon is written in python, and it can remotely manage ZFS
 snapshotting and backup from a central back up server.  This is the
 security plugin command for sshd that implements ForceCommand functionality,
 or the command functionality in the .ssh/authorized_keys file (See
 the sshd_config(8) and sshd(8) man pages respectively).
 .
 It executes commands from the SSH_ORIGINAL_COMMAND variable after checking
 them against a list of configured regular expressions.

Package: zssh
Description-md5: 7840e98cd5436488f415d9612c82e09d
Description-en: interactive file transfers over ssh
 zssh (Zmodem SSH) is a program for interactively transferring files to a
 remote machine  while using  the secure  shell (ssh).  It is intended to
 be a convenient  alternative to scp, allowing to  transfer files without
 having to open another session and re-authenticate oneself.
 .
 Files are transferred through the zmodem  protocol,  using the rz and sz
 commands.

Package: zstd
Description-md5: d2e4d40bb07cc70b5a32d3b4a9b5f53d
Description-en: fast lossless compression algorithm -- CLI tool
 Zstd, short for Zstandard, is a fast lossless compression algorithm, targeting
 real-time compression scenarios at zlib-level compression ratio.
 .
 This package contains the CLI program implementing zstd.

Package: zsync
Description-md5: 55c7895466b4c20f2f56e9be9a5d33c4
Description-en: client-side implementation of the rsync algorithm
 zsync is a file transfer program to download files from
 remote web servers. If a previous version of a file is available
 locally, zsync will only download changed parts and hereby
 minimise the download volume. The algorithm is the same as used
 by rsync(1), but zsync does not require any server software
 (apart from a web server), nor does it need shell access.
 Instead, it uses a control file (.zsync file) that describes the
 file to be downloaded, which it uses to determine the blocks to
 fetch. This file is created once on the server (and not for each
 request) and sits next to actual file to download

Package: ztclocalagent
Description-md5: 9bd9b2b3301e05ede5d383b008241ab2
Description-en: sample application demonstrating Zero Touch Configuration
 This sample application demonstrates how to set up an Intel(R) AMT
 device for Zero Touch Configuration (ZTC) and trigger it to initiate
 the configuration process.

Package: ztex-bmp
Description-md5: 398d602cc1a425d2d2b352846ec0c97e
Description-en: universal macro processor
 bmp (for babel universal macro processor) is a powerful general purpose
 macro processor that can be used in combination with many languages,
 e.g. Pascal or C. Projects comprising many different languages,
 can this way share a single mechanism to adjust parameters across
 all their code base. Developers with C background will be reminded
 of the functionality of cpp or m4, and those fluent in UNIX will also
 see similarities to sed.
 .
 bmp was developed as a part of the ZTEX EZ-USB SDK and is used to
 assemble the firmware for EZ-USB micro controllers. It is not associated
 in any way with D. Knuth's typesetting system.  When run as a standalone
 application, it is as versatile as to serve as a parser or to perform
 some non-trivial text replacements.

Package: zulucrypt-cli
Description-md5: 8776bc4b264928d0a46995af3b7b334b
Description-en: tool for encrypting volumes
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 zulucrypt-cli is a command line interface frontend
 to cryptsetup and tcplay and it is a tool that
 make it easy to manage LUKS, PLAIN and TRUECRYPT
 encrypted volumes. With one command you can encrypt
 a volume. Without zulucrypt-cli would be multiple
 commands needed to achieve the same result.

Package: zulucrypt-gui
Description-md5: f4172756adcde7533ace4eab972460f8
Description-en: graphical front end for zulucrypt-cli
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 zulucrypt-gui is a front end for zulucrypt-cli.
 This way all activities can be performed easily
 by the graphical interface.

Package: zulumount-cli
Description-md5: febbf9500ead0cfe9d7bf10965ad0ef7
Description-en: tool that manages encrypted volumes
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 zulumount-cli is a command line interface that has
 a primary mission of opening and closing encrypted
 volumes as well as mounting and unmounting unencrypted
 volumes and opened encrypted ones. Its primary
 purpose is to do what tools like udisks does.

Package: zulumount-gui
Description-md5: 746afd29dc50507c0e8a1fa7d5a7ddb4
Description-en: graphical front end for zulumount-cli
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 zuluMount-gui is a front end for zuluMount-cli.
 This way all activities the zulumount-cli can
 be performed easily by the graphical interface.
 .
 zuluMount-gui can unlock cryfs, encfs, gocryptfs
 and ecryptfs.

Package: zulupolkit
Description-md5: 499c52c8ae166e9e3ad52c39a7377c02
Description-en: tool to execute privileged operations
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 zulupolkit is the cli component that runs privileged
 and works between CLI and GUI components to provides
 privileged operations for GUI components.

Package: zulusafe-cli
Description-md5: 0af88f32298465c0f3876b1eb5646c68
Description-en: cli that manages encrypted volumes
 zulucrypt is a suite of applications for creating
 and managing volumes encrypted with luks, plain,
 truecrypt and veracrypt.
 .
 zulusafe-cli is a tool responsible for the safe
 storage files in a wallet. Added files to the
 wallet will be stored in a secured file located
 at "~/.config/lxqt/wallets/zuluSafe/YYY.lwt"
 where YYY is the wallet name.

Package: zurl
Description-md5: 2f0fa08a5d7914376fe64c63aa14e05a
Description-en: HTTP client worker with ZeroMQ interface
 This service allows one to trigger HTTP requests and to retrieve
 the responses via ZeroMQ.

Package: zutils
Description-md5: 33590b2ae811e99bd0e038997b30176c
Description-en: utilities for dealing with compressed files transparently
 Zutils is a collection of utilities for dealing with any combination of
 compressed and non-compressed files transparently. Currently the supported
 compressors are gzip, bzip2, lzip, and xz.

Package: zvbi
Description-md5: e311bf3da9981caac31b2001079e77b6
Description-en: Vertical Blanking Interval (VBI) utilities
 Television broadcasts use the VBI to transmit text such as closed captioning
 (NTSC), Teletext (PAL/SECAM), and now Intercast and the ATVEC Internet
 television encodings.  The zvbi library is used to capture and decode raw
 VBI data.
 .
 This package contains the following utilities:
  * zvbid, a proxy for VBI devices. It forwards VBI data streams to one or
    more connected clients and manages channel change requests.
  * zvbi-atsc-cc, a command-line utility that captures  ATSC TV transmissions
    using a Linux DVB device and decodes the enclosed Closed Caption data.
    It can record both NTSC caption (EIA 608-B) and DTVCC caption (CEA 708-C).
  * zvbi-chains, a wrapper which executes the VBI application given on the
    command line while overloading several C library calls so that the
    application can be forced to access VBI devices via the VBI proxy instead
    of device files directly.
  * zvbi-ntsc-cc, a command-line utility for decoding and capturing closed
    captioning (CC) for NTSC and webtv.

Package: zvmcloudconnector-api
Description-md5: 11cb28a4f58e904e5ffc0553a0beefd0
Description-en: z/VM Development SDK for managing z/VM - z/VM SDK API Server
 z/VM cloud connector is a development SDK for managing z/VM. It provides a set of APIs to
 operate z/VM including guest, image, network, volume etc.
 .
 Just like os-win for nova hyperv driver and oslo.vmware for nova vmware driver, z/VM cloud
 connector (zVMCloudConnector) is for nova z/VM driver and other z/VM related openstack
 drivers such as neutron, ceilometer.
 .
 This package contains the z/VM SDK API Server.

Package: zynaddsubfx
Description-md5: 1db3b1a52de6b1c8b7af5c53feb77591
Description-en: Realtime software synthesizer for Linux
 A real-time software synthesizer for Linux and Windows with many
 features, including polyphony, multi-timbral and microtonal
 capabilities. It includes randomness of some parameters, which
 makes warm sounds, like analogue synthesizers.
 It has system/insertion effects too, and much more.

Package: zynaddsubfx-data
Description-md5: 37c33b9d6350510445cb1b1b4ffc8cb2
Description-en: Realtime software synthesizer for Linux (data)
 A real-time software synthesizer for Linux and Windows with many
 features, including polyphony, multi-timbral and microtonal
 capabilities. It includes randomness of some parameters, which
 makes warm sounds, like analogue synthesizers.
 It has system/insertion effects too, and much more.
 .
 This package contains the architecture-independent data files.

Package: zynaddsubfx-dssi
Description-md5: 015b76f0b94f3a7455c1975c636ee282
Description-en: dssi plugin of zynaddsubfx
 A real-time software synthesizer for Linux and Windows with many
 features, including polyphony, multi-timbral and microtonal
 capabilities. It includes randomness of some parameters, which
 makes warm sounds, like analogue synthesizers.
 It has system/insertion effects too, and much more.
 .
 This package provides a dssi plugin of zynaddsubfx.

Package: zynaddsubfx-lv2
Description-md5: daa715dcf6aeafca98b3f6f203bdd3c2
Description-en: lv2 plugin of zynaddsubfx
 A real-time software synthesizer for Linux and Windows with many
 features, including polyphony, multi-timbral and microtonal
 capabilities. It includes randomness of some parameters, which
 makes warm sounds, like analogue synthesizers.
 It has system/insertion effects too, and much more.
 .
 This package provides a lv2 plugin of zynaddsubfx.

Package: zynaddsubfx-vst
Description-md5: a7fb398dd11f94188e47889d6391a75d
Description-en: vst plugin of zynaddsubfx
 A real-time software synthesizer for Linux and Windows with many
 features, including polyphony, multi-timbral and microtonal
 capabilities. It includes randomness of some parameters, which
 makes warm sounds, like analogue synthesizers.
 It has system/insertion effects too, and much more.
 .
 This package provide vst plugin of zynaddsubfx.

Package: zypper
Description-md5: f550d897513fe8b19e4f772bc98d9a52
Description-en: command line software manager using libzypp
 Zypper is a command line tool for managing software. It can be used to add
 package repositories, search for packages, install, remove, or update packages,
 install patches, hardware drivers, verify dependencies, and more.
 Zypper can be used interactively or non-interactively by user, from scripts,
 or front-ends.

Package: zypper-common
Description-md5: 5c7db084f6d30d7675ffba024dcf7520
Description-en: command line software manager using libzypp (common files)
 Zypper is a command line tool for managing software. It can be used to add
 package repositories, search for packages, install, remove, or update packages,
 install patches, hardware drivers, verify dependencies, and more.
 Zypper can be used interactively or non-interactively by user, from scripts,
 or front-ends.
 .
 This package contains the arch-independent files of the zypper software
 manager.

Package: zypper-doc
Description-md5: 1492d4f47d45f1a7604056e75c13a430
Description-en: command line software manager using libzypp (documentation)
 Zypper is a command line tool for managing software. It can be used to add
 package repositories, search for packages, install, remove, or update packages,
 install patches, hardware drivers, verify dependencies, and more.
 Zypper can be used interactively or non-interactively by user, from scripts,
 or front-ends.
 .
 This package contains the documentation files of the zypper software
 manager.

Package: zytrax
Description-md5: 3e7f65a0491e3da937115f0ce73b9743
Description-en: Easy to use, tracker-inspired music sequencer
 This is an easy to use music sequencer with an interface heavily
 inspired by 90's "tracker" software (most specifically Impulse
 Tracker).
 .
 While contemporary software that uses this approach exists, it
 usually has a high entry barrier because it maintains compatibility
 with old formats.
 .
 In contrast to this, ZyTrax starts afresh with an user friendly
 approach (no hex numbers, pure plugin-based architecture, inlined
 automation envelopes, smart automations, zoomable patterns and a
 simple pattern/orderlist layout).

Package: zziplib-bin
Description-md5: 226d055c4f586cd69eb6f69325c1ca77
Description-en: library providing read access on ZIP-archives - binaries
 The zziplib library is intentionally lightweight, it offers the ability
 to easily extract data from files archived in a single zip file.
 Applications can bundle files into a single zip archive and access them.
 The implementation is based only on the (free) subset of compression
 with the zlib algorithm which is actually used by the zip/unzip tools.
 .
 This package contains some useful binaries to extract data from zip
 archives.

Package: zzuf
Description-md5: 27dbe1f74dc9503e917a86ba5a96a833
Description-en: transparent application fuzzer
 Zzuf is a transparent fuzzer. It works by intercepting applications' file
 and network operations and changing random bits in their input. Its behaviour
 is deterministic, making it easy to reproduce bugs.
 .
 Zzuf has support for variable fuzzing ratio, character filtering, fuzzing
 decision based on filenames and optional network fuzzing. It can also stop
 processes that run for too long or that output too much data.

Package: arm-trusted-firmware
Description-md5: 1264146460960b06f45679a64f1174b9
Description-en: "secure world" software for ARM SoCs
 The "secure world" on arm64 machines is a special level of CPU
 privilege that is hidden from the normal OS, and has complete
 control over the system.  It provides both initialization during
 early boot stages (before u-boot or EFI) and system monitor
 functionality once the machine is booted up.  This is an equivalent
 of Intel ME on x86.

Package: cpp-10-x86-64-linux-gnu
Description-md5: 19592ef74b19df819d4a3dd5bc0d7ecc
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for amd64 architecture.

Package: cpp-8-x86-64-linux-gnu
Description-md5: 19592ef74b19df819d4a3dd5bc0d7ecc
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for amd64 architecture.

Package: cpp-9-x86-64-linux-gnu
Description-md5: 19592ef74b19df819d4a3dd5bc0d7ecc
Description-en: GNU C preprocessor
 A macro processor that is used automatically by the GNU C compiler
 to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the compiler.
 .
 This package contains preprocessor configured for amd64 architecture.

Package: cpp-x86-64-linux-gnu
Description-md5: 3fbc5e8c78ef39c4933d03ea07322966
Description-en: GNU C preprocessor (cpp) for the amd64 architecture
 The GNU C preprocessor is a macro processor that is used automatically
 by the GNU C compiler to transform programs before actual compilation.
 .
 This package has been separated from gcc for the benefit of those who
 require the preprocessor but not the cross-compiler for amd64 architecture.
 .
 This is a dependency package providing the default GNU C preprocessor
 for the amd64 architecture.

Package: fwupd-arm64-signed-template
Description-md5: 19d35f78650aadabde42d595495fc832
Description-en: Template for signed fwupd package
 This package is used to control code signing by the Debian signing
 service.

Package: g++-10-multilib-x86-64-linux-gnu
Description-md5: e44e3a6ded1f1416ea9e07853c96b22d
Description-en: GNU C++ compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-10-x86-64-linux-gnu
Description-md5: 95c638db836528fc4cf4840ca84746fc
Description-en: GNU C++ compiler (cross compiler for amd64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for amd64 architecture.

Package: g++-8-multilib-x86-64-linux-gnu
Description-md5: e44e3a6ded1f1416ea9e07853c96b22d
Description-en: GNU C++ compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-8-x86-64-linux-gnu
Description-md5: 95c638db836528fc4cf4840ca84746fc
Description-en: GNU C++ compiler (cross compiler for amd64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for amd64 architecture.

Package: g++-9-multilib-x86-64-linux-gnu
Description-md5: e44e3a6ded1f1416ea9e07853c96b22d
Description-en: GNU C++ compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: g++-9-x86-64-linux-gnu
Description-md5: 95c638db836528fc4cf4840ca84746fc
Description-en: GNU C++ compiler (cross compiler for amd64 architecture)
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This package contains C++ cross-compiler for amd64 architecture.

Package: g++-multilib-x86-64-linux-gnu
Description-md5: de3230a89a1d4f0c7d188e0e15b609df
Description-en: GNU C++ compiler for the amd64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++
 cross-compiler for the amd64 architecture.

Package: g++-x86-64-linux-gnu
Description-md5: 07c4d20f560a847a660e3374549f85e8
Description-en: GNU C++ compiler for the amd64 architecture
 This is the GNU C++ compiler, a fairly portable optimizing compiler for C++.
 .
 This is a dependency package providing the default GNU C++ cross-compiler
 for the amd64 architecture.

Package: gcc-10-multilib-x86-64-linux-gnu
Description-md5: 2054afcbe562603fef9d3bfde7384cc5
Description-en: GNU C compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-10-plugin-dev-x86-64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-10-x86-64-linux-gnu
Description-md5: 27ad2535df4dd16de394d9e004be6da7
Description-en: GNU C compiler (cross compiler for amd64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for amd64 architecture.

Package: gcc-10-x86-64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-8-multilib-x86-64-linux-gnu
Description-md5: 2054afcbe562603fef9d3bfde7384cc5
Description-en: GNU C compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-8-plugin-dev-x86-64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-8-x86-64-linux-gnu
Description-md5: 27ad2535df4dd16de394d9e004be6da7
Description-en: GNU C compiler (cross compiler for amd64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for amd64 architecture.

Package: gcc-8-x86-64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-9-multilib-x86-64-linux-gnu
Description-md5: 2054afcbe562603fef9d3bfde7384cc5
Description-en: GNU C compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gcc-9-plugin-dev-x86-64-linux-gnu
Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155
Description-en: Files for GNU GCC plugin development.
 This package contains (header) files for GNU GCC plugin development. It
 is only used for the development of GCC plugins, but not needed to run
 plugins.

Package: gcc-9-x86-64-linux-gnu
Description-md5: 27ad2535df4dd16de394d9e004be6da7
Description-en: GNU C compiler (cross compiler for amd64 architecture)
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This package contains C cross-compiler for amd64 architecture.

Package: gcc-9-x86-64-linux-gnu-base
Description-md5: b6e93638a6d08ea7a18929d7cf078e5d
Description-en: GCC, the GNU Compiler Collection (base package)
 This package contains files common to all languages and libraries
 contained in the GNU Compiler Collection (GCC).

Package: gcc-multilib-x86-64-linux-gnu
Description-md5: 74fd7698add747ec9f3ca61030b62c40
Description-en: GNU C compiler for the amd64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the amd64 architecture.

Package: gcc-x86-64-linux-gnu
Description-md5: 74fd7698add747ec9f3ca61030b62c40
Description-en: GNU C compiler for the amd64 architecture
 This is the GNU C compiler, a fairly portable optimizing compiler for C.
 .
 This is a dependency package providing the default GNU C cross-compiler
 for the amd64 architecture.

Package: gccbrig-10-x86-64-linux-gnu
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-8-x86-64-linux-gnu
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccbrig-9-x86-64-linux-gnu
Description-md5: 9a28e5a1b5ddb546cea02ce7e6daf674
Description-en: GNU BRIG (HSA IL) frontend
 This is the GNU BRIG (HSA IL) frontend.
 The consumed format is a binary representation. The textual HSAIL
 can be compiled to it with a separate assembler.

Package: gccgo-10-multilib-x86-64-linux-gnu
Description-md5: 7b5921d11463dffed06bce1c21a3a9c1
Description-en: GNU Go compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-10-x86-64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-8-multilib-x86-64-linux-gnu
Description-md5: 7b5921d11463dffed06bce1c21a3a9c1
Description-en: GNU Go compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-8-x86-64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-9-multilib-x86-64-linux-gnu
Description-md5: 7b5921d11463dffed06bce1c21a3a9c1
Description-en: GNU Go compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gccgo-9-x86-64-linux-gnu
Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d
Description-en: GNU Go compiler
 This is the GNU Go compiler, which compiles Go on platforms supported
 by the gcc compiler. It uses the gcc backend to generate optimized code.

Package: gccgo-multilib-x86-64-linux-gnu
Description-md5: f1bf5b0ea5710b5b4434e3101c58fa90
Description-en: Go compiler (based on GCC) for the amd64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the amd64 architecture.

Package: gccgo-x86-64-linux-gnu
Description-md5: f1bf5b0ea5710b5b4434e3101c58fa90
Description-en: Go compiler (based on GCC) for the amd64 architecture
 This is the GNU Go compiler, which compiles Go on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Go cross-compiler
 for the amd64 architecture.

Package: gdc-10-multilib-x86-64-linux-gnu
Description-md5: 3ef9b7affef29fd920ce8452141bcc4d
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for amd64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-10-x86-64-linux-gnu
Description-md5: fa8af29ba6a9ce59f2f66492dac2b329
Description-en: GNU D compiler (version 2) (cross compiler for amd64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-8-multilib-x86-64-linux-gnu
Description-md5: 3ef9b7affef29fd920ce8452141bcc4d
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for amd64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-8-x86-64-linux-gnu
Description-md5: fa8af29ba6a9ce59f2f66492dac2b329
Description-en: GNU D compiler (version 2) (cross compiler for amd64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-9-multilib-x86-64-linux-gnu
Description-md5: 3ef9b7affef29fd920ce8452141bcc4d
Description-en: GNU D compiler (version 2, multilib support) (cross compiler for amd64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gdc-9-x86-64-linux-gnu
Description-md5: fa8af29ba6a9ce59f2f66492dac2b329
Description-en: GNU D compiler (version 2) (cross compiler for amd64 architecture)
 This is the GNU D compiler, which compiles D on platforms supported by gcc.
 It uses the gcc backend to generate optimised code.
 .
 This compiler supports D language version 2.

Package: gdc-multilib-x86-64-linux-gnu
Description-md5: e89bb6902b93a30c2a7a4b9cc6527e90
Description-en: GNU D compiler (based on GCC) for the amd64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the amd64 architecture.

Package: gdc-x86-64-linux-gnu
Description-md5: e89bb6902b93a30c2a7a4b9cc6527e90
Description-en: GNU D compiler (based on GCC) for the amd64 architecture
 This is the GNU D compiler, which compiles D on platforms supported by
 the gcc compiler. It uses the gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU D cross-compiler
 for the amd64 architecture.

Package: gfortran-10-multilib-x86-64-linux-gnu
Description-md5: 7a31ffdabd43243b4133a9cf946e759c
Description-en: GNU Fortran compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-10-x86-64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-8-multilib-x86-64-linux-gnu
Description-md5: 7a31ffdabd43243b4133a9cf946e759c
Description-en: GNU Fortran compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-8-x86-64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-9-multilib-x86-64-linux-gnu
Description-md5: 7a31ffdabd43243b4133a9cf946e759c
Description-en: GNU Fortran compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Fortran compiler, which compiles Fortran on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gfortran-9-x86-64-linux-gnu
Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2
Description-en: GNU Fortran compiler
 This is the GNU Fortran compiler, which compiles
 Fortran on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gfortran-multilib-x86-64-linux-gnu
Description-md5: 32b5c180e73f16721f981eb99622afad
Description-en: GNU Fortran 95 compiler for the amd64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the amd64 architecture.

Package: gfortran-x86-64-linux-gnu
Description-md5: 32b5c180e73f16721f981eb99622afad
Description-en: GNU Fortran 95 compiler for the amd64 architecture
 This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Fortran 95
 cross-compiler for the amd64 architecture.

Package: gm2-10-x86-64-linux-gnu
Description-md5: 0a454527044e92655678df29d064a4aa
Description-en: GNU Modula-2 compiler (cross compiler for amd64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-9-x86-64-linux-gnu
Description-md5: 0a454527044e92655678df29d064a4aa
Description-en: GNU Modula-2 compiler (cross compiler for amd64 architecture)
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by gcc.  It uses the gcc backend to generate optimised code.

Package: gm2-x86-64-linux-gnu
Description-md5: b2c89ecab151045a331753cdb3c6c490
Description-en: GNU Modula-2 compiler (based on GCC) for the amd64 architecture
 This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Modula-2 cross-compiler
 for the amd64 architecture.

Package: gnat-10-x86-64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-8-sjlj-x86-64-linux-gnu
Description-md5: 38183a5764143bf77935e8455d8574ce
Description-en: GNU Ada compiler (setjump/longjump runtime library)
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides an alternative runtime library that handles
 exceptions using the setjump/longjump mechanism (as a static library
 only).  You can install it to supplement the normal compiler.

Package: gnat-8-x86-64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gnat-9-x86-64-linux-gnu
Description-md5: 8cebde0cd6b861927a7a457cacc8851f
Description-en: GNU Ada compiler
 GNAT is a compiler for the Ada programming language. It produces optimized
 code on platforms supported by the GNU Compiler Collection (GCC).
 .
 This package provides the compiler, tools and runtime library that handles
 exceptions using the default zero-cost mechanism.

Package: gobjc++-10-multilib-x86-64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-10-x86-64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-8-multilib-x86-64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-8-x86-64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-9-multilib-x86-64-linux-gnu
Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7
Description-en: GNU Objective-C++ compiler (multilib support)
 This is the GNU Objective-C++ compiler, which compiles Objective-C++ on
 platforms supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc++-9-x86-64-linux-gnu
Description-md5: b9baa94c6e3efdfa23b7927008258616
Description-en: GNU Objective-C++ compiler
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc++-multilib-x86-64-linux-gnu
Description-md5: 7522d1ae85b4c7943cc7bffcd42e46e0
Description-en: GNU Objective-C++ compiler for the amd64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the amd64 architecture.

Package: gobjc++-x86-64-linux-gnu
Description-md5: 7522d1ae85b4c7943cc7bffcd42e46e0
Description-en: GNU Objective-C++ compiler for the amd64 architecture
 This is the GNU Objective-C++ compiler, which compiles
 Objective-C++ on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.
 .
 This is a dependency package providing the default GNU Objective-C++
 cross-compiler for the amd64 architecture.

Package: gobjc-10-multilib-x86-64-linux-gnu
Description-md5: 0c9ddc2eb25605c830a9c8d341c546b0
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-10-x86-64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-8-multilib-x86-64-linux-gnu
Description-md5: 0c9ddc2eb25605c830a9c8d341c546b0
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-8-x86-64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-9-multilib-x86-64-linux-gnu
Description-md5: 0c9ddc2eb25605c830a9c8d341c546b0
Description-en: GNU Objective-C compiler (multilib support) (cross compiler for amd64 architecture)
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler.
 .
 This is a dependency package, depending on development packages
 for the non-default multilib architecture(s).

Package: gobjc-9-x86-64-linux-gnu
Description-md5: ef51de6b57526dc4a161944678606486
Description-en: GNU Objective-C compiler
 This is the GNU Objective-C compiler, which compiles
 Objective-C on platforms supported by the gcc compiler. It uses the
 gcc backend to generate optimized code.

Package: gobjc-multilib-x86-64-linux-gnu
Description-md5: 167877cb0d873b34edff19e77685f6e6
Description-en: GNU Objective-C compiler for the amd64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the amd64 architecture.

Package: gobjc-x86-64-linux-gnu
Description-md5: 167877cb0d873b34edff19e77685f6e6
Description-en: GNU Objective-C compiler for the amd64 architecture
 This is the GNU Objective-C compiler, which compiles Objective-C on platforms
 supported by the gcc compiler. It uses the gcc backend to generate optimized
 code.
 .
 This is a dependency package providing the default GNU Objective-C
 cross-compiler for the amd64 architecture.

Package: grub-efi-arm64-signed-template
Description-md5: 5f26229874a330dedc61233a338b2cb6
Description-en: GRand Unified Bootloader, version 2 (ARM64 UEFI signing template)
 This package contains template files for grub-efi-arm64-signed.
 This is only needed for Secure Boot signing.

Package: libne10-10
Description-md5: 1fc92abb341721c386b4435893065f4d
Description-en: ARM neon (SIMD) library
 Ne10 is a library of the most commonly used functions that have been
 heavily optimized for ARM-based CPUs with NEON (ARM's SIMD
 instructions). These functions provide consistent, well tested
 behavior for use in applications without having to write assembly.
 Ne10 is usable as a shared or static library.
 .
 Both 32 and 64-bit variants are supported. (ARM v7, 32-bit, armhf, and
 ARM v8, 64-bit, aarch64/arm64)

Package: libne10-dev
Description-md5: 4220415ac904ac00b12890ab8945b56f
Description-en: ARM neon (SIMD) library - development files
 Ne10 is a library of the most commonly used functions that have been
 heavily optimized for ARM-based CPUs with NEON (ARM's SIMD
 instructions). These functions provide consistent, well tested
 behavior for use in applications without having to write assembly.
 Ne10 is usable as a shared or static library.
 .
 Both 32 and 64-bit variants are supported. (ARM v7, 32-bit, armhf, and
 ARM v8, 64-bit, aarch64/arm64)
 .
 This package contains the development files (headers, static library)

Package: libns3-3v5
Description-md5: b7ee6eaa287b7316a4ad8b00fbd1c4a0
Description-en: shared libraries of ns-3
 ns-3 is a discrete-event network simulator for Internet systems,
 targeted primarily for research and educational use.
 ns-3 is free software, licensed under the GNU GPLv2 license,
 and is publicly available for research, development, and use.
 ns-3 is intended as an eventual replacement for the popular
 ns-2 simulator. The project acronym “nsnam” derives
 historically from the concatenation of ns (network simulator)
 and NAM (network animator).
 .
 This package contains shared libraries.

Package: libns3-dev
Description-md5: b04c39395592e83014e68bd13544c81b
Description-en: Static and header files of ns-3
 ns-3 is a discrete-event network simulator for Internet systems,
 targeted primarily for research and educational use.
 ns-3 is free software, licensed under the GNU GPLv2 license,
 and is publicly available for research, development, and use.
 ns-3 is intended as an eventual replacement for the popular
 ns-2 simulator. The project acronym “nsnam” derives
 historically from the concatenation of ns (network simulator)
 and NAM (network animator).
 .
 This package contains static libraries, header files.

Package: linux-buildinfo-5.4.0-1001-raspi2
Description-md5: 895d1f8c093a4a55343b0e4e44eaf902
Description-en: Linux kernel buildinfo for version 5.4.0 on ARMv8 SMP
 This package contains the Linux kernel buildinfo for version 5.4.0 on
 ARMv8 SMP.
 .
 You likely do not want to install this package.

Package: linux-buildinfo-5.4.0-1006-raspi2
Description-md5: 895d1f8c093a4a55343b0e4e44eaf902
Description-en: Linux kernel buildinfo for version 5.4.0 on ARMv8 SMP
 This package contains the Linux kernel buildinfo for version 5.4.0 on
 ARMv8 SMP.
 .
 You likely do not want to install this package.

Package: linux-headers-5.4.0-1001-raspi2
Description-md5: c721b32f931a52db356e61e2d9cecdc9
Description-en: Linux kernel headers for version 5.4.0 on ARMv8 SMP
 This package provides kernel header files for version 5.4.0 on
 ARMv8 SMP.
 .
 This is for sites that want the latest kernel headers.  Please read
 /usr/share/doc/linux-headers-5.4.0-1001/debian.README.gz for details.

Package: linux-headers-5.4.0-1006-raspi2
Description-md5: f5ee114e562c03b71459531b8883f24e
Description-en: Linux kernel headers for version 5.4.0 on ARMv8 SMP
 This package provides kernel header files for version 5.4.0 on
 ARMv8 SMP.
 .
 This is for sites that want the latest kernel headers.  Please read
 /usr/share/doc/linux-headers-5.4.0-1006/debian.README.gz for details.

Package: linux-image-5.4.0-1001-raspi2
Description-md5: 66cb4cd2c9e1a5461b04c9e801cb8dc7
Description-en: Linux kernel image for version 5.4.0 on ARMv8 SMP
 This package contains the Linux kernel image for version 5.4.0 on
 ARMv8 SMP.
 .
 Supports Generic processors.
 .
 Geared toward desktop and server systems.
 .
 You likely do not want to install this package directly. Instead, install
 the linux-raspi2 meta-package, which will ensure that upgrades work
 correctly, and that supporting packages are also installed.

Package: linux-image-5.4.0-1006-raspi2
Description-md5: 66cb4cd2c9e1a5461b04c9e801cb8dc7
Description-en: Linux kernel image for version 5.4.0 on ARMv8 SMP
 This package contains the Linux kernel image for version 5.4.0 on
 ARMv8 SMP.
 .
 Supports Generic processors.
 .
 Geared toward desktop and server systems.
 .
 You likely do not want to install this package directly. Instead, install
 the linux-raspi2 meta-package, which will ensure that upgrades work
 correctly, and that supporting packages are also installed.

Package: linux-modules-5.4.0-1001-raspi2
Description-md5: 7c88780df3995820302caad39e1cb59f
Description-en: Linux kernel extra modules for version 5.4.0 on ARMv8 SMP
 Contains the corresponding System.map file, the modules built by the
 packager, and scripts that try to ensure that the system is not left in an
 unbootable state after an update.
 .
 Supports Generic processors.
 .
 Geared toward desktop and server systems.
 .
 You likely do not want to install this package directly. Instead, install
 the linux-raspi2 meta-package, which will ensure that upgrades work
 correctly, and that supporting packages are also installed.

Package: linux-modules-5.4.0-1006-raspi2
Description-md5: 7c88780df3995820302caad39e1cb59f
Description-en: Linux kernel extra modules for version 5.4.0 on ARMv8 SMP
 Contains the corresponding System.map file, the modules built by the
 packager, and scripts that try to ensure that the system is not left in an
 unbootable state after an update.
 .
 Supports Generic processors.
 .
 Geared toward desktop and server systems.
 .
 You likely do not want to install this package directly. Instead, install
 the linux-raspi2 meta-package, which will ensure that upgrades work
 correctly, and that supporting packages are also installed.

Package: linux-raspi2-5.4-headers-5.4.0-1001
Description-md5: 7b3556783e5f02440639ccc656677477
Description-en: Header files related to Linux kernel version 5.4.0
 This package provides kernel header files for version 5.4.0, for sites
 that want the latest kernel headers. Please read
 /usr/share/doc/linux-raspi2-5.4-headers-5.4.0-1001/debian.README.gz for details

Package: linux-raspi2-5.4-tools-5.4.0-1001
Description-md5: f41b82bc5a151af144dc69f6ecd16a78
Description-en: Linux kernel version specific tools for version 5.4.0-1001
 This package provides the architecture dependant parts for kernel
 version locked tools (such as perf and x86_energy_perf_policy) for
 version 5.4.0-1001 on
 ARMv8.
 You probably want to install linux-tools-5.4.0-1001-<flavour>.

Package: linux-raspi2-headers-5.4.0-1006
Description-md5: 08cc0987a3ca335b69826de3bb2b289d
Description-en: Header files related to Linux kernel version 5.4.0
 This package provides kernel header files for version 5.4.0, for sites
 that want the latest kernel headers. Please read
 /usr/share/doc/linux-raspi2-headers-5.4.0-1006/debian.README.gz for details

Package: linux-raspi2-tools-5.4.0-1006
Description-md5: 6cfb537877596935c39c73457b3ea371
Description-en: Linux kernel version specific tools for version 5.4.0-1006
 This package provides the architecture dependant parts for kernel
 version locked tools (such as perf and x86_energy_perf_policy) for
 version 5.4.0-1006 on
 ARMv8.
 You probably want to install linux-tools-5.4.0-1006-<flavour>.

Package: linux-tools-5.4.0-1001-raspi2
Description-md5: 89a3cda13bba6cd3413cc81b08302662
Description-en: Linux kernel version specific tools for version 5.4.0-1001
 This package provides the architecture dependant parts for kernel
 version locked tools (such as perf and x86_energy_perf_policy) for
 version 5.4.0-1001 on
 ARMv8.

Package: linux-tools-5.4.0-1006-raspi2
Description-md5: 3e7fe9dacb53f1114fd3fd014996c424
Description-en: Linux kernel version specific tools for version 5.4.0-1006
 This package provides the architecture dependant parts for kernel
 version locked tools (such as perf and x86_energy_perf_policy) for
 version 5.4.0-1006 on
 ARMv8.

Package: ns3
Description-md5: f12ce0d749c845967463616b618b054b
Description-en: discrete-event network simulator for Internet systems
 ns-3 is a discrete-event network simulator for Internet systems,
 targeted primarily for research and educational use.
 ns-3 is free software, licensed under the GNU GPLv2 license,
 and is publicly available for research, development, and use.
 ns-3 is intended as an eventual replacement for the popular
 ns-2 simulator. The project acronym “nsnam” derives
 historically from the concatenation of ns (network simulator)
 and NAM (network animator).
 .
 This package contains several utilities. The files for development
 are in libns3-3, libns3-dev and python3-ns3.

Package: pkg-config-x86-64-linux-gnu
Description-md5: b50627084ad0f58c0b88a9e32a32bfaa
Description-en: manage compile and link flags for libraries for amd64 architecture
 pkg-config is a system for managing library compile and link flags that
 works with automake and autoconf.
 .
 Increasingly libraries ship with ".pc" files that allow querying of the
 compiler and linker flags needed to use them through the pkg-config(1)
 program.
 .
 This is a dependency package providing cross pkg-config support for the
 amd64 architecture.

Package: python-rpi.gpio
Description-md5: 0aad69fcbb586e8bc1b1d82305b3f2a7
Description-en: Module to control Raspberry Pi GPIO channels (Python 2)
 RPi.GPIO allows controlling Raspberry Pi GPIO channels in Python.
 .
 It provides all the basic functionality, but is unsuitable for
 real-time or timing critical applications. RPi.GPIO also does not
 support SPI, I²C or hardware PWM yet.
 .
 This package contains the Python 2 module.

Package: python3-ns3
Description-md5: a11fa4d1e80074018aa1ebca16e45f18
Description-en: Python binding of ns3
 ns-3 is a discrete-event network simulator for Internet systems,
 targeted primarily for research and educational use.
 ns-3 is free software, licensed under the GNU GPLv2 license,
 and is publicly available for research, development, and use.
 ns-3 is intended as an eventual replacement for the popular
 ns-2 simulator. The project acronym “nsnam” derives
 historically from the concatenation of ns (network simulator)
 and NAM (network animator).
 .
 This package contains Python binding of ns3.

Package: python3-rpi.gpio
Description-md5: eda1e9e3869088c94d86316da0edee3a
Description-en: Module to control Raspberry Pi GPIO channels (Python 3)
 RPi.GPIO allows controlling Raspberry Pi GPIO channels in Python.
 .
 It provides all the basic functionality, but is unsuitable for
 real-time or timing critical applications. RPi.GPIO also does not
 support SPI, I²C or hardware PWM yet.
 .
 This package contains the Python 3 module.

Package: rpi.gpio-common
Description-md5: e672a4883e87bdde1ee54ab2f819aa48
Description-en: Module to control Raspberry Pi GPIO channels (common files)
 RPi.GPIO allows controlling Raspberry Pi GPIO channels in Python.
 .
 It provides all the basic functionality, but is unsuitable for
 real-time or timing critical applications. RPi.GPIO also does not
 support SPI, I²C or hardware PWM yet.
 .
 This package contains common files, for example udev rules.

Package: u-boot-amlogic
Description-md5: f5c8c3092021de508d5b6b1e2df5458f
Description-en: A boot loader for amlogic systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various amlogic platforms.
 .
 Included platforms:
 khadas-vim
 khadas-vim2
 libretech-cc
 nanopi-k2
 odroid-c2

Package: u-boot-mvebu
Description-md5: cc829caa84573afbaedf37c8ffef1a8f
Description-en: A boot loader for marvell systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various Marvell platforms.
 .
 Included platforms:
 mvebu_espressobin-88f3720

Package: u-boot-qcom
Description-md5: 5bad2cb709abbac55c1fe8bc071b113d
Description-en: A boot loader for qcom systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various qcom platforms.
 .
 Included platforms:
 dragonboard410c
 dragonboard820c

Package: u-boot-rockchip
Description-md5: d05931b1dd1c8de25ce865749a5dada5
Description-en: A boot loader for rockchip systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various Rockchip platforms.
 .
 Included platforms:
 firefly-rk3399
 puma-rk3399

Package: u-boot-rpi
Description-md5: 8975cb84badc1e94cdbea2fc29664088
Description-en: A boot loader for Raspberry PI systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various Raspberry PI
 platforms.
 .
 Included platforms:
 rpi_3
 rpi_4

Package: u-boot-sunxi
Description-md5: 4c76206a2a87aed86953cac05162b040
Description-en: A boot loader for sunxi systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various Allwinner/sunxi
 platforms.
 .
 Included platforms:
 a64-olinuxino
 orangepi_zero_plus2
 pine64_plus
 pinebook
 teres_i

Package: u-boot-tegra
Description-md5: ad07e7cd8fdfecdca4f558d0ad3eba70
Description-en: A boot loader for NVIDIA Tegra systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various NVIDIA Tegra platforms.
 .
 Included platforms:
 p2371-2180

Package: xen-hypervisor-4.11-arm64
Description-md5: 74bb98caa738e51a1aebfd96abd40b12
Description-en: Xen Hypervisor on ARM64
 The hypervisor is the "core" for XEN itself.  It gets booted by the boot
 loader and controls cpu and memory, sharing them between your
 administrative domain (Domain 0) and the virtual guest systems.
 .
 In order to boot a XEN system along with this package you also need a
 kernel specifically crafted to work as the Domain 0, mediating hardware
 access for XEN itself.

Package: xen-hypervisor-4.9-arm64
Description-md5: 2ed3e92e0f6f48d0da5e1416c49dc0e5
Description-en: Transitional package for upgrade
 The hypervisor is the "core" for XEN itself.  It gets booted by the boot
 loader and controls cpu and memory, sharing them between your
 administrative domain (Domain 0) and the virtual guest systems.
 .
 In order to boot a XEN system along with this package you also need a
 kernel specifically crafted to work as the Domain 0, mediating hardware
 access for XEN itself.
 .
 This is a transitional package. You can safely remove it.

Package: xen-system-arm64
Description-md5: 488b6e18ccfa8a0d91cfed2ee7ef5d26
Description-en: Xen System on ARM64 (metapackage)
 This package depends on the latest Xen hypervisor for use on ARM64 and the
 Xen utils.

Package: dri2-utils
Description-md5: 50ace057978336c233dea872b323d49b
Description-en: Tests utilities for the DRI2 extension to the X Window System -- testing tools
 This package provides the testing tools for the libdri2 package.

Package: fenix
Description-md5: 38bc1c509eb023c24a58cda0c5db19d9
Description-en: development environment for making 2D games
 Fenix is an interpreted script programming language, especially designed to
 developing and running 2D games. It has a full graphic library, sound engine
 and full featured 2D game engine, making game development extremely easy.

Package: fenix-plugin-mpeg
Description-md5: c512464010730e39280d5bcae8fec611
Description-en: mpeg plugin for the Fenix Game Development System
 Fenix is an interpreted script programming language, especially designed to
 developing and running 2D games. It has a full graphic library, sound engine
 and full featured 2D game engine, making game development extremely easy.
 .
 This package includes a plugin to allow viewing mpeg files from a Fenix
 program.

Package: fenix-plugins
Description-md5: b1aadd601e4ff1ae90275de19218d750
Description-en: plugins for the Fenix Game Development System
 Fenix is an interpreted script programming language, especially designed to
 developing and running 2D games. It has a full graphic library, sound engine
 and full featured 2D game engine, making game development extremely easy.
 .
 This package includes binary addons to extend the functionality of Fenix.
 .
 Plugins included in this package: image, ttf, net, fgfx, fire and agua.

Package: fenix-plugins-system
Description-md5: 8620124301bd80bacd6e7a9838733692
Description-en: system plugins for the Fenix Game Development System
 Fenix is an interpreted script programming language, especially designed to
 developing and running 2D games. It has a full graphic library, sound engine
 and full featured 2D game engine, making game development extremely easy.
 .
 This package includes binary addons to extend the functionality of Fenix.
 .
 Plugins included in this package: exec, fsock and tcpsock.

Package: fwupd-armhf-signed-template
Description-md5: 19d35f78650aadabde42d595495fc832
Description-en: Template for signed fwupd package
 This package is used to control code signing by the Debian signing
 service.

Package: libsfasan4
Description-md5: 77c217b098bf047a48eb517165c699da
Description-en: AddressSanitizer -- a fast memory error detector (soft float ABI)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libsfasan6
Description-md5: 77c217b098bf047a48eb517165c699da
Description-en: AddressSanitizer -- a fast memory error detector (soft float ABI)
 AddressSanitizer (ASan) is a fast memory error detector.  It finds
 use-after-free and {heap,stack,global}-buffer overflow bugs in C/C++ programs.

Package: libsfcilkrts5
Description-md5: 58df00df06d929cd21428792e7221e06
Description-en: Intel Cilk Plus language extensions (soft float ABI)
 Intel Cilk Plus is an extension to the C and C++ languages to support
 data and task parallelism.

Package: libsfgcc-10-dev
Description-md5: 0a946370cc1bda3e49c72e1e875c6da8
Description-en: GCC support library (soft float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libsfgcc-7-dev
Description-md5: 0a946370cc1bda3e49c72e1e875c6da8
Description-en: GCC support library (soft float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libsfgcc-8-dev
Description-md5: 0a946370cc1bda3e49c72e1e875c6da8
Description-en: GCC support library (soft float ABI development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: libsfgfortran-10-dev
Description-md5: 74ea81c9f0670b35ce8cd3205d466b98
Description-en: Runtime library for GNU Fortran applications (soft float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libsfgfortran-7-dev
Description-md5: 74ea81c9f0670b35ce8cd3205d466b98
Description-en: Runtime library for GNU Fortran applications (soft float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libsfgfortran-8-dev
Description-md5: 74ea81c9f0670b35ce8cd3205d466b98
Description-en: Runtime library for GNU Fortran applications (soft float ABI development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: libsfgfortran4
Description-md5: 1f1e920680dffda67addfa653d51a350
Description-en: Runtime library for GNU Fortran applications (soft float ABI)
 Library needed for GNU Fortran applications linked against the
 shared library.

Package: libsfgphobos-10-dev
Description-md5: b261bafbd9d93f25840b1fefa62281ad
Description-en: Phobos D standard library (soft float ABI development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos-7-dev
Description-md5: b261bafbd9d93f25840b1fefa62281ad
Description-en: Phobos D standard library (soft float ABI development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos-8-dev
Description-md5: b261bafbd9d93f25840b1fefa62281ad
Description-en: Phobos D standard library (soft float ABI development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos-9-dev
Description-md5: b261bafbd9d93f25840b1fefa62281ad
Description-en: Phobos D standard library (soft float ABI development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos1
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos71
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfgphobos76
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: libsfobjc-10-dev
Description-md5: a463892c3f00f5e00d2e6faa0c1a8f90
Description-en: Runtime library for GNU Objective-C applications (soft float development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libsfobjc-7-dev
Description-md5: a463892c3f00f5e00d2e6faa0c1a8f90
Description-en: Runtime library for GNU Objective-C applications (soft float development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libsfobjc-8-dev
Description-md5: a463892c3f00f5e00d2e6faa0c1a8f90
Description-en: Runtime library for GNU Objective-C applications (soft float development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libsfobjc-9-dev
Description-md5: a463892c3f00f5e00d2e6faa0c1a8f90
Description-en: Runtime library for GNU Objective-C applications (soft float development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: libsfobjc4
Description-md5: 1979cb3a9b63cfab420a1d89e03104a3
Description-en: Runtime library for GNU Objective-C applications (soft float ABI)
 Library needed for GNU ObjC applications linked against the shared library.

Package: libsfstdc++-10-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libsfstdc++-7-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libsfstdc++-8-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: libsfstdc++6-10-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libsfstdc++6-7-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libsfstdc++6-8-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libsfstdc++6-9-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libsfubsan0
Description-md5: 21e3ad59d7b6ceb56cee1f74de7efd95
Description-en: UBSan -- undefined behaviour sanitizer (soft float ABI)
 UndefinedBehaviorSanitizer can be enabled via -fsanitize=undefined.
 Various computations will be instrumented to detect undefined behavior
 at runtime. Available for C and C++.

Package: linux-buildinfo-5.4.0-1001-raspi2
Description-md5: 64ef4c06bf79edd0e97a666c7c4e9658
Description-en: Linux kernel buildinfo for version 5.4.0 on ARM (hard float) SMP
 This package contains the Linux kernel buildinfo for version 5.4.0 on
 ARM (hard float) SMP.
 .
 You likely do not want to install this package.

Package: linux-buildinfo-5.4.0-1006-raspi2
Description-md5: 64ef4c06bf79edd0e97a666c7c4e9658
Description-en: Linux kernel buildinfo for version 5.4.0 on ARM (hard float) SMP
 This package contains the Linux kernel buildinfo for version 5.4.0 on
 ARM (hard float) SMP.
 .
 You likely do not want to install this package.

Package: linux-headers-5.4.0-1001-raspi2
Description-md5: 180d1adc7815d2d3e8607b0610006254
Description-en: Linux kernel headers for version 5.4.0 on ARM (hard float) SMP
 This package provides kernel header files for version 5.4.0 on
 ARM (hard float) SMP.
 .
 This is for sites that want the latest kernel headers.  Please read
 /usr/share/doc/linux-headers-5.4.0-1001/debian.README.gz for details.

Package: linux-headers-5.4.0-1006-raspi2
Description-md5: 84e9c12f6caa4d28bbcc7188573a6861
Description-en: Linux kernel headers for version 5.4.0 on ARM (hard float) SMP
 This package provides kernel header files for version 5.4.0 on
 ARM (hard float) SMP.
 .
 This is for sites that want the latest kernel headers.  Please read
 /usr/share/doc/linux-headers-5.4.0-1006/debian.README.gz for details.

Package: linux-image-5.4.0-1001-raspi2
Description-md5: 9343079b0d7f9611adab5ef7ab50b6b2
Description-en: Linux kernel image for version 5.4.0 on ARM (hard float) SMP
 This package contains the Linux kernel image for version 5.4.0 on
 ARM (hard float) SMP.
 .
 Supports Generic processors.
 .
 Geared toward desktop and server systems.
 .
 You likely do not want to install this package directly. Instead, install
 the linux-raspi2 meta-package, which will ensure that upgrades work
 correctly, and that supporting packages are also installed.

Package: linux-image-5.4.0-1006-raspi2
Description-md5: 9343079b0d7f9611adab5ef7ab50b6b2
Description-en: Linux kernel image for version 5.4.0 on ARM (hard float) SMP
 This package contains the Linux kernel image for version 5.4.0 on
 ARM (hard float) SMP.
 .
 Supports Generic processors.
 .
 Geared toward desktop and server systems.
 .
 You likely do not want to install this package directly. Instead, install
 the linux-raspi2 meta-package, which will ensure that upgrades work
 correctly, and that supporting packages are also installed.

Package: linux-modules-5.4.0-1001-raspi2
Description-md5: 7390e14477cfce6a841e70afa1e17bba
Description-en: Linux kernel extra modules for version 5.4.0 on ARM (hard float) SMP
 Contains the corresponding System.map file, the modules built by the
 packager, and scripts that try to ensure that the system is not left in an
 unbootable state after an update.
 .
 Supports Generic processors.
 .
 Geared toward desktop and server systems.
 .
 You likely do not want to install this package directly. Instead, install
 the linux-raspi2 meta-package, which will ensure that upgrades work
 correctly, and that supporting packages are also installed.

Package: linux-modules-5.4.0-1006-raspi2
Description-md5: 7390e14477cfce6a841e70afa1e17bba
Description-en: Linux kernel extra modules for version 5.4.0 on ARM (hard float) SMP
 Contains the corresponding System.map file, the modules built by the
 packager, and scripts that try to ensure that the system is not left in an
 unbootable state after an update.
 .
 Supports Generic processors.
 .
 Geared toward desktop and server systems.
 .
 You likely do not want to install this package directly. Instead, install
 the linux-raspi2 meta-package, which will ensure that upgrades work
 correctly, and that supporting packages are also installed.

Package: linux-raspi2-5.4-tools-5.4.0-1001
Description-md5: 5205d7ec5b00618ed590a50629dc747e
Description-en: Linux kernel version specific tools for version 5.4.0-1001
 This package provides the architecture dependant parts for kernel
 version locked tools (such as perf and x86_energy_perf_policy) for
 version 5.4.0-1001 on
 ARM (hard float).
 You probably want to install linux-tools-5.4.0-1001-<flavour>.

Package: linux-raspi2-tools-5.4.0-1006
Description-md5: 99d5d40f746fd6c9b2630cc75b19cb68
Description-en: Linux kernel version specific tools for version 5.4.0-1006
 This package provides the architecture dependant parts for kernel
 version locked tools (such as perf and x86_energy_perf_policy) for
 version 5.4.0-1006 on
 ARM (hard float).
 You probably want to install linux-tools-5.4.0-1006-<flavour>.

Package: linux-tools-5.4.0-1001-raspi2
Description-md5: 560ce8f9a5279c9444a13e8c5631ef1c
Description-en: Linux kernel version specific tools for version 5.4.0-1001
 This package provides the architecture dependant parts for kernel
 version locked tools (such as perf and x86_energy_perf_policy) for
 version 5.4.0-1001 on
 ARM (hard float).

Package: linux-tools-5.4.0-1006-raspi2
Description-md5: 4aa52a3f521330ac4531dbcf30b2030f
Description-en: Linux kernel version specific tools for version 5.4.0-1006
 This package provides the architecture dependant parts for kernel
 version locked tools (such as perf and x86_energy_perf_policy) for
 version 5.4.0-1006 on
 ARM (hard float).

Package: mlton-runtime-arm-linux-gnueabihf
Description-md5: 6de488620ed3004d802806a9091da47e
Description-en: Optimizing compiler for Standard ML - armhf runtime libraries
 MLton is a whole-program optimizing compiler
 for Standard ML.  MLton generates standalone
 executables with excellent runtime performance,
 is SML 97 compliant, and has a complete basis
 library.  MLton has source-level profiling,
 a fast C FFI, an interface to the GNU
 multiprecision library, and lots of useful
 libraries.
 .
 This package provides armhf target libraries.

Package: neon-support
Description-md5: 8a17a3760a6fa60adcdfaadcd6e3c8de
Description-en: prevent installation on processors without required instructions
 This is a mostly dummy package, whose only purpose is to detect the presence
 of neon.  It refuses to install on inadequate processors, thus allowing
 specifying such a requirement as a dependency.

Package: pforth
Description-md5: 9d73b7064e6a420b5b1b20e9b1962b44
Description-en: portable Forth interpreter
 pForth is a public domain, portable ANS Forth based on a kernel written in
 ANSI 'C'.  This makes it easy to port pForth to multiple platforms.
 .
 More information on pForth is available at http://www.softsynth.com/pforth/

Package: pixbros
Description-md5: 4635c998492b24a5096498a295c0d08f
Description-en: 2D game inspired in Bubble Bobble, Snow Bros and Tumble Pop
 PIX Bros is a platform game inspired in three different historical arcade
 games: Bubble Bobble, Snow Bros and Tumble Pop. Three siblings end up
 transformed into their favourite videogame heroes inside the computer. The
 game allows 3 players simultaneously.

Package: pixfrogger
Description-md5: 10de149e20d3d96b94ab70f5329ddb03
Description-en: help the frog cross the street
 PiX Frogger is a clone of the classic game Frogger, in which you must help
 a frog cross the street to avoid becoming roadkill by cars and trucks.
 The frog starts at the bottom of the screen and the only control the player
 has is navigating the direction for the frog to hop. The game allows 4 players
 playing simultaneously with the keyboard.

Package: qcontrol
Description-md5: 2276b0098dd0362baea23315cbe6ebc0
Description-en: hardware control for QNAP Turbo Station devices
 Allows one to send commands to the microcontroller of supported devices,
 for example to change leds or sound a buzzer.
 .
 Depending on the device it can also monitor for example for button
 presses or temperature, with events triggering actions defined in the
 configuration file.
 .
 Currently supported devices are the QNAP TS-109, QNAP TS-11x,
 QNAP TS-12x, QNAP TS-209, QNAP HS-210, QNAP TS-21x, QNAP TS-22x,
 QNAP TS-409, QNAP TS-409U, QNAP TS-41x, QNAP TS-42x and Synology
 Diskstation and Rackstation.

Package: u-boot
Description-md5: 0711a5baa9591293612b133035d8192b
Description-en: A boot loader for embedded systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.

Package: u-boot-exynos
Description-md5: 80a1894e409b368bcf5d7754566a4f43
Description-en: A boot loader for exynos systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various Exynos platforms.
 .
 Included platforms:
 arndale
 odroid
 odroid-xu3

Package: u-boot-imx
Description-md5: 0dc16748048f878eb005189eea68d9cd
Description-en: A boot loader for imx systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various imx platforms.
 .
 Included platforms:
 dh_imx6
 mx53loco
 mx6cuboxi
 mx6qsabrelite
 nitrogen6q
 nitrogen6q2g
 novena
 novena-rawsd
 udoo
 usbarmory
 wandboard

Package: u-boot-omap
Description-md5: deaa2c48c5a87cd5e05f79688adf4a82
Description-en: A boot loader for omap systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various omap and related
 platforms.
 .
 Included platforms:
 am335x_boneblack
 am335x_evm
 am57xx_evm
 dra7xx_evm
 igep00x0
 nokia_rx51
 omap3_beagle
 omap3_pandora
 omap4_panda

Package: u-boot-rockchip
Description-md5: 9ba1da67582e01ec6d264d55e125b5b0
Description-en: A boot loader for rockchip systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various Rockchip platforms.
 .
 Included platforms:
 firefly-rk3288

Package: u-boot-rpi
Description-md5: e68b195bdb5012728ef7644d6ffe2cc5
Description-en: A boot loader for Raspberry PI systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various Raspberry PI
 platforms.
 .
 Included platforms:
 rpi_2
 rpi_3_32b
 rpi_4_32b

Package: u-boot-sunxi
Description-md5: 04e4864824627210fc7997de81cb0883
Description-en: A boot loader for sunxi systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various Allwinner/sunxi
 platforms.
 .
 Included platforms:
 A10-OLinuXino-Lime
 A10s-OLinuXino-M
 A20-OLinuXino-Lime
 A20-OLinuXino-Lime2
 A20-OLinuXino-Lime2-eMMC
 A20-OLinuXino_MICRO
 A20-Olimex-SOM-EVB
 Bananapi
 Bananapro
 CHIP
 Cubieboard
 Cubieboard2
 Cubieboard4
 Cubietruck
 Cubietruck_plus
 Lamobo_R1
 Linksprite_pcDuino
 Linksprite_pcDuino3
 Mini-X
 Sinovoip_BPI_M3
 bananapi_m2_berry
 nanopi_neo
 orangepi_plus
 orangepi_zero

Package: u-boot-tegra
Description-md5: 28df873780f6461926a287388069be12
Description-en: A boot loader for NVIDIA Tegra systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.
 .
 This package includes boot loaders for various NVIDIA Tegra platforms.
 .
 Included platforms:
 jetson-tk1

Package: wine32
Description-md5: a597fac92bb502e9944a7a77130600fd
Description-en: Windows API implementation - 32-bit binary loader
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides the binary loader for 32-bit Windows applications.

Package: wine32-development
Description-md5: 6f28cbab79bb4fb84526de688bbbb0dc
Description-en: Windows API implementation - 32-bit binary loader
 Wine is a free MS-Windows API implementation.
 .
 This package provides the binary loader for 32-bit Windows applications.

Package: wine32-development-preloader
Description-md5: 119d146ee6d0a5c98595d4bc824e95a7
Description-en: Windows API implementation - prelinked 32-bit binary loader
 Wine is a free MS-Windows API implementation.
 .
 This package provides the prelinked loader for 32-bit Windows applications.

Package: wine32-development-tools
Description-md5: ad5c80d7c4e68fb2b9a14646e195ed9e
Description-en: Windows API implementation - 32-bit developer tools
 Wine is a free MS-Windows API implementation.
 .
 This package provides Wine's 32-bit developer tools. They are capable of
 generating 32-bit results.

Package: wine32-preloader
Description-md5: 69d8bac8fc6cd746ad1af2b0a29f4eaa
Description-en: Windows API implementation - prelinked 32-bit binary loader
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides the prelinked loader for 32-bit Windows applications.

Package: wine32-tools
Description-md5: 483a54bf2bb3773d519ec7d9af67c391
Description-en: Windows API implementation - 32-bit developer tools
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package provides Wine's 32-bit developer tools. They are capable of
 generating 32-bit results.

Package: x-loader-omap3-beagle
Description-md5: af3cacc0ab25ddb4964566fa2cd32f68
Description-en: Board initialization helper for TI OMAP 3 Beagle boards
 The x-loader initializes OMAP based boards to get the hardware
 to a stage where it is possible to load and initialize a
 bootloader (i.e. the commonly used u-boot)
 .
 This package contains a version for TI OMAP 3 Beagle boards.

Package: x-loader-omap3-igepv2
Description-md5: 9df70852078032c88c336668082a5a64
Description-en: Board initialization helper for IGEP v2 and v3 boards
 The x-loader initializes OMAP based boards to get the hardware
 to a stage where it is possible to load and initialize a
 bootloader (i.e. the commonly used u-boot)
 .
 This package contains a version for IGEP v2 and v3 boards.

Package: x-loader-omap3-overo
Description-md5: 88515f78ef1cd6edf67cda49352c709d
Description-en: Board initialization helper for Gumstix Overo boards
 The x-loader initializes OMAP based boards to get the hardware
 to a stage where it is possible to load and initialize a
 bootloader (i.e. the commonly used u-boot)
 .
 This package contains a version for Gumstix Overo boards.

Package: x-loader-omap4-panda
Description-md5: 95715f21722112af03eb017e64f9989e
Description-en: Board initialization helper for TI OMAP 4 Panda boards
 The x-loader initializes OMAP based boards to get the hardware
 to a stage where it is possible to load and initialize a
 bootloader (i.e. the commonly used u-boot)
 .
 This package contains a version for TI OMAP 4 Panda boards.

Package: xen-hypervisor-4.11-armhf
Description-md5: 770882b66be138559f0e4ba0ccea266a
Description-en: Xen Hypervisor on ARMHF
 The hypervisor is the "core" for XEN itself.  It gets booted by the boot
 loader and controls cpu and memory, sharing them between your
 administrative domain (Domain 0) and the virtual guest systems.
 .
 In order to boot a XEN system along with this package you also need a
 kernel specifically crafted to work as the Domain 0, mediating hardware
 access for XEN itself.

Package: xen-hypervisor-4.9-armhf
Description-md5: 2ed3e92e0f6f48d0da5e1416c49dc0e5
Description-en: Transitional package for upgrade
 The hypervisor is the "core" for XEN itself.  It gets booted by the boot
 loader and controls cpu and memory, sharing them between your
 administrative domain (Domain 0) and the virtual guest systems.
 .
 In order to boot a XEN system along with this package you also need a
 kernel specifically crafted to work as the Domain 0, mediating hardware
 access for XEN itself.
 .
 This is a transitional package. You can safely remove it.

Package: xen-system-armhf
Description-md5: 1ee24b7e16a50b6ef011b0f85363a760
Description-en: Xen System on ARMHF (metapackage)
 This package depends on the latest Xen hypervisor for use on ARMHF and the
 Xen utils.

Package: xserver-xorg-video-armsoc
Description-md5: f14d35a2c3674ad3854965410ce756e1
Description-en: X.Org X server -- ARM SoC display driver (transitional package)
 This driver for the X.Org X server (see xserver-xorg for a further
 description) provides support for OMAP2 and newer devices including
 Samsung Exynos5.
 .
 The driver depends on drm support in the kernel, which is
 in the staging area of the mainline kernel since version 3.3.
 .
 More information about X.Org can be found at:
  * <URL:http://www.X.org>
  * <URL:http://xorg.freedesktop.org>
  * <URL:http://lists.freedesktop.org/mailman/listinfo/xorg>

Package: xserver-xorg-video-armsoc-exynos
Description-md5: 779a73c6dc65a716f659922d2b8929e3
Description-en: X.Org X server -- ARM SoC display driver for Exynos DRM
 This driver for the X.Org X server (see xserver-xorg for a further
 description) provides support for OMAP2 and newer devices including
 Samsung Exynos5.
 .
 The driver depends on drm support in the kernel, which is
 in the staging area of the mainline kernel since version 3.3.
 .
 More information about X.Org can be found at:
  * <URL:http://www.X.org>
  * <URL:http://xorg.freedesktop.org>
  * <URL:http://lists.freedesktop.org/mailman/listinfo/xorg>
 .
 This package contains the armsoc driver for the Exynos DRM driver.

Package: xserver-xorg-video-armsoc-exynos-dbg
Description-md5: 6310ffe286c244eac33ead8fd52e95e9
Description-en: X.Org X server -- ARM SoC display driver (debug symbols)
 This driver for the X.Org X server (see xserver-xorg for a further
 description) provides support for OMAP2 and newer devices including
 Samsung Exynos5.
 .
 The driver depends on drm support in the kernel, which is
 in the staging area of the mainline kernel since version 3.3.
 .
 More information about X.Org can be found at:
  * <URL:http://www.X.org>
  * <URL:http://xorg.freedesktop.org>
  * <URL:http://lists.freedesktop.org/mailman/listinfo/xorg>
 .
 This package contains debugging symbols for
 xserver-xorg-video-armsoc-exynos.

Package: xserver-xorg-video-armsoc-pl111
Description-md5: 52074bd2945ed1e8bec62127dbac5573
Description-en: X.Org X server -- ARM SoC display driver for pl111 DRM
 This driver for the X.Org X server (see xserver-xorg for a further
 description) provides support for OMAP2 and newer devices including
 Samsung Exynos5.
 .
 The driver depends on drm support in the kernel, which is
 in the staging area of the mainline kernel since version 3.3.
 .
 More information about X.Org can be found at:
  * <URL:http://www.X.org>
  * <URL:http://xorg.freedesktop.org>
  * <URL:http://lists.freedesktop.org/mailman/listinfo/xorg>
 .
 This package contains the armsoc driver for the pl111 DRM driver.

Package: xserver-xorg-video-armsoc-pl111-dbg
Description-md5: 7853cbf1416ffab3bb14413999f31b10
Description-en: X.Org X server -- ARM SoC display driver (debug symbols)
 This driver for the X.Org X server (see xserver-xorg for a further
 description) provides support for OMAP2 and newer devices including
 Samsung Exynos5.
 .
 The driver depends on drm support in the kernel, which is
 in the staging area of the mainline kernel since version 3.3.
 .
 More information about X.Org can be found at:
  * <URL:http://www.X.org>
  * <URL:http://xorg.freedesktop.org>
  * <URL:http://lists.freedesktop.org/mailman/listinfo/xorg>
 .
 This package contains debugging symbols for
 xserver-xorg-video-armsoc-pl111.

Package: xserver-xorg-video-omap
Description-md5: c3a487fe46bdb37e5aee1fc7161cb268
Description-en: X.Org X server -- OMAP display driver
 This driver for the X.Org X server (see xserver-xorg for a further
 description) provides support for OMAP2 and newer devices.
 .
 The driver depends on omapdrm support in the kernel and does
 not work with legacy omapfb kernel driver.
 .
 More information about X.Org can be found at:
  * <URL:http://www.X.org>
  * <URL:http://xorg.freedesktop.org>
  * <URL:http://lists.freedesktop.org/mailman/listinfo/xorg>

Package: adlibtracker2
Description-md5: ab1a2b4bc6c66dfe1c6a9e6f39d60224
Description-en: userfriendly tracker aimed for the OPL3 FM-chip
 This is an 18-channel FM tracker for the OPL3-chip (or compatible) generally
 found on the older Soundblaster soundcards from Creative Labs. In other
 words - a tool for making music with FM-synthesis.
 .
 Supported song formats are A2M, A2P, A2T, AMD, CFF, DFM, FMK, HSC, MTK, RAD,
 S3M, SAT, SA2, XMS.

Package: atitvout
Description-md5: ad3ac916a61fce2ca96421ec3b6cdf5e
Description-en: ATI TV Out Support Program
 This utility program may be used for executing several configuration
 commands for the TV Out connector of ATI Rage Mobility P/M
 and some Radeon graphics boards under GNU/Linux on i386.
 Primarily it is intended to enable TV Out support after bootup and
 for switching the used TV standard from NTSC to PAL.
 It works either on console or X.

Package: carla-bridge-linux32
Description-md5: 91513f6326bfd0ffda96015ced87c401
Description-en: audio plugin host (linux32 bridge)
 Carla is an audio plugin host, with support for many audio drivers and plugin
 formats. It has some nice features like automation of parameters via MIDI CC
 and full OSC control. Currently supports LADSPA, DSSI, LV2, VST2/3 and AU
 plugin formats, plus SF2 and SFZ sounds banks.
 .
 This package provides the linux32 bridge for loading 32bit Linux plugins.

Package: carla-bridge-win32
Description-md5: 2be771224c838cb6fc6670d1d7dd2e94
Description-en: carla win32 bridge
 This package provides the Carla win32 bridge.

Package: carla-bridge-wine32
Description-md5: 5f40172c204593c0e3cd3452af0104ea
Description-en: carla win32 bridge (wine DLL)
 This package provides the wine DLL needed for the Carla win32 bridge.

Package: digitools
Description-md5: b718392dc2ec87eeca33107e4116259b
Description-en: A set of tools to control ASUS Digimatrix embedded hardware
 This package is a combination of the previous programs asusfan and setpanel.
 Included in this package are the following tools:
   digifan:   allows fan speed control
              (formerly asusfan)
 .
   digipanel: allows control of the LED display, and volume knob controls
              the soundcard master mixer channel
              (formerly part of setpanel)
 .
   digiradio: allows control of the in-built radio
              (formerly part of setpanel)
 .
   digiwake:  allows for Wake-On-CIR (wake on remote) with existing
              versions of LIRC that support the digimatrix. This program
              just needs to be run after lircd, and the digimatrix will
              power on when pressing the music/(on/off) button on the
              remote control.

Package: dxvk-wine32-development
Description-md5: d8d8057e3cb1ca1d4cde38d47b196f14
Description-en: Vulkan-based translation layer for Direct3D 10/11 - wine32 build
 DXVK is a Vulkan-based translation layer for Direct3D 10/11 which
 allows running 3D applications on Linux using Wine.
 .
 DXVK aims to provide support for D3D11, feature level 11_1, and
 D3D10, feature level 10_1.
 .
 This package contains the wine32-development build.

Package: fnfx-client
Description-md5: 5c44c67a0d6015b810ee55f91552b5f8
Description-en: Client for customize fnfxd hot-keys
 fnfx is a client for fnfxd, that makes possible to customize your hotkeys.
 It can also define hot-keys to start arbitrary programs.

Package: fnfxd
Description-md5: b4f6680513b9de0cc558ffff76832083
Description-en: ACPI and hotkey daemon for Toshiba laptops
 fnfx enables owners of Toshiba laptops to change the LCD brightness,
 control, the internal fan and use the special keys on their keyboard
 (Fn-x combinations, hot-keys). The internal functions will give the
 possibility to map the Fn-Keys to functions like volume up/down, mute,
 suspend to disk, suspend to ram and switch LCD/CRT/TV-out. These
 functions heavily depend on the system and/or kernel configuration.
 You will need at least a kernel (v2.4.x, v2.5.x, v2.6.x) with ACPI and
 Toshiba support (CONFIG_ACPI and CONFIG_ACPI_TOSHIBA).

Package: fp-units-i386
Description-md5: 5fa6653a7f5125faf34527d3dfaec1ac
Description-en: Free Pascal - Kylix compatibility units dependency package
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This dependency package always depends on the latest available version of
 the package containing the deprecated Free Pascal libc unit for the i386
 architecture (used for compatibility with Borland's Kylix).

Package: fp-units-i386-3.0.4
Description-md5: 63ff8a8e24be1e19f23a3a2b789409c5
Description-en: Free Pascal - Kylix compatibility units
 The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi
 and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a
 completely portable RunTime Library (RTL) available on many platforms and
 compatible with Turbo Pascal, along with a platform-independent class-based
 Free Component Library (FCL) adding many Delphi extensions and interfacing
 with many popular open source libraries.
 .
 This package contains the deprecated Free Pascal libc unit for the i386
 architecture (used for compatibility with Borland's Kylix).

Package: gatos
Description-md5: bc84fb6633b503378600caa5c97e36e6
Description-en: ATI All-in-Wonder TV capture software
 The General ATI TV and Overlay Software (GATOS) suite for
 capturing video.  This package does not require kernel
 patches, and includes:
   * xatitv: GUI TV-in-a-window application
        GUI access to all GATOS functionality, save tv-out.
   * atitv: Simple text-mode program
        Basic functionality to record video and toggle tv-out.
   * atitoppm:
   * atitogif:
   * atitojpg:
        YUV conversion utilities
   * yuvsum: video field to frame converter
        Averages all images in gatos.yuv (must all
        be same size).
   * atisplit: YUV conversion to ucbmpeg
        This splits the output file for MPEG encoding.
  This software is not intended to support most recent ATI AIW Radeon

Package: lib64gcc-8-dev
Description-md5: 44d3aaff7c21b9d5950416cabd2035a0
Description-en: GCC support library (64bit development files)
 This package contains the headers and static library files necessary for
 building C programs which use libgcc, libgomp, libquadmath, libssp or libitm.

Package: lib64gfortran-10-dev
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64gfortran-8-dev
Description-md5: 552b8ddfa55fb97fed937c39ac7c9855
Description-en: Runtime library for GNU Fortran applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU Fortran applications.

Package: lib64go13
Description-md5: 07fa56d3e232224f82aa278bc1a968bd
Description-en: Runtime library for GNU Go applications (64bit)
 Library needed for GNU Go applications linked against the
 shared library.

Package: lib64gphobos-10-dev
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-8-dev
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos-9-dev
Description-md5: 74c4089eeff14106ae16cc4257ba8f73
Description-en: Phobos D standard library (64bit development files)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos1
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64gphobos76
Description-md5: 2086a0c7f5831c82fec492e812bdd6c1
Description-en: Phobos D standard library (runtime library)
 This is the Phobos standard library that comes with the D2 compiler.
 .
 For more information check http://www.dlang.org/phobos/

Package: lib64mpx2
Description-md5: ced71383f20eac0d63cc797006aab375
Description-en: Intel memory protection extensions (64bit)
 Intel MPX is a set of processor features which, with compiler,
 runtime library and OS support, brings increased robustness to
 software by checking pointer references whose compile time normal
 intentions are usurped at runtime due to buffer overflow.

Package: lib64objc-10-dev
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-8-dev
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc-9-dev
Description-md5: c0fc895d2778279155bfb6af8561da8e
Description-en: Runtime library for GNU Objective-C applications (64bit development files)
 This package contains the headers and static library files needed to build
 GNU ObjC applications.

Package: lib64objc4
Description-md5: ed6abd85fb9be4df45f054e758ab70d5
Description-en: Runtime library for GNU Objective-C applications (64bit)
 Library needed for GNU ObjC applications linked against the shared library.

Package: lib64stdc++-10-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: lib64stdc++-8-dev
Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e
Description-en: GNU Standard C++ Library v3 (development files)
 This package contains the headers and static library files necessary for
 building C++ programs which use libstdc++.
 .
 libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which
 was included up to g++-2.95. The first version of libstdc++-v3 appeared
 in g++-3.0.

Package: lib64stdc++6-10-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: lib64stdc++6-8-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: lib64stdc++6-9-dbg
Description-md5: 2c454c0f0591e215b1f3b1791f3e7459
Description-en: GNU Standard C++ Library v3 (debug build)
 This package contains a debug build of the shared libstdc++ library.  The debug
 symbols for the default build can be found in the libstdc++6-dbgsym package.

Package: libgatos-dev
Description-md5: b69c5823ac3f89d25735cf2d9ba6bfdf
Description-en: The General ATI TV and Overlay Software(GATOS): Dev Lib and Headers
 The General ATI TV and Overlay Software (GATOS) suite for
 capturing video.

Package: libgatos0
Description-md5: cf3df1181e77a31aefbaafb5360ebc89
Description-en: The General ATI TV and Overlay Software(GATOS): Runtime Libraries
 The General ATI TV and Overlay Software (GATOS) suite for
 capturing video.

Package: libwsbm-dev
Description-md5: 132ad6f8155d4afc2fab8f83102a3658
Description-en: Buffer manager for the GPU of Intel Cedar Trail chipsets.
 Intel Cedar Trail chipsets using the PowerVR GPU need this library
 for managing window system buffers. The upstream project is abandoned,
 but is still used by PVR based proprietary drivers.
 .
 This is the development library.

Package: libwsbm1
Description-md5: 446122eaee904f7cf0acaf8faac0dd1a
Description-en: Buffer manager for the GPU of Intel Cedar Trail chipsets.
 Intel Cedar Trail chipsets using the PowerVR GPU need this library
 for managing window system buffers. The upstream project is abandoned
 but is still used by PVR based proprietary drivers.

Package: lmms-vst-server
Description-md5: cfd0afdd64daf595c4970dfd5e3a2237
Description-en: Linux Multimedia Studio - VST server & plugins
 This package contains the LMMS VST plugins and a helper application that loads
 VST plugins.

Package: longrun
Description-md5: 96371ed99eb43a668fc2fe2c7b3c37eb
Description-en: Transmeta Crusoe LongRun control utility
 The longrun utility is used to query and control the LongRun settings of
 Transmeta Crusoe processors. It can set the performance level, and set the
 CPU speed window.
 .
 This utility only works on with the Transmeta Crusoe CPU, and
 requires the Linux CPUID and MSR device drivers.

Package: lphdisk
Description-md5: 8d4bb9e100ea04278cce25429d7412fd
Description-en: prepares hibernation partition for Phoenix NoteBIOS
 This utility prepares and formats the hibernation partition for
 notebook computers that use Phoenix NoteBIOS. Once this partition
 has been prepared, it can be used with the BIOS's APM Suspend-To-Disk
 feature. Laptops that can make use of a hibernation partition include
 several ARMNotes, the Dell Inspiron 5000, Sony VAIOs, and many
 Tuxtops laptops.

Package: mac-fdisk-cross
Description-md5: 1f98524354e5776afc13ab81d2fde2cd
Description-en: Apple disk partition manipulation tool, cross version
 The fdisk utilities from the MkLinux project, adopted for Linux/m68k.
 Mac-fdisk allows you to create and edit the partition table of a disk.
 It supports only the Apple partition format used on Macintosh and PowerMac,
 use pmac-fdisk for PC partition format disks as used on PowerPC machines.
 Mac-fdisk is an interactive tool with a menu similar to PC fdisk, supported
 options are somewhat different from PC fdisk due to the differences in
 partition format.
 .
 This package contains the cross version of the package.

Package: pcsx2
Description-md5: b5cb3ffb1f1188113f20929ff5e41fc2
Description-en: Playstation 2 emulator
 PCSX2 is a PlayStation 2 emulator for Windows and Linux.
 .
 WARNING: It requires a CPU with SSE2 instructions. If your CPU does not support
 this instruction set, it does not have enough horsepower to run this emulator.
 .
 This package includes the main binary, plug-ins and localization files.

Package: pmac-fdisk-cross
Description-md5: 11ee2f9f0f996dfae4cefdf962be431b
Description-en: fdisk partition manipulation tool for PowerPC, cross version
 The fdisk utilities from the MkLinux project, adopted for Linux/ppc.
 Pmac-fdisk allows you to create and edit the partition table of a disk.
 It supports the PC partition format as used on PowerPC. It is an
 interactive tool similar to PC fdisk.
 .
 This package contains the cross version of the package.

Package: s3switch
Description-md5: f1b292421ae31cf561506a00387d7d09
Description-en: Manage the output device on S3 Savage chips
 Depending on the Savage chip this utility can be used to switch
 between LCD, CRT and TV output. Additionally one can choose between
 NTSC, NTSCJ and pal TV signal format.

Package: sb16ctrl-bochs
Description-md5: 00c29543573b338ce1a35bae09b7e897
Description-en: control utility for Bochs emulated SB16 card
 You can use this utility to perform some query operations on
 the Bochs emulated SB16 card. It needs to be installed in your
 Debian-based guest OS.
 .
 The sb16ctrl utility contained in this package can only be used
 from inside the Bochs emulator. DO NOT TRY IT ON REAL HARDWARE.

Package: smlsharp
Description-md5: 44e73b66f39a45fa71b4317973f9e528
Description-en: Standard ML compiler with practical extensions
 SML# is a variant of Standard ML programming
 language with several practical extentions,
 including seamless interoperability with C,
 integration with SQL, and separate compilation.

Package: zsnes
Description-md5: 23c1e4d12b6b8895961a29f2fd91570b
Description-en: Emulator of the Super Nintendo Entertainment System
 ZSNES allows you to play classic games written for the Super Nintendo (SNES)
 game console on a GNU/Linux system.
 .
 Please note that many separately-available games playable under this
 emulator are non-free. See /usr/share/doc/zsnes/README.Debian for more
 information.

Package: libcxl-dev
Description-md5: 347a8c0c7528d86dff01271ed4841c8a
Description-en: Coherent accelerator shared library development files
 The coherent accelerator interface is designed to allow the coherent
 connection of accelerators (FPGAs and other devices) to a POWER system.
 Coherent in this context means that the accelerator and CPUs can both access
 system memory directly and with the same effective addresses. IBM refers to
 this as the Coherent Accelerator Processor Interface (CAPI). In the Linux
 world it is referred to by the name CXL to avoid confusion with the ISDN
 CAPI subsystem.
 .
 This package provides development files for libcxl.

Package: libcxl1
Description-md5: 3a3a75bd0ae3b7f9aa58dcb0838acfab
Description-en: Coherent accelerator shared library
 The coherent accelerator interface is designed to allow the coherent
 connection of accelerators (FPGAs and other devices) to a POWER system.
 Coherent in this context means that the accelerator and CPUs can both access
 system memory directly and with the same effective addresses. IBM refers to
 this as the Coherent Accelerator Processor Interface (CAPI). In the Linux
 world it is referred to by the name CXL to avoid confusion with the ISDN
 CAPI subsystem.

Package: libdfp-dev
Description-md5: 24189fadff2ad4cba63d09ae22a9434b
Description-en: decimal floating point library (runtime)
 The "Decimal Floating Point C Library" is an implementation of ISO/IEC
 Technical report  "ISO/IEC TR 24732" which describes the C-Language library
 routines necessary to provide the C library runtime support for decimal
 floating point data types introduced in IEEE 754-2008, namely _Decimal32,
 _Decimal64, and _Decimal128.
 .
 This package contains the development files.

Package: libdfp1
Description-md5: 9a7621eaa4ccc8d8a91ec26373305756
Description-en: decimal floating point library (development files)
 The "Decimal Floating Point C Library" is an implementation of ISO/IEC
 Technical report  "ISO/IEC TR 24732" which describes the C-Language library
 routines necessary to provide the C library runtime support for decimal
 floating point data types introduced in IEEE 754-2008, namely _Decimal32,
 _Decimal64, and _Decimal128.
 .
 This package contains the runtime shared library.

Package: libocxl-dev
Description-md5: 231253b05f61c01e012db5190f07178d
Description-en: Development files for accessing OpenCAPI devices
 LibOCXL provides an access library which allows the user to implement a
 userspace driver for an OpenCAPI accelerator.
 .
 This package contains the development files.

Package: libocxl1
Description-md5: def20a7e5316a4bcbb5f574063e37240
Description-en: Runtime library for accessing OpenCAPI devices
 LibOCXL provides an access library which allows the user to implement a
 userspace driver for an OpenCAPI accelerator.
 .
 This package contains the runtime shared library.

Package: libpaf-dev
Description-md5: 111f1a299a2988a26d64997380bd70ee
Description-en: paf library development files
 PAFLib is a IBM written library which exposes Power Architecture Facilities to
 userspace via an API. This includes the Data Stream Control Register Facility
 (DSCR) and the Event-Based Branching facility (EBB). Linux kernel 3.9 has
 exposed problem-state DSCR usage for ISA 2.06 (POWER7 - emulated) and ISA 2.07
 (POWER8 - in hardware). Linux 3.10 has exposed the EBB facility.
 This package contains the static library and header files used in
 development.

Package: libpaf-dsc0
Description-md5: 0b4cc0a5e38592d5df36504e454f9979
Description-en: library which exposes DSC Power Facilities to userspace via an API
 PAFLib is a IBM written library which exposes Power Architecture Facilities to
 userspace via an API. This includes the Data Stream Control Register Facility
 (DSCR) and the Event-Based Branching facility (EBB). Linux kernel 3.9 has
 exposed problem-state DSCR usage for ISA 2.06 (POWER7 - emulated) and ISA 2.07
 (POWER8 - in hardware). Linux 3.10 has exposed the EBB facility.
 .
 The Data Stream Control Register (DSCR for short) of POWER processors is used
 to control the degree of aggressiveness of memory prefetching for load and
 store.

Package: libpaf-ebb0
Description-md5: 032c6c155830a7f59b8161b5071e5f70
Description-en: library which exposes EBB Power Facilities to userspace via an API
 PAFLib is a IBM written library which exposes Power Architecture Facilities to
 userspace via an API. This includes the Data Stream Control Register Facility
 (DSCR) and the Event-Based Branching facility (EBB). Linux kernel 3.9 has
 exposed problem-state DSCR usage for ISA 2.06 (POWER7 - emulated) and ISA 2.07
 (POWER8 - in hardware). Linux 3.10 has exposed the EBB facility.
 .
 Event Based Branches (EBBs) are a feature which allows the hardware to branch
 directly to a specified user space address when certain events occur.

Package: libpdbg-dev
Description-md5: 1b3ef8e1c8d56dda82607df6827c2385
Description-en: PowerPC FSI Debugger library (development)
 pdbg is a simple application to allow debugging of the host POWER processors
 from the BMC. It works in a similar way to JTAG programmers for embedded system
 development in that it allows you to access GPRs, SPRs and system memory.
 .
 This package contains the development files.

Package: libpdbg1
Description-md5: 9248ec2d7589a9f62d4d321d2fc864f0
Description-en: PowerPC FSI Debugger library (runtime)
 pdbg is a simple application to allow debugging of the host POWER processors
 from the BMC. It works in a similar way to JTAG programmers for embedded system
 development in that it allows you to access GPRs, SPRs and system memory.
 .
 This package contains the runtime shared library.

Package: libvecpf-dev
Description-md5: 362608253fb27fd490c346607cf2e677
Description-en: Vector Printf Library development files
 This library extends ISO C printf so that it may print out vector data types.
 The description of the extensions are in the AltiVec Technology Programming
 Interface Manual.
 .
 This package contains the static library and header files used in development.

Package: libvecpf1
Description-md5: 31cdaec42cec4437cc8d2602f7386d88
Description-en: Vector Printf Library
 This library extends ISO C printf so that it may print out vector data types.
 The description of the extensions are in the AltiVec Technology Programming
 Interface Manual.

Package: pdbg
Description-md5: 063d34e4fc3058f0f7feac01e056d99c
Description-en: PowerPC FSI Debugger
 pdbg is a simple application to allow debugging of the host POWER processors
 from the BMC. It works in a similar way to JTAG programmers for embedded system
 development in that it allows you to access GPRs, SPRs and system memory.
 .
 This package contains pdbg binary.

Package: dropwatch
Description-md5: cdab556ac1d3a7d90baeaed2ad051c42
Description-en: tool for detecting and diagnosing dropped network packets
 Dropwatch is a utility to help developers and system administrators to
 diagnose problems in the Linux Networking stack, specifically their
 ability to diagnose where packets are getting dropped. Dropwatch aims to
 improve on the following shortcomings:
 .
  1) Consolidation, or lack thereof. Currently, to check the status of
  dropped packets in the kernel, one needs to check at least 3 places, and
  possibly more: The /proc/net/snmp file, the netstat utility, the tc
  utility, and ethtool. Dropwatch aims to consolidate several of those
  checks into one tool, making it easier for a sysadmin or developer to
  detect lost packets
 .
  2) Clarity of information.  Dropped packets are not obvious. A sysadmin
  needs to be intimately familiar with each of the above tools to
  understand which events or statistics correlate to a dropped packet and
  which do not. While that is often self evident, it is also often not.
  Dropwatch aims to improve that clarity.
 .
  3) Ambiguity. Even when a dropped packet is detected, the causes for
  those dropped packets are not always clear. Does a UDPInError mean the
  application receive buffer was full, or does it mean its checksum was
  bad? Dropwatch attempts to disambiguate the causes for dropped packets.
 .
  4) Performance. Utilities can be written to aggregate the data in the
  various other utilities to solve some of these problems, but such
  solutions require periodic polling of several interfaces, which is far
  from optimal, especially when lost packets are rare. This solution
  improves on the performance aspect by implementing a kernel feature which
  allows asynchronous notification of dropped packets when they happen.

Package: libxcomp-dev
Description-md5: 4f90e272328ff43581edefe99c5b92fe
Description-en: NX X compression library---headers
 NX provides a differential X compression library for X11.
 .
 This package provides the compression library headers.

Package: libxcomp3
Description-md5: 60eb0f325e856fd9cdfc8dbe8571eef0
Description-en: NX X compression library
 NX provides a differential X compression library for X11.
 .
 This package provides the compression library.

Package: libxcompshad-dev
Description-md5: 3948cbc70430bc6c34ed7734a8c00088
Description-en: NX shadowing library
 NX is a software suite from NoMachine which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This package contains the development files for the nxcompshad library.

Package: libxcompshad3
Description-md5: 665be5c79256a91589cfed1dd1b5b74f
Description-en: NX shadowing library
 NX is a software suite from NoMachine which implements very efficient
 compression of the X11 protocol. This increases performance when
 using X applications over a network, especially a slow one.
 .
 This library provides support for shadowing sessions.

Package: snapd-xdg-open
Description-md5: 419325f1e8ffc2289173fc6f2ce7a4e4
Description-en: Opens URLs via D-Bus
 A D-Bus-activatable helper service allowing snaps to launch URLs on
 the host where its installed.

Package: libica-dev
Description-md5: 3003b187661fd03a36cf87e169d6a64e
Description-en: hardware cryptography support for IBM System z hardware (dev package)
 libica library provides hardware acceleration for cryptographic
 functions and is part of the openCryptoki project.
 .
 This package contains development headers and library.

Package: libica-utils
Description-md5: e3e1bae4ef92b31eec6b7989bfc4d017
Description-en: hardware cryptography support for Linux on z Systems (utils)
 libica library provides hardware acceleration for cryptographic
 functions and is part of the openCryptoki project.
 .
 This package contains icastats and icainfo utilities.

Package: libica3
Description-md5: f8ed4fa5ceb5109d31130548b49b7cdd
Description-en: hardware cryptography support for IBM System z hardware
 libica library provides hardware acceleration for cryptographic
 functions and is part of the openCryptoki project.

Package: libqc2
Description-md5: e4c7c611b1f442c117731f3b52655147
Description-en: query capacity library
 qclib provides a C API for extraction of system information
 for Linuz on z Systems.

Package: openjdk-8-jre
Description-md5: d8844993602e4875dfbd87983091c18c
Description-en: OpenJDK Java runtime, using Hotspot Zero
 Full Java runtime environment - needed for executing Java GUI and Webstart
 programs, using Hotspot Zero.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openjdk-8-jre-headless
Description-md5: 501cffbd670429c46b6c73ca3974ed93
Description-en: OpenJDK Java runtime, using Hotspot Zero (headless)
 Minimal Java runtime - needed for executing non GUI Java programs,
 using Hotspot Zero.
 .
 The packages are built using the IcedTea build support and patches
 from the IcedTea project.

Package: openssl-ibmca
Description-md5: c422d02015263e01ef761099ec8105c4
Description-en: libica based hardware acceleration engine for OpenSSL
 This package provides an OpenSSL engine to enable hardware
 acceleration of cryptographic functions in OpenSSL, and all
 applications that use OpenSSL.

Package: qclib-dev
Description-md5: db4f82977a65497d360b914f1bcc9c6b
Description-en: query capacity library (development package)
 qclib provides a C API for extraction of system information
 for Linuz on z Systems.
 .
 This package provides development headers.

Package: qclib-test
Description-md5: 78285e476852bc62f5ea6488a0fc1fd4
Description-en: query capacity library (tests)
 qclib provides a C API for extraction of system information
 for Linuz on z Systems.
 .
 This package provides test utilities.

Package: s390-tools-cpuplugd
Description-md5: 9ecbba0935f842e86acedec57a87f6f3
Description-en: cpuplugd utility for Linux on z Systems
 The package contains cpuplugd hotplug daemon from the s390-tools
 collection of utilities.

Package: s390-tools-osasnmpd
Description-md5: e19b0c795d99dc43d017fe6145018e15
Description-en: osasnmpd utility for Linux on z Systems
 The package contains osasnmpd monitoring daemon from the s390-tools
 collection of utilities.

Package: s390-tools-statd
Description-md5: cdd35cf438bc9d1a03cdbf8e550ccea9
Description-en: mon_statd monitoring daemons for Linux on z Systems
 The package contains mon_procd and mon_fsstatd z/VM monitoring
 daemons for Linux on z Systems from the s390-tools collection of
 utilities. These tools are also known as mon_statd.

Package: zfcpdump-kernel
Description-md5: 62a9b65bc2ce4ed3df9d2946f9c8d54a
Description-en: s390x specific fcpdump kernel
 Specialise cut-down kernel for use with fcpdump mode on s390x.